>OMO81592 pep supercontig:CCACVL1_1.0:contig10142:1769:6907:1 gene:CCACVL1_12346 transcript:OMO81592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGKDVRGSVCCPVGMKSVQSSRPDIASTASSSDRTDGDSHESKYDSELSNARADRGFFHEWFGAFQVVTLLNEKLIHLAVVNYELQQSIYKTKLEEIKRFNT >OMO81594 pep supercontig:CCACVL1_1.0:contig10142:31626:31721:-1 gene:CCACVL1_12348 transcript:OMO81594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLIASSLKLLISRQRYGFNVLFDLVSKQA >OMO81593 pep supercontig:CCACVL1_1.0:contig10142:28317:30527:1 gene:CCACVL1_12347 transcript:OMO81593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MASFAFGGTTQKCKACEKTVYLVDQLTADNKVYHKACFRCHHCKRTLKLFNYSSFEGVLYCKPHFDQLFKMTGSLDKSFEGTPKTVRVERSADQVTNSRVSSLFAGTQEKCVACKKTVYPIEKVAVDGTSYHRTCFRCSHGGCVISPSNYVAHEQRLYCRHHHTQLFKQKGNFSQFDSQKHEEVKGVTENTTTVA >OMO81596 pep supercontig:CCACVL1_1.0:contig10142:57444:58539:-1 gene:CCACVL1_12350 transcript:OMO81596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAIDAATLVVQHPNGKVDKFYWAMSASEIMRMNPGHYVALLISTTLYHHNSNNNKDNNNNNNNNNVRLTRIKLLRPTDTLVLGQVYRLITSQEVMKGLLAKKHAKLKKNHPDSADKPYDKAALRPEAVPPPRSEPDYNQIKQVSKHERQRARSTSSSNSTARSKTWQPSLQSISEAAS >OMO81595 pep supercontig:CCACVL1_1.0:contig10142:54891:54965:-1 gene:CCACVL1_12349 transcript:OMO81595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLNQQNIQAATFIMQQQRSRFL >OMO57707 pep supercontig:CCACVL1_1.0:contig14329:4989:15641:-1 gene:CCACVL1_25696 transcript:OMO57707 gene_biotype:protein_coding transcript_biotype:protein_coding description:endosialin MGLKGEKKIRHLLNHSKKVSKNYGSVAISSARVADKAANRRALLTVLDKPPLPSIPPPTSPDSPKPAKPSQTPTLGSPLPHQQNPSSNLIKLNLEPIEAHQNRIHRPSSPDGSAAKKALIPTYKNPRYPPSNP >OMP10904 pep supercontig:CCACVL1_1.0:contig01785:823:897:1 gene:CCACVL1_00751 transcript:OMP10904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYALSASGYNRRDLIDRMEEYIDK >OMO86931 pep supercontig:CCACVL1_1.0:contig09383:7809:8711:-1 gene:CCACVL1_09374 transcript:OMO86931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNSSSHPYKIYVTAQPHNFFKDDQSLESPLIRFKCNIKMSYVPDKLPFDKPKSNIINRIGFLGKRKSDPEESRLIIEPFIAGHDSWHEFEHPAKDLTRDFLSTTLFTNNTIRFPFELTNLHWKKQVQDQDKESIPVMSTDELVTSMLQVCDSMARRSPNRKKLNLIVSVKKIVTVPYEEYLAMLKAEKQQQVLEQVAEMVRHGRGHGDSSNIRIPRREWENMSTAIREVGLGNSISDALNIMRQRAIRESTSREVIRVVPAAATSIQALEKVTLSNTEQEEEEIKCIFCMEEMEKGCE >OMO86933 pep supercontig:CCACVL1_1.0:contig09383:19087:21612:1 gene:CCACVL1_09376 transcript:OMO86933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome recycling factor MALYLRRAITLRNRATLLLRSSTTQTCNISHFLFSQNQNTCLSQKPTPLPSFDFFRDSRRENDSGEGTMELAPDIGPVVKASATSQMDAAIVALSRDLAKLRTGRASAGMLDHIIVETGGVKMPLNRIAVVSVIDSKTLSVNPYDPNTVKELESAIVSSPLGLNPKVDGQRLIAAIPPLTKEHMQAMCKVVAKSAEDVKQSIRRARQKALDSIKKAGSSFPKDEAKRLEKEIDELTKKFVKSAEDLCKAKEKEITQG >OMO86932 pep supercontig:CCACVL1_1.0:contig09383:10679:11082:1 gene:CCACVL1_09375 transcript:OMO86932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNNGSTLIPNFLASRKGANTSLAHSGVITFAHSWKNLSCFGDNSGSSSNPSSISVPGGNANWSSTTGSVSMSPTISPLRAANSHQGGA >OMP01035 pep supercontig:CCACVL1_1.0:contig06555:8133:16909:-1 gene:CCACVL1_03189 transcript:OMP01035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRSSNPMFLVISYFSSQARFETGRFGAAAASLTSVVGVGVHHVGVGGYVRVQRAAKRRKPKNGKKKNPKTRVPTSDCLSVGSPASIYGENWSSAVADLEDERRKRRSVDCRGIM >OMO69101 pep supercontig:CCACVL1_1.0:contig12113:47410:51049:1 gene:CCACVL1_19657 transcript:OMO69101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MEKLSLLFYTLVAIDFFLVAKQLDFVHGSPPKEAPAVGIYELKKGDMSVKFTNWGASIISLVLPDKYGTMGDITLGYDSVKDYMNDTTYFGGVVGRVANRIGGAKFTLNGTEYKLKANEGKNMLHGGPKGYSDVIWNVTKYKNDSDHPCIRFSYDSHDGEEGFPGEVQVSVMYTLINENELSVAMRAKANKKYTPVNLAQHTYWNLGNHDSGDILSEKILIFASNYTPVDSELIPTGEIVAVKGTPYDFLEPQTIGSRINELPKGYDINYALDGSPNEMKRVAIVKDKKSGRVMELSANQPGVQFYTGNMIKDVKGKGGFIYKAHAGLCLETQGYPDSVNHPNFPSQIIAPGKYYRHNMLFKFSIEP >OMO69097 pep supercontig:CCACVL1_1.0:contig12113:11429:11611:-1 gene:CCACVL1_19653 transcript:OMO69097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSLIRPDWPMRSSMIKRFKPEFESGGERTELKSMASLSRPKLKPSERSLIAMVEIPSH >OMO69096 pep supercontig:CCACVL1_1.0:contig12113:3118:4503:-1 gene:CCACVL1_19652 transcript:OMO69096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSNNNINSSSAGNDPFDLSWIFQSNDSNVDLNHVGSLTGSGLNLNDLSLGHRQLGMVTSMTTEVRRTYVSPRPCHQSTSFSLHDETLTHEVVKTTHFFAPPSMMDHFMFSSNSGVGNQGTLPTNISVRIQEQGQAAAVSNFQPNSSVRFQGGTLPSSSIQPTSGNQPAEQSQPVARNQRSRSAHEVIDVDAYDSDVRDNSSRASENQPHWPNMQVRNDVFFENLSMNPVNHGSGNINVPINGGIHENSANANDPQLDNTGQDGGRGRGRGRGHGRGRSTDQDGMADSFPPQNRGVGSGRGRDRGRGRGQGRKNDGRIHCLPRGDNGGYYCPFPECQNLVFQTTQGFVAHASSIHHSAETSEERQRRNEARFGKRKLSLSLTKCGISVMPDKLNFKPVKLGENQSNSKWENDGERTIAVGSQDIKPRILLGININ >OMO69098 pep supercontig:CCACVL1_1.0:contig12113:13610:14770:1 gene:CCACVL1_19654 transcript:OMO69098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNFNHCNSASSLGLSLGLGNEAIDLSLVLSPPDSNSGLNRFITDGTTNGLNFDRIGQSGLISETITQVKKTFVSPYRSVQMVQSAVDYAPLQLPPSAAGFPLTDFTFKEEIVKTTRFLSPASTMERLFASNNGVRNQGIFPTNGGNGGGYTNQVMNPFPSLNSSRFLDHEANFNGFSPVKREPVFDSLPFYPMSQNSSSNQGLTLGWRNSDHNLNYSNYMNFQERQQDEPIYAEPISQVPVAATASPPQILGSNVTLEEMSDENDFECPVGYDGRTHSLRDNPDGKYGCPNCSAAFDTSQEFASHVQSHYQHETEDQRKRRMAAKCRRKRLRLVESPHGLTAVPDQSFKRRDYGKEKFADIIGNPVKESNNGRLGGVIIKEEPM >OMO69099 pep supercontig:CCACVL1_1.0:contig12113:15343:20407:-1 gene:CCACVL1_19655 transcript:OMO69099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLEELLAEEGFRGRRSFSKARPSFRSEAVSKRDSPFSNKVKTERARSDMSRYSLREDFKGNEIVEVGVEENMRLKDSYSNEVNSLGRRGKKNDNGTSKHQLGRRSYSDKPRSSMKQRLETASDKANTGSTASHLALDEVAVQAVVSILSGYIKRFLKSEEFRAAIRHNSFSSLNFVGLEDQDDESKVILNLEQTIEMVEKAVEETVNPKELKKAALQLSVITGLNSNDLRDGFTYGVPNAMLSACAHFYLSVIYKLQKKERASAKHVMQMFCDSPFQARMHLLPELWDYLFFPHLSHLKAWYNQEADSLSDAPRRERKLELLEKVYNEIMDSGTYQLAVYYKDWLTEGVEAPPFPSIHIPSVSVRDIREEDSLAHSPELPSSSSRFTPQPMVSKKLYDAVFGRASKPGLQEAEDNYDSSIRSSDGSSVHVKQTLTFSSEAVKHPYQDNDDASFKSPQTSFFEEGISSTAEEELRLQEKDIHCTHNSSKADNTGKTIKDSDMLHAPELLNANELMLKRLAISAFEPHQSENKFDLALACLPNPSKELIHNTLENLHESYRYFDEESLFSTIPQEFICPLTGNMFEDPVTLETGQTFERVAIKEWFDQGNRTCPVTEKMLGYLSVPLTNFVLKRVIDSWKLENCRQTLALAFLIVENSRERGSSSKDEIATFILEQLLKTLSREERIMNTKHLISLGGLQFLIQRFESGNMEEKTRVAAILSCCIEADSGCRYLIAREINKHRLFELVCSKQFKSRTNAVLLLTELICLSRRKDVPLLLSDLQNEEIVNAMHALHVYLQSSPPAQRPLVATLLLNVDLLVEPQKYSLYRQEAVDIITAALNTSLIDEEVREKCCRALLILGGRFSLTGKLLTEGWILKLAGFQDGLEDNSTEKEEDLDVDDTIRLEDEEFANEEWLRNLSASLVGSGKKGFLKAICKCLGSGNLDLVTACLTTIAWFTSALSSLTDAELQLSTFRVLISQLKQSLENGAPIEHKVLASVSLFNFSKISGL >OMO69100 pep supercontig:CCACVL1_1.0:contig12113:28883:46572:1 gene:CCACVL1_19656 transcript:OMO69100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 48 MSSSRGSDQSQPMRKITRTQTAGNLGETAFDSEVVPSSLSEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLQGRVKKSDAREMQSFYQHYYKKYIQALTNAADKADRAQLTKAYQTANVLFEVLKAVNLTQSIEVDREILEAQDKVAEQTQILVPYNILPLDPDSANQAIMRYPEIQAAVYALRNTRGLPWPKDHKRKKDEDILDWLQEMFGFQKDNVANQREHLILLLANVHIRQFPKPDQQPKLDDHALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEDEAFLKKVVTPIYEVIRREAERSKRGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFCLPIEQLHDKTGDNKPATRRWVGKVNFVEIRSFWHVFRSFDRMWSFFILCLQAMIIIAWNGPEPSAIFRGDVFKKVLSVFITAAILKLGQAVLDVILSWKAQQSMSFHVKLRYILKVVSAAAWVIVLPVTYAYTWENPPGFAQTIKSWFGNSSNSPSLFILAVVIYLSPNMLAALLFLFPFVRRFLESSHYKIIMLMMWWSQPRLYVGRGMHESTFSLFKYTMFWVLLIITKLAFSYYIEIKPLVGPTKAVMNVRITNFQWHEFFPRAKNNIGVVIALWSPIILVYFMDTQIWYAIFSTIFGGIYGAFRRLGEIRTLSMLRSRFESLPGAFNARLIPSDKSERKRGFRGFFSRSFGQPPSNKEKEAAKFAQLWNKIISSFREEDLISNKEMNLLLVPYWADRDLEQIQWPPFLLASKIPIALDMAKDSDSRDKELQKRIEADPYMFCAIRECYASFRSIIKFLVEGPREKKVIEDIFSKVDKRIEDGTLIKAYKMSALPNLYEHIVKLIKFLLENKPEERGQVVLCFQDMLETVTRDIMTEDEISSLVDSIHGGSGHDGMILLEPHYPLFDQKKLDQLFASSGAIKFPIDPVTEAWKEKINRLYLLLTTKESAMDVPSNIDARRRISFFSNSLFMDMPHAPKVRNMLSFSVLTPYYTEEVLFSLKELEEPNEDGVSILFYLQKIFPDEWNNFLERVERNSEEELRESPELEEQLRLWASYRGQTLTRTVRGMMYYREALELQAFLDMAKHEDLMEGYKAIELSVEDNKEDRSLKAQCEAVADMKFTYVVSCQQYGIHKRSGDQRAQDILRLMTKHPSLRVAYIDEVEQRNEDRSKKLNGKVNYFSVLVKAVPKSSDSSEPVQNLDQEIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLATVLTVYVFLYGRLYLVLSGLEQALSEQPAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGIEMMILLLVYQIFGHTYRSTVAYVLITLSLWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWINNRGGIGVPPEKSWESWWEEEQEHLQYSGKRGIIAEILLALRFFIYQYGLVYHLDVVKKSTNFLVYGASWLVIVLILFVMKTVSVGRRRFSASYQLVFRLIKGLIFLTFVSILVTLIALPHMTIQDIIVCILAFMPTGWGMLQIAQALRYFVKKAGFWGSVRTLARGYEIAMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRASRSKE >OMO69102 pep supercontig:CCACVL1_1.0:contig12113:52755:53891:-1 gene:CCACVL1_19658 transcript:OMO69102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGSSISYSRSKGQPRCPLREKYLQKKNSELSDRFIPMRSAMDFSYAHYMLTTEGNKIKESEGEPSAYQKVLAEALNMNRTRILAFRKKPPKPVDFFPPENSSTSVHSTKSKPQRHIPQKSERTLDAPDIVDDFYLNGLDWGCNNVVSIALGNRVYLWDASDSSTSELVTVDDEIGPVTSVSWAPDGQHLAIGLNNSEIQVWDSVSNRKVRTLIGCHSGRVGSLAWKNDHILTTGGMDGQIVNNDVRIPSHVVGTYRGHRLEVCGLKWSTSGQHLASGGNDNLVHIWDRSMASSNSPRQWLHRLEDHNAAVKAMSWCPFQSNLLATGGGEGDGTIKFWNSHTGACLNSVVTGSQVSALLWSKNDRELLSSHDLLRIN >OMO98728 pep supercontig:CCACVL1_1.0:contig07042:38171:38509:1 gene:CCACVL1_04081 transcript:OMO98728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRQRGTIDRVRMKGDEAQEGYHVAPRTENTTKHVGSQMQAVAACTSCERSNDPGTWHRLRNEEKKGHAKKVCARWVLGGCIVTMLISSSIPLPESTPLGNRGEGSILKSD >OMO98729 pep supercontig:CCACVL1_1.0:contig07042:38992:39723:1 gene:CCACVL1_04082 transcript:OMO98729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLDSFSIFPKSIIGKIKVQFNGDFINCKYHTRQAV >OMO98730 pep supercontig:CCACVL1_1.0:contig07042:45756:45887:1 gene:CCACVL1_04083 transcript:OMO98730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMNQNLGAQSAAGFVFKATTMWEPKFDFLVSFCLTNNHYKP >OMP11048 pep supercontig:CCACVL1_1.0:contig01638:347:424:1 gene:CCACVL1_00702 transcript:OMP11048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKFVLLVDGEIFLTICTDIAIGN >OMO94566 pep supercontig:CCACVL1_1.0:contig07836:1244:4222:-1 gene:CCACVL1_05922 transcript:OMO94566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKTNQKCLMNSECVNLKHKRNFSICIVAVSFFLVSFVQSKSFPVGIHPLDDKYFAAEVIKCKDGSKSFTKDRLNDDFCDCSDGTDEPGTSACPAGKFYCRNVGSMPQFIFSSRVNDRFCDCCDGSDEYDGGIICPNTCIMGGNVEYKSEDYVSTLSHLHSTEVKERKVRINLEDLIEMLA >OMO53878 pep supercontig:CCACVL1_1.0:contig15121:14318:16225:1 gene:CCACVL1_28269 transcript:OMO53878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIKSPNPSCAFEPLKRFPRATASPMALCLSPSLRLRPPSCRVVLTAPKGLTLGFRSLDRRVSFNQSFVAFAAASSSHEESKHSEIEVEREKDQAKSSSEEESNEAWKQALETFKEQALKIQGVSQEAYEIYSEKALVTLKETSEQLKIQAEKARNDLSEIVKEISEEGKVYLSTAAENSPPEVKEIVETYSSTADDFDDVSKVRDFHVGIPYGFFLSAWGFLVFMLTGSISAIRFGVILGGALLALSISSLKSFKIGEHSDFAVKGQTVIASILFLREFGLFFRRLSLGTFLTTIVSGAVAAFYIYKLLVDKKPGLEPGTEN >OMO53879 pep supercontig:CCACVL1_1.0:contig15121:17643:22141:-1 gene:CCACVL1_28270 transcript:OMO53879 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding protein MREKKSVLLICVWILLWGNCFGKFVVEKNNLKVTSPETIKGVYECAIGNFGVPQYGGTLVGTVVYPTANQLACKTFDGFGINYKSRPGGLPTFLLIDRGECFFTLKAWNAQKAGAAAILVADNQEEPLITMDNPEEDNTSADYLQNITIPSALITKSLGDSIKKALNNKEMVNMNLDWTESLPHPDERVEYEFWTNSNDECGPKCDSQIEFVKNFKGAAQILEQKGYTQFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSTGYDGKDVVIQNLRQACLFKVANESGKPWLWWDYVTDFAIRCPMKDKKYTKECANEVIKSLGVDLTQIDKCIGDTDADMDNPVLKAEQDAQIGTGSRGDVTILPTLVINNRQYRGKLDRGAVLKAICAGFQETTEPAICLSEDIETNECLKNNGGCWQDKNANITACRDTFRGRVCECPIVNGVKFTGDGYTHCEASAALRCEINNGGCWTKTQEGRTYSACLDDNSHGCKCPTGFRGDGVNSCEDIDECKEKVACQCAGCKCKNTWGSYECSCSSGSLYMREHDTCISKDASSEVGWGSVWLIMLGLVAVGAAGYAIYKYRIRRYMDSEIRAIMAQYMPLDNQPNNVHHPDI >OMO71324 pep supercontig:CCACVL1_1.0:contig11668:22654:26183:-1 gene:CCACVL1_18291 transcript:OMO71324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHTTQGKMVIQPNMFLHPVLSFIDSNITLDMFYFFSLISPLFDRIFWTSKKFEGNTDKVEPWFGTPYSTKKISTSMIENWMASAPTDHLQLPAVPNMFLPTNLSLKDVQKKVKFPVLLRKSSYSKLWYKPDTMFSTPKAFVQLEFDLPDARNSSQSQVLKHIFVRLLNDYLNEYVYYAEVTGLYFYIENTFRGFEVTMFGYNHKLNILLRTVIDKIANFEVKPERFSIIKEMITKDIWNFQFRDRSELAEEYFLLILFKDLKWPWKERSEVLPRLKVEHLVEFIPIMFSRAFLECFIAGSFHPFILFLALFYLYFYIIFV >OMO71323 pep supercontig:CCACVL1_1.0:contig11668:8085:11366:-1 gene:CCACVL1_18290 transcript:OMO71323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNFQQRFSSSLNPKRHHLHRTTAGLPRTTVPEGIFAVYRLQNSATASSRHSQPDSNLLSIKNTP >OMO71322 pep supercontig:CCACVL1_1.0:contig11668:5847:5912:-1 gene:CCACVL1_18289 transcript:OMO71322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFAFSELGGNVARGCEVSLM >OMO72404 pep supercontig:CCACVL1_1.0:contig11448:5200:5445:1 gene:CCACVL1_17828 transcript:OMO72404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALPAVNDQEAPEYPPGFAPNQGHGSSTMAGQTFNMPTPPQNTYPFQPQYASQGQYSNPPQVADPTFAPRPPMDTSFLFR >OMO72403 pep supercontig:CCACVL1_1.0:contig11448:3932:4828:1 gene:CCACVL1_17827 transcript:OMO72403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREQLQAEQQVKGDCLPKGHQSRLPVEAYTLKTASFFRDDSGKMKTNWLREKKRKLTILDVDSRLERALTREKKKGRKLELQKAQLKNNANGLEQAVRERANQVQNLEAEKEELWEEQKTIIVVWSLTMQQRAMFRNVFYQAHRNFMCCQYQLDEFLDRLSDVKDKFLDLGRGINGLIDAWDKSEDSWKDALARMRKGVAVARELNKHVEKVYGEAYPTGPVGQKLVNCLQNVISCLDFFIGLFDNDKAIMVY >OMO60108 pep supercontig:CCACVL1_1.0:contig13786:328:399:1 gene:CCACVL1_24397 transcript:OMO60108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNGGRGLAKEGDGGRRQRDYL >OMP05215 pep supercontig:CCACVL1_1.0:contig05481:5104:10715:1 gene:CCACVL1_01999 transcript:OMP05215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE-type MGEADSFASSLNPFDRHVDQAILAMKKGAHLLKCGRRGKPKFCPFRLSTDEKYLIWYSGQEERQLRLSSVMKIVTGQKTVNFQRQLQPDREQQSISLIYANGERSLDLICKDKAQADSWFVGLRAVISRYNNCRSISTLRSRRGAQSCISSPAGFIRRKHNLGLLEDNNQLSQVRSVCGSPSQSLSERCFSDGLSYTSDSFYSSESNLSQKQNVMDTLMPTSPYIEPDNLKQCGIHYPPVEFQKNISRRFVTPMQCSPLKEKNEILKDVMIWGEGVLGGNICGATDISVAQNGFKADALFPKLLESATMLDVHRIALGARHAALVTKQGECFCWGDGNGGRLGHKTNMDISYPKLVESLTGVAVQAVACGEYQTCALTQSGEVYTWGGNFHCTDLVVDVGNTIQWLPHKISGPLDCINVHSISCGEWHTAIVSTAGQLFTYGDGTFGVLGHGNTQSFLQPKEVESLKGLWVRSVSCGPWHTAAIVETMYDHSKLNAIGGKLFTWGDGDKGRLGHADGERKLSPTCVVQLVDLDFVQVSCGRMLTAALTSLGTVYTMGSSIHGQLGNPKAKDKSITIVEGKLKQEFVREISSGSYHVAVLTSGGNVYTWGKGTNGQLGLGDIEDRSTPTLVEFLRDRQVESIACGSSLTAAICLHKSITISDQSACRGCKMPFGFTRKKHNCYNCGLQFCHACSSKKVANASLAPNKSKPSRVCNPCFNHLQKITNSTYLSKLENPTPSQPLTPQRTFLDEKDTKEVLTTKSRLLLSGKHSTYEENQETERKTLKPQSEKLQYLETSSYFSSATPRWGQVPCPVLFEAPHNSLQKASLQSKSAILNSIVVENDLPESNQMLNEEVQRLKAEAKNLEMQCQIGGEKIQECQWKIDHNWSLAKEEAEKCKAAKEFIKALALRLHSMSEKFPAARELKAKFDNHLPTTMPLSTHIQLPMDRQVDSLCGTPIVVSNKLKSMQARDGGNEKDRPLQDSHATQMEPEKNGGKDSKHEWVEQYEPGVYVTFTTLASGQRGLKRVRFSRKRFTEKKAEKWWEDNQLTVYAKYGIEGYSKSNQNQSKS >OMP05216 pep supercontig:CCACVL1_1.0:contig05481:13674:17304:-1 gene:CCACVL1_02000 transcript:OMP05216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVNVVVYETYTSDPIGYSQSTFLQNIDIEDNSCFGFLANQSICRYDKRIHNSTTLDRGHRD >OMO92483 pep supercontig:CCACVL1_1.0:contig08214:15921:16427:1 gene:CCACVL1_06834 transcript:OMO92483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGFSMASSSSPKLKYEVFLSFRGEDTRRNFTDHLYAALVRAGVHTFREDDQLQRGKDISLELLKAIQESKISLVIFSKGYASSRWCLNELVKIIACKNTLGQIVVPIFYDVDPSDVRKQSNSYGKAFAEYEERFAADMEMIKEWRAALTEAADLSGWDLQNVADG >OMO92484 pep supercontig:CCACVL1_1.0:contig08214:32418:35313:1 gene:CCACVL1_06835 transcript:OMO92484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MLRIGSDDVCNRIVGIHGIGGIGKTTIAKAVYNSVCDKFDGSSFLSDIKDNSKLPNGLVTLQQQLLSDILNLKRMIMIDNVDRGINFIKERLSHRRVLLVLDDVDDWKQLIPLVGDRQLGLGSRIIVTTRDEHLLTELEVDERYEVEELNTEESIQLFSWHAFRRPTPKDDYLQLSKSVVDHVQGLPLALEVLGSFLFKRSQLEWRSVVLKLRKIPHDQIHKKLRISYDTLDDQVKAIFLDIACFFIGMEKEYVMTILDGCGFFSVIGISVLLERSLITINQLDHRIKMHDLLRDMGREIVREMSPSQIGKRSRLWFHQDILNILRKHTGTKAVEGLSLDVSAKEDDIVIRTEAFAKMINLRLLKINSVHFTGCYENISKELRWLCWHRCPLQVLPPKLDLDNLVVLDMRFSNVKKVWKDKKYPEKLKILDLSYSVHLVETPNFAILRSLERLQLEGCTSLTKVHKSIGNLERLELLNLGECSNLSELPDSICNLTSLETLNLSGCSKIRSFPKYLGKLQALRTLLANGSDITQLPISLGLLKNLEHLSLAGCKDELPPNKSLFSFFSSRVSPKGAGSSTLLPAAIFSHLSSLKSLNLRDRNLSDSDISIDFGSFPFLHKLDLSGNKFCSLPVGVSNHSRLEYLFLDYCTNLPSIPELPPNLKGLNAQQCKSIIEYPKLSSISGNVLEFVITNCCKLIDTEDWDLRSLSFQGWQSWKYTEDTFSSKHKYLEACFPAREVPDWFEYTGTGSSLLFYMPSYSVPIGERGRGMILCVIFGAVNGECNNSTYASSSLTVLFKNKTKGCETSDRSIYSSMDGNMCQDHAWVSYMLHFIFDDIAADQGDEMEVSVEAHGRIIVKKWGIHLPSD >OMP07686 pep supercontig:CCACVL1_1.0:contig04269:159:260:-1 gene:CCACVL1_01249 transcript:OMP07686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSEIFDLTRAESRSVGFEPTTLGFGDPRSTELN >OMO70796 pep supercontig:CCACVL1_1.0:contig11784:30035:41103:-1 gene:CCACVL1_18656 transcript:OMO70796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMKMEEGGRSVDDRYTQWKSLIPVLYDWLANHNLTDSSVPNTLVIANCEIVKPRIAAAEHISEFNEVARSPFVKKHKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVEAQPNRQPVLGAAFSRPDLILRGHQENAEFALAMCPKEPFVLSGGKDKSVVLWSIHDHISTLALEPGATMSPGSSLTSTKSKGGRNNEKPIDGPVIGPRGIYKGHDDTVEDVQFCPSSSQEFCSVGDDSCLILWDARAGSSPAVKVEKAHNADIHCLDWNPHDANFILTGSADNTVHMFDRRNLTSGGVGSPVHKFEGHSAAVLCVQWSPDKSSVFGSSAEDGFLNIWDYEKIGKKQDTVGYKAANAPPGLFFRHAGHRDKVVDFHWNTSDPWTIASVSDDCGSTGGGGTLQGDPLYVKVNKLSSTKTQLPYDYYYLNYCKPSKILNNAENLGEVLRGDRIENSVYTFEMREDQPCKVVCRKKLDAESAKNFKEKIDDEYRVNMILDNLPVAVLRQRRDGSQSTTYEHGFRVGFKGNYAGSKEEKYFINNHLSFRVMFHRDTETDAARIVGFEVTPNSINHEYKEWDEKNPQVTTCNKDTKNLIQGSTVPQEVDVDKEVVFTYDVTFKESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYKDIANYNQLETQDEAQEETGWKLVHGDVFRAPINYNLLCVYVGTGVQIFAMTLVTMIFAMLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLYKMFKGTEWKRNTLKTAFMFPGILFAVFFVLNALIWGEQSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQIPEQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILIITCAEITIVLCYFQLCSEDYHWWWRSYLTAGSSALYLFLYSVFYFFTKLEITKLVSGILYFGYMCIVSYAFFVLTGTIGFYACFWFVRKIYSSVKID >OMO70792 pep supercontig:CCACVL1_1.0:contig11784:6859:12486:1 gene:CCACVL1_18652 transcript:OMO70792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDSNSKLSVMWKALLVVLLASWVFSVTASVSYDRKAITINGQRRILISGSIHYPRSTPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPAPGKYYFEGNYDLVKFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYIPGINFRTNNGPFKAQMQKFTTKIVNMMKAERLFETQGGPIILSQIENEYGPMEYELGSPGKAYSDWAAKMAVGLGTGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKEYKPKMWTEAWTGWYTEFGGAVPYRPAEDLAFSVARFIQKGGAFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLCEPALVNGDPAVVRLGNYQEAHVFNYKAGGCAAFLANYNPRSYAKVSFRDMHYNLPPWSISILPDCKNTVYNTARVGAQIARKKMVPVPMHGAFSWQAYNEETASDVDSSFTMVGLLEQINTTRDASDYLWYTTDVKIDPREGFLRNGKSPVLTVLSAGHALHVFVNGQLSGSSYGSLEFPKLTYSKGVNLRAGINSISLLSIAVGLPNVGPHFETWNAGILGPVTLNGLNEGRRDLSWQKWSYKIGLKGEAMNLHSLSGSSSVEWAQGSFVTRKQPLTWYKTTFNAPAGSSPLALDMGSMGKGQIWINGQSIGRHWPAYKASGSCGYCDYAGTFNEKKCLTNCGEASQRWYHVPRSWLKPTGNLLVVFEEWGGNPNAISLVRRETDSVCADIYEWQPNLMNYQMQASGRVNKPLRPKVHLECDAGQKISAVKFASFGTPQGVCGNYREGSCHAHHSYDAFNRLCVGQNFCSVTVAPEMFGGDPCPSVMKKLSVEVVCS >OMO70799 pep supercontig:CCACVL1_1.0:contig11784:49768:52989:-1 gene:CCACVL1_18659 transcript:OMO70799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 32 MAFNSSLVGFFLFLALFFGHGIASHHVYKDLQTFQHTSVAANQDQPYRTGYHFQPPRNWINGPMVYKGLYHLFYQYNPKGAVWGNIVWAHSTSKDLINWTPHDPAIYPSQPSDINGCWSGSATILPSGKPVILYTGINPQNKQVQNLAMPKNISDPYLKEWTKSPKNPLMQPTAQNQINASSFRDPTTAWLGPDKYWRVVIGNKLNHEGRAILYKSKDFLNWVQAKTPLHSVEGNGMWECPDFFPVSINGQNGVDTSVIGQSVKHVLKVSLDDTKHEYYTIGSYDITKDVYSPDKGSVESDLGLRYDYGKFYASKTFFDSVKNRRILWGWINESSSQADDIKKGWAGVQAIPRKIWLGKSGKQLIQWPIVEIEKQRENHVTIPKKLLKGGSVIEISGVTAAQADVDISFKISDFEKAEELKPSWTNPQLLCSQKGASVKGGLGPFGLLALASKDRKENTAVFFRIFKGQNKYVVLMCSDQSRSSLNEDNDKTTYGAFLDVDPRHEKLSLRSLIDHSIVESFGGGGKVCITARVYPTLAINSNAHLYVFNNGSEAVQIEKLNAWSMKKAKLT >OMO70795 pep supercontig:CCACVL1_1.0:contig11784:26661:29478:1 gene:CCACVL1_18655 transcript:OMO70795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNLIKSGCDVTVWNRTKSKCDPLINLGANYQSSPKEVAANCDVTFAMVADPESAIDVACGKNGVASGMGPGKGYVDISTVDGATSKLINEHIKATGALFLEAPVSGSKKPAEDAQLIFLKAVAPLLDIMGKSRFYFGEVGNGAAMKLLVNMIRGSMMASFSEGILLSKKVGLDPNGLIEVLSQSAISAPMYSHKGPLMVKSLYPTEAPLKHQQKDIRLALALAESVSQSTPVAAAANELFKVAKSYGLGDADSSAVIEALKAKSFSRLDSA >OMO70797 pep supercontig:CCACVL1_1.0:contig11784:42620:43719:1 gene:CCACVL1_18657 transcript:OMO70797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEGADGSTLALKSGSRTVFGRGSGFDTSDRTVSRHHVLLQLGAPVVQNGETQKEPRVSFEVTGKNPVWVRSETNGEVKVFKKSEKGELADGYWFCVSAQIPVWFAVKKTEENGKEEAEEREIGSESGAESVDIEDIDPVKEFGFLVIGHEFDQYPNQRIHKVTNWDWFLEESGKGNYSEDDETVEERRRVGKGKKRRKGDNEDDDWTGESEEEKEVVTKGRKVQQAAYSTRSNDRDKSKKGGGKNRSSVLKKTAAARGEDDLDEDDETLGGFIVGDDDAELEEESELDEEEEEDFDDDDDE >OMO70793 pep supercontig:CCACVL1_1.0:contig11784:15869:19172:1 gene:CCACVL1_18653 transcript:OMO70793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFFFFSFSLSFFFFFFYFYYRKHHKQQQQLQEQEGKSQNETTLLNRSLSDIVDKKPQTREKDPTHLHHSLLLEILPSDSAKWAGLFTAEDRRGGDTGQVGSGGESGRCESVGGGDQTTKKKKKRGKKKRLYSKGEEENGEERCSFVEEEKKKREESGQGRPGSRLKPELVCLYPFTSTSSATQRKIKQHYDQLVKCHENRELTLAQVGEFANCLIEVRNELQQKSEVIKRKFTITKALLFKADRSTFDRLRQQIYKLEMEQKRLEEDAFVYNWLQQQLKLSPAYKKMLEIGACMELKAKSGELMDDKDTEFADISFEELLAQEKKDSFWQKNGKSRLSSN >OMO70794 pep supercontig:CCACVL1_1.0:contig11784:20067:23157:1 gene:CCACVL1_18654 transcript:OMO70794 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, NADP-binding protein MALSSTFCPRIPNKFRYTPISSFPSKPLLSLSFRTFASLASDASSQADKFSGRVGFLGLGIMGAPMAQNLMKAGCDVTVWNRTKSKCDPLINLGAKYQSSPEEVAANCDVTFAMLADPESAIDVACGKNGAASGMGPGKGYVDVSTVDVSTSKLINEHIKATGALFLEAPVSGSKKPAEDGQLIFLTAGDKSLYDSVAALLDIMGKSRFYLGEVGNGAAMKLVVNMIMGSMMASFSEGILLSKKVGLDPNVLVEVVSQGAISAPMYSLKGPSMVKSLYPTAFPLKHQQKDLRLALGLAESVSQSTPIAAAANELYKVAKSYGLSDEDFSAVIEALKAKSQD >OMO70798 pep supercontig:CCACVL1_1.0:contig11784:45211:48601:1 gene:CCACVL1_18658 transcript:OMO70798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein (NAP) MSNDNADNLDVSDLHAAIPAIAAAMSAEDRAGLVNALKAKTLSPNVRKRVEALRDIQSEHGELEKKFSEEIAALEAKYQQLYEPLYTKRYEIVNGVTKVEGEINEAVTNEGDKGAEEKGVPDFWLTAMKTNDILAAEISERDEGALKYLKDIKWSRVDDPKGFKLEFLFDSNPYFKNSVLEKTYHVVDDGNEPILEKAIGTKIEWYPGKCLTQKVLKKKPKKGSKSVKPITKVEDCESFFNFFNPPQIPDDDDDLDEIADELQDRVEHDYNIGSVIQEKLIPHAVSWFTGEAVQGDEFDGLEGDYDDSDDEDGDEEDEDEDEDEEEESKGKKKRGGTAKAGHAQQTELPPQCPQQ >OMO84765 pep supercontig:CCACVL1_1.0:contig09703:27370:27498:-1 gene:CCACVL1_10668 transcript:OMO84765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGGLVGKEWDIGTTETPLKTLFGFHDNFSVTVTITFMVR >OMO84766 pep supercontig:CCACVL1_1.0:contig09703:50455:51031:1 gene:CCACVL1_10669 transcript:OMO84766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGQKKTTTSSRVLGYQSSRGFQPQSNPRLQPLSNPRLQRQASIGSQSQQSVELQDEPSVSSQSQRGRYGRSYVQWNREINMAYESGLLWDNEKKIVLFTSDTLHIWENYLKVCYFVCYLCNTGQHAENFEDAIDSMAAEAEDEMNSPPTQIPAQQDSTESSS >OMO84763 pep supercontig:CCACVL1_1.0:contig09703:10098:10166:-1 gene:CCACVL1_10666 transcript:OMO84763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSNHKIIQIILKRKSAKSETK >OMO84764 pep supercontig:CCACVL1_1.0:contig09703:23741:26376:1 gene:CCACVL1_10667 transcript:OMO84764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEMLTTGEFCCQHAKQVSWQRWYKGCTSKAAMELAMELRSAVENKFRKRQAALKINEVVE >OMO50654 pep supercontig:CCACVL1_1.0:contig16093:20613:20792:-1 gene:CCACVL1_30329 transcript:OMO50654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRDGINNRGTRRFVGGEAEAEEATPLPSLVRGYEACALICSKISSFPITAKTQRFAN >OMO50656 pep supercontig:CCACVL1_1.0:contig16093:26412:27063:1 gene:CCACVL1_30331 transcript:OMO50656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYREIEGKKARVNFTFSKIHFYASSTQAIGREPFTGCYYHVTTHSHSVRKLTLTPKKARDIHSAKSAPTMINR >OMO50655 pep supercontig:CCACVL1_1.0:contig16093:23799:25885:-1 gene:CCACVL1_30330 transcript:OMO50655 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-lactamase class C 6-aminohexanoate-dimer hydrolase MGKKELNQLAQEQLQLLLRKLRKLCRLRGHIMACAFAPADYNRAASLYNIPIVSRGEIELLYGPEAETEILISRCAESFGKSKEKRGDQITCLL >OMO50653 pep supercontig:CCACVL1_1.0:contig16093:18945:20294:-1 gene:CCACVL1_30328 transcript:OMO50653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S17 MAEQTEKAFLKQPKVFLSSKKSGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRILAGTCHSAKMMRTIIVRRNYLHYIKKYQRHDPALYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFTGI >OMO50652 pep supercontig:CCACVL1_1.0:contig16093:13875:15950:1 gene:CCACVL1_30327 transcript:OMO50652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLTNLNLATWSVSKVTEIKRRILEGFTSIKHLRHVHAALFRFGLHQDNYLLNLILKASFHFGDQTKYSCLIFNHTQQPNIFLWNTMIQGLVANNCFLHATQFYASMRTQSLLPNNSTFPSVLKACARLLDFQLGIRIHALIVKLGFDRDVFVNTSLLCLYVKCGYLDLAHKVFDDIPEKNVVSWTAMISGYIDAGQYGEAVDMFHKLLDKGLRPDSFSLVRVISACTHLGDLNSGELIDECITRFGLSRDVFLATSLVDMYSKCGNTEKARHTFDRMPEKDIVTWSAMIQGYASNGFPQEALDLFFRMQKEKLVPDCYAMVGVLSACARLGALQLGDWASKLMDRDEFLSNPVLGTALIDMFAKCGSMVQAWEIFKWMKVKDLVVWNAAMSGLAMNGHVKVAFGVFGQMEKLGILPDGNTFMGLLCGCTHVGLVGDGRRYFESMSRVFSLTPSIEHYGCIVDLLGRAGLLNEAHQLIKNMPMEANSIVWGALLGGCRLHKDTQLAEHVLRKLIELEPRNSGHYVLLSNIYSASKKWDAAAKIRSIMNERGIQKVPGYSWIEVNGVVHEFLVGDKSHPSSEMIYTKLHELANKLKAAGYVPTTDYVLFDIEEEEKEHFLGCHSEKLAIAFGLISTAPTDVIRVVKNLRVCGDCHEAIKLISKVTGRVMIVRDNNRFHHFIGGSCSCGDYW >OMO57122 pep supercontig:CCACVL1_1.0:contig14438:27651:27851:-1 gene:CCACVL1_25970 transcript:OMO57122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFPSHSVSKITVEFRFAFLT >OMO57130 pep supercontig:CCACVL1_1.0:contig14438:79244:84605:1 gene:CCACVL1_25979 transcript:OMO57130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFARAENALKRADELINVGQKQDALQALHDLITSKRYRAWQKTLEKIMFKYVELCVDMRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMHLSTEKAEQARTQAQALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKIATELELWQEAFRSVEDIHGLMCMVKKTPKSSLMVVYYAKLTEIFWISASHLYHAYAWFKLFTLQKNFNKNLSQKDLQLIASSVVLAALSVSPYDQTRAASHLELENEKECKIRMANLIGFNLDPKAENREVLSRSSLLSELVSKGVLSCATQEVKDLYNLLENEFLPLDIASKIQPLLTKISKVGGKLSSASSVPEVQLSQYVPALEKLATLRLLQQVSQVYQIMKIESLSQIIPFFDFATVEKISVDAAKHKFIAMKIDHMKGIVMFGKTDLESDGLRAHLTSFAESLNQARAMIYPPLEKASKLAEILPGLGEVVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESKRLKLQKITEEAEQKRLAAEFEQRRAERIRQEIEERELEEAQALLEETEKRLRKGGKKKPVLEGEKLTKQVLMERALTEQLKERQEMEKKLQKLGKTMDYLERAKREEAAPLIEAAFQQRLVEEKLLHEREQQMEVELSRQRHDGDLKEKNRLARMFDNKIIFQERVNSRRQSELSRRREEREQRINQIIQARKQDRELKRKKIFYVRSEEERLRKLREEEEARKREEAERRRKEEAERRAKLDEIAEKQRQRERELEEKERLRRESLLGRSTDGLSRPSEVPAVSRSSEPAAAAPAPGKYVPRFKREKVEGSAPAPPSEPDRWGSGSRAPPSQSDRWGSGSRAPPQDGASRPLPSDSDRFGGSRATQEPDRWGGGSNSSSKPEPWRPSRARNPPRG >OMO57131 pep supercontig:CCACVL1_1.0:contig14438:87119:87319:-1 gene:CCACVL1_25980 transcript:OMO57131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSERRAKSEKTETHILTLLRAQLFSRDTANLSLPQVHLLYRRTFWAVQWPVFFLVAMEPRRKWR >OMO57123 pep supercontig:CCACVL1_1.0:contig14438:28517:29448:-1 gene:CCACVL1_25971 transcript:OMO57123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCITKGNSREKSSTEICNGQGQVGDDSENVFCEDGQSSESVTNVSPSNGTPNDNESSDS >OMO57124 pep supercontig:CCACVL1_1.0:contig14438:34593:35978:1 gene:CCACVL1_25972 transcript:OMO57124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonsense-mediated mRNA decay NMD3 family protein MSETEVDITEGLRKHVIIRYCPECVSYLEPPRTWIKAQLESKELLIFCLHRLATDFRKVRPVSAEFICTEPHSKIIKIRLNVEKVVLNGAILEQSYVVEYARQDLMCESCSKPNPDQWVAAVQLRQHVSHWQTFLYLEQLILKHDAAFHAIKIKQMDQGIDFFFAKMNHAVKFVEFLDKIAPIKSTTVKQLVNSNNYYKYTLSVEICPICREDLICLPPRVAASLGNIGPLVICTKVTSSITLLDPFTLRQCFLDTDQYWRHSFKSLLGSRQLVEYDIFNIKVVSPEYSVGGSKYVLVDAEVARVTDSRKLFHIRTHLGHILKPGDRALGYDVLYGVNSNDMVLDKLKVSSVLPEAILIKKSYEQKRQKEHGKPRSWKLKSLDMELDKSKGRGDEEKMKSDYEAFLRDLEEDPELRFNILLYCNKDYQPSEMASMSDVDVDVASIPLEELLEEDEEDGMRE >OMO57134 pep supercontig:CCACVL1_1.0:contig14438:106022:107365:-1 gene:CCACVL1_25983 transcript:OMO57134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETPPSIDSFQHSSSTRGENMEEFGSPGPYTQELLQESQPFLHFLGLSSSARGENMEFESPVVKTQKPLKKDSSVDNISRLPDEILTHIFSHLSTKEVIRSSILSKRWEHVWTLAPNVDFSDHFRYSAPVDKAKFSLFKGFVDGVLFHHGGPIKKCFLNCATLHSAPYIYAWISAVLSCQVEELTVYSSYSGIKELPWDLFICKTLVSLKLFGGFVLDLPYYVCFPCLKKLHLDSLIYVDDSSMERLFAGCLVLEELHLKRVRGDCVLIANISVPSLKRLYLVVLNLEHIADHEYKTIINAPCLEYLEISDPASKDYSVNFSASLVQAKILDSPMLVRGIANVQILKLYGEAVKVCLQPTTSRCSEYCEIEFMS >OMO57119 pep supercontig:CCACVL1_1.0:contig14438:6121:9398:-1 gene:CCACVL1_25967 transcript:OMO57119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase 1 MEAKSGSSTSATTILLHNAVIVTMDSDSRVFQNGAVFIDKDKIKAIGQSPDILHQFSHLAHHTLDLHRQILLPGFINTHVHTSQQLGRGIADDVDLMTWLHHRIWPYESNMTQEDSYLSTLLCGIELIHSGVTCFAEAGGQHVEGMARAVELLGLRACLTESIMDSGKGLPASWGTRTTDDCIQSQKKLYEKHHNTADGRIRVWLGIRQIMNATDRLLLETRDVARELKTGIHMHVAEIPYENQVVRDTRNVDDGTVTFLDKIEFLQENLLAAHTVWVNDTEIGFLSRAGVKVSHCPASAMRMLGFARIREMLNAGIPVSLGTDGAPSNNRMSIVDEMYLASLINKGREVYAKGTTDPTALPAETVLEMATINGAKSVLWDDEIGSLEVGKKADIVVVNPFSWSMVPLHDCISNLVYCMRTENVVSVMCNGKWIMKDKKILNVDECRSDSNAFEFI >OMO57128 pep supercontig:CCACVL1_1.0:contig14438:57293:67028:-1 gene:CCACVL1_25977 transcript:OMO57128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLLGLSRGEWEVSPREITTSRTPLASDSGENGWLIRFFDSAFFCEWIAVSYLYKHEHAGVRDYLCNRMYTLPLPGIESYLFQICYMMVHKPSPSLDKFVIDMCSKSLKMAMKVHWFLLAELEDSDDNEGIGRIQEKCQIAATLMGEWQPLVRPPNISSSPGSKNQVLNRILSSKQKFLSLTSSPPTQKSLSFSPSSGNHLQEDGGNQISTPEENKIFKKFIPGPKVRDALLFRKSAEKDDEENDKDGFFKRLLRDSKGGEDEELTSSSDGFFKRLLRDSKGEEEDVTSSSEGFFKKLFRDSKSDSDDKSVSKPSEDDEKDGFFKKLFKDKFEDKKDVNDRTDEEGMDKFEDKKDGNDRNDDGEEEESSDFSLFRRLFRVHPEENRTSTANEFSNNGGLFESSPGTENFFRKLFKDRDRSIEDSELFSSKKHKEKHPGSPKQQNDRSNAKPPLPNSVSQFRKGAYHDSLDFVLSLCETSYGLVDVFPIEDRKTALRESLAEINLQVAEAQNNGGVCFPMGKGMYRVVHIPEDEAVLLNSREKAPYMICVEVLKCELPSSTKDASNAQKLSRGGIPLANGDALLPKPPPWAYPLWTTQEVYRNSSDRMSSSTAQAIDQAMAHNSEAKVKFVNLSFSVEKRSVSKAESIEGSGSQSGIRRGISSAVSLQGEEDFTHKLRDAHASDLEWVRVVLTDPGLRMEDIEGQGAPRRKEHRRVPSTVAIEEVKAAAAKGEAPPGLPLKGAGQDSSDAQPRANGGMPKAGDALSGELWEVKKERIRKASIHGKLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASLHSIKSRYPNISSLRDFFSAKYQENSSSFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLLDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >OMO57133 pep supercontig:CCACVL1_1.0:contig14438:96163:101442:1 gene:CCACVL1_25982 transcript:OMO57133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAGVRTEENLQLASKFQSFYLQVSKSAKEDTELICNKNSRREERTKSCEKEEEKPKNLFIFHTSEIKSRNSSPEQKLNDTISMPYKYRSLAYAATSCQVKRQRSIFHLPPDFFDSCRLLPPSFQSLSISESDNDSLEIHSDSGKENSSRSGVAVPRLTCNTCKAKFDSLQDQRSHFKSEIHRFNVKLKIAGKDIVKEEDFDELTADSFKDYEAGSEDGADEVAAPRNDAHKSSIGNIRQKLFIRLKTGERVSIWKSLILNASESVSYGKNNYKQVGNLREIEVIERLKASIQEDRDNLRIVLLSTGGHFAGCVFDGDSVVAQKTFHRYVVGAKAGKKQSSKDGTGKAAHSAGAALRQYNERALNKEVQELLAAWKPYFDASSCVFIHAPSSNRRMLFDGDLVSVTGSVLLEMFH >OMO57118 pep supercontig:CCACVL1_1.0:contig14438:3118:4224:-1 gene:CCACVL1_25966 transcript:OMO57118 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MDFPFYGNAWNASSRPRYAHSFRRSPVEVHPKVTTPPPQVVKPKVVSIPVHFVGSEQRVPDSSDSAVRSVGSERSRFDPSHSAVKIQKVFRGFLVRKSMKKIKAIREEVNDIERRVSNKETVDLLRNDSKERLKVNEMLMSLLFKLDSVRGVDSGVRDCRKSVIKKAIALQELVDAIVSGQQSLDSNNDNDEPSPDSNNADETPTQQTQDEITNDAEFMPNFSESEVIHETVESSKEMVDNQELEEASMETSQTESQTDSSANPENVADEEEESKEETNNNSNKEESVENKRSKELLMRMMEDNDKMLGLMAKLFERNEQQMQMLSSLSQRVEQLEKAFLCEKLRRKKRRSAADCVERSPDLKKCGKR >OMO57132 pep supercontig:CCACVL1_1.0:contig14438:91443:94834:1 gene:CCACVL1_25981 transcript:OMO57132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-tRNA ligase, beta subunit MEDFKKIDWDLVYGLEVSCRFSQNTMTRHRREGKKIWGFIRKDQTTMEESKGTDSDNLSILAEVSSGFGVFRKKNPLSKEEKPSLVAKENGLKMVNTVFSLCANRLLSAEALLGITLPRFSGDLLPKSDVGIILAMANRLNSLVGLFAAGCQPNSTNDPFGLRRISYGLVHQFVTWRLEQYLVDDAAFETNEEKALQEAFLSVKNKVHPGIEIDDFIEISADLVQPICDG >OMO57121 pep supercontig:CCACVL1_1.0:contig14438:23076:24532:-1 gene:CCACVL1_25969 transcript:OMO57121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSIVSRQVVVARNFIASQVLSQSQKPLPKTSLYHTPRFLSHFSANPSDDSSPGFAENGDSQLENFAPGVEADAMELPSSVGDETQMDGFVPGDDESHMEQKPQLMRLMSTSYICSDPKKKVAYDLWDLLKEIGEKENCGCFNELIAFFSKLGKGKAAMEVMLDEENLPEGEQMGKIIYLGFVKGGKAKDAHTVHFLISSLCKKDDTVKLGREMLDGFFGEARKCAIKTFSGAHLLEMQLLIQSSMAISKSGDMDNAKEMIKLMEDRGLKPDVYTYTVVMSGYANCGQILDEACQVLAEAKKNYVKLSPVTYHTLIRGYCKIEEFDNALKLLAQMKDFGVQPNVDEYNKLIQSLCLKALD >OMO57126 pep supercontig:CCACVL1_1.0:contig14438:48248:49054:1 gene:CCACVL1_25975 transcript:OMO57126 gene_biotype:protein_coding transcript_biotype:protein_coding description:2S globulin MEYIGATGVPVKFDSVPIEDGIDFHFILSFAIDSDPSGNAQNGKFSPYWADTLTPESVANIKNQHPNVKAMASLSGWSIGNKVLRWYNPQNTQLWISNAFSSLKSLIEEYHLDGIDIDYENFPQRNSSFAYCIGELITLLKNQSVISVATIAPFHTTTVPYIELFDNYGDVIDFVNYQFYTDKVRQPKGYLRAFELRADQFDKDKLLPSYEVNGRGIQADASASNGYYYEKKSQDFLLNSTSV >OMO57127 pep supercontig:CCACVL1_1.0:contig14438:49739:52011:-1 gene:CCACVL1_25976 transcript:OMO57127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase, class-II METVVVIVGAGPSGLATAACLSAHSITHVILEKEDVYASLWKKRTYDRLKLHLAKEFCALPFKPFSPDSPTYIPKDMFVEYLDEYVATFNIQPKYRRSVETASFDEVDGKWKIHARNLESGEVEVYVAEFLVVASGENSAQNVPEVPGMETFKGEMIHSNQFKSGLVYENKDVLVVGCGNSGMEIAYDLCNHGAQTCILIRNPVHVLNKEMVRIGMTLAKYVPILLVDFVMVTLGWIKFGDLSKYGIRRPKSGPFFLKATAGRAPVIDVGTIGKIKSKEIKVIPNEISSIKGQKVQFENGAEKDFDAIVFATGYRSVANDWLKDYEYVLNENGMPKNEIPNHWKGTNNLYCCGLSRRGLFGVSMDAKAIAEDINKVVTAKMGEKSKLL >OMO57120 pep supercontig:CCACVL1_1.0:contig14438:22098:22704:1 gene:CCACVL1_25968 transcript:OMO57120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MTDGGVDRSVECTGSINAMIAAYECVHDGWGVAVLVGVPNKDDAFKTHPVNVLNERTLKDTFFGNYKPRSDLPLVVEKYMNKELELEKFVTHEVPLSEINKAFEYMLRGDGLRCVIRMDA >OMO57129 pep supercontig:CCACVL1_1.0:contig14438:70424:77708:1 gene:CCACVL1_25978 transcript:OMO57129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLGEIPFGLDFHPSKELVAVSLITGDLHLYKYKNDDSSLERLLDVRAHSESCRTVRFINGGQAVVTGSKDCSILATDVETGSVIARLENAHENAINSLINLTDSTVASGDDEGCIKVWDTRQRSCCGSFNAHEDYVSDMNFIPDSMKLLTTSGDGTLSVCNLRTYKVQTRSEFSEDELTSVVVLKLVMETKASVRFTLGKQSSMAPERNRGETDGNEKEEAAEIDGVRLMYLANEGDLDGIRELLDSGINVNFRDIDDRTALHIAACQGQTDVVSLLLQHGANVDPKDRWGSTPLADAIYYKNHDVIKLLEKHGAKSLMAPMHVNHAREVPEYEIDPKELDFTNSVDISKGTFCSALWRGTEVAVKKLGDEVLADEDKLRAFRDELALFQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDFRLFLDRKGALKPVTAVKLALDIARGMNYLHENKPAPIIHRDLEPSDRFVDLSPNSVEALLKLDEDRLITGSENGLISLVGILPNRIIQPIAEHSDYPVEGLAFSHDRRFLGSISHDQMLKLWDLDDILQGSANATKGQATMSDSDSDEMDVDDNPSQSKKGTKVKNANKGAASNFFADL >OMO57125 pep supercontig:CCACVL1_1.0:contig14438:39402:41275:1 gene:CCACVL1_25973 transcript:OMO57125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQLAHALRACAAAEARAAVSEILEIDGGPSSTSTNEAELKPKWEVERSTLEESRKSAEKKYDGT >OMO63739 pep supercontig:CCACVL1_1.0:contig12902:3534:3593:-1 gene:CCACVL1_22316 transcript:OMO63739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFWIVSQKSIRTQVILIDK >OMO61087 pep supercontig:CCACVL1_1.0:contig13602:271:3897:-1 gene:CCACVL1_23747 transcript:OMO61087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MARSVSIWFVLATILCSLTAISAEESESKEFVLTLDHSNFKETVSKHDFIVVEFYAPWCGHCKNLAPEYEKAASILSKHDPPIVLAKVDANEEANRDLATEYEVQGFPTLKILRNGGKNVQEYKGPREADGIVEYLKSQTGPASAEIKSAEDATKLIGDKKIVVVGVFPKFSGEEFETFMALAEKLRSDYEFGHTLDAKHLPRGDSSVTGPLVRLFKHFDELFVDFKAFTVEALEKFVEESSIPLVTIYNNDASNHPFVIKFYNSPNAKAMLFANLNDESLDSLKSKYREVAEQYKGQGIGFLLGDVEVSQGAFQYFGVQESQAPLIIIQNNDGKKYIKPHVKADEIAQWVKDYKEGKVPAYVKSEPIPQENNEPVKVVVADTLEDMVFKSGKNVLLEFYAPWCGHCKKLAPILDEVAVHYEKDPNVLIAKL >OMO61088 pep supercontig:CCACVL1_1.0:contig13602:6302:11716:-1 gene:CCACVL1_23748 transcript:OMO61088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGSSKVDDLPLVTLCKERKELIKAASIHRFALAGAHVTYFHSLRDVGEAIRKFVDEELVVGSSSSVDSPVLTLPSDEFKSAKKNRNKDDSLPSSSSSSLSHSHSHSIEENSKHTKKDKEESDGEGFHLDLSSGTPSISGSRSGSGPGSPSGHVQRDHSHNHSPEQGPGPYGYNYGYGPASGSGYGYGYGYGYGNEFPYPPTQENWGFNGNSTSYTYYMKKSATPSQSFVYQEPEGHSSYGYSTYQNGGFYGFPLGSPRGEYGYGQRSSPPGPPQPPPAPPSPPSVSPWDFFNVFDSFDNSGYPGYYPAARYGYGSTTSSPDSKEVREREGIPDLEDETEPEMLRAAHREKREVMNEEMNQNYKNDKSNKNRNFGEGTSKAVPVQNINGASATNEPISSSKAIPSSKSESFESGAHININTSSGSADTIVTKSSEEESAKTKRVSFEVEEVSNMDVESSMPSSLTTLSVHGSRDLQEVVKEIRDEFETASSYGKEVAVLLEVNKLPYQQRKGTGFRGSVSVLFSVLFLLLDACNSACFHRKSVVFSRILYLVAPNKLSSHPPPRLSVRLTSRTKKMAKEYCPVVEQDEKHRNLSSTLEELYAWEKKLFKEVKDEERLRVMYEKQCNRLRMLDGQGAEASKIDATQASIRKLLTKINVCIKAVEAISARIHKLRDEELEPQLIKLVHGLIRMWKSMLRCHQKQFQAIMESKVRNLRANTGFQRESGLKATIELEMELLDWCTRFNNWINTQKEYVRSLYGWLMRCIEREQEITADGVAPFSPGRVGAPPIFVICNDWYQAMDRVSEKGVANAMHNFASSLHQLWERQDEEQRQRTRAQYLSKDFEKRLRELRLQRQRMEQEQEALSDKTAVSKVPSESGVSPLDDLKVDLDSMRKKLEEERSRHKDAIKLVHDAASSSLQAGLVPIFEALGNFTSEVLKAHEQMYWLLLDVLVVSRLYGEEDCRLEVDL >OMO96080 pep supercontig:CCACVL1_1.0:contig07534:418:786:-1 gene:CCACVL1_05077 transcript:OMO96080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoesterase MENRSFDHMLGWMKKINPEINGVDGTQWNPLSTTDPNSKKLFVNNQAHFVDPDPGHSFQAIREQIFGSNDTSANPPPMNGFAQQAYSMDPSTNMSHSVMNGFNPDMVAVYNSLVSEFAVFDR >OMO96081 pep supercontig:CCACVL1_1.0:contig07534:3856:8287:-1 gene:CCACVL1_05078 transcript:OMO96081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MMIVQMDKMSAPSSRERAQRLFEKNLELENNRRRSAQARVPSYPNAWQQMRENYEAIILEDHAVSEQHNIEYALWQLHYKRIEELRAHYTAALASAGSNASQGVKVAPRPDRLTKIRLQFKTFLSEATGFYHELILKIRAKYGLPFGYFSDDSESQIVMDKDGKSADIKKGLVSCHRCLIYLGDLARYKGLYGDGDAKSREYAAASIYYLQAASIWPSSGNPHHQLAILASYSGDELVAVYRYFRSLALDNPFSTARDNLIVAFEKNRHNYSQLPGDVKTPLAKEPATRLPGKGRGKVEAKVASKDANMESSPAKEKVSGVQETYKYFCIRFVRLNGILFTRTSLETFADVLTLVSRDLCELLSSGPEEVLNFGKDAAENALLLVRMVSILIYTVYNLKREGEGQTYAEIVQRAALLQNAFTAVFELMGHVVERCLQLQDVSSSYSLSAILVFLEWLACCPDVAATGSDVDDKQLVTRSLFWKHCISFLNKILSIRPMCIDDDEDETCFFNMSRYEEGETENRLALWEDFELRGFLPLVPAQTILDFSRKRSFVSDGDKEKKARVKRIVAAGKALANVIKVDQKTVSFDSKAKKFLIGVEPLDDVTFNPSALLETKSVEHETPSQKTINIGNAQPIAQTRIVGEEEDDDEVIVFKPPAVSEKRAEVISPNWPPSETLKLNQSNSAGDLKFYGSTISAPLDSLRQHSPLLPVSAGSILPQHLQAVQMHTSRWPVEETTSLANSLKGMTLLENGHLTKPELQDNFGLSHATARSVAIQQPISSSAGNMYYSQIKVPESVMPSRIEAIVSSGVTGDVLAAKTTSVSQAGLRKNPVSRPVRHLGPPPGFSPVPPKPQSESVSASVIENPLMDDYSWLDGYQLTSSLKDSGLDSSINHVSHADSHYVNNSSNGLTGTVSFPFPGKQVPAVQFQMEKQNGWQDYHALEHLKVQHEQKLQQQQLMNGNQQFASLPEQYQGQSVWTGRYFV >OMO51000 pep supercontig:CCACVL1_1.0:contig16004:8991:10243:-1 gene:CCACVL1_30076 transcript:OMO51000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MASRGHILLQLFVFLGLATTAFSLSPNYYASICPQALPTIRKIVEAAVYNERRMGASLLRLHFHDCFVNGCDASILLDPTPTIDSEKNANPNQNSIRGFEVIDQIKMEVDKVCGCPVVSCADILAVAARDSVVALGGPSWRVRLGRRDSTTASRTQANLDIPSPFMDLPALIDNFKSHGLNERDLVALSGGHTIGFAQCFTFRSRIYNATNIDPSFAEERKLTCPLNEGHTNLAPLDPTFEIFDTAYFNSLVNKKGLLISDQALFNGGSTDELVKTYSLYPEAFQYDFAKSMINMGNIKPLTGDQGQIRVNCRMVNP >OMO93267 pep supercontig:CCACVL1_1.0:contig08111:8913:11020:-1 gene:CCACVL1_06556 transcript:OMO93267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit alpha MNGWGKVNKPNLGGNKRMAHFPANFARTVSSTPKMPLPNTSMMSTSDEERRKDLKRTLILKELHKSCQREDSTDDQKKGSQLLEVYAIEIQMYSETKNNKKGANESSKQSRSERKSLKALLKLGIKIDVYKSLNSETCFIFGGTKMEDLSSQLQTQAAQLVRMTDMGSVMAKLDASTAAAEAPADEEEEEVDGTGVEPRDIDLVMT >OMO93269 pep supercontig:CCACVL1_1.0:contig08111:16772:17461:-1 gene:CCACVL1_06558 transcript:OMO93269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWSAIAAHLPKRTDNEIKNYWNTHIKKRFTKMGIDPTTHKPISDLLSSAAGGGSNTGTLSHMAQWERARLEAEARLVKGSKKLVPNKPSLQMKRSKALAAAPPSRSECLDVLKAWQSVVAGMFGINNTYNNLEPQPPPPTTMFLGNPIDLTAVACSNMGGTGEFMSDDKPNQNLPELNERFDNSMCLHDTTYQNWTASAAGNNNMVEDFWDISVHDFDYPMEEGNSH >OMO93265 pep supercontig:CCACVL1_1.0:contig08111:741:4285:-1 gene:CCACVL1_06554 transcript:OMO93265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEWTEAYVDYDGLKSILQQILHYKLSKQPETHLRSLNKKLSLHRTLSGLHLHHGNEGDVEDQVKEVDKLQKDDSGSGHEFYKTKSLGESGEGGEIEVEFFRKLDEELNKVNTFYKEKVEEVMDEAALLNKQMDALIALRFKVQSSDGNGACLKKHPSADILNTTSEEMGFNDSISEVEMSGKSSLEESSNSHNGSGNMGENLQRNNNQDEESASDPEFNPLHNIQQSNGNQEAKNPLEVLEGVKITNTLDSPMSTIKGIFKDSKHDELCFEKAEVKKVEERLREVFIEFYQKLRLLKHFSFMNLSALSKIMQSYEKITSRRAARSYMNIVDNSYIGSSDEINNLLERVEATFIKHFSNSNIKEGMKSLRPKVRKEKHSVTFYSGFFFGFAIALLIAVVLRIETKNVMDKEGASYMVNIFPLYSFFAYIVLHMLMYSADIYFWRRYRINYAFIFGFKQGTELGYREVFLLSTGLAVLVLACFLGNLHLDLASKSQNLKTLTGLFPLALVAIVLVILFCPIDIIYRSTRFFFIKSLFHCLCAPLYKVTLPDFFLADNITSQIQAIRSLDLYICYYGLGELSRRESKCHGHGAYNVLYFAVAVIPFWLRFLQCLRRVFEEKDGLQGYNALTYFMNIVAVLIRTAFELKKGTTWLVLALLSSAVAVIINTYWDLVVDWGLLRRHSKNVFLRDKLLVPYKSVYFGAMALNIVLRVAWMQLVLEFNLHSLHKVAITTVISCLEIIRRGIWNFFRIENEHLNNVGKYRAFKSVPLPFNYDDEDSKKGD >OMO93268 pep supercontig:CCACVL1_1.0:contig08111:12550:13911:1 gene:CCACVL1_06557 transcript:OMO93268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAATTASATATMKAVNFPTASTISRATVSATLASPWPQNKLKMLTLYAPNLNTRLSLTRCSTKPNTDTDNETDQNSTFESNPDQKTQNPSKPILSNEPIPSSSLSRGLVFDLGNAESWDCKEIGSPVVKRFLSDEEERWYMWYHGVSNENPDSDSIGLAVSSNGVHWERGLAMSQDGRHWARIEGEHHSGALFDVGSEREWDSLFISSPKVVFHGNGDLRMYYHSYDVQNGEFCIGMARSRDGMKWLKLGKIMGRGKSGSFDELGVKNPCVVKNKKDGNYVMAFEGVNEDGKGSIGLAISSDGLKDWRRVQDEAVMKQGMDDEWDCEGIGSPCLVEMDGDSDEWRLYYRGIGNGGRSGIGMAISDGSDLTKFRRWTGFHV >OMO93266 pep supercontig:CCACVL1_1.0:contig08111:5841:6206:-1 gene:CCACVL1_06555 transcript:OMO93266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLAILKRTGEDSGSVLCLRRWLHASALPPPLDAPITPPTTSQPLMVLPVSDRIPDNNR >OMO93270 pep supercontig:CCACVL1_1.0:contig08111:25568:26281:-1 gene:CCACVL1_06559 transcript:OMO93270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWESARLEAEARLVKESKLVVPPQNQQQLGRPSISASSSAPPLAVVPTAARPQCLDVLKAWQGVVTGLFTFNTDNLQSPTSTLNFMENSLPISSSNMGFNDNFVGNSILNSGNEWKCLEKSNQVVPELKDERLTLDDHDHNNSSMGLHEMGYSSAGAWFQDSYRADHNMMEAGYSDLMVCDSGDHQQENSSSMAAVPPGENLNGTSYGGSFEENKNYWNSILNLVNSSPSGSPVF >OMO94105 pep supercontig:CCACVL1_1.0:contig07970:16765:17971:1 gene:CCACVL1_06167 transcript:OMO94105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site MGVFVWLQDYYRRSHVPAFGSWDWNNDLPFTQCFESARQAGLLRYSYSEDRDLYVAGDLYENDVVTPAMIVVPRRRTKVRESNLKEGKKQSWEVGDVKEAAIAIASPTPLPRPTPKPVDEDLYKISPELLYAKPKKKRGMGFFSSCLMPTCAV >OMO94104 pep supercontig:CCACVL1_1.0:contig07970:6423:6686:-1 gene:CCACVL1_06166 transcript:OMO94104 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-directed DNA polymerase MDRAKPQVDTSFLPPPQTDLDALHREVSEEEIERAIRCIGAHKAPGPDGFQACFFQKNWDTVKLGVINIVKIAFESGKLPLISTKPS >OMO94106 pep supercontig:CCACVL1_1.0:contig07970:21361:21450:1 gene:CCACVL1_06168 transcript:OMO94106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDESLMESSEKSDPIHTMAAASILPFPC >OMO77280 pep supercontig:CCACVL1_1.0:contig10790:11861:12548:-1 gene:CCACVL1_15118 transcript:OMO77280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDQYRNLGPLHYSPLIDVRGTFCVAPALVFDPTKIRKPAKN >OMO77279 pep supercontig:CCACVL1_1.0:contig10790:6067:9559:-1 gene:CCACVL1_15117 transcript:OMO77279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20 MATSNVKQTVGSLNKDSFLSLLTKVIGESKYLQNNPPELIPEEDRVVKHVKDCLLPYSTEAGGPLIIKHVTYFPGRGNLIVEYPGTQPGKILSFVGMHMDVVTANPNDWEFDPFSLSIDGDKLRARGTTDCLGHVALVAELMRRLGETKPQLKSTVVAVFIANEENSAITGVGVDALVKDGLLDKLKGGPLFWIDTADKQPCIGTGGMIPWKLHVTGKLFHSGLAHKAINALELGMEAIKEIQLRFYKDFPPHPNEQLYGFATPSTMKPTQWSYPGGGINQIPGECTISGDVSVKDVMTKLQEYVDDINENIDKLDTRGPVSKYILPDENLRGSLTLTFDEAMSGVACDLNSRGYKVLCKATEDVVGHVKPYSITGSLPLIRELQDEGFDVQTAGYGLMATYHAMNEYCLFSDMCQGFDVFVSIIAQLED >OMO77278 pep supercontig:CCACVL1_1.0:contig10790:2423:5205:1 gene:CCACVL1_15116 transcript:OMO77278 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease MEVPILSLTSKTTSYLGSCCVSSSSSKVKLVCEFGVRKRRMSIRCAKASAEGSGEATIKERQRFTGTAMEVTTLDRSFGEAADFPVWDKIGAVVRLSYGIGIYGAMALAGRFICSVTGIDSLGGFHPSLDAILEGLGYAVPPIMALLFILDDEVVKVSPHARAIRDVEDEELRSFFYGMSPWQFILMVAASSVGEELFYRAAVQGALADIFLRGTELVSDARGMASLTGVLPPFVPFAQAFAAVITAALTGSLYYVAASPKDPTYIVAPVQRSRSAREDLKKLFSAWYERRQMKKIYSPLLEGILALYLGFEWIETNNILAPIITHGIYSAVILGHGLWKIHDHRRRLRQRIQQLKSEGKNSNKL >OMO93188 pep supercontig:CCACVL1_1.0:contig08120:19946:22364:1 gene:CCACVL1_06595 transcript:OMO93188 gene_biotype:protein_coding transcript_biotype:protein_coding description:UAA transporter MEAHGAGLRRVLVLAFCVAGIWSAYIYQGVLQETLSTKRFGPDGQRFEHLAFLNLAQNVICLVWSFIMIKLWSSGSAGGAPWWTYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIRYTLPEYICTFLVAGGVSAFALTKTSSKTISKLAHPNAPLGYGLCFLNLAFDGFTNATQDSITARYPKTSAWDIMLGMNLWGTIYNMVYMFGWPSASGFEAVQFCKQHPEAAWDIILYCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSLLSGNPLSTKQWGCVAMVFSGLSYQIYLKWRKLQRLAKKRKA >OMO93187 pep supercontig:CCACVL1_1.0:contig08120:13921:15087:1 gene:CCACVL1_06594 transcript:OMO93187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWSLTVDGSSLDCAKPPKYLPHSWADLKSFSKGSLSESQYDKFRFLFNKILKFFLKEIPPMLGDGKPVDLLKLFLVVREKGGYDVVSISGLWHLVAKKFSLGSCLASSLKLVYVKYLVLLEKWLKGIVDNKQSKSELSYNNHLMELGAELKGFLEDSVDDVVILESNDIKEKFSSLKRKRDSTWGMLNWVTEIGKDPCDPVIGSLPDRSRWKSYGSEEFWKQILLFREVNFLRNDDHKFYQKMHQTKFGYNLRERSSCSKKQRQDYSLENHSDLDIYRVGIDKHCAVPIGPLFQAEVPKWTGVASESDSKWLGTRVWPLDKKELKNLVEVDRIGKGRQDSCGCPLQGSLECVKFHVGERRRKLKLELGSAFNQWKFDKMGEEVATL >OMO93186 pep supercontig:CCACVL1_1.0:contig08120:6033:12336:-1 gene:CCACVL1_06593 transcript:OMO93186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASAVMEGRRSVFGFGAMRSRFHSIRLSYQKEKWTKRDPPHHQLAPAHMRVDSSQSPHATSASGGSRISSKTSRSSAPSSLTIPSFSDTSSTGCLPTPRTEGEILSSPNLKPFTFNELKNATRNFRPDSLLGEGGFGYVFKGWLDEHTLSAAKPGSGMVVAVKKLKPEGFQGHKEWLVGFVL >OMO93193 pep supercontig:CCACVL1_1.0:contig08120:66769:67469:-1 gene:CCACVL1_06600 transcript:OMO93193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRSRQSGNSRITDDQITDLVSKLQQLIPELRGRRSDKVSASKVLQETCNYIRNLHREVDDLSDRLSELLASSDTDSDQAAIIRSLLM >OMO93190 pep supercontig:CCACVL1_1.0:contig08120:41663:42280:-1 gene:CCACVL1_06597 transcript:OMO93190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MATTSLLSPAATAAAAASVTHQSLHISSKLPFPNLSFKTTPKTNRRSTKLHVSSPTNQPAAAATSDTPSTPSSKNLKQETIFFDGGAHYGDLVANLLLGFTLLWLPLTLAAVSRAFFLRYRFTNLRVTVISGLTGQDRSDFSYKVIKDVQVVPRFIGEWGDVIITLKDGTKVDLRSVPRFREIAKYCLSMADKPVVLNETGPKGF >OMO93185 pep supercontig:CCACVL1_1.0:contig08120:2793:3616:1 gene:CCACVL1_06592 transcript:OMO93185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNWSKRIKIAVGVARALEYLHTYTTPVIHRDIKASNVLLDADFNPKLADFGVARFGPLDDQSHVTTRLFGTRGYFAPEYFTRGHLTVKTDVFSFGVVLLEILSGYVAVKKKSDGTATDLPLWAKPHLSNETEIHNIIDKKIARNMEMEEAHKFASIIRKCLSLEPKDRPTIAEVLAELEQLQQNMLLSNLNSLGLSKYFRSRRAHI >OMO93191 pep supercontig:CCACVL1_1.0:contig08120:46248:47736:-1 gene:CCACVL1_06598 transcript:OMO93191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGMAFFPANFMLQTPHEDDHHQPPTSINQLLPSCTPQDFHGVASFLGKRSMSFSGIDVCEEGNGEDDLSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQYEAIKADNDALQAQNQKLHAEIMALKSREPTESINLNIKETEGSCSNRSENSSDIKLDISRTPAIDSPLSTQHPITSRTLFPTTSLRPTQSSTPHVVAQQLFQNSSARSDNNHLQCQKMDQMVVKEESLTNMFCTIEDQSGFWPWLEQHNFN >OMO93184 pep supercontig:CCACVL1_1.0:contig08120:579:1664:-1 gene:CCACVL1_06591 transcript:OMO93184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQKYQLVGELVEASTQLGTTEWEESV >OMO93189 pep supercontig:CCACVL1_1.0:contig08120:24025:26588:-1 gene:CCACVL1_06596 transcript:OMO93189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVKRLLNVDYQLMTCCDLQAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENTTTCINPNNKRILLQNGFNPDGTTECTVPAKRMRSISDLTENCNFGDRAHRISGTIINQQLRHPFAVLGQNLHNTNNVVLQSQVNNVKEVSTDAQNDRSQGTFLKKDDPKITALMQQAELLSSLALKVNTDNTEQSLENAWKVLQDFLNQSKENDIFRYSISDIDFQLEDFKDLLEDLRSCNEGSRPSWRQPDLYEASPASSDYSTGSTLMPNSAGERGEENQAKIDAQQDILSSYIEEQNCGNEQETGALSSEIIPSCDDQTNIVVASASSSAEFSSPIQVTPLFRSLAAGIPSPKFSESERNFLLKTLGMESPSPNPGNNHSQPPPCKRVLLHSL >OMO93192 pep supercontig:CCACVL1_1.0:contig08120:54984:62541:-1 gene:CCACVL1_06599 transcript:OMO93192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFGYIVEVTGLSPKTTEKDLYEFFAFSGAIENVDIVRSSDDYACTAYVTFKNAYSQETAVLLSGATILDQRVCIMPWGIREEEFKFWNPSTGHEEAYETSSSQQPPLRSQFASHAGEAVSFSQDVVKAMLAKGYVLGKEALTKAKAFDESHQVSASAAAKVAELSEKIGLTDKLFAGVEAVRSVDQRYHVSENTKSALSAAGRTAASAANTVVSSSYFSRGALWLSGALDRASKAAADFGKSDSVSIRVPYRNLKKESEVEMIDEPRHRIDINSSPNSPSTSSSSPSARVPNGNSNLSSPIHVRSKDCSLITLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIEHAFSSFIWLCGPITGLVVQPCVGIWSDKCTSKYGRRRPFILAGSMMISVAVIIIGFSADVGYILGDTKEHCSTFKGTRTRAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQRNSANAIFCLWMAVGNILGFSAGASGSWHRWFPFLKSRACCEACANLKAAFLVAVVFLSFCTLVTICFAKEIPLQPPADQRARLSDSAPLLDDSTQNGFQHSESKANVSIVANGNRNNTENGYERVSNSKHAHSKDTNVQNEVFNDGPGAVMVNLLTSLRHLPPAMHSVLIVMALSWLSWFPFFLFDTDWMGREVYHGDPKGNASEIKLYDQGVREGAFGLLLNSVVLGISSFLIEPMCQRMGSRLVWAISNYTVFACMAVTAIISLVSVREYSQGIEHAIGGSAAIRVAALVVFALLGFPLAITYSVPFSVTAELTADSGGGQGLAIGVLNLAIVIPQMIISLGAGPWDALFGGGNIPAFILASFCALAAGVIATLKLPDLSSSFKSSGFHFG >OMO71399 pep supercontig:CCACVL1_1.0:contig11653:1926:2778:-1 gene:CCACVL1_18236 transcript:OMO71399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCGSVLGGQSSINTDWANRFYQMDHWD >OMO71400 pep supercontig:CCACVL1_1.0:contig11653:3487:10530:-1 gene:CCACVL1_18237 transcript:OMO71400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFQSTSVHDTKNLITPTPNTVYTVKSPTPFESATSPGQPLLPSVPPPTSPGSPKFAPFLLTFTDISHQTIKSLPKVRTLNPNLTLLFSASGTGKPLQTPMLGSPLLHQQNPSSHLVKLNLEPNEVHQNRTPKPPNPGGGL >OMO71401 pep supercontig:CCACVL1_1.0:contig11653:11469:12335:1 gene:CCACVL1_18238 transcript:OMO71401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHHQDLATFQRTSSYNNFNYKTTRNKINPSTSFPRSTSLPIPEHEEFSDKLLITRTTSSSSSSAASPRNSIQRFHHVNSKFSSLLRSLLKIIAFPNILPATCKWLTLPMTHLSITPSLGRKVTGTLFGYRRGHVSFAVQDDPRSEPVLLLELAMSTSSLVKEMSSGLVRIALECEKVPGRSPGRTGRLFHEPAWTMYCNGRKSGYAVARTCTESDWHVLSTVQSVSVGAGVIPVVEDSGKVNNELGELLYMRARFERVVGSRDSEAFYMMNPDNNGGPELSIFLLRI >OMO71402 pep supercontig:CCACVL1_1.0:contig11653:33298:35539:1 gene:CCACVL1_18239 transcript:OMO71402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKGIVKKAMELGEMGFNKDGGVINWFPGHMAAATRAIRNRLKLSDLVIEVRDARIPLSSAHQDLQPQLSAKRRIIALNKKDLANANVLNKWVRYFDSCKQDCLPINAHSRSSVRKLLELVEFKLKEAISREPTLLVMVVGVPNVGKSALINSIHQIASTRFPVQEKTKRATVGPLPGVTQDIAGYKVKCPTFPFSLYLSSGTCTC >OMO63363 pep supercontig:CCACVL1_1.0:contig12985:3578:4368:-1 gene:CCACVL1_22408 transcript:OMO63363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVVVRSENLAAGLSQSSGDELAGVS >OMO73087 pep supercontig:CCACVL1_1.0:contig11309:32092:32533:1 gene:CCACVL1_17475 transcript:OMO73087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPPDSSAPLFFISRWLELPAIMR >OMO80096 pep supercontig:CCACVL1_1.0:contig10347:13289:20343:-1 gene:CCACVL1_13170 transcript:OMO80096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MAFSPMLGGSLAFSEANSDSSQQLLQMVSNVSDILPGVDTGMASSTIIPYMQFYRPSQAEAKCSYTGQYQPYFPVEAITAAHLPSEESKKDLRNLLDWAVWYKILLGYVRYKKSVAITGDPRVSFVNYLHSTACKNNHRCKCELYFSFVSHYDGCHDADCFICGPVWYTSITNKAHPFFEHVKRCRAGNSTDGDSFQPSYGSSETMQPSLKRLKVENPPYSSLPENGIYCAAAPLKVQSDYPKLPPLQQLPESPASINSEVMEAYMELLPKLIEDSTSTEEIGNNDADNCPTLATEILPGPSEVVVSSFKQLEAYIDGSHKEGDVDFRDGINIRDNIIYDPNNVRFNTLPSFSEGLVAGCEENEIMAMENELIAQESNCRTEVVPASEERETEARTTINQAETALKNELIARQSNCRVELPAACEGGETEVRTNSNQAEIAIENEPIAQEIDFGKEIKLESQKIRGVSLIENFTAQQIKEHISSLRQYIDQDIPKKDRGSRIVNIDCENTCQLCGTDKLSLAPAPIYCSSCSNRIRRSATYYSTHEENGIKHCLCTTCYKVARGENIMLFGVAFSRGKLQKKKNDEEAEESWVQCDKCEGWQHQICALFNDKNDTEGKAQFVCPKCCLQQIQNGERMPPLTSTVFGAKDLPHTMLSDHIEKRLFTRLQKEREEKARIAGKSIDEVPEVEGLVVRVVLSVDKIVKVKKQLLDILKNENYPVEFPYKSKVILLFQRIGGVDVCLFSMYAQEFGSECSDPNQRCVYISYLDSVKYFRPETQTAAGEALRTVIYHEILIGYLEYCKKRGFASCYLWACPPQKGEDYILYCHPEIQKTPKSDKLRHWYQSMLRKAAKEEVAVDLTNLYDQFFVSTGQYNSKVTAARLPYFDGDYWSGAAEDVLKNIEKASSEDSKKMGKKTMSKRMLKAMGHTNPSGDATKNILLMQKLGQNILPIKEDFIIAHLQFVCRHCHRAILSGWRWFCSLCKGFQLCERCHDAEHNIYEESTHTLCNGEKHLLQKIMVDDVPSDTDDKDVNVDCGLFENRHGFLNFCQKNNYQFDTLRRAKYSSMMILHYLHNPSMMTAGNTCTICYKDTPVDQCWLCEICPNFVVCAACYQRDGCSLHNHKLALHYSAVNVATEDRELEMTDLLGVLFAVILTLISYLILLVNVSPPPAPTLIAVSSESYSTMQESAQFEFLAVVSIARRFGSCWDCIPGTVKNLIATYRAAGT >OMO80098 pep supercontig:CCACVL1_1.0:contig10347:35759:38839:1 gene:CCACVL1_13172 transcript:OMO80098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASASALYFLSLFFLVSSVQPLCHHDERSALLQLKQSFVIDTSASTSPQAYPKTQSWGRGNADCCSWDGVDCDNTTGHVISLDLSSSFLYGSLNSNSTLFRLVHLRSLNLADNVFTNSQIPSEISHFPRLASLVLSFSNLSGPLPSPIFELSKLEVLDLSGNPLELGKHGLTSLLQKLTNLRELYLADVTISSSVPQIFSPNLNTLILSNCDLRGEFPPGNFELPNLQLLNLESNPELTGYLPEIQDNHSLLKLSLASTSFSGNLPESIGNFKSLDYLDINSCHFSGEVPYSLAKLTKLTYLDLSSNNFLGHIDFSIGNLNQLMTLDLSNNNFSGNIPSSLANLTQLGYLSLTNNNFNPGNLSWLGTQTNLTYLDLSNTSLTGNIPSSLQTFAQITNLYLRANKLDGQIPPWIGNLTELTKIKLQDNILSGPIPESIFKLKNLQLLDLQINRLNGIVKLDSFLELRNLTRLQLSSNNLSVITHVGINGTPPPPPPPPPRFKILGLASCNLSKFPDFLRNQDELEFLELADNNIPGLIPKWFWSVGKETLQYLNLGFNLLTGFEELPVVLPWTRLEVFSLVSNMLQGSLPQPQPSIVSYLVSNNSLSGEIPTTMLCNLSFLVALDLSNNNFTGMLPRCLDNLSESLKVVNLRNNHFSGDIPWSYSKSCTLWMMDLSQNQLQGTIPRSLAHCTMLESLNLGNNLIKDTFPSWLGNLPKLKVLILRANELGGAIGKPEAAISEFSKLQVIDLSHNSFRGELPSDYFKIWNAMQFANTYSSSVPYMNANTSFQDRNFLWYDYYDYVAKLANKGRDLDYDKVPDSMFAIDLSSNKLGGEIPQDIGKLKLIRVLNLSNNNLIGHIPSSLGELTNLESLDLSGNNLSGEIPQQLGRLNFLAHFNVSYNNLEGPIPRVQQFNTFDNDSYEGNSRLCGYPLSEKCGIEKLPPPPLEAEEDEGIASGFKFGWRVVLTGYGAGLIIGMSLGYNFRPRKPEWFRNWRASSSRSTSWYGGSLSFVWNKVSWHNRTSS >OMO80097 pep supercontig:CCACVL1_1.0:contig10347:23063:31951:-1 gene:CCACVL1_13171 transcript:OMO80097 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSP, proline-rich MSKAPVHGGRAKIYLIAENRLVKINTLKIATSEPASQVLFGPGPGQLGGDEVIGLAVSTLSSIVLINVKNRGLFAYMTRGQLLWSAGPVLNQYGYKQGCKNDVLDCYFASVPVIDQCEATVYISNNKGELYSMSIRSPHCKWIQDLSSFDKVYTVTPGNNGRLYVTIPVKSLILALDVSSGNVLWHNSIGPLSTAETSPVVDSSGWVTIGSLDGFLYSFSPTGTLKKFPKAAALDYVIQFSPFLDCSGYAIYFCLTEMEGKVIHTNDQYTYVSAMKPKGSVFTLMVPATGKTYWSESHHGPLLSSLSKSDLQNFVLDEGMLLAFATASQTGNPLTCRSKDQKLASSCSQGTRKRLSIYTGTKRIIFLFLFLESLLLVVLAAAVRFCCIFWRKKKVRDQDLGRFLEKRRCLQLKKKEFDRTITELEQKAAEDAVANEAIEKLGDLVRERQGIERKLSTTYSLGRDRAGSKSKSLLPLYGGRSRSYSFQRAEKESVTFFHTLSNTCSEESSSDEWETTSSDYEEVEEPVDKGKAKAAIETESSSEDEQLGRKYQSRRSPSEPSSSCSRGYMNSLSVERESRHDHEEEVLSALPFQAFIKKSDDIRPPADSTLRLPQSYYRLCFWLIFRSNNQVIGNNDHSLRVSLFVSGASCESGELRDLDDGSCQVDSLPKNPGTVDGEIKVVSLELNDSDSANPQSNLIVEQDVDNALADNSSDMQISDEITETVRVEEKLDEVSFVAHSESFTAEEKMDGSSSSVYTKKRRLEIKDGSPIQNHIMDGIPSVKRPRMTFDDQQPSVHIVYNFLTRASKQKLEELLQKWSEWQAKHVSSSQDENEIIESGEETYFPALCVGAEKPSAVSFWIDKQTRNSFDKEFIPLDSNVVPLYDRGYTMGLTSTDGSSNLEGGLEIKDDASRCFNCGSYSHSLKQCPKPRDNAAVNAARKQRKMSKRNSNTGSRNAVRYYQNPQGGKYDDLRPGVLSAETRQLLGLKEFDPPPWLNRMREIGYPPGYLAVDDEDQPSGISIYADGETNEEEEDGEITPTPHVKQELKMTAEFPGINAPIPAEADEKLWAPGPSSSESSRSRSHHRSQYSSESGSRGHHHEQRYYGDLDDEGPPGVDTRISSSYPPRYGNYDSPYSFQSPRDHIPRPRSPTLGRSFSERGRRSPLVYEDFASHGSYGSTRYSPSDRRTPPRIHGSARHENEIDERLDSRYPDYSSWSSSHRHHRW >OMO80095 pep supercontig:CCACVL1_1.0:contig10347:123:3975:1 gene:CCACVL1_13169 transcript:OMO80095 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear matrix constituent protein 1-like protein-like protein REDLREWEKKLQDAEERLAKGQRYVNQREERANENDRLFKQKEKDLEEAQKKIDAANQTLKEKEDDINSRLANLTVKEKEWDVVREKLEIKEKDLLEIEEKLNAREKVEIQKLLDEHNAILDERKREFELEIDEKRKSLDAELKSKVIEVEKKETEVKHLEEKLSKREQAVDKKLEKFKEKEKEFEIKVKNHKEREKAMRSEEKNLEIERKQILAEKEDLLSLKAEVEKIRVENEEKLLKLHEEEDRLRVTEEERSEYLRLQSELKEELEKCRHREELLLKEAEDLKRQKENFEREWEELDEKRLEIEKELKNINQQTENFEKQKLVEEERLKNEKQKAEDYIKRELEALEDAKKTFAATMEHERSVIAERAESERSQRLHDLELQKRNLESDMQSRYEEMEKELGERKKSFEEEKERELDNIKYLREVARREMEELKQERLKVDKERQEVNASKVHLEEQQIEIRRDIDDLVGLSKKLKDQREQFINERNRFISFVEKHKSCKNCGEVTSAFMLSDLQSLQEMENEEVPPLPSLADDYIGNLAASERQKDEISPVAAGSPISGGTMSWLRKCTSKLFKFSPGKGIGPHAITKLNTEDPHSGGEVNMEGTSKVEYEPELSFAAATESLDIHRVQSETSTRDVDGGQDFSIDNQSNIDSKELEVLGDSQTSDLNHGKQLQKRGRPKARRTRSVKAVVRDAEAVLGRALESNELEHPSNGNIDSGLADGGTSRNARKRNRAQSSKRTESEQDDVSEGHDSVAAGQPRKRRQKVVLAMPTPGETRYNLRRPKTEKELGKDAGDQINYSEAPIPASENGAANEHGGADHFLQRCETAPYTKDGDAGATKNLVSNATLSEEVNGTAEGVGEYGDGNDYSIESRSEGLKGEGEEEDEEDELEHPGEASIGKKLWNFFTT >OMO50774 pep supercontig:CCACVL1_1.0:contig16057:28564:31964:1 gene:CCACVL1_30264 transcript:OMO50774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MVTAALESPVISGQRLSSVVPAKVTGENKDFELTNMDLAMKLHYIKGVYFFPSEAIRGLSIHDLKEPMFRLLELYFTASGRIRRSSETGRPFIKCNDGGVRIVEAQCENLTIDEWLATSFHSLDHLLAHDQVLGPDLGFSPLVFLQFTWFKCGGISIGLSWAHILGDAFSASAFINLWGQIMSGQVPSKSLKLHKSEFPTSFSGKPFSLKKVDPVGDHWLTANNCNMQTQTFHVTADQLHHIVSNTHDPSQTANNFSHFHILSAMIWQSLSKIRGDSGPRIVTICSNTNPADRENQMPSNGMMLTTVEADFSVSKGEIAELAKLIAEKRVPENGLIEKLFDGDDQQGSDFIVYGANLTFVDLEEADLYGLEIKGKKPIFVNYTINGVADEGTVLIQSGPKIEGGNGKILTITLPEDQIEMLKNILENDWNIAN >OMO50775 pep supercontig:CCACVL1_1.0:contig16057:33726:39799:1 gene:CCACVL1_30265 transcript:OMO50775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavodoxin MSSSSDLVKFVESTLGVSLGGSVTDSMLVIATTSLAVILGFLVFLWKNSGSGRSRDIKPLVAPKPVSLKDEDDDAVTAAGKTKVTIFYGTQTGTAEGFAKALAEEIKARYEKAAVKVVDLDDYAADDEQYEEKLKKETLAFFMVATYGDGEPTDNAARFYKWFTEGNEREPWLQQLTYGVFGLGNRQYEHFNKIAKVLDEQLVEQGAKRLIEVGLGDDDQCIEDDFAAWREWLWPELDQLLRDEDDANTVSTPYTAAIPEYRVVIHDPAVVHIEDNYSNMTNGNASYDIHHPCRVNVAVQRELHTPESDRSCIHLEFDISGTGIIYETGDHVGVYADNCIETVEEGARLLGQPLDLLFSLHTDNEDGTPLGSSLPPPFPGPCTLRTALGRYADLLNPPRKASLIALAAHATEPAEAEKLKFLSSPQGKDEYAQWVVGSQRSLLEVMAEFPSAKPPLGVFFAAVAPHLQPRYYSISSSPRFAPHRVHVTCALVYGPTPTGRIHRGVCSTWMKNAVPSEKSHDCSSAPIFIRQSNFKLPTDPSIPVIMIGPGTGLAPFRGFLQERMALKEDGAQLGPSLLFFGCRNRRMDFIYEDELNNFVEQGALSELIVAFSREGPQKEYVQHKMMDKAAEVWSLISKGGYLYVCGDAKGMARDVHRTLHTIVQEQEGVDSSKAESMVKKLQMDGRYLRDVW >OMO70893 pep supercontig:CCACVL1_1.0:contig11775:5650:5760:1 gene:CCACVL1_18598 transcript:OMO70893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TAWLSKHLMKIQAVDRSGDCSDPGIYGKYNVFYISN >OMO51693 pep supercontig:CCACVL1_1.0:contig15743:5494:10635:-1 gene:CCACVL1_29642 transcript:OMO51693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLGLILKEIVTSLASKALAKSFPSSKAPASKSQQSPLQLPKPQQSPSLLPKPPASKSPAKSSPASKCSYA >OMO51694 pep supercontig:CCACVL1_1.0:contig15743:11498:13174:1 gene:CCACVL1_29643 transcript:OMO51694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSSSSLTNRRDELALYRLQFAAPFFMVKNVGMKNYSLVVIGVAIKYKANEVKFDDVIASMGRKQQGCHKAANSNGYAKKH >OMO61000 pep supercontig:CCACVL1_1.0:contig13624:26927:27445:1 gene:CCACVL1_23778 transcript:OMO61000 gene_biotype:protein_coding transcript_biotype:protein_coding description:high mobility group protein 1.2 MDDNNNSNLPIDQASRNRMNRLSFIREHRRSGRFNFVRPRGSRGHKILDMTSNLHLLPSQNRLDISKSVIGVDVNNGSEIYTERTHLDGDSSRNLVSMDNHSNYTAFIQRRDVINSRTVVGVDGNVISTTTSTVSSHLVTNSMESNLLLIYRSAEVGSSSATTEGVSLRIRR >OMO94484 pep supercontig:CCACVL1_1.0:contig07866:315:1754:1 gene:CCACVL1_05979 transcript:OMO94484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSIAPRVKICDFGYSKSSVFHSQPKSTVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRILSVHYSIPDYVRVSIECKHLLSRIFVANPEKRITIPEIKSHPWFLKNLPIELMEGGSWQSHDVNNPSQSLEEVQSIIQEAMKSTEVAKNNGGLFMEGSMDLDDFDADADLEDIETSGDFVCQL >OMO54477 pep supercontig:CCACVL1_1.0:contig14992:11694:11975:-1 gene:CCACVL1_27773 transcript:OMO54477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MWAMGKKMMVLWMCAMLVIGENGKADAVVIGTPAMRANSPGCKGVHCLPPPSNPINRGCEKIMRCRRPPASTANALIHAVNRYEGPSPQGEGQ >OMO54478 pep supercontig:CCACVL1_1.0:contig14992:12994:13661:-1 gene:CCACVL1_27774 transcript:OMO54478 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein ralf-like 28 MLVIGGRGRGDHDIGNKENAEEIVIGNPAMGHNRQISRCKVGECGPSVNDPNRGCEKIMRCRRPPALNTTKSEDVKLKVKDVKHY >OMO54479 pep supercontig:CCACVL1_1.0:contig14992:15293:15643:1 gene:CCACVL1_27775 transcript:OMO54479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIKKSSLLPPLSSSSRTCLCSPSTHPGSFRCKLHRNIGRASSKSTAVTPHVNRMESKEAAALMAATSKTRQIKAFLKQILIKPSSHNLQRRRNFQPKPSRFCPLNSSVNGVAVS >OMO54476 pep supercontig:CCACVL1_1.0:contig14992:9796:10131:1 gene:CCACVL1_27772 transcript:OMO54476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSSSSSSSRTCLCSPTTHPGSFRCSLHRNFGRVPSKSVAAKSHVNRVESKAVAPIMMTSTASKTKLIKAILKQIINPSSHDLQRRRNFQPKPTRFCPLNSSANGVAVS >OMO98249 pep supercontig:CCACVL1_1.0:contig07144:16246:16761:-1 gene:CCACVL1_04277 transcript:OMO98249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MLTTTPSEETNTTQKTSLYLENAEELQKVFNQFDANKDGKISVSELADFLKAMGSSYSSEELERVMEDIDTDKDGFISLSEFSTLCRSPSDAVAAASELRDAFDMYDQDKNGLISANELHLVLNRLGMKCSVDDCARMIQSVDSDGDGNVNFAEFEKMMSASIPANAGSQP >OMO79351 pep supercontig:CCACVL1_1.0:contig10433:8824:10238:-1 gene:CCACVL1_13732 transcript:OMO79351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MAATSFPFQNVFVRRVTCGPGHGISVGSLGKSKDEPVIGISVVNCTLINNMNGVRVKTWPASMEGLASDMHFDDIVMVNVSNPVLIDQGYCAHNKCNAKSYKHDRAILF >OMO79350 pep supercontig:CCACVL1_1.0:contig10433:3003:3926:-1 gene:CCACVL1_13731 transcript:OMO79350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIB MAMMVFCSDCKRETEAILDTRQGDTICTECSLVLESHHIDESSEWRIFADSDDNGKYDRERVGSKQNLLLDQANLQTFASNPNPKKGGGRPFVGSLNSLNQSNSSDKGLTEGFKIIENMAENLGLVRTIKDRACEIFKNVEDNKSCRGRNLKATVAAALYIACKEIKLSRTLKEISTVADGVAMKDISRAVEVIKKTMEVETGGVQPADLVRRFCSKLNMNYQAIKAVQEAVSEVESLDIRRTPKSILAAIIYMIDQVSGSARSFKEIAIAAEVAEGTVKKSYNDVAPHASKLIPKWYPQQVHKVLV >OMO79352 pep supercontig:CCACVL1_1.0:contig10433:11823:12672:1 gene:CCACVL1_13733 transcript:OMO79352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGSGDGGGPPECDNQYHSDDDPMVALSTGCVKAKVVDDNIVDASKAVSEALGVPESDRGEMDIYWSDTD >OMO60064 pep supercontig:CCACVL1_1.0:contig13795:7650:7865:1 gene:CCACVL1_24421 transcript:OMO60064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFVTRSIHKTVYDYMDKYPRFAIRKMLLSMRIPVVDYLIDKILTCAAARWNDEQRVLQKQQGFENGCVH >OMO60066 pep supercontig:CCACVL1_1.0:contig13795:12845:14812:-1 gene:CCACVL1_24423 transcript:OMO60066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRDVRTWTILVSYFARVGSDGVVLELFKDMQNKGVVPNQFTLSSVLKCCSSLSELRIGKGVHGWILRNGITLDVVLENSLLDFYVKCKDLGSAKCLFELMEERNSVTWNILIGTHLNTGDVDKAVDMFRKLRIKDVTSWNIIIDGAMRNRFKRIALELLYEMVKHGTFLNEVTFSIALVLAASLLDLELGKQIHAAVFRMGIHVDDFIRNSLIDMYCKCGRMELSLKVLKKMDMDFGRKENSIVEIISWSSIISGFIRNGQFEDAFKTFTSMVRKEIEVDRSIITSIISGCANCGVLELGQQVHALFHKTGHKVDAHLGSSLIDMYAKCGNLDDAQMIFKQTSDRNVVLWTSMISGCAIHGQGREVVQQFESIISEGIKPNEVTFIGVLTACSHAGLIEEGCRYFRLMKEVYGFKPEVEHFTCMVDLYGRVGLLDEAKKFIHENGIHQMSAVWRSLLSSCRLHKDIEMAEWVCEKLLHLEPLDAGPYILLSNICTTKQRWEEAAEVRSLMQSRGVKKNPGQSWIEIKNQVHAFVVGDRSHPRKDEIYAYLDKLIKRLREIGYLSDTKNVMQDVEEEQGEMVLAFHSEKLAAAYGIISTSSQKRIRIMKNLRICNDCHNFMKYTSQLLGREIIVRDIRRFHHFKHGYCSCGEFW >OMO60069 pep supercontig:CCACVL1_1.0:contig13795:31480:35903:1 gene:CCACVL1_24426 transcript:OMO60069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MESGPYPERPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERVGQPECQAFALQYYLKTGTCKFGATCKFHHPRDKAGIAGRVSLNILGYPLRPNEAECAYYLRTGQCKFGSTCKFHHPQPTNMMVSLRGSPIYQTVPSPTTPGQQSYPGGITNWSRGTFIPSPRWQGPSSYAPLILPQGMVSVPGWNAYSGQLASVSSSENLQQANGNNQIYGTSRQNEPATAGSQASFSQLRSGSVPVGFYALQRENVFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERVLPAPDCMLSPIGLPLRPRVIRAP >OMO60067 pep supercontig:CCACVL1_1.0:contig13795:15899:19145:-1 gene:CCACVL1_24424 transcript:OMO60067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTSIRFLFIAALFFFAFSSISFAQESGLEAEKEGSGGSIKDLGRRGMIAARNFQNGALDEKVDIDSMGLNLGFDSPGLGIFDAFFTSLSMILISEIGDETFIIAALMAMRHPKSTVLSGALSALIVMTVLSTGLGRIVPNLISRKHTNNAATVLYLFFGLRLLYIAWRSDSKASQKKEMEEVEEKLETGQGKTSYRRFFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAVGVAVGATLGHTICTSLAVVGGSMLAAKISQRTVATVGGLLFLGFSLSSYFYPPL >OMO60063 pep supercontig:CCACVL1_1.0:contig13795:3393:3533:-1 gene:CCACVL1_24420 transcript:OMO60063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSQAPSTILSLKREPLLPLMRLRYGSTYSANKAIARARYAAQTI >OMO60070 pep supercontig:CCACVL1_1.0:contig13795:36910:38614:-1 gene:CCACVL1_24427 transcript:OMO60070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESRLGFVEPASTSPSDDKFNPVVPEEEPKKRRAGASDADDVE >OMO60071 pep supercontig:CCACVL1_1.0:contig13795:72516:79839:-1 gene:CCACVL1_24428 transcript:OMO60071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIKVLPFVQKAFTWGVADILHGSKVENCKNQCRPPGTEPLPEGILERTSNLQMRPLWGFPKKDNLSTSLFAVAVGIKQKDLVHKMVKKFLSSGFVVMLFHYDGVVDEWNAFEWNNKVIHISARNQTKWWFAKRFLHPDIVSEYSYIFLWDEDLGVEDFHPKKYVSIVEHEGLEISQPALDPAKSEVHHQITARGRKSIVHRRTFKYGGNGTVCDGRSKAPPCTGWIEMMAPVFSRAAWRCVWYMIQGDRTKKIGVVDAEYIVHHNRPTLGSTAEKNHSTVESEHGNKVYAIGLLLSLCQYRVVLGWHPRPWLIKFVVVTLLVLFSVILEVQWNCWFNGVHGYGEYGPCGKHNVEKEAEKLEPCTWAAKDLYAPVSRRCCAVMEKKLKTPGCLCAIMFSNTARNAGIQPEIAVTIPKRCNIAVRPVGHKCGAFPLL >OMO60065 pep supercontig:CCACVL1_1.0:contig13795:9360:10320:-1 gene:CCACVL1_24422 transcript:OMO60065 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding protein MRDRMERFVILPFSVGCVSESSVAVAVQQQQQQPRKSKQPADTNSTTTRTLGEEDEEMKYSLKFLPLPKPDISNGFHRLFKGFKTLSHQLFAYKDMEECEIEKEMEIGLPTDVKHVTHIGLDGSASSSPSMGSSWENLFSPQDLFNFASVPNLGQCEIPMETQPRAASSPLIQAST >OMO60068 pep supercontig:CCACVL1_1.0:contig13795:30448:30648:-1 gene:CCACVL1_24425 transcript:OMO60068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKERGLDSRTGKARFLQSQPPPPLLPPLLLFGTSEFRHRMSIPFSSHLPPSPLIIYYYNHQQLPR >OMO92040 pep supercontig:CCACVL1_1.0:contig08272:17643:21269:1 gene:CCACVL1_06929 transcript:OMO92040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRLHRSHRSGASGVVFRQQRFGQQFSCGNLVSLVDNNKTVVSSCIQPSCSDVLSYNKGCFAFFSENRTSFPATIQQFYHEEYGHSKRSSCSSTFIFDPMSLLNPDMTFPNDITSHVPATLEWTNPCDLEAAQCQEADAEPGCTKRCGNVDFEFPFGIEAGCYFNDWFRVTCKKTANGQVPFLNKIDLELNSFQLFQGIVRVNHPVPYSNCHERGSDKNGSSVDLRGSPFYFSSIFNTFVSVGCSSMATISHSHNQTDTDVIGGCLLPSCDRISNNNIGSCVTGIPPGITSFVANMTQINSNGTAGSSNNRSCGSAFIMDTQDSLFDQHRMIIIPEPDVASRRTHVLTSLQWATMKVGLCDLNDGSTTSDIFCSSPNARYCWRNLSSTYQCICSNDDSNSFSTDVCLESNKCRGSPTYYRYCHMLCLHAPGNDCLNSTSCPEGYEYDNILKRCRPILSDSTQYHSKKHAKWAIIIGCSTSLVTLVFILGSLNIYKVLKRRRNIKLKRKYFKRNGGLLLQQQLFRNEGSNGGNIRFFTSKELKRATDYYNENRILGQGGQGTVYKGMLVDGSIVAIKKSKMVDHKKKKLLDEKLLEQFVNEVMILSQINHRNVVKLLGCCLETQFPLLVYEFIPNGTLSQLIHEHNEDFPLTWEMRLRIGTEIANALSYLHSAASVPIYHRDIKATNILLDDKYRAKVSDFGTSRSVALEQTHLTTRVQGTFGYLDPEYFRSNQFTDKSDVYSFGVVLVELLTGKKPVHSIQSEDEEARSLLTFFLNAMRENSLLDILDPCVTNDGPEEEIIAVAKLAKRCLNLNGKKRPTMKQVAMELELIRTTNEANYVVPEISEDEDSDVDDKTATWGIVSYSSTPLNSVTLPLLVSNNTC >OMO50581 pep supercontig:CCACVL1_1.0:contig16116:5200:5283:-1 gene:CCACVL1_30364 transcript:OMO50581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPEKKPVRKSESEPDRNRLTKKVKT >OMO71304 pep supercontig:CCACVL1_1.0:contig11674:18819:19867:-1 gene:CCACVL1_18303 transcript:OMO71304 gene_biotype:protein_coding transcript_biotype:protein_coding description:mannosylglycoprotein endo-beta-mannosidase-like protein MGKQSLYNVSITLVVKGYGESDSWDNLFGFRKIESHIDSATRGRLFMVNGQPIFICGGNWILSDCVLWLSNEHYKTDKVSCSYEFEYNSLGGALPPPGGATPPPGGASPPPSGALPLSSGIPAAAVWIEMAAAAWIYNSRRRVRDDVPSAENEICEVRCAGSCSQGCCGAVGDGGASPMIWWDLLGAAHLNKCAI >OMO71303 pep supercontig:CCACVL1_1.0:contig11674:8834:15069:1 gene:CCACVL1_18302 transcript:OMO71303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLCLSILLAGFLWNFFLVDAQLNDQATLLAINRELGGIADWGDNNTDYCNWEGIHCGLNHSFVERLDLSRRMLRGNVTLISNLKALKQLDLSYNNFNGPIPSAFGDLSELEYLDLSLNKFEGPIPVELGGLSNLRSLNLSNNLLVGEIPDELQGLEKLQDFQISSNRLNGSIPHWVGHLSKLRVFTAYENQLGGKIPDNLGLVSELKLLNLHSNQLDGPIPKSIFAMGKLEVLVLTQNGLTGDLPELIGNCRGLSSIRIGNNKLVGVIPKTIGNVSSLTYFEADNNNLSGEIVSEFAQCTNLTLVNLASNGFTGLIPSEIGQLMNLQELILSGNSLFGDIPRSILGCKNLNKLDLSNNRFNGTIPNDICNMTRLQYLLLGQNSIKGEIPHEIGNCVKLLELQLGSNYLTGSIPPEIGHIRNLQIALNLSFNHLHGELPAELGKLDKLVSLDVSSNQLTGSIPPAYKGMLSLIEVNFSNNLLTGPIPSFVPFQKSSTSSFQGNKGLCGEPLSFSCADTLDSDRANYHHRVSYRIILAVLGSGLAVFVSVTVVVLLFMMREKQEKAAKSAGIVDEGTSSVPTIIAGNVFVENLRQAVDFDAVIKETLKESNKINNGTFSAVYKAVMPSGLVLSVKRLKSMDRTIINHQNKMIRELERLSKLHHDNLVRPIGFVIYEDVALLLHQYLPNGTLAQLLHESSKQSDYQPDWPRRLSIAIGVAEGLAFLHHVAVIHLDISSGNVLLDADFKPLLGEIEISKLLDPSKGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTTRLPVDEDFGEGVDLVKWVHGAPMRGETPEQILDAKLSTVSFGWRKEMLAALKVALLCTDSTPAKRPKMKKVNKYYDLVTSSYEYGYQGLNNNEYQISRGEELNRIRGVNNSCTYVKVDFMRMPFSDNTFDAIFAIEATCHALNQ >OMO71302 pep supercontig:CCACVL1_1.0:contig11674:3709:3768:-1 gene:CCACVL1_18301 transcript:OMO71302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIVLSNETLPVERILSLT >OMO83188 pep supercontig:CCACVL1_1.0:contig09924:21232:21689:1 gene:CCACVL1_11527 transcript:OMO83188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MKKVMLSMLLLLSFLFFFHGEAAVPCTTVDAKAAACVGFATGKDAKPSAPCCMGLQQLAQTVKTVDDKKAICRCLKAGAKSLGIQDRFLSKIPQACNIKVGFPVSVNTNCETIH >OMO83185 pep supercontig:CCACVL1_1.0:contig09924:10699:11140:1 gene:CCACVL1_11524 transcript:OMO83185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MNKVMLSMLLLLSFLFFFHGEAAVPCTTVDAKAAACIGFATGKDAKPSAPCCMGLQQLAQTVKTVDDKKAICRCLKAGAKSLGIQDRFLSKMPQACNIKVGFPVSVNTNCETIH >OMO83189 pep supercontig:CCACVL1_1.0:contig09924:30933:31196:1 gene:CCACVL1_11528 transcript:OMO83189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPTSRVVALLAGEFEVSSVTVKPSYLTDWDFKDTTGSFIIEDTLTSFVSSNNNIRNSRKYKTITREEPMLSPVNVTEFNEFEEGR >OMO83186 pep supercontig:CCACVL1_1.0:contig09924:12859:13197:-1 gene:CCACVL1_11525 transcript:OMO83186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MKKVMLSMLLLLSFLFFFHGEAAVPCTTVDAKAVACVGFATGKDAKPSAPCCMGLQQLAQTVKTVDDKKAICRCLKAGAKSLGIQDRFLSKIPQACNIKVGFPVSVNTNCET >OMO83184 pep supercontig:CCACVL1_1.0:contig09924:7135:9952:1 gene:CCACVL1_11523 transcript:OMO83184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MANPLRMRLRSFASSSASALKWEGGVSLVQGASRGIGLEFVKQLLEKNEKGHVIATCRNPNGATGLLELKNQFSERLNILPLDLTVESTIEESAKSITERYGSLNLLINASGILSIPGVLQPETTLNKVEKSSLMLTYEVNAIGPILVIKHMWPLLKAGGGFGTERDVAVVANLSARVGSIGDNRLGGWHSYRSSKTALNQLTKTISVEFARKKDPIACILLHPGTVDTDLSKPFQRNVPADKLFTKEFSVQKLLNIINNTKLQDNGKFFAWDGQEIPW >OMO83187 pep supercontig:CCACVL1_1.0:contig09924:15245:18957:1 gene:CCACVL1_11526 transcript:OMO83187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGSDSDGREFKNAQEMWREQIGDEGEADKKTQWYRDGVAYWEGVEASVDGVLGGYGQVNDADIKGSEAFLNTLLHERFDGAGRNQHLVALDCGSGVGRITKNLLIRYFNEVDLLEPVSHFLDAARESLSQEYVASNMHKATNFYCVPLQEFTPDAGRYDVIWIQWCIGHLTDDDFVSFFKRAKVGLKPGGFFVLKENIARNGFVLDNEDRSITRSELYFKDLFRQCGLHLYKIKDQKGLPEELFAVKMYALTTDVPKKVYKTRSKAQTNRPGIIK >OMO77380 pep supercontig:CCACVL1_1.0:contig10772:53700:55485:-1 gene:CCACVL1_15037 transcript:OMO77380 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAD/Ost2 MGKSSSSKDAQALLHSLRSAYAATPVNLKIIDLYVGFAVFTALIQVVYMAIVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKAYHKLNVDCGIGLEATLAATRKNGCDVVVELGTEL >OMO77374 pep supercontig:CCACVL1_1.0:contig10772:8043:15318:1 gene:CCACVL1_15029 transcript:OMO77374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEMDKAAKEKEPKTPPAATTQEQSSTTNSGTVNADWSGFQGSYPFSPFAMPSPNGIVEASGNTPGGIETDGKPSEVKEKLPIKRSKGSLGSLNMITGKNNNPGKTLGASANGVYSKSAESGSEGTSEGSDANSQSDSQLKSGGRQDSGEGEASQNGSSAHGSQNGGPNARALVNAAIPVVPISTAGAATAVPGPTTNLHIGMDYWGAPASSTIPAMRGKVPSTPVAGGIVTPASRDSVQSQLWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKEENASLRSEVSRIKSEYEQLLAENTSLKERLGEIPGHEELKSGRNDQHTNNNDGQKELVQGLNRRTNRADVELTDTAPQAAHRRLLGTRCSSFCLPRLGIAPDKYRARCLTMRASGESGDNLSPIAPVELESPVGQLLSQILKTHPHLLPAAIDQQLENLQSDKDDQKEEAAASQDLLYKRIAEVKEKERRRTLEEILYCLIVQKFVDNEISMIPKIMPTSDPTGRVDFWPNQEEKLERVHSPEAFEMIESHLSLVLGDRVVGPLSTIVQISKIKLGKLYAASIMYGYFLRRVDQRFQLERTMKTLPEDFTKDQARFEFPNPGKQLWDPDSLISIPPDDDNGSDGGGYMDTAEGKSYRLRSYVMYLDSETLQRYATIRSKEAISLIEKQTQALFGRPDIQVLDDGSLDTSSDEVVSITFAGLTMLVLEAVAFGSFLWDAESYVESKYHFLKS >OMO77384 pep supercontig:CCACVL1_1.0:contig10772:68420:68539:1 gene:CCACVL1_15041 transcript:OMO77384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDIYTKTRRLLENAVNAEREEKTSYYNGVTIFFRVRVF >OMO77382 pep supercontig:CCACVL1_1.0:contig10772:60358:62827:1 gene:CCACVL1_15039 transcript:OMO77382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRAFLAVTAPPLPSLSTASFPTRPSSIASRNNRLSSKFSVKCSYAEAGMVDDSRSSTIDVVADIKNERIVVVGGNGFVGSAICKAAVSKGIEVISLSRSGRPTYQDSWVDQVTWISGDVFYVNWDEVLPGATAVVSTLGGFGSEEQMIRINGEANVVAVNAAKDYGIPKFVLISVHDYNLPPFLLQSGYFTGKRKAESEVLSKYPGSGVVLRPGFIYGKRRVDGFEIPLDLIGEPVEKILSATENFTKPLSSLPASDLLLAPPVSVDDVAYAVINAVKDDDFFGVFTIEQIKEAAAKVKV >OMO77383 pep supercontig:CCACVL1_1.0:contig10772:63476:65442:-1 gene:CCACVL1_15040 transcript:OMO77383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGFTGSSNRLETGKNLDRKRKRRVNMSAEGDLWDDSALINAFDNAMSKYKQKMHGKENREANVPIFVDQSSDEAIKHRDAGENSNATSNTITETAEGKEQYHSQMPDPYIDSSNNLPTQDKQDGQTGYADYSEDYNQLLTQYYEVEEKRQKILQQLQQYGSWNYQYPAEGSSAVAQLGTSTSQECPLLTSQGAHSTVTCSCCPYVCQSSVIPCTSYPCCSFGGTSVGKTSTEPNAATVHGNSLAVIDSDIVKTAMGAAEKAISSMTTKASINHNVDEENKEKKDGEGEVNQSSTSETDLTVLLNAWYSAGFHTGKYLMEQSIAKKQHK >OMO77376 pep supercontig:CCACVL1_1.0:contig10772:31154:32714:1 gene:CCACVL1_15033 transcript:OMO77376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFCGTLGVPFAFTLVDKLDHYKNGLKAYPKE >OMO77375 pep supercontig:CCACVL1_1.0:contig10772:19107:21443:1 gene:CCACVL1_15030 transcript:OMO77375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanases superfamily MGRLRCSLTETLTPFFFFFVCFFFFFFFFFFFRFAAADFNISTLSFEEGYSHLFGDGNLVRSPDDRSVRLLLNVYTGAGFISSSMYQHGFFSAKIKLPSDYTAGIVVAFYSISIHLSTWKMTSQLPSQILLSIINYISSFYIDEVPIREVVRVDEMGGDYPSKPMALYATIWDASSWATNGGKHKVDYRYAPFSSEFKELVLDGCPMDPIQEFPNSTACSDDAAWLDGRDYAVITPTRRAAMRKFRQHYMYYAYCYDKLRYPVTPPECVIDPIEQERFQESGRLRYGGSHRKEAKIAKITRAARRKRRSRGSASISNGQASK >OMO77379 pep supercontig:CCACVL1_1.0:contig10772:50684:50749:1 gene:CCACVL1_15036 transcript:OMO77379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKHVIYIARERTNRGIAAD >OMO77381 pep supercontig:CCACVL1_1.0:contig10772:56341:59766:-1 gene:CCACVL1_15038 transcript:OMO77381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Las1-like protein MEPLLGFEEESIAAYSQEFSSSSTSQSSNGYKLVPWLNWAEWESVREALFSSSPEKISFALSRISTWRSRGCLPVVIDVTASIIEIQQTDPFFRGDKPKDDLNSEQMLAMLYCMAILRLVNCVVEKTRKRTGISIAVAADAIGIPRKLIDVRHEGSHRDLPSLIVVRESSILALNWLKSYYWEPQKKQIPLQIDGAVNVRREIKSKLRELAFCIKIKQSPELVSLLMRGKVPKKQITKTLKILKRLYCTSASEVVSILLEFLLKALNSSSFMGLEKDSQADEDKHAPLDDWEPVIRKLSNKKPELLLALLQSVLDMIGTASKYESEGIGEACQVEDLSSLFAWLVRHVLESKPQNAKRSAGKSMSNAILMELLRKCLLVSAFSNKYLMDSALHLAHLVGNSVLVERLNKLSSISLSSTELTEENPSLEISNIVSQEQESINQATKKLELVTHHRMKGKFVKPADDAPNSNRWVVAKSWKPCPLGMLPSELGSSGTMPVLDCDNDCQRDEDLVEGKSNWELNRCSGKRKASDDVQMLDISGPKKVKETVENFMSGEDYVYSPGSCGHLMMEGLWKKVGEAEVQAIASAVKILV >OMO77385 pep supercontig:CCACVL1_1.0:contig10772:70055:71236:1 gene:CCACVL1_15042 transcript:OMO77385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQNDMVLDSKLGVLHGIKRKRAVQHATVFPGASHVIIPQPSLGLSPQKHGKRRRLNDCRGKSGRSLLLCYSNFKKTGIPQRIMFFENDGWTDFPHDLIALIKKDLDAKQPFIEVEIGGQSFVLDLLHMFRLDRKTGCKQPIAWIDEVGRCFFPETFADEDGPYDCCEHDCESDQESMFSKSYAPQEIKLQLEIDINGVQQSKLKECSGESSSFVRQVPIAQNPATSHCAVEVEDSCKRIVEARPCESLEDIQQKENKFLDVEFDSQLDSSTVEQMFLMGMNPCGGVDVLDIKPCAGASAQYRLERFQKQVQIMKKYRGNANVQYAWLASSKKALPTIGMHGLGHSGLSTIPQIYGAGVHLAASKFTNARLEIFFMVSELMLLHDYSSVIIF >OMO77373 pep supercontig:CCACVL1_1.0:contig10772:6485:6562:1 gene:CCACVL1_15028 transcript:OMO77373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKMQPFRVRSTELTMDRAKEIESTL >OMO77378 pep supercontig:CCACVL1_1.0:contig10772:45960:50458:-1 gene:CCACVL1_15035 transcript:OMO77378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MSSLPLPFFSGTSTKAPLISFSLKSSSSSSSSSSPSCSYSFSPSSVPFQRVELFRSTSKRTARNFSCVFYSLKAKAMAELVQDKESVVAATGSSGGGRPEVEHSRTFLEARSEQELLSGIRKEVEAGRLPPNLAEGMEELYQNYRNAVFQSGDSRAVEIVLSNMAVALDRMLLDVEDPFVFEPHHKALREPFDYYMFGQNYIRPLINFRNSYIGNLSLFSEIEEKLKQGHNIVLMSNHQTEADPAIIALLLEKTNPHIAENMIYVAGDRVITDTLSKPFSMGRNLICVYSKKHMFDVPELAEMKRKANTRSLKEMALLLRGGSKVVWIAPSGGRDRPNATGEWFPAPFDSSSVDNMRRLIEHSGAPGHIYPLALLCYDIMPPPPQVEKEIGEKRIISFHGAGLSVAPKISLPEVAAACEKPEEAKDVYTQALYNSVTEQYNILKSAIHGKQGLAASTAGVPLSQPWN >OMO77377 pep supercontig:CCACVL1_1.0:contig10772:34106:40754:-1 gene:CCACVL1_15034 transcript:OMO77377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMFSQLAAKFAFFPPSPPTYQIKKRDNGKLTVVSSSSSMPIPVADDSNLDVLLIDTKLGNKIVAFYLRNPYARLTVLYSHGNAADLGQLYDLFVQLKVNLRVNLMGYDYSGYGASTGKPSESNTYADIEAVYQCLQTEYGISQEDLILYGQSVGSGPTLHLAAKLPRLRGVVLHSGILSGLRVLCHVKFNFCFDIYQNINKIQKVKCPVLVIHGTEDDVVNWLHGNGLWKMAREPYEPLWIKGGGHCNLELYPDYIRHLCKFIYEMENMTTEIRLKKIRQTLRLPTRSNNNPSSVTSNRCC >OMO96022 pep supercontig:CCACVL1_1.0:contig07550:288:398:-1 gene:CCACVL1_05118 transcript:OMO96022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFPSSYGEEQLQEKESDIYLRQLTNKASSLHCSSE >OMP00607 pep supercontig:CCACVL1_1.0:contig06650:97:171:1 gene:CCACVL1_03326 transcript:OMP00607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALRFAKSKTIQNSTNAPRKG >OMO94355 pep supercontig:CCACVL1_1.0:contig07910:10938:11060:1 gene:CCACVL1_06050 transcript:OMO94355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYKKFTSRSTVTLPASACPITPALLPKLAPQKNHPLVT >OMO94354 pep supercontig:CCACVL1_1.0:contig07910:4942:5812:-1 gene:CCACVL1_06049 transcript:OMO94354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYFDLRNYLAILRYGGLKLD >OMO94356 pep supercontig:CCACVL1_1.0:contig07910:24959:26715:-1 gene:CCACVL1_06051 transcript:OMO94356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKRQVCGSSSSSSSSSSLDHLFGPKDSSSSSSSGIFGSIFSAPPTGSWNHQGLPHHGQSRNPDHRTDSKGQSSGTTNKDKGSSNIYQNETAEPCYFSSSIYYGGQENYSPRKKPTESPHYIKKDGKDDDPNESNSSGASRGNWWQGSLYY >OMO94353 pep supercontig:CCACVL1_1.0:contig07910:2195:2734:1 gene:CCACVL1_06048 transcript:OMO94353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSIHVTALDSVVNVNSLFTLAVFIGLTWNPYDSSNVLVDSGSSCWPNSKIAEDLIKFHVYSFSSFLFSSLVALAIKQALKISKSHTLNVHFSIRGTQIFEHVDVNKTLLRVGMMVSAAGSVAGCIFLMLALINVVQIKVGPLSCGSGHAYAAIVPLVILVPLALLIYVCVGFYAFTR >OMP01145 pep supercontig:CCACVL1_1.0:contig06522:1654:1731:-1 gene:CCACVL1_03151 transcript:OMP01145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTNPPTFLKMADPKPTLAIFGERYR >OMO68915 pep supercontig:CCACVL1_1.0:contig12157:8164:13574:-1 gene:CCACVL1_19764 transcript:OMO68915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQEAWKAACEVSGAKLEIPSEFQLLIMPITLEGHPKAGPRPSHSQ >OMO68913 pep supercontig:CCACVL1_1.0:contig12157:2514:4893:1 gene:CCACVL1_19762 transcript:OMO68913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein, plant MMIISKAMLDFKEPVWFKAGAQIFLEGGLDYLGNPNIVHAQSILAVLSFQVVLIGLVEGFRINSLPGVGEDNSLYPGGQYFDPLGLTDDSVTFAELKVKEIKNGRLAMFSMFGFFVQAIPKKVPWRTLLPTMLGQISLSLLALAATHQSARARPAPVLLLSTPA >OMO68916 pep supercontig:CCACVL1_1.0:contig12157:26474:30366:1 gene:CCACVL1_19765 transcript:OMO68916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMDIKFLSHFSLIILIIFFSSNTHQVSSHNLSLKKQASILVSLKQGFEDSTCLGTWNVSNYLSLCSWTGIHCDVLNRSIVSLDISNSNISGSLSPVITQLRSLVNLSVSSNSISGEFPQEIHKLTRLQFLNISNNMFSGELNWDFAGMTELVILDAYNNNFNGSLPLGVTQLPKLTHLNFGGNYFTGEIPSAYGSLKLLQYLSLAGNDLGGSIPAELGNLTNLKQLFLGYYNDFDGGIPPEFGNLVNLVHLDVANCSLEGPIPPQLGNLKELDTLYLQTNELIGSIPPQIGNLSNLKSLDLSNNMLTGEIPHELSNLRQLTLLNLFVNKLHGEIPQFIAELPNLEVLKLWQNNFTGSIPAKLGENGRLVELDLSTNKLTGYVPKSLCFGTRLQILILFNNFLFGPLPEDLSQCGTLSRVRMGQNYLTGSIPDGFLHLPNLSLVELQNNYLTGPIPQDTGKTKTAATKLGQLNLSNNRLTGSLPSSIGNFSSLQILLLSSNRFTGEIPSEIGRLKNVLKLDISRNNFSGRIPTEIGNCFLLTFLDLSQNQLTGPIPVQISQIHILNYLNVSWNHLNQSLPIEIGSIKSLTSADFSHNNFSGSIPQFGQYSFLNSTSFVGNPNLCGSDLNTCNYSSTSPLKLHSQNGTNTQVPAKFKLLFALGLLVCSLIFAALAIIKTRKVRRNSHSWKLTAFQKLEFRSNDILECIKENNVIGRGGAGIVYRGIMPNGEQVAVKKLLGISKGSSHDNGLSAEIQTLGKIRHRNIVRLLGFCSNKETNLLVYEFMPNGSLGEVLHGKGGGYLRWETRLKIAIEAAKGLCYLHHDCSPLIIHRDVKSNNILLNSDFEAHVADFGLAKFLQDTGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGDFGEEGLDIVQWTKRQTSNWNKEGVVKILDPRVSDVPVEEAMQVFFVAKLCVEEHSVERPTMRDVVQMLAQAKQPNTFHMQ >OMO68914 pep supercontig:CCACVL1_1.0:contig12157:7195:7786:-1 gene:CCACVL1_19763 transcript:OMO68914 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-family ATP dependent chromatin remodeling factor snf21 MDFDGLGGISLEDIPEGDISGGDIPEEEEEVGPPALVNLPPPEHWTPEHYVLLLSYLYLIIENHKTRIIQHYGPYGAGGVNESVTFRPKSPF >OMO68917 pep supercontig:CCACVL1_1.0:contig12157:31557:35211:-1 gene:CCACVL1_19766 transcript:OMO68917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVKPEGIVSESEAEELGRRIDEISATVNQLEQRVNDVEQFYTNTADNMQQTTTKSGSILKDKVKEKQLTTIEKQQLEVSHRESAGAKRMQDLMRQFATILRQITQHKWAHPFMHPVDVEGLGLHDYYEIIQKPMDFGTIKSKMEAKDGTGYKNVREIYADVRLVFKNAMKYNDERHDVHIMAKTLLEKFEEKWLQLLPKVAEEEKRQVEEEAKSQIDMKLAQEAAHANMARELSNELCEVDLQLDALRKIVIQKCRKMSTEEKKKLGTALTRLSPEDLSKALEIVAEHNPGFQPTAQEVDLDIDAQSELTLWRLKVFVQDKLKHAGKSSESADCNNINNNENITKGNSKRKRDISDALTKNAIKRTRKLSPNS >OMO62990 pep supercontig:CCACVL1_1.0:contig13089:145783:147849:-1 gene:CCACVL1_22547 transcript:OMO62990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA binding protein MSLRKTIKHLPSISITKSFHRFASSLSVLSTQKTTTTEPDPYSLLKQDPIDICLSIWVKSFSSPPTATFTNLTGFLSKFDLWVLAYQRSCAHVTGTFPPRNAIHSQTLHSLLSLRDAVVNGRRFKWNDKTHQLIRSPNDKPSTSLMSKRKLQAMLQSPDPCFQDRVVQEVLLMVLEPIFESRFSSKSHAFRPGRSAHTVIRTIRSNFAGYLWFLRGDLRQLFDNVDPNVIMGRVEKVVQDKRVLNLIKSGLNERCNRMKSDVGDGDGGDLKSKRKKKRKATKKKILNENEPKPDPYWLRTFFDFAPEEAAKVPTYGYCGILSPLLANICLNELDQVMEDMIVKFFRPSKHDSIWKDTINDGCHNPSWPEFVPSSGREKTRKMDYIRYGGHFLIGIRGPREDAVQIRKKIIEFCESEFGIRLDNSKVEIEHISRGIQFLDHIICRRVIHPTLRYTASGGNIVSQKGVGTLLSVTASLQQCIRQFRQLQFVKGDKDPEPLPCTPMLYSSQAHTNSQMNKFLETVADWYKYADNRKKVVGFCAYVIRSSLAKLYAARYRLKSRAKVYGIASRDLSRPLRESSNNSAPEYSDLLRMGLVDAIEGVQFSHMSMIPSCDYTPFPRNWVPDHEQLLQEYIRLQDPKFFCDLHRSIKREGLSLPQDEIAGIVWDYKTLGIWRYQSNSGKDVKEGSQ >OMO62982 pep supercontig:CCACVL1_1.0:contig13089:31438:33010:1 gene:CCACVL1_22539 transcript:OMO62982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6E MAAPRKTPVKSRNPDLVRGVGKYSRSKMYHKRGLWAIKAKNGGVLPRHDPKPKAPAAAEKPPKFYPADDVKKPLLNKRKPKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLASGLLLVTGPFKINGVPLRRVNQSYVIATSTKVDISGVNVEKFDDKYFAKEAEKKKKKTEGEFFESAKEDKKKLPEDKKEDQKAVDACLIKSIEAVADLKAYLAARFSLKSGMKPHELVF >OMO62989 pep supercontig:CCACVL1_1.0:contig13089:144131:144295:1 gene:CCACVL1_22546 transcript:OMO62989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFAATPQYVQPLNTTNVGNNPMGRVVQELVDGFLQEAGGAVFQNITSGDLSF >OMO62983 pep supercontig:CCACVL1_1.0:contig13089:34443:36386:-1 gene:CCACVL1_22540 transcript:OMO62983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSLLAYLLILSQALFQVSCLKFNFPNFQKENDTHLILSKDAYIALGAIQVTPDVNGASMENLSGRALYKTPFRLYKGNGSTVATFNTTFVLNILSQTSPGGEGLAFIITGNSSLPENSQGKWLGIVNENSNGSAQARVVAVEFDTRKSDDAEDVDDNHVGLNINSIHSTKEVSLTRYGFNISGGVDLRVFIGYDGKNLTVFVNEILILSQPLDLFNHLPEEVYVGFSASTSNYTELNCVKSWQFSGIDIGGGGRNWKWIITIVVPIAAVTVLVVIAIVLCVRRAPEDEDLEGGRGNIEDQIIRSNLAPKKFRLKELKQATGNFSPKNKLGKGGFGTVYKGTWKNKDVAVKRVSKKSHQGKQEFIAEVTTIGNLNHKNLVKLIGWCYERRELLLVYEFMPKGSLDKYIFYDEKVAMEESILSWEQRRSIIQGCANALEYLHNGCQKRVLHRDIKASNIMLDSDFNARLGDFGLARTIQEKEKTHHSTVEIAGTPGYMAPETFLISRATVETDVYSFGVLTLEVVCGRKPGNQSEQNNYNNSIVNWLWEFYRKGRITDAADPKMDGKFEEKEVECVLILGLACCHPNPHYRPSMKTVLQVLLGEAEPPQIPQERPSFVWPAMPPSFSKIDDSLTGSQLTPFTELTGR >OMO62981 pep supercontig:CCACVL1_1.0:contig13089:27899:29872:-1 gene:CCACVL1_22538 transcript:OMO62981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPVIKLLLTLIALLSASRSVNCFDFSFSDFQQDDRKDLLLSNDSISNIFRDALQITPDLNGDIRNTSGRAYYGKKFRLWSKKKGTATFNTTFVINISNKDSPDVGEGLAFILSADSDVPIDSHGQWLGIVNSTTNGTTQASVVGVEFDTRKSYEEDLDDNHVGLDINSCYSTLQESLSNYGVNLSIGEDVKVNVQYDGQNMSVFVSKVNETANLVFSVALNLQELLPENVFVGFSASTGNFTQLNCIRAWDFNGEDVGDENSNLLWVWILVPVVSLALLSGIGFYLYWSRKSKKYDLEGAYPNIEDHIKSTTAPRRFKLRELRNATGNFNHKNKLGKGGFGTVYKGVWKEKEIAVKRVSKKSNQGNQEFIAEVTTIGNLNHKNLVKLVGWCYENRELLLVYEFMPHGSLDKYIFYNDKASQDEPSQPTLRWETRLMIIQGVAEALDYLHNGSDKRVLHRDIKSSNIMLDSEFNARLGDFGLARTIQQREKTHHSTIEIAGTPGYMAPETFLISRATVETDVYSYGILVLEVICGRKPGNQSELNNYNNSIVNWLWEFYRKGKITEAVDSKLDGEFNEKEVESALILGLACCHPNPHYRPSMKTVLAVLTGEADPPQVPQQRPSFVWPAMPPVFSQSDYSLTGSQFTTFSEISAR >OMO62986 pep supercontig:CCACVL1_1.0:contig13089:59172:61163:-1 gene:CCACVL1_22543 transcript:OMO62986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSHPKFMLIFQVSKLLLQATLIISSLTQIQCLNFSYSSFSPADANDFVRLNSSIINNVIQISPVTADASGRILYKEPLKLWDKLKGTKSSFTSTFVINIKPQTSPGGEGSAFILTDSTTLPSNSAGQWLGIVNVSTINRQDIVAVEFDTRKSDSEDLDDNHVGVDVQNIYSIKQESLASHGANLSSSEDITARIQYDAKSDKISVFVSLTSEQNMGNPVLSAELDLSEHLPQDVFVGFSGSTGEYVQVNAVKSWDFISFETRVKGSVNLVWLWILIPFIVVILLGGFAYLLYRRYKNRMKENDNDELEIEKEIQRSSSAPQKFRLKELKAATGNFNGNNKLGSGGFGTVYKGILRKDEFVAVKRILKNTRHGKQDFIAEVTSISNLHHKNLVKLLGWCYESNELLLVYEFMPNGSLDKFIFTNTSPNDTIIPTLTWETRHNIICGVARALDYLHNCCEKRVIHRDIKASNIMLDSEFNARLGDFGLARTIQLNEKTHHSTKGIAGTPGYMAPECFHTGKATVETDIYAFGVLILEVVCGRKPGHQTEENNYNCSIVEWVWEHHRMGTITDVVDFRLDKDFDKDQAQCILVLGLACCHPNPFERPSMRIALQVLTGEVAAPTVPIEKPAFMWPAMAPIAVIREDMDSSISGGQLTATMDLSGR >OMO62987 pep supercontig:CCACVL1_1.0:contig13089:125154:127482:-1 gene:CCACVL1_22544 transcript:OMO62987 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MLARYFEMDFDSNSNDDLELMGDNGRNASIPSSVNFASSCAQGTSPSPNPVETSSLGLPLAANTSDLPPRPESSSKKSCKLTSDVWEHFDRTVSDGGYRVVCNFCKHELKAGGRNGTSSVRNHLKICPRKTNEDIESSSSVTDGTSYCLFIADEDAEG >OMO62988 pep supercontig:CCACVL1_1.0:contig13089:129966:143218:1 gene:CCACVL1_22545 transcript:OMO62988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKAPATTAFMKVAPGCLKIWSIKLTQNTPLFFFRPLFMLLAPSSAMPAGN >OMO62991 pep supercontig:CCACVL1_1.0:contig13089:149074:149268:-1 gene:CCACVL1_22548 transcript:OMO62991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFATDVLIEGFYNPDAYNPKEDKFIMGLQFHPERMRHLDLDEFYHLGCHSGERYPEGTYLLSW >OMO62985 pep supercontig:CCACVL1_1.0:contig13089:48591:52587:-1 gene:CCACVL1_22542 transcript:OMO62985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTTGDEKDEAEIQKPYFDCRSRDEKEEAKSAFKEESLKIFPVLKLRIILKEGPWYVPVITFISCDDSAFVSTKQIEAPITVSISGIKT >OMO62984 pep supercontig:CCACVL1_1.0:contig13089:40443:43577:1 gene:CCACVL1_22541 transcript:OMO62984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MGSSKFSLTNILFVPELKENLLSIAQFTKDNNCGFFLFPWGFVIKDLRTGKVLLDGPVKGNLYMIPVKAAEKVVTKQLEQKQKQVLFGGNNSDVSGVTWHRRLGHPAGKIISQLHSSKLISPKDVSSCNLVCEACQTGKSKRLPFGYSTRVTSNVLDLIHCDIWGPSPTTTVSGYRYYILFVDDYSRYSWIYPLKQRSDSLACFQTFKSMVENQFGHKIKFFQCDGAKELVEGVFKQFLDGHGISLRISCPHTHEQNGLPERKHRHIVEMGLTMLFQASLPRSLWLEAYSTAVYLINCLPTPILHGCSPFEILFSATPEYKHLRVFGCACYPYLVPYRKDKLSPKSKRCVFLGYSSLHKGYRCSTVRGLLPNPSVVDSVFSLPPSTSHDCSSIVNLPVQPFQEVKDVNDHVEQADTIENDHHSEAIPLLTDLDAPITDDSIPSTTIAPLPQQAIEPSQSTLGSTHPMMTRSRDGTRKPKVPYSLHAATSKGLVSSSSFEPTSFKEACNDSKWISAMKEEYTALLQNGTWSLVPKTPNMNIVGCRWVYKIKERADGTIERYKARLVAKRYTQQEGIDFDYTFSPVVKATTIRIVLSLAISRGWPIRQLDPNYVCKLHRSIYGLRQAPRAWFQRFSNALYDLGFSSSHADPSMFIWHSSSDILVLLLYVDDIILTGSSMSVISSLISKLTSSFLVKDLGDLHYFLGIEAHRTSQQLILTQMKYVSSLLSRLGMENCKPVSTPVTAGKKLSIYDGTLLPDPSQYHIIVGALQYLTFTRPDITYVVQQVCQYMHAPRDVHFQAVKRILRYLKGTSSCGIHFLPCHSSSLVCYVDADWAGCPDTRRSTMGHCIFLGSNPISWSTKEQVSVPQSSTEAEYMALSIASRDVLWISYILREIGFPVSLPCSVYSDNLGATQLAANPIFHARTKHIETSYHFIRDLVCKRFLQVFHVHSQSQLADIFTKGLSSPVFHPFKHKLLWCSPQSLEGAWLLL >OMO69029 pep supercontig:CCACVL1_1.0:contig12135:12045:13478:1 gene:CCACVL1_19683 transcript:OMO69029 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEKAVVLYPTPPIGHLRAMVELGKVILAHQPSLSVHVLIATPPYQADATAPYIAAISSAIPAITFHRLPEITLPPSSNVANHEELTFEVLHHNNPYIHEALVSISNKYKIQALVMDFFISVAFQVATELNIRPYYFYTGAAGSLAFFLYLPTLHKQTTKSFKDMDLLVDIPGVPPIPAKDMVKPLLDRSQKAYEFFFDCSITTPKSAGIITNTFEALEPRVVKAISDGLCVPDAPTAPLYCVGPLIASVDEKKTRDASGGRVAECLTWLDSQPSKSVVLLCFGSLGLFSKEQLREIATGLERSGQRFLWVVRNPPSGHLGVAITEQQEPDLNVLLPEGFLERTKDRGLVVKSWAPQVAVLNHDSVGGFVTHCGWNSVLESVTAGVPMVAWPLYAEQRFNRVLLVEEMKIALPMVESENGFVNLDEIEKRVRELMESKEGMLIREQITAMKEAAKAAWGEGGSSRVALAKLVESWMHA >OMO69030 pep supercontig:CCACVL1_1.0:contig12135:14408:14926:1 gene:CCACVL1_19684 transcript:OMO69030 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDVLLDILGVPPVPAKDIMISPLLDRTQKAYEFFYGCSITMSTSAGIITNTFEALEPRVIKAISDGLCVPDAPSAPLYCIGPLIASVDEKKTGGASGGRLAECLTWLDSQPSKSVVYLSFGSLGLFSKEQLTKMALGLERSGQRFLWVVRNPPNEQGEPDLNAFFFFKTKVK >OMO69028 pep supercontig:CCACVL1_1.0:contig12135:10767:10898:1 gene:CCACVL1_19682 transcript:OMO69028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRYRTEKPITPTSHTDLHRKNRLHRIVCTVYDSVRSVFAHPY >OMO69032 pep supercontig:CCACVL1_1.0:contig12135:24210:24428:-1 gene:CCACVL1_19686 transcript:OMO69032 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glycosyltransferase 88A1-like protein MVELGKLILSHHPSFTIIIFITTPPFNACSTTSYIAVVSATTPSISFHNSLLSLRTPLLIVPSKPSLATSST >OMO69027 pep supercontig:CCACVL1_1.0:contig12135:2325:3740:1 gene:CCACVL1_19681 transcript:OMO69027 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEKAVVLYPTPPIGHLRAMVELGKLILAHQPSLSVHVLIATPPYQADATAPYIAAVSNSVPSITFHRLPEITLPPSSNVANHEELTFEVLHRNNPYVHEALVSISSKFKIQALVMDFFISVAFQVATELNIRPYYFYTGAAGTLASLLYLPNLHKQTTKSFKDMDLLLDIPGVPPIPAKDMIKPILDRSQKAYEFFFDTSITMPKSAGIITNTFEALEPRVIKAISDGLCVPDAPTAPLYCTGPLIASINETETGGASVLTWLDSQPSKSVVFLCFGSLGLFSKEQLREIALGLERSGQRFLWVVRNPPSGHLGVAIDEQGEPDLNVILPEGFLERTKDRGLVVKSWAPQVAVLNHDSVGGFVTHCGWNSVLEAVTAGVPMVAWPLYAGQRLNRVLLVEEMKIALPMVESENGFVNADEVEKRVRELMELKEGKVVREQITIMKEAAKAAWSESGSSRLALAKLVESWIHQ >OMO69031 pep supercontig:CCACVL1_1.0:contig12135:23030:23305:-1 gene:CCACVL1_19685 transcript:OMO69031 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAAWPLYAEQKFNKIVLVEELKLALPVNESERGLINAEEVEKRVRELMESKEGKLVRERTMAKSKKAAAALSEGGTSRAALAQLIKSWAQK >OMO69033 pep supercontig:CCACVL1_1.0:contig12135:29850:32412:1 gene:CCACVL1_19687 transcript:OMO69033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSCTLAGQVCSVLKTGGGCPLRSLFRCLIQIWFKIPV >OMO54204 pep supercontig:CCACVL1_1.0:contig15035:55:2495:-1 gene:CCACVL1_27973 transcript:OMO54204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKYHHLLRELEEPLAQAARCDMALSNP >OMO54205 pep supercontig:CCACVL1_1.0:contig15035:4589:4762:1 gene:CCACVL1_27974 transcript:OMO54205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGQIAEDVAKSFVHYYTNLINFPENVHRFYKESSILVRREQQGVTSAAIFKRVH >OMO54206 pep supercontig:CCACVL1_1.0:contig15035:8783:9848:-1 gene:CCACVL1_27975 transcript:OMO54206 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MSSESGKQLPENDSAGLNFDTELTLGLPGKSRSTTSDGGAKLGTKRGFLETVDLKLGDKDCVKSDDDVQEAAKSPVSKAQVVGWPPVRAYRKNAMKSCKYVKVAVDGAPYLRKVDLEMYNSYQQLLSSLEDMFSCFTIRNYLNEKKIVDQVNGIEYVPTYEDKDGDWMLVGDVPWQMFVESCKRLRLMKSTEAIGLGEDLNL >OMP07546 pep supercontig:CCACVL1_1.0:contig04350:3249:3590:-1 gene:CCACVL1_01287 transcript:OMP07546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKSVEIFRKTVRPTEITNHRLLVPTEAMWAFLLQVDSNKLDIEALDVNGKTWNFRYITRGPGSHHPQPYFSRGWMKFVRDNSLQAGDTIVFKLRPFIDPIYFIEVLRKNC >OMP07547 pep supercontig:CCACVL1_1.0:contig04350:7503:8658:1 gene:CCACVL1_01288 transcript:OMP07547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLFTKQLSKTDVEKRLAIPTSSLRGFNLNVDAYSVGFEAEDTKSGRIWQFQCTTRTKGLYSKPVISRGWVQFVKFKQLREGDRVAVYKLNQHDEAQVQYKIEVERKFKLAVPSEILWAFEFDEVDGAADFELKDIAGKKWQFRCSTRKKDFHPKPVVSKGWRRFVKDKRLQVGDKVVLYKDVEGDSSFRIKTMKKVFKLFGEDVWLES >OMO71013 pep supercontig:CCACVL1_1.0:contig11751:10853:11746:1 gene:CCACVL1_18507 transcript:OMO71013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MEAYKLISVLVITFTIAFSTSARMLDEQPANPSNAAVTPGTNTVPPIPLPTPSGSVGATNSGANPVNPDPDHTLTFFMHDILGGSNPTALAVTGVVSNPAINGQLPFAKPNGANLAVNSGINQNSGNTGLINNNNIPLLTGLGGNTQAMLQNNGNNLNGALNFPITTGGQLPTGSALQKLMFGTMTVIDDELTEGHELGSGFLGKAQGFYVASSIDGTSQTMAFTAMFESGHYADTLSFFGVHRTGVSESHLAVMGGTGKYVNAKGFAIVKTLPAGTNQQETDGFQTVLEFTVYLTY >OMO71017 pep supercontig:CCACVL1_1.0:contig11751:23023:45243:1 gene:CCACVL1_18511 transcript:OMO71017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, typical subtype MRGRQNKDRSNRRVEGHAVPQPQYYPAAPHPNFFFDPGFQIFDGHIPPFHPYQQISNEYVFQNAYVPPNPNTPARRKQPKPQAAPSSSQTTTIPNETPKEEVPEVREKAEQAVKEAWQTILASGRNVTTFDVSQKALATLQADSWSALGFDMKGIPSIQKLLNIEARVNVFIQCFILVRRITTVYELEMAICENEGVSTFEKLEIGPLLRHPLALRSFALNPDAKEVLKITSDDIIAHLQEYMEGHETQDIFLNEFLDFVAEKQAVASRENLGIHIRNLTMHVNFIMKAKGERNSKVNEGQKELKLKERYINMKQQVESFISVHKDFCGKHTKFDSSSSEEEDGNDSANEEAKNENHESNNSKLGSQISNSSDRVNSCPYPSATEELTRLGLTDGTDKPSSVTGKRKRTRAGRKRWERKRRSLTRALFSGSLTPVSDRPSKLSRGDGLMKHVPTDNGANLVRDVTLPDNAIKTFVTTWKEACREHDVAEIREEGREICLQPETPSPSSCLVALRRKSPVSGVATCCCSCSAKNALLLQANRADKGANEVNVLFISIYRITKCCCSGHRRLNFVMKHSPQASKAYISQSSQLTSVRKGMWDSIYDTIQAVRQFKSTDTADNYSEYESIDVEPSEKDASILTETQGVTLEDVIKKIIAYFELNHGIHGVRSLKEQKLLLLRKLFNCESWLAEQFCVNDFKSLGHGDFLVFLERHASLLPSELQQFVAAGLCNKSPLEVCITQHLLTVLMSQASYNLQDNNILTKETISALFMKQFPMLSFKVVENGSMEDFLEVVEKSKNDVSSNCVVFSSSLLEMCHNGNSLAYDKNHSSEAKCVENVMIVKSVASKDAMAVLLRAPMLSNLISWSHWDVLFAPSLGSLIEWLLNEANAKELLCLVTKDGAVIRIDHSATMDSFLEAALKGSAFETALKLLSLCSICGGIKHLPLALLKHHAVMALEVLLKNHVENMELDDDQNSILNGKAFLRPKLLEDVSVGSLGSELRLNLVKMNKAASRASRFFLDCLWYLPSEFRGCAADILIHGMRPVIKDCPSAILSECNELRHRVMLHEVGLSLGIVEWIQDYHAFCSVDTSNIFLSSEGLAMKTGISDLKTRPNNTQNAMDELSNAGKEIIVPDRTDKQSKVCPMIDRAEVSAESLGTENRRPSVDDPTDAALLIESIRRDEFGLDPHLSDNESSMLKKQHARLGRALHCLSQELYSQDSHFLLELVQNADDNVYAENVEPTLIFILQERGVIVLNNEQGFSAQNIRALCDIGSSTKKGYAGYIGKKGIGFKSVFRVTDAPEIHSNGFHVKFDISDGQIGFVLPTQVPPCTVDSFKMLVSGEDNHLDNNSWKTCIILPFRSVTSKGNDMNCAVSMFSDLHPSLLLFLHKLQCIVFRNMLNNSFIVMRKEIVGNGIVKVSSGIENMTWFVASKKLQADKIHRDVQITEISIAFTLQESDSGYYRPFLDQQPVFAFLPLRTYGLKFILQGDFVLPSSREEVDVDSPWNQWLLLEYPSLFVSAEMSFCSLPCFQDNPGKAVSIYMSFVPLVGEVHGFFSCLPRMIISKLRVSNCLILEGEKNHWVPPCKVLRGWTESACKLLPEALLYEHLCLGYLDKDIVLSDALARALGILDYGPKVLVQIMSSLCQRENGIKSMGLAWLSSWLNEFYTISSGHASINYDIETDLVSNLKKIPLVPLSDGTFGSVDEGTIWLHSDAINTGFERDLGFEAFPTLYAKLRFVNPSLFSASAFSVSHIGNITSALHTIGVQQLSAHEIIKVHILPELSHKKIKAGDENLMIDYLCFVMIHLQSSCLSCHVERDHIMSELRNKAFILTNYGFKRPAEVAVHFSKKFDNPVNIKRLINDGDLEWHEVDVTYLKHPSFRLLSSGLKKWREFFLEIGVTDFVQVVQLDKSLADMSSTVLQSLLSDLDLLSPGSAVKDWESHELVQLLSLLSTGGNRDGCKYLLEVLDRHWDYYSEKATACCNIKSGGDSRPFRSSFLSNICDTQWVVSSMDDKLHYPKELFHDCDAVRSVLGACAPYAVPKVRSGKLVDDIGFKTQVTFDDVLEVLKSWRSNASFKASIAQMSRLYTFIWNEIHNARQKSAEEIHAMPIFVPYKSASRPNDVVPGMFSSSKELYWHDSTGTLDQIMHDHFQSGSSFENQRHFIRSLSNVYPGLHDFFVNECKVPEKPSFCGYLDILVQLSKHSLPSKAAKAVFQVFREWADGLKSGFLSSEDIYHIKDCLTKSDYTVLPTVLDKWVSLHSSFGLVCWCDDESLGRRFRSKDNIDFLYFGALNDNEKELLRTQVSSLIRTLGIPVLSEVVTREAIYCDQVGGSFEASMLNWALPFAQRYLYCVHPDIYVQLKQSGFDKIKKLEIVVVRKLHYRNVIKSHGIMSKKQYECTSLLQDNILYTTRKSDSHALYMEFSRLLFGGTPDLHLSNFLHMVTTMAKSGSNEEQTEFFILNSQKVAKLPDEEPAWSLSFAPCEVENNELLETSSALTVGNEKSTLDSNKIPEKRWPPVDWKKEGRRSKRQKPNLQPTDGSKKSSCHGTEDIDSDTSSGFPSEMDVNMATTSKYPNFPDSESMLQQGNTCDPADCSGRIVIDPSVDSSLLSDSRQVLSFQSSLRNQLEFIPPVTPGFASPVTPGFVPPVTPGFVPPEYCPREQPLTGNPIGAEAKLTGKLGELAAFKFFSGRFGESVKWVNRDNETGLPYDLVIEGKDGHIEEYIEVKTTRFGRKDWFKISTREWQFGSVKGGSFSIAHVFLLSDKDAVVSVYKDPIKLCQQGKLHLVIMLPRQPQWSDACREDERIALLNLKPFFNDYGDLNEWVEGKGWDCCEWTRVECNSTTNRVISLSLNYTRVPYWGDQHFYLDLSLFLPLLELKALYLRGNAIAGFVEIHHHYQGFGNAIAGFVEIHHHHQGFANPPFPTLERLDLSDNNLNDIDSSILSSLTALSSLNYLDLSGNQFTGSGRTDGNGTHLRFTNLEELDLSNNLFRNNTFAFLSGLSSLKSLVLSYNQLRGLINITGLNNLIKLKKLDLSWNRIETLGSLKDSGRQLKLTHLEELYLSENLLNNSVFALLGGLSNLKSLLLSSNQLSGSIDMKDLTTFPHLEELDMSYNKLNEFQFVADKGNRSLRKLKVVNLDGVIANGGLTTLQEIIKGFSSVKTLYLRWNYFNSTVESTQELNMSWSNVEQMFLDDSHLDPNILQRIGGLTSLKSLSLSYSRLIGTLPHHGWCDLRKLEELYVDGNALEGNLPSCLGNLTSLRVLDISSNQFTGDLTPLSYLRSLRFLFLSRNLFQVPLSFILTNLTDLKIFFCDENKLVKEPIFHTPIPKSQLIAISLSKCTSDDHQQVTKEFLYFQHELRYIDLSFTNLKGNVPFWLLENNTKLEALLLMGNSFTGHIPSCLGNLTFESDYHKSYLVDSFYVASSMVDESEYIGRDRVSRKYPVRYMEEKVEFTTKSGSYSYKGNILDYMSGIDLSCNNLTGLIPLQLGNLSEIHSLNLSHNNLTGVIPSSFSNLKQIESMDLSYNNLDGEIPNQLVELNSLEVFSVAHNNLSGSTPERKAQFGTFDENSYEGNPLLCGPPLNNSCSKSDSPPTASDHEDGEGSLLDMYFFCVSFLVAYVAVLLVIVVVLYINPYWRRLWFNFIEDCIDTCRYSKVGRFIVYHISRRFA >OMO71015 pep supercontig:CCACVL1_1.0:contig11751:16139:17098:-1 gene:CCACVL1_18509 transcript:OMO71015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P/MRP protein subunit MEVFLDPNKENVVDDPIVITQFNISKAIKESILVNFGECGLASSLGSFQVKYVNPITKLCVIRASREDYQKIWSAITMVRSIGNCPVLFNLLDLSGSIKACKNAALKCDELKFEQYKLMVGARLSADVTQQMQNCLEKIKILEH >OMO71014 pep supercontig:CCACVL1_1.0:contig11751:13152:14207:-1 gene:CCACVL1_18508 transcript:OMO71014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MAKIAILTSKAFKAITYLLLLAIIFTCANSARLLDEVEPQPQVVDDIPQASNPVATTVPPNTLPSGQVPAATPTAPEDDGADVAPVGGPAATSATTGGGGGAAAASATVANPGPHEPALSFFMHDILGGSHPSARVVTGVIANSEINGIPFSKTNNDLFPIQGASPLLTGSNINNLRNINNLINPNNVPFLSGLTGAQTNAILQNTGNNDNVLNSGSQPFVQAGQLPPGSLQRLMFGTITVIDDELTEAHELGSAVLGKAQGFYLASSLDGTSQTLALTVLLHGGEHGNEDAISFFGVHRTVSPDSQIAVVGGTGKYENARGYATVETLHQEDQHITDGVDTILHFNVYLH >OMO71012 pep supercontig:CCACVL1_1.0:contig11751:3409:9396:1 gene:CCACVL1_18506 transcript:OMO71012 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP2/3 complex, 20kDa subunit (P20-Arc) ANTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSTELLLNPVFASPLISTGFLAETDAKMHNLRHLICRLSSTKKPVSFVNNPFRAIGSRAFSANSGDSDDLNWEGTSSWSTGMTQGHFDGQAVGRQVAEEAAHRSAVLSERQEVDDALNELNAEANRSEAFVNGWDQRMLDVCALLKQVVEPGARGSYLKDSEKAEMYRLHKENPEVYTVERLAKDFRIMKQRVEAILWLKKDEEEMRKQGRLPLDDSVEEILDTFPELCVSHDREFHVASLPYKPDFKVMPEGWDGTTKDIDEVHYEISKKEEEMLYQEFVQRFNFNKLKFAGEVKRHKYSRRRSSEGWNFTVEKLGPKGKRGGGGGWKFVSLPDGSSRPLNETEKMYVKRETPRRRRRILP >OMO71016 pep supercontig:CCACVL1_1.0:contig11751:18603:19705:1 gene:CCACVL1_18510 transcript:OMO71016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MFPSVFLILLPLLFLSSYSCTFTITNNCPYTIWPGTLAGSGTPQLPTTGFRLDSGQSVRISSVPGWSGRIWGRTGCSFDASGVGSCQTGDCGGRLECDGNGATPPASLFEITLGAGNDQDFYDVSIVDGYNLPIVAAPRGVYGGCNATGCAYDLNMGCPKELQVVGGDGGAMAPGRVVACKSACEAFGLDQYCCSGEFANPTTCRPSFYSTIFKRACPRAYSYAYDDGTSTFTCKAYEYLIIFCPNSQRANQSESTFRPPFNDDGTYGKVVQIVSSSNILLPLPAIILLVVNMFF >OMO82384 pep supercontig:CCACVL1_1.0:contig10046:46749:47759:1 gene:CCACVL1_11972 transcript:OMO82384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPSEKKAGVYTYIGQWPIYSVAWSVRNDKRSRLAIGSFLEDYSNKVELVQFNLDTSDFTTDSRLIFDHPYAPTNLMFFPYEDSSNPDMIATSGDYLRLWEIHDDHIELKALFNGNKSSEFNSAITSFDWADFDMRRVATSSVDTTCTIWDIEREAVDTQLVAHDKEVFDISWGGFNVFASVSGDGSMRVFDLRDKERSTIIYENPIPETPLLRLEWNKADSRFMATVGMDSNKVVIVDIRYPTTPLMELCKHKGSVNAISWAPFIGKQLCSVGDDSRALIWDVVGSNFQSENGGEMEPEMWYASAAEINHARWSPIELDWIAIAFLNKLQLLKV >OMO82381 pep supercontig:CCACVL1_1.0:contig10046:24415:27442:-1 gene:CCACVL1_11969 transcript:OMO82381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission ELM1-like protein MGRRSTAEVFEGGIYGAIKRVVVIGNGFSGAENQCIGLVRALGLSGHYSLHRVTRPRGGINEWLHWLPLSLHKKVDYIINQICRFQMEVRSNKVAPFSVEKAGLSNVLEADAKQIAMVARNTFEKDGPLLVVASGRDTISVASSIKRLAPENVFVVQIQHPRSRLNRFDLVITPNHDYYPLTPHAQKQIPWFLQRWITPREPPGRNVVLTVGALHQADSAALRSAASVWHDELAPLAKPLLVVNIGGPTGSCQYGVDLAKQLTAMLQNVLWSCGSVRISFSRRTPEKVSKILLKEFSTNPKVYIWDGEGPNPHMGHLAWADTFVITADSVSMLSEACTTGKPVYVIGAERCTWKFADFQKSLRERGVVRPFTGKEDISESWSYPPLNDTAEAASKVIKALAEQGWTIRSQSVCNI >OMO82383 pep supercontig:CCACVL1_1.0:contig10046:31599:39080:-1 gene:CCACVL1_11971 transcript:OMO82383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sel1-like protein MAWNDKDVNGRERELIAENGFLKEPQSSPASSISAADVAPVSKAFEGKDALSYANILRSRNKFVDALALYETVLEKDSGDVEAHIGKGICLQMQNMGRQAFESFSEAIKLDPQNACALTHCGILYKDEGRLLDAAESYQKALRADASYKPAAECLAIVLTDLGTSLKLAGNTQEGIQKYYEALKIDPHYAPAYYNLGVVYSEMMQYDTALSCYEKAALERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGVAYYKKALYYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIIANPTYAEAYNNLGVLYRDAGNISMAITAYEQCLKIDPDSRNAGQNRLLAMNYINEGDDDKLFEAHRDWGRRFMRLYPQYNTWDNPKDPERPLVIGYISPDYFTHSVSYFIEAPLVYHDYGNFQVVVYSAVVKADAKTIRFREKVIKKGGVWRDIYGIDEKKVASMVREDKIDILVELTGHTANNKLGTMACRPAPVQVTWIGYPNTTGLPTIDYRITDPLADPPDTKQKHVEELVRLPECFLCYTPSPEAGPISPTPALSNGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDSVRQKFLTTLEQLGLESLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGSVHAHNVGVSLLSTVGLGHLIAKNEDEYVQLALQLASDVTALQKLRMSLRDLMAKSPVCDGQNFISGLEVTYRSMWRRYCKGDVPSLRYMEMLQKQGVSEDLNIKTTEPEITILKDTSPGVVKSNGFNQVPSPMLNLTTCEENGSQLNQTTNSGKLS >OMO82380 pep supercontig:CCACVL1_1.0:contig10046:20889:23143:1 gene:CCACVL1_11968 transcript:OMO82380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITDVNWEGFPPPFLTQQQSSEDALRGATENGTKEGGKVGAPAKKKPEKVANPLFEKCPKQFGIGGAASTSLPPKKDLPPIREVAQGCSHSKEEEDLKSFLFLPITEGVYLRLPLFESMARREKKKRRKNIDNGEQGGDQVLKDRLSDLPDSLLIHILSLIPYRTCFQTSVLSKRWKDLWTRSLPDLILHRYNCNLSPSKKLLFKKFVVYVLSRRDNNLPLNKLSFKYFGTAKSFIKRVINYGVSHNVQHVSIELKRVSFKTLFPSLNIAQSLKTLELSDLINLHLLKDCTLPSLTALTLRDCYFFREKDDSIDPFVGLFNLNSLQLYYCDMHRRFNNFKITGPQLVTLKISTSSFGYCKVEIVAPKLKFLSLKSGYIDKSVEFLGLDLPLLEMAEIHLDILKFIEQEWLREESLLL >OMO82385 pep supercontig:CCACVL1_1.0:contig10046:52001:52682:1 gene:CCACVL1_11973 transcript:OMO82385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting 55 MLSALMYVLVPMPCLFFGGGSTQFLISRDGGGWIDAAKFLTGASAVGSFAIPIILRHAHMISTGAMFIEFTSFIIFVCTVLCFHRASLEDDW >OMO82386 pep supercontig:CCACVL1_1.0:contig10046:60224:61614:-1 gene:CCACVL1_11974 transcript:OMO82386 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MENIAPGFRFYPTEEELVSFYLHHKLERKREDLNRTMDQFIPTVNIYEFNPCDLPQLSWYLSHKETEQWFFFVPKQEGEARGGRPNRLTPNGYWKATGSPGFVYSSSNNRPIGFKRTMVFYYGRAPNGSKTEWKMNEYKVIDESAPHPSDAATPPTLDEFCLCRIYKKTKCVRSFDRRPVRAAGRGRVLQICDLPQPRAADNHRQGDQVTLGAIGAASNDQQSGSPLVAERNMSSSQDSSSSGDHGNNIYGQTGESSNTSSPLMFDYDESFWCLDGFWENIP >OMO82382 pep supercontig:CCACVL1_1.0:contig10046:27832:28924:1 gene:CCACVL1_11970 transcript:OMO82382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASSEGGPPEVTLETSMGSFTVELYYKHAPRTCRNFIELSRRGYYDNVKFHRIIKDFIIQGGDPTGTGRGGESIYGSKFDDEIRPELKHTGAGILSMANAGPNTNGM >OMO89529 pep supercontig:CCACVL1_1.0:contig08685:16135:16324:-1 gene:CCACVL1_07780 transcript:OMO89529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IQGNWSWIWKFSTIPKIKHFIWLLNHQKIASKELFHRGISQTDKCLLCPNDTKFVDHIFRKCN >OMO78233 pep supercontig:CCACVL1_1.0:contig10613:1113:1187:-1 gene:CCACVL1_14554 transcript:OMO78233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRPDVVHCLSLSLSIEPTLLLKK >OMO78234 pep supercontig:CCACVL1_1.0:contig10613:2458:7077:-1 gene:CCACVL1_14555 transcript:OMO78234 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MTTDERFSQLTNKRYSKMYQFVDGREILKPLVVQDSRSQNIHVPSNVSENKGHVSVDFTIQNRSCGIAQTECPSVRIVIQEKVGLGSYSELDAACSCSGHESLYPIPSQTTTTCKLIKVHAVTHSPSPILSLTEKASQPSHVSRVIDSDQESCNELEASRVLQNVHISAQLMEDFLELAKDNTKKDLETCGVLGAFLEKGTYYVTTLIIPKQESTSNSCQAINEEEIFSIQNDRSLYPVGWIHTHPSQSCFMSSIDLHTQYSYQVMVPEAFAIVVAPTDNSRNYGIFRISEPSGMSVLKECQEKGSQFHSHSETENGHPLYEHCTHVYKNSNLRFEIFDLR >OMO78237 pep supercontig:CCACVL1_1.0:contig10613:24000:24383:-1 gene:CCACVL1_14558 transcript:OMO78237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATATGCSGGLSQTKTTYERRHVAGFPKVTTIEID >OMO78240 pep supercontig:CCACVL1_1.0:contig10613:34936:36327:1 gene:CCACVL1_14561 transcript:OMO78240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MAESKSAKRQPVFTKVDQLRPGTSGHTLTVKVVSSKMVLQKGRADGPQVRQMRIAECLVGDETGMIIFTARNDQVDLMKEGSTVTLRNAKIDMFKGSMRLAVDKWGRVEVTEPASFTVKEDNNLSLIEYELVNVVEE >OMO78235 pep supercontig:CCACVL1_1.0:contig10613:10364:13403:-1 gene:CCACVL1_14556 transcript:OMO78235 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxisomal membrane protein PEX14 MATKFLLHPSVCRSPVSHRRSFLEKRGLTSDEIDEAFRRVPDPNSAGTFGKGMDRNQDVQSKAFASVQQQGTGQSSQPLAASIVTASPSSRFSWSYAIFSLLLLILSGAGTSMLLKKFLVPRLKSWICKVVLEEDDDKRENSKLTLSKETIEAAKAAAAASVNAAKASLEILQSKKEELGSMSKTIKRLEAASHKLPGEYVQHASQNGLGTKLQELSIIHTDDPGNSVSSSMKFKPSVISSYDSSVRPSSAPLRSSAGQHPKSYMEILDMIQRGEKPPGIKDIDDSPPNPDQPLPNPRITPKLKASHS >OMO78238 pep supercontig:CCACVL1_1.0:contig10613:24922:28647:1 gene:CCACVL1_14559 transcript:OMO78238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase MGSKSYEEAIAALSKLLSDKADLGSVAAAKIKQLTAELEAAADPAKFDPLKRIETGFVSFKTEKFEKNPDLYGALAKGQSPKFLVFACSDSRVCPSHILDFQPGEAFMVRNIANMVPPFDTTKYSGVGAAIEYAVMHLKVENIVVIGHSCCGGIKGLMSIPEDGTTASDFIEQWVSICAPAKNKVKSELTELSFADQCTNCEKEAVNVSLGNLLTYPYVREAVVKKSIILKGAHYDFVKGKFDLWDLDFKITPTLAV >OMO78239 pep supercontig:CCACVL1_1.0:contig10613:31405:33861:-1 gene:CCACVL1_14560 transcript:OMO78239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MKATLPSTTPKLRTFSSPPLSFNFSFTFPETRRKLSGTSLLRGSPSSISYAPLRVTANSQAAAPVSAEAAKATAVPSEMKAWVYGEYGGVDVLKFDEKVAVPEVKEDQVLIKVVAAALNPVDGKRRQGKFKATDSPLPTVPGYDVAGVVVKVGSQVKELKEGDEVYGDINEKALEGPKQFGSLAEYTAVEEKLLALKPKNLDFAQAAGLPLAILTAYEGLERTGFSAGKSILVLNGAGGVGSLVIQLAKQVFGASKVAATASTGKLELLKSLGADLAIDYTKENFEDLPEKFDVVYDAIGQCDRAVKAVKEGGSVVALTGAVTPPGFRFVVTSNGEVLKKLNPYLESGKVKPVADPKGPFPFAQVAEAFSYLETNHATGKVVIHPIP >OMO78236 pep supercontig:CCACVL1_1.0:contig10613:14967:16367:-1 gene:CCACVL1_14557 transcript:OMO78236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFEAVNFFKVYYSHYTSRTMCIPPNSVVLPKGSMSAKATLRNSSKNQCWHVELKQVGGNMYFERGWTKFVDQNTVNDGDILVFTYVGSSLFDVTVMGPNGCMKSIFEQQEEDYCEIQGDDKSEEIQVLATSNKKTKGCRGKGSGSKNNKGRVEDEDVELYIARNVNQLNPCFVVKGRKHRDNELYIPSDVTKHYNLNLEDEEEITFIDPLGRKMIGKVFKWKDGRICIHGWKTVCVINRLNSHRDVCICEFLLHNKEQQDDDQGQGPTNCIKLHIRRGNQQ >OMO87140 pep supercontig:CCACVL1_1.0:contig09312:5742:6341:-1 gene:CCACVL1_09244 transcript:OMO87140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGLLPHLVFVLAICLVATIVSADYKYASPPPPKQPYHPPGHYKSPPPPPKHPQHPPGHYKSPPPPPKHPHHPPGHYKSPPPPKHSPPPPYHYKSPPPPVKSPPPYLPKTPYVPLPKLPPPSLPKAPYVPLPKLPPPYHPKTPYVPLPKLPPPSHPKKPYVPLPKLPPPSHRPYVPLPKLPPPSPYLYKSPPPPKAY >OMO87139 pep supercontig:CCACVL1_1.0:contig09312:1248:1355:1 gene:CCACVL1_09243 transcript:OMO87139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAWGEVEEHRGGEMEEEAWEEEHRGGEMEDEA >OMO87141 pep supercontig:CCACVL1_1.0:contig09312:8943:9821:-1 gene:CCACVL1_09245 transcript:OMO87141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLRLVYALAFCLIVSTVAADYKYASPPPPKHPNKPPGHYKSPPPPKHIPSPSYKYKSPPPPVKSPPPPYHYTSPPPPVHSPPPPYVYKSPPPPVHSPPPPYVYKSPPPPVHSPPPPYVYKSPPPPVHSPPPPYVYKSPPPPVHSPPPPYVYKSPPPPVHSPPPPYIYKSPPPPVHSPPPPYVCKSPPPPVHSPPPPYVYKSPPPPVHSPPPPYVYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPKEHKPHPPHPIHPPHPKTPSPPHPKYLYNSPPPPKPY >OMP06398 pep supercontig:CCACVL1_1.0:contig04950:4397:19298:1 gene:CCACVL1_01595 transcript:OMP06398 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MSQKTGIPPSHRYTKSLPIDFRFMGSPTSDPVRYADGKLENNGVTSLSIPENGNSGSKVIERVENGVADVDQANDDSPYSGNAVLVEDRPSSVGDEDLDAAAAPLPSVSKSSIERRWSDISSYATKKKVQSWFQLPNGNWELVRTMSTTGTESIISLPDGKVLKVNSESLIPANPDILDGVDDLMQLSYLNEPSVLFNLQYRYNQDMIYTKAGPVLVAVNPFKEVPLYGNDYIEAYKNKSIESPHVYAIADTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFSRVVQCAQGERSYHIFYQLCAGAPHALREKLNLKDVDEYKYLKQSDCYSINGVDDAEQFRIVKEALDVVHVSKEDQESVFAMLAAVLWLGNVSFTVIDNENHVEAVADESLINVAKLIGCDTAELNLALSTRKMRVGNDNIVQKLTLSQAIDTRDALAKSIYASLFEWLVEQINKSLAVGKRRTGRSISILDIYGFESFDRNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVEFDDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDFTFANKLKQHLNSNPCFRGEREKAFTVSHFAGVVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPQTFASNMLSQSEKNVVGPLHKTGGADSQKLSVATKFKGQLFLLMQRLESTTPHFIRCIKPNNFQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLENVASQDPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQPYHPTPCPLFSLGFLKIGVLEDTRNHTLHGILRVQSCFRGHQARRRFKELQRGIATLQSFVRGEKTRKEYAVLLQRHRAAVAIQKQIKGRNARKKFKNVSDASIVIQSAIRGWLVRRCSGDLGLLKSGGSKANESDEVLVKSSFLAELQRRVLKAEAALREKEEENDILHQRLQQYESRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAVDESERNSDASVNASDDREYSWDTGSNHKGPESNGLRPMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFETWKKDYAGRLRETKVILNKLGSEEGALDKMKKKWWGRRNSTRSRKWFLHIPFLTLGMTSYDVSLFLSKKLSAIYFVFFVDSLNASISSAEGAFPNIEIEIGDNLSGSLALSNAEDESRSSFASEVFVNASGGVALINEEEEDHVGSAETATINIIRKKLIEVMTLVVSFTALVELWKPFGKNQSISFLIFIVCLMIAFYFGINGALLMNHKITSQSQVVIKALTLIAFLSLIASFTVLLSALLSPSLKWLPWVFFAFTFALGMLVFYGGQCHQFGLQAYQRLRTGISSPT >OMO53252 pep supercontig:CCACVL1_1.0:contig15262:15589:15660:-1 gene:CCACVL1_28774 transcript:OMO53252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGKERSVNGGWDLDREIGTES >OMP04769 pep supercontig:CCACVL1_1.0:contig05667:1145:1228:1 gene:CCACVL1_02138 transcript:OMP04769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKRKLNSITNHHPSKKSVQRHHHFTHK >OMP05742 pep supercontig:CCACVL1_1.0:contig05241:5938:6334:1 gene:CCACVL1_01838 transcript:OMP05742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHEKANFNAQDVDVLWTQQSFSDENLLELYPHFTEIVFLDKVAALRSLLGDVKI >OMO97515 pep supercontig:CCACVL1_1.0:contig07233:3851:6433:1 gene:CCACVL1_04526 transcript:OMO97515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSWFHRSVSRNNKDQKSPQQQQPNQFGQNQRDQQEELLGITQQLIDYVKSFTLETFKNFPLQDDEVAISNTETQTSSNVRKDLSDWQQQHAVLVLSKVKELSQLRFKLCPGHLKEEKFWKIYFKLVKSHVAEYELHAIQQERLKRISVMNEKTSDTSAYEVEMSERNQAAGVAPSTP >OMO97519 pep supercontig:CCACVL1_1.0:contig07233:37347:40080:-1 gene:CCACVL1_04530 transcript:OMO97519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWFQDKSGHGFNPVTNTVDWTTEQWDDYIKKVPEAKQLRYVGLQFADEMRSLFDDITATGQESWGPSKQGLPKHGAEKPSSSAQPTVRSYESHIDIEPESPPNPLSPAETSLPKKKHHKKNNDGDLDEKLLVVLNTLEQSDGPSIEECNKKLDEMETLHMEDPLYLAASCIFCESKAYHVFLEHADNENVDNPMMPQNNNEGLPPAGARTTQADQIFMINLREQIANQLFVAN >OMO97518 pep supercontig:CCACVL1_1.0:contig07233:15671:18346:-1 gene:CCACVL1_04529 transcript:OMO97518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGDVIGWWNMVQNMVAHVICLVAQWYFIRMQSRKRKITYSMTSERERIREEIMSRIMNSETSRNIVRMGPPAFLSMPPKRNDNEGGTSKSSLVWTPNMDTVLIDALLTQYNLGNKVNGNFTSTAYANVVDEIAKTFNISVEKSQVQSRWKILKNHFAEAWDIFNKTSLSGFNFDPETQVWNAEPEVWSELIKEKPKAKMYINTPIPHYNKMVILYGKDRATGKRSVTAKEMRKNFTCIGSADFTDSTNEVHPTMSQFSVQNARSVEDDDIDMMSPSEYEHVSPLHGSARGNKKAKKSVDKEKNVGEGIKEAIEKVADAIKTSGERLGKKAQISATETVQLLKDLGFEPPLLHVIYSKLTMNVDLLNDVLGFPMEMCRDYILSEALGDLTSFTM >OMO97517 pep supercontig:CCACVL1_1.0:contig07233:8297:12464:-1 gene:CCACVL1_04528 transcript:OMO97517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, ZZ-type MDSSEGSLMEMENQSSKDAVNSEEIPDQFVCCVCLDLLYKPIVLHCGHMACFWCVHKSMNTRNESHCPICRNPYSHFPGICQVLHFLLLKLYPTTYKKREHQILEEEKRTGYFSPELNSHECELHGDGEFDYCGSPTNSTAMCFQPSTYPDSTRNHKLCKTAERVESHVHTEQNCDGDLNQIIPVSGQEKEQISVADLLCTACKQLLFRPVVLNCGHVYCQTCIVPTDTVLRCQVCQSLHPRGFPPVCLTLDQFLADKFSKEYSLRRDAIQLKQDSSDHERATTYHLPLAVEPGTYTHRGVGCDACGMSPIVGDRYKCKDCTEAIGFDLCRDCYITRLKLPGRFNQRHTPEHKFELIKRDMTPRLLTRITEQLENVSTSVVISDFVAVSRDNTIDDPASPYLHMENQDDTPTNE >OMO97522 pep supercontig:CCACVL1_1.0:contig07233:74057:85168:1 gene:CCACVL1_04533 transcript:OMO97522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTERQGGTPLNLEVKTTSWVLKALLSIWK >OMO97520 pep supercontig:CCACVL1_1.0:contig07233:56567:63476:-1 gene:CCACVL1_04531 transcript:OMO97520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAQGKLIIGEASNKIKFWVEDEVESSGLSENVEHSWMKLEFEVHG >OMO97524 pep supercontig:CCACVL1_1.0:contig07233:98916:101883:-1 gene:CCACVL1_04535 transcript:OMO97524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKDMTSKLWRWGSGLLYIFAVAAIWIAASFVVQSVVDAGVSPFLVTYICNSLFIVYIPLVEIGRYLEDTYGSLIMFWRNKKCSSLQDLGESEKAILLGEGGLGAKVDGSSQFSLLEEGEISLKEGDDSQMDNGSDEHSRTLPGEGEVGEVADTELELDAKGRWTRTRVAKVSLLICPFWFLAQLTFNLSLKYTTVTSNTILSSASSLFTFLVSLAFLGEKFTWVKLVSVLLCMAGTIIVSLGDSSNSKNLCVIASNPVLGDILALLSAALYAVYITLIRKKMPDDDDEKSGSASMAQFLGFLGLFNLFIFLPFALILIMTKLEPLNTLSWKQFGLIIGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPLAAVVDTLIGNAPHLMNYLGAAAVMIGFAGINIPYDVFGRSKETTLQLENENASSTSEEHVERVASN >OMO97523 pep supercontig:CCACVL1_1.0:contig07233:95458:97788:1 gene:CCACVL1_04534 transcript:OMO97523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGHKVALYVYDLSQGLARQLSMTFLGKVIEGIWHTGVVVYGNEYFFGGGIQHAPVGTTPYGKLIRVIDLGVTHVPKDVFEMYLQEISPRYTAETYSLLTHNCNNFSNEVAQFLVGSGIPDYILQLPNEVMSSPMGALLMPMIRNLETTLKAGAVPQVPQFRPSVSAQPSQPLTVNNANGSAAQANDHDNKVKAGEQPTNSVEVETSEKTTPPSPPSKLTGAQHGSTVDPLGDARAKVQEEISREFAAIMATGTLRASEAAAMATRKVMQKYGHLNVAMPHS >OMO97525 pep supercontig:CCACVL1_1.0:contig07233:104659:105694:1 gene:CCACVL1_04536 transcript:OMO97525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSKKREENEPKRELLKWSEEMDTVFIDAMSEQNVNGDKATGEGATSAKEKMFQWANEEDGNQIDDFSTFAPSNSSCELQLDEDSTSPVHATSKVNSEVEVEASSKSKKRKASGCLDKQFEIMNDGINNVVEAIREGNDIAAKGIVVLEKKGQPTYTEDKVYQELVRLDIPKGILLDALLFLVKNDKNCRAFFAVPTSLHKELLYKMMGCSNVS >OMO97521 pep supercontig:CCACVL1_1.0:contig07233:64707:65093:1 gene:CCACVL1_04532 transcript:OMO97521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKLKPNEVMKGESSTIPYYNNF >OMO97516 pep supercontig:CCACVL1_1.0:contig07233:7877:7993:1 gene:CCACVL1_04527 transcript:OMO97516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCKSQPEKPCCLTYPYHHRFMQRATTMTTTTTKKEFG >OMO76398 pep supercontig:CCACVL1_1.0:contig10905:79971:81066:-1 gene:CCACVL1_15705 transcript:OMO76398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancyauxin associated MVLLDKLWDDVVAGPQPERGLGSLRKLVTAQPLTIKDEGEGSRFQRSLSMPSSPGTPTTPITPTTPVSARRDNVWRSVFHPGSNLATKGIGAAVFDKPSQANSPTVYDWLYSGETRSKHHR >OMO76387 pep supercontig:CCACVL1_1.0:contig10905:8942:11979:-1 gene:CCACVL1_15693 transcript:OMO76387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNLPRRIIKVQFNPSLSPKKVDVVLAFRGK >OMO76404 pep supercontig:CCACVL1_1.0:contig10905:110622:111371:-1 gene:CCACVL1_15711 transcript:OMO76404 gene_biotype:protein_coding transcript_biotype:protein_coding description:ethylene-responsive transcription factor 4-like protein MAPREKTAAVKGNGNGNGINKESPSQSSTVESSSREPALMIDSSPLDLNLGQGAVSRFGSSAVRFPFQQVSPVPGVFAAGMPAVVSPQVFYFDAFVRPGAVKGQPYQQRMRLDHHDFHPTFNVNIGGVQSDSDSSSVVDLNHQEIKPRQLLNIDLNQPALPEMA >OMO76397 pep supercontig:CCACVL1_1.0:contig10905:71626:75995:1 gene:CCACVL1_15704 transcript:OMO76397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MPAQKRSYEAVEVTATPPEDDDPLLIDHSNHHEQHHQEETDDAADDESDRSPSPCHEQKRDYVLVKLSDIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEEKARNKQIQASIAQTLHRQSEVLGRKRTVKATASAIVRRSKSRHQGRRRYRPSEPRESDDNENANGSGCRDSSSADEHRTEVKPKRLKRFGGRCSHPSSAASADGVGDENDSEVNRESLGISAALFLQERLHWGAGGMRSNTRHGSLNGGNGKNSRSSRLSKLVDGLRNIEEKDNNELDIHLMLVSVDKQRVPSLERPYLCCRPTLLVRHLCQYVALQTALQASEIEIYLVKEFYSMFNMSTCTIIKPDLVDSVRDKLQVLKDEETLAGLKMQNPCHGHLILAYQKK >OMO76399 pep supercontig:CCACVL1_1.0:contig10905:82185:82301:1 gene:CCACVL1_15706 transcript:OMO76399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEAKTHVEETKTKAQKVTRKAQEAARQNSDANLQTN >OMO76393 pep supercontig:CCACVL1_1.0:contig10905:45111:46408:-1 gene:CCACVL1_15699 transcript:OMO76393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MLSNCEKMVVISSTTNEWPQTHNIDEKDLMASTARLMEKPAVTQEQQQPQQQAQQQIQQQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKRPSSAIDAAGGVSSTSGGANPNLPHSHQPQIDVSSTSSPHHINPLFYGLTNNPSDINNNLPFPRFNSTGGGGGGYDLQPQLNALALGFSSGGDSHHDYGNGFNVNPSKQIQDISSNPLLSSYSNLFGSSSSSSNTPTIASLLASTLHQHKFMNGGVKNTTQNTLNHFQALPPFQDLQVTGNNTTENGLSMKDHVKVELEGQSRSMEWNVNVGCQNQLEQVGLSDPTVYWNPTSVGGGGGAWHDPSNIGSSVTSLI >OMO76394 pep supercontig:CCACVL1_1.0:contig10905:57850:59513:1 gene:CCACVL1_15701 transcript:OMO76394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MATSKADGKRSLKEMTEDEEEEDEDITPLGFSDDIEKKKRGKRGSSAAGGSSALPSCQVENCNADLTDAKKYHRRHKVCEFHAKSPMVRVAGIHQRFCQQCSRFHELAEFDETKRSCRRRLAGHNERRRKSSSDYQGDTSNYN >OMO76401 pep supercontig:CCACVL1_1.0:contig10905:84274:87517:-1 gene:CCACVL1_15708 transcript:OMO76401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSTSAAVPRAAKEDERRATFVTEQEAHSSDMDIDDDDDKGYEDLHCSSRNEDPCTNYEDSKRQISGKQPAKEKASHEFVVSGKDGRSILERKVPENNNEHREKSKQMNAQAEDDIRRLKSRSAAQQNSTGSLSPDVEFNRGNKRPALICDFFARGWCIKGSSCRFLHIKDSENNPRNQSEENVDAADGKKAVQLDEGFRNAAEGSISPGSTVTPPSSAGNKIALSSHLLSERVSPLLHDQNQKLHSFHEISKFPLLRSKDKSVGTSLASWQLSACKGDFGPSKDVMQNSVGHNFPADIHAKPASLIDRDGSSFRNSFLPEYKSSLSGSVASLGNSYSENQAYRVSTWIKSLPFGPSLSASLGAERVLESDRERHTSRLSSLLQSSSPFSRSEPEKFPLNDISGDPLHFADYRIKISSDDWEPSVPFRPSFFVTSTISSPQSQYDPLRDGMDLSNAGERSLKFSFSSQGPAILNGAYPPIYGDSTSTGHVVPECNGDKKAASSNRYHENLVNNTCHTSEKDSLTTDANDGTSAADMQNGTLPKEEISSVASHVKDISEINKIATDHDGRYQRDGARCKNELKVDRAREKNEIDVEHKADGNTFKESKLMRHFHAALVDLIKELLKPTWCEGHLSKDAHNTIVRKAVDKVIGTIQPDQIPTFESVKHYLSFSQPKIAKLVEGYIDKYRKS >OMO76402 pep supercontig:CCACVL1_1.0:contig10905:90095:95469:1 gene:CCACVL1_15709 transcript:OMO76402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLDLAISTPFAMRISCGARQNVQTPPTYARWYKDFAYTGGIPFNATRPSFVTPPLKTLRYFPLSEGPENCYIINRVPKGHYSVRVFFGLLEPGVDNEPLFEVSVEGTLIHSLKPGWTTHDDQVFVEALVFLLDGTASICFHSTGHGDPAIISIEILQVDDRAYYFGPEWGKGVILKTTSRLTCGTSKPRFDEDYSGDHWGGDRFWHPIRTFGQNADEPRSTEGSIKQASDAPNFYPEALYQSAIVSTDSQPDLAYTMDVDPNKNYSIWLHFAEIDATITDAGQRVFDVLINGDTVFEDIDVVDMSRQRYTALVLNTTKAISGRTLTITLHPKKGHAIINAIEVFQIIDAESKTSPEEVRALHALKKALGLPNRFGWNGDPCVPKEHPWSGADCHFDQSGSKWSIDGLGLDNQGLRGFLPNDISKLRNLQSLNLSGNSIHGSIPSSLGTVTSLEVLDLSYNFLNGSIPESLGQLTALRRLNLNGNSLSGRVPAALGGRLLNGASFNFTDNAGLCGIPGLPTCGPHLSAGAKVGIGFGVSLAFLLLVICSVCWYKRRQNILRAQQIAARGAPYAKSRTQLSHDIQMSRHHNHHNNARTAAENGPSLLS >OMO76395 pep supercontig:CCACVL1_1.0:contig10905:61314:63678:-1 gene:CCACVL1_15702 transcript:OMO76395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGESSGPSGYKEYVAGLLAGVATVIVGHPFDTVKVKLQKHNTGVHGIKYRNGLHCSARILATEGVRGLYTGATSSFVGLSFESSLVFGIYSQAKQALQEGTQSNGPQPQAIIPSAAFGGAIISFILCPSELVKCRMQVQGTDSLVPKSSRYSSPLDCAFKTIKKDGVTGIFRGGSATFLRETIGNAVFFSVYEYVRYYMHLQLNTGSSNHRNLIDMGVGIMSGGLGGVACWSVVLPLDVAKTIIQTAPDKSSSTNPLQVLNSIYRRAGLKGCYSGLGPTIVRAFPANAAAIVTWELAMKLLGIKND >OMO76400 pep supercontig:CCACVL1_1.0:contig10905:83094:83627:1 gene:CCACVL1_15707 transcript:OMO76400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHMQNRISIDLASDSSSLDSLSFAGLVCIQDQQSKSPTDIVYQNNKDDQEFEFVSGTQSRSPQNLQKNSNGHLLPLEFLLKSRQSQATNEQDYKQSRTASLSSSKTPMDNHVNHTKVSNRSNQKVKNQVKKERTAPRSSFGLKLLQSFVSPCRECHAASPTMKAPTVPQESVKLH >OMO76396 pep supercontig:CCACVL1_1.0:contig10905:64532:68785:-1 gene:CCACVL1_15703 transcript:OMO76396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPETVEYARNFSVLVRVQGPDPKGLKMRKHAFHQYHSGKTTLSASGMLLPDTLYNTEVAKSILDSDSGQNLMLVMTVASVIEPFLTIQHRENRSQRLPELIPGAQVDIMVEENMIVNSDKGTCPWLAARVLKMVDVPTSSLALQSLVEASSGSQEHGWELGWSLASTHQPSLDSIHTQIQYDNKRLMEHQRLVVGELSNPSLMASISVGSVANCYPPKSSDRALLMADIRCLPGEDIIMYGLPMHFLHNGVRSPDYDLTSNMSGMEGGPVFGDQNTLVGILTRPLRQKNSDAEIQLVIPWEAIASACSDLLLKEPQIAEKGIHINKGNLNAVGNGLLSHSNDSNGLCYYNHDSLVSIEKAMPSICLITIDDGVWASGVVLNNQGLILTNAHLLEPWRFGKTTVSRTKSETPFPPEESASPKAKGFNRYQASSMPPGSLKIGNSSVADEQKGYKLKSLYHGHRSIRIRLDHLDPWVWCEAKVVYISKGPLDVALLQLDSIPYKLSPIEVDLTQPSLGSKAYVIGHGLLAPRCGFSPSVCSGVVAKVVKAEMPSYYKSVLPGVSQFPAMIETTAAVHPGGSGGAVVNADGCLIGLVTSNARHGGGTVIPHLNFSIPSAVLMPIFQFARDMQDFSPLQNLDQPNEHLSSVWALMPPLSPKPGPPPHRQQSLSEDNNNKEGKGSRFAKFIAERNELLRRPAKFGKAERLPNDILPSKL >OMO76405 pep supercontig:CCACVL1_1.0:contig10905:111818:111880:-1 gene:CCACVL1_15712 transcript:OMO76405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIFSFTFQVRRVNETRWKRE >OMO76390 pep supercontig:CCACVL1_1.0:contig10905:23633:26159:-1 gene:CCACVL1_15696 transcript:OMO76390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSYTPFVTPTPTTATTTNSTSVRPPNVVPWLQSGQNSEYPIYEPMNLDNFRFSTLDSASASNSNSNPNSQFLHHHQTPNQSLVPFRHPMEQAAGQSLAYPIYGYPFLMGQNNGIEFGVQGSCYMQPSVERRIPDSYRTKVARIKRKMARQRSLTLQRNSCPGASSHMDTRRLTSYGGDRSVKNNNNDKRDLYKFFTPDNKKLRVLLKKELKNSDVGSLGRIVLPKREAEVNLPTLSDKEGIQVIFKDVYSDQFWSLRYKFWLNNKSRMYVLENTGDFVRQNGLEMGDSLTLYDDESKNLYFSITKVGRIAAEPSDPIQSDNDNSNTNTSNSNSNISNNNNNNNINDMYMPFTCQYKDDEEETSLEILIEQLKQQDNNDLMTLPMEPTEDQARHEARSVDDVQFNFDDCYGGLEMLPGFSHYNFSL >OMO76392 pep supercontig:CCACVL1_1.0:contig10905:34032:34940:1 gene:CCACVL1_15698 transcript:OMO76392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MKQQDRRLKPAAAENQQPQPPQHPPQKCPRCESLNTKFCYYNNYSLSQPRYFCKTCRRYWTQGGTLRNVPVGGGCRKGKRSSTKASSSSQPPLIPQQQQQNLTTTVTQSMISSNPMISSKSGTLASSSSGISSMGSYNYPGGGFLSSLAAIQTMNQPQSFNQPLNQAMTLGSEFGATSNLGLLQGFGGVPSFGSQQQPIQQTPFFHMGNREKTVNMYPSPDEEGLINQSTRPHLAATTSHQQNWHQSFIKSDNPTITESGLWSMNNNGSSGAGNTNTSNTTAAASLNPNHQWPDLPGYGAPP >OMO76388 pep supercontig:CCACVL1_1.0:contig10905:14065:18855:1 gene:CCACVL1_15694 transcript:OMO76388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDGWDAEKMLDLYLHDYLLKKNMHATATLFRKEAGLSNPPPVVIESPEGFLQEWWSIFYDQYSSKQKKYQEYYEAQVSVQAEKLMEDQSQNYGPILPRSMMDQQRLGQIPISRDLDSSLRLLETNQLLIPKSIAASSSLMQKDTNLPSNQVKREHSQGISLGRTIPMNPSTPYGAQKGTLPVTTPHSAGLNELFDSAQFNGWPLDLVPNYELQFQLSNFQPEISAQGLSHMTRNLMPSFPGSSSEFNYRDPILPKNEISGNKKQMMIQTKQTEEHKNRQSMLQSQQSGRKKKKISNSNPNSNLRVPDKKLDCTKAENKPVDDTVESFLSHADDNVDNSSIPFRNLRRRSNSTNKNEHKGFTFGEAGCLHPSKSKVLCCHFSSNGKFLASAGHEKKVLIWNMETLDFVRSSECHSHLITDVRFRPSSTIFATSSFDKTVQIWDSAEPSKSLFKLVGHVEQVLSLDFHPRQVNLLCSCDGNNEMRLWNINLRSCLRVSKGATKQVRFQPQQGKLIATASANVVSVVDVETDKPQFSLKGHNEEVLSICWDPSGKYIGSISEDSARLWSVSSGECLRELRATGNKFQSCTFHPGYSQLLVIGGYQSLELWNPSESNKTWTVEAHKGLISSLSNSLETEMVASTSHDQCVKIWK >OMO76389 pep supercontig:CCACVL1_1.0:contig10905:20499:22008:-1 gene:CCACVL1_15695 transcript:OMO76389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 MAATATTAALSSLSSLSLRNPNPSFSFLFPKPTKPFSLSRSFNSLSLAPPQAKASDIDTSFFDDLNPEEEVVFDPPTRPEDYIPPPSFDDGPDETEDEIAAAYEELYGPAYSGVSVLGNDIHVMDSKVKKTGALGKVKKDKARDGFEERVVQVRRVTKVVKGGKQLHFRAIVVIGDKEGKVGVGVGKAKEVIGAVQKASVNARRNIITVPMTKYLTFPHRSEGDYGAAKVMLRPATPGTGVIAGGAVRIVLEMAGVENALGKQIGSKNALNNARATVVAVQKMKQFREVAQERGIPMEELWK >OMO76391 pep supercontig:CCACVL1_1.0:contig10905:30131:31054:1 gene:CCACVL1_15697 transcript:OMO76391 gene_biotype:protein_coding transcript_biotype:protein_coding description:desiccation-related protein PCC3-06-like protein MAATFITKTAMFHLSKAFPQPLSLRSTAKVSRVCLITTSASKQGRNAYNERDPNMASTFRTEEDYFSSDEDKMAYGDTIDRAKEQAAGAQNLAKEYANQAKEKTKETANSAADRAKEATNRATETAQNATEKAKHKANEYAQDTREMAQEGRDRASETAQRAREAAKEGANRVSETAYDMKEKTKETAHDMRERTEEMAGSMANKTSETVQNVGEKAKQTVQGAWDAAKGATQKIKETVVGKDKDEDDDVELKRMDEDVVEMRRRAGREDDRKY >OMO76403 pep supercontig:CCACVL1_1.0:contig10905:98022:98183:1 gene:CCACVL1_15710 transcript:OMO76403 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ethylene-responsive transcription factor MNFDADSSSSTNPNVVTVASTAPLPENGSTPSFFGIVRRGLPIDLNEPPPLWL >OMO71720 pep supercontig:CCACVL1_1.0:contig11572:48997:51158:-1 gene:CCACVL1_18092 transcript:OMO71720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLSSAADVDQFEFPKAEGNQPDADSMGYEEVEK >OMO71717 pep supercontig:CCACVL1_1.0:contig11572:348:464:-1 gene:CCACVL1_18089 transcript:OMO71717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEASPFSAKIARTSLIRRVKLHNRNCHAPTRKVEYG >OMO71718 pep supercontig:CCACVL1_1.0:contig11572:23056:23556:1 gene:CCACVL1_18090 transcript:OMO71718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVEAAVNAAMLAFKEHGNNAAMIEYAAKEAQAASAKGKANLPVKLDEFGYSDMQTNSIEEYCRAQPYPPLRQMKILIFNAPGASNPEFIYTYAEICFEHFAGFVIITETRLTEPHSKSMNFTASTSLEPMGHFGSSWFVWNTNRINFRIIARTHKLTFKISFKS >OMO71719 pep supercontig:CCACVL1_1.0:contig11572:45816:46013:1 gene:CCACVL1_18091 transcript:OMO71719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQVLKRIAKGELNIKGVDFHQHHMEEIIRRVDYRKETLWRFQAILPNRQELHHMKRMKFNHIG >OMO51550 pep supercontig:CCACVL1_1.0:contig15792:71552:72508:-1 gene:CCACVL1_29726 transcript:OMO51550 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein gamma response 1-like protein MELQNKVMQLVQENASVVANKGKELKGHEEKTNILLTDLNSLQKKVEALTQEVAHWKKLSEYVLKKIESQALDSMHNEEQLIECNKEKKLLMANGPEDKEVFNGEEEEDDDDVKKEKKRR >OMO51546 pep supercontig:CCACVL1_1.0:contig15792:42940:43014:1 gene:CCACVL1_29722 transcript:OMO51546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCDLGRFKADFKGIKVELRANG >OMO51545 pep supercontig:CCACVL1_1.0:contig15792:32051:33610:-1 gene:CCACVL1_29721 transcript:OMO51545 gene_biotype:protein_coding transcript_biotype:protein_coding description:ThiamineS/Molybdopterin converting factor subunit 1 MESESQNNSLVGTPVVDEGSSVQIKVLFFARARDITGLPELPLVVSSGSTTEDCLNKLVAKFPNLQDIRGCIVLALNEEYTTESAIVKDKDELAIIPPISDAFRPTLFLGRTLIEKTCRQTPFYELCLWSLKSHPESRDADDVKKLTQIMVDSVLKTKAMDTLDLIDELLQDGIALEPAMQRALTSCAERYNAIIKGDVPEIVEALKTGDYKFAEKGSNDAAMEANSCEMDFPSKSPLTDMNMVVHDVSVVTSSMVKIMLHSPIYRSK >OMO51551 pep supercontig:CCACVL1_1.0:contig15792:75038:82607:1 gene:CCACVL1_29727 transcript:OMO51551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNSSCSVFIGNLDERVSERILYDIMIQAGRVVDLYIPRDKESDKPKGFAFAEYESEEIADYAVKLFSGLVTLYNRTLRFAISGQDKSSQNPSNAGALSASNSSHKSRNQSGSLNHLELSQQSMRLSTPSRIPDYSLHYSQEPPPPGVSPHTNGYGSHFNGTNYEYSRRVLGTTLDSIGRPRSRRYDSSDRNPQGHKLEMHVNGEMWFEKSNKVVEENTEKYSQNKARQGHKLEMHVNGEMWFEKSNKSATDWIDVQMQRSRRALLQRRALDRAFTGRSRFYKVSLSLVFVLWGLLFLLSLWVSRGDGFRDGSVVPGSSAWDEAKMRHNEPSESHGECFADGSGSLCSHEGFCTSAENTAPRAESSATEENKIQLDTENAVAGVTSENSSPKSDRLSHAVPLGLDEFKSRAFISKSKSGTGQAGVTHRVEPGGKEYNYASASKGAKLLVSNKEAKGASNILGRDKDKYLRNPCSAEEKFVVIELSEETLVDTIEIANFEHYSSNLKDFELLGSLVYPTDVWIKLGNFTASNVKLAQRFVLPEPKWVRYLKLNLLSHYGSEFYCTLSVIEVYGVDAVERMLEDLISVQDSLFAPEDGRRDQKQMPSQPESTQGDDFYKDKEQSQSVVEQPNVQPDVTSNIVPDAAEDTRHQQVGRVPGDSVLKILMQKVRALDLNLSVLERYLEELNSKYGNIFKGFDEDMQEKDKLLEKIKSDIKDLLDSQTVIAKDVGDLASWKSLVSAQLDTLVRDNADLRSMVEKVKVKQTSMENKGIAIFIVCLIFGFIAIVRLLVDMLLSVITYMWL >OMO51556 pep supercontig:CCACVL1_1.0:contig15792:121281:125726:-1 gene:CCACVL1_29732 transcript:OMO51556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MATKSFSTHWNVKALFPNNCFFHFPAGKSSPNALPFSSFPFPRILHSSSSRPYPLLQCNQTSHNHNLTQAQESVSEYLQQLGLSLDESISIASNSPNYTQMLVDAVKELEEWNTWNNSNTEGEHLGFKEMIIYMAKEKCDNGKIAFLESMGLPLNSAMIIARYLSSESLSSLIHKVKYMKEIIFSGSNDKGLSGKNARRMMMQLSIPADEDLQRTLSFFEKIEARRGGLDTLGSVDTTFRFLLESFPRILSLPVESHLKPIVEFLENIGVPRESMGKIFLLFPPILFCNIQGIKTKALAFEKVGAAYENVGKMLLKYPWILSTSIQENYECILLFFEEEKLPKASIDRAIRSWPHLLGCSTSKLKLMVEQFGKLGVSNKNLGRVIAKSPLLLLRKPQELLQVVLFFEGLGFDRETVGKLVCRCPELFAANIDKTLKKKVEFLVEFGISRDHLPRVIKKYPELLVSDVDNTLLPRIKYLMEIGLSKREIASMVHRFSPLLGYSIEEVLRPKLKFLLDTMEKPVREVVDYPRFFSYSLEKKIKPRFCVLKKRNIECSLKDMLDKNDEEFAAEFIGVGRMLVSPPSRELDQCVCDDD >OMO51548 pep supercontig:CCACVL1_1.0:contig15792:60657:63230:1 gene:CCACVL1_29724 transcript:OMO51548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MASSSSISVVCLLFFLSPLLHLNAVNANPNYKDALLKSILFFQGQRSGKLPSNQQISWRSSSGLSDGFLDHVDLTGGYYDAGDNVKFNFPMAFTTTMLSWSTLEYGKKMGPQLQEARAAIRWATDYLLKCARAKPGRLYVGVGDPNVDHKCWERPEDMDTARTLYSVSPSNPGSDVAAETAAALASASMVFRKVDPKYSKLLLATAKKVMAFAIQYRGAYSDSLGSAVCPFYCSYSGYKDELLWGASWLLRATNDPYYYNFLKTLGADDQPDLFSWDNKYAGAHRALVDNDKNFEQFKQEAESFMCKILPNSPYTTTQYTQGGLMYKLPQSNLQYVTAISFLLTTYGKYMKARKLTFNCGSLFVTPNSLIGLAKRQVDYILGENPIKMSYMVGFGQNFPKRIHHRGSSLPSLASHPQSIGCEGGFQPFFYSANPNPNILVGAIVGGPNQNDGFPDDRSDYSHSEPATYINAAMVGPLAYLAGLKTAH >OMO51553 pep supercontig:CCACVL1_1.0:contig15792:100376:106345:-1 gene:CCACVL1_29729 transcript:OMO51553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor SUI1 MVRPGPNLNLVKPLGLGSLFHILPLPISNPDLFFVCLLLSEAKRSPAGKKMFKKAVEAKSHQRLSGADRKKLRRAIRDRFPTASDADIDALLPPKADITVSKFQNRAQVYAVEAGFPVFFDVDGRGTEIYPTVFALWKVPELLPSFTLKGGEVSRYVIGGADLMFPGISVAAEGLPSFSAGEPWAVKVPGNPAPIAVGSTTMSSTEALKADLRGKALRILHYYRDLLWESVEGHYVPNAGFFEDAVFEDPSFFASNQPAPSGEKATDLSDAQESGTDKENIGESVDVNDVVSERNASPTQNDSENAAEEITTDVSDLKLNDNIAASESNIEHHPLSAEDVDSHLDRCLLQALHTTVKEKDLPMPGSTLWSNHVLPCRPSGITLDIKKSSHKKLSKWLQAKSSTGLIMVKEDKYKKEAMLISVNRGHPDYLLFKPEKRPVEKVDQAGEQAATEQRSKQLLEVVEVYKSSVHVNPIFASVGADTGKLYSASEASDIVFKYIEKENLVKQTNKAVVVLDATLCDALFKGAIKKGSTYPTEIHKKDLGSTFVSRMQAHHIVTRGTESVVRKGALKTVQIVTERRQGNKKVTKVSGLETFLIDAEAMASELQKKFACSTTVSELPGKKGLEVLIQGGVIDNVARHLHEQYGIPKRFIEVLDKTRK >OMO51554 pep supercontig:CCACVL1_1.0:contig15792:106733:107446:1 gene:CCACVL1_29730 transcript:OMO51554 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MRMALESLAPGFRFRPTGEVILQSYLRPLINGEKLPFDILTEADIYGDKEPWKLFNPQHSHSYWVFTQLKKKRNSDSDSDSVTKQTKKKKIITRKTNKKKEGDSYYDRTAGCGFWKARYCNDIVSSDGEKIGFDREYTFKLKDEDDDDEGSGSSPNGNWIMHQFSFEGCDDLVICEIKNSNSGGTRKRKHIELDSNVTKKLSVADHHGRLKQLQGADAHDDETEAFLNEVLNFGLEW >OMO51543 pep supercontig:CCACVL1_1.0:contig15792:16531:20499:-1 gene:CCACVL1_29719 transcript:OMO51543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSSTPLSTLTSQTIAQISAMVTDKLTTKNYITWQDQVLPLIEGISMADHILADSKAPTATIVADSGKEEPNPAFSIWQQEDRLVKSLITATLASEVRPFTVRLSTAREIWKILEDRFANSSKQRARDLLRKLQEVKRNEHTTLEAYLQEVKMISNELAAINQPVDDSDKVYWSLNGLDHDKYDSFITAMQVRSPSPSFDEFTNLLSEYEKHRKTNLVDEKQALIANRGRGRSNNRSFRGRSTPRGRSFSYDNRNNNRGSSFHSQQQLQQGSQKTNYQNQRSYVTCQICNKPGHKALNCQERFNHAYQDSDVPKALAALSIHDADDPEWIADTGAHSHLTNDPGNLINVRPYKGSSRIMVGNGKRLKITHIGDASISRGSSQLLLKNVLVVLDIIANLISIGQLLDDSPGIFIIFSPSGFVIKDKQTWKTIASGVRKDGLYLLGEDKMIQTQFERKIKVFQSDGGGEFNKTEFLSFLAEECHAPKRLWLEAVHTAVFLINRTPTPTLNMSSPYKVLYNKDPNYSFLRSFGCHCRIYISRHVIFDENNFPFMADCTSANLTKEEIENPSTWLNLLDNEENQNLLRTTSAPSIFDATSHDHQNIQERIMQIEAEQQNCHSSSAPCNSTADPLPMTNTNTSPPQPYSQAATSKNTTSSLPHLGRHLQHNTHPMTTRGKLKAGLLHLMFDQSTNIPQEPKSLKTALAHSGWHKAMQEELQALSKNHTWTLVPRQPSMNIIGSKWVYKTKLKADVQLSPQTIRIVLTIALSKGWEIKQLDVQNAFLHGFLKEPVYMEQPPGFHDSKHPEFVTRLHEEFAMKDLGNLNYFLGIQVSYTSRGILLSQQKYAHEILQRADMINCNSIATPMINKSSTLSHQEPFADPSLFHSLVGALQYLTITRPELCYVVNTVCQVMHSPTVGHFQLVKRILRYVKGTSDHGLHLLKDSSLDLYGFSDADWASCSLTRRSVTGYAIFLGSNLISWGAKKQPTVARSSAEAEYRAIASTAAELIWLATILRDLGIHLS >OMO51555 pep supercontig:CCACVL1_1.0:contig15792:108146:119345:-1 gene:CCACVL1_29731 transcript:OMO51555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIEELAQLSESMKQAAALLADEDVDENSTSSRRSSTFLNVVALGNVGAGKSAVLNSLIGHPILPTGENGATRSPICIDLQKDGSVSSRSIILQIDNKSQQVSASALRHSLQDRLSKGSSGKNRDEIYLKLRTSTAPPLKLIDLPGLDQRIKDDSVVSDYAERNDAILLVIVPAAQAPEIASSRALRLAKEYDAEGTRIIGVISKIDQAASDQKALAAVQALLLNQGPPKTADIPWVALIGQSVSIASAQSGSENSLETAWKAESESLKSILTGVPPSKLGRIALVDALAQQIRKRMKVRLPNLLSGLQGKSQIVQDELVRLGEQMVQSAEGTRAIALELCREFEDKFLQHITSGEGSGWKVVASFEGNFPKRIKELPIDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPARLCVDEVHRVLIDIVSAAASATPGLGRYPPFKREVVAIASAALDGFKSEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRQEELKNRSSKKGHEAEQAMLNRAASPQPGGQQTGGSLKTMKEKSSQAEKEVQEGSGLKTAGADKEITAGFLLKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEEKHFRGVIVLEECSIEEVSDEEEPAPKSSKDNPKSSKDKKANGPDKGPSLVFKITSKIVATPVSLAAHSAVVLKAESMADKVEWMTKLSKIIQPSRGPMTGGSSTDGPGMRHSLSDGSLDTMTRRPADPEEELRWMSNEVRSYVEAVLNSLAANVPKAVVLCQVEKAKEDMLTQLYSSISAQSTRRIEELLQEDQNVKRRRERYQKQSSLLSKLTRQLSIHDNRAAAASGWSDGGSVAGSSSRRSTPNRLPPAPPQSGSSGYKY >OMO51549 pep supercontig:CCACVL1_1.0:contig15792:65106:68063:1 gene:CCACVL1_29725 transcript:OMO51549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNTSPTPTTPIRPSSNGTNAAAAPPPPAAVTMSMRGPTATTTVAYQEQPQQQGPTTAAVMYPVASSGRGFLPTSHPYRPVAPSASLPSETHGYKYVRDRAKDDSLVNLRDRKVRITDGASIYTLCRSWLRNGFTEETQPQFGDVIKSLPHPLPIPITDNLPKQTEDVEKEEENTEQDEQSVENLSAQDLLKGHINRAKKIRSRLKEERKKRIARYKTRLALILPPLVEQFQSDAAAGN >OMO51544 pep supercontig:CCACVL1_1.0:contig15792:28136:31389:1 gene:CCACVL1_29720 transcript:OMO51544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAAGYYVSKKLEGDDLGLKQIKVKGGIEEEEGEPLSPMAQMFQEPESNVYIILSVGFKSPIDPFSFKTNLLHTLLKHPRFSSVQVADEKNGGELKWVQTKVELDNHVIVVAMDEGDHMEPKAADKFVEDYISNLTKTNLSMSNNSNNNIPMWDCHILNLKTSDEAKSHVVMRVHHSLGDGTSLMSLLLSFSRKLSDPLSFPTFPAPTKKPIPSRTSTLFGVCIKIWSIFLLFWNTLVDILMCLATMYFLKDTQTPLKAPSSAVAFTSRRIVRRTFSLADVKLVKNATNTAVDEMKKKKSKADWGNKIGYVLFPFTIALKENPLDHIREVKAKMDRKKASLEANFRLLMATVFVRFYRTKLATFPPTTIWFSNVAGPQDKITLFGNQLVYVAPSLYGQPVALTIHIVSYAEDILMVLSVDEKIIPDPYQLCDDLEDSLKLLKKSVTT >OMO51547 pep supercontig:CCACVL1_1.0:contig15792:45881:57721:1 gene:CCACVL1_29723 transcript:OMO51547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINMKTLTQALAKTAAVIEKTVQTTVQEVTGPRALQDYELLDQIGSAGPGLAWKLYSAKARDGTRPHQYPTVCVWLLDKKVLSEARVRAGLSKVAEDSFFDLIRADAGRLVRLRHPGVVHVVQALDENKNAMTMVTEPLFASVANALGNVDNIAKVPKELNGMEMGLLEVKHGLLQIAESLDFLHNNARLIHRAISPENILITSNGAWKLGGFSFAITTDQASSDLANVQAFHYAEYDVEDSVMPLQPSLNYTAPELVRSKAPSAGCSSDIFSFACLAYHLIARKPLFDCHNNVKMYTNTLTYLSNEAFSSIPPELVHDLQRMLSANESYRPSALDFTGSPFFRDDTRLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNLVMQPMILPMVLTIAESQDKNEFELVTLPALVPVLSTASGETLLLLVKHAELIINKTSQEHLISDVLPMLVRAYDDNDPRIQEGVLRKSVFLAKQLDTQLVKQAILPRVHGLALKTTVAAVRVNALLCLGELVNTLDKHSVLDVLQTIQRCTAVDRSAPTLMCTLGVSNSILKQFGVEFTAEHVLPLLIPLLTAQQLNVQQFAKYMLFVKDTLRKIEENRGVTLTDSGIPEVKNATIANGHQSQALSKTSGTVASAKSSPAWDEDWGPTSRAATSTAPAPAPQPSNNSLSSLSTHSILGDKSVQSAPRHSQSSTTSTASSQQTSVSCPAVDIEWPPRASSGVNAELGNGEKQLSAGTSSPSNFDDEDPFANWPPRPSASNSSGTFNNGSIGLGAATNNYGSSSITGTPNNMTFQLGNSDSWAFSNQNSGLPRPNQGSSSLNAGTLNSGGLQNSMVFMKQNQGISASVSASYNNQKSTDLGSIFGSSKNEQTAPKLAPPPLTAVGRGRGRGRGANPTSRATHAKPTTEQPPLLDLL >OMO51552 pep supercontig:CCACVL1_1.0:contig15792:93939:99535:-1 gene:CCACVL1_29728 transcript:OMO51552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKSIFSFRRGCVMGYPFIEDEHAGDSLNLGQIANFTAPFQSCDTKPRTTYILPRSKLALVFNFKPCFVVRITN >OMO91806 pep supercontig:CCACVL1_1.0:contig08299:9296:15107:-1 gene:CCACVL1_07019 transcript:OMO91806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIRLPEPPSPTRGMPEIFEGGAYNVIRRAVVIGNGSPGSENQSVGLLRALGLSDKHVLYRVTRPRGGINEWLLWLPVGFHKKLDYLIRLIRIYSRGKKLAPLPSENGGNGSVGLASILEADVKGIVTMARETYEKDGPLLVVASGRDTISVASSIRRLASENVFVIQIQHPRSQLNRFDLVITPRHDFYPLTRQAQEQVPRFLHRWITPREPPDRHVALTLGALHQIDSAALRSAAAAWHDEFAPLPKPLLVVNIGWPTSNCRYGADLAKQLTASLLSILTSCGTVRISFSSRTPEKVSKIIVKELADNPKVYIWDGQESNPQMGHLAWADAFVITADSVSLISEACSTGKPVYVMGADRCKWKLSDFHKSLRERGVVRPFTGSEDIAESWSYPPLNDTAEAASRVREALSEQNKETKKGKAPLLVSSPRAAVEPQPKRGFKKGLAIFDFLLRLCATGAALGAAATVGTAQQTLPFFTQFFQFQAQYNDLPTLVFFLIAMAIVSGYLILSMPFSIICIIRPYATTPRLILVIFDTAMAGLAIASGSAVAAIMYLAHNGNSNTNWLPICQQFGNFCQAVSGAVVGSFITGTLLLLILILSAFILKRSS >OMO91807 pep supercontig:CCACVL1_1.0:contig08299:16655:22355:-1 gene:CCACVL1_07020 transcript:OMO91807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptobrevin MAILYAVVARGTVVLAEFSAVTGNTGAVARRILEKLPDEADSRLCFSQDRYIFHILRSDGLNFLCMANDTFGRRIPFSYLEDIHMRFMKNYGRVAHYVPAYAMNDEFSRVLHQQMEFFSSNPSADTLNRVRGEVGELRTIMVENIEKILERGDRIELLVDKTATMQDGAFHFKKQSKHLRRALWMKNAKLLIQLMGTLVRPFLSAFSTGKVQLFPSSTASFPSAKLHSRKSKKTPNRIKSSKFGNFLDLKPESKPECLDFDLSWFNPSDRPCFDVIIIGTGPGGLRLAEQVSRRGIKVCCVDPSPLSVWPNNYGVWVDEFESLGLVDCLDKIWPMTCVFIDDSKTKYLDRPYGRVSRKKLKTKLLENCVSNSVKFHKAKVWKVKHEEFESAIECDDGSELKASLIVDASGFASSFIEYDKPRNHGYQIAHGILAEVDNHPFDLDKMILMDWRDSHLGNEPYLRANNSKIPTFLYAMPFDSNLIFLEETSLVSRPALSYMEIKKRMVARLRHLGIRVKRVIEDEKCLIPMGGPLPRIPQNVMAIGGTSGVVHPSTGYMVARTMALAPVVAEVIAECLGSTRMIRGRPLYHKVWNGLWPIERRFTREFCSFGMETLLKLDLRGSRGFFQAFFDLDPYYWHGYLSSRLSFSELFCLSVSLFGHASNPSKFDIVSKCPVPLARMLGNVALEAI >OMO91808 pep supercontig:CCACVL1_1.0:contig08299:24439:25512:-1 gene:CCACVL1_07021 transcript:OMO91808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNNNDSSSSWSWHQDKLFERALIMFPEESPGRWEKIAAQVPGKSAMEVRKHYDDLEHDVLEIESGRIELPSYECESDSMSMSWGNESGGSQVWFGSKGKEKESERRKGVPWTEEEHRLFLIGLQKFGKGDWRSISRNAVVSRTPTQVASHAQKYFLRLNSMNKKDKKRSSIHDITMADGDKSSNSISMDSKGNNGVIGGTMGHDHSSFESMDQSKFFNEQVGSGK >OMO76971 pep supercontig:CCACVL1_1.0:contig10857:50586:53992:-1 gene:CCACVL1_15264 transcript:OMO76971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin II heavy chain-like protein MKEKRQGRLRLSGFQKLLKKKGSPNPLFTVNSLKRCQAKPSAQTETAKEMDETFGGDVQAQHPDPVVLELTRLQNQLKEKERELGAAQAEIKALRASEVFKDKAIEELQSEVDKLDEKHRAAENLLQHKNLEIKKLTDDKRDALAAQFAAEATLRRVHANQKDEADFSLESVIAPLEAEIKMYKNEIAQLHEDKKAMERLTKSKESALLEAERILRSALERALIVEEVQNQNFELRRQIEICQEENKILEKTNRQKVLEVEKLSQTIQELEEAILAGGAAANSIRDYQRQISQLNEEKRTLERELARAKVSANRVATVVANEWKDENDKVMPVKQWLDDRRLLQAEMQRLKEKLAVSERTAKAEAQLKEKFKLRLKILEDGLKHVSSFSVNPKNNASSPKPEKTSNLLGFLTSSGGLRKRSKVADSSEKENAEILKVSTNSNVSNQDGDSTSTATATASDERKNNENRGNAEDMVSGFLYDRLQKEVINLRKCCEAKDSSLNAKNEEIKILIKKVEAFSRALEVESKRVKREAIAKEKELGSAKMDDIKRIKTINSSSRRLSKAS >OMO76960 pep supercontig:CCACVL1_1.0:contig10857:9112:12261:1 gene:CCACVL1_15253 transcript:OMO76960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRAALLGFTITTGNPLSLLRFSPKIPTWPALNVSSSTAGFNIRFRSAMSASPNSTPVNVIAENEEQFRDFKLLNAVNDRYGGVVIEITEPMDSALFASLLRASIAQWRHQGKKGVWIKLPIQHANLVEAAVKEGFWYHHAEPNYLMLAYWIPESAPTLPANASHRVGIGAFVMNEKREVLVVQENTGRFRGTGVWKFPTGVVDEGEDLCTAAVREVKEETAIDTKFVEVLAFRQSHKSFFEKSDIFFMCLLEPLSFDIQKQESEIEAAKWMPFDEYSAQPFVKNNDLLKYIADICLAKKDRKYSGFAPVPSTSVFSNEKSYMYFNTHDLNGQ >OMO76966 pep supercontig:CCACVL1_1.0:contig10857:30319:30543:-1 gene:CCACVL1_15259 transcript:OMO76966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELSASLSNHKAQHNNPNHLHLDLAFLCHLSCLFPTRHEKATSLASYVAIHRWQPHGVLRFDPFLFVTALALG >OMO76969 pep supercontig:CCACVL1_1.0:contig10857:41613:42943:1 gene:CCACVL1_15262 transcript:OMO76969 gene_biotype:protein_coding transcript_biotype:protein_coding description:metal ion binding protein MGEKEQKNLNLLIPSLSLVSANHNIYLFSLFANDLGDHCDGYGAEGRPSVLSVLQEGQESTCQIPSEIRDQVYDEKANTVTIIVVCCSPEKIMDKLCRKGGGSIKSIEIPPPPKPKQPPPQPEKPKEPEKKPEKPKEPEKKPDKPKEPEKKPEKPKEPEKKPDKPKEPEKPKQPEKEKPKEPEKPKDPPPPKPAPAPAPKPPEPVAPPTAAPMCFPPVGFCCTDCYHGHGGGPCYYGGPPPQPCYETYGRPVYDSWGGGGYGYRHCYVSRGECFSEENPQGCSIM >OMO76972 pep supercontig:CCACVL1_1.0:contig10857:57517:57834:1 gene:CCACVL1_15265 transcript:OMO76972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEMIQQKVIQYRYHIVVTALGSIIIAFFLYAAPRLVSYFWPLLASTTVFLVAIIAFGGHSQSQAHGEKAGQGLLDYVANSRAVAEHHHHHTAGAAEELPQKFE >OMO76977 pep supercontig:CCACVL1_1.0:contig10857:66604:67518:-1 gene:CCACVL1_15270 transcript:OMO76977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLRWIVHSACHVLGYPIKDQMGSAESKMKMGGSSAEKNNKSSVSVSVSVSESGFQMPLHYPRYRKADYEKMEEWKVDMLLREYGLSFKAHNISEMITVRINSTICEIHEASASN >OMO76975 pep supercontig:CCACVL1_1.0:contig10857:63891:63992:1 gene:CCACVL1_15268 transcript:OMO76975 gene_biotype:protein_coding transcript_biotype:protein_coding description:minor extracellular protease vpr MPLNLNLNLNLNLIDACQPLWDGILLDDTSLAP >OMO76964 pep supercontig:CCACVL1_1.0:contig10857:21439:24050:1 gene:CCACVL1_15257 transcript:OMO76964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPMGHSPPHSPTATHSPLMFTPQVPVVPLQRPDEIHAPSQSWMQATVGYEDGCSEKGIPTMITWGYGGKEVAVEGSWDNWKTRIPLQRCGKDFTIMKVLPSGVYQYRFIVDGKWRYAPDLPWAQDDVGNANNILDLQDYVPEDLESISSFEPPQSPESSYSNLPLGAEDFAKEPPLVPPHLQLPLLNLPASHMEIPPPLSRPKHVILNHLYIQKGKSGQPVVALGSTHRFLAKYVTVVLYKPVQR >OMO76968 pep supercontig:CCACVL1_1.0:contig10857:39181:39345:1 gene:CCACVL1_15261 transcript:OMO76968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARVLDSKLEAQRDGIGIYRKTQYKYLWSAWGLGPEAISIREFIKILKKGMEF >OMO76958 pep supercontig:CCACVL1_1.0:contig10857:2807:4473:-1 gene:CCACVL1_15251 transcript:OMO76958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, CBFA/NFYB, DNA topoisomerase MEAGGFHGYRKLPNPNTSTSGSGLLKVGAGADHERLILSSPSSEVKQLQQGNSNCENDQNECTVREQDRFMPIANVIRIMRKILPPHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSKLGFDDYIEPLTLYLHRYRELEGERGSIRGEPLVVKRAVVDYGVAAFAPAFHMGHHHAAFFGAAGAATGFLNLKDASNAPESHPALANGHGEPYAHHK >OMO76965 pep supercontig:CCACVL1_1.0:contig10857:28410:28952:-1 gene:CCACVL1_15258 transcript:OMO76965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MASQYRADPEKPNGNTSEDEQGTTVEEVALVVPETDDPTLPVMTFRAWSLGLISCILLIFLNTFFTYRTQPLTISAILMQISVLPIGKFMARTLPTRDYNLLGWRFTLNPGPFNMKEHVIITIFANCGVSFGGGDAYSIGAITVMKAYYKQSLNFLCGLLIVLTTQVTIIFSPNPTFLSH >OMO76970 pep supercontig:CCACVL1_1.0:contig10857:46489:48579:1 gene:CCACVL1_15263 transcript:OMO76970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHVHLCSSHPSLFHDRHTLSSTPKPRPTITIRSTAPRSFQSKSSIHLNHVSLQDAVTDNTPKPSPSRHPDGKTASSSKSYVWVNPRSPRASRLRKFSYDSSLGDDVSEQDAVVVLNNMSNPHTALLALNHFQKILKQTSREVILYNVTLKVFRKSKDLVGAEKLFEEMLQKGVTPDNITFSTLISCARVCALPAKAVEWFEKMPSFGCDPDDVTYCAMIDAYGRAGNFDMAFNLYDRARTEKWRIDPVTFSTLIKIYGVSGNYDGCLNVYEEMKALGAKPNLVIYNTLLDAMGRAKRPWQAKTIYKEMTTNGFSPNFATYAALLRAYGRARYGEDALNIYKEMKEKGLELTVILYNTLLALCADVGYVDEAVEIFEDMKNSGTCKPDSWTFSSLITIYSCSGKVSEAEGIVKEMSEAGFQPNLFVLTSLIQCYGKAQRTEDVVRTFNQVFKLGLTPDDRFCGCLLNVMTQTPREELGKLTDCMEKANPKLGHVVKLLLEEDDSEGNFKSKASELLNYIGSDVKKAYCNCLIDLCVNLDLLERACELLELGLSLDIYADIQSRSPTQWSLNLKSLSLGAALTALHVWINDLTKVLESGEELPSLLGINTGHGKHKYSEKGLATVFESHLKELNAPFHEAPDKVGWFLTTKVAAKAWLESRSSPDLVAV >OMO76967 pep supercontig:CCACVL1_1.0:contig10857:35582:38133:-1 gene:CCACVL1_15260 transcript:OMO76967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVDMHCEACARKVARALKGFQGVEDVATDSKASKVIVKGKTADPIKVCERLQKKSGRKVELVSPLPKPPEEKKEDQKDEPKEEKKEEPPTAITVVLNIRMHCEACAQVLQKRIRKIQGVESVETDVGNDKVIVKGIVDPTKLVDHVYKRTRKQASIVKDEEKKEEDKKEEKKDEKEAEKKEEEEEGKSGEDDKKSDIKRSEYYPSKFYSEFAYPPQIFSDENPNACSLM >OMO76962 pep supercontig:CCACVL1_1.0:contig10857:17751:18155:1 gene:CCACVL1_15255 transcript:OMO76962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MDHHLCFCVLISEISVGALVAVKCQTASKSKSGSAQATTDEYGEFVIDLPSHLHGVPDLQKACEVKVLQIPKNSKCRPAFVKKHKGLKFSSIGNGIRTYTSGKIRFQHITSKPLKTCIRRAGASSVKQTSSMVE >OMO76963 pep supercontig:CCACVL1_1.0:contig10857:18576:19153:-1 gene:CCACVL1_15256 transcript:OMO76963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein (ACP) MQTIRSAIMRHVSMRESTGEWFFSSRGSGGILKQLRHQICTSTGASNDEIMDRVIGLVKKYDKVDVSKVTETADFQKDLSLDSLDRVELVMAIEEEFSIEIPDEKADKLTCCADVAKYIASGSSITKS >OMO76959 pep supercontig:CCACVL1_1.0:contig10857:5981:8220:-1 gene:CCACVL1_15252 transcript:OMO76959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFTGGREAFTFPQHVAPFPELTAMIESAEDSMMGGEHHPNLPAQKLRPIRYNGRSPASSQAEDPSEFTGVGEVVGEEVCPVNADYLEPPIKAEGGDDVVDTAGGNGPEKLEPSSSSSSSSDSDDDNDPSATLDEPLNRKRKRKTRKKMELFLEKLVMKVMEKQEQMHKQLMEMIEKRERERRIREEAWKRQEIERIKRDQEARAQETSRSIALISFIQNVLGHEIEIPIQATIPCMEENGGKDVISEDHIQKELIGSKCSVWDEISIGMYNMGYHRNAKKCKEKWENINKYFRKSMGSGRKHLENSKRCAYFHELNMLYKHGLVSPANHVNRTSDENEDGVKGSQHQT >OMO76978 pep supercontig:CCACVL1_1.0:contig10857:68722:68886:-1 gene:CCACVL1_15271 transcript:OMO76978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHLGFRIVFLFIGVFPAGSRDKDEDGMPMDQSIPNFTFQSPIVNLRSTLSGP >OMO76973 pep supercontig:CCACVL1_1.0:contig10857:58288:59437:-1 gene:CCACVL1_15266 transcript:OMO76973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEDAASQSLLSNVTDSSVNLNETKAIQRRKPQQRARAVQEQQRESDISDSEPPFVEQEVTFKYVLLLLATYLGIGTLWFFLVRNQIDGKKTNGVVDAIYLCVVTMTTVGYGDLVPHSTLAKILACIYVFAGMALVGLVLSKAADYMVEKQEIVLVRAMHFKKNLNAAEILMEAETNKVRYKFLMTALVLLVLIIVGICFLCVVEEMEFIDAFYCVCSTITTLGYGDESFSSGVGRLFAIFWILSSTVCVAQFFLYLAELYTETRKRALVKWVLTRKLTASDLEAADLDHDDAVSAAEFILFKLKEMGKICEEDILVLMERFKTLDVDHSGGLTAADLLTS >OMO76961 pep supercontig:CCACVL1_1.0:contig10857:15542:17326:1 gene:CCACVL1_15254 transcript:OMO76961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYGEEKLSTVLVTGTVVCEACHSDSQLRAWPISGSGLRAEARPSTKFNVTIRNSMEVN >OMO76974 pep supercontig:CCACVL1_1.0:contig10857:60868:63099:1 gene:CCACVL1_15267 transcript:OMO76974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVPSKNIWIRRQQCPCGDWKCYVTYEGDAEETSVASELVKTESVQSEAMVAPYVGMVFKTDEEAFEYYGNFARKNGFSIRKERSRLSPQLGVYKRDFVCYRSGFAPMRKKPTGEHHRDRKSDETPRSFAWALQTFIRFMKGRCPQTILTDLDPGLRDAIRSELLNTKHVTPIWHILPKVSSWFPLQLGSQYAEFKSEFDALYHLESTEDFELRWNQMVSIFGLGSDKHIALLYSLRTSWVLSYVRGFFLARMATTSYSKSVDAFLKGIFSAQTCLRRFFEQVGVAASTQNQPHQEMQYMHMKTCLPIEEHSRQILTPFAFNVLQHELIVSMQYAASEMANGSYLVRHFRKVDGESLVIWIPEDEQIHCSCKEFESSGILCRHALRVFIDKNYFQLPEKYFVSRWRRDSSLVFYDDHSIHDNDDEWFQEFQSLTETLFTESSLTKERSDYVRRELTKELTRLLNEVRDMPESDGAPMDFTLSPTG >OMO76976 pep supercontig:CCACVL1_1.0:contig10857:65069:65746:-1 gene:CCACVL1_15269 transcript:OMO76976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDGVYEEKQWRLIGKSHRLLIKKSLQLVVSVALLSILVCHSNSTYYDYSLFPHSFNVYLSTFLFSFFTHTLERKYMFLLCNGILAFLAKTSLSNSSSLSPPDFGCQISTPIPMEANSASFTDEGPALVTEGEVVDEYFGMEEEEEEDESEVSVIVEDEEQQLGGDQSGSNLVISQQQQEEEEDGIANEEVSSTDELNRKVEEFIRKMKEEIRIEAQQQLITAL >OMO86218 pep supercontig:CCACVL1_1.0:contig09517:27275:27541:1 gene:CCACVL1_09726 transcript:OMO86218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRLRNVPNGSEDGKKKEEEKKAVWNPTFQPEDFMDQDSNSKSKNIIPDLKQGAVNGDIAGPSSNREEEEEKETKKEDSGLDLKLSL >OMO86215 pep supercontig:CCACVL1_1.0:contig09517:17016:18882:-1 gene:CCACVL1_09723 transcript:OMO86215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C15, pyroglutamyl peptidase I MGSEGPAAAAVTIHVTGFKKFHGVSENPTETIVSNLKEYTNKNGLPKGVILGSCSILETAGQGALTPLYQTLQSALNGKESESSSSGKIIWVHFGVNSGASRFAIEHQAVNEATFRCPDEMGWKPQKLPIIPADGGISRVRETTLPVEEITKALAKKGYEVMTSDDAGRFVCNYVYYHSLRFAEQNGTKSLFVHVPLFLTIDEETQMKFASSLLERLKG >OMO86216 pep supercontig:CCACVL1_1.0:contig09517:21323:25543:1 gene:CCACVL1_09724 transcript:OMO86216 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase MSVSFPHLSIHLSESSIAPSTSLLPGAAINFQSLRGSSQLLPYAGAGNFCQRLCGLKLRILKRLNIYHPNRKQGPSNDYKSIRNQDKDQSENPSRNLANDGQFSEAASTMSLANDSEAEHTEATSPPKESSLPSSQTGIAGKPGISCDSPSSSNLKAYDHHRGPSLCIAVIGATGELARKKIFPALFALYYSGFLPENVGIFGYSRKDLTDEDLRSLIASTLTCRIDHQQNCADKMVAFLSRTYHLNGGYDNKQGMSKLNARMEQIEGGYHANRIFYLSVPQEALLDVASSLANNAQTKKGWNRIIIEKPFGFDALSSQKFTKSLLSNFEEKQIYRIDHLLGRNLIENLTVLRFSNLVFEPLWSRTYIRNVQVILSEDLSMQTGRYFDGYGVIRDIVHSHMLQTIALLAMEPPISLDGEDIRNEKVKVLRSIRKLEPSDVILGQYKATSGDKVDVSLSSLTPTFFAGALYIDNARWDGVPFLIKAGVGLIKHRVEIRIQFNHVPGNLYRERMGHNTDLATNELILRDVPDEAILVKINNKIPGLGLQLDASELNLLYKDKYNVEVPDSYEHLLLDVMDGDNHLFLRSDELAAAWSILTPILQEIDQSNIAPELYELGGRGPVGAYYLWAKHGVRWADD >OMO86212 pep supercontig:CCACVL1_1.0:contig09517:267:4816:1 gene:CCACVL1_09720 transcript:OMO86212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MASVGMAPTSGLRESSAAGVDKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLIYVKLYTYQIFRALSYIHRCIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHRRMPPEAVDLVSRLLQYSPNLRCTALDALIHPFFDELRDPNARLPNGRFLPPLFNFKSHELKGVPVEILAKLIPEHARKQCPFLGLQDNARGWYEEDLEIRYIQVLLGPPGWHRMYRYKPKSTEAQPKLDTPAARKRHLQGLQHLRAKAQWFHSLNLNLNADANAHPIEPFTKGVCNRFVKTGFCHYGDNCKYFHPKNDSPTAIPQSAPAPGGVGMSWGNLPPSLKPPPDAGYPQLPFVDWG >OMO86214 pep supercontig:CCACVL1_1.0:contig09517:14130:16432:1 gene:CCACVL1_09722 transcript:OMO86214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MPVHQVFFLLFFIFPCFFFVNVESRYHRYIQEEEFHIVSHISAAPEASPAPEASASPDSPAPSAAPPSPSYNVAKSPTNYNNVFNVVDFGAIGDGVTDDTQAFKLAWDTACHNESAVFLVPGAHSFMIQSTIFTGPCKTADLVFQIDGTIMPPDGPDFWPRNISKKQWLVFYRINGMTMQGGGLIDGRGEKWWNLPCKPHKGINGTTMPGPCDSPVAIRFFMSSNLTVQRLQVKNSPLFHFRFDACHDVRVESLTVKAPAQSPNTDGIHIENTNNVQIYNSIISNGDDCISIGAGCYDVDIKNVTCGPSHGISIGSLGIHNSRACVSNISVSDSVIKNSDNGVRIKTWQGGSGSVSNVKFDNIRMDAVRNPIIIDQYYCLTKNCSNQTSAVMINDISYANIKGTYDVRSPPMRFACSDSIPCSNLKLAEVELLPAAQAKIMPNPFCWNAYGTVHTQSIPPLYCLMEETQLITQNYVDTC >OMO86213 pep supercontig:CCACVL1_1.0:contig09517:6346:12575:-1 gene:CCACVL1_09721 transcript:OMO86213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSCANSPPWWVPVPGHDYEYDYELGEIQSGSGKRRPPSICSEYSTILSDTRSLSRGTITPTATAAATIISVGSSPDVRQQIENASPATAKHVALRMYESMKQHGLKQEAGKAFMFSRDHNDDDEITDDQHLVGMDYLLRDGFVTYLRDVARISPPIPQQVVRFSGIKYWRKFRIPDCEYETFGNKVVGCFVGPLKAVSQSNNSTNWIQILRGVDGYIMPGSLTLLLGPPGSGKSTLLEVLAGRTKVTHNSILDGTVMYNDKHASEVHLSRLIAYVSCQLNKHIPYLSVREILEFARDCTQGIRPENFTPQMRKFFAHALVEGQDPFLEYVMEILSLKKIEHKLAGEDISDIDLQRLTTAELAIGTYAVMLYDQPFSGSDQAATYDLVDTIRTISRIQQSSAVMSLTQLSEEVFDLFDRIILLADGHIVFQGPRQDAIAYFANLGYIKPSYVDSSEFLIDIVAGTSCQYLPQGATPLTIDELVELYRSSDHYKDVMRIVKEEGVKHTYWVESEPGLGLSLCTPSKYQFSVNSQLKRDTELVVAKLSKKVGHSGIESTGKVQVGDVVTAISINHEKMQYLAIGPPEIQHERASDAYSMLKQVRHPIRLQVERYKEEEENEHQVQWEQFQRPFVQTWWNSTRTLINRQIKITKSLQFLIKLRLFQVVVLGIFAGSLFYKLGGQYDQQKMNSVRALGFVSTMSIMLINLVQLPLYMLQRPALIYTACIYFLAGLSLAQDGMPFFQYVILLFLVAYFGSSLFFFLSAISSIPEVGNALAGLFVSVFLLFSGFVIYPSNIPIYWKWLLYGNPIHWANVLFCKLQFSEAYTNPCSNYLGQLPFCDQFPTMTVGKAYLNFYELSAHSKKTYLPYLIILGWIILTNFLALLALRKIEFTEISQSLPYIRKTTTIHSYKSDDAQSEFQSQDSKSEQLNYSGTSAYCGQQNSLSEQGEIEENGSFDKWIEEFHTDFERNGLGIPVEHVTLLFEDLSFTRSDKGEMDSMPVFSRITGYASPKSMLVILGGSKTSKATLLECLAGRMPPIGRVSGYLQANSFKTVNC >OMO86217 pep supercontig:CCACVL1_1.0:contig09517:25856:26735:1 gene:CCACVL1_09725 transcript:OMO86217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEKLSVKCEPPASAQEMANVMMKASACVGGNVTLWPLTQTIIPVT >OMO86219 pep supercontig:CCACVL1_1.0:contig09517:28058:31945:-1 gene:CCACVL1_09727 transcript:OMO86219 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA/THIF-type NAD/FAD binding protein MAKEGSGSILQFAPFQSSVDEGFWHRLSSLKLNKFGIDDSPIPISGFFAPCSHPQVSNRLTLLAESLPSDSNEESSMPAIAQSNRNRCSVPGILYNTNTMEGFHALDKQALLKAEAKKAESISAACNEWRNSNVTADVPFFLVSIGFDSRAAIRHLKDWEACQGNSQKLLFAFYDPCHLPNNPGWPLRNFLALICARWNLKTVHFLCYRENRGFADLSLSLVGEALITVPQGWREHQCVPNAVGWELNKGRKMPRCINLAKSMDPTRLAISAADLNLKLMRWRALPSLNLDILFSAKCLLLGAGTLGCQVARMLMAWGFRKITLVDSGRVAMSNPLRQSLYTLDDCLNGGDFKATASVRSLQRIFPAVEAEGVVMAIPMPGHPVSSEEEKRVLEDCKRLNDLIDSHDVVFLLTDTRESRWLPSLLCANTNKITITAALGFDSFLVMRHGPGPLNSTTDLKTETTNSLSAAIDNLALTNKDGRQRLGCYFCNDVVAPTDSTSNRTLDQQCTVTRPGLAPIASALAVELLVGILHHPEGIFAEAEIANSNNSGSPELPLGILPHQIRGSLPHFQQMTLLGHSSYSCTACCHAVVSEYRKRGMQFILQAINHPTYLEDLTGLTELMKSASSFQLDWDDETEEADDECIEL >OMO88829 pep supercontig:CCACVL1_1.0:contig08919:7807:12150:1 gene:CCACVL1_08177 transcript:OMO88829 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter KETWLQTILLSFQSLGVVYGRLSTAPLYVFGSIPPKDFKSEESVYEYFSFIFWTLTIVSLVKYAFIVLRANDDGEGGTFALYSLLCRHAKVGLIPNDKTADEVMHYEAGSSNRTKIESRARRAIAKHKSSHYLILFLALFGACMIIGDAVLTPAISVLSASRGLQRSLSDIKYVPLPSACAILVCLFTLQHYGSHRIGFIFAPIVILWLLLIGGVGIYNIIHCDSQILSAISPTYMYKFVKNISLESWRALSSVILCVAGSEAMFADLGHFSKKSIKMTFVSLIYPVLILCYAGQAAFISHALSPKNLDDVPEDYNHLYKSIPDHVHHLFTVLSLLASAIGSQATITACFSIINQCLALGCFPRVKVIHTSNKIHGQVYIPDVNWILMVLSLGVTIGFHDTKRIGNAAGMAIISGMLVTTCLMSLVIALYWERSLLVSACFLIFFGSIEAMYLSSSILNIHKGAWYLVVLVVLSMTVMVAWHYGTKKKYELDLENKVSTEWLTNLSLGLGVSRVPGIGFIYTDIVTGIPAFFSHFITNLPAFHQVLIFVSFKSLPVPYVSPSRRYLIGRVGPREYKIYRCIVRYGYCDHIRDTDDFEEQIISSIGEFISLEEHDSECLTSPEGRMIVIGRQPPEGHALIPLHDTSLNAEGSSSSNAVTEWNPKSPMDDASGKGKKMKKVRFMLPDNSKMRMSVREELKELVEARDSGTAYFLGQSHLAVRNGSNFLKQFLIMTYVFCDKNCREPHVALNIPHAALVEVGMVYTI >OMO88830 pep supercontig:CCACVL1_1.0:contig08919:13307:13528:1 gene:CCACVL1_08178 transcript:OMO88830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADKAFHEEYKSKMKKNVKLLPYAAWIRNETLFFEITRDPRKKAEKGRGMGRIHPVQSSASYFERHGQDSGV >OMO88831 pep supercontig:CCACVL1_1.0:contig08919:31789:32776:1 gene:CCACVL1_08179 transcript:OMO88831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKKSRRWLPDEDVALIAAMVDMRNVGKDAQTTTNILEEMVQETEGKAADQDAELESNNVVQEDVGLDEMDVSTTPSMLSKRRRKSGEFEPISADCIISAAKLMGDGIREARKDLGRSIGSEMVIQEKVQELDIILGEIDGLTEEERDIALSKIPDHPAQMLVFGSLPPHRKLAWVRRFLNSH >OMO89347 pep supercontig:CCACVL1_1.0:contig08764:121:318:1 gene:CCACVL1_07897 transcript:OMO89347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S28e MDSTIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRYIMRNVKGPVREGDILTLLESEREARRLR >OMO94265 pep supercontig:CCACVL1_1.0:contig07931:16551:17168:1 gene:CCACVL1_06082 transcript:OMO94265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKVAQGAKCMKEGPTNFIRC >OMO94264 pep supercontig:CCACVL1_1.0:contig07931:7118:7673:1 gene:CCACVL1_06081 transcript:OMO94264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGPGSKMYEGRTNKFYTVCTPHV >OMO94266 pep supercontig:CCACVL1_1.0:contig07931:31267:32656:1 gene:CCACVL1_06083 transcript:OMO94266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGGEAREEIEILRKKEAEFENLMEMEKTENLTAQESENNELQRKLQALRSEKEEFQQQLDSLLSKFKTVQPQSLTLDSAQTRGADVPNSSKKREFETQIREGHLDASPVGDLGVKKLKTVDQQGSRKKPRTSFGRKAALKYAPSSSVSEQPVWGWWFPPDGLLSAGSLGLDSAESSSDHSNV >OMP03383 pep supercontig:CCACVL1_1.0:contig06086:1056:2560:-1 gene:CCACVL1_02444 transcript:OMP03383 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein MDRLSDLPDFLLSDILSRLPTTAEAARTSVLSTRWRYLFPSATTLIFICDRRVSDHFVAFVDRVLLLHSRAPIKRFALAFRDEIAFEIDLVCGWISHVLERGVEKLYFETRRERTANVLPTRFFTSKTLTKLTLCGLCNVIIPNEVCFSSLKSLHLEAVSFKERDSVQCILSGCIVLEDLFIVNYIPMHFPWELSISNLSLKWLTIMGFDSETLRITVDAPSLVYLRLSGLNAESYSLINLQSLVIVDFQDMVFGVEFWIDVFLDDNDNILQPRDIAVDLLTGIRNVQSLRLSSYFLRIFTLFDEPLLEYQNLVVLEIRNSDDECKGGLSQLLRMTPKLRTLIFRWGVFEQLTWDPTEKVASCLFSHLKVIEIYSFDGHQTTQMDMIQYFLKNASVLESLKVRPKKNVQEQTEIAKKLLTLPRESKICNVEII >OMP03384 pep supercontig:CCACVL1_1.0:contig06086:2927:11890:1 gene:CCACVL1_02445 transcript:OMP03384 gene_biotype:protein_coding transcript_biotype:protein_coding description:RIO kinase MKLDVDVLRYLSKDDFRVLTAVEMGMRNVYDGYRLTYLGYDFLAIKTMVNRGVFTAVGRQIGVGKESDIFEVASEDGTVMAMKLHRLGRTSFRAVKSKRDYLRHRSSYNWLYLSRLAALKEYAFMKALEEHGFPVPHAVDCNRHCVIMSLIQGYPLVQVKQLQNPETVFETIIGLIVRLAEHGLIHCDFNEFNIMIDDEEKVTMIDFPQMVSVSHRNAQMYFDRDIECVFKFFGKRFNLSFEEQSDDIDGSEIEADESGRPSFSSITKTAGFLDKELAASGFTRKDQDDIEKFIEGDDAEDEASDDEHESELCQTNIKCFDSLHLDQEAPTSSCKEEGQVDENQQSLETGQSGDPESQNSSNEEGDDENADENDAELMKSLAKQRRRAMAAARKGRKNIASRNCYKDKGGKSSHNAKVQKQLSSWVVESIGEDVTGLKEGDLVVPAYVGECRTCENCLSEKTNLCLKYPLTYHGLMLDGTSRMSIGGQTAYHALSCSTWSEYTVIDVNFVLKIDPTIPFSDASFLSCGFSTGYGAAWKDAMVEKGSTVAVFGLGAVGLGAIKGAKSQGATKIIGIDKNPRKEAKGKAFGMTDFINPDESDKPISELVRDLTAGLGVDYCFECTGIPPLVNEAIEASKLGAGKIIQIGVGEHLSLNISFIGLLLGRTLKGSIFGGLKGKSDLPIIYENCKNKEIQLDELLTHQIQLEDVNKVFELLKQPDCVKVLIKIDN >OMP03385 pep supercontig:CCACVL1_1.0:contig06086:42133:42195:1 gene:CCACVL1_02446 transcript:OMP03385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGPLAAMAVFESKYREGLT >OMO89801 pep supercontig:CCACVL1_1.0:contig08604:68:3227:-1 gene:CCACVL1_07620 transcript:OMO89801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MEVAAGTFKSSRNLSRKVIVVAAGEVHAVALSGDGCVYSWGRGMFGRPENGSESDEFFPFGSSSRKCRCWRFLQGQASTSAAAAASTATSSHSMGVNPQNQDLNKQSRQKEPVKRKSGAANASVSHTVADGYAEFAGFGAGTASASFAADAPAKVSGNASANANRIPRP >OMO89802 pep supercontig:CCACVL1_1.0:contig08604:8279:12928:1 gene:CCACVL1_07621 transcript:OMO89802 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase PIF1, ATP-dependent MTSSQREVYLARRRAAYHARKNSNSVHNVAQPLRETLTTREDGSQRRSGPIRVTDMRHLARSRDQKSTGYGADPHGASTSTANATQGQQIELQNQSTLNEGSETIRVTDMRRLARSRDQRPTNYGAYSHEASTSTTNTTQGQQIHLQNQSTIIGGLYNTIPQSERSRHVNTNATVPNFVQRPRFLQMYVYDTEHETEYRMLENETLDRNLVEKIKNILDKYNPLVKMFRSLSRREDLHSCRLIIKDQPSNQPQYNSPTASQVAAIIEGGQDLAHLNGREIMVETISGRLLNVNDTQELLPGQTPQDRLDLLTRIFHSKFEEFKVDIVTKGVLGRVVAYVYVIEFQKRGLPHAHMLVVVDEDSKLNTPDEYDRVVRAEIPKCRRRASIVPGCNQAYDSWTISVKCVKYLYKYVYKGLDRVAMELCSASEHDEVQQFIDARWNTTRGFQPRENGKERRSSRRAIGRVYTVSPIEGERFYLRILLNNARGAKSFQDLLTVNGIVYPTFKQTAERRGLLENDDSIRQFLLEATTFRMPSALRRLFVTLLVYCQASGERRLWEEFYPFMVEHYPSSSNNRSVHIKNRLLQDLNSLFIYYGKRLTNYDLPRISGNIPYRNEIPKIIEDELSVHTPPEDLLAVQMLNTNQQHAYDKIISVVNQQKGGLFFIDGPGGTGKKFLYRAILATIRNDGLIALATATSRIAATLLPGGRTAHSRFKLPLNPEASSVCFIDKESDLAELIRRAAVIIWDEASMAHRRAFEALDRSLKDIMGNDTPFGGKVIVFGGDFRQTLPVVPKGTKYQTINSCLVRSPLWKEVTVISLKQNMRSIHDQCFTDFLLRVGNGKEPMINNDMIQVPPLMSMPWEGDQSVDHLIKSVFPNLNSHSHDLNYMVQRAILTLRNDEVDRLNEKIIQKFDGMEQIYYSIDSVEDDPTTYISKSS >OMO85868 pep supercontig:CCACVL1_1.0:contig09565:16122:18166:-1 gene:CCACVL1_09952 transcript:OMO85868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MGNVSCCFCACVEQANIGVVERWGRFERLAEPGLHFLNPFYGQCLAGVLSTRISSLDVKIETKTKDNVFVQLVCSIQYRVVKGHADDAFYELQNPKEQIQAYVFDVVRALVPRMNLDDLFEQKGEVAKAVLEELEKVMGEYGYMIEHILMVDIIPDPAVRKAMNEINAAQRLQLASVYKGEAEKILQVKRAEAEAESKYLGGVGVARQRQAITDGLRENILNFSHKVEGTSAKEVIDLIMITQYFDTIKDLGHSSKNTTVFLPHGPGHVRDISDQIRNGLMEAASAQVNAE >OMO85867 pep supercontig:CCACVL1_1.0:contig09565:10373:15568:1 gene:CCACVL1_09951 transcript:OMO85867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKKSRAKRRVVKATEEDEDDVVPAVEIEMKDTEPAKIVPEASKAGNERKKEIDREIVMSFKEEFEEVENVVSAVMEMDAMIQQNRIKNDVENVIENGEEAKLHANAAQQRSVEGEPSASMEVMKLDRHVDDRYADEEDDGKERQQESRDDREQSKDIEVTEGNEVSLVFNRDSVTEWKKEKQIELYVGGLHKDAVEQDLFEIFGKFGEVKIARIVRHPTTNRSLGFAFIQYATVEQARKVLSDLKDGIEVRGKRAKVSISQDRNVLYLGNICRTWTKEDVAEKLKTYGIEDLDEIQVPNDPKKEGWIKGFSFLRFSTCSAAEVALHRLRKPDAFFGNERGAKVTFAHTKMHSTEEVRLQVKTIYIEGIPKFWIAQKLKAICEQYGETKKVKIFRNFGNKGRDFGFISFTTRESAVACVKRMNEVQFGGGIKVKAGIAGPLVGGCLQKSTRAQLKLNKLRRSCGLWKMKGHAKSERVKEKSDMTAPSVICKKNTQETEDKTASVADKNNQGPSNSKHMVEGKTNKQGSIPPEAHAAALSVKLEKSDDKIKNRKRGRGQLYSKGPSNRLKGSSQARPSHSSRKSKSISHSKKRPKRGADSAAYRVPDKEAYSAPINAYPGYAYSSISGQGRPSSYLEPHAGLVQPARRQEQYHLGGQWEC >OMO85869 pep supercontig:CCACVL1_1.0:contig09565:19196:19621:-1 gene:CCACVL1_09953 transcript:OMO85869 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein LSVYPKGDSRAKESLSCFLKLHSSHSIFFQRKVYVEFKLSIKNQFGMSHREHKDNAWMGGSVNGWGFANFIPLKDLQDMSKGFAVLDAVIFEVEITAISEIKYGV >OMO54627 pep supercontig:CCACVL1_1.0:contig14929:5458:15604:-1 gene:CCACVL1_27711 transcript:OMO54627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAYQTQLPEEIDMEILERLPVKSLIRFKSVCKSWKSLISASCFIDHHFSRSAANSNKVGIALAREYKGGRSYCEIYIKIINLSPTSLGETTSFIEHVVTECQKTRPLGWCRGLLLLSVDCRDCKLLLSNPSTRESKEILDPPYRRLEFENISAASILGYDFNIKSHKIVLIYELDKSEYRIWVYTLTTNSWTCVDLDTDHKYADYDIFPITLANGAPHWLIRHKDKVGSGAHHVIEYFDFAVSKFVVVPQPGDYDNRKFLSPPILYDTEGSLCIVYRDGFNLEIWVMKRYGVKESWLKWMSFKNEYVPYPIRFGKININVSLVVARGDQGERCCAIYNGKQKEIELEFLKLFLASTRFSRLEFAMILKQSAFAFDESLICFNDEVGEVDKVVCKKDLARAIDIPGAIDKSEIPKLHVGLSNGVSGNVTDQQALLEFKAKITSDPVGVMKWWNNSVHFCEWPGITCGRRHQRVIGLDLQSCKLTGAISPYVGNLSFLRVLDLQNNSFSHEIPPEVGLLRRLRGLILANNSIGGEIPSNISGCTQLRVLDVARNQLIGEIPVVLGSLSNLKLLYLYRNKLRGSIPQSFGNLTSLDELSVVGNRLRGPIPEILGQLASLTFFSVAVNQLSGVVPSSIFNLSNLHVIDIGVNQIQGSLPWDIGFTMPSLEVLAVGNNQLTGMFPPSVSNATNLNQLEVVQNNFTGNLPSFEKLEKLQSFTVAINSFGKRRAGDLNFLCSLTNATNLQLLDLGGNRFGGVIPECISILSVNVWLFDIDGNEIWGEIPAGVGNLINLELLSAYDNQISGHIPPTIGMLHKLQVFYLHNNALFGIIPPSLGHLKILTELYLSNNNLQGNIPLNLSKCQNLAALDLSNNNLSGSIPPELVGLSSLSILLDLSFNHLTGILPTQVGNLKNLGVLDVSHNMLSGVLPSSLGSCIMLDRLRLGNNFFQGSIPDSLSSLKGLTLLDLSRNNLSGEIPESFMTFKSLKYLNLSYNDFDGPIPTEGIFKNASAAFLQGNNKLCGGMPEFRLLRCNVKKSRGRTSLPKRIAIATVCGLLGVTLLFLLFVRFILRKKEKQPTPSNMETSLLQLSYQSILKATDGFSTTNLVGSGSFGSIFKGILEETGVVIAVKVLNLLSHKASRSFISECEALRNVRHRNLVKLLTACSSIDNQGNDFKALVYEFMVHGSLEDWLHPSIGINEVEEAPKKLNFSQRLNVAIDVACALEYLHHHCETSIVHCDLKPSNILLDDEMVGHVGDFGLAKIMPEEMQNFSTSLSSSLRGTIGYVAPEYGLGNKVSTSIDVYSYGILLLEMFTGKRPTDEMFKEDLNLHNYVKLALPERVAEVTDHILLQEISRGGRVANNTRNQTSNQREQRFLECLISVFNIGVTCSAKLPSERMNMTAVGDELCPIREKLLPTRRH >OMP04424 pep supercontig:CCACVL1_1.0:contig05741:1461:1718:1 gene:CCACVL1_02163 transcript:OMP04424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTSELTKVEKEISALQEKKAKLESSLKENDKALEVVRAHVSHIREEMASDESCPILSEADAKALKVLEDTLRSSREDLKNLKWKP >OMO96510 pep supercontig:CCACVL1_1.0:contig07459:37165:37284:-1 gene:CCACVL1_04923 transcript:OMO96510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDFRIISKIQQVKQGNRKEGFKVTKAIKTSRRASFKQSS >OMO61283 pep supercontig:CCACVL1_1.0:contig13543:208:3372:1 gene:CCACVL1_23623 transcript:OMO61283 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting LSISASKLLDRDITSKALKLDEQEFLGEATCVVSEIETKRDRSLTLNLHGKNGAGGSRNLGSITVHAEETKESRISIEMKLCCSQLDNKDMFSKSDPFLRIFRINPSGKLVPICKTEVINNNLYPSWRPIHLTMQQFGSKDTPLLIECFDFNNNGKHTLIGKLQLSVAELERLHNDKNGANLVCPFGGQDKKEQFSFLDYISSGFELNFMVAVDFTASNGDPRNKDSLHYIDPSGQLNSYQRAIMEVGEVIQFYDSDRRFPAWGFGGRTGGQLSHCSNLNGINTYEVEGVHGIMSAYANALHNVTLSGPTFFSHVIHMAARMAGDATSNGVNKYFVLLIITDGVLSDIQETIDALVRASDLPLSILIVGVGNADFKQMEILDADDGRRLTNLTGRTAT >OMO50169 pep supercontig:CCACVL1_1.0:contig16282:5549:7708:-1 gene:CCACVL1_30587 transcript:OMO50169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLQEEEVFPTLSTVDANDVTDATDASVREVNGNGEQTETSCNAKRKMSEKKVEKKEANKPPDSWFELKVNTHVYVTGLPEDVTTEELVEVFSKCGIIKEDPETKRPRVKIYVDKETGRKKGDALVTYLKEPSVALAIQILDGTPLRPGGKIPMSVTKAEFQQKGEKFIAKQVDNRKKKKLKKVEEKMLGWGGRDDAKVTIPATVVLRYMFTPGEMRVCLKIM >OMP02529 pep supercontig:CCACVL1_1.0:contig06229:601:9075:1 gene:CCACVL1_02775 transcript:OMP02529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQSSSYPILCASFNQDNSGFAISTKDGFKIFDSNTGRLCYERSVGAFIIVEMLYSSSLLAIVGAGEQPSLSPRRLCLFNTTTNAPLREMTFLTSILAVRLNRKRLVVVLQEKTYIYDSNSLAILDTVDTVPNLKGLCAFSPSMDGCFLALPASTTKGSVLVYNVMELQSHCEIDAHRSPLAAIALSSNGTYIATASEQGTIIRVHSVSEATQAYSFRRGTYPSTIFSLSFAPSLQLPDILTATSSSGSVHIFSLGFATSQRSKRSSSFLGSILPDSVNDALDPADHHVLHNAVSVGVRSYATVRKVEKVADNASSSEFASCRAIISLISYNGYFQEYTLSINNKNEASRSLEREFNLLTVNSDSARSS >OMO81518 pep supercontig:CCACVL1_1.0:contig10155:39182:41976:1 gene:CCACVL1_12377 transcript:OMO81518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCLATGRRVSTILLSPPYLRDSSCSLLSSLGKNNGGRKRFSNIAGGVHHDEDGLVNPSVEINFTQHLINGQFVDAASGKTFPTYDPRNGEVIAQVAEGDEEDINRAVAAARKAFDEGPWPRMTAYERCKILLRFADLVEKNSKEIAALESWNSGKPYYSQSLGFEIPMLIRLFRYYAGWADKIHGMTVQADGKYNVQTLHEPIGVAGQILPWNFPLLMFAWKVGPALACGNTLVLKPSELTPLTALYAANLLHEAGLPPGVLNVVPGFGAAGSAIATHMDVDKVAFTGSTETGKIIMESAAKSNLKAVTLELGGKSPFIVCEDADIDDAVANAHDALFFNQGQVCYAGSRTYVHERLYDEFVEKARALALRRVIGDPFKHGVQHGPQIDSKQFEKILAYIRSGIESNAKLECGGQQFGNKGYFIHPTVFSDVQDDMLIAKDEIFGPVQSILKFKDNDEVIRRANATNFGLTAGVFTKSLETANTMTRALKAGTVYVNCYGLVDAAIPYGGYKMSGIGREKGIYSLHNYLQVKAAVTPLKNPAWL >OMO81519 pep supercontig:CCACVL1_1.0:contig10155:54295:54652:-1 gene:CCACVL1_12378 transcript:OMO81519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNGENLNAADNVNRAGAALVPAKSRSPRNMRVALLEAEGVSTIISIVSLVLTIFSKNSLGIRIDDLVAVCLWAALALISN >OMO81517 pep supercontig:CCACVL1_1.0:contig10155:35622:37184:1 gene:CCACVL1_12376 transcript:OMO81517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADTESQSTEVESGTKTEWEKTESESEAPEVPCLRNWLELPRDVTASILLKLGPIEIIESAQKVCTLWRNICKDPSMWRFIDMQNPGDLHDMPYCLEKMCIHAIDRSAGGLVGINIEYFGTDELLAYIAERASQLRRLQLACCYDISDEGLSEAASKLPLLEELEIHVGDTNKDAIEAVGRCCPLLKTLKHNQQGIRNTSFCNDEEALAIAQNMPGLYHLQLIGNCLTNHGLQAILDGCPHLESLDLRKCFHVNLEGDLGKRCAQQIKNLRRPNDSTCDYKFAVEVGESGYLFDESDLSDYGSMYGYDDFDGYDGFDGYDSDLNPYWSD >OMO81516 pep supercontig:CCACVL1_1.0:contig10155:30086:34593:1 gene:CCACVL1_12375 transcript:OMO81516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRRISSLLTRSLSASSSTSASLLSSLGRNSSRNGSVRRLNTAAALEELVTPSVQITYTQHLIDGKFVDAASGKTFPTYDPRTGEVIAHVAEGDAEDINRAVAAARKAFDEGPWPKMTPYERSRVLLRFADLVEKHSEELAALETWDNGKPYEQAAKAELPMLVRLFHYYAGWADKIHGLTVPADGNHHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTIVLKTAEQTPLTALYVAKLFHEAGLPPGVLNVVSGFGPTAGAALASHMDVDKLAFTGSTDTGKIVLELAAKSNLKPVTLELGGKSPFIICEDADIDKAVELAHFALFFNQGQCCCAGSRTFVHERIYDEFLEKAKARALRRTVGDPFKKGIEQGPQIDPEQFEKVLRYIRSGIESNATLECGGDKLGTKGYFIQPTVFSNVTDDMLIAQDEIFGPVQSILKFKDLDEVIKRANNTRYGLAAGVFTKNIDTANTLTRALRAGTVWVNCFDVFDAAIPFGGYKMSGIGREKGIYSLHNYLQVKAVVSPLKNPAWL >OMO81520 pep supercontig:CCACVL1_1.0:contig10155:58186:58263:-1 gene:CCACVL1_12379 transcript:OMO81520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGYPRCPFLALLFMYSACNPLPHN >OMO53060 pep supercontig:CCACVL1_1.0:contig15352:40284:59957:1 gene:CCACVL1_28911 transcript:OMO53060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQVTIEIEILKPLQNRRRSIEKKAVAAIEESLCKINFGAILIWSSYASSFGARAKSSPGYGILQLSKLLLVASSLLILQFLIHEGKKQILNMRKKSRCLQLMLGSKWLGSNESKIAPPSKPNRKIASLSKPNLQIVSSTSPFAFPGGGRAVADDSYASGLN >OMO53058 pep supercontig:CCACVL1_1.0:contig15352:29320:31212:1 gene:CCACVL1_28909 transcript:OMO53058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MAKLPCPESNCLSHQVASETVHGANLALTQVKCDACIEENEVCKCDHVKGKDVDQQTEANSSFLHAVLNMIGMLIGLGQLSTPYALENGGWASVFLLIGLGVICAYSSHLLGKCLERNPKSQSYADIGENAFGRNGRIIAATFIYLEIFMALVSYTISLHDNLITVFTGTQFKLPGANLSTSQLITAIAVLIALPSLWLRDLSSISFLSSGGILMSLLIFTSVVCTAIFGGLKANQTIPVLNMQKIPAISGLYIFSYAGHIVFPNLYKAMKDPSKFTKVSIVSFASVTALYTALAFLGAKLFGPQVNAQITLSMPPHHFVTKIALWATVLTPMTKYALEFAPFAIQLEHNLPNSLTSRTKLLIRGGVGSILLLIILTLALSVPFFEHVLSLTGSLVSVCICMILPSAFYIKISWPQISKSLLILNLSLIAFGSLLGVLGTISSAKLLIKSLLRAHST >OMO53057 pep supercontig:CCACVL1_1.0:contig15352:16540:23879:1 gene:CCACVL1_28908 transcript:OMO53057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAARPQLVYSGIDPVRFSFPRSNRVSIRTRTRKVLAVATDPKTTRNGPSQPSSSKNNINGSSRSINGVSTRMGDVSKEIQKMRAQMEEDEQLAILMRGLRGQNLKDSQFADNNIQLRLVEVDESSEFLPLVYDPATIAAYWGKRPRAVATRIIQLLSVAGGFLSRLGMDVINKKVKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFPDDVAMALIEEELGQPWQEIYSELSSSPIAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTVDLYVIRNLGLFLRKFPQISVDVVGLVDEWAARFFEELDYVNEGENGTLFAEMLRKDLPQVVIPRTYNKYTSRKVLTTGWIEGEKLSQSTESDVGELVNVGVICYLKQLLDTGIFHADPHPGNLIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYAEIVKDFVKLDFIPEGVNLEPILPVLAKVFDQALEGGGAKNINFQDLAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQRLLTDESPRLRNALRYTIYGKSGVFDAERFIDVMQAFENFITAAKSGGGEGLNGDMAELGILQNQADFTFPRLLPSESQSTQPVQTRAALAFLLSERGNFFREFLLDEIVKGIDALTREQLVQVMSVLGVRNAAPVFSMVPTVGPFKPAGLLPSITEEDRIILNNVQKIVEFLTAGSSLSATPNQGVNAAQVIRELLPVLPGISATVLPEVISRLSSRVLARLIRDTFL >OMO53055 pep supercontig:CCACVL1_1.0:contig15352:6446:9319:-1 gene:CCACVL1_28906 transcript:OMO53055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MKERKEIIEKQLQLESSLSPPPYHVLHKLPPGDSPYVRAKHVQGNLGWAYMQQENYLAAEAVYRKAQIIDPDANKACNLCHCLIKQARYLEAQSILEDVIQEKLPGSSDPKSRNRVKELMLELESKQPMSIASSTAMGLNMEDAFLEGLDQLMSQWTPYRSRRLPIFEEISSFRDQLAC >OMO53056 pep supercontig:CCACVL1_1.0:contig15352:11961:14459:-1 gene:CCACVL1_28907 transcript:OMO53056 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MDRYQRVEKKRSEEPIDENEIRITAQGLIRNYITYAISLLQEKQTGVIVLKAMGQAISKTVAIAEIIKKRIPGLHQETSISSMSITDMWEPMEEGLVPLEMTRQVSLISISLSTKELNKNSPGYQSPSEEQPKHQYQSHQQQKQMYAATTWGNEDSSGRGRVRGRGRGRGRGWGRTSYRNYPGKSTICVILGMGYGRGRGGGQSYGRGRARGRGRGWVGGRGRGRGQQA >OMO53059 pep supercontig:CCACVL1_1.0:contig15352:39194:39481:-1 gene:CCACVL1_28910 transcript:OMO53059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKRQMKTRGRNRGKPMPCNPSQRMELHVIGESDLMEKGFAGDITTILGQLGVNFLVLQKRLYG >OMO53061 pep supercontig:CCACVL1_1.0:contig15352:60840:61172:-1 gene:CCACVL1_28912 transcript:OMO53061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPQCCSNPLTLNPGSGVSHVEEVAGLKTYVSGSLDFKLAVLFVSDVLGEENNSKEKVQYISISAGKEVAYGNE >OMO53054 pep supercontig:CCACVL1_1.0:contig15352:2541:4408:1 gene:CCACVL1_28905 transcript:OMO53054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptobrevin MGQQSLIYSFVARGTVILAEYTEFTGNFTSIAAQCLQKLPASNNKFTYNCDGHTFNYLVENGFTYCVVAAEAAGRQIPIAFLERVKEDFNKRYGGGKAATATANSLNREFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKMRRKMWIQNMKIKLIVLGILIALILIIVLSVCHGFNC >OMO53062 pep supercontig:CCACVL1_1.0:contig15352:65813:69001:-1 gene:CCACVL1_28913 transcript:OMO53062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monooxygenase, FAD-binding protein MNRIVARKFGPNVCRLRIPWRPFSTDAAAKSSTSNAGNPEQETEGEQYDVAIVGGGMVGLALACSLASRPLTKHLNIAIIDSNPALGRKHLIKKDDLPDPRVSTVTPATISFFRDIGAWQYVEKQRHAYFDKMQVWDYTGLGFTKYNARDANKEVLGCVVENKVLLSSLLSRVQDTDVQNKIYPSRLNSMSILPNSSSLEVDSTSSATALFTHGRLAKLELEDGNSLYAKLVRFLPAGPIALLPIGDKFSNIVWTMNPKESSEFKSMSEDDFLKAVNHALNYGYGPHPKSSVLVKLASERMAFPLSLRHANDYASKRVVLIGDAAHTVHPLAGQGVNLGFGDASTLSNVISEGTAVGTDIGEVSLLKKYEADRKPANVMMMAVLDGFQKAYSVDFGPLNILRAAAFHGAQYISPLKTSIISYASGQQRLPLFS >OMO82143 pep supercontig:CCACVL1_1.0:contig10068:74:429:-1 gene:CCACVL1_12064 transcript:OMO82143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIETHQTAVSSFDLQSKPPFFNIPSSDLHKTEPSVFLSMDSPKGTSSESDSPSGNNGGGFEMPGESLIDGRDLSGSNHAPGGACCHSNAAVRVQKVYRSYRTRRRLADSAVVAEEL >OMO84628 pep supercontig:CCACVL1_1.0:contig09730:46759:48527:-1 gene:CCACVL1_10739 transcript:OMO84628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation 1/beta-lactamase-inhibitor protein II MEIIVEEVKSAKEKAEANLHESDKLVVIEYQGLDHDENVARTDGNLGSSSSQASSGGSYYTVTDQIDQIEEAYNGDIEASNGGNNSFISTPISTDEETEKEANPDFITNGQNCLHACGINPGGMRFELALMLMELISVCARKRKTFVLVDGGSVYGFGWMGFWSLRFPDRGASDKVMKPRILDSLRGHRVSQISIGLYHTVAVTHQGRMFGFRDNERA >OMO84626 pep supercontig:CCACVL1_1.0:contig09730:20827:20916:-1 gene:CCACVL1_10737 transcript:OMO84626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVFYRKKRPYVKAFHQDQRRDLKKGA >OMO84627 pep supercontig:CCACVL1_1.0:contig09730:32784:35417:1 gene:CCACVL1_10738 transcript:OMO84627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding protein MDFKVYNTMSKKKEVFKSKVPNKVGMYVCGVTAYDFSHLGHARATVAFDVLYRYLQHLGYEVTYVRNFTDVDDKIIRRANETGEDPLSLSDQYCKEYNVDMANLQCLSPTHEPRVSEHMEDIIDMITQIINKDLGYVVDDGDVFFAVDKFPNYGNLSGQRQENSRAGERVTVDSRKRNPSDFALWKAAKAGEPSWESPWGRGRPGWHIECSAMSAHYLSFRFDIHGGGLDLIFPHHENEIAQSCAACEESDVSYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRYFLINAHYRSPLNYSVLQLESASEAVFYIYQTLKDCEGECIKDGKQPQTPPGAGKCTEKLQSEFQAKMSDDLNTSLILTGAILDALKLINNLLTMLMKKKQQKQHRLSSIQSLIHVEQEVKKVLDVLGMLPFYSYAEVLQQLKDKALIRAGLTEDDVLHIIDERVEVRKNKDFLRSDQIRAELQQKGIALMDIGTETTWRPCVPLQQEPQVVAVGEQWFVKFFKVHICSREHDTLSM >OMO70066 pep supercontig:CCACVL1_1.0:contig11882:3158:5473:-1 gene:CCACVL1_19118 transcript:OMO70066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MANNEVARTLRELAAPDVTTQNLAIQYPTTTENFEIKSGFIQILPKFHGLSGEDPHRHLIDFQVACSLTNIQGISEEQFKLRTFPFTLMDQAKNWLYLLPKGSIISWATLKKLFLERYFRAHRVSSIRKEICGIKQRYGETMHEYWERFKSLCASCPNHQITEQLLIQYFFEGLLPLDRSSLDSSSGGAFIDKTPSEACTLVEKLATNTQQFGTRKDYAPRESNVRRVNAVSTDWSELNQHLKGMTQQISMLNELVTSTFSNVSRLCGICSQGHYTDKCPSLSEDNPREVNAMGMQGFQRKQDQFSNTYSSNWRYGNEGNFQGRPNDFQRPIYQPSSQVLEIQSMKETMEMMMKQMSQLASDMCELKSQSQSRIPSQPKTLPKENVNAITLRSGKELEEPYSTQRTFEGETSNAKEDLQAHEKEIKLEKDEPIIVENIKDDDKDQDPIVKTKLDSQVEINIPLLDAIQQMPNCARFLKQLCTNKKRLQGKVSVGAYVSDILRKNLPPKCKDPVRKQLVKIGVREEFSKIDEKITKGRQKIQDIRQRKDESFHQYWKRFKKLCEEYPQHGFEIGLLIQYFYNGLGVDDQLLVDSINHTPLCDQTPGDAYLALEALAQAIEPPSSRKELDKTKIGVNKQEIRASTNRNFPMEKSMPSTSLFAKEEAYARHMVRTILQSELMKQITMDHILLLQDIEKLHEEAKEDRSRMLKNEKATRESLDILDTTLKTIIELLKENNGFSSIFNE >OMO55528 pep supercontig:CCACVL1_1.0:contig14672:13393:13641:-1 gene:CCACVL1_27207 transcript:OMO55528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MDDAEKAEQDRIFKRFDANGDGKISAAELGEALKTLGSVTGEEITRMMAEIDTDGDGYISYEEFTDFASANRGLMKDVAKIF >OMO55527 pep supercontig:CCACVL1_1.0:contig14672:9693:12249:1 gene:CCACVL1_27206 transcript:OMO55527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYEEACINSNKASKNGLTALYAATTATTTSPSDHCCEYNELKIKSLLTKMIWDFGFACILPASQKKGFGKKQKNNGEKKGGSGLEHNKAWLLAESGGGAELTSAEPQSVHSSFRFSFCSQVELEAMNASSLSSATVLMVNLDNGVSEDRAKELKWRRIESLERSISPVANSLVRFSYGEIVSATRNFSKGRVLGRGALSYVFRGRVGLLKTAVAIKRLEKEDKESPKAFCRELMIASSLHHPNIVPLLGFCIDPEEGLFLVYKFVSGGSLERHLHDKKKGVKGRPSTLPWSVRYKVALGIAEAVGYLHNGTERCVVHRDIKPSNILLSSKKTPKLCDFGLATWTSAPSIPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELITGRKPIEARRPPGEENLVLWAKPLLHRGMAAVEELLDPRLKCTLKNTTQIARMIQAAAACISNEESRRPGIDEIIAILRGEEEPFYSIRKKSNFSGIIDCYPQLQHSKSEIKSHLALAMLGVSEFEDDDNLYCR >OMO67732 pep supercontig:CCACVL1_1.0:contig12399:23066:24475:-1 gene:CCACVL1_20350 transcript:OMO67732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGNMDMAGSGGTTGNSRWNPTKEQINMLENLYRQGIRTPSAEQIQHITSRLKVYGTIEGKNVFYWFQNHKARQRQKQKQENMAYINRYLHRTTQPIYPPPLPPAAAAGTNVVCRPYFLAQSDHHHLGLYSHCPKVIIPGGIKRRGRPEKMEMARVYETTSAPSYDHMFHQDYNMMIPADRIENYGVRVKNDETLALFPLHPTGIIEGRATNNISSNTFSGNSASSCETTAGIDQEAASATEQPFFDFFSSHQGSCESD >OMO67735 pep supercontig:CCACVL1_1.0:contig12399:36512:40689:1 gene:CCACVL1_20353 transcript:OMO67735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane insertase OXA1/ALB3/YidC MARTLISSQPFIGTPLPLSKLSRHGGLYTLPHRRLASTRVRLSFNEIPPIPSIDSSVDFQALYTKAESLLYTLADAAVAADPAATAAGSTDAAAQKNGGWFGFISEGMEFVLKVLKDGLSALHVPYSYGFAIILLTVIVKVATLPLTKQQVESTLAMQNLQPKLKAIQQRYAGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGSGISWLIPFVDGHPPLGWHDTAAYLVLPVLLVVSQYVSMEIMKPPQTDDPAQKNTLLVFKFLPLMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRKLGGAKPVVDESASGIITAGRAKRSGAQPARPGDKFRQLKEQEKKKTVRKALPAEEVQALDSASGSDEESDEETNGKGDEDLEEAYASSASKQVPNISRPRRSKRSKRKRAV >OMO67734 pep supercontig:CCACVL1_1.0:contig12399:31572:33727:-1 gene:CCACVL1_20352 transcript:OMO67734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MNPTNTVFDAKRLIGRRFSDASVQSDMKLWPFKVIPGSGDKPMIVVTYKGEEKQFSAEEISSMVLTKMKEIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKAGSAGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIKDEKIGSKLPPADKKKIEDAIEEAIQWLDGNQLAEADEFEDKMKELESICNPIIAKMYQGAGGDMGAGGMDEDGPSVGGGSSGAGPKIEEVD >OMO67733 pep supercontig:CCACVL1_1.0:contig12399:28847:30382:1 gene:CCACVL1_20351 transcript:OMO67733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDLLTSLSIENHHPSTVLSMDSSSISQEELDREMNRPIALSRPPDINLIPLSAERSPPQSWNSDPIDMLDVGLGTQVNEVDTLVTVSKSGRKCAKRLDSVWGAWFFFTHYFKPVFNEKSKVKVSREGSGISGFDKSDLELEVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGNSRQGERPFPFSADKGFVRSHRMQRKHYRGLSNPQCVHGIEVVVSPNLKGLDEEEQKRWMELTGRELNFTVPPEASEFSTWRNLTTTEFELDRPLPPLKSHPQPHPKKVLNGSGLNLSTRPLNHTNGGLMDLSPVSNKRKKNLFPDGNDEDCCLLINQHNDRVNDTEMHPVEPPWLNEFSGVMRDVFGPVTAAKTIYEDEQGYLIIISLPFADLKRVKVSWWNNLTHGVVKISSISTSCTPCIKRNDRTFKLTDPSPEHCRPGEFIREIALPTRIPDDAKLEAYGDETGTGLEIIVPKHRMGPEEHEVRVCLRPHLGANELCCLDLSTGEIQR >OMO67730 pep supercontig:CCACVL1_1.0:contig12399:8628:10491:1 gene:CCACVL1_20348 transcript:OMO67730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MNPTNTVFDAKRLIGRRFSDPSVQSDIKLWPFKVTPGPSEKPMISVFYKGEEKQFAAEEISSMVLMKMKETAEAFLGSTVKNGVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNSLENYAYNMRNTIKDEKIGSKLNPADKKKIEDSVEEAINWLDSNQLAESDEFEDKMKELESICNPIIAKMYQGAGGEAGGGGMADDDVPPAGGSGAGPKIEEVD >OMO67731 pep supercontig:CCACVL1_1.0:contig12399:17819:18721:-1 gene:CCACVL1_20349 transcript:OMO67731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFSGLGIGLSLVFGCLLLALVAELYYLLWWKKRIASSEVEYEYNNNYAKELIQLFCWKKSASLHGTTAAAGGGNNQDVVKDHQDTNGGVDIDPELGSGKDLLLKGFGEEGVESELMRLHNLAGPPRFLFTIKEETKEDLESEDGRSRKGSRTRSLSDLILTVDTPFRSPLASPPPLNPLDSYHRHGFNPLFESSTDAELNKLRSSPPPKFKFLRDAEEKLLRRLMLEAEKRGQKNGNSEPITILTEETEGSFLKFIVGKNRETLQQHLPQYPSSSSQVLPLASSPTTFRPLDKRDSVH >OMO50859 pep supercontig:CCACVL1_1.0:contig16039:42097:45198:-1 gene:CCACVL1_30202 transcript:OMO50859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQMAWKVLTLVDQEEGIEIDDFIEISADLVQPLEDFFNNVFVMVIFDMCYSSV >OMO50854 pep supercontig:CCACVL1_1.0:contig16039:4494:6512:1 gene:CCACVL1_30197 transcript:OMO50854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic pyrophosphatase MAHVAKAIGAAVAFHRHSHITVRSTRRLYSSCRAIYNPKVQIKEEGQPQTLDYRVFLVDHSGRKVSPWHDIPLHLGNGVFNFVVEIPKDTSAKMEVATDELYTPIKQDTKKGKLRYYPYNINWNYGLFPQTWEDPSFSNPETEGAYGDNDPVDVVEIGERQRKIGQILKVKPLAALAMIDEGELDWKIVAISLDDPRAPLVNDVDDVEKHFPGTLLAIRDWFRDYKIPDGKPANKFALGNKAANKDYALKVINETNDSWAKLVKRSIPSGDLSLL >OMO50855 pep supercontig:CCACVL1_1.0:contig16039:7215:10140:1 gene:CCACVL1_30198 transcript:OMO50855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 43 MAALAGGSLMASIRRTLSPAYHDRSYQNGAGFSSPSHKFLPNGNSKHFSSSSSTHFPLLFTAINIVYRKGWRRSFCRCLFFFLIGFVFGITPFGHIDTDIQAKDFAFPELKPPPVNFQLDDQIVTSVSLGVSTRLHEEKEAVQESIEPLKQLIIVSPTYNRAFQAYFLNRLAQVLKLVKPPLVWIVVEEKAASFETAEILRKTGVMYRHVVSTSNSSSVKDRGVHQRNAALEHIERHKLDGIVYFADDDNVYTLELFESLRTISRFGTWPVAMLAPSKNKAILEGPVCNGSQVIGWHTNERSKRVRRFHVDMSGFAFNSTILWDPKRWRRPFSNPIRQLDTVKEGFQETTFIEQVVEDESQMEGTPAGCSRILNWHLHLDTGKLAYPKGWLLEKNLEVTLPIK >OMO50857 pep supercontig:CCACVL1_1.0:contig16039:27271:34667:-1 gene:CCACVL1_30200 transcript:OMO50857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEYVLECLQPPQSLKRLSIKGYPGVCIPRWMRIRVASLNRAHEAHVSDACGRGAWAVAYEPHCY >OMO50860 pep supercontig:CCACVL1_1.0:contig16039:46013:49192:-1 gene:CCACVL1_30203 transcript:OMO50860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MDDIAKEMSTFQFKEVNSYDTREKRVRETGPTVDESKVYGRREEVKQVLDLLVSNSNVWVIPIVGIGGIGKTTLAQLVYNDQRLDGHFDLKIWVSLYDNFSTKKIVSKILECVTKHRCASSEMIVLQSQLQESVCGKRYLIVLDDVWNDDQDEWDEVRNLLRCRAEGSKIMVTTRSEKVASLMSSSPPYLLEALTKDDCWTLFKQRAFADGEENAFPNLLPIGQQIIDKCKGVPLAAKMLGGLLRFKREEDEWLRVRESDLWNLNVGENRILSVLRLSFDRFPSHLKRCFAYCAIFPRNYRVDKEKLIQQWIAGGLIQLTADDYQNTYEQIGNEYFSDLLKMSFFQAVDRCSATTMEYKIHDLIYDLAKSVAGNEFMLLENCSMQRTCIRSYQTDAISNHLPEARHALVDCDYNSNLIPEALYDAKMLCTLNLLSSGDISEKALRRIVSAFRHLKSLSLAGSGIKTLHRSIGDLIYLRYLDLSNTLLEKLPATIGHLCNLRTLNLSGCGHLIELPGETTKLINLRHLNIKDCERLVHLPAHVGNLDSLQTLPIFIVGYKQENNLHQLMKLQHLRGELKIKRLENGRCSHLQGNFMYNLQLHSLELLWGDENRSKLNDYTSRPIGQSEAESSILLQRLCPNHNIRRLFIKGYAGSNFPGWMSSNLFNNLKELKIVDCKGCESLPLLGQLPALTSLTIQGMDNMAKIDSEFCGTSMRPFPSLNNLSFRDFPVLRIWESADANPAEAFPCLRRLSIINCPLLNTIPWFPSLQHLEVQNCDPLILRSVAEQKTLLTLSIDSFTELPFILKPLSENCSLLRSLTVVSCPKLPSLPNNLGKLTALKSLKIGWCKMLDNLPSQLANLSSLETLEIIECPRLITLPDGIFERLSLLRSLSIENCNALTSLPTGLPQATALERLTIMYCPNLASLPDECENIKSLPEGIQQLSALQHLAIRACPDLEKRCDRGVGEDWQKIAHIPHVYLGTSVLQTRQNTATRASKS >OMO50853 pep supercontig:CCACVL1_1.0:contig16039:3557:3631:-1 gene:CCACVL1_30196 transcript:OMO50853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKEKKWGIANDAGCKSYGSGYDM >OMO50858 pep supercontig:CCACVL1_1.0:contig16039:37583:39070:1 gene:CCACVL1_30201 transcript:OMO50858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-fold modifier-conjugating enzyme 1 MEGWDPNTKSTLTQIPLLTTKAGPRDGASWTQRLKEEYRALIAYTQMNKSKDNDWFRISAANPEGTRWTGKCWYVHNLLKYEFDLQFDIPVTYPATAPELELPELDGKTQKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEIPILVDSGMIKHKDDATTSNES >OMO50856 pep supercontig:CCACVL1_1.0:contig16039:11679:26931:-1 gene:CCACVL1_30199 transcript:OMO50856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAIADSRDQALSLLAAANNHSDLVVKLSSLKQARDILSSLDSSYAADLFPYLADLQRSPETLVRKFLVEIIEDIALRSIEHSSILVPVLVAFLRDSDSNVVKQSIICGTNFFCGVLEEMALQFQQHGKVDWWLEELWIWMVRFKEGVFSIALEPGPVGTKLLALKFLETYVLLFTPDNVDSEKLEATRGSRWTFNVSWLSGGHPILDPVALTSDANRTLVVLLDMLQSASSLPGSVTITVVNCLAAIARKRPLHYGTVLSVLLDFNPNFETVRGCHNASIQYSLRTAFLGFLRSTYPTIVESRDRLIRALRAMNAGDAADQVIRQVEKMIKNSERASRENRSGRDEQLSNQASVAGDVSKKRYMPQDNEELTNSHEMVSKRTRYGPNSHSVSTIQMNDPGQDFTSVNGLSSNSHLSDSNWTPVEQMIAMIGALLAEGERGAESLEILISKIHPDLLADIVITNMKHLPKSPPPLTRLGTSQVPQQAGFVNSPAQVVPPPTPINSLHPPVPTSQLGFTPAVTTSSSDTSTVNNFAADAKRDPRRDPRRLDPRRAAASVGVPSTPILEDTGAAHPEFDGSISNKTLSVPVVESPPVHSMSNVQNNDKIIEGPSVSSLEQPTPEGGALGSVEDIVPILEAKNSSHALSPPRTVDEDSAEIKVDADAKYENDASSFPESDQEFSASINVSSLDEAGPDLPVLPPYVELTEDQKRSVRKSAVQQIAESYLHLHWSDCSETRMALLARLVAQIDADDDIVAMLGKQIVLDYQQQKGHEIVLQILYHLYSLMVPNSVDNSSCSAVLYEKFLLTVAESLLDTFPASDRSFSRLLGEAPFLPDSALKLLNDLCYLDVSDVTGKEICDAERVTQGLGVVWSLIRGRPNNRQACLGIALKCAVHPQDEIRGKAIRLVANKLYQLNYTSGEIEQFATNMLMSAVDQRAELLQPGSTDERGEGEVGSGDLSVAEAQRLISLYFALCTKKPSLLQVSFDIYGQAPKAVKQAFHRHIPIVIRALGQSYSQLLRIISDPPQGSENLLTLVLQILTQDTTPSPDLIAAVKHLYETKLKDATILIPMLSSLSKKEVLPIFPRLVDLPLEKFQLALANILQGSAHTGPALTPAEVLVAIHDIIPEKDGLPLKKIMDACSACFEQRTVFTQQVLAKALNQMVDQIPLPLLFMRTVIQAIDAFPTLVDFVMEILSKLVSKQIWRMPKLWVGFLKCVAQTQPHSFPVLLQLPPAQLESALNKYGGLRSPLAAYASQPTRIGSLPRSTLTILGLANESHMQQPHMPNLHPSDNSSVQGTTLT >OMO99717 pep supercontig:CCACVL1_1.0:contig06826:2873:3403:1 gene:CCACVL1_03663 transcript:OMO99717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKVEQQWNVIVSPEKLVAKGLMLQKAIVVRLLDDFASKKANKDFGYFINVTTVENIGDGKVRHSTGDVLFPVSFSGITFKMFRGEILRGVVHKVLKHGVFLKCGPVQNIYLSHLKMPDYKYVPGENAFFMNDKQSRIEKDVVVRFIVIGTKWMEAEREFQALVSLEGDYLGPIS >OMO99718 pep supercontig:CCACVL1_1.0:contig06826:6499:8489:-1 gene:CCACVL1_03664 transcript:OMO99718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITSLIPSQFLFFLMLVLFQFPNIIISTSSAVGVAKEAETLVKWKGSLDNNSQTLLSSWGAGGSPCNWLGITCNNGGSITNLSLAHYGLRGT >OMO99719 pep supercontig:CCACVL1_1.0:contig06826:13642:15636:-1 gene:CCACVL1_03665 transcript:OMO99719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSLTDLKLTTNNLSGSIPASIGHLTKLSTLYLFENKLSGSIPEEIGMLKSLTDLELSTNNLSGSIPASIGNLTKLYTLYLQTNQLSGSIPKEIGMLRSVTHLELSTNNLFGLIPASIGNLTSLIWLTLLDNNLSGSIPPTFSKCKSLYRVRVEGNHLTGNISEIFGIYPSLKFISLSDNRFYGELSPKWGQCRNLTSFQIANNNISGGIPPELEHATQLQQLDLSSNHLTGEIPKELGLLKLMFRLSLDGNHLSGEIPPEVGFLSNLEHLNLASNNLSGPIPDQIGECLKLLNLNLSNNKLGGRIPFSISNIYGLESLDLSQNLLGGEIPQQLGKLQVLETLDLSHNTLNGSIPINAFNDMKSLTVVNISYNYLEGPIPNMKAFLEAPFDALRNNKGLCGNATGLNPCVLPNQRKNLKLIILIVVPIMGTLLLLFVLVACCFILRQKHQNQRRNTIPSAAGSLEIITLWGYNGRALYENIVEATENFDSNYCIGSGGYGNVYKDVLPVGQIVAVKKLHQTEDSVLVNNLKAFESEIQALTEIRHRNIVKLHGFCSHSEHPFLVYEFVERGSLRLILSNDREARELDWKKRLNVVKGVANALCYMHHVCSPPIIHRDISSNNVLLDLEYEAHVSDFGTARLLKPDSSNWTSFAGTFGYIAPGI >OMO59802 pep supercontig:CCACVL1_1.0:contig13879:4448:4537:1 gene:CCACVL1_24601 transcript:OMO59802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFLNIYFDDIYKPIPLVCNLCSCYVMASS >OMO49542 pep supercontig:CCACVL1_1.0:contig16481:87492:91068:1 gene:CCACVL1_30943 transcript:OMO49542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRQPVESDERVDLDEDNDPEETMEEEVEYEEVEVEEEEEEEEIEEEVEEEVEEEEEEEEEEDQDVDEDEKKKHAELLARPPHGSEVYIGGIPHDLSQEDLKSFCESVGEVTEVRIMKGKDSSENKGFAFVTFTSVELASKAIDELNNAEFKGKRIKCSTSQSKHRLFIGNIPRSWGEEDLRKVLSEVGPGVTGLELVKDMKYSSNNRGFAFIEYYNNACAEYSRQKMMNPQFRLGDNVPNVSWADPKNADSSAASQVKAVYVKNLPKDVTQDQLKKLFEHHGKITKVVLPPAKPGQERNRIGFVHFAERSCAMKALKNTEKYELDGQVVECSLAKPQADQKTSGGSSSQNSGFFPSYPPQLGYGLVGGAYGALGSGYGVPGLAQPLIYGRGAAPTSMSMMPMLLPDGRIGYVLQQPGAQPQSPPVHQRISGRGGGKSGGGGSSSRGKHSNDSRRFRPY >OMO49543 pep supercontig:CCACVL1_1.0:contig16481:91842:92678:-1 gene:CCACVL1_30944 transcript:OMO49543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVISEAEELPKAIVRRVVKDKLSDCSPNYDINVHKEALLAFSESARIFIHYLSATANDVCKESKRQTMNAEDVFKALEEIEFSEFVKPLKASLAEFRKKNAGKKAGSAKEKEVKKKRKVEESSVKNGGKSKQKKRNKNEEKEEEEEEEEESESSSKKGGKSKQKKSDKNEEEEEEEVEEEEKEEEEEEEEEEEKEEEEEEEEENEDDSNGSE >OMO49539 pep supercontig:CCACVL1_1.0:contig16481:72492:72662:1 gene:CCACVL1_30940 transcript:OMO49539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDTSVDVNRFRCSGRRTTNKVTATPSESFRKQKVKVCFHSKFYRLKFRIQIAKK >OMO49544 pep supercontig:CCACVL1_1.0:contig16481:109064:111151:-1 gene:CCACVL1_30945 transcript:OMO49544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQALGLSKRSVSVWRSLAALLNTPVAPYEKIPVGFSALAGWLKFFQSPFQKPINITNAMKRICNAIRIMFIVELFLVLLRMIKVRIKAMATATNL >OMO49538 pep supercontig:CCACVL1_1.0:contig16481:70560:72344:1 gene:CCACVL1_30939 transcript:OMO49538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALANNRLAPVSQAIRPLFYLINATFRTHFSTLEEDLCSKFLASCTRTSNLVHGKAIHAKFIKGSIPNSLYLENHILNFYLKCGDIINGHKVFDEMPQRNVVSWSAMVSGFAQHGFFIEALSLFIYMLRDGTSKPNEFTFVSVLQACSLHESLCLAYQVYAVILRLGFGSNVFLVNAFLTALMRHGKKEEALEVFKECLNKDVVTWNVMLSGYSESSCLDLPKLWVQMNNEGVKPDCFTFASVLTGLASLGDLNMGLQVHGQIVKSGHGGEICVGNSLVDMYIKNQRLLDGLKVFNEMGTKDVCSWTQMAAGWLEYGKPEKALEVVAEMRMMGVNPNKFTLATAFNACANLASLEEGKKVHGLRIKLGVEIDVCVDNSLIDMYAKCGSMDAAWGVFKVMDDHSVVSWTTMIMGCAQNGQAREAVKIFDEMIAKGIKPNYITFVCVLYACSQGMFIDEAWKYFSSMTIDHGISPGEDHYVYMVHLLGQAGHIKEAEELILSMPFQPGASVWQTLLNACQVHGDIETGKRAAERAINLDRKDPSSYVLLSNMLAGFNSWDDVRKLRELMETRDVKKVPGSSWIKLEKDGSLPEAP >OMO49541 pep supercontig:CCACVL1_1.0:contig16481:80313:84766:1 gene:CCACVL1_30942 transcript:OMO49541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNLYDEFGNYIGPEIESDRESEGEEEEDEDLPDRHAPEEGEESDGDAAPGASNGWITAANDVDMDNQIVLAEDKKYYPTAEEVYGEDVETLVMDEDEQPLEQPIIKPVRNIKFEVGVKDSSTYVSMQFLIGLMSNPGLVRNVALVGHLQHGKTVFMDMLVEQTHHMNTFDENSEKHMRYTDTRIDEQERRISIKTIPMSLVLEDSNSKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLVVDAAEGVMVNTERAIRHAIQDRLPIVVVINKVDRLITELKLPPKDAYHKLRHTLEVINTQISTVSTTAGNVQLIDPAAGNVCFASASAGWSFTLQSFAKLYVKLHGIPFDAEKFASRLWGDIYYHPDTRTFKRKPPGGGERSFVEFVLEPLYKIYSQVIGEHRKSVESTLAELGVTLSNAAYKLNVRPLLRLACSTVFGSSSGFTDMLVQHIPSPKDAAAKKVDHIYTGPKHSTIYEAMVDCDPSGPLMVNVTKLYPKSDCSVFDAFGRVYSGTIQTGQTLRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRIPISSAPPGCWVLIEGVDASIMKTATLCNVHLDEDVYIFRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLERGLAEDIENGVVSTDWSRKQLGDFFKTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKQLLGSVRDSIVQGFQWGAREGPLCDEPIRNVKFKIVDAKIASESLHRGSGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKTIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMVAELAQQAADVNPHIL >OMO49546 pep supercontig:CCACVL1_1.0:contig16481:116748:119263:1 gene:CCACVL1_30947 transcript:OMO49546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein CtaG/Cox11 MSWSRISSRITLLKNLQFSSHAARFLPEASYPVCKFKASVGDGNGWGLMPRCQFSASSQIKSLNFRPYGSLCSGRPSPFSIHRHYASLASHASKEKKSKRTLIYLTALVFGMVGCSYAAVPLYRRFCQATGYGGTIQRRESVEDKIARHAKDGTVATREIVVQFNADVSDGMPWKFTPTQREVRVKPGESALAFYTAENRSSKPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPRMDGINNMILSYTFFKVSEE >OMO49537 pep supercontig:CCACVL1_1.0:contig16481:68893:70041:1 gene:CCACVL1_30938 transcript:OMO49537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLRELNNDISFEVLCRLPTKYIPRLKCVSTGWNRLISDPTFRKIQSHQNREPLSGFLFQQKYQWCNDDLTTISYIPVEKQEVEVYQTVFNFLPEHVVILASCNGLVCCRSCFPFQDPCLYICNPLNKEWIRLKWDEPDKTNIFALALDPSRDPIGTSNSFKLIRVKQLENEFQALGFSFEIYSSDTGDWKKSEEICKCYDNLYKNSGIFLEGVLHWLTDGYQVLTFNVEHELSWLVSAPLPSTEFNSIPEACIGDYEGKLHYILLSEFGLHVWFLEDIFESKWSLKLSKTLEELEVEHSEFFYNLREKVTQRLAVDKEPWIDPLAFKDGYLLMRQGRMILQYNIDTNKMKKLCWLYKLGSELTSCTVLPFSLSLVPLNQA >OMO49536 pep supercontig:CCACVL1_1.0:contig16481:62370:67342:1 gene:CCACVL1_30937 transcript:OMO49536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEKKFALLPALFTFLVFILMVFKLRMRSKMKDSSKNLPPGPWKLPLIGHLHLFITSLPHYRLRDLAKKHGPLMHLKLGEVSHIIVSSPEVAQQVMKTHDINFANRPSLLAARIIMYNCTDIAFAPYGSYWRQLRKICTLELLSAKRVQSFRLIREEQVSNLVTLISSNNAGLPINLGEMLYNLSYSITSRTAFSGRGNKQLEEVRFNSVMKILLEEAAGFSVADLYPSIKLLYVVSGMKRKLERLHQDFNEMIERIIEEHKANKAKLQKGDNEIDDLVDVLLNLQEQGDIEFHLTTDNIKAVILFSSKKTIVPISYLFAGHVCSWNETSATVIEWAMSEMMKNPRVMEKAQAEVRQVFDRTGHVNESNIHELNYLKMVIKETLRLHPPGPLLLPRENVERCEMNGYEIPAKSRVLINIWAMGRDPNYWNEAERFNPERFLESSIDFRGASFEYIPFGGEGGCVPACHMLPVSNSLKLFASTPKYQQQFAPLLKHKRFVSFFKCRPGATVCKLGGEDKSADNSQGSPWKAIEKAIGNFGKQQSIEDVLRQQMEKEEYYDEGSGKPPGGGGGNGGSGDGSGGSEDEGFSGILDETLQVILATIGFILLYVYIISGEELGRLTKDYIKFLFGGKKSVRLKRAMKKWRRFLENLKEKKEDDELWLEKAIITTPTWYDSPDKYKRLLGTPVESDDAGTDDEYGDDDADNNNNADNDADADNDGGDDEYDDYD >OMO49540 pep supercontig:CCACVL1_1.0:contig16481:73527:78801:1 gene:CCACVL1_30941 transcript:OMO49540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Sec23/Sec24-type MAVRATVSRFPIDPDAQEASGVPWGLTLTPFAAKDENGQSPVYGSDGHLLPRCENCYAYFNTYCELDQWAWNCSLCGTLNGLSSQAIARYSHPQSCAEMMSSFIDLEFPMEGPEEEILHARPVYVAAIDLSSSEEFLELTKSALQAALEALAPGSLFGLATFSHKLGLYDVQGPIPVVKNVFIPQDAEGTLPIELEDVMPLLQFLAPVDTCKDRITAALETLRPTTSWERTPSAGQALEGVLMGGRGFGTAMEALCNYLGSEYGNTFALARVFAFLSGPPDYGPGQLDTRRYGEQYASKGEDADRALLPEQTPFYKDLAAVAVQSGVCVDIFAVTNEYTDLASLKFLSIESGGSLFLYTNTDDSTLPQDMYRMLSRPYAFNCVLRLRTSTEFKPGHSYGHFFPDPQYENVQHIICCDSYATYAYDFDFDNNVGFSRHSSEQPMVQIAFQYTVVVPPEELSSPGLVSSSRGKHSVKRRLRIRTLQFGTAKNLNELYDNVDPEAVLSLLVHKVILASLEQGVREGRMLLHDWLVILTAQYNEAFKLIEYKNGGSSVTAQIDVAFSQCPQLQPLPRLVFALLRNPLLRFHEEGVHPDYRIYVQCLFSALEPSSLHRAIYPVLTSYSTPDKQAYPRHSLSRAALITSGSPIFFLDAFTTLIVFYSSTADPSLPFPPPQDCLLRSTINKLKQERCITPKLVFIRGGQDDATAFENYLIEEQDVDGSGLTSVMGFVSFLDDITQSVLEYVK >OMO49547 pep supercontig:CCACVL1_1.0:contig16481:123524:125419:-1 gene:CCACVL1_30948 transcript:OMO49547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MAASSFLFTLLLVLLTFSDSTVKILGLGVGINYGQIANNLPSPSRVAFLLRSLNISRVKLYDADPNVLMAFSNSNVDFIIGLGNEYLQNMTDPVKAQNWILQRVQPHLPQTRITCIVVGNEVFKGNDKQLWNNLLPAMQTVYNTLVNLGLDKQVTVTTAHSLDILGVSYPPSAGAFRQDLGEYLHAILNFHAQIKSPFLINAYPYFAYKDNPNEVQIEYVLFQPNQGMVDPVTNLRYDNMLFAQVDAVYSAIRQMGHTDIEVRVSETGWPSKGDENEVGATPENAGLYNGNLLKKIEQKQGTPAKPNVPIDIHVFALFNENLKPGPASERNYGLYYPDGTPVYNIGVQGYLPELVYSSPSTKNEFSVFSLLILVIAYLISA >OMO49545 pep supercontig:CCACVL1_1.0:contig16481:112393:114770:1 gene:CCACVL1_30946 transcript:OMO49545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MASSSGYSSHSYPSTSSYSSYSYAPSAPELPPSSSSSYYGGQPPQQQLQNHAPPQNYSYNQHGSGSPPSHSYYGQYQQQPSYGQTWFPEGTHPDVIRAFQAVDRDRSGFIDENELQQALSSGYQRFNIRTIRLLMFLFKNPQDPLRIGPKEFAALWSCLGHWRGIFERFDRDRSGKIDLMELRDALYSLGYAIPPSVLQVLISKYDNGSGRKVELNFDSFVECGMIVKGLTDKFKEKDTRYTGSATFSYDSFMSMVIPFLVQYD >OMO63918 pep supercontig:CCACVL1_1.0:contig12882:24901:26973:-1 gene:CCACVL1_22182 transcript:OMO63918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNKIIQKSSILKNSLVDNGSSSSFGGFPAGLKILIVDDDRTCLLVLERMLRKLLYQVTKCQLASDALAMLRADKSRFDIVICDLHMPDMDGFKLLEIIRSEMDLPVVMMSSDDEKGVVMRGIIHGACDYLVKPIRIEAIRFIWQHVVRRKKCSLEELEQKLNNDVNRDRLLLAEQVFDAAPHNANSDRLLLEEQVFDAAADHQMSNGESLKLQDQEDGDEDDNGGESYSDGEGTTPKKRRMIWTKELHNLFIAAINQLGGLDQSVPKKILERMKAMNPNIYITRANIGSHLQKYRINLRKLAQPSADHNKNIYVNALFEHAASSFRQESYREAQPISHPQLALQNLIAPQSTRFEPKNETVAAAAAASTSDNGIAGSDYQSLISELSLPAEFSLHGLSRANSLQQYDFPGAVPIYNDEHLFRSVGNFTDLLSETFPTVDDLILEPSFPVSQYDLESIFR >OMO63921 pep supercontig:CCACVL1_1.0:contig12882:37068:42483:-1 gene:CCACVL1_22185 transcript:OMO63921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGWRTREADRHPYYCLRDLWECFSEWSVYGVGVPLLLNGSDSVKQYYVPSLSGIQLYVDPHRHRRPTEDSDAESSRETSSAGSSDCETDRRVKGGIDGAWGQHNSQRMNRPPMSSSSDEVEVCKSPGLLVFQYFEQEQPHHRKPLYDKISSLASQFPEITMYKSCDLLPASWISVAWYPIYRIPTGPTLQNLDASFLTFHSLSTHFRSKSQLQYPAPSGRKACDVDASSKDKPNPGLRGESLLELDRGQVSVFIPVPRARGSLDRLRTMWTPEMDRYFIDLMLENAYKGNTFDDHVFSKTAWKTMLSLFNEKFKSEYETDVLKNRFKLNPDARPYRVKTIPYYNDLCYIYEDRRTPGKRGDSNVPEASSRSGEDKTSSATKLESANEETVEAVRDNEGNEAAVSVYQEENGTQEATPNETTTPSYIRTRTNWHPPMDRFFIDLMLEQVQKGNQVDGVFRKQAWMEMIESFNAKFSFNYDMEILKNRYKTLRRQYNAIKNILQLDGFSWDGARQMVTADDSVWQEYIKGHTDARQFMTRPVPYYKDLCLICNDPDPDDNDCFSLQCLELQDNVQEVKNRAAKSSRSPAASVSSEDEIGDVLEPAHMGSKTGGSNLRYKRQSENQINSAHSKKSRGEDDSMASALREMATAVSSLTEKKDDGNSNNISIENVITAVQALPEMDEDLILDACDFLEDEIKAKTFLALDVKLRKKWLLRKLRPQH >OMO63919 pep supercontig:CCACVL1_1.0:contig12882:28484:30522:-1 gene:CCACVL1_22183 transcript:OMO63919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNRTVLYKKHRDALKSVRAPLSSSAPGSGGPVIEMVNAPFLRSNSSSYTPLSTEEDPGPSSSGAFTVGLPPAWVDDSEEIAANIQRAKVKMAELVKAHSKALMPSFGDSKDDQRAIEVLTKEITDLLRKSEKRLQKLSRSGSSEDSNLRKNVQRSLAIDLQSLSVDLRRKQSSYLKRLQQQKEGQDGVDLEMNLNENRYRSDDNEFSDVGFNEHQMSKLKQSEFSTVEREREITQVVKSVNELAQIMKDLSSLVIDQGTIVDRIDYNVQNVATSVEEGFKQLEKAERTHKKGGMVMCATVLVIMCLIMIVLLVLKSIFL >OMO63920 pep supercontig:CCACVL1_1.0:contig12882:33822:36269:1 gene:CCACVL1_22184 transcript:OMO63920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought-responsive family protein MEDDSWSFGVSASSRSYQSALKSLSDLCIDFEEIEEDDELKTEYPCPYCSEDYDLLGLCCHIDEEHHLEANYGCDNEYDNALRSWSSLSSSNTGPDPLLSFLSCVPPADSSESVQSSSSTEVTLEEKVSDENVLEKVVHPSPLSDKEHSEKAKRSEFVRGLLLSTIFDGGL >OMO63917 pep supercontig:CCACVL1_1.0:contig12882:21740:24011:1 gene:CCACVL1_22181 transcript:OMO63917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MAGCKLHHTFIFTFLLLSVSFFHTSLSQPQTPTPAPAPAPASDSCNGVFLSYTYTRGNPIPPTDPTNQAYRFESTLVVLNNGRHELKSWRAFVGFRHKELLVSASNAILADGSSLPAEVGGGAVFAGFPMADLKSAVETAGDRTQMEVRVELVGTQYGVGAPNVPMPLNISLVNDGYSCYNATNTGNNEMHVCCIQDRNSDTNNGLNEEFLPRQEGDLIIMYDVISAYDDNYWAQVSISNHNPLGRLDNWQLSFDWMREEFIYAMKGAYPLVVDTSECVFGRQGQHYKGMDFSQALNCDKSPTIIDLPPTRADDTNLGKIPFCCRNGTILPPSMDPSKSKSSFQMQVYKMPPDLNRTELASPQNWKINGSAMNPDYQCGNPIRVSPSQFPDPSGLPSETAAMVSWQVVCNITQSKKETPKCCVSYSAFFNESAIPCNTCACGCNSNPSQTCSATEPALLLRPDSLLIPFENRSAEAKEWAKIHKRILPNPLPCGDNCGVSINWHLVSDYKDGWSARITIFNWGETNFEDWFTAVQLDKAIAGFEGVYTFNGSVPDGSNNTIFMYGHPGNNFLLAETDGANPKKDPRVPGTQQSIISFKKKLTPGIKVAKGDGFPTKVLFNGEECSLPIILPSKAYRADAATSIFGFLTLALLLLMQR >OMO63916 pep supercontig:CCACVL1_1.0:contig12882:15482:19414:-1 gene:CCACVL1_22180 transcript:OMO63916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MVKMASSPTSSPVTITVSSGGKESGSRSMGLTSPVPRASISNNPNSPSPLGSRGKRRLSSGGRYCSMSKDDPMEEMNSEFVSYTVHIPPTPDHQSVSASQASLNEDGKDGAKLKPERSFISGTIFTGGYNSVTRGHVIDCSLERPETLKSGMVCGMKGCDEKALQGKCECGFKICRECYLDCLGSGGGHCPGCKEPYKDVSEGEDEDEDEIRSEAEDQALPLPSMADFKLDKRLSLVKSFKGQNHPQDFDHTRWLFETKGTYGYGNAVWPKDGYGVGSGANGFEHPPDFGERSNRPLTRKVGVSAAIISPYRLLIILRLVALGFFLTWRIRHPNRDAMWLWGMSITCELWFAFSWLLDQLPKLCPVNRITDLSVLKERFESPNLRNPKGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAVDYPVEKVACYLSDDGGALLTFEALAETASFARIWVPFCRKHNIEPRNPEAYFGQKRDFLKNKVRLDFVRERRRVKREYDEFKVRINSLPESIRRRSDAYNAHEELRAKKKQMEMGGNLSDPLKVPKATWMSDGSHWPGTWASAESDHSRGDHAGIIQAMLAPPNAEPVYGAEADGENLIDTTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYIYNSLALREGMCFMLDRGGDRICYVQFPQRFEGIDPNDRYANHNNVFFDVSMRALDGLQGPMYVGTGCIFRRTALYGFSPPRATEHHGWFGRRKIKLFLRKPKVTKKAEDEIALPINAEHNDDDDADIESSLLPKRFGNSTSLAASIPVAEYQGRLLQDVKGKGNHGRPAGSLAVPREPLDAATVAEAISVISCFYEDRTEWGKRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASPRMKFLQRVAYFNVGMYPFTSMFLLVYCILPAVSLFSGQFIVQSLSVTFLIFLLAITITLCLLAILEIKWSGITLHDWWRNEQFWLIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKSATPEDGDDEFAELYVVKWSFLMVPPITIMMVNMIAIAVGAARTMYSTFPQWSKLLGGVFFSFWVLCHLYPFAKGLMGRRGKVPTIVFVWSGLLSIIISLLWVYINPPSGSQDYMKFQFP >OMO63915 pep supercontig:CCACVL1_1.0:contig12882:8073:10044:1 gene:CCACVL1_22179 transcript:OMO63915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MSDVYNYPKCTKCTSSSAGFGDTIVILVEDKDSWLLSFFEALPRYPSASPKRERGKGTKRGRSEGSESVTPAAHGSPAVPLHPHRFDFKSKKKKRRGIYSIKMLWIMRFSGFFSAAMVMIVLSPSLQSFPPAEAIRSSHHDSYLRLPSYQLSASTKSLEDRFTFRKASEFRNADECGSTDNKIRGVCDPSLVHVAITLDVEYLRGSIAAVHSILQHSLCPENIFFHFLVSESNLETLVRSTFPQLKFKVYYFDPEIVRNLISSSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVVVDDVSKLWSTNLGSQTIGAPEYCHANFTKYFTGAFWSDQRFSGTFRGRKPCYFNTGVMVIDLVKWRRVGYTKRIERWMDIQKSDRIYELGSLPPFLLVFAGRVAPIEHRWNQHGLGGDNVRGSCRDLHPGPVSLLHWSGSGKPWLRLDSKRPCPLDALWAPYDLYGHSH >OMO65868 pep supercontig:CCACVL1_1.0:contig12612:11830:12892:1 gene:CCACVL1_21363 transcript:OMO65868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase, beta-propeller MDRADPVSPPAEKQKNLVPGDVLIRASNLDDGKVLFGWYILNVEEGTIISTLMRMPPEARGGSTAVACSNQIYVLGGFCNLDPTCPDGKPSIFKNLGRWKSLSPPPPPLVEGSKSYPVVLDSALRRILVHFKSNDSLYAYYVDKNNWRLLKGGFGGWTKSVSSVIVDNVLYTLSTYGGRDFFFFPQSDETSLLLLAAYDWGIDLSKKPTSSLVAYDLAENKPLPTRWLSRFKGYAPGTTHLVHTGGSNLCLVWHSVSRHSLEYIRFRVTRVSGEVHAIGESESETSPIEANVCQISLL >OMO65867 pep supercontig:CCACVL1_1.0:contig12612:6989:7918:1 gene:CCACVL1_21362 transcript:OMO65867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MPPEVLYCSAAVACSNQIYVLGGVCKLDTTCPDKVYGIHSHNSVFYFDGNNPEKGWSDVPPMQLGRAFHSAVTLGSKIYVFGGSSTSPEYLDINDLKSSWKLLPEPPLEDMSYPVVDSANHRILVHFKSNDSLYALNVDDNNWCLLKDDFGGWPDSESSVIVDNVLYSLSPYGAPGFFFFPQSDQISFFLRAHGLGGNETSSLVAYDLAENKRLPTRWLSRFQGYAPSTAYLVHTGGSNLCVVWHSVASNTLEYIKFCVTRDSSGEVHARDSESETQVSESETWLPIEAKKLQIDDSVIDANVCQISLL >OMO65869 pep supercontig:CCACVL1_1.0:contig12612:14358:24020:1 gene:CCACVL1_21364 transcript:OMO65869 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MEVGAWEVNRKEDKVSASLVSGDQKMKMQKMNNGKRSKISNEKEGSIRRWEDLDQNILKIIFKHVSCKTKIFNFNLSNSSEDLFCNVSKVCRSWRLACLDLFFWSDPNTLDFSDFTSSLGFANKQMWTRADEDVLRWEWRRLVRNVLMESNDANGLPLEQWRRSITKIFIPASLGHILQDQDLLFIAQRTPGVVSLIVSESSGITRPGFAKAMRYWKNVTHLGLGETARNIDFILEIGKSCPQLVTLEFFGDKRDLPSRWTRQ >OMO67640 pep supercontig:CCACVL1_1.0:contig12407:4896:11799:1 gene:CCACVL1_20410 transcript:OMO67640 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 UFM1-protein ligase 1 MDDELLELQRQFEFAQQAKSSIRLSERNVVELVQKLHELQIIDFELLHTVSGKEYITPEQLRHEIVAEVKKLGRVSVIDLADSTGVDLYHVEKQAQQLVSEDPALMLIQGEIISQSYWDSVAEEINERLQECSQIALAELAAQLHVGSELVASVLEPRLGTMVKGRLEGGQLYTPAYVARVSAMVRGASRGITVPTNLAVLWSNLQQLLQEMEGATGIAVEGSFFQSLFNGLVKGGEVLGTLRAGVHWTPTVFAIAQKECVDSFFSQNSFISYDALQKLGISQPIQFLQSRYPEGIPLVTAFVHPSLTEMLDAAIEDTIERGSWLDSLSVLPTSFGSQDASKILSLSRSVQSALKANKALIMGDSYIFSSSFVKDVYDRMEKEMETFGHSGSSNIMLSDDSHLVKEVKAGQDLSKSSEPFETGTESGNSKRGTEKGSKKKKGKSSGAKTVSAEGDSENEDYIPTKSKKNQKKGKDTSSSQVSDSRKGAKKDAIKTQEEIVPSEEWLMQKLAILVPDFEEQGVDDPQTILRHLADYIRPMLINYWKEKRKTLFTENVEKMKRLLDNLQRKLDESFLNMQLYEKALDLFEDDQSTSVTLHRHLLRTIATSIADMLFHNLDVHNKLKNGTQVEDSQNSESISLSPGERTAIAKSFPGSQSKRALAVVEALEGKRVETFMTALRDLAEESGLLLKKLDKKLERTLLHSYRKDLTSQVSAETDPVSLLPKVVSLLYIQVHGRALQAPGRAISVAVTRLKDKLDDSAFKILTDFQTATVTLLALVSAATGDEQDCSSDRISSKKELLESQIPALKALVLGSSQS >OMO67639 pep supercontig:CCACVL1_1.0:contig12407:656:3296:1 gene:CCACVL1_20409 transcript:OMO67639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPPTEQPSPSVVPARRKKMTKQLTGKRDDTPLHSAARGGNLAVVTEILTGTGEEELKELLPKQNQSGETALYVAAEYGYVDLVKEMINYYDLVDAGIKATNGFDALHIAAKQGDIDILKVLLGVHPELAMTVDLSNTTALHTAATQGHIEIVNFLLEAGSSLATIAKSNGKTPLHSAARNGHVEVVKSLLASEPGIASRTDKKGQTALHMAVKGQNLEVVQELVRVDPSLMNMVDTKGNTALHIASRKGRAQIVKLLLGYNHTDTKAVNRSGETAIDTAEKTGHPEVATILQQHGVQSARTIKPAAPNPARELKQTVSDIKHEVHNQLEHTRQTRKRVQGIAKRLNKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGQYVDDPNNIPPGLSIGEANIAPKPAFIIFFIFDSIALFISLAVVVVQTSVVVIESKAKKQMMAIINKLMWHRIEASNLRSIRRSSLGSRSRSWSMSVMSDSEILNNEFKKMYAI >OMO67641 pep supercontig:CCACVL1_1.0:contig12407:17372:17965:-1 gene:CCACVL1_20412 transcript:OMO67641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQSHYIKPPLLSTPHYKITVATATATNPPPLLDPLSRHEALLLHPNPNIPPSSMFAPARLMLSIGSWLRTRRSRCIFLLLCSPLLLPLLCATFPLLCIAEVCVRICRRRRSGKAAAAAAAAAAAEDNVEWLRRCEEGCCCGGGEEREVGLLQRYLEDQLALVGSVYECGDEIEDHDQHESDHDLDNDCKVPLLS >OMO56918 pep supercontig:CCACVL1_1.0:contig14461:16974:17210:1 gene:CCACVL1_26162 transcript:OMO56918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSLTSSSWTPKQNKLFEKALAKYDRDTPDRWHNIAKAVGGKSAEEVKLQYEILVRDLKDIESGRYPYPYPSGSSN >OMO56922 pep supercontig:CCACVL1_1.0:contig14461:39024:39896:-1 gene:CCACVL1_26167 transcript:OMO56922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPPQTTSITTRSGVRKLSDNLASSSKIPFRPRKIRKVTSNATSEDAIKIKSPQPPLTVSPQIPKSLSEKPEIDLALNHLRHIDPLLATLISAHPPPKLSPCNSPFLSLSKSILYQQLATKAANSIYTRFISLCGNESDVVPSTILSLTPQKLREIGVSARKASYLHDLSDKFSTGVLSDASILTMDDETLFQMLTAVKGIGPWSVHMFMIFSLHRPDVLPVGDLGVRKGVQLLYGLKELPKPAQMEQICEKWKPYRSVGSWYMWRLLESKTKGNGKAQSVEAEQIGGF >OMO56915 pep supercontig:CCACVL1_1.0:contig14461:3902:4042:1 gene:CCACVL1_26159 transcript:OMO56915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTSLNKKGIGKAKLGLVAQEKWAKAKLGQCENDEGNIISNGPSPTQ >OMO56920 pep supercontig:CCACVL1_1.0:contig14461:26752:28187:1 gene:CCACVL1_26164 transcript:OMO56920 gene_biotype:protein_coding transcript_biotype:protein_coding description:late embryogenesis abundant protein D-29 MGKIVVVMMVMVVLLGFYCGRGEAKAEETNQGAAQAVQDVETGTEKGWFDSAFDSFPEVLGLKYENIRDAAKDMWNKAGYAASRTSDTVNSAASDAAEKAREGANMAYEKANAGEAYDEARQKMQTASEMAADKANDAKERIADGMEYARDKAAGAYDQGSENIKFASNMASNKASDAKETMEGAMGYVRDKAANAYEEGSQKMNSASSDVKDKMDGAMNYGSNKAANAYDSAKEMFGSESMDSGKERVADAYGEAKNKVGETYESAKETMSEEAKVKYEQAKEKASQAVGDLGAKMRGTAEL >OMO56921 pep supercontig:CCACVL1_1.0:contig14461:30388:31509:-1 gene:CCACVL1_26165 transcript:OMO56921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRGQDKEMGMPSSFSYNNNQLNRDSSSSKVSSSTPINSTAERRRDHHADNTTILNPNPSHQNQTLDHQNPLYHLHLNPQHQLNKPRRDPDPDPDPVPPQLATTGATVSPTADRSPNHHHQQPPPTSSSSQPPAAAVSTTTPLIRYRECMKNHAASMGGHVTDGCGEFMPGGEEGTPEALKCAACECHRNFHRKEINGESQYAPPSSYNFSHNPNKNNSRRDMNHMTIHLPPNSHQLHHQQPTATTPFHHQQRFSLGVSTSPSAMAPIAPVMMNFGGGGSGGGPAESSSEDLNMYAGGQSSAHPQSSKKRFRTKFSQEQKDKMMEFAEKLGWRIQKQDEQEVQQFCAQTGVKRQVFKVWMHNNKQAMKKKQM >OMO56917 pep supercontig:CCACVL1_1.0:contig14461:12289:12369:-1 gene:CCACVL1_26161 transcript:OMO56917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDGVGIASSASFPPTLLSCHTPPR >OMO56919 pep supercontig:CCACVL1_1.0:contig14461:22694:25773:1 gene:CCACVL1_26163 transcript:OMO56919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKLSSLGALAAAIAAATAGSTFQNTAYADSPFRFPPFSSSSSSTPSGSQTEQSSDAKSEPDEPKGAGFDPEALERGAKALREINSSPNAKQVFDLMRKQEQTRLGEIAAEKAHYEAIQSQIDIDRQRKVAEEQRNLMQQQAQAKAQMLRYEDELARKRMQTDHEAQRKHNAELVKMQEESSIRKEQARRATEEQIQAQQRQTEKERSEIERETIRVKAMAEAEGRAHEAKLTEDHKRRMLIERVNGEKEKWLAAINTTFSHIEGGVRTLLTDRNKLIMTVGGATALAAGVYTTREGARVTWGYINRILGQPSLIRESSIAKFPLSGAISQAKNKVLKYSTAGAAAPLQSQKGLENVVLHPSLKRRIEHLAKATTNTKIHQAPFRNMLFYGPPGTGKTMVAREIAQKSGLDYAMMTGGDVAPLGAQAVTKIHEIFDWSKKSNKGLLLFIDEADAFLCERNSIHMSEAQRSALNALLFRTGDQSRDVVLVLATNRPGDLDSAITDRIDEVIEFPLPGEEERFKLLNLYINKYLNVESEGKSKFGGLLFNKKTQKITIKDLSEDVIREAAKKTEGFSGREIAKLVAGIQAAVYGSPDCTLDSQLFKEIVNYKVAEHHQRMKLAAEGGLPS >OMO56916 pep supercontig:CCACVL1_1.0:contig14461:8607:11882:1 gene:CCACVL1_26160 transcript:OMO56916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDFEELRQLSTPRVSSCVTIVMYPWVLILGGRIDFHVGSWAEVTRLIDECEGWQNPHRLAVTALLHSE >OMO56923 pep supercontig:CCACVL1_1.0:contig14461:40764:43323:1 gene:CCACVL1_26168 transcript:OMO56923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MSFRDDNEEGRDLKKPFLHTGSWYRMSGRQSSIMGSSAQVLRDGSVSVLFCVLIVALGPIQFGFTCGYSSPTQNQIIKDLNLSISEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSAFLFMGRLLEGFGVGIISYVVPVYIAEIAPQNMRGSLGSVNQIAMVQLKRNGGILPCTILIPGLFFVPESPRWLAKMGMMEDFEASLQVLRGFDTDISVEVNEIKRAVASSTKRQTIRFADLKRKRYWYPLTIGIGLLVLQQLSGINGVLFYSTNIFESAG >OMO75625 pep supercontig:CCACVL1_1.0:contig11017:37490:38235:-1 gene:CCACVL1_16118 transcript:OMO75625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MKFRVRTVIKNIDTSVHWCYAACGVCKQALSDGFDGLFCPRHQIQTPENTLKMPLVVRDHTARMKVMIFGELAEKMTGIKAGNVPMLKNEEPIMKLPDQAFDILGNEYSMVVGLSQQAQEKEELNYKIFDFTFLQGTPPAGAENTRKAQSQATSKPGVQGDEPHLEEGVQQVDDSLGEGVEFLALRTPKKKTSTCDLGTSQLSQTSKTVTPEK >OMO75623 pep supercontig:CCACVL1_1.0:contig11017:25103:25870:-1 gene:CCACVL1_16116 transcript:OMO75623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPKKKEITRLLIGYYYIKNAKTVGNDPNVFQWLRLNPSEIPRPHVIFEKLWDPSMINEMCKHSVLNIAFPTLAMAIDSPGRNRVYLRNSVNTCRNKSQEKIVYVVHAEQIIQTNCPSPCNDAAPPYNRLIDHCALIYNARGASFPGFETHLKLLIRDEYARVIVITETRDGLEGAQQLAGDLGYTLMLTVPPMWASAGVWVLANPKYLSLEEVERTNNGIEFNILKS >OMO75626 pep supercontig:CCACVL1_1.0:contig11017:53476:54806:-1 gene:CCACVL1_16119 transcript:OMO75626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNVQVKLIHHFIGNSLWYKQGSLGYINHKDIWLLYCIWKEIKVNLAQIIINEIKKTASKDNNMLYGFGPIVTALGQIVGTKMNEHKDITNTNKVLNLMADKILGRTFEDEYLDEPDVPDIQQQKEPEATLVEKRKQQRNSDEEEIEEEETSRQEDNDENEEAEEEENEKENSKEENNETDDDEAGAEEEYNKSDDDEDEVSAPEDSNKANEELTSSAAVGETNAPEVPSGTDTEIANMTLATTAEVLTGLKPLATALASLKGKDKAKVSSSSASSPTKKAFTVSKPKKMVTKKARTAIVEKSAHVTESDVVSEHTEPTPPVPKKRLRTKHPNIEDAPETILAKASKPAKNAGVRQSKRIKKA >OMO75627 pep supercontig:CCACVL1_1.0:contig11017:66805:67952:1 gene:CCACVL1_16120 transcript:OMO75627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAMKTLANNIHDLPLHMISNYNVANVDLNVLLRGNDKVSLGPHTTRSMFSVGNSASRLTIFNSSKAS >OMO75624 pep supercontig:CCACVL1_1.0:contig11017:34557:35084:-1 gene:CCACVL1_16117 transcript:OMO75624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVYAADRVTTVRLNGTKEKIVSLRTPAITQAWISAEFKLNRRLRYSTDHVIQLILDTTNMVFPNIERLDYEQYYLQQRYAGLRPANILIINAKETRLTGEVESETRQAMGYDSSLSIESLAFFGGIWFLWNSSKVLVQTIASSQRSLT >OMP07308 pep supercontig:CCACVL1_1.0:contig04532:509:595:1 gene:CCACVL1_01333 transcript:OMP07308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDELPELNPEEYEADRYPSPGRTRLRP >OMP09451 pep supercontig:CCACVL1_1.0:contig03216:2033:2212:-1 gene:CCACVL1_01061 transcript:OMP09451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFTEILESKEPVNWDQVKSKLEHCGTQCSDHKFGYTAEVTIDDGKSSTPKVIAKLRPAC >OMO52460 pep supercontig:CCACVL1_1.0:contig15526:19158:19445:-1 gene:CCACVL1_29220 transcript:OMO52460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPQEIEPVNPPQQFPAKQDLPYVLRQLIGTLEDLLCIRCPALVPRNTLETRGKPILIELSKLVVGYHLQSIRRHRDVKNVIFRWLSIAENDIP >OMO52461 pep supercontig:CCACVL1_1.0:contig15526:26781:38383:-1 gene:CCACVL1_29221 transcript:OMO52461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGSLEALVERRVTGFPVIDDDWTLLNSILVRCYLSVDIMYLATTSATKVYIDLDIPETRLIRRRFDDYNEAVKILKANAFQSQAKGSKNEPLVATVPISPRESCAKTEDEVATEN >OMO52459 pep supercontig:CCACVL1_1.0:contig15526:11847:13892:-1 gene:CCACVL1_29219 transcript:OMO52459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 26 MFAAHELDVPGEIYQRKTYPFEFSTVEMPYESLNGVNERLSFGAYLDIFYKLFRSDMYFCNKPIVNYGALEMLSSYFGSQMRLVYVKARECEEQLSPEEFAILLGKHFTSFYLQRLHMQQFKQLLKFRAPYQNKASYISHIERIKTCLPLSLRLFRREVNTLSRFKCCYTLAEEAAKAIHTPPSFCLCI >OMO88527 pep supercontig:CCACVL1_1.0:contig08997:8353:11328:1 gene:CCACVL1_08319 transcript:OMO88527 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex, subunit Gar1/Naf1 MRMKSTSGPREWIKAHESDPSMLYRVGSGLTQIKRTRGIAMVKLSVTVRSLGEGKTVATSISMKEYLKRYKSVSPGNFEEGEIGDFGGEMAFDGTDSSDYDEEDEETDEEDEEDEDSYEEYEEDDDDDAGPGPIKSKNELEIIGTKVIVEGREKHNPLNEGSILWITIDRSPLGLVDEIFGPVTNPYYVVRYDSEIEVPAGIQEGTSISFVPEFANHVLNDSNLYKKGYDASGENDEELSDPAEFSDDEKEADNSAQQTSTTVGQLAPNENQHDFSKRNKKKVKSRDGASKNGSNSAQQTSTTVGQLAPDENQHDFSTVSASLDSYSPIGRQNFVGGSNFAQPFSVMPQSSGIIPSSNGVWTNGIPGQESQNPIFPNRFPAEGMAMLSQNYQQQPIPLPTPAIPIPYQQQQFNPSMNTLPGMLPVGQPNLFSGPGYAPWLGIGGQNGFSQTSGVGMQGQVQQLLSAVQSILANGAMADRNSNVQPEGVPGNFETSQNVNMGNGFSQTTFGVGMQGQQLLSAVQGILASGAMADGNCNVQPGGVPHNFQAS >OMO67728 pep supercontig:CCACVL1_1.0:contig12400:14106:14864:1 gene:CCACVL1_20356 transcript:OMO67728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MASPLYSSLIFFVTLCLVCNFSKATYPGLILTLVNNCPFTIYPAIQPNAGHPVLERGGFALETLTHRSFAAPTAHWSGRIWARTGCTHSNGKFYCATGDCGNRIECNGLGGAAPTTLVQLSLHHGGHKDLSSYAVSLVDGFNLPMTVTPHEGKGLCPVVGCRTNLLATCPEKLQLRSGGHGQVIGCKSGCAAFGTDELCCRNHYNSPQTCRASSFSEFFKHACPATFTYAHDSPSLTHDCSSPRELKVIFCH >OMO67727 pep supercontig:CCACVL1_1.0:contig12400:7883:10686:-1 gene:CCACVL1_20355 transcript:OMO67727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKMPFKNLHSREYQGHKKKVHSVAWNCTGTKLASGSVDQTARVWHIEHHGHGKAKDIELKGHTDSVDQLCWDPKHADLIATASGDKTVRLWDARSGKCSQQAELSGENINITYKPDGTHIAVGNRDDELTILDVRKFKPIHRRKFNYEVNEIAWNMTGDMFFLTTGNGTVEVLAYPSLRPVDTLMAHTAGCYCIAIDPTGRYFAVGSADSLVSLWDISEMLCVRTFTKLEWPVRTISFNHSGDFIASASEDLFIDISNVHTGRTVHQIPCRAAMNSVEWNPKYNLLAYAGDDKNKYSADEGVFRIFGFESS >OMO53600 pep supercontig:CCACVL1_1.0:contig15181:8018:11338:1 gene:CCACVL1_28511 transcript:OMO53600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MRKLTVFIALMFHISRVVASDVYPYESYGSPNLSPAPESKAASPELPESSATSRNGVFDVTEHGATANGATESSKAFAAAWNAACGHPGKSTFYIPKGRFLVGPIIFSGPCYNNQSPNIEIKGTLLAPISLSAFQSSNWIAFRNLNGFTLTGGTETAKLDGQGAAEAWKQESCQKSAECKKLITSIDFINVSYATIRDITLSNSKGFHLGLHGSDHINIYNLNIVAPGNSPNTDGIHVSHSSYINISSSTIGVGDDCVSIGPGSAHISVSDVHCGPGHGISVGSLGKYKYEKDVVGIHVRNCTIRDTQNGIRVKTWPGAPPSSASNMTFEDIHMINVANPIIIDQEYCPSHSCKTTLPSLVKLRDISIKNINGTYNTKSAVAFFCSTEVPCENVHLVNVNLKYLVPDNPRQGRLSIKGYLNGLQVINSRGALLSVDVLFCWEVKLYATGIGINLSVISK >OMO53606 pep supercontig:CCACVL1_1.0:contig15181:45241:45369:1 gene:CCACVL1_28517 transcript:OMO53606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKPLETCCSSPSIRQVRSGDDLRFRPSTSESPKNCEISAI >OMO53605 pep supercontig:CCACVL1_1.0:contig15181:35953:43163:1 gene:CCACVL1_28516 transcript:OMO53605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D/Transphosphatidylase MASEQLMSGGGPRYFQMQSEPLPSMMSSFFSFAQGVAPESTRIFDELPKATIVSVSRPDAADISPVLLSYTIEFQYKQASFRSQFSSNIDVPSSAALPVIRPALGRQSSMSDRPKVAMQEYLNHFLGNMDIVNSREVCKFLEVSKLSFSPEYGPKLKEEYVMVKHLPKIAKNDDSNRCCACDWFNCCNDNWQKVWAVLKPGFLALLGDPFDTKPLDIIVFDVLPASDGNGEGRVSLAAEVKERNPLRHAFKVTCGLRSIRLRTKSSAKVKDWVAAINDAGLRPPEGWCHPHRFGSFAPPRGLTEDGSQAQWFVDGRAAFDAIASAIEDAKSEIFMCGWWLCPELYLRRPFREQASSRLDALLEAKAKQGVQIYVLLYKEVALALKINSVYSKRKLLSIHENVRVLRYPDHFSTGVYLWSHHEKLVIVDYQICFIGGLDLCFGRYDTLEHKVGDNPPLIWPGKDYYNPRESEPNSWEDTMKDELDRGKYPRMPWHDVHCALWGPPCRDVARHFVQRWNYAKRNKAPYEEAIPLLMPQQHMVIPHYMGRSKETDSEAKNIEENSKGMKRQDSFSSRSSLQDIPLLLPQEAEEMDGFSGLPKSNGLDSSANKSASFAFRKSKIEPLISDTPMKGFVDDLGSLDVHLEKSSDVKRHPGNRISDPEWWEIQERGNQVGFADETGQVGPCTSCHCQIIRSVSQWSAGTSQIEESIHCAYCSLIEKAEHFVYIENQFFISGLSGDEIIRNRVLEALYRRIMRAYNDKKFFRVIIVIPLLPGFQGGLDDAGAASVRAIMHWQYRTICRGQNSILHNLYEHLGAKTHDYISFYGLRAYGKLFEGGPVATSPVYVHSKIMIIDDSVTLIGSANINDRSLLGSRDSEIGVLIEDKEFVNSCMGGNPWKAGKFSLSLRLSLWSEHLGLRRGEINQIVDPISDSSYKDIWVATAKMNTTIYQDVFSCVPSDLIHSRLALRQSISFWKERLGHTTIDLGIAPEKLESYHNGDIKQTDPMDRLKSVRGHLVSFPLDFMCKEDLRPVFNESEYYASPQVFH >OMO53598 pep supercontig:CCACVL1_1.0:contig15181:3659:4146:1 gene:CCACVL1_28509 transcript:OMO53598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHQRDQQHLKKVGLEGFAIIDEFYGRNRRSNPSQQRVQLQTPEDKQIKPLLHDRGA >OMO53604 pep supercontig:CCACVL1_1.0:contig15181:32214:32849:-1 gene:CCACVL1_28515 transcript:OMO53604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLICDTLNVATTHGLGFAKLILDRHHYFAGNSYLSISSDSSVDNLGILHLTAAIVPVDSVAPPPVKPNSYKVLPRTLLRRKRRTKRTYFSGDESNDGEDYGFLFGSDGGDVYGPFGFGGGAGGGGGGGSHWGGSGWNFGGFGGQNWDESSSSSPWTGTAMDLFYEVICWIALSNCVHFAFKKVVRIVTNGMEEAGDREKVPIRLASVC >OMO53603 pep supercontig:CCACVL1_1.0:contig15181:24517:25787:1 gene:CCACVL1_28514 transcript:OMO53603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIAVKQSRNLTAEDLWSELDTFSDLLGLNYNGKDDSFDNKVGPNAKQPKKVTSERAQKTSRGAEKEIIKAQRTRKNVYRGIRQRPWGKWAAEIRDPHKGVRVWLGTYNTAEEAARAYDEAAKRIRGDKAKLNFPAETAVVPPPMIQPPPAKRRCISPELSQASFETTDSSSSPPQQPPYMGGFGYESEVYRPSKVVESELELKEQISSLESFLGLEHEAETSEVSGSGETDSVDLWMLDDLVTHHQQQRQLIY >OMO53601 pep supercontig:CCACVL1_1.0:contig15181:15992:17109:1 gene:CCACVL1_28512 transcript:OMO53601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVLEFPNDPKPSDEEMIATYVKTLTAVVGSEEEAKKKIYSVCTTTYTGFGALISEELSYKVKAQPGVLWVLPDSYHDVPNKDYGGDLFIDGKVIRRPQYTSPQQRQGPSLRSRRRG >OMO53607 pep supercontig:CCACVL1_1.0:contig15181:45681:50516:1 gene:CCACVL1_28518 transcript:OMO53607 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MAKDAKKNELMLSSSGTVKSNRSNNFASVCSKRGQKGINQDCAVVWEEFGCQEDTIFCGIFDGHGPWGHIVAKRVREWVPPSLLCNWQKNLIASTSTEELDMDQLNTNIHHQFDIWKQSYLKTYSDIDLELKQHPGIDSFRSGTTALTIIKQGEHLVIANVGDSRAVLATTSDDGNLVPLQLTVDFKPNIPGFSSPRPLPMSNSLFLSFPLSFPPTLSHVRAFNLRSTVHSPVTFSAPTSYLASHRKFLYIPSLTMHSNPKLAATQLDVSQLDDLSDFEKLLSPSGHISICGFGSLLSEKSARSTFPNLLNFRVAKLNGFRRVFAHVAPIFFDRGIAKPETKEISSLSVEPCEGETLIVTVFEIQKSEIPAFMDRELEFRFLAVLPETLDGEPFANPGVLCARYSDKEFFQIRCKGSKDIYFQHYGRYNIDKIWRDDILPCRVYLRHCVLAAKNLGDIAYNNFLDHTFLGDRTTTIRTYLATTGSGIMEEEPPESLKSRYGG >OMO53599 pep supercontig:CCACVL1_1.0:contig15181:5102:7388:1 gene:CCACVL1_28510 transcript:OMO53599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MGLAPFGRRIISAILLLCLALHGCEGQVVKPFNAAEALALARKAAVGAVGPDVKTFNVVDYGAKADGTTNNAFAFIKAFKEACNFNGNAMMVIPDGKFLVGSVVFEGPCSNPSPLIIQVNGYILATPTLYGYPQVDWFNFQKINGLILTGHGTFHGQGATVWQKEVPPSPTNPNHRRPASIKFNNVDNAIIRGITSIDAKGFHIFISNSENFRIFNIDIQAPDTSPNTDGIHMSKSSIVKISKTKIGTDNGLRIKTYMSNIAIKASNIFFQDISMTQVQRPIIINQEYNSIEGSESSKVSISDVYFTNVRGTSASKTAVSLICSKTNPCSNVHLNNVDLKYDGKVDDKLYMSNCTNVQPIYGGIQDPPPCPH >OMO53602 pep supercontig:CCACVL1_1.0:contig15181:18403:20488:1 gene:CCACVL1_28513 transcript:OMO53602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MNSNYGRGSSGSLKNFDFDLGLGSGRPKSLNDQKNQTTSSSSFSSYSSTATTQSKPAWQPNKPTWTHQPAANLAKDSGLSGPTSMVGDIFGKSWASSAPSGGVGVVNKNPNLFGDLVSSAIGQGKSNSNVPLKNANPPSSSSSKTPYSMGNLADSLPKSGGNWGTSGGYNNSTGTSSNINVNINGSKSQNLGGPSIKSMAGGAGGVGGGSGIGSKNDPFGSLVDFASKPSPGLNSGSNKGNKAKVADDAFGEFQNATKPSTTTFPSSGFGSSNNDFMGSNTTPSGFGSSSNDFMGSNTSSGLNMDDFGMPAKNVGSQSQPSVQSSGGDPLDMLFSSSSGSAGGATQASSGGGGQPFSGVDDWGLDTEFGGAGGTDEGGSTTELDGLPPPPAGVTAAAAKSKGIDNQKQGQYADAIKWLSWAVVLLEKTNDKASSMEVLSSRASCYKEVGEYKKAVADCSKVLEYDEKNVSVLVQRALLYESMEKYKLGAEDLRTVLKFDPSNRVARSTVHRLTQMAG >OMO53975 pep supercontig:CCACVL1_1.0:contig15087:22982:23350:1 gene:CCACVL1_28170 transcript:OMO53975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEFFNEMLHKGLEPDRFAYTTQIVGELKLGDPVRAFRLQEEMLAKGLPPDLVVYNVFVHGRARLRDLEGAIGLMHKMFSIGLIPDHVTYTTIIYAYLEKGYLRKGREMFNEMLSRGLSPSV >OMO53972 pep supercontig:CCACVL1_1.0:contig15087:7300:18097:-1 gene:CCACVL1_28167 transcript:OMO53972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHAQITQAVLKKNNEEHFQVQVIKQILWIEGIRYELR >OMO53973 pep supercontig:CCACVL1_1.0:contig15087:20677:21508:-1 gene:CCACVL1_28168 transcript:OMO53973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCRAELDIPFRWRQQYGRRYPDEFLDMSKSSTEATIFSEPRAPLSGRTSDLNDLGILDQQRGRRGSLKCRR >OMO53974 pep supercontig:CCACVL1_1.0:contig15087:22376:22668:1 gene:CCACVL1_28169 transcript:OMO53974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRGCFPNDVTYNVMINGLMKNDKAEQAEVGESE >OMP05814 pep supercontig:CCACVL1_1.0:contig05208:10669:16014:-1 gene:CCACVL1_01823 transcript:OMP05814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETRDNDADEEDEEKASDSVTEKVDGKAAENSLSQSSSNPKCTATTITPTIAPSHDFLSRYALDEPRKGANILVEALHEQGGVFAAEGYARSPGLPGVYIATSGLGATNLVSGLADDLLDSIPIVAITGQVPRRMIGTDAFQETPIIEVTRSITKHNYLVLEVDDIPRIVSEAFFLATSGRPDPVLIDIPKDIQQQLAVPNWNQPIRLSGYMSRLPKAPDEAHLEQIVRLVSESKKPVLYVGGGCLNSSEELRRFVELSGIPVASTLMGLGAFPTSDELSLQMLGMHGTVYANYAVDKSDLLLAFGVRFDDRVTGKLEAFASKAKIVHIDIDSAQIGKNKQPHLSICSDVKLALKGINRILESKGAKLNPMNKIPYWRPYR >OMP07170 pep supercontig:CCACVL1_1.0:contig04622:472:1859:1 gene:CCACVL1_01362 transcript:OMP07170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin VVGKVYCYKCYDWKYPVKSHDKKHLKGAVVEVTCKAGDKEIKAYGKTKINGKFSTTVEGFDYAKYGAEACKAKLHAAPKGSSCNIATSLHWGDKGANLKVKSKNKYEVVLKAKPFAYAPKTPYKEFTTTSTIRLQVSTTTTSKILLQVPSSTITYLRLQ >OMO70119 pep supercontig:CCACVL1_1.0:contig11868:20645:23485:1 gene:CCACVL1_19097 transcript:OMO70119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPRLEPEDSPKICSHLKPSSRITAFIFPYNGVYKHCYTTSRCFIETPPQTPLKWPP >OMO70118 pep supercontig:CCACVL1_1.0:contig11868:18980:19042:1 gene:CCACVL1_19096 transcript:OMO70118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRERDETKARKARRFRPP >OMP03398 pep supercontig:CCACVL1_1.0:contig06083:9:380:-1 gene:CCACVL1_02438 transcript:OMP03398 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor GTE9-like protein MLQVSASTERAARAAMLTSRFSDIICKAGKLLDHDIKKPRPKQATARMLFQREEQLRRRREDSRRKLERMKPTATFDNSEILKEFSALCGCPSQSLPYRFEGSSSLEKFGLRLRTFTYDDIEEA >OMO86975 pep supercontig:CCACVL1_1.0:contig09372:14509:18575:1 gene:CCACVL1_09349 transcript:OMO86975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDNEDQCLLPQSKPDDQVAARDSHKKSKFSYTRDFLISLAELDTCKTLPAGFDISILREFDDQSSSLLPGYHHSDGGRFQSISSHCSWRNPQQGLLGQGLLGQGLLGSGTLARVHKAVAESSVPVLQDSGCHLLNRSSEPYRPPHLSKAKYDSGRESKDFYNGQTFGSSDDLSRERAEEERQRRDSFELMRKEKQLATQAKQKIVFDENMAKLNPGIAVVLDEFRVDKKVANKHYKYKGSEPCDVKEACSENSVLFSSVSTHQFPEAGNNDQLNKSGRVCINNSKKNVYEPISGIGIRDSESSALPGTQKQLTNRSKRDDTVESHIPLSVGDNCNIPSTFGTIGNIESIVFSNCVKQTGVTKRDSNLSVSDIQANEGLDHQGWPESKHNSLIRFDELDICLPDEDFLITVDDSNLSVSDIQANEGLDHQGRPESKHNSLIRFDELDICLPDEDSLITVDDYIYPQDSMFVAAGNTLNDERLADCGVATSDERFNLADFGSHTSFHGTHDPVSLDTSFKSPQKPQSYTQLHHSQLKTAKARMSPSKLQDRKRSSRIRPRKIKSYPYNLQSIQYYPNGYLTSPQYLQATATGVDHSVNHQCLQVLPIPGLPHQSYGLPRVAYPQYTSNEMVYYTGEQNAMLNSSPSFKQQCYSNLGNASSRYYEMGIGSRADASPKYGWSYPGSFAKTG >OMO86980 pep supercontig:CCACVL1_1.0:contig09372:71162:73046:1 gene:CCACVL1_09356 transcript:OMO86980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPDDRIKDQHVVSPVPSAPPAPTAEPVPDVVEEVSAASSSRGREREDMLKRGILMLRLFAFLFSLLASIFMASNRHGDGKDFDNYEEYRYLLAIACISTVYSGGQGLRYANKISIGRQQREEQNSFSMIDLVGDEVAAYLLVSAASAAVPQTKRILTHGESNNFTKSLATAITMAFLAFLSLALSTLCFTLSLWNITN >OMO86983 pep supercontig:CCACVL1_1.0:contig09372:117395:123785:-1 gene:CCACVL1_09359 transcript:OMO86983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MTNSKLPIIPESDESGDVDTIIKTQVEGMREKLRDDIRDIFDNLMAQRDQPPQFPPQDASSSTFPSGQTPPPPPSQDFSTTHGFTPPPWNSPRPQNLPLGYPPWSPNTNLPSSSTHSWPQNINQTSVHSQQWVPNTTQPHSNTSNYFPQNNTPWPPTYTQHTWPEQNTNQNGNQHYYPPRYKMELPKFNGTDFKSWAGSALAPVYITSIGGMANARWDTYLLELKKRFASKDFADFLFDLVRTWHIGTIQSYYNDFMALLNIAGIPESQALSIFLANLKDDILGQLRLHRPSTLQQAAEMSMLIESNLESTTKSSSYTKTTTTTQIQTIPATGYYRPPPPKSTLPPIITTPNPSKQSTITKTNTSPATHKKPTPAEIAERKKKGLCIWCQAKYSPGHKCYKSQLYSIGGQDGEDDSNLDFLVEELPEGAESNEFNSEVLESVEQPIISLHAIYGTSGYQTMRICCTIKHHSLIILIDSGSTHNFIDGNVVKKLGLKMNQRAQTEVTIADGTAVTTWGSCERLHWQVQGMHFSSTFMVLALKGCDMVLGIEWLVSLGPILWDFSMLTMQFMYDNNWKLLQGITPGHIRLSQSKQTLKFLNSMLVSQSQQPYTLMLAETTHSQLKLKPTAEIDATLQQFLEQYNILFEEPKGLPPSRPQDHRIPLKDESKTVKIRPYRHPSIHKDEIEKMIKEMLDSGVIRHSNSSFASPIVMIGIPTRAVLCQNGRPIAYFSKALGPKHVSLSVYEKEMLAVLMAVKKWNSYLSGRHFIIKTDHQSLKFLSNQQATTPAQQLWVTKMMGYDYEVQYGKGINNVVTYTLSRKPLATTAQLMAITSFNSELMGRIQASWETDTKLQQVILKLKQGQTSNSKYTWSGNQLHRKGKLVVGDDRELRRELLEYFHNSPLGGHAGMQATLSRITSVFYWKGLRKAVRHWVKDCITCQKHKTYNSAYPGLLQPLPVPETIWTDISMDFIEGLPKSRGKDVIFVVVDRLSKYAHLLLLSHTFTAIDIAQAFMDNIFKLHGMPATIVSDKDKIFFSTFWKELLRRQGVKLKTSTAYHPQTDGQTEVVNKCLESYLRCTSIVETIDRNLKAREAALSMMKFYLERAQNRMKLQADKRRSDREFKVGNWVYVKLQPYKQQSVAHRECLKLSAKYFGPYEILKRIGTVAYQLQLPESAKIHSTFHVSQLKMHNGDKPSALQLPLLNTEGTITKEPISIVDRRTVKRNNMVVTEVLVNRANTFLEDATWEVLSELQKHPTLLAWLPNPMGGIMVYLLMLRHSFFASSKTSTSYSFEVKNLGASLIDDLEDFAMGGMRNKASKYEEAEDVTKKTQQYRENDLESYFAVSSRSSSAPKSRATTSDPMFDVNIHNRQSASCRGIIHCKEDFFCCYGIWNR >OMO86973 pep supercontig:CCACVL1_1.0:contig09372:7527:7883:1 gene:CCACVL1_09347 transcript:OMO86973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MERIMKAQALRDNSMSSYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLEDPNTFAARIHRMLKLGLSIDEDESGADDAEMPALEEDETEGSKMEEVD >OMO86977 pep supercontig:CCACVL1_1.0:contig09372:39407:40149:1 gene:CCACVL1_09352 transcript:OMO86977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVVLKLDFHDTKCRQKAMKTASGLSGVDSVALDTKDNKLTVTGDIDPVVAVRKLRKLCYTEIVSVGPAKEPEKKKEEPKKEEAKKPAETKKDPPKDQVIPIYPYPIVYHNQYSQMPSYHTHSELPAYPYKVVQEEAPGCIIC >OMO86982 pep supercontig:CCACVL1_1.0:contig09372:92693:99886:-1 gene:CCACVL1_09358 transcript:OMO86982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uricase MAKELDGFKLEQRHGKARVRVGRVWRSSDQGGNRRHFLVEWNVNISLLSNCLPSYVRDDNSDIVATDTMKNTVYVKAKECQEQLSAEEFAILLGKHFTSFYPQVYTAIVKIVEKPWERVSVNGQPHEHGFKLGSEKHTAEAVVQKSGILQLTSGIEGLALLKTTQSGFEGFIRDKYTALPETRERMLATEVTASWRYSYDSVSSIPKKPLYFNERYLNVKQVLAETFFGPPKSGVYSASVQSTLFQMAKAVLSRFTDISSIQLKMPNIHFLPVNLSSKDNGEIAKFNDDVYLPTDEPHGSIEASLSRFWSKM >OMO86979 pep supercontig:CCACVL1_1.0:contig09372:64935:70070:1 gene:CCACVL1_09355 transcript:OMO86979 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase, UL52/UL70 type, Herpesviridae MDDVDRLFECFKCGVSPPQSAVRERKKRKCKPSQENSTRKVSASPCTPSMASSKRGNENAINAQPSTEKVSTVKAGKFSDGKQISPIIFYGSPHGVPPKRPLSLLRLLREIRIDLSEQEKSNLRTEVWATFPRQDEAVKFAKGHANAHVFSYQDHYSGQRRYLASTYEEFWKRYKIMDLKLRHHYEVIQEGLPCHLYFDLEFNKRDNVGRDGDEMVDLLISVILEALLEKYSINGNQDWVVELDSSTEEKFSRHLIMRIPKTAFKDNSHVGAFVAEICSRISSARERDERFEKLFVTKDSTSAELPRQLFVDTAVYTRNRCFRLALSSKAGKTSLLLPTGRFKCKGMDEKDMFMASLICNMDVDCEKLLVCKMELDCVKTLHFETEVTSNFGRYCRAPQGKTRISDVPTTYLTGKSPFPALDEFIESIASTGNVSGKIRSWYWFSEYGLVVYSMSRNRYCERIGREHKSNHVMYVVDMRRAAYYQKCYDPDCTGYRSPLRPIPVDCIPDSSFFFDSREIDDDGLASNNLEYQFANNDDERALLQGNESNLEICAKDSWWLEAIKVADTIESRPERLMLNDMENVNDEDDDWWIAVEKTASQAELTLFS >OMO86978 pep supercontig:CCACVL1_1.0:contig09372:41926:46132:-1 gene:CCACVL1_09353 transcript:OMO86978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANDVPCCESMFWVYLVVCVVLVSFAGLMSGLTLGLMSLSLVDLEVVIKAGPPQDRKNAEKILPIVKNQHLLLCTLLIGNALAMEALPIFLDSLLSEWCAILISVTLILAFGEIIPQAVCSRYGLSVGAKLSVLVRLIVIVLFPVAYPISKLLDLLLGKRHSALLRRAELKTLVDMHGNEAGKGGELTHDETTIISGALDMTQKTAKDAMTPLSRVFSLDINSKLDEKTMGLIISKGHSRIPIYSGNPENIIGLILVKNLIKCSPEDETPIRDLTIRRIPRVHECLPLYDILNLFREGHSHMAVVVKSNSINETAEIAKIKSSIPDRKIHSNPRKGAKGIRSCKTSGLTLFLYLDSFPILDEEVLGIITLEDVMEELLQEEIFDETDEYVDVHKKITINMQASPRAAATGSHLHWRSPMASPICSCHGSPLCSKPSSPALHSPISPYVRSPLSKPSLSLCASPRKVTLPNSPSRFSGAAPYSPSQHQASAKGFGSTPPATVKDNKNSNKNDDDDEIPQQVFGRMIRRILFSVGVPLATGVALLHIFGVIKEQKLWEIPVWLPFLTTLITFGASTLGIAYGTLSASWDPDKTGSFLGLEEAQRNWVEMWKQENDGQW >OMO86972 pep supercontig:CCACVL1_1.0:contig09372:4811:5083:1 gene:CCACVL1_09346 transcript:OMO86972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKIPKQFLTPSSRITIHPTDILGSRQKQRNYALNDTVDSQFKYKSPPKRHRDNRTIQNPALFSSLPNLTEKKEPEARSSIKTSQSFSSTI >OMO86974 pep supercontig:CCACVL1_1.0:contig09372:10510:11652:-1 gene:CCACVL1_09348 transcript:OMO86974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKSAKPPGRLGGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGGGDFGGDKGGAPADFQPSFRGSGGRPSFGRGGGSYNAGAAPSGSGFA >OMO86976 pep supercontig:CCACVL1_1.0:contig09372:19766:23178:-1 gene:CCACVL1_09350 transcript:OMO86976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKNPNPAEGFYLDPTGMALPGLGPFATTATAASTVSSSEDPSKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGTSEHLPPPRPKRKAAHPYPQKASKNAQVQHPQSASFQSSAALLDTGYVLRSEPSSMLMNPIPNTAASSWTNNAPQTISFSQAGKGPATANNSCSSTESTPKTRQIGEMTDQGNHGHALRVLPDFAQVYSFIGSVFDPKASGHLQKLKKMDPIDVETVLLLMRNLSINLTSPDFEDHLFTHHLVQRLVFLFIWLEGCFLPMRLAQRQLIMVVFVKLLTLNGPSAANFQNNFPHTALMYIFQENLKGEESAEWKEEESQSAVFVHTAAAFS >OMO86981 pep supercontig:CCACVL1_1.0:contig09372:79063:80829:1 gene:CCACVL1_09357 transcript:OMO86981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADILHEGGYGGVFSAKNTENGGVLGR >OMO80860 pep supercontig:CCACVL1_1.0:contig10263:14695:14862:-1 gene:CCACVL1_12712 transcript:OMO80860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKDHPFKVDFIPFSTPSHSRPLADRGCHVIIITTHYNSSSKNPLTRPPVVVTI >OMO80867 pep supercontig:CCACVL1_1.0:contig10263:85468:90169:1 gene:CCACVL1_12720 transcript:OMO80867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation, RCC1 MAMELNQKSEETKTIIIKQREKEEEEVWSWGAGTDGQLGTGRLQDELLPQLLNLPSLSAVSMLACGGAHVVALTSGGKVLTWGRGNSGQLGLGEMVNSLLTPNPVLSLDTCFITQVSAGWSHSGFVSDKGCVFTCGDGSFGQLGHGDYRSHCSPVKVSFFNNKHVEQIACGMRHSIVLLKVSNNQDTSADDSGNQLYGFGSGKRGQLGVSVDKIKSINTPQIICGFEDVKITSISANGDHSAALSAEGQLYTWGRGFGGASDFLTPQHISSSLHFSEAVLGWNHALVLSDDGEVYMLGGSHHGVLSNPEKMNSSEPSSDTGGAVLERVTGLEGMKVVQIAAGAEHSAVVTEDGVIKTWGWGEHGQLGLGSTCDQRGTNGNKKGLAATIVANPGELKLRASASDTNFTDGSTLNFDGLLLSVEKPGSFMIDFDIPRKDVQFQFMNTFKVEGKQVNWTYAHARNENRTVLDGTLFLDTANKLSASHELGSVNCKLKYSYVHRGLTTFEPCYDLANKSWDLAVSRRVLGGNLIKANYETSSRVLGLEWSCSSLANPDGRIKRLMLLILGLEFTKVYEKSMEGIDFGIYYDLYPYAGPCPV >OMO80861 pep supercontig:CCACVL1_1.0:contig10263:34963:36848:-1 gene:CCACVL1_12713 transcript:OMO80861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad (HIT) protein MVKQMSTESYKFGPYPIDPKEVFYSTPLSYALVNLRPVVPGHILFDHGFILFFELCLVHMNLGYRVKVDGPQAGQTVPHVHVHILPRKDGDFEKNDDIYDAIDVKEKELKAKLDLDKERKDRSMEEMAQEAAEYRSLLSKS >OMO80862 pep supercontig:CCACVL1_1.0:contig10263:44549:45790:1 gene:CCACVL1_12715 transcript:OMO80862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFNKLLTCSLKWTPKVCRLDLKCTVKSFRVAFMNGIFLQILAIVVRP >OMO80869 pep supercontig:CCACVL1_1.0:contig10263:114848:121682:-1 gene:CCACVL1_12722 transcript:OMO80869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclases/protein prenyltransferase alpha-alpha toroid MWKLKIAEDGPLLSTVSNHVGRQHWEFDPDAGTPEERAEIERLRLEFTKNRFKLTKENPCGSIPAAVKLNDKQVVTEEAVTITLRRALMVWYRDSGRYYLAVAAPSASTFHQISVEELTGAYMRNCTLNYSSYRNIYPIWALGQYRRHVLLA >OMO80868 pep supercontig:CCACVL1_1.0:contig10263:91410:94964:-1 gene:CCACVL1_12721 transcript:OMO80868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Immunoglobulin-like protein MATFTSSSHTFVPPHSFLTHFSSWGIHSCFCVVNQRKKVNLASLKLNIVRSFEFGEDNKRVSWGSCWCKKGGDNDPDLALEVEILEFMRNSEKPEAFPSKKDLVDAGRMDLVERIKQQGGWLAMGWDLDDDEDNKFQGNGFPENGAMDWDFVVKENECDNEAFQERVRIGAESIESEVESRGSSTINPSCSPSSSGRSQEVVAEDDCGIKGILSRLERERNINFGFDVREKGDNNTCPRSNDSEEESLVQASMDMTVGGPGRRKLVSFSHSNGFVHDNGVKSSQNQSTTGINLKNSSWREWSLQRAGSTGKEFEAFHLYYCNSLSDVFDNLGEEVLEIRDKSSELDRRKESHASRKDIDHNEVQSRLGHLKLELSSVLHSLRSNVDDVLSHNGDGSSMDNLHKLSDAWEFQETEIMSAQDRLRSIQAKLAVLEGKMALAIIDAQKIVEEKQKRIDDARRALQLLRPACIVWPNSASEVFLAGSFDGWATKRKMERSSTGVFSVNLKLYPGRYEIKFIVDGEWKIDPLRPIVKNNGFENNLLIIT >OMO80859 pep supercontig:CCACVL1_1.0:contig10263:3752:3859:-1 gene:CCACVL1_12711 transcript:OMO80859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLLIMCWVESVDAVKKVEDIATVDGMFRTAEEV >OMO80863 pep supercontig:CCACVL1_1.0:contig10263:51493:51591:1 gene:CCACVL1_12716 transcript:OMO80863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRQPQEAARLMHLRVRQKHTGVAVWGLFQL >OMO80864 pep supercontig:CCACVL1_1.0:contig10263:54028:56961:-1 gene:CCACVL1_12717 transcript:OMO80864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNFGCVFSVSFLILLLSLGVNSEPVQDKQALLAFLAQTKHENRIQWNSSTSACDWVGVECDANRSFVYTLRLPGVGLVGSIPPNTLGRLNNLRVLSLRANRLSGEIPADFANLTLLRSLYLQGNEFDGEFPPSVTRLTRLARLDLSTNNFTGPIPFAVNNLTQLTRLYLQDNKFSGSLPSINPDGLADFNVSNNNLNGSIPDALSKFPESSFAGNLGLCGGPLKPCNPFFPSPAPSPSEPMPPTTSSKKSKKLSTGAIIAIAVGAAIIAFLLLLFLILCIRKRQRRPPKQQKPVTAATRAVPPAEAGTSSSKDDITGGSTEGERNKLVFFEGGVYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVSKREFEMQMEMLGKIKHENVVPLRAFYFSKDEKLLVYDFMRDGSLSALLHGSRGSGRTPLDWDNRMRIALSAARGLAHLHVSGKVVHGNIKSSNILLRADHDACISDFASLGEEGIDLPRWVQSVVREEWTAEVFDVELMRYHSIEEEMVQLLQIAMTCVSTVPDQRPAMQEVVRMIEDMNRGETDDGLRQSSDDPSKGSDGQTPPTESRTPPRSATP >OMO80865 pep supercontig:CCACVL1_1.0:contig10263:70061:74995:1 gene:CCACVL1_12718 transcript:OMO80865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bile acid:sodium symporter MASLSRFVTKSCSLHTNDCVYRRPRPLIPAIRLQAAHLDVRVGISVPENGKVLAIHSKQISPLVALGAPSSIIPASRNVQVKCSAAANVSGDIPAPSGMSQYERIIETLTTLFPVWVILGTILGIYKPAAVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPLLGFAIAMTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTFVLHKELILIFIFNNKIGQVSEVLKTQGAQLILPVAVLHAAAFFIGYWMSKISFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNQPIPVDDKDDFKE >OMO80866 pep supercontig:CCACVL1_1.0:contig10263:77532:84681:1 gene:CCACVL1_12719 transcript:OMO80866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIYDYLMKRKLHSTAKAFQAEGKVSTDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAASYIETQMVKARELQQQQHQQKAHPMQIQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQPPQQQPQQRREGTQLLNGASSNGLVGGEPLMKENPVSAMAATRKMYDENFRFPRQRDSLDDAAVKISLQQLGDNMGQLLDPNQASMFKAASVGGQPPGTPGNISGNLQQIQSRGQQIPVPTQDSKSEINSMLTPRAAGPDGSLIGVHGSNQAGGNLTLKGWPLTGLEQLRSGLLQQPKSMIQPSQPFNQLQLQQQLLLQAQQNLSSPSTNDLECRKLRMLLNNQIIGLGKDSPMSSLGDLVPKVGSPMQATAASLLFVMVFNMSQLQQQQLHHNNQQSQQFAQHPLTSQQSQNSNHQLQQQDKMIGAGSMMPESSISNTFQGNDQASKNPVGRKRKQPGGSSSGPVNSSGTANTTGPSPSSPSSPSTHTPGDAISVPTLPHNSGSSKSLLMFGSDGLGSLSSAPNQLADIDRFVDDGSLDDNVESFLSHDDPEPRDRVGRCGDVSKGLTFTEVRLIHASNSKVECCHFSSDGKLLATGGHDKKAVLWCTESFTVKSTLEEHSQWITDVRFSPSMSRLATSSADRTVRVWDADNPGYSLRTFVGHSTTVTSLDFHPNKEDLICSCDNNSEIRYWSIKNGSCAGVLKGGATQMRFQPRLGRFLAAAADNYVSILDVEAQVCRAKLQGHKNVVHSVCWDPTGEYVASVSDDLVRVWTVGSSGKGECVHELNCTGNKFNTCVFHPTYSSLLVIGCYETLELWNLSENKTMTLHAHEKLVSSLAVSNVTGMVASASHDKCVKLWK >OMO91411 pep supercontig:CCACVL1_1.0:contig08349:11048:13293:-1 gene:CCACVL1_07130 transcript:OMO91411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILSDHTSRQSLLPSFLYSSASSTKPHFGFDTLSNANHHNDHVLRRSPSISSSPSNFVSDAAGATANKFVIQAPKEKMEMYSPAFYAACTAGGILSCGLTHTAVTPMDLVKCNMQIDPAKYKSVSSGFGILVKEQGVRGLFKGWAPTLLGYSAQGACKYGFYEFFRKYYSDIAGPEYATKYKTLIYLAGSASAEVIADVALCPMEAVKVRVQTQPGFARGLSDGFPKILKAEGAAGLYKGLVPLWGRQIPYTMMKFASFETIVELLYKYSIPTPKEECSKNLQLGVSVAGGYIAGVFCAIVSHPADNLVSFLNNAKEATVGDAVKKMGLLGLFTRGLPLRIAMIGTLSGAQWGIYDAFKVFVGLPTTGGPTPTPAAAKA >OMO91409 pep supercontig:CCACVL1_1.0:contig08349:2943:6686:1 gene:CCACVL1_07128 transcript:OMO91409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGLIFTCKPHFSLRPPLFHCFHKIRFGSVAAIETLYEPEVVSSSETTDSSHGKNVSGEDSKWPQWKKVNSHDLGISTSNISKPTKKVLNGLKRKGYEVYLVGGCVRDLILKRTPKDFDIITTAELRQVVNAFSRCEIVGRRFPICHVHIGDTTVEVSSFSTSGRKFGRGPSYKLERPAGCNEKDYSRWRNCLQRDFTINGLMFDPYERVIYDYMGGIDDIKKAKVRTVIPANTSFQEDCARILRAIRIAARLGFSFSKETAHFVKNLSCSILRLDKSRLLMEMNYMLAYGSAEASLRLLWKFGLLEILLPFQAAYFVRSGLRRRDKRSNMLLSLFSNLDRLLAPDRPCHSSLWVAILAFHKALSDKSRDPMVVATFGLAVHNGGDIVEAVNIARRINKSHDISFRELSEPQNLENEALTNEVMDLAASVKSAMAMMTDEHFVSQAMSAYPQAPYSDLVFISYALFLKVCQFFECVTRGAEKGFVAKQGSRIDYELLALGNLRELRHTFARVVFDTIYPLNRT >OMO91410 pep supercontig:CCACVL1_1.0:contig08349:7415:10193:-1 gene:CCACVL1_07129 transcript:OMO91410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLPDNSRQSLLPSFLYSSASSTKQHFGFDNLSNANRHNDHVLPRSPSGDAAGPTASRFVIQSPKEKIELYSPAFYAACTAGGILSCGLTHTAVTPLDLVKCNMQINPAKYKSISSGFGVLLREQGARGLFKGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEFAAKYKTLIYLAGSASAEVIADVALCPMEAVKVRVQTQPGFARGLSDGFPKFVKAEGAGGLYKGLVPLWGRQIPYTMMKFASFETIVELLYKYSIPTPKDQCSKSLQLGVSFAGGYIAGVFCAVVSHPADNLVSFLNNAKGATVGDAVKKMGVWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGATPAPAAKA >OMO91408 pep supercontig:CCACVL1_1.0:contig08349:272:358:-1 gene:CCACVL1_07127 transcript:OMO91408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTYGEITRTMQWRPTITRGRSVALKIL >OMP05343 pep supercontig:CCACVL1_1.0:contig05427:1861:2180:-1 gene:CCACVL1_01941 transcript:OMP05343 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MAPNSQVFNNFYGNSSNGFLGLRTENFIQKPEVKEIVQNGRFVGSSDEMIKSSAAGSGDIGNNKRKEKKMRKPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFP >OMO71835 pep supercontig:CCACVL1_1.0:contig11543:1762:2085:-1 gene:CCACVL1_18047 transcript:OMO71835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMGFSFREMDLVEFAADVESLLGKGLENVSFAIEELELMDSNKENDFEDCSLGNGKVKFGDEESFEAGRDECQCQAGSEINMARENFELNFDYDSPASCGEQGVF >OMO71836 pep supercontig:CCACVL1_1.0:contig11543:7832:11119:1 gene:CCACVL1_18048 transcript:OMO71836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPPLLPARFPSIQLSSPIPRLHLSLQTSLHTVVSAATASETSISFSFDKDRNRDRDRDGYEDEDVDENDVLSLHKRRYDFTPLLDYLSSSISSSESDSDSDSDSDSASPTSLDPIEFQLAESYRAVPAPLWHSLLKSLCSSSSSSINLAYAVVSWLQRHNLCFSYELLYSILIHALGRSEKLYEAFLLSQRQTLTPLTYNALINACARNNDHEKALNLMSRMRQDGFQSDFVNYSLIIQSLTRSNKIDSSLLQKLYSEIEFDKIEADGQLLNDIIVGFAKANDPSRALKFLAMAQAIGLNPKTATLVSVIYSLGCCGRIAEAEAIFEEMKGSGLKPRTRAYNALLKGYVKAGSLKDAEFVVSEMERSGVSPDEHTYSLLIDAYANAGRWESARIVLKEMEANNVQPNSFVYSRILACYRNKGEWQRSFEVLREMRSNGIQPDRHFYNVMIDTFGKYNCLDHAMDTFDRMLSEGIEPDTVTWNTLIDCHCKAGRHDRAEELFEEMKERGCSPCTTTYNIMINSFGEQERWDDVKSLLGKMQSQGLLPNIVTYTTLVDIYGKSGRFSDAIECLELMKSAGLKPSSTMYNALINAYAQRGLSEQAINALRVMRADGLKPNLLALNSLINAFGEDRRDVEAFAVLQYMKENEVKPDVVTYTTLMKALIRVDKFHKVPAVYEEMILSGCTPDRKARAMLRSALRYMKQTVKT >OMO71837 pep supercontig:CCACVL1_1.0:contig11543:19006:27595:1 gene:CCACVL1_18050 transcript:OMO71837 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA repair and recombination protein radB MVEIAVDGSKRRLSEISIGIDERETWERSKNGEKRRKQKKGATHGPTESIRKEKRNAATAASVSGAGKVTNHTVGGEGGTFKLALGEGWKSIPHTWLLLSRDRTSNACNITILKHSSKASGKATSSLWSDGVWRWWRWWRRRRGSGHEAALDVNRSTTFTRQNQQHGFPSVDSYPLNFSSSAEDHDHRIVINLNFGDPFSTMRDPFLHELNVTANSTTYFGSSPNSTIDI >OMO66157 pep supercontig:CCACVL1_1.0:contig12577:35044:36201:-1 gene:CCACVL1_21290 transcript:OMO66157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTFCCNLPANLGPNDELILPMTGLYVPVNEPWSWKKAVEH >OMO66158 pep supercontig:CCACVL1_1.0:contig12577:48626:49522:-1 gene:CCACVL1_21291 transcript:OMO66158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFGRRIKRVTDPLDDRVKARLVGVDGYVSSGSEHSATSAVGEDDSPCLSELVHSFLEDDHDAAEKTGYNSDSDRVDSHLDINESLEIIVRSTARSNADSYRNLLMAHVLKAMEMLSFFKTDNTVFRRKIMAYLRQVGHNAAICKTKWSSSGGLTGGNYEFIDVVQSVSPTVQNRYFIDLDFASGFEIARPTTEYSRLLQSLPKVFAGKGEELKKIVKVMSDSAKRSLKSNELTLPPWRKNRYMQNKWFGPYRRTTNQIAASSTSSTHTAIQPVNVVKCRYVGFDDALNGRLFVRTR >OMO66156 pep supercontig:CCACVL1_1.0:contig12577:14532:14624:-1 gene:CCACVL1_21289 transcript:OMO66156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMMNTIQSNQSCKVKDRGAKRIRSTSAS >OMO66133 pep supercontig:CCACVL1_1.0:contig12580:17142:17282:-1 gene:CCACVL1_21293 transcript:OMO66133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEFWVSSYVSDVYFRDACWYKLRLKHGPVDFKKLFAACGLLLPKFC >OMO52164 pep supercontig:CCACVL1_1.0:contig15579:4353:4442:1 gene:CCACVL1_29331 transcript:OMO52164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRKEGKEVTDSLEQEGSTVMNKEANSDAG >OMO52165 pep supercontig:CCACVL1_1.0:contig15579:10417:10545:-1 gene:CCACVL1_29332 transcript:OMO52165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHKHSSAATQYHRHDDIADYTQQDSTANTMKFKGNNDSQT >OMO61369 pep supercontig:CCACVL1_1.0:contig13509:1505:4720:-1 gene:CCACVL1_23574 transcript:OMO61369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCVTPSTGSHEKKKKGKKKPNPFSFDYVQHQQGNGGHKLIVLKEPTGREIEQRYELGRELGRGEFGITYLCTDKENGETFACKSISKKKLRTNVDIEDVRREVEIMKHMPKHPNIVSLKDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAPLKAIDFGLSVFFKPETEQGVAQAIIRSVIDFKRDPWPKVSDNAKDLVRKMLNPDPKRRLTAQEVLDHPWLQNAKKAPNVNLGETVKARLKQFSVMNKLKKRALRVIAEHLTVEEVAGIKEGFQVMDTANRGKVNIDELRVGLQKLGHHIPDADLQILMEAGDVDRDGYLDYGEFVAISVHLRKMGNDEHLKKAFEFFDKTKVVILRLRN >OMO66941 pep supercontig:CCACVL1_1.0:contig12488:2022:7517:-1 gene:CCACVL1_20905 transcript:OMO66941 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat receptor-like protein kinase MSDRVFPASKPAAAAAPPAANGGTGGAPAGGATTTATANGGTTKSHLYNPTSRLPYRPQPHHRRHHHRPRRNYCCCCCFWTILIILILALLVAITGTVLYVLYRPHRPSFTLASLRINRLNLTTAADSSSSHLSTMDTRVTVKMDKLKSKKVGIRVTCDGIKGVAPKAPARVLSTLLIPHVRLRRLDLSHNHFQGQVPMGELTQLPNLLTLRLEDNLFNGTLNSIASFSTLLDFNVSNNNFNGEIPSWMSHFGVSSFQGNKELCGQPLPSDCVNRTALAAPLKEKVHHRPERNNKKLSNGMVVLIIAVDAVAVAATLATITWCCYKYRCFGGAHERVLDTKGGSKVLQSRRSGSRSSSERQVEAEELVVFEGCKGFRKVGDLLKSSAELLGKGSVGTTYKVVMESGDAVVVKRVRQRRKKDVDGWLRMIGGLRHSNIEIGDQGGRH >OMO66946 pep supercontig:CCACVL1_1.0:contig12488:30157:30780:1 gene:CCACVL1_20910 transcript:OMO66946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLGGEGEYSNSSENELSGEIAGKLNVLMTESDDESDFSSEGLVTEEKLEEIMQELYREITIPSSYYTSPPPTVTLTSPSSSSSSPSVTSPFSLSSLPFFAVSDVQSESCGASMSNTASTVMAGIEFVGPAGNFSDVTAGLPEAGDMGTIMVVDENLNVEGESEGVIMGEEIDEKMDGCDEGEVGDDDQWLARVLGWGPLELDEWT >OMO66940 pep supercontig:CCACVL1_1.0:contig12488:583:1701:-1 gene:CCACVL1_20904 transcript:OMO66940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSGESGIVSLVKWVQSVAKEDWGWEVFDFEMLGDKVMEEEMVGLMQVALLCVATLPKDRPKMSMVNRMIEDIGTKVSHGVVGIGLCRERHSFPHPGCPYGLDLGATGLAPIAALIYFDFNLSR >OMO66942 pep supercontig:CCACVL1_1.0:contig12488:9812:11053:-1 gene:CCACVL1_20906 transcript:OMO66942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALADMKIYRANKYTMSGHSLAHLCSAIAPVLVTVMLLHRSSKFQR >OMO66944 pep supercontig:CCACVL1_1.0:contig12488:21773:23663:1 gene:CCACVL1_20908 transcript:OMO66944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MTNSSCQSSELEACRDEAAALKLKFIAIASILLAGVAGIAIPLIGKHRKFLRTDGSLFVAAKAFAAGVILATGFVHMLTGGTDALTNPCLPKYPWSKFPFSGFFAMIAALLTLLVDFVGTQYYERKQGLARGSTEEQARVLSIEADSESAGIMPVLEAKDRNGKVFGEEEGGGMHIVGMHAHAAHHRHNHPHGQDGCDGLLRSRGHEHDHGQHGHSHGHGHDHGFGGSDDDSGVRHVVVSQVLELGIVSHSVIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQAQFKTMSATIMACFFAITTPSGIGIGTAIASVYNPYSPAALVVEGILDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQVVSYFMLFLGAGMMAALAVWA >OMO66943 pep supercontig:CCACVL1_1.0:contig12488:11913:16535:-1 gene:CCACVL1_20907 transcript:OMO66943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEESMSSVSIWASIFSWFTPTVFFVFLNLTIGTIYFTSSLANNNSNKPERQESDETNPKLVRSPSVLQRLKSINLYAYRSPDPVSATTAYEIRNPDLDSDEYSQNNHFHFSFQHQNQQQQQQNTPEQDQRQHQQQPFLDRSPSVLQRLKSFNLYNYLSSPEQTTTSQKNREIPEQATQPEEQEQEEKENQSGFEQEEEKQSGLEPSLDEVYSQLKDVHVSRTKSDTVPASGEVLPKLPRKMRKSASVKSAFSHFEEEDIVETRRPATVREGKAKATEEDEEVDAKADDFINKFKQQLKLQRIDSILRLFLVIIQGLRVVLDESLIVGQWPLPFLALASAFSCCPLPSAITCVPNTLNVITNFPFLIVGILGFVLSLGGGSFFNISLRGEVWGWVLYYGGIASVAFGSAYYHLKPDDNRVMWDTLPMMIAYSSLCSSFVLERLGERIGLSCLFSLLVLAVLSTCYARTFNDLRLCMTFQLIPCIAIPIMTFLFPPKYTHSRYWLWIT >OMO66945 pep supercontig:CCACVL1_1.0:contig12488:25493:28548:1 gene:CCACVL1_20909 transcript:OMO66945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MPLFSPRSSAPLPSFYSSSKTLKPSKPFLTTRTPLPILVFSLLCLFIGLTGTLFAISAIRRHQPLPVFRCGKSEDTFRAFYSSSDPRKLGDDHNQGLVDRPKLLGFVGIQTGFDSDDRRAALRTTWFPSDPDGLLRLEQATGLGFRFVIGKSKDVKKMAKLEKEIEKYRDFMLIDIEEEYYKLPYKTLAFFKEAFKLFEADYYVKADDDIYLRPDRLATLLAKERSHSMTYIGCMKKGPVITDPKLKWFEKSGHLIGNEYFLHAYGPIYVLSAEVVASIAAARNNSLRMFNNEDVTIGSWMLAMNVHHEDNRAICDPRCTPTSIAVWDIPKCSGLCNPARRLKDLHKIGMCSKSPTLPPDDR >OMO87566 pep supercontig:CCACVL1_1.0:contig09220:6884:10966:1 gene:CCACVL1_08932 transcript:OMO87566 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like protein MAAKSKILIIGGTGYIGKFIVQASVKEGHPTFALVRESTVNDPVKGKLIENFQNLGVQLLHGDLYDHESLVKAIKQVDVVISTVGHMQLADQVKIIAAIKEAGNVKRFLPSEFGNDVDRVHAVEPAKTAFAIKASIRRAIEAEGIPYTYVPSNCFAGYFLPSLCQPSATSPPRDKVVIPGDGNPKAVFNNEEDIGTYTIKAVDDPRTLNKVLFIRPPKNTYSFNELVALWEKLIGKTLEKIYVPEDQLLKQIQESPIPINVVLAINHSIFVKGDHTNFEIEPSFGFEASELYPEVELEDFGGDGIDYSPFFETDETFATDFDAIKWCKDIAIINHFEVTISSHKEGGRRKILRCDRGERYRGELRDLDAAVRKNTKTKACKCPFRVAVKASRYSNRWIVVAYPGIKGMHNHALVIYPEGHRQMSGLSTESKKIVQDMALSAPAAVHATLLKKVPYDYVTRKQVYNYRNTIRVEQLEGRDVIEELFKQARASKYVYETVADEETNRLTHLFMSHPASLALLRNFPWFIGMDTTYKTNEYKMPFFEITGMTPTNKNFMIAYVIMKDESQESYRWVMQRLRHLIGPNVHPTVIVTDRELGLIRLIMEFFPQTPHLLCTWHINKDVGDKVYKICGKNKGDRRCIQVRHL >OMO87565 pep supercontig:CCACVL1_1.0:contig09220:2935:3713:1 gene:CCACVL1_08931 transcript:OMO87565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMAGWDCPVESDPISVIRKRNRSLTKEEIEAYWKSKKQTEEEHLKAISSSSPSSDSSNQLETLSEEQGIKYTRSSSLPNTKQGFLDMDSESSLENIIKKNGWWTRSNWAFLNEPPVLERPTNSYKAQFHIANLAASKLNRDSGISA >OMP03736 pep supercontig:CCACVL1_1.0:contig05994:6153:6350:1 gene:CCACVL1_02279 transcript:OMP03736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSYLRKEIVNTQPKRKDQPEFSKKAPPLYSKERLFKTTIHTRIGKLTSEQYRKKEVSHKSNKRGR >OMP03739 pep supercontig:CCACVL1_1.0:contig05994:13308:13385:-1 gene:CCACVL1_02282 transcript:OMP03739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKSPGRRKTVERDRKESIRKMTRRL >OMP03737 pep supercontig:CCACVL1_1.0:contig05994:8510:8731:1 gene:CCACVL1_02280 transcript:OMP03737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIETEKLEASADSHIRSQEETDRSTKKIKTTEQPPQEGTTGGDKSGNLIGLKIEGWKLNRHHDVGRGRRRFR >OMP03738 pep supercontig:CCACVL1_1.0:contig05994:10099:12485:1 gene:CCACVL1_02281 transcript:OMP03738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYASQAIALNRNALSGLGGSSLLEGMKEIEETLYRRFRCEESKKKEGVLSFADKDARTLGKTSKGDKPPCLQRLDKLNMKTVGLLDWQSAQQRKNFPIPQANQVKQDPIDNPADLNLATQRTLKRRRELKTRKLAKTSAFVDWLKSERGISNSSYIRPLLYPFMKSLLPNFRI >OMP10594 pep supercontig:CCACVL1_1.0:contig02137:771:914:1 gene:CCACVL1_00857 transcript:OMP10594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PICFHESKGRGTQRQEPEHPRITMGHHHRSNSLTGPPGTRSWCRTPH >OMO73443 pep supercontig:CCACVL1_1.0:contig11230:221:346:-1 gene:CCACVL1_17254 transcript:OMO73443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFACLVPYKTPSTMFRYQTIGIMSVSRTSRSISSSSRRL >OMO61321 pep supercontig:CCACVL1_1.0:contig13525:9613:9672:-1 gene:CCACVL1_23608 transcript:OMO61321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVYALKRQGRTLYTFGG >OMO61320 pep supercontig:CCACVL1_1.0:contig13525:3733:4548:-1 gene:CCACVL1_23607 transcript:OMO61320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENVITSNNDNIHLHDDEDHAAEDAEEALSLCDLALDLDSNNDLEKMPARSRRSSSSSDAAAGEFFEFLSDLSSDMCPADDIIFCGKLIPLKQRPLSFQTQRVTYPFDHEKTRKTHVLRKRSESLSELRSASITRSDSTKNTNVLRNSRSLDYQKLHRFEMERNPSVRSVGKSDQVSPKKAVKPRWYIFMFGMVKFPPEMELKDIKSRQSRRHHSAMFPLVEDHGGKKFAGNRSSGKGASWNLLKALSCRDHTSVAVTASFWMPPEPSQA >OMO61322 pep supercontig:CCACVL1_1.0:contig13525:28126:28513:1 gene:CCACVL1_23609 transcript:OMO61322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDQIFVWVLCDSRAVYVRVMGGHESDKPTKPTTTDPTDPW >OMO91543 pep supercontig:CCACVL1_1.0:contig08332:6626:22258:1 gene:CCACVL1_07079 transcript:OMO91543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EINDPAREGDRLDVVTSLLTSMGKIDVLIDKEVAGSITSGQQLQAPVASR >OMO91544 pep supercontig:CCACVL1_1.0:contig08332:24414:46372:-1 gene:CCACVL1_07080 transcript:OMO91544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATDGAAVEEGSGRITSDGIEVEGTSWTGALVDEDCCCNDDSKEEVEGDNVAPDYRPIGAEFCKLSRVGDGLGSGIKIGY >OMO91545 pep supercontig:CCACVL1_1.0:contig08332:46855:51225:-1 gene:CCACVL1_07081 transcript:OMO91545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 MGKDVLGCNKALAGLRRINLEGLRWRVFDARGQVLGRLASQISTVIQGKDKPTYAPNRDDGDMCIVINAKDVCVTGRKLTDKIYYWHTGQVLILLSVLCNELNYIGHLKERSLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFADSEHPFGDRPLEPYVMPPRTVREMRPRERRALIRAQKKAEEQEQECLNHNDLVVIANSFMNLEQLSIGHWIRKQGFLTSLTSLSFTSMSISDKLLMEIAKAKLPLKDLQLRLCRNCTASGLLRVLSTNNNLTKLAMFVLQQVDLDMVVLNGNIGNLTRIDIFGCPNNVILSLLATECPLQEKMNIEHVQLAANISLCKNHKIQNLKLALTEITTDDQLQLVMLRFPNLRLLNLDLTLCRQVTSKGIEAMLKSCNFITNLTLIQYGKSMIIEADSQLSELNLKVLQLYSSCIDDEGLGVIARKCPRLMDLHLRRCKNVTTRGIKNAVQNIHTLTDLRLCYCDKVNSGELLEWMLSTAGSLASLERITIPQNKDATTEGQRQDFLRHGCILSHVM >OMO58887 pep supercontig:CCACVL1_1.0:contig14093:20343:29724:-1 gene:CCACVL1_25279 transcript:OMO58887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPRLSKSRLTVDYFHLTCALSLCSNGQQLPLGGKDLSMMYRTNRMHRMDILAKQRGKRPPFSNEDQVGNKRRCISTSSVSVADSPMPQDVVDRGCSPKSKGGGNLINGAAQSFNASSTSTSSMPMADSAMPQDLTDRDWSQKGKDGGNVINGAAQSFNAWSTSCVSPLDLGLPECQCEFCGALYWFEELPSEGKFIRCCQGGRVQLPMLKPAPIFLKTLLNHDGGKRSLNFRENIRLYNSLFAFTSIEAKIDYAINKKPGPYIFRINGETHHWMGSLLPLDGECPKFAQLYMHDTENEIDHRMKAVRSDVCESRIDRDIIRCLIKMFDSENEIVKAFRMAKDRCKEIDLRPVKLKLIGTRDRPPRYLPREYAAPSCSKIASLIEGNFGKLDHHRDIIVEHKSEYLKRISDLHPSFMAMQYPILFPYGEDGYRADIRYADDPEVKEISRKHVTMREFYVFRIQQRLKEAHTLLIGGRLFQQYLVDAYSCIEHERLNYIRYNQKKLRSEIFQGIKDAVVRGDVKGSAIGKRIILPSSFTGGPRYQIQKYQDSIAICRKHGLPHLFITFTCNVQWPEIQNTLAMIPGQKAEDRPDIVCRVFKMKVDKLMEDITKNEFFGKTTAALYMVEFQKKGLPHVHILVWLSRDLKSSPIDDIDSMISAELPNKETDPDKYEAVSKFMMHGPCGPDNPKASCMEDGRCSKYFPNDFHDSTTIDENGFVVYRRRDDGNYAIKTKIRLDNRFVVPHNVNLLMRYQAHINVQWCDKRMLVKYLFKHVHREAEKARIVMVDNIVDREDGNQEVHNVDEIKTYLNGRYLSPYEAVWRLLRFKTHYREPSVERLIVHLPLMNSVVYHEAERLDDVLLRPNITSTMFTEWMEANKGSPDARQLTYAEFPSKWVWNTTAKTWKRRQCGNRIGRIVYVHPSAGELYYMRMLLDYVKGPQSYDDIKTVNGVLHPTFRSACKALGLLGDDIEWHEILNEASTWATAFELRQLFVMLLLHCDVADPLEILDDHWLKFADDIIHRVEGLMALPSVEISDALLRNQVLSELEKLFNRSCSSLTEHNLPLPDESLASDANNRLIMEELCYDTTQLSDEHTELLKELNMEQKKIYDAVLEAVDKNDGQMFFVSGHGGTGKTYLWRTIISRLRSQGQIVLAVASSGIASLLLPGGRTAHSRFKIPLQVDDDSTCEIKKGTHLAKLVQRACLIIWDEAPMSHRNCFEALDKSLCDVLSNEVGYQHKKPFGGKVVLLGGDFRQTLPVAARGSKAKTIDASISKSYLWKRCKVFSLTENMRLARTSPNYTSCTDSDGEFAEWILAVGNGVAPGIKISDDDEATWIKIPDDLLIKNFEDPLEAMARTIYSELLVQFHDPSYLSERAIVTPKNDTVDIINSYLLSMIPGEEHTFLSFDSVSKESKFHGDFGVIYPPELLNTMKFSGVPNHELKLKIGAPIMLLRNINQSLGLCNGTRLIVTHITAKVLGAKVITGSHCGSKVCIPRIRMLVNDERLPFIFQRRQFPVRLCFAMTINKSQGQTLKQVGLYLPQPVFSHGQLYVAISRVTSREGLKILIVNESSDLDGYTKNIVYNEVFEGLSCLLNYADGDEFLKHCQRKVQEFHICSACMIASFSIKQQGLTDMQAELMNLLGMTRKLDGEKYLSCLDVPRLAREDAILCRESNFIQAVAQAIPSEMVASYPRPSIVEFTKLLASIVRMKEYAAAVSLSNRMELSGIGPDVYTMNILINCFCHLDHVDFGFSVLGRMLKLGLDPDVVTLSTLINGLCSQSKVSQAFSLFDEMIAKGLVARVMRERGFQPDVVAYSTVIDSLLKDKMLAEAFELFSDMKSMAISPDIVTYSCLIHAMCSSGHLEEATRFLNEMFGANIAPNVVTYNILVDAFSKEGMISKAEEFVDTMIKQGIQPNIVTYNTLIDGHCLQNNMDKARKVFELMIKKGCAPNIISYTTLINGYCKTKRLDVAMEIFYEISQKGPAPNTVTYNTLMHGMWRQRRVSMAEELFNQMCASGLAPNLMTYSVMLDGLCKHGKVKEAIQWIQEMQKSGVEPYIVHYTILINGLCKAGFAKVATELFHGLPIKGLKPNVITYNTMVNGLCEEGLSNEAYKLFRTMEDNDCLPDSISYNVMIQRFLRDNSISRAIDLVKEMVGKGFSADACTTTLFVDMLKCGRIDPSCFGIF >OMO58888 pep supercontig:CCACVL1_1.0:contig14093:47919:51107:1 gene:CCACVL1_25280 transcript:OMO58888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRKLFIIVKGSCVENSSEIKGFRVSIDTNSYNLPSTEKFSARVTGPDKLINDLKSLSIKEEPDHHASDPSSPMGVDDDKEYTDLNCLKCGRKHYVARWNQKGDWRHGLHDAALGFFTYGNPRFIGMTQGGELIYLFKCPCGTEIAYASPKPPKPIYEEEISDFPISSALPVPTNALIREYTLVDCFRCGTTHTIVRFDDGFHEMCGLFRYGNFKYLGRAEGAGSNYLFTCPCGGELPYTSPAPKIPPKEQHDHLQPKHCKGCRCHELLKHDEALQG >OMO58886 pep supercontig:CCACVL1_1.0:contig14093:11939:17151:-1 gene:CCACVL1_25278 transcript:OMO58886 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase MDLSVKHRDNRMYRMNLLAQKKAQRPSSLTPKADTGCASEQPKADTGCASEQPKVDTGCVSEQPKADTVEHLKLDSSLSLCCNVEQLLQGRLDLSMIHRLNRMRRMNLLAKQRHKRPPVSNEDHVGNKRRCISTSSRRVGVTGCSPTSKCGGNSSNDAGQSFSARSTSCVSPLNLGLPEHQCKFCGALHWFEERNKKDRRAKREFVKCCQGGRIRLPMLKPAPLFLQTLLKHDRGKKSLKFRENIRLYNSLFALTSIGAKIDYEINKKPGPYIFRINGQTHHKMGSLLPLDGEHPKFAQLYVYDTQNEIDYRMQALNLAKGESRIDRDIVQGLIEMFDSENEMVKAFRMAKNRCKGIDMSSVKLKLCGTQIDLAGEYAATSCSRIEDNCEKADHHREIILELKSDGLKRISELHPSFMALQYPILFPYGEDGYSSGINYGVEAKAKEISRKHVTMREFYVFRIQQRLKEAHTLLIAGRLFQQYLVDAFSCVERQRLDYIRSNQNKLRSEIFQGIKDAVVRGDIHGSAIGKRIILPSSFTGGPRYLTQKYQDTIAICRRHGLPHLFITFTCNVYWPEIQNALAMIPGQKAEDRPDIVCRVFKMKVDKLVEDITKNEFFGETTAALYMVEFQKKGLPHVHILVWLSRDPKSSPIDEIDSMISAELPNKETEPVKYEVVSKFMMHGPCGPGNPESSCMVDGRCSKYFPIGFCDSTTIDAHGFAVYRRRDDGNYAIKKKIKLDNRFAVPHNVNLLMKYQAHINVQWCDKRMLFGGCSNSRYITEIHQFERLIVHLPLMNTVVYHEAERLDDVLMQPNITSTMFTEWMSANKVSLDARQLTYAEFPSKWIWDKTAKTWKRRKCGNSIGRVVYIHPRAGELYYLRMLLDYVKGPQNYDDIKTVNGALHPTFRSACNALGLLGDDKEWQETLNEASIWATAFELRKLFVMLVLHCEVAEPLKLLDDYWLKFADDIMHRVHSLIALPSVDIPDAQLKNLVLSELERLFNKSGSSLSEHDLPLPDKSIASDVNNRLIMEELRYDTTQLCHEHNALLKELNTKQKQIYEAVLEAVDKSDGQMFFVSGHGGTGKTYLWRTIISRLRSQGQIVLAVASSGIASVLLSGGRTAHSRFKIPLEVDEYSTCWIKKGTHLAKLVQCACLIIWDEAPMNHRNCFEALDKSLCDVLSNEVGYEHKKPFGGKVVLLGGDFRQTLPIAVGGSKAKIIDACISKSYLWERCKVFSLTENMRLARSSPNYTSCTDSDREFAEWILAVGNGVAPGIKISDDDEAVWIKIPDDLLIKNFEDPLEAMAQTIYSELHVQFHDPLYLSERAIVTPKNDTVDIINSYLLSMIPGEEQTLLSFDSVSKDSKHHGDFSVLYPPEFLNTMKFSGVPNHELKLKVGAPIMLLRNINQSLGLCNGTRLIVTHITAKVLGASVITGSHSGSKVCIPRISMQAKEGRLPFTFQRRQFPVRLCFAMTIHKSQGQTLKQVGVYLPQPVFSHGQLYVAISRVTSREGLKILIENKSSDLEGYTKNIVFREIFEGLYA >OMO66063 pep supercontig:CCACVL1_1.0:contig12592:21390:25853:-1 gene:CCACVL1_21311 transcript:OMO66063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MVRNNEELGNLLAGVTVAHVLISMMMVAKQAEAAAVTIKPGVLDPCERPGGPHPGCHPNVHSPPQEANPYNHGCLKLRRCRRGKENN >OMO66064 pep supercontig:CCACVL1_1.0:contig12592:31095:31517:1 gene:CCACVL1_21312 transcript:OMO66064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSLSLHKAPSLSSFLPFKVKISQGTHNFTPPNSLPNFTFTRREPARTGFPSTSFDHRKVPSVKASAGASHCDFSSLNAPLEPRSPPGKFLSSVLQNQPQFFHFAAADELKQLADDRDAALARMFLSSDSDEASLHRSV >OMO66062 pep supercontig:CCACVL1_1.0:contig12592:13547:13711:1 gene:CCACVL1_21310 transcript:OMO66062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEYKPNKRNQASSSTSQAIGSSNTAGKSTMTTSTKRKSHDANPIRNQESVKKKK >OMP03532 pep supercontig:CCACVL1_1.0:contig06050:156:5343:1 gene:CCACVL1_02381 transcript:OMP03532 gene_biotype:protein_coding transcript_biotype:protein_coding description:bifunctional biotin operon repressor/biotin synthetase BirA MTAADPLPQSANTKLTAPTRTTSRSFLKLILFSSMKNGRKIIIYDRYSSKLIRKTRISLFALLLRPLLRTRPWLKMRHWLSFRRCGSITFSGAPGTFESYVPGRVNEKSIINGITCNPALDPFFRISVPADFLVVYNIASPVCPITFDRERISNRRTSI >OMP03533 pep supercontig:CCACVL1_1.0:contig06050:11014:11244:-1 gene:CCACVL1_02382 transcript:OMP03533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLLVPFPIKSSRTGYTQPTAAINESLSYSAQGRDLLQTPFLLTLYWRAAFAPLSNRFKPRGNVRARQTYLFYGA >OMO51256 pep supercontig:CCACVL1_1.0:contig15912:20670:23984:1 gene:CCACVL1_29902 transcript:OMO51256 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MNYQKNLFAYIKSSFNQVLTVDDKFGGRPEPLRCMEQFRVVVDRCRLIDLDVSGCTFTWTNKQEPVLLIKEKLDRALYNVHWRHYFSDVLVRNLPRAHSDHCPVLVSLHGLTSSNPSVRPFQFEAAWQSHATFSSVLSSSWDTAKTSNHSLSHLRGVLGDWNRDIFGNIYKKKWQFMARLGGVQCALETRPNLFLYRLEKELTAKYNLVLSQEEMIWFQKSQSNWVQFGDRNTKFFHTTTLICRNRNKISALKGDNGDWIVDSEIIKQLVLSHFQLVYQAERIDVDFENCCLKIRMPLNDEQLMLLSHVPQEDEIHSALKAMTPYKSSGPDGFQAAFYQANWEVVRELVYNFVKNAFITGQFEEDLSRVLIVLIPKVESPVKVTQFRPISLCNVMVKLLSKFLVNRLRPMLKDLISPTQSSFIPGRGTTNNIIVKVNFAKSLVYTSPNVPPQLMSSIVAQTGIFFCDGLGRYLGVPLHHSRVSHHTYDHLIDRVKKRLASWKANSLSLVGRATLVYIHSAMNIVLQGIARLIGDGFTTSFWRDKWLGGGVLFWQIYVMLRFRFQMQTELVSDYVSSLGWIWDKLQLLPINIHEELSLVLPTHGEMDRNYWLDSSDGLFSVSSTYKLLTSEPIDDFGWKKLWKIDVMPRVKHFLWLVRHDRLLTRVACFRRHITPVATCPRCGATEEPVLHVLRDCGTSQSIRSRWLHGAKLVRFNSLNLNDWLRYNFALSRFWVESQSFREKFLMIAWGHASQFAEIDKEDVKTKSGVRSSREKDQSDLWGLHQSHTGEFKSLDPQEQDFLK >OMO51255 pep supercontig:CCACVL1_1.0:contig15912:1811:9552:1 gene:CCACVL1_29901 transcript:OMO51255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MGGYALGSAFDSKSGQMIMVALLLMVGSFYVGNLFGNNAPIYVSQDDSSSSSSSASSSSPALSTFINKVAVTYRETPIVIPANGMNICPLKFNEYIPCHDISYVKELLISLNVSRREELERHCPPLEKRLFCLVPPPQDYKIPIKWPVSRDYVWRSNVNHTHLAEVKGGQNWVHEKNQLWWFPGGGTHFKHGAAEYIERLGNMTTNEKGDLRSAGVVQVLDVGCGVASFSAYLLPLDIQTMSFAPKDGHENQIQFALERGIGAMISAIATKQLPYPSGSFEMVHCSRCRVDWHENDGILLKEVSRLLRPNGYFVYSAPPAYRKDKDYPVIWSKLMNLTTAMCWKLIARQVQTAIWIKEENPSCLQHNADLKLIDICDAADDTKPSWNTPLMNCIQLRSAQTDPTRLPPRPERLSVYSESLSRIGVSKEEFIMDINFWQDQVHNYWKLMNISKTEIRNVMDMNAFCGGFAVALNEFPVWVMNIVPSSMQNTLCAIYDRGLTGAFHDWCEPFSTYPRTYDLLHANHLFSHYKNRGEGCRLEDMMLEMDRVLRPQGFVIIRDEDSITSRIQDLAPKFLWDVESHILENKEKQRETVLICRKKFWAIVEEVTT >OMP02933 pep supercontig:CCACVL1_1.0:contig06162:665:790:-1 gene:CCACVL1_02657 transcript:OMP02933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRVRSKPRKRQIRRRDIVPRQGAVTMMKVKATQKSEEGR >OMP02934 pep supercontig:CCACVL1_1.0:contig06162:5579:11623:1 gene:CCACVL1_02658 transcript:OMP02934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFQTDLRWILPLLLSFLSLTSETKPSFLLVTAFHLIDQPNPTIWYLAFMLLGIFLMIIFLIFSVELELSVTLATPQSPISFQAREYFMFNEFIIVKNETHVIPVFSSPRNESGILMERGRKVPLSKDP >OMP02935 pep supercontig:CCACVL1_1.0:contig06162:12479:12658:-1 gene:CCACVL1_02659 transcript:OMP02935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RQKRQQRRDDPPQIDLERQHFRQKRQQKVGTISQVSILALIGSQVRHYLMSAFSLWGEM >OMO93953 pep supercontig:CCACVL1_1.0:contig08027:26893:34942:1 gene:CCACVL1_06243 transcript:OMO93953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MENPRTRTGGSCLSSSSNLDALTTTLSSSVQALGRGFDVTSDIRLLYCKGTPGSRLVQLDEHHAKDLVLTGGVIVPNVSDDIKWSMAKDGIERKSVCSFHEMSGYFNEKSGISGRVPLGSFNAMFNFTGSRLVDAAATKSLAMVGYLIPLCTVQLEKQNLVLHDEVKRAVPYTWDPASLASFIENYGTHIVTSATIGGRDVVYVRQHQSSPLSVTDIENYVNDIADQRFLDTKGQSTAAPLKYKDKDVTVIFRRRGGDDLEQSHARWAETVRSAPDVINMTFTPIVSLLEGVPGIKHLARAIELYLEYKPPIEDLQYFLDFQIARVWAPEQSNIQRKEPVCQSLQFSLMGPKLYISPDQVTVGRKPVTGLRLTLEGSKQNRLAIHLQHLVSLPKILQPHWDSHMAIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTAPIEHTDTCIGDLSGVHIVTGAQLGVWDFGSKNVLHLKLLFSKVPGCTIRRSVWDHSPSSISSGQRADGSSSSVPNERTSDDKKEDSSSHAGKLAKIVDSTEMSKGPQDSPGHWLVTGAKLGVDKGKIVLRIKRSSFIRSSEKFDLVEGKVNWKGKTAVKSKHGGIRAALFVLVTFAFENLGNLHLAVNLVIYFIGFMHFSVADAANALTNFMGIGYILSILFGILADLYLGRFKTLLISATLEFLGLGLLAVQAHYPSLKPSACNGFDPTAQCQKVNGGDAAFLYIGLYLAAAGTGGVKAALPSHGADQFDENDPKESKHMSTFFNCLLLALSVGGAVSLTLFVWIDDHKGWDLGFGVSAIALFVGIIIAIAGLPFYRFHVVRGTSVIVEIIQVYVAAIRNRNLKLPENPLELYEIDMDKEAAEMKDELLPHRDVYRFADDKAADTKSTIEGVN >OMO93952 pep supercontig:CCACVL1_1.0:contig08027:8945:18819:-1 gene:CCACVL1_06242 transcript:OMO93952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFNIDYECVENDHKLEQHSRQSSKGFVFLSFSSCQYALINKNVTSVQDWQLGSAYKPQYLNQDGVVALGYLFAHYMEAWKSWKLTRHASFKVEHNKFLCRYLTLGNPVLELATDFSSSAESFWSHGLSTELLIPAYPDYEVLGSTLMELSLEAQVIQALIENAVKLDQNDEEAINSVAQALRLNWESDEDRFSSRSCNSSKIVLCDCNFNHHTLCRVTTIDFSDMHLRGEIPAAIGNLTALVTLDLHSNRIRGNIPDSLRYLNQLKYLDLAENQLIGPIPDTLGNISTLEFLRLSDNQLTGKIPESLGNLQNIYRMVLANNKFQGELPQSFAKLTSLSIFNVRGNGLIGPIPTYIGNWSQLQVLILQGNHFEGPVPSTMSSLENVNEMRISDLFGKNGELFPFPDISNMKKLDTLILRNCSINDSIPSYLGSFQSLVHLDLSYNKLTGEVPEFSEHGNLTNIFLRANKLTGAIPTWFANKTEANLDFSENNFTYASIVDYRSRNVNSFACCSPSAEFFGVYDPKQSPSLKYAQSIESHDDFGFENKETLLYKTARVAPISLKYFGFCMKDGFYTVKLDFAEITFKEEAEHKSRGNRLFDVLIQDRKVLSDFNIKDLAGGLNKNISQTFQAEVKENSLEIHLYWAGKGSKRGSYELYGPLVSAISVEPVLKPVKPPKKLSPFIIAGISGSIVLFLILVFIFLWKKGYFHGKESKVEVQRDLMSMPGGGLFTYRQLKVATQNFNNENKIGEGGFGAVFKGVLPNGTPVAVKQLSAKSKQGSREFVNEVGVISALQHPNLHSQSIECNQTGPEELRVQLTWMIRSKICRGIAKGLAFLHEESKLKIIHRDIKTTNILLDKDFTAKISDFGFAKLHEGEKTHVITKIAGTTGYMAPEYAMRGHLTSKADVYSFGVVLLEIVSGQNSASYRPNDESVYLLDLAYVLQEKGDLLALVDPILGSDYSGKEAKLILELAMLCTNPSPTLRPSMSEVVKILKGKSRLNYTPSHAPYSADGFARAKAMASRSFSNYSRSMSREEPLNSASYEFSIKEEEVQISAGYTPEITDETGRSPLNNV >OMO93955 pep supercontig:CCACVL1_1.0:contig08027:37648:39101:1 gene:CCACVL1_06245 transcript:OMO93955 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA ligase/cyclic nucleotide phosphodiesterase MATPEAVVQKNVYSVWALPPEDVTARAKKLMEGLRSEFGGPEFEPHVTVVGAISLTADDALAKFRSACDGLKAYTATVDRVATGTFFYQCVFLLLHATPEVVEASSHCSGHFGYESNTPYMPHLSLLYADLTEEEKKKAQEKANMLDESISGLSFQISRLALYKTDTEDKTLKSWEKVAECNLSPN >OMO93954 pep supercontig:CCACVL1_1.0:contig08027:35840:36871:1 gene:CCACVL1_06244 transcript:OMO93954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDDNNSIPLASSDRSPVPTPAQGTEPAPVPGQEMTMGQHMVDKSAAMVQTLTPVKQICQHACTFALYSDDMNRQIETHHYISRLTQDFLQCAVYDSDDKKARLIGVEYVISDRLFEDLPPEEQKLWHSHAYEIKSALWVNPRVPEMIGKPELKNLAKTYGKFWCTWQVDRGDRLPLGAPALMMSPQGVNLGKIDPELIKKRDDKYNISTEAIRGSRVEIEEPEWINPQADYWKQHGKGFAVDIVPTEMKLKTPFP >OMO89782 pep supercontig:CCACVL1_1.0:contig08615:1539:1709:1 gene:CCACVL1_07633 transcript:OMO89782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGRVARDVPQNRRFERSPRSDFDWRSRFFSVFVDIICWNATVSDLWKVFCAHGTV >OMO50119 pep supercontig:CCACVL1_1.0:contig16310:16759:25089:-1 gene:CCACVL1_30614 transcript:OMO50119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFDLFIKEVMGSVLVIEDREEDYVIKWG >OMO50121 pep supercontig:CCACVL1_1.0:contig16310:29459:41538:1 gene:CCACVL1_30616 transcript:OMO50121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLPWIDYSDMAEVVNVSTR >OMO50120 pep supercontig:CCACVL1_1.0:contig16310:25596:28413:-1 gene:CCACVL1_30615 transcript:OMO50120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMKGLSWVGLTISPFLYKFGSSFLIALLSIA >OMO70204 pep supercontig:CCACVL1_1.0:contig11855:273:1145:1 gene:CCACVL1_19057 transcript:OMO70204 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAMK family protein kinase MASAPSLKPLSSASQPPFQPLVTRAIADWDPVKRSSSATTYSNVIVSSEISPVVNNQGDEIGDGSRLNNASNLFVPSPSAGFSRNSKNRAFLVSG >OMO70205 pep supercontig:CCACVL1_1.0:contig11855:2623:2754:-1 gene:CCACVL1_19058 transcript:OMO70205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPAVAIDEGSRPPRIVFDFLRHQVKEMQMVQMVRKGSLLVYS >OMO70975 pep supercontig:CCACVL1_1.0:contig11762:43497:46060:1 gene:CCACVL1_18540 transcript:OMO70975 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MFDIAIFILQLSAFNIKYLFIQSRVSSYCATELRKLVAEEWERSTLNEWSRKWEVVLCNAYERADNVFKDLASVPKSVGSTALVLIISACQIIAANCGDSRAVLCRGGEAIPLTVDHKLDREDEADRIKSNGGRVLNLGCLRVEGVLSMSRAIGDHDLKQWVISVPEITFKTRSEDDEFLILASDGVWDVLSNEEVVKLARKELRQRRRLVGVNDSPFPPAWYVSKRVLKQALDAYSDDNISIIVVDLKIPRTRRQKML >OMO70973 pep supercontig:CCACVL1_1.0:contig11762:34871:36019:1 gene:CCACVL1_18538 transcript:OMO70973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPDDMATDTPPVPEEQVLMPPSQVDEADNANSIPSLNLSLNSEFEHGEAASQETVKETEQTMMGSSGFTPMVHAFFPPYVPVPYPYWPPNSALPDEQNCVEASQHQVLKPIPLLPKEGVNNVDELVGMSQLSIGETENGHREPSPLSLKLLGEPSRQSAFHANAPVGSTELSKSKTGAIQAV >OMO70971 pep supercontig:CCACVL1_1.0:contig11762:14975:20758:1 gene:CCACVL1_18536 transcript:OMO70971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic oxidoreductase MISLDRTCFLNNTGISGSSSPFSYKLKLPPASVKVSALGPNRDRNNNVLIENNNNALTEVKEDDTSSAADVVSNLTVPDLDVYYDGAAAEEELVTPWAVSVARICFVFDVLDVEYMFCIEYWFMMFWQQCGYSLLRDPHHNKGLAFTEKERDAHYLRGLLPPTVISQDLQVKKMMRSIRQYQVPLQKYMAMMDLQETNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLFISLKEKGKIHEVLRNWPQKNIQVIVVTDGERILGLGDLGCQGMGIPIGKLSLYTALGGVRPSACLPVTIDVGTNNEQLLNDEFYIGLRQRRATGQEYAELMQEFMTAVKQNYGEKVLIQFEDFANHNAFDLLAKYGTTHLVFNDDIQGTASVVLAGLVAALKVVGGTLADHRFLFLGAGEAGTGIAELIALEISKQTNMPLEEARKKIYLVDSKGLIVQSRVDSLQHFKKPWAHDHEPIKNLVDAVNAIKPTVLIGTSGVGRTFTKDVVESMAALNDKPIIFSLSNPTSQSECTAEEAYTWSKGRAIFASGSPFDPVEYEGKVFVPGQANNAYIFPGFGLGLIMSGAIRVHDDMLLAASEALAAQVIQENFDKGLIYPPFKNIRKISAHIAANVAAKAYELGLATRQPQPKDLVKYAESCMYSPAYRSYR >OMO70972 pep supercontig:CCACVL1_1.0:contig11762:32455:32799:1 gene:CCACVL1_18537 transcript:OMO70972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSNNGHNSRTCPTRGGSGGGLGGAGGGGVKLFGVRLTDGSIIKKSASMGNLSSAHYHSSSSAAASPNPDSPLSDHVRDPNHVPDGYLSDDPAAHGSTSRRGERKKGD >OMO70977 pep supercontig:CCACVL1_1.0:contig11762:58920:63544:1 gene:CCACVL1_18542 transcript:OMO70977 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II-associated, Paf1 MASYRPFPPQSFPPPPSQNQNPLPPQQQQQQQQHYGQNYNQMNPNSNYYPPSRPPQQQHPPPPPPPQQQYAYPPPPPPDASYPPPPPPPSQPPMYYPPSQYSSQYGNQGMQPPPPPPPPSSPGSSIPPPPPPPSSPPPPPPKESVGDKGLSERNKGGSRDFSGSGRREHGHSNHGVGGREQKPMLPPAKKPNGPAGRVETEEERRLRKKREFEKQRQEEKHRQQMKESQKTQMMSAGKGHGSMVGSRMGDRRATPFLSGERIENRLKKPTTFLCKLKFRNELPDPSAQPKLMALKKDKDRFTKYTITSLEKMYKPKLFVEPDLGIPLDLLDLSVYNPPSVRPPLAPEDEELLRDDEAVTPIKKDGIRRKERPTDKGVSWLVKTQYISPLSMESAKQSLTEKQAKELRELKGGRNLLENLNSRERQIKEIEASFEASKLRPVHATNKNLEPVEVMPLFPDFEREKDQFALVSFDNAPTADSELFGKLHDSIREEHESRAIMKSYSTASSDPANPEKFLAYMVPSVDELSKDMYDEHEDISYSWVREYHWDVRGDDANDPTTYLVSFDDGVARYVPLPTKLNLRKKRAREGRSGDEIEHFPIPARITVRRRPTVAAIELKEPEVYSNSRGGISSSKVGRLDAEDGLGRPHKLARHQDVDQYSGAEDDLSD >OMO70974 pep supercontig:CCACVL1_1.0:contig11762:37448:38809:1 gene:CCACVL1_18539 transcript:OMO70974 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MASTSSTSTVNEINTIITTTTATVVDPNPIPPTGPNAAISTTDVIPSTDTKGKVTAEPETIATENSALTTVKAPSSSDSSDTNCNGGVVAEGVKKVAARCIGRRNRGVSWGYDLEQGNRSTMEDALGVFPEFMQLCCKDFGGCTAPECKYSLEKSPVHFFGLFDGHGGDQGTELSAMPGDREALRLTRDFHTRQNTVTSFVLQWNRAFGSYDSLGPSKNHH >OMO70976 pep supercontig:CCACVL1_1.0:contig11762:53937:55310:1 gene:CCACVL1_18541 transcript:OMO70976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNPIRRQLANMRQSLFDQGYLDEQFIQLEELQDDANPNFVEEVVTLYYRDSGRILLNIEQALERKPFDFHKLDGYMHQFKGSSSSIGAKKVKAESTLFREYCKSGNGEGCMRTFQQLKREYATLRKKLEAYFQLARQAGPMETACRPK >OMO86340 pep supercontig:CCACVL1_1.0:contig09501:68297:69394:-1 gene:CCACVL1_09632 transcript:OMO86340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNQNPPPPAGGFSSSSSASSSANRKGPRRRDLTLPLPQRDPALAVPLPLPPSTNAAPSTSNNSNHSNSNAPAPPKQVDITELDRVNRIGSGTGGTVYKVIHRPSTRLFALKVIYGNHEESVRRQICREIEILRDVDHPNVVKCHEMYDHNGEIQVLLEFMDGGSLEGNHISNESDLSDLARQILSGLNYLHRRHIVHRDIKPSNLLINSKKKVKIADFGVSRILDQTMDPCNSSVGTIAYMSPERINTDLNHGLYDGYAGDIWSLGVSILEFYLGRFPFAVGRQGDWASLMCAICMSQPPEAPPTASYEFRHFISCCLQRDPARRWTAQRLLSHPFITRGQQGQNHVAQNLRQLLPPPPPLTS >OMO86344 pep supercontig:CCACVL1_1.0:contig09501:96300:96380:-1 gene:CCACVL1_09636 transcript:OMO86344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYKSYSSVSQAKSHQATTAPLTSH >OMO86336 pep supercontig:CCACVL1_1.0:contig09501:43839:44992:1 gene:CCACVL1_09628 transcript:OMO86336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDIDWLIPYLLHLVVLHVSVAVGAIYLVYKYKSAAGKFPPGRKGLPYIGKTLSFASASRWGCPEKFIRDRSAKYSEDVFRTSLLGEEMAIFCGAAGNKFLFTSQDKYVTSWWPKGIVKPFTGDSNFNQDSNKLLLSNVLPILKPDSLKQYIPIMDLMAKEHLHKYWWSPNPKKYTFALACRLFMSVHDEQEVENLAKPFVLATAGLVSLPIDLPGTTFRRAIKAGTFIRQQLLALVTNKKNQLFANIQAAAQTQTLASDLVDSLLMEGLTEIEVVQTLVGLFIASYDTTSSVLTFTISYLCDYPEIYNRVLQGTTYGCCKVQRGWSIIEMGRYSEDEVHLVRGMRSYEIDATCLWSF >OMO86339 pep supercontig:CCACVL1_1.0:contig09501:61791:64883:1 gene:CCACVL1_09631 transcript:OMO86339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MTAASFSGSNAAAVAAAKTVLITGVSKGLGRALALELSKRGHTVIGCSRAQDKLTSLQSELSSPDRHLLLNVDVASNSSVEELARVIMEKKTVPDIIVNNAGTINKNNRLWEVPVEEFDTVIDTNVKGVANVMRHLIPLMLPRGHGIIVNMSSGWGRSAAALVAPYCASKWAVEGLSRSVAKEMPGGFAVVALSPGVINTEMLQSCFGASASAYQTPEAWSLKAATMILNLTAADNGASLTV >OMO86342 pep supercontig:CCACVL1_1.0:contig09501:71055:89373:-1 gene:CCACVL1_09634 transcript:OMO86342 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein MSEEEKLLKEAKKLPWEDRLLHKNWKVRNEANIDLASLFDSITDPKDPRLREIAPLFRKTVADSNAPVQEKALDALIAFLKAADADAGRFAKEVCDAIVAKCLTGRPKTVEKAQAAFMLWVELEAVEVFLDSMEKAIKNKVAKAVVPAIDVMFQALSEFGAKVVTPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELVNVTGTAKPSRKIRSEQDKEPEQEVVSEAAGPGPAEESADDAPQEIDEYDLIDPVDILTPLEKSGFWDGVKATKWSERKEAVAELTKLASTKKIAPGDFTEVCRTLKKLVTDVNIAVAVEAIQAIGNLARDVKTASKNKVPLVRSLTLNWVTFCIETSNKAVILKVHKDYVPICMECLNDGTPDVRDAAFSALAAIAKSVGMRPLERSLEKLDDVRKKKLSEMIAGPGAAVPAGSSSAPVQNSGGSVSSTEPSEGSFVRRSAASMLSGKKPVPAAPANKKGASVKSGNNKKVDGAARPEAAKATEPPEDIEPAEMSLEEIESRLGSLIQADTVSQLKSAVWKERLEAISSLKQQIEGLQDLDRSVEILIRLLCAVPGWNEKNVQVQQQVIEIVTYLASTATKFPKKCVVLCVMGISERVADIKTRAHAMKCLTTFSEAVGPGFVFERLYKIMKDHKNPKVLSEGLLWMVSAVEDFGVSHLKLKDLIDFCKDTGLQSSAAATRNATIKVLGALHKFVGPDIKGFLTDVKPALLSALDAEYEKNPFEGASAAPKKTVRAAESASLSVGGLDGLPREDISGKITPTLLKGLESPDWKVRLESIESVNKILEEANKRIQPTGTGELFGALKGRLYDSNKNLIMATLTTLGGVAAALGPAVEKASKGILSDILKCLGDNKKHMRESTMSTLDAWNAAVHFDKMVPYITSALTDSKLGAEGRKDLFDWSSRQLSGLSEFPDAVHLLKPAATAMMDKSSDVRKAAEGCIGEILRVCGQEIIEKNLKDIQGPALALILERIKPSGFFQESFESSKGVSTGLASKTNAKVAKSTSNGVMKHGNRAVASRAIPAKGLRPETMLSVQDMAVQSQALLNVKDSNKEERERMVVRRFKFEELRIEQIQDLENDMMKYFREDLHRRLLSTDFKKQVDGLEMLQKALPSIGKEIVEVLDILLRWFVLQFCKSNTTCLLKVLEFLPELFDLLKSEAYVWTESEAAIFLPCLAEKVGHNIEKVREKMRELMKQIVQMYSAAKCYPYILEGLRSKNNRTRIECVDLVGFLIDHHGAEISGQLKSLQIVASLTAERDGELRKAALNTLATGYKILGEDIWRYVGKLTDAQKSMLDDRFKWKVREMEKRREGRPGEARAALRRSVRENAPDVAEQSIEVSQSISGSMFARKSYGQPELNMERHLMPRAHVGVSGPTNWNEALDIISFGSPEQSVEGMKVVCHELTQATNDPEGSLMDELVSDADRLVAKTFDFSLTGASSRSCKYVLNTLMQDNADRTSSFVVLINLLRPLDPSRWPSPASNETFSARNQKFSDLVVKCLIKLTKFCDLYACEETIYVGCDVKHRRTSLAGADDKPLRMVKTVLHELVKLRGAAIKGHLSLVPIDMKPQPIILAYIDLNLETLAAARMLTSTGPGQNHWGDSGANNSAAATNSADAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAAAGRTPSSLPMSTPPPASLSASSPEFAPLSPVHTNSSNDSKSLNAKSDPTNFSLPPSYTEDHRAANALNARVLGSENALADQRNERVISGVTSGTLDAIRERMKSMQLAAAAGNIDYANRPLMSVNDSLNLGLSTQNRGLDHPSTENPVQGGVLPMDEKALSGLQARMERLKSGTLEPL >OMO86335 pep supercontig:CCACVL1_1.0:contig09501:33595:33814:-1 gene:CCACVL1_09627 transcript:OMO86335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPKFPLAEIDLNSFQGDEEQIGKERPRLPDLNTNVEEDVFELNFPLADRPSPTQPLIDLNKGPPKHANSS >OMO86334 pep supercontig:CCACVL1_1.0:contig09501:22572:22664:-1 gene:CCACVL1_09626 transcript:OMO86334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPIWEPKSETLSKRAMEMEDREVKHRVR >OMO86345 pep supercontig:CCACVL1_1.0:contig09501:97979:101492:1 gene:CCACVL1_09637 transcript:OMO86345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSCSAKSIFSPSFTSKSQPTSRSSNSSQLLSFLHSTNPTRPIATFKLSSSSSSSQYNCDQKQSLSRRRLAANVISSALKTAESRETKSFTEDDVGSDQTRPTILVSEKLGEAGLQLLRSFGNVDCSYDLSPEQLCEKISSCDALIVRSGTKVTRQVLEAAKGRLKVVGRAGVGIDNVDLQAATEVGCLVVNAPTANTVAAAEHGIALIAAMARNVAQADASMKAGKWQRNKYVGVSMVGKTLAVMGFGKVGSEVARRAKGLGMNVIAHDPYAPADRARAIGVELVSFDQVISTADFISLHMPLTPTTNKIFNDETFGRMKNGVRIVNVARGGVIDEEALVRALDSGKVAQAALDVFTQEPPPTDSKLVQHENVIVTPHLGASTKEAQEGVAIEIAEAVVGALKGELSATAVNAPMVPQEVLTELAPYVVLAEKLGRLAVQLVAGGSGIKSVKVVYRSARDPDDLDTRLLRAMITKGIIEPISDSYINLVNADFTAKEKGLRISEERVVIDTSPDMPVHSIQVLVSNVDSKFASAISENGEISVEGRVKYGVPHLTGVGSFEVDVSLEGNLILCRQIDQPGVIGKVGNILGEANTNVSFMSVGRTVRRNKAIMAIGVDEEPEKETLRKIGTVPAIEEFVFLKL >OMO86343 pep supercontig:CCACVL1_1.0:contig09501:93037:95096:1 gene:CCACVL1_09635 transcript:OMO86343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPRGFSLQCIAFLLLLRPVLSLALPHPPREPPVYLNFVLNASEFPPEDFYDYIIVGGGTAGCPLAATLSQSFRVLVLERGGVPTANDNVMSQEGFLATLSDVNLYDSPTQPFTSEDGVPNARGRVLGGSSAINAGFYSRADQEFYKNSGVDWDLNLVNQSYQWVERRVVFRPELGSWQSSFRDGLLEAGIDPYNGFSLDHEIGTKIGGSTFDTSGRRHSAADLLNWAKPENIKVAIYASVERILLASSSSSNNSSRQSAVGVVFRDEIGRYHHAMVREKGEVLLCAGAIGSPQLLLLSGVGPRPYLSSWGIPVAYHHPNVGQFLYDNPRNGISILPPVPIEHSLIQVVGITEDGAYIEAASNVVHFPPPGRAAFLRPPQPPPMFLTVATIMEKIIGPLSVGSLRLASTDVRVNPIVRFNYFSNPVDLERCVNGTRRIADVLRSRSMNYFRFTEMLGPRRNFMFVGPPLPADQTNHAQMVDFCRRTVSTIWHYHGGCVVGKVVDKDYRVIGIDGLRVVDGSTFGVSPGTNPQATLMMLGRYLGLKMTRQRAGQSK >OMO86333 pep supercontig:CCACVL1_1.0:contig09501:967:13033:1 gene:CCACVL1_09625 transcript:OMO86333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclases/protein prenyltransferase alpha-alpha toroid VLREKNFKQTIPQVKVNSSEEVTYETVTATLKRAAYFLAALQASDGHWPAENSACLYFHPSLAENSKLKLFASVNKSDQMMNPIDVRSNAAAVAAAKTNLIIRVSGGLGRALPLELSKRGHTIIGCS >OMO86337 pep supercontig:CCACVL1_1.0:contig09501:48540:52013:-1 gene:CCACVL1_09629 transcript:OMO86337 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MTWSRRMRDGMVFEKLDRCLVTESWFHLFTFSFIRVLIKPGSDHLPLLVHVLNKPHKEIRNCKQFRFEHMWVAHEESSAVIEESWNRDEEANVQQCIVNCGQALENWDVTVFGNVRYGIQRKKKELEQAYITAQVTGVSRQLHDCLDEFNDLYDREEIMWRQRSKVSWLREGDRNSRFFHSVVNTRKAKNNIASIQDDGGNWHSEIKTVEKVVVDYFKQIFITSNPLHSDIEHVLGTVDQRVTAEMNAKLNRPFTVEDIRVAAFDMGADKSPGPDGMTPLFYQQYWHIVGEKASEMALAFLNLRQALPDINHTSVVLIPKNEHPCMVKDYRPISLCNVVFKIVSKALANRLGEILPEVIGPNQSAFVPGRMIFDSSFIVFETIHYMKNKRAGGANHMALKLDLSKAYDRVEWAFLEGMMLRLGFSQQWTSLVMSCVTTVSYSIMVNGTNTEKFSPSRGIRQGDPLSPYLFLFCMEGLSCLLQRAELDGHIRGVAINRYAPTISHLFFVDDSILFLRASLRECDVVLDLLRQFSLASGQQVNVDKSAILFSSNTPVCLRESIMQHLGVQKILDRDRYLGIPIMIDKSKKAELQLIKDRLWKRLQRWKGSLLSIAGTDTLIWNSTVNGSYTVRSGYYVARSLLGHDDIHVDDRSPVWRLIWSAHVLPKVKYFFWRVIQDILPTKPQLQRRGVPIDLNCVVCGGNESSLYHVFFECTFSRQVWVIACPWLPVYLESYEYGASFRRDRQSQTIVRQQWTPPTQGRVKINTDAAFSQHRQEAALGVVFRDTDGHVLLSGITRITNVPNSLFAEMYTIRFGLLLALSYSFVTCEVESDSLLANIELNRQTPSLWEGGSLILQIKHIVSSFDFCSFKHVSRVANTLAHNLAHLDCDVGANYV >OMO86341 pep supercontig:CCACVL1_1.0:contig09501:69915:69983:1 gene:CCACVL1_09633 transcript:OMO86341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDAQWWESEMYLANGKEVGP >OMO86346 pep supercontig:CCACVL1_1.0:contig09501:105290:108772:1 gene:CCACVL1_09638 transcript:OMO86346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQLLIFTRGGLILWTCKELGNALKGSPIDTLIRSCLLEERSGAASYNYDAAGASYTLKWTFHNELGLVFVAVYQRILHLLYVDDLLAMVKREFSEIYDPKRTVYNDFDETFRQLRREAEVRADEFKKSKQMVKPVNNSKKQGQLQKAGFDKGNKKSEGGLAADEDDGDKVKGRKFENGYSNGKHIEIEEPRMTGVVNGKENSSSNISAFDVSKLQKRTKVGKKTDTVVNKGSKVDPKKKVEKKKRVWDDAPTETKLDYTDPVDGNGIENIEVVAADQGESKMDIEEIISSDSEDEDDNNVVNDSQPEAKKKGWFSSMFQSIAGKASLEKADLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLASFTRISSTVQAAMEEALVRILTPRRSIDILRDVHAAKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLLQHNVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLIYLNNPDLVLFVGEALVGNDAVDQLSKFNQKLVDLSSSPTPRMIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >OMO86338 pep supercontig:CCACVL1_1.0:contig09501:52547:54378:-1 gene:CCACVL1_09630 transcript:OMO86338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSNMCSQLSLQDGQQPKVVIAEELLEESDVGQLPYFLIGKYFSKRRANLEGMRTALFNAWKLEMGLVIKEVGEKLYMFQFEDKPERDRVLVTQPWHFNRILLALQPYDGVEKPESIVFDTCPFWWGSFIRIRVLVDVTKPLVDGTVVESPYGEKEVEFRYEDMPDICLVCGQFDYLSENDCPTAIDMRLNQGVVIKKYSIRIKAESPRFKTKRFEGNDGSFRHGGSCSRRQPSLSARTGPSRQPVGSGRVAQLSSSAADSRRHIRPHVDSMILRGKQVAQALASEEVSCEIVSRIPRRVVEQNSVGERSSGAVEKIMEAAANFAKEVDELYGDLGLPASQGAEVAVGGNHVEGSVNRKIRGGTVDSDSSGFGNFDVRFNPRRQRQKQMAANQGTGSNLPIPGLGQSSRSLGHDGFGPDLMGLVGNLVAGPSKSPIQTSMVSPHDINNVGYDPTMPSFVFGAGASSSPNKVRKWKKQVRVSNKYSFAAINHRSCARVGDKRISGQPNFGMDNGVVAKRTRDGGSANFESEAIECARVMRDIGAEIVAAREAASPNDVGNDVDAARTAEERPGQDQ >OMO72737 pep supercontig:CCACVL1_1.0:contig11406:1257:2505:1 gene:CCACVL1_17625 transcript:OMO72737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KWSVPGPLAGMTEYYLGEVSKDADWRTPIIEQLRERKSSNLRFLKSYTMIQGALYYRGPNGILASRVLGRVAFITVTDITDAFVVMAVVYN >OMO78938 pep supercontig:CCACVL1_1.0:contig10526:15533:18374:1 gene:CCACVL1_13997 transcript:OMO78938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKPAPIENAADDPISGQKDDIADSAASTSSVVVDQNETDSRTASAGISQIIEDIFVPPTSSPKVQDSENDHLAPPSEAVNGDNDTILPSASSNEVKSNELFTPLSKIHTTAVESIQDTRDLQKSQSARSAYSPKVNDAMAGDAKNGDHVGQNNYLILPHRRIISSTVESPKSLSPKLLKQIDINRGLIDTAAPFESVKEAVSKFGGIVDWKAHRMQTVERRKLVEQELDKVQDEMPEFKKRLEDAEVAKVQVLKELDSTKRLIEELKLSLERAQTEENQAKQDSELAKLRVQEMEQGITDEASVAAKAQLEVAKSRHTSAVSELQSVKEELEALENEYASLIAEKDVAIKKAEEAVSASKEVEKTVEELTIELIATKEALESAHAAHLEAEDKRIGAAMVRDQDTHHWEKELKQAEEELQKLNQQIHSAKELKSKLETASALLLDLKAELAAYMESKLKGETDGQSNDEFQASEKRTHTDIQAAIASAKKELEEVKLNIEKANAEVDCLKVAASSLKSELEMEKSALSTIKQREGMASIAVASLEAELENTRSEIAVVQMKEKEAREKMVQLPKQLQQAAQQADEAKSVAEMAREELRKAKEEAEQAKAGVSTMESRLLAAQKEIEAAKASEKLALAAIKALQESESAQSTDNADSPAGVTLSLEEYYELSKRAHEAEEQANMRVAAAISQIEVAKQSENRSLEMLEEVNREMAERKEALKIAMDKAEKAKEGKLGVEQELRNWRSEHEQKRKATDSTPGGNPGRASFEGNNETKNLEPEPPVPAQTVASPRAYVHGSTTESESSPEPKALKKKKKSLFPRFFMFLARKKSSSKSK >OMO78943 pep supercontig:CCACVL1_1.0:contig10526:41544:41730:1 gene:CCACVL1_14002 transcript:OMO78943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRESSSEGTRLPGDIIHSNLASQNLTCGSPAASA >OMO78936 pep supercontig:CCACVL1_1.0:contig10526:988:3297:1 gene:CCACVL1_13995 transcript:OMO78936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like protein MEFPKPPLPSNPLLSLSTFIHRLGDELATRFHHANSLVGNFALGFTPPANFRHRPLFASLSQPKPAAAAAAAAAATLSPEQVAKTLVGTAVYTVSNSDNEFVLISDPNGAKSIGLLCFRQEDAEAFLAQVRLRRRELRSRAKVVPITLDQVYSLKVEGIAFRFLPDPIQIRNALELHNVAPLLTMAVHVYQLKAADVKGGFDGVPVFQSDLLVVRKKNKRFCPIYFNKEDIEKELSKNSRASKGPISQHIMVGSLEDVLNKLEMSEKNSGWEDLIFVPPGKTCSQHIQDVVKA >OMO78941 pep supercontig:CCACVL1_1.0:contig10526:26614:37299:-1 gene:CCACVL1_14000 transcript:OMO78941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSELKSDNLFEMMKVHLKTDAGKELIKKIGLVYQINIAPKKIGFNEVSYIVDLKKGEVTKGQYEGGKPDATFSFKDDDFIKVATGKMNPQIAFMRGAMKIKGSLSAAQKFTPDIFPKPAKIIIAIEPSIVASAYFLRGFNWESSSKAGGWYNFLKNSVPDIAKAGVTHVWLPPASQSVGPQGYLPGRLYDLDASKYGSQAELKSLIDAFHQNGVKCVADIVINHRTAESKDSRGIYSIFEGGTSDDRLDWGPSFICSDDKEYSDGTGNPDTGLPYNPAPDIDHLNPRVQKELSDWMNWLKTEIGFDGWRFDFVRGYAPSITKIYMDQTSPDFAVGEKWEDFSLGQEDSHRSALKDWVEAAGGAVTAFDFTTKGVLNAAVQGALGRLKDSNGKPPGLIGLLPQNAVTFIDNHDTGSTQNLWPFPSDKVMQGYAYILTHPGIPSIFYDHFFDWDLKDEISKLVAIRNSNGINPTSTVNILASDADLYVASIDDKIIMKIGPRSDVGNLVPSNYQLAASGKDYSGFNWESCNKGGGWYNSLKNFVPDIANAGVTHVWFPPPSQSVGPQGYLPGRLYDLDASKYGSKAELKSLIEAFHQKGIKCLADIVINHRTAERKDGRGIYSIFEGGTPDDRLDWGPSFICGNDREYSDGSGNPDTGLDYQPAPDIDHLNPRVQSELSDWMNWLKTEIGFDGWRFDFVRGYAPSITKIYMERTSPDFAVGEKWEDLSLGQGGQDWHRGSLKDWVEAAGGVVKAFDFTTKGVLNAAVQGELWRLKDSNGKPPGMIGLLPQNAVTFIDNHDTGSTQRLWPFPSDKVMQGYAYILTHPGTPSIFYDHFIDWGLKDEITKLAVIRNKNGISATSTARILASDSDLYMAAIDEKIIMKIGPKMDLGNLLPDILFQGFNWQSWTKEGGYYNFLKNSVPELASAGITHVWLPPSSQSKDPEGYYPGRLYDLDTSKYGNSGELKSLIEAFHQNGIKCIADIVVNHRSTEREDAQGVYLEGGTPDGRLDGGASLICNNDPNFSYGTGNPDSGRDFPYGPDVDLLNPTTQQQLADWMNWLKSEIGFDGWRFDFVIGFATAITKFFMEQTKPAFAVAEKWDDFTLGQEEAHRNALRDWVATAGGAITAFDFTTKFVLNQAIKGELNRLKDPNGNPVGMIGVLPQNAVTFIDNHDTWSQKLAPFSDDPDKVTQGYVYILTHPGTPTIFYDHFFEWGLKEPITTLIAIRKKHGINATSKVKILAAEPDLYMAEIDEKTIMKIGPKTDLGNLLPSTYKVAYSGNDFAVWEQV >OMO78942 pep supercontig:CCACVL1_1.0:contig10526:39526:40838:1 gene:CCACVL1_14001 transcript:OMO78942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MPSLTISAGLGTLEISHTFQSGCIKSVAGENQGELSDEDDDLCPVECVREFKTDEEFLNILEKAKETNSLVVVDFYRTSCGSCKYIEQGFSKLCKGAGDEDAAVIFLKHNVLDEYDEESEVAERLRIRAVPLFHFYKNGVLLEAFPTRDKERIVAAIMKYSSPTQNV >OMO78944 pep supercontig:CCACVL1_1.0:contig10526:42171:44872:-1 gene:CCACVL1_14003 transcript:OMO78944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAGIFLYAIIFFVTLVILNRILRREKRSESHGRAENKLPPGSMGWPYIGETLQLYSQDPNVFFASKQKRYGEIFKTHILGCPSVMLASPEAARFVLVTQAHLFKPTYPKSKERLVGPAALFFHQGDYHSRLRKLVQSSLSIEAIRNLVPHIDALAASALDSWDGGRVVNTFHEMKKFSFEVGILLIFGRLEAKYREELKQNYLIVDKGYNSFPTKIPGTPYQKALLARKRLSEILSDIISERKEKKLLEKDLLGCLLNSKDEKGEVLTDDQIADNIIGTLFAAQDTTASVMTWIVKYLHDNPKLLEAVKAEQKAIRRLNEEGNQPLSWSQTRNMPVTYKVILETLRMASIISFTFREAVADVEYKDDELFSLFSQVAPKPNTFMPFGSGVHACPGNELAKLEMLIVTHHLVSKFRWEVVGSESGIQYGPFPVPLQGLPTRFWKESQSTC >OMO78940 pep supercontig:CCACVL1_1.0:contig10526:21162:23789:-1 gene:CCACVL1_13999 transcript:OMO78940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar import/degradation, Vid27-related protein MGGAHSHDLVSDSDEEEYEEGNEEEHFQDSKDETPERSSSGRRRPKTPSSVDEVEAKLKALKLKYGSANTQHPSLKNAVKLYLHIGGNTPKAKWVTSEKLTSYSFVKTSNIDGQDEEEDGEESEYEEANGDAFWVLKVANKVRVKVGVEMQLKTFGDQRRADFVAKGVWAMKFFTDEEYRNFVTKFNDCLFENTYGFESNDANKVKVYGKDFIGWAKPEAADDSIWEDAEDAALKSPQSATPVRANQDLREEFEEAANGGIQSLALGALDNSFLVGDSGIQVVKNFAHGIHGKGVFVNFDHGGQRSGSDLGYSTPKKALLMRAETNMLLMSPMTEGKPHTKGVHQLDIETGKIVSEWRFEKDGTDITMRDITNDGKGAQLDPSGATFLGLDDNRLCRWDMRDRNGIVQNLANSAPVLNWTQGHQFSRGTNFQCFATTGDGSIVVGSLDGKIRLYSTNSMRQAKTAFPGLGSPITHVDVTFDGKWILGTTDTYLILICTMFTDKDGKSKTGFNGRMGNRIAAPRLLKLTPLDSHLAGVNNKFRNAQFSWVTENGKQERHLVATVGKFSVIWNFQQVKNGSHECYHNQEGLKSCYCYKIVLKDDSIVDSRFMHDKFAVTDSPEAPLVIATNQKVSSFSISSRR >OMO78937 pep supercontig:CCACVL1_1.0:contig10526:5197:8271:1 gene:CCACVL1_13996 transcript:OMO78937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MGEALSLQKIIKAAPSKALVIRINLVFLAFFLVVYASLLLRPSSSIYFENAASLVRCSLSECHHKVEKGMKMEAILEETETEQKSKPKLNLTKLEVPKLVEEIGGGLKIGMVNFEDWDYSEWEQQGETIPILFDRVSELFEWPHLFPEWIDEEEEIDGQRCPEIPMPDFEKYDSLDLIVVRLPCKYPEDGWARDVFRLQVHLIAANLAVKNGKRDWNYRTKVVFLSKCRPMLEVFRCNDLVKQEDEWWYYEPEVARLEQKVSLPVGSCKLALPLWGQGNDEVFDVSKIEQATSTVKREAYATVLHSSEAYVCGAITLAQSLLKTGTKRDLILLLDRSISEPKREALKAAGWQLRFIKRIRNPRAEKGTYNEYNYSKFRLWQLTDYDKVIFIDADILVLKNLDILFHFPQMTATGNDVWIFNSGIMVFEPSNCTFKLLMNKRKEIFSYNGGDQGFLNEVFVWWHRLPRRVNFLKNFWSNSTVETGLKNQLFAADPPKLYSVHYLGLKPWLCYRDYDCNWNIGDQRVYASDAAHKRWWKFHDAMDKKLQKFCGLTKRRKIELDWDRKVAGKAGFQDEHWRINITDPRRWKQNLVM >OMO78939 pep supercontig:CCACVL1_1.0:contig10526:19056:20503:-1 gene:CCACVL1_13998 transcript:OMO78939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein, plant MAVAIGRGFLVQPCLSSSSIFHNKIYKPSLGTASTFWSTSTPQRYGQIAVQAQQRPTWLPGLDPPPYLDGTLAGDYGFDPLGLGEDPESLKWYVQAELVHARFAMAGVAGILFTDLLRVTGIKNLPVWYEAGAVKYGIANTSTLIIVQFLLMGFVETKRYMDFISPGSQAKEGTFFGLEAALEGLEPGYPGGPLLNPLGLAKDIKNAHEWKLKEIKNGRLAMIAMLGIFVQASVTHAGPIDNLLEHLSSPWQKTIIQTLANSGS >OMO85249 pep supercontig:CCACVL1_1.0:contig09647:24204:29203:1 gene:CCACVL1_10326 transcript:OMO85249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLDMTFLYLQVMDIKRLNNMLSDHGIYSRERVTSDRGKRRVIDSLKRSMQVDDGTAQYYLSISDGDPRAAVSQFSSDTRWERQAGFLKEVTKLAFSLFQDFLEKMNLGTGSTPRTVVCAQRQGDSKSLFNTDLRAYYTSQGKSVESLSQLNLDLFQIYSEVTKRGGFAQVCVDGKPKEVCDALTDLGHIRIEPVHLIDIYIRTLYAYEIHCKKTIAGANNSVAVASSGSGEAGTMPRSTQPGERDTTLRLSAKDDHQVVGSQAQASSTPNPFTGQQTSSATILALNSPDTTLSLSAKDQPPFDLFPSGHQDKKLKYGALERYGKLIVV >OMO85246 pep supercontig:CCACVL1_1.0:contig09647:936:2831:-1 gene:CCACVL1_10323 transcript:OMO85246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium-dependent phosphatase-1, eukaryotic/arcaheal type MGEEKVKEDAMRMIGMFQVLPRLVVFDLDYTLWPFYCECRSKREMPSLYPHAKGILSALKEKGVDLAIASRSPTADIANTFLDKLNIKSMFVTKEIFSSWTHKTDHFQRIHSRTGIPFNSMLFFDDENRNIQAVSKMGVTSIYVGNGVNLGALRQGLTEFTENQNASEMNKQKWLKKYSQKSTEKNNPK >OMO85247 pep supercontig:CCACVL1_1.0:contig09647:4435:5784:-1 gene:CCACVL1_10324 transcript:OMO85247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSGERGMTMGPERSKPLHNFELPCLKWGNQKYLRCMKLDDASTATDSSSAAAGGHYQRPRHTFQRRRSPPSKFESLVVGEVEARPWNLRTRRAACKAPVDGGVTNNNSNSPMKIDVMKSPRVRDRGASVQSASAAAAAASEKKRPRAKLSVPLSKKEIEEDFMAMAGHRPPRRPKKRPRHVQKQLDSLFPGLWLTEVTADFYKVPELVENGKR >OMO85248 pep supercontig:CCACVL1_1.0:contig09647:7655:18036:-1 gene:CCACVL1_10325 transcript:OMO85248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MSRKASERDRRLEVFDFTDEDKRVEKESAKILCKFKKPKRCAQTPSPLDKYKFLQRFADGSKTKQKEVNSEPIALDTEVTKHRVSRGCKTRGKKGINGPIEVDAEVLQSRKTREKKNTSGPIYIDAEVLQSCKTREKKNISGPISNLNTSLQSFSSNCENEQVTIISDDDGRTGMSSSSAFACSSVECTDSPEEQLSAHSSHEHQIETENVQVVIAPDFILYKGIYSTECQLTFSKTSLKCKGLTVNGTKKKFSFVWTVGDIISIEAQWCEGLETAIINLGLRSKSSRSAGNANETSAIEALKFAVYDPCWSERQAAIRSLSVRYNDRWKTTSAFDEHGKEVIYPKGDPDAVSISKRDVALLQPKTFINDTIIDFYIKYLKSKIQPEEQHRFHFFNSFFFRKLADQDKDLSGACQARAAFQRVHKWTRKVDIFEKDYIFIPVNYSFHWSLIVICHPGEVANLKGKSIIKRFQRYLSEEWKERHRDAADDVPSKFLHVQFVPLELPQQENSFDCGLFLLHYVELFLLEAPTNFKPSKITGSSNFLNRKWFPPNEVSLKRFRIKKLIYEILEEQSRGSTLADSLNLHPSSLLPIRNEHETGVQFLEQFSSSGESSHCHSSKSNVKQGSKKLSLSLQSPKDSRLAIFERYNIGICGVLLSDENHQQINTLHRKNVMSPIEEIDTPASESPSEVKGQQHITGPVSEAGLSMRYLSEDFGVRMNQQNPLHFEDSAIDSCGVSLDYSSEMRLEDDQHLPELEESSHQGKTDKPESCSTSNEGYFDCIVEDSEESSGGIMHDDEDIQSTFSPSSFLRNVSALSRRQADLTTELDLKEIKTPLRCRR >OMO89440 pep supercontig:CCACVL1_1.0:contig08719:7870:16384:1 gene:CCACVL1_07822 transcript:OMO89440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol oxygenase MDEAVIAYKLKDASDWWRDINNSPVWQDRIFYTLAALYALVAAVALVQLIRIQLRVPEYGWTTQKVFHFLNFLVNGVRAVVFTFRRNVQNLHPEILQHILLDVPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFFTINAVVYCIQIAMWLVLWWKTIPVLVILSKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFTCFLVRCIMMCFNAFDKAANLDVLNHPVLNFIYYLLVEILPSSLVLFILRKLPPKREEVGNKGPVDENELVLDGGFAVPASETKPDLGFVAPSTNSFGHTFRDYHVESERQKGVEEFYRTNHINQTVDFVKRMREEYGRLNKVEMSIWECCELLNEFVDESDPDLDEPQIEHLLQTAEAIRKDYPDEDWLHLTGLIHDLGKVLLHPAFGELPQWAVVGDTFPVGCAFDESIVHHKYFQENPDYKNPAYNTKYGIYREGCGLDNVFMSWGHDDYMYLVAKENKSTLPSAGLFIIRYHSFYALHRADAYKHLMNAEDIENLNWLKIFNKYDLYSKSKVRIDVEKVKPYYLSLIKKYFPDKLKW >OMO89442 pep supercontig:CCACVL1_1.0:contig08719:29185:32226:-1 gene:CCACVL1_07824 transcript:OMO89442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQDCWIMVVVLGLLFQAQLLKSQNFTCNPKDLTALQDFMGNLTTKLEGWTSNSSRDCCEWDGITCDPPSSGRVIKLELPKRRLSGKLSDSLAGLDQLKTLNLSYNFLKDSLPLSLFHMPNLEFLDLSNNDFDGTIPESIDLPSIQTLDISSNSFNGSLPSHICVNSTRIRNLNLAVNYFSGDILLGLGTCSSLEQLCLGMNDLTGGIAEDIFQLQNLTLLGLQNNNFDGRLNPGIANLSNLVRLDISSNKFSGEIPDVFSQLEKFQFLVAHSNNFAGRIPNSLTNSPTISLLNLRNNSLEGSIALNCSAMVNLNSLDLATNKFTGPVPDNLPSCRQLKNINLARNKFSGQVPESFKNFHSLSYLSLSNSSIQNLSSALQILQQCRNLTTLVLTLNFPGETLPDDPNLHFEKLKVLVIANCKLQGSIPQWLRNVTALQLLDLSWNHLAGTIPLWIGGYRDLFYLDLSNNSFTGEIPKTLTELPSLIHGNISLEEPSPDFPFFMKKNESARGLQYNQIWSFPPTLELGHNLLSGPIWPEFGNLKKLHIFDLKFNNLSGTIPGDLSGMTSLEMLDLSHNDLSGTIPSSLERLSFLSTFSVAYNQLSGRIPSGGQFQTFPNSSFEGNDLCGDHRLPCPDATSEDNHDGSQKKSKTNKDVIIGMVIGIILGTALLVGVLFVIVLRAYNRGEVDPEKEEPDPNEKDLEELSSRLVVLFQNVDTYKELSIDDLLKSTNNFDQANIIGCGGFGLVYKGTLPDGRKVAIKRLSGDCGQMDREFRAEVEALSRAQHPNLVHLQGYCMHKSDRLLIYSYMENGSLDYWLHEKVDGPSSLDWETRLQIAQGSARGLAYLHQSCEPHILHRDIKSSNILLDENFKAHLADFGLARLILPYDTHVTTDLVGTLGYIPPEYGQASVATYKGDVYSFGVVLLELLTGKRPMDMCKPKGCRDVISWVIHMKMENKESEVFDPFIYDKEHDKEMLRVLEIACLCLSESPKVRPTTQQLVSWLDKVGSSI >OMO89441 pep supercontig:CCACVL1_1.0:contig08719:19337:23368:1 gene:CCACVL1_07823 transcript:OMO89441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MKGKTGTLGNFFVLNNGAKIPAIGLGTWQSGGDLCVDAVKTALSVGYRHIDCAHLYGNEVEVGEALAEAFKGSLKREDVFLTSKLYCTMNSLNKIENYVRVSLKNLGVSYLDLYLMHWPESSGFGDATDPPLKSGSEQRQFLNRVKKVWKAMEGLVELGLVRAIGVSNFSLHQIKELLKFAKIVPAVNQVELHPFWRQDELVKFCQLKGIHVSAHTPLGVPTSSPGVSDSGSGEDEPGTPRITFRRSRSVHGPMLKLSVVGEIAERHKKTPEQESLEHISRQVAYIMVVLRWGFQRGTSVLPCSLKPDRIKQNIDIFNWSLSDDEWKRLNQIEPQVCLFGDGPLHNLSDRGLMFGSGPLQAVREMEDDAESNAEYI >OMO75444 pep supercontig:CCACVL1_1.0:contig11038:7912:9078:1 gene:CCACVL1_16165 transcript:OMO75444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERGKAVEVYNNNNIDFFQDYSTSSDVVPCRKHHQQPSSSGVCPYCLKDRLINLVCSDCGEQRFSSCSCSEISSNPRTSCAGAGGEVGSVGRVSFLIENENKDQVPNQKPTNTNNNNRSSSEEVFLFKRSNSSCVEIKKKNGFWRIGRLFRKKRSSEKDVDNCGKSVGGNIDDQKSDNLWVVDYMGVSRSRSLCSFRGNNGFFGSEDGSDVMNFSGARSSISAARSSGVNGGLFYDPERKSGFSEAEPRRSGFSEAEPRKSGGGLDIDSGFNGGNRRVFSLKESYFTGGDDSGFIDLKFDGQSDNSSRGDFHFPVSMKKGNVLSAFGSMREITSGSFENGLVNGDGGFGINGSSCRMSVDERGIKKSRKSFKGWRWIFKPPHKFDQE >OMO75445 pep supercontig:CCACVL1_1.0:contig11038:10204:11538:1 gene:CCACVL1_16166 transcript:OMO75445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRPLSWYKRFPAELQKSPSAEGPNSGILVIQDRDEEEDTAPLCCFGLCKANSYRWLTQLPFPQNKEVKIHIDDNPHDLIQLSGHGVFFIPVLDKPLSSNCYYVLQSRGRRKGKAFKSSKMDEHVYGDCLGEKHYPSDKPQPADNRDIYQQFKIDPPRRYSLNFIGKSVASDGVPPDFLRFFEAVTITSNSRNFTLSEARGLDIELRTRLPEFNFPLSCKTSDPVVVGKWYCPFIFIKDGKPKDQMRKSMYYEMTLEQRWEQVLACDNGDNYNEDDAVGVDVKVEREVFRVGGDINEAFRYGSVRVDDGVMWFKSCKKEAGREVDIGLSLAIFERMKWEQERFGWSSDEKREVRIERVEKFGNEGKIWKKFGCYILVERFVLRRMDGSLAFAYDFKHTHQIRSKWE >OMO75446 pep supercontig:CCACVL1_1.0:contig11038:13214:15934:1 gene:CCACVL1_16167 transcript:OMO75446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAAEGAVSFSLHKIEYLITREWDVLSGIDNEVDGLRHEFETINVLLREADTKGGINEQLNVWIKQVRDLAYDIEDVLDMFAFHTAKSSTLFPEFLQFIKRRPIASLIQDINIKLRGTRETRERYHDINSSSCYVPENHTYLYPRIASLYVDEADIVGIEEPRNSLIQWAVDEEPNLKVKFVVGMGGSGKTLLVKKVFEGLKSRFDCSAWIPLSQSNKKDELLLTMMKRFFDSTREQIPPEFFTVSLVQLMDKLRGYLQDKKYLIVFDDLWSKDVWESIKYALPNKKHGRIIITTQRGDIANFCSHNSSVSIFNLQPLSSDNAQELFYKKVFPLTNECPAGLVEWSKKLLNQCEGLPLGIVAIGNILSNTDKTADAWRKLHDSLGSELGTEGCLSSTLDVLSVSYNDLPYYLKYCLLYFSIFPEDYAIKRRKLIRLWVSEGLIKEVIGKSLEEVAEDYLTELIQRKLVLVNETDFDGKAKSCRLHHLLHKIILSKSHEENFCRVSKGPMMSLNERVRRLSINETCFNMFQKKFPRARSLFMFGMEMLTHSVSQTILSSFSILKVLDLEGSPLDKFPTAITNLILLKYLSLRNTNIRKLPNSIKKLQNLETLDLKLTFVTELPKNAIKLKKLRHLLIYHYNTNNQIQGFKAPKRIGGLLSLQKLSFIKAASGDDHGIMVKALGNMTQLRKLGITDLKEKDGKNLCLSIGNMNNLQSLDVASINEDEFLDLNSMLNPPKLLQRLCFRGRLQEIPVWVSSLHDLVRVRLKWSRLETSPIDALEDLPNLLELQLLDAYDGKDLVFRPGKFKTLEVLELESLNNLEVVKIEKGALSSLVKLVTKQCQNLKMFPKGIEKLSHLREFHLYDMPEKLVNGLQKNGGKFRHLVRHIPIISSYSLESSGYWKAKDLS >OMO75449 pep supercontig:CCACVL1_1.0:contig11038:31526:37801:1 gene:CCACVL1_16170 transcript:OMO75449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVAVDEDQQWLVNCLSATLDPNQEVRSFAETSLNQASLQPAALLLKQFIKKHWQEGDESFEHPAVSSDEKVVIRGLLLSTVDDPSRKLCTAISMAISSIAVYDWPESWPDLLPFLLKLIGDQSNMNGVHGGLRCLALLSGDLDDTMIPTLVPVLFPCLYTIVSSSQTYNKYLQTKALSIIYACTSILGAMSGVYQAEASALMEPMLKPWVDQFSFILEHPVQPEDPDDWSIRMEVLKCLNQFVQNFPSFTESDFRGIVGPLWQTFVSSLGVYFRSAIEGTEDPYEGRYDSDGAEISLESFVIQLFEFLLTIVGSRKLVKVVTNNIADLVYYTIAFLQVTEQQVHTWSVDANQFVADEDDATYSCRVSGKYLYAGKC >OMO75443 pep supercontig:CCACVL1_1.0:contig11038:1692:4330:-1 gene:CCACVL1_16164 transcript:OMO75443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVNLLLKIQRQPLHFRISQTTLHRLPPSPGPGLRFSKLPLQFQSSSLSLSRTAQCLKFSSISKIEPPLYYGDEEEEGGKDGPGNGSAVEFEDLAPDGVVYRKTLRLVECSMFAAVTGLVYFLSNSLAIESYFGCFFSLPIVISSIRWGVACGRKQLVATFMLLFVLSGPVKAINFLLTHGILGFTMGALWRLGTDWSVSILLCTIARALGAVAYVLTTSFLIRENILALITKTLHATLSYMSTAVGINAIPSMNFIYSLFGIVCLLCVLAAPLIFSLAYQDGNEAFIEIAKMVGEGYINGNT >OMO75447 pep supercontig:CCACVL1_1.0:contig11038:16574:21682:1 gene:CCACVL1_16168 transcript:OMO75447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRPLSWYKRFPAELQKCPSAEGQNYRKTFKSCRREDMPTDCYGNRDYSIVNKPQPADHRDIYQKFKFYSRHWTMDFSGISVASDGIPPSFLRVFSANTLTAACNFELGEARGLDIELRTRLPEFDFPLSCKTSERVVVGKWKAVKSSKCDEDKPEAADHGNFKFYSRQLTVDFSGKTVASDSAGVIPRLFLGVFKDGKPEDQVAKSTYYEMTLEQRWEQVFACDNIIGDYNEVNVVVVDVKVEREVFRVGGNEKEALRYGSVMGDNGVMWFKSCNKEGKEEDIGLSLAIVERMKWEQER >OMO75448 pep supercontig:CCACVL1_1.0:contig11038:26969:30464:1 gene:CCACVL1_16169 transcript:OMO75448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTRPLSWYKQFPAELAKSPSIDGPNSGILIIQDEEMIEITGCFGLCNYKIKDIQPEELPFPQNKKIDICYTSGSGKDRKTDRDPVFLIPVLDQPLSSNLYYALQTRGSHKGEAFTSSKEEEAVTCCFCCKVFPDIKPQTADHHDMYQQFEICPYSHGSFVAKSVAPDGIPPSFLGRQGWEAVTSTPRNFNLGEAQGVDISLRSRLPEFNYFPISCKNSEPVVVGKWYCPYIFIKDGRPKDQITKSMYYEMTLEQRWEQVFECDKGYNEGNVVLVDVKVEREVFRVGGINENEAILRNERIVVDGVMWFRSCSKLGGEVDIGLSLAIIERMKWEQERFGWKSKEERQERIKRVEEFGNGGIWKKFGCYILVERKFPGELDLAPQAEGPDLGVLVILDEEAEPTCCFGLCKSDFLDDLPFPQNKKIEIRYSTGTGENRRTQRDPAFFVPVLGQPLSSNRYYVLKPRGSHKGKAYTSSAKEDAITCCFFCKCFPDMKPEVADHQNIYQQFEICPPKLGRFVAKSVSPDGVPPIFLRRKGWQAVISTPRNFTLGEAPGLNKALRARLPEFSFPISCKNSELVVVGKWYCPYIFIKDGTPKDQMTKSMYYEMTLEQRWEQVFACDKGYNEGNVVVVDVQVKREVFRVGGINEKEAILRNERIVVDGVMWFRSCSKVGGEVDIGLSLAIIERMKWEQERFGWTRK >OMO87965 pep supercontig:CCACVL1_1.0:contig09147:14198:15533:1 gene:CCACVL1_08633 transcript:OMO87965 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MNKFKRGYGFRPSDEELIEHLRNRALSGIGCDYSVQEITDLGVDICNWDPWDLPGLTNMVTSTDRTWYFFYPITYKYPECKRRKINDGKRKPLINRATKNGKWKPSGDPRKNKYVLGKLMIQSEPITNKSSSKGQQLPPQVHIDFHDQLDDWVQNQSSISEQPNEFASSSPVDNCTTYPEEIRSNRDNFVNDYQVSQVQLPSNLENYVADDAVLQ >OMO87964 pep supercontig:CCACVL1_1.0:contig09147:7413:10264:1 gene:CCACVL1_08632 transcript:OMO87964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPSSLLLPLGSSSAKSHVAVSNGRAQGLIVSCSSSKTRKHSERLNNLVISRRDVMGLLFGVPSILLNSPDAYSAGLPPEEKPKLCDDACEKELENVPMVTTESGLKYKDIKVGSGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQLYIFRVGSGQVIKGLDEGILTMKVGGKRRLYIPGELAFPKGLTSAPGRPRVAPSSPIVFDVSLEYIPGLEDEE >OMO62388 pep supercontig:CCACVL1_1.0:contig13273:879:962:-1 gene:CCACVL1_22851 transcript:OMO62388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIILSHQLTRLKEVKSLKSVGIGCGN >OMO62389 pep supercontig:CCACVL1_1.0:contig13273:14353:14622:1 gene:CCACVL1_22852 transcript:OMO62389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGLSCYGAANEVALLKIWGQWEFRMR >OMO62391 pep supercontig:CCACVL1_1.0:contig13273:20073:21881:-1 gene:CCACVL1_22854 transcript:OMO62391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYPSTFFALLTLFTYFQISISISNEALVNPSCPLNFDILRKLGRNDPGRLNFTSITKQCRNIVEVIHLVQSEYLKMNDYFVPPLTTSEACWDSYKQLIGEFVDGFDIETSCGYRAELISKTCLNITSRAEFESLIPDTKLSNLSYYCSQSLENSLDCGMCKNKLLRLRKLYLDGISDTPGNFSACSWYPNMYTAAFVNQFGPTDRATAKCFFSIEFEQQKPRSTRSRHQNLASSVAIGSFAGLLGAFIAIIFLLIRRYKRNRMEKRNPVERNDSVKDETSLVFGFGLYSRSTGLIKFKIEEIKKATMNFSRSNIVGMGGYGNVYKGKLPDGSEVAIKRFKNCSVAGDANFVHEVEVIASVKHVNLVALRGFCTATVPLEGHQRIIVCDLIHNGSLYDHLFLSGKKKLTWPVRQKIALGTARGLDYLHHGLHPAIIHRDIKASNILLDETFEPKVADFGLAKIKSEGITHLSTRVAGTLGYVAPEYALYGKLTEKSDVYSFGVVLLELLSGKKAYGNNEGKIFRLTDWAWELVQQGNALQVIEQGMPELGLPEVMEQYVLIAVLCSHHDLHVRPTMDQIVKILETGFQNPSVPRLPAVFNS >OMO62390 pep supercontig:CCACVL1_1.0:contig13273:15487:18288:-1 gene:CCACVL1_22853 transcript:OMO62390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MATDQDIENGSANMLQPFIQKNEKLQTSNQNGSLFMVFLSTFVAIMGSFEFGSSNGFTSPTQQAIMEEFGLSFSQFSVFGSILTIGAMAGAITSGRAADLLGRKGTMRMSSVICTAGWLTIYLAQGPLFLDFGRFLTGYAIGVHSYVVPIFIAEITPTHLRGALLTVHQVFIATGLLVSYIIGALVTWRTLALTGMIPCAIMIIGLNFIPESPRWLAMVGYRNEFHDALQMLRGDNADVSEEEAEIQESLAILQHLPKATVMDLFLNRNLRLVIIGVGLMVFQQFCGYNGIVFYSNQIFTSAGVPPNVGSILYACLQIIVLALGALIIDKAGRRPLLMVSAGGMLLGSLLTGTSFYLKEHDIASDLAPVFTLIGIMIDMGSYCLGLGGIPWIVMSEIFPLHIKGIAGSLVTLASWGGSWVISYSFNFLMAWSSYGAFSLFATFCALAIVFVFKLVPETKGRTLEEIQASMN >OMO74949 pep supercontig:CCACVL1_1.0:contig11073:3081:3746:1 gene:CCACVL1_16393 transcript:OMO74949 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MNETTSSTDQWMQFYQQTFDTSFDFSDATTVTTTGSSNNTSFDGGCGGGGGVNQLTPKGCISKPIRRRSRVSKRTPITLLNADAKNFRSLVQKLTGCRRRSTSISFGNPTAKGPVNINFALGSHSDIYSPHANSISQLLTTNDYSPNQSQLILQQEKDEHRDQQQNYQQQLSNSTASEEGECHFSDNYDSITTDDFLLASCLPRTAEIPQGFLMDDMYFLA >OMO91419 pep supercontig:CCACVL1_1.0:contig08348:62123:62323:1 gene:CCACVL1_07124 transcript:OMO91419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESKIDSKIPESETKDVEALPLRPKKRDFPYDPTLRCEKEAVGSHHKKPRFEEDIETKAVEAPPF >OMO91414 pep supercontig:CCACVL1_1.0:contig08348:10873:14213:1 gene:CCACVL1_07119 transcript:OMO91414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MITIPYLTALTTYFSYGLLFAFGQFRDFFRKIFDWWHAKNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSAPDAWFDVVERYSNDNNKTLKRTDKISRCLNLGSYNYLGFAAADEYCTPRVIDSLKRYSASTCSTRVDGGTTTLHKELEECVANFVGKPAAIVFGMGYVTNSAILPVLMGKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEKVLREQIAEGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIVAICKKYKAYVYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKELIQYLKYTCPAHLYATSISPPAAQQIISSIKVILGEDGSSRGAQKLARIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKKNVAVVTVAFPATPLLLARARICISASHTREDLIKALEVISQVGDLVGIKYFPAEPKKQQQGKDTIKLE >OMO91417 pep supercontig:CCACVL1_1.0:contig08348:25881:26420:1 gene:CCACVL1_07122 transcript:OMO91417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQHIEESNEQVDQKGKIMVPAIRRLIEPKTHTNQKERANYKV >OMO91418 pep supercontig:CCACVL1_1.0:contig08348:42752:42880:-1 gene:CCACVL1_07123 transcript:OMO91418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWWPTLEPGWGGRKGSDGWDGAASAVAANGARGPPGRVRL >OMO91420 pep supercontig:CCACVL1_1.0:contig08348:70946:79711:-1 gene:CCACVL1_07125 transcript:OMO91420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MAAFSYQPQAHTHPFLLDSIFFPNVAVSNSPTTPNSTKLVSAASGFMDETGNCFNSNFHCFPQFQELDSDSTLHPAKFHESSCVDHSSKLVAHSDNDNEPSVTKKQSTDDSTVVDKLESGEQVTQNVTLNDHRKRKSSRNGNSAQSKDPKEGKSKKQRKCNVENQKNNEKKESKGDNKKDQKKASDKEPPTGYIHVRARRGQATDSHSLAERVRREKISERMKVLQRLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASVNPMFYDFGVDLEALMVRPDQRVNSSNIAAGTLPCLQQCNPTEGSAFADTTTATFAPAPPNNYPLLDASLLLHQRPNLLFPHPHPHQFLKIDQTPMESRVTVAAINLEGRALQWHINWEKYRSRAQQGEVTWDAFVAALEKRFGDKAKIDAMTELLRLKQTCNVSDFHDKFEYWLGRLEMPENQEVSMFIEAVKPHIQQNTITKPMPFTTKQPPLKEPTKNTKLVRSADFDEKRAKGLCFWCDEKYTPGHKCGKRQLYIVELTEDDEEEQLLEEPQEESDDVVPRISVHALSGEVAKGYKAMRIIVYVGKGPLHILIDSGSTHNFLDVNTAKKLGCKIIKTGPMKISRALENSSECSLVQLNCIKVEGVPAADDGFLLASISASFEAVLPVEIEQLLTICVRPYKYPALQKDVIEAMTKEMLTAGIIQHSSSPFASLPIPVPDGAWKQITMEFVEGLPVSFGKSVIFVVVDKFTKYSHFMTLSHPYTALSVAQELLKLQEVSLHLSTAYHPQTDGQTEVVNRVLETYLRQNSLADRQFHKLAPRYYGPYKVLDKIGAVAYKLDLPSSAKINSVFHVSQLKKKLGFDNMVGSALPIPSDTPSLTPQAVLDRRFVKRRNVASTQV >OMO91416 pep supercontig:CCACVL1_1.0:contig08348:19787:23160:-1 gene:CCACVL1_07121 transcript:OMO91416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 29 MRILQLGLLLALASGSAAILIYITGLTGFSIHNVNHQLSIEDWEALQSLQSDFQKCVSENGLGLQAVSGKDHCQVTLTYPSDTESKWRDPKTGEAEGLSFEFNLCEAVATWEQVKNSTTILTKEFIDALPNGWEEYAWRRINKGVLLNRCKNKALCMEKLSLVLPETPPYVPRQFGRCAVIGNSGDLLKTRFGKEIDTYDVVIRENGAPIENYTEYVGKKSSFRLLNRGSAKALDKVVELDETRQEVLIIKTTIHDIMNQMIRGIPIKNPVYLMLGASFGSAAKGTGLKALEFALSLCDSVDMYGFTVDPGYKEWTRYFSESRKGHTPLHGRAYYQMMECLGLIKIHSPMRADPNRVVKWVPSRSTIVAARIASEKLLRRVGAGSKDLLASCSIIKKQVKRKNFSISRLRKPAVDHQNYVKGTTMYPLEHSPGHGQLCTVPVD >OMO91421 pep supercontig:CCACVL1_1.0:contig08348:80875:80949:-1 gene:CCACVL1_07126 transcript:OMO91421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGQASGFRPNGGKGRRESLCFN >OMO91415 pep supercontig:CCACVL1_1.0:contig08348:17026:17982:-1 gene:CCACVL1_07120 transcript:OMO91415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIQPSDGQINGAGGPLVVGSSETVGSKRQRRPSVRLGDIGGDQPYDSHIRRTSSSSAGGATVKQWKHQSHHSLNPSAAAASTKSSKTRALTNLSADFTTNNNETLDDEREVNNNNSNLDSVTIGSWRVKDFKKRGPATKRVRSNWVSKIDDSSSGGGGNININGSNNNTNNNNVETEDKYSGGEDNDDFDLENSESPMKEQSPLHSLDNLGVDGNEREVLYHGNNQRRPIRTRVSDGVELSGPSDTDIRRCEEDGVRIWLNSLGLGRYAPVFEIHEVDDEVLPLLTLEDLKDMGINAVGSRRKMFCAIQKLGKGFS >OMP09619 pep supercontig:CCACVL1_1.0:contig03116:2238:2427:1 gene:CCACVL1_01054 transcript:OMP09619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NESDSGSTHPTSCMAAAWYAAQV >OMO77992 pep supercontig:CCACVL1_1.0:contig10656:35783:40139:-1 gene:CCACVL1_14717 transcript:OMO77992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLNTSSALGLSRSLASSLISPRPQLYLSSSFTLPTFPSARASLSFRARAYSATVTAMGDAPDAGMDAVQRRLMFEDECILVDENDNVVGHESKYNCHLWEKILTGKMLHRAFSVFLFNTKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELISENSLGVRNAAQRKLLDELGIPAEDVPVDQFTPLSRMLYKAPSDGKWGEHELDYLLFIVRDVNVNPNPDEVADIKYVNRDQLKELLRKADAGEEGLKLSPWFRLVVDNFLFKWWDQVEKGTLKEANDMETIHKLT >OMO77990 pep supercontig:CCACVL1_1.0:contig10656:27645:31431:1 gene:CCACVL1_14715 transcript:OMO77990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALSNLCSKMSLQEDGRQKIVLEQEWFDETEGAMSWFYIIGKLFSKWQQNVEVLQNVMAQASRVVQGFQVKEVGDRLFVFQFKDDIEKDIVLVNQPWNFNKALLALNDYDGFLEPENVIFDTTPFWVRAYGLPLRMMNEKVGIAVGEAMGPVLEVDEDWGLYLRIRIQVNILQSLLDDIVISTPNGDAVVEFYYEQLPDYCWVCGLVDHQETDCPVVVVMRQSQGRVVKKYSRRLKAETLNLRSTRGPSSESSQGSHRRGALGPSVLPVLRARMADNCVPNRDDAIKSTTGIGVDFRNHVDSMLLRGKQVARAVTYEEASCEIISRMEARNVEQVPHLQGNQEKVGNVEGDKAVNRGLMEGDVEESSGETPSTVAAKLLEKNLTCSCSSLQIKKDSTGPIMNQEIPGIGLPALGPLSREVALAEGDMGSTNLGLESDAAAYANPVGNHNTLKASKNLASNVDSYDPSSPFIFGAGSSGVRKVRKRKKAVKVSEEHSCDLLCHEPLMEAGSKRSNVLVMLQESVFGGSNVKRSRESAMDIETHGETGSENDDVAVHAVAGISTDNVEAAEVAEDHLCRGK >OMO77989 pep supercontig:CCACVL1_1.0:contig10656:13475:13537:-1 gene:CCACVL1_14714 transcript:OMO77989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPTTTNTAEPVAVAASSS >OMO77991 pep supercontig:CCACVL1_1.0:contig10656:32055:32519:1 gene:CCACVL1_14716 transcript:OMO77991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVSRNEFRAAVLESWESDPSRDVIKKITNCSKALEAWNKESFGDIRHRIAETTRDCTTAFVNNLDSANQLQRDLNLLCNQEEIMWRQRSKAFWLRDGNRNTRFFHSVASNRRRRNEITGLKNAAGDWCSDATGIERILVDYFKEILTTSTLLW >OMO99435 pep supercontig:CCACVL1_1.0:contig06900:7885:8496:-1 gene:CCACVL1_03805 transcript:OMO99435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNEFTVLRRTPLMIAAMYGSKVVMECLLRSDGVNNNRRSTSDQANSIELAYDAKPSDEFIRRLVAACRGQGEIQVYEVESANWMPKWKLFPALMMFDYKTIACKLEVEDHDLENCPHLHDGDDVRRDLRDCYYCSKACSFYHVHGHCNRDCTFAHGNIEELYHPFRYLTMLSRMSMDAWESFVLILTVTICGIILQNTVKY >OMP09355 pep supercontig:CCACVL1_1.0:contig03238:654:716:1 gene:CCACVL1_01064 transcript:OMP09355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EHPLMEKKEDESRSFELISV >OMO61164 pep supercontig:CCACVL1_1.0:contig13575:38682:44589:1 gene:CCACVL1_23720 transcript:OMO61164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MRERGRSRVRADDFFVNKGFRRRSRYWKNGGRDTGDRSRWATQAVTKMMNFRQKQAWIPKHRPRSDFSRNSEWKSKLYSVYVGGLGSDVSLGALWKSFSTFGVVVDAYIPPCKSGLARVLAMVSSGIGGNRKLKRQWILVTILKFLDTLFQFLLLIKLHLILHNVKDSAKLESRSFKEVLCGQKRDESIQRCVKRSIEQEPPSNPEPSSNHVSSLVPLLLNIDIPKEDMEWLNRSVVGKSYRGVNCNEIKEELSKEGLLVQVAPLSNLKTLITFPSLSSMEVSLKKHVDTFVRFFDESHASALSKTSFKAAWILVEVESKGDIPNVISGNVSNIPFKIICSSFMAAQSSFLEDKTSGRESSNENQFRYSSPSSVNSLGTGKAISGSYNNLYDNVEGVNDRSANISLEKEECNVESPMLVNSCPKVELVDNQLVVPESNSNSGPPSASVRPWVTVRRSNRGKNKNLANIPIVSFDANLGSNSSTCQSPNRHTIDPLKDRIDETLRLCYQLGVEFDEDSELIRQEIGLIHGPRNVFRLLWGKGVIHGTFSDVIGKAGGLISIWKDDFFQMDSSIIYNRFLIILGTIKAINLKCAFVNIYAPNNDDERQSFFEDLSNNLSGLEVPICLCGDFNVVRYAEERSGPVSNSTALRTFNDFIEDWAFVDLPLSGSSFTWFKNSTPLSFSRIDRFLFSSHFLLAFPNISQKALPRSISDHNPIVLGCKVVDWGPKPFKIFNHWSEDDEFKPLVNSVWINNQNLDLWEKLKSIKPVVKSWASSKFGNLSTSIRDLESDIQQIENLLAANGDNSDLRNKLYKHKENLWKLMRAEERAIQQKSRMNWLNNGDRNSRYFHQMVALRNAQNNISSIKHNDLTLNDPAIDVSDGNKSPGPDGFNLQFFKNNWAVLKADLLKIFNDFFLSGRFDRKINSSFIALTAKCAAPSSTTDYRPISLVGSIYKIIAKVLARRLSQPLSALVNGVPVGFFGMQRGLRQGCPISPYLFCTVGQMLNFIFENALNLNLFRGVQVGNSSLSISHLQLYGIGVDESLLQNCANVVGCKIDHLPSVYLGLLIGARPSSVSIWRSVVERFLSRLSSWKAHHLSIAGRITLIKSVLNSLPLYFMSLFPLPVTVKTELDTRDTFYGVDLLIKRNFIGLTGIKSASPRKMEAWTLWILILKTEGCLKNGFGALVTNTIPCGPKLLWKKLIDIIRVRLAWWAKAKWPAMPYPVSDIIRCPENITSLRAKNGDRRKRVVSPWIKPPIGYLKFNVDGSARGKPGPGGIGGILRDHEGNCMVEFSKSVGRVDSNEAEFCVIREAILIYSASPWALSHPLIIESDSLNACGWINNPSGVPWKLRNISMNIDQLKTKIASWSIDNVPREQNSEADALAKSGVDRDLDYLNFS >OMO61163 pep supercontig:CCACVL1_1.0:contig13575:19872:27099:-1 gene:CCACVL1_23719 transcript:OMO61163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MLTLSGHFDIVSFPFLYVSQCPKAERDAYKKNQYDALDVTCLMLATMSPDLQKHHEEMDALDMIKHLKQLHQGQARQERFDVSKALFGCKMDDGTPVGTLVLKMIGYGKKKAKGKFKPKVNDNKAAGKSKRIVATLKPKGGMTKEGSCFHCGETDHWKRNCKVYLEELKKEKKGSETSTSGLKRSRTLAKGDMDLRFGNGARVAALTILLGVKMGMIQGQQKPTKHTQGLLWTKPTPGNAMGAAAWRQKRKESPLIILLEGATMLGGCSLTQKRSHKLLRNKILLKKHSHCVVAMFKSLRILLAIAAFYDYEIWQMDVKTTFLNGLHEDVYMTQPECFVTPQNAGKVCKLQRSINGLKQASRSWNLCFNDAVKEFSFIKNKDEPCVYKKVSGSTIVFLVLYVYDIRLIGNDIPTLKSVKTWLGKCFFMKDLGEANYVLGVKIYRDRSRRLLGLSQSTYIDKVLKRFSMQDSKKGFTPMTHGITLSKEQCPVTQKERERITQIPYASAIDLSCMPCYVLALMFHMP >OMO54005 pep supercontig:CCACVL1_1.0:contig15069:10840:19492:-1 gene:CCACVL1_28144 transcript:OMO54005 gene_biotype:protein_coding transcript_biotype:protein_coding description:DeoxyUTP pyrophosphatase MLVFKSLRLSHPSVRFPFSRSLTMAQADQLNNSPEIKEPSPKVPKLSQNGVHEVAQGPVSLLKVKKLSEKAVLPTRGSPLAAGFDLSSATDTKVPARGKALVPTDLSISVPEGTYGRVAPRSGLASKHSLDVGAGVIDADYRGPLGVILFNHSDVDFEVKVGDRIAQLIIEKIMIPDVLEVDDLDSTARGAGGFGSTVSPESMPEKPLDFRAPPPSPIASGRRSCVNDEVLSEFLEHSLRVPDLILPDKVFPKQKFIENPPKIDFQLLNSMELSDSVPKVLDSIAKIGCFQLVNYGIPGESIRSALAAAAGIFQLPPEKRKAVTRSPEKLYGFEEVHGEEEGEEFLWCRSESLKLEMEGIWPLGYSKFSEKMEILLSDIEKVAEKILFVIKESSAKLSGYENEMMQLGQDGLGSACYLYKHSHNVSTDQQWNNNESLSYDVIRMLIRGIDYSHALCLYICDGSSEFHVYSKKGWVSFCPEKDALVITIGDQTQALSGGQFKFRCFVLSDMDDKDPWDIVSEFTLAEIMEMENIYKEIGEETLDKEFCQNLATDFSCSKNRVGKAPITWQQVQLWFQDKQMETEIKQVSSPMALELFVDLSSAGENLRSPPERVQRPKGKVEDIKELSYEAKSSKDYAWYDVESFLNYRVLSTGELEVRVRFAGFDKAEDEWINVETELRERSIPLEPSECDMVKIGDLVLCYQEREHHQVYCDAHVVDVLKRSHDSKRCTCHFFVCYDHDYSEV >OMO54004 pep supercontig:CCACVL1_1.0:contig15069:4691:10126:1 gene:CCACVL1_28143 transcript:OMO54004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLRSGARRGRAAAPKKQKQQNPIEPGEAIATRTRRRRKAAEAAAAEAATAPVPNSNNNNADDENKNKSKNRKQRVNEKLAVPAAGAAAVKGEEEEEKNRVLEEGERAEKEEVGEKPMDEFNSQGGPSNDKGNAAGEDDGGTTPLPEKVQVGGSPTYKIERKLGKGGFGQVCVGRRVSAVNTNDRNGAGALEVALKFEHRSSKGCNYGPPHEWQVYNNLGGSHGIPRVHYKGRQGDYYVMVMDILGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPPGTPDEKRLFLVDLGLATKWRDNSGSHVEYDQRPDVFRGTVRYASVHAHLGRTCSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMSTSPESLCCFCPLPFKQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPLNTEGAQKLIFQVGQKRGRLTMDEEEDEQPKKKVRMGMPATQWISIYNARRPMKQRYHYNVADTRLAQHIEKGNEDGLFISCVASCQNLWALIMDAGTGFTAQVYELSPYFLHKEWIMEQWEKNYYISAIAGATNGGSLVIMSKGTQYLQQSYKVSESFPFKWINKKWREGFYVTSMATSGSRWGVVMSRGAPFSDQFHKLPPFYCLGIPGDIVEGVGLPNSLPAVYTLKTAGTPYRNLQYSEVARCRLREILTSTGFN >OMO58788 pep supercontig:CCACVL1_1.0:contig14151:13747:15079:-1 gene:CCACVL1_25354 transcript:OMO58788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTTFCGSGNGLKKGAWTAEEDRKLIAYVQEHGEGSWRNVPEGAGLQRCGKSCRLRWANYLRPGIRRGDFTSDEEETIIQLQAKLGNRWSAIAKHLPRRTDNEIKNFWNAHLKKRLATMTDHHDPLPSPSSNENSNIANPPIAHTESVSATQESDQQPKTMSSRPTSASALLLNKLASKVTTLQCVDGLRLRACPSSRNMQSMSSSSTSDIWPDDVLSSERSIEYNAAESNMEYPLSDSHVFSNILPAEITINTESESSDVRGFSNTWAPSLSNMGSKLFAWFNYMDGLDDCNDNLPLHGPDQFGDGEVWGWGLDEFGMIYGSISINCTVT >OMO58789 pep supercontig:CCACVL1_1.0:contig14151:30072:32487:1 gene:CCACVL1_25355 transcript:OMO58789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MANNEVAKTLRELAAPDVTTQNLTIQYPTTTENFEIKSCFIQILPKFHGLSGEDPHRHLIDFQVACSSTNIQGNFQGGPNDFQRPIYQPPSQALEMQNMKETMEMMMKQMSQLASDMNELKSQSQNRIPSQPKTLPKENVNAITLRSGKELEEPYSTKQTFEGETSNAKEDLQALEKQIKLEKDEPIIVEDIKDDDKDQDPIVKPKLDSQVQAPFPTRLKNSNKEDEDQDIFDIFRKVEINISILDAIQQRPNCARFLKQLCTNKKRLQGKVSVGAYVSDILRKNLPPKCKDPGTFSITCSIGDSIIENAMIDLGASLSVMPYSFYKTLNIGSLKNTDVVLQLADGSLVHPNGVLENVLIKVQHLVFPIDIFVLEMEEGISNNQCPLLTPSKLPLNTNDFVYVVRKQLVKKGVREESSKIDEKIAMGRQKIQDIRQRKNESFHQYWKSFKKLCEEYPQHGFEIGLLIQYFYCGLGVDDQLLVDCINHTPLCDRTPSDAYLALKALAQAIAPPNSRKECDKTKIEVNK >OMP09197 pep supercontig:CCACVL1_1.0:contig03338:1023:1115:-1 gene:CCACVL1_01072 transcript:OMP09197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DHFSFLGGNPYIVTAAKKVIASSAKTISFIW >OMO87303 pep supercontig:CCACVL1_1.0:contig09252:232:19347:1 gene:CCACVL1_09138 transcript:OMO87303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFDERLVNKLGQLPEFEKSHCIDADVIVKESATTVLSSSSFFAVTLALSLDSLTDKDFYVN >OMO67803 pep supercontig:CCACVL1_1.0:contig12384:1509:4642:-1 gene:CCACVL1_20303 transcript:OMO67803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMFPNCRGLCLAAGGGCAEVLYFSDSSNTRPFPDYSPKKPTIRDSDLVRQISVAIKARRSQPLFCVLKPYQLKFRSDHLIWVLMNIKGDYGLVLDFFEWACLHRGPTLEARCIIVQIVVASKDTEMARQLIHDFWLKPKADVGQSFCRFVECLIYTYKDWGSDPNVFDIFFQVLVEAGMLDEARKLFDKMLNYGLIISVDSCNAYLNQLKDNFDGLCRVIKVFIELREVGACWNTASYNIIMHSLCQQGKNKEAHRLLLHMELKGCIPDVVSYSTIVNGYCHVGKLQKVLRLIEEMQKKELKPNLYTYNNIIYLLCKNDKVVETELVLREMMNQETKPDNVIFTTLIDGFCKLGNISSADRLLNEMHARKIIPDLLTYTSIISGFCRIEKMTEASNVFQEMLGIGLKPDEVTYMSKRPGPGDPTETTLERRSRH >OMO67804 pep supercontig:CCACVL1_1.0:contig12384:11742:11804:1 gene:CCACVL1_20304 transcript:OMO67804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEIDGGGGNELKKRAAIR >OMO62447 pep supercontig:CCACVL1_1.0:contig13264:24855:31502:1 gene:CCACVL1_22824 transcript:OMO62447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MSNITVCARFRPLSSKEKRDHGANICIQSVDSESFIIKDEKDEGFTFSFDKVFYEKSKQADVYEFLVLPIVRDSLNAINGTIIAYGQTGAGKTYSMEGLNILESDEEKKGILPRVVDGLFACIRSSDESTKYSIKLSMVEIYMEKVRDLFDLSKDNIQIKESKTQGILLSGATEISLLDPSEALQSLSSGIANRAVGETQMNMASSRSHCLYMFTVHQESTIEKRVKFGKLVLVDLAGSEKVEKTGAEGRILEEAKTINKSLSALGNVINALTRGSLAKANHIPYRDSKLTRILQDSLGGSSRTALLCCCSPSPSNVSESLSSLRFGARAKHIKTSPFVKGDEEKSSKKSEAPSATRDHASYEKILEKMGERLNAEDVKLLEELFIQAGLFDDLNTTEDLESAFQDVVQQTISSLMQAVEELRFTVDKLERENKALKERLAVAEMFNALPDNGANSVTFNYPAVFNFGDSNSDTGELVAALGDFLDLPNGQTYFKTPSGRFCNGRLIVDFLMDAMDLPFLNAYLDSIGAPSFRKGCNFAAAGSTINPPTAQAVSPFPIGVQVAQFLRFKARVLELLAKGNKLNKYLPAEDYFQKALYMFDIGQNDLAGSFYSKTFDQVLAYIPAVLTEFENAIKTLYDQGARNFWIHNTAPLGCLAQNVAKFGTDPSSLDEQGCVSKHNQAAKVFNLQLHALVKKWQGQYTDSNFTYVDIYTIKSNLIANYSKLGKQNCHSLV >OMO62452 pep supercontig:CCACVL1_1.0:contig13264:72955:74882:-1 gene:CCACVL1_22829 transcript:OMO62452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MQENHHNRHNNHHDHRLLPKRIILLRHGESQGNLDTSAYSTIPDHKIPLTEQGLAQARLAGSRLRDLASANGSSRDWRVYFYVSPYERTRSTLREIGKSFSRKRVIGVREECRIREQDFGNFQVEERMKVIKETREKFGRFFYRFPEGESAADVFDRVSSFLESLWRDIDLNRLHNEPSQDLNLIIISHGLALRVFLMKWFKWTVEQFELLNNLGNCGIRVMELGQSGEYSLAIHHTDEEMLEWGLTPEMIEDQKWRINASKTNCNDHCSCYLSSFFDPSLDSDDDNVTNTDIDSK >OMO62455 pep supercontig:CCACVL1_1.0:contig13264:103030:103704:-1 gene:CCACVL1_22832 transcript:OMO62455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKAALPQSAHKALPGSANLANLLPTGTVFAFQAIIPSFSNNGKCELAHRYMTLAIIILCSLACFLSSFTDSFVGEDGKLYYGIATFNGLYIFNDDYDDDLDLESNKEAKEILKKYRFTAIDLVHAFCSLTLFLVIACSNSDVQSCYFPKPGPNCNALMTNLPLAAGILASGLFMLFPTKRRGIGYADHKPDCGNRKEEKQLKEALSTKKLADKDKDSLPEPK >OMO62454 pep supercontig:CCACVL1_1.0:contig13264:94798:95682:-1 gene:CCACVL1_22831 transcript:OMO62454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSSPPSKCGLLGLIANETPRVPNVIESSSVIIKPTTNSTPPPPRPPSPLPFPPSRKKDPGGIGFIDDIGGGVDGLMSCTESLGFESCDERRVDDDDGDHHDDDDHCHEKMMGIISEGKSSRDAWRKKRSEEKKERNNKKFPPPLSSLNQNGQPCFYLKPVRENGRLELTEVRIQRPEVLRAVRQNGRLRLHLVSSDDNGDFSIINEEEEDQEEIHQLEITKQQELQLQEEVKVQEEEQKVEEIWNYRVNNNGEGFRRCHELVMSHHHHLPNINDHHHHHHSLHVWRQPCVTR >OMO62446 pep supercontig:CCACVL1_1.0:contig13264:21619:22302:1 gene:CCACVL1_22823 transcript:OMO62446 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein binding protein MLSSPTPTICYLTSCQVSDTHCPEDHFWPFKMLEINVKATFIASPETEEEEEKEGKNLFFKSAAGRSKRVDVHEESHKLPLDQLLNNVVSRDWEDTSIWDVFDSMQIPIEPRTLDKIVGYALDMAARECHRQVLRLQVEIETLVDYYELDRFEELEDVYCIDVEAEDFWETVEAFRKLRRTMVVVEEDDDQSKNNLCSICLGELLVGSAVSVTLALMFFMIVALGLG >OMO62443 pep supercontig:CCACVL1_1.0:contig13264:3385:4482:1 gene:CCACVL1_22819 transcript:OMO62443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGVSSGEVNNATSNDQFSDSISTTHHPANKRKRFGSNGGGGASRFKGVMRQKNGQCGAQLYANHDRIWLGTFKSETDAAMAYDSAAIKFRSADTHRNFPWTEITLQEPKFQSLHSPKAVLAMIRDGSYQYKFMDFLKVQSRTEKVELDFNTVGTYCNNGVTCKQLFQKELTPSDVGKLNRLVIPKKYALKYFPPIVGTENVHAEDGSRIPKDAELVFYDKGMRLWKFRYCYWHSSQSFVFTRGWNKFLKEKELKASDVISFFICESKKDQQVRRFCMIDVNKDGNDESLIQAANMQVGRETDLQLRFGNGFGFDGENVKDAVKQVPELMELLPTQETEVMAAEVKPGLDSKGFKLFGIQISTH >OMO62444 pep supercontig:CCACVL1_1.0:contig13264:6741:6839:1 gene:CCACVL1_22820 transcript:OMO62444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFDQNPKQPLHTSSCIRLGRLLDQQGNFVP >OMO62453 pep supercontig:CCACVL1_1.0:contig13264:77475:93785:1 gene:CCACVL1_22830 transcript:OMO62453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bud13 MGKFLTGFVLPLLLLTAALLNWSLISLVDLVAFFFIQYSAPKIGFRFQRQSLISWYTLIFSSLTFLSHAIFHIVWIVKGDQWSISDTRWAKLIGFLSDQSSSFPSVTYFLVVQVLAAFVALFEICGSRFGMDLERDSFRGHLYSFILQIGSNLRVLCCLLLPAVQLVVGISHPSWVSLPFFICSSIGLVDWSLTSNFLGLFRSWRYLLVYAGLNIVLLYVYQLPIEFSGIVQGLATFIGLYKISARSEWSEVCSGLSLLLFYIILSWIRCDLVEMEFIISMRTGSLSEQLLPSKHSFFIRESRSGVRHTNILLRGPILRTFGINFFTYGFPISFLTLSFWSFYFASLCAFGLIAYVGYILYVFPSMYNLHRLNGLLLVFILLWAVSTYIFNIAFTVLNKGKWQDMNIWETIGLWHYPIPGFYLLSQFGLGVLVALVNLVNNSVFLYLSERDGPSLSDDSTLDEIEETKALIVATIVWGLRKTSRAMALLLLFLISLNPGLIHALYMVFFLMFLLSYDVTRNTRKCLILLCEAHFTLLYALQLNLISTALEKEGSIAARILSQLGSFTQANTGDLLKIALLACFSAIHNHGFEMLLSFSAIVQHTPRPPIGFSILRAGLLKSVILSVYTRGSRQNQEIHFSHERKIGSYLNVIGQKFLTIYRSCGTYIVILTILLALYNVRPNYASFGYLFFLLLWMTGRQLAGKTIRRLWLPLKIYAVAVFVFIYGLSVFSSLRERLSRKVDLSSAFGYDPEASMLKNVWESLAVLIVMQLFSYERRQSKYFKPRNDVPEVGACTFLRRLLIWHREKMLYIAVFYASLSPISVFGFLYLFGLVICSITSKTYHIPSKLFLAYSALLVMVEYPFQMWGSHAEMFPGQKHSSVSFFLGLQVYEPGFLGVESGLRGKMLVIVACLLQYNVSRWLEKMPCNFENGECWEDTCALFISTESSPNNSPNCTRERKWLHANPLLGKGIKERSNSCPSLNTVFPHGMDPIGGNEGRKHSERCLKESSKDNKWYRKQIHVLRKQRLAMQKASLKVYVKFWIENMFSTFGLEINMIVLLLASFAVLNAISLLYIASLAACILLSRQVTRKLWPMFVFLFASVFTLEYLAIWLNLTSWKHGSQAKAEVLCKDCWRSSDLYFDYCKNCWLGIMVDDPRMLISYYAVFMFSCLKLHADRFSSLSRFQTYMQMLSHSKYASGLIDLSFETKILWTFFDYLRLYSYCHLLDLVLALILITGTLEYDILHLGYLGFALVFFRLRLQILKKKNSIFNIMRVYNFALIVLSLAYQSPFVGDFCEGNCEMMDRISKVIGLHKFGFQVTSRYALVEIVIFMLVGLQSYMFSSLEFDYVSKYLEAEQFGALVREHEKRAAWKTAQLQHIRNTEEQKRMRNSQVEKIKAEMLNMQIHLHSMSTSNGGNTFPEGKRKRIPSVNSDLAQASLNTRDADFKKNDRNISFDLLLTHDISESPRVESPKQSTDSLFEITDLKDIAISEVTPPEKSQASRNPLKSAVQLIGDGVSHVQSLGNLAVMNLVSLLNIEHENPDLADNFSDDEVFYEIENQDFGSEHIEQLQSPLSDSDRNMSNVGCLQIRIIFHYIWDQMRSNNDVVCYCCFILIFLWNFSLLSMGYLAALFLYALCVNTGPSNMFWVLMLMYTELCILLQYLYQIIIQHFGMIFEARLLWEWGFPAHKIMSSFVISHWPLFLVYLFTLLQSAITARNSEWTVATEFWFTTKSFCKETVAYNFGLIKRIKGLLLHVANVIEVLIRNLYRYWKCLTQGAETPPYFVQLSMEVNLPPEDSIPPENIESKMNKLLKIMHARRCRENLNDYPASRVRVQSIERSPENVNVALAVFEVLNASPTGKSSCKEWKRSLTPAADVANEILAAYHAGIFKEIGFPYMILSVIGGGKKDIDLYAYVFCADLVVFFLVAIFYQSIIKNSSEFLEVYQHEDQFPKEFVFILMVIFFLIVLDRIIYLCSFATVKVLSYLFTLALLTYSVTNYAWHMEPSDKHAGKFALRAIYLTKLISMALQAIQIRFGIPHESNLYRQFLTSSISQTNFLGFRIYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHGSLFLVKCDAELNRAKHQQGQKQTKKTKFCNGICLFFILICVIWAPMLMYSSGNPTNIANPIREASVRIDIRTSSGRLTLFETTLCEKISWTDVERHINLDPQGYLDNYNEVDIQLICCQPDASNLWLVPPVVLARYIQSLHWNMDIVFSWQFTRDRPRGKEVVQHQLLVADQDLPTSAEVVQVLNGTANSFRMFDIYPRYFRVTGSGDVRFLEQVVDLVSGDLILNRGNPEWWSFYDLGATNVTGCGEFAGPMAIIVSEETPQGILGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIQFENLPSCNRLLAICEDLYAARAEGELEVEEVLYWTLVRKTMAASISMREYLKRYTSNDGEEEQKKTKKKKKIKTKPESSGVLVVDEDPVWQKPVKLEEEESPDENPVVNEDIEVKRMKRLEQIRLRRGYNTIAEDGSGWVSLSPKHANTMDLNSDISPPRRHRAQNDTPSPEPGLRHSNSQREVEDLSPPRKLRSPSSKSDLSPPRKSRTRHDTPPAREDADLSPPRRKASPPRKTRTRHDTPPAREDADLSPPRRKASPPRKTRTRHDTPPAREDADLSPPRRKASPPRKTRTRHDTPPAREDADLSPPRRKAAQTGSLENRVKAEREGTDLSPPRKRRVRNDTPSPEPVMNRADTDLSPPRKRSARNDTASPERSAKPLRKDADLSPPRRRSRRHRTSSPEPDMSPPRRSRPQTSEAVHVPDLSPPRKSMKESTVPAAMKERRKTGLISGREMGEEISKTKKDDWLKFKEMDPSISGRGAEPVFRDKAKGERISKEEYLKSKQKVEDKPKEKVLEWGKGLAQKREAEAKLQELEREKVKPFARTRDDPELDNMLKERLRFGDPMAHLVKKKLPEPVLRDLGDAEKMKESGFMIPQDIPAHSWIRRKLDAAPNRYNIRPGRHWDGVDRSTGFEKKMFTKLNEKRATEREAYLWSVSDM >OMO62445 pep supercontig:CCACVL1_1.0:contig13264:16140:19559:-1 gene:CCACVL1_22822 transcript:OMO62445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MAEQELEPTASPGIHLISAFLAMETTDTLLCLAREFGGGKVTEEVQRFIWDHCLTKNVGTGNKSYLKKFVKKLITEIESSHDNVLDELYEQYASYMTSLKDTNMVKENERVCKCISFLFPGDCIELSSCPTSRKLLIPLQCSLNMLEGDTGCSIWPSSLFLSELILSYPHIFSGKSCFEVGSGVGLIGICLSHIKASKVRLSDGDSSTLANMKLNLEMNHLNTETDLPEISTGNSNLVKCIHLPWESASEKELNELMPEIILGADVIYNPSCLPHLVQLLAILLNKKKSCDNNYEGSPQNYLPELTSVDGKASNASQGQVLNADAYEFNTNDATSTSLGSRADPVAYIASVIRNIDTFNHFLSLADQADLTITDLTDLVM >OMO62449 pep supercontig:CCACVL1_1.0:contig13264:36134:44479:1 gene:CCACVL1_22826 transcript:OMO62449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Na+/H+ exchanger MALVLSLSLQSPNPPQNPKLNTSIPTTILKSLSTRRQLIFNTSSFCFIFLTQHNPVPQSLAESSAPSKPALNIANTKSWFQFYGDGFAIRVPPEFEDIMEPEDFNAGATLYGDKVKPRTFAARFASTDGSEVLSVVIRPTNQLKITFLEAQDITDLGSIKDAAKIFVPGGSTLYNARTIKIKEDEGFKTYYFYEFGREEQHIALMAAVNSGKAIIAGATAPQSKWDDEGHLLEENRWANESITALLLGLCAGAVVLLVCKGSSSQILVFSEDLFFLYLLPPIIFNAGFQVKKKQFFKNFTIILMFGIVGTVISFCLISLGAFLLFKRIGVTSLNTQDYLAVGAILSATDSVCTLQVLSQDETPFLYSVVFGEGVVNDATSIVLFNSVQSIDFNNIDAIISLKLLGTFLYLFFSSTFLGIVVGLFSAFIIKTLYFGRHSTDREVALMMIMAYLSYMLAELLNLSGILTIFFCGIVMSHYTWHNVTESSRVTTKHAFATISFIAETFIFLYVGMDALDIDKWKASSASAGTSVAVSSTLFALVLIGRAAFVYPLANFMNCIRKRDTSKIELRQQFSDSEDSSTDSALMITSTIIVVLFSTVVFGSITKPLIEAVLLRHAKLNISDATDSPSLDDLRITFIENGEPSYLGENQPEAMPKRSSLRLLMTHPTWTVHYFWRKFDDRFMRPVFGGRGFVPFVPGSPTVRRGGTKSGGESHDQRELGRTRVMDLVVQIERVRSRNSEQEILY >OMO62448 pep supercontig:CCACVL1_1.0:contig13264:34179:35719:-1 gene:CCACVL1_22825 transcript:OMO62448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METILSSHSLSPILNPKSATTCKNILSPSSVQPRSASLFLSKPFTCCSLKKTNSQSVKPSLAEEPKNWFVHAQQGLAALAISLALNFSPVLHSGNALASEFDVLNEGPPKDSYLVDDANVLSKVTKSDLKQLLSDLESRKNYHINFITVRKLTSKADAFEYADQVLERWYPTLEEGSNKGIVVLVTSQKEGAVTGGPKFVEAVGEKILDATVSENLPVLATEERYNEAIISSAKRLAAAIDGLPDPGGPLVKDNKRESNFKTREETEEKRGQFSLVVGGLLVIAFVVPMAQYYAYVSRK >OMO62451 pep supercontig:CCACVL1_1.0:contig13264:58383:71486:1 gene:CCACVL1_22828 transcript:OMO62451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRRLNTIRSVYRTLEHQQSSPFLLRSSRSYSTGFSNVPQFYSQGTSSCLYKTDIGVLPWTSRNTMTLRSTMAAELSIFLDEKRSLSTQAKAPAQAKMTPLQVSMSSPGFVYEPYVPSEPISFWKRYFTRSGWRRTKEDIKSELKSAYAIAKLRRSGYSKPQFYKEATEMFKEIHTLIVNGNKTQLRKLVTENMFSELKNEIKRRETDFHWSKVYWELVEPVVKIRTLRARLIGVDKNDMNKAFIQLTLEFLTKQKFEAYDPKGAAVAGDKTKEVLVRDIWVFEKSMFHPGAYWRLCSRIRLLYNFIINCLATYATKSVTLGGDGSSHPAPAPAQLSSPPFEDTANLLDKVEPDDSTHQHTQVEEEKNEEETAMAAIDATTQAQPPKKMVSINDTVEELVASKKKSKKKKGSEKMGSFDQDRDEPKPLKSILKVGSKLDEDSFANNNLGTTLGFPMVRPRKQQKPQRASGFVENAPRKVEEEQDNRKTTVKKPKQQQPKKGKKKVVENIDGKGKEEDERLTPALRVLFVGSRNLYPKMSEEEIAARCPVCRDNCNCKACLRMDRRFYSHNAEKLRYRPRSDEEKLQHSKYLLQTLLPFLKQFSQEQTMEKMMEARIQGLLPSDIKLKQAVNQGERVYCNNCKTSIVDYHRTCPKCNYELCLICCREIRDSHLQGGEKEVTVQYVDRGLQYLHGELGRSVVAERERNLDSPVKPNSSEHEGVVCQKGKRKRKNIEHKGVGSVWKANENGSIPCPPKHMGGCGKGLLGLRCMFSENAVVELVENSERIVRDLNLEILPEITNEQCPCANSTAKVHLRKAASREGSNDNYLYCPAAVDIQDGNLNHFQCHWARGEPVIVTEVLENTFGLSWEPMVMWRAFRQISNTKHGLPLTDVAAIDCLDWCEVRFLLIAIFQCDTELKCMTSDGNYFIYLFFFLASFIWEITISINSLKGIQMVALIKKEYTDSQSGFLNLATKFPEISLKPDMGPKTYIAYGVAQELGCGDSVTKLHCDMSDAVYVLMHTAEVKLNPQQLDNIKKLKQKHHVQDQIELFGMDLMIDREEPSDESCDTAGAIWDIFRRQDVPKLKAYLKNHFKEFRHCSPVLQVVDPIHDQTLFLTSGHKEKLKKEYGIEPWTFIQNVGEAVFIPAGCPYQVRNIKSCMKVALDFVSPENTGECARLTEEFRLLPHGHIGKEDKLEVILHLFQQ >OMO62450 pep supercontig:CCACVL1_1.0:contig13264:46629:54049:1 gene:CCACVL1_22827 transcript:OMO62450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIRMNTVDVVAEISCFRNLIGMRCSNVYDLNKKTYVIKLSNSSGITEFGESEKSDSDCDCDSDSDSDSDSDSDSDSDSDGGEENSSKNGVAVPRLTCNTCDTKFDSLLDQRSHFKSEIHRFHVKLKIAEKDSVEKEDLDELKTDPRNDAHKSSIGNFRQKLFIRLKTGERVSIWKSLILDASERVSYEKNKNQEVGNLREIEVIERLKASIQEDRDNLRIVLLSTGGHFAGCVFDRNCVVAQKTFHRYVVRAKAGKKQSSKDGTGKAAHSAGAALWQYNERALNKEVQELLAAWKPYFDASSCVFIHAPSSNRRMLFDGDKPRFSHRFCSVGNVPLTVGRPTIKEAKCVYSLLTQVSFEVEESETPAGTEEDLSSSSSSTDDDASGNPLIIGKSAASNQWQTLAYFLSHTSQFFS >OMP07081 pep supercontig:CCACVL1_1.0:contig04685:449:691:-1 gene:CCACVL1_01380 transcript:OMP07081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLGLLSGEPKYPETVIPFRLTSSSTYVSSTSSPDSVKSRPETRLGNRKETSSSSGTGTLSLLTAPLDSEQLSSSDYGKT >OMO55422 pep supercontig:CCACVL1_1.0:contig14705:8326:10414:1 gene:CCACVL1_27250 transcript:OMO55422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MEVINRYIAIKTHVDGAPEESDFELKTSPIALSLAPASNEVIVKNLYVSIDPYQINRMKSYSSSQKNSEFAVGIVPGEAIDTYGVGKVVASGNPEFEKGDIVGGLVSWGEYSVIKPGGMLNKLNPMGFPLSYHVGVLAFSGLTAYAGFFEICKPKKGEKVFVSAASGSVGNLVGQYAKLFGCYVVGCAGSQDKVAFLKEKLGFDDAFNYKEAKDLKSTLKRYFPDGIDIYFDNVGGEMQEAAVDNMNINGRVAVCGVISEYTDDEKRAAPSMMDVIYKRIKIQGFLAGDYLNKFADFFTTTCDHLRTGDIKPLEDISEGVESIPSAFISLFRGQNVGKKMVKIAEE >OMO59586 pep supercontig:CCACVL1_1.0:contig13920:69333:70178:-1 gene:CCACVL1_24744 transcript:OMO59586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSAFFILLLSSLVLSITEAFDANYPPLKCGHGALDISFPFRLKTHQPQHFDLFCRDNSTMIHFPAYGDLVVKSISYDTRKLNLLDPKNCVHEVFLNLNLSLTPFHYYYVVKKYTYLNCSASLGPSVREIPCLSGSQHHVYTVESPASVPDYCRAIKTVAIPFAYSSYLSDNSFGLGLTWEELPERDHSGFRSKTVLIIVGMVLIAAAMLISMKILYSKRLDSQGDKESQFSEDLLPQHQGC >OMO59583 pep supercontig:CCACVL1_1.0:contig13920:38478:40808:-1 gene:CCACVL1_24741 transcript:OMO59583 gene_biotype:protein_coding transcript_biotype:protein_coding description:disease resistance RPP13-like protein 4-like protein MSSPNVDNDSDPPLVKDIQNITEKIIEEITKWRDSVQVEDDGNAHSPQQTTASAANNSSAATNQDGGAKQEEDHVTGQQHDKKEVDDVNAVSDQKKKQVPSDKKETKKESNKKLIKRELNTLCNELVSMLSSEKVKTFSDNLSLPPLVENLNNIFKDLPRVTDTELPKQVPINIRMLRNNIARVQIQILLQHQAANANSDANRRWKKTVATSEADDLPRLYNEPLFESSYYFKEIKEKYNQLDIRHKICLLCFAVFPESAEIKKRLLRFWWVGENLVPPEEGKDEMKIVSDILDTFVKKGFIQPIRKKNKLQPRSYKMTPIVRSCLIKFAKEAHFFDYDKEGKPTMDFTSCKKASMVKREGAQAQWFSDYLDGQDNKQDTEKLSADMIKLQMLFNFPDRQKLNDAPSKFNELQTLFNVSKQFPELPKEWLLKMENIKVLFLGRWESEAGVKRHIEVEDIDFLRGLKTMKKLRLLSLQGISGIARLPGAICELSGLKILDLRACHNLEKLPDKIGLLKMLTYLDLSECYLLHYIPKQLNKLSELEVLKGFVIGNAKNSCTLEDLSVLKKLRKLSVNVSNPKFNIDDEKAALSKFESLQKLRIAWGSGAFSENNLPGGGRNQSEQDNLNGGDSGEANSKATKQGTGSQQQLGTATKVVMFANKMKSKRKGLQKLVKLDIQCFPNTEPPTWLVPRELKGLTNLSIRGGNLSHLKQDPDDPSKWNVNVLRLKFLVNFKMNWKDMTQEFPELNYLENVRCPRITFCPCDASGVWQKPSESN >OMO59581 pep supercontig:CCACVL1_1.0:contig13920:5360:10450:1 gene:CCACVL1_24739 transcript:OMO59581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGYKEIGKGSKEFGSTTRDLRSENE >OMO59588 pep supercontig:CCACVL1_1.0:contig13920:75763:78972:-1 gene:CCACVL1_24746 transcript:OMO59588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSSFFSSKSSLPMSEMANMERSYSSQFSSFRPLVDVKSTPKFCSCRGKFPKASYSSTPEKTYVPNKALSSVEQEKIGAGNLLYQINGTNSSLFSRNMRLLDAFDDEYGGVIVDAERLPANPNAFASMLRSSLSHWKAKEKKGIWLKLPVEKSELVPVAVKEGFEYHHAEKGYVMLTYWLPEGPSMLPANASHQVLVVQEKYCAPAFDGLWKIPTGFIVESEEIFTGAVREVKEETGVDTEFVEVVAFRHVHNVAFEKSDLFFICMLKPVSTRIMVDDHEIQAAKWMPLVEFVEQPLIQEDSMFKKVIDICIARMGKRYCGLSVHQLASRFDGKISSLYFNVVDSEDINCIELKQIGIGKMSQLNNEKPKAGFKNESQKQLIDSKNEEVEEAKTMPCVESLSNAF >OMO59584 pep supercontig:CCACVL1_1.0:contig13920:42390:44894:-1 gene:CCACVL1_24742 transcript:OMO59584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPIPPEVKRVWDKWNIRGTILFSLSLQTFLVLLAPFRKSTRKRLLIMLIWSAYLLADAAANFTVGLISNSQGNNNSNPSPDQGKHKDNGDLLAFWAPFLLLHLGGPDTITAFALEDNELWLRHLLGLGFQAFAALYVFIQSIKNIVLIPTILMFVAGTIKYAERTRALYLASLDRFRDSLLGDAVPGPNYAKLMDEYAFKRENKLPTQMIMISEPDKEARATDMPVKKGQLNDLEVVHYASKYFQIFKGLIVDNIFSFHERNESREFFRERKAEDALRVIEVELNFIYEVLYTKVQVVHSGWGYAFRGIAFGSILASLGVFYFKIDKNSLNPFDVGITYTLLLGAVVLDVIALFMLIFSDWSYATVKDPDSSQTIAAKISKRFLKYKSPWWQIHKCEIRDPNNPNQVVKTVEHHVLATPILFRRWSGSLSSYNLISYCLKIKPSTMHEFWRWPSVIAEKVHINGCFQFVSGLLKKALKAVSFLLSGVIYIFSFIKNKLIGVPIKFIVKMLKLIPGLNYIIERITVAWIYVRTYISGILSSGAISDFADEIIYVSREPFTKELWDFIFKELQTKAEFADDPEAAERISEARGEWVLTDGDSKIDRSSLLQYVTEVQYDESILLWHIATDLCYHTNGEDDQVLDEDSFNYREFSKLLSDYMLYLLIMQPTMMSAVAGIGKIRFRDTCAEAERFFDRPDFHPLKKKNEETGKTKIEESSIESEACAAILKVNTDVKPVAVKGDGSKSVLFDASTLAKELNILEKKGVNKWKLMSRVWVELISYAAGHCRANTHAQQVTRGGELITFIWLLMAHFGLGEQFQINAGHARAKLIVGK >OMO59582 pep supercontig:CCACVL1_1.0:contig13920:36073:37224:1 gene:CCACVL1_24740 transcript:OMO59582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MADSSEHQNGRSSMNGSKSNHQTKPTTLYDKYQSTLSLPASSDPEKSNKFKYFRNLVQKNRMPAAQIDTQLSNTGQKEDDEPAAASTNGGMGIQTQNSLGVGSSSHKKKYFPKNYEYPPKVRGFERDEMSLEIMLLEGGGNGSFKTIGVFGLPGVGKTTLCRFILKNERVKESYGQMIWVSLSETETEKPKTEEEHNSDKAEEHNSDEVEELNSDVYKLPELLQGFNEKLKEKKYLIVVDDVGEREGDEESYAVLKTCFDELPKEKGGAVIVISRSEEIAEKVMVEEKNFHRLMPLTDLGSCWLIYKDAVKGSKQREDYALPSDDVMEELMKKCGGLPGAAQLLGKIKGAKIIFNIRSAVKATVFIRKLQKLSQQQRQQRRRR >OMO59587 pep supercontig:CCACVL1_1.0:contig13920:71599:73479:-1 gene:CCACVL1_24745 transcript:OMO59587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFPSNSTATKGQSNGGGNRALLYLNVYDLTPINNYLYWFGLGIFHSGIEVHGLEYGFGAHEYPTSGVFEVEPRSCPGFIFRRSVLLGSTDMSRSEFRSFMEQLSQKYHGDTYHLIAKNCNHFTEEVCRQLTGKPIPGWVNRLARLGSFCNCLLPESIQVTAVRHLPDHPSYSDDERSDSAATSLTLESEEEDSDHHLLTTPNSDVAFLKDKPVRLAKELL >OMO59585 pep supercontig:CCACVL1_1.0:contig13920:51225:53879:1 gene:CCACVL1_24743 transcript:OMO59585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTDSSTQEIISEENDQDNTQEMKEEDDEGNSQEINEEDDQGKTQDQEIKEEQDEEEDAKKIYNMFKEDNNVSEIILTGKAGTGKTWVAMQIRKYVVNVKHDEPIWIPLDKKHDALSLFDTIARQFSLRTNTDAREEIETDQKKEVSEKEKMELSAKKLKDDMKKKLKEAAAAQKFLLVVLDGEFDLLLTDDEKKEEVKVENSVKEILGLGSLKDDPNLKYVKFLITRRSKDEDSSQGVKKLKLGPLPENEAIRLLQKNYVVRKVKDSSYSQEFTQLSEAIRNKKLLPAEVLMLAGTLNYIAENQSKDLKMDLAFEAAIDDLKQLLRYTYDKEPANCMINCFWHSWHFLQKHGGVHYNELITNWIMEGHLKPIKEIDKAYQKGHHILMQLIDCHMLKMQEDNVVVLEGATLNMNEYCRRGNLGRADPGLASVLKDYDPRVLEGIRPADGMMKTLCSDSKEEMISSLLVDGGRLCREVHGTFFGGKHNLNLLSIFDGRLKSPNELSISEMKKLLALILRGAYLLKNIDQIANLNALTVLEISGSTFLKEIPDQLFQEVSKLRSLKLSALGITSLPKSFSELIELRRLILSKCSSLEQLPKVDKFTKLEVIDLSECKSLVKIQEKSFKSLEKLQVINFSHTKIEKLPIVKTLQHLEILLLKGCADLVGMRSLKNVSTLKVLDLSGAENIKEIMYDSFEGTSNLKELDLSGTKIQFLPSDISHLQKLKLSGCSLLIELPELDGCDILEELDLSDCKILKKLPDLSALQKLKNLNLQNCSSLESLPDLTSLTKLVKLDLSGTTLWSEDVEKSLKDHIPRLEIQK >OMP11262 pep supercontig:CCACVL1_1.0:contig01435:1457:3631:1 gene:CCACVL1_00594 transcript:OMP11262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol oxygenase MTILIEKPELESQKNVVETNELVLDGGFPVPKTLSNDGFFAPEINSFGNSFRDYNAENERQKTVEEFYKQQHINQTYDFVQKMRKEYSKLERMEMSIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPDEDWLHLTALIHDLGKVLLLPKFGGLPQWAVVGDTFPLGCAFDEANIHHKYFKENPDYNNPAYNTKNGIYSDGCGLDNVTISWGHDDYMYLVARENKTTLPSAGLFIIRYHSLYPLHKEGAYMHFLNDEDKENMKWLRIFNKYDLYSKSKVLVDVEKVKPYYLSLIEKYFPAKLKW >OMO59620 pep supercontig:CCACVL1_1.0:contig13912:21854:23181:-1 gene:CCACVL1_24716 transcript:OMO59620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRRVGHECSNHLVLWKQLQNDKPLESGSEDGGYTRVQGNSKIAVAWRLMSECFVLDSGVDRHTNINIAQSIVYSCGSNLTRINYSGFYIAVLEKNDEVVCAATVRVHDKNLAEMPYIATTEEYRGQRMAHTLVNHIETVLRRLQVEKLVIPAVEELVGVWINKFGFSPVGDEQSKQELTRYNTVTFYTSVVRLQKNLARVPDLNLGHSIQAPIEIP >OMO59619 pep supercontig:CCACVL1_1.0:contig13912:9746:10634:-1 gene:CCACVL1_24715 transcript:OMO59619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFSLRNAGSRGASSSRHWFKLQPMPLTYHPMCLYLKSIPQYEWHCPYCVCKHCAKWNGALWKCTQCEKHYRCKCGGEELDMNAPTTLFCGNSCRMIYEGLHGMFPSLSTGLQDGVSFTLLQCADKPLESGSEDGGYTRVQATPKLQWRGS >OMO94927 pep supercontig:CCACVL1_1.0:contig07778:2183:4958:-1 gene:CCACVL1_05689 transcript:OMO94927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transglutaminase-like protein MCIVLWDSLAKYEDPVYKEAARKTVPVDELKKKALLSLKKEGNNNPSKNEQDHAFLLQLLFWFKGSFSWVDLPPCDACGKETKALGVPGRPDASEISFGATRVSLFRCNHCSKVTRFPRFNDPNKLLETRKGKCGEWANCFTLYCRAFGYESRVVVDFTDHVWTECYSQVLGRWMHLDPCEAEYDKPLLYEQGWGKKLNYVIAISKDGVYDVTKHYTRKWDEVLSRRTLATESFLVSILESTTKELLARKK >OMP10639 pep supercontig:CCACVL1_1.0:contig02084:1051:1173:1 gene:CCACVL1_00840 transcript:OMP10639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSKISPHYQHSPPFPLLRRPSKPFRSIKNCCYLLEFLS >OMO69528 pep supercontig:CCACVL1_1.0:contig12019:26960:27901:1 gene:CCACVL1_19450 transcript:OMO69528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MDMYGLSTPDLFRIDDLLDFSNEELFSSASTSTTSTTNDLFPPSETPLITYGASASSSSSSSSSAAFHPSFSPDFSHPDLCLPSDDVAELEWLSQFVEDSFTDFPSNSLAGTLNPRNYDPSFSSKARSKRSRAPTAMNTTTWTTASEAGLAHFTGKSKPKKEVQRQASPAAGGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLVPEYRPAASPTFVLTQHSNSHRKVMELRRQKELMRQPQPQPQEQSFRHHQQHHRQQHDFEVC >OMO69530 pep supercontig:CCACVL1_1.0:contig12019:33189:48354:1 gene:CCACVL1_19452 transcript:OMO69530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLSSTLSTHVRFLLQSSTEANSDSIFRELCQFIEYGIEGSILVLQTCLDYLNSQETVSKNLHSEQVAASVFRHGMDKPNFCTVFCQSLRSTDVSVDFLENFSKSLHLSMSEKIGIGLALSDSENSDTRMCGKNFCMAQIEELHTNPASFDSSEQIQNIVSFLQCSDALSKHVDSFMQMLSLVQAKDVAQFVLTPILSDELREANFLRNVDFFNESGENDFDALLAEMEKEMSMGDIIKELGYGCTVDVAHCKDILSLCLPLTEMTISRILGTIACTYVGLEDNLTTFSTFSLALGCNTSSDLPPLSSWNIDVLIKAIKQLAPSTNWIQVIEYLDHEGFHIPSEAAFSFFMSVYRHACLEPFPLHAICGSVWKNIEGQLSFLKYAVSAPPEVFTFAHSLRQLGYMDAVHGHKLHLGNANHAWLCLDLLDVLCQLAERGHTSFVRSMLEYPLKHCPEILLLGMAHVNTAYNLLQHDVASTVFPMIIKNALGAGMVLQLWHVNPNLVLRGFVEVHNIEPDGMIRIWEICQELKILSSVLEMMPFASGIRLAVLASRKEVLDLEKWLSSNLNTFKDVFFEECLKFLKEIQFGGSQEFSAQPFHHATAVLNIYLEASSTVLKVLKAHTGIIASTQLLEETERLSATIMDSNPKLQNGGTTESSTSDGYGEDIESEANSYFHQMFSGQLSIESMVQMLAQFKESSVKREQLIFECMIANLFEEYRFFPKYPERQLKIAAVLFGSVIKQQLVTHLTLGIALRGVLDALRKPADSKMFVFGTKALEQFVDRLIEWPQYCNHILQISHLRATHSDLVAFIEQALARISSGHLDSDVSNNPSSVHHQVSSRVTSGNGELNSSSIAQPGPQISSPLQLQRHDSSLDDRTKAPATSSNDVKPLLSSVGQPPVAPSTEASSIQKPQSAVSGSSMLSATPEFGSALNIETLVAAAERRETPIEAPASEIQDKISFLINNISTHNVEAKGKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILALLVEIYSMPNLKMNLKFDIEVLFKNLGVDMKDITPTSLLKDRKREIEGNPDFSNKDLGASQTQMVAEVKPGMIAPLNHVELPLEVPSPPNSNVHTHLLSQYPLRIPSGALMEDDKLTALGLSDQLPHSQGLFQATPSQPPFSVSQLSTPIPNIGTHVIINQKLSALGLHLHFQRVVPIAMDRAIKEIVAGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRGSISSQLRSSLQGLNVASDLLEQAVQLVTNDNLDLGCAVIEQAATEKAIQTIDGEIANQLAIRRKHRDPSFFDPSIYGQGSMGVPEALRPKPGHLSLSQQRVYEDFVRLPLQNQSGQTSHSMSSGASSLSADGGLSGTFGSTSGQVAPGYASGTGNLGQLDVASEAIESTPAGLLNASSIHIGSATALTHQTAENDPLNASFPSATSAPELLSVDTTDAVKDLGPTSQPLPSPAATERLGSSISETSLSTRDALDKYQIVAQKLETLVTSDGREGDIQGVISEVPEIILRCVSRDEAALAVAQKVFKGLYENASNGLHVSAHLAILAAVRDVCKLAVKELTSWVIYSDEERKFNKDITVGLIRSELLNLAEYNVHMAKLIDGGRNKAAMEFAMSLLQTLVTDESRVISELHNLVDALAKVASKPGSPESLQQLIEIVRNPSASVAGLSNATVGKEDKSRPSRDKKAPGPNPANREDNSNIEALEPDPAGFKEQVSMLFAEWYQICDQSGANDAACSHFVVQLHQNGLLKGDDMTERFFRILTELSVAHCLSTEVMSSGTLQTTQQAQTLSFLAIDIYAKLVFSILKYFPVEQGSSKLFLLSKILNVTVRFIQKDAEDKKASFNPRPYFRLFINWLLDLGLLDKDPIADGANFQILIAFANAFHSLQPLKVPSFSFAWLELVSHRNFMPKLLTVTANGQKGWLYIQRLLVALLQFLEPFLRNAELAVPVHFLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLPEIRETPRILSEVDAALKAKQMKADVDEYLKTRPQGGSFLTELKQRLLLSPSEAASAGTRYNVPLINSLVLYVGMQAIQQLQSRVPHAQSAANTGPLSAFLVSAALDIFQSLIGELDTEGRYLFLNAIANQLRYPNNHTHYFSFILLYLFAESNQEIIQEQITRVLLERLIVNKPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPVDESMVSGWVSENAH >OMO69529 pep supercontig:CCACVL1_1.0:contig12019:29596:32886:-1 gene:CCACVL1_19451 transcript:OMO69529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTLEPIEAGNFGPNSNWIFQGSSPNCRRLQRLNKNCSGYRESKTTIHQCSMATVPESPEDNPNPLSSPPPLLPPHPLSNIDISAKSESKSLEEATPWIDYAVEQAILYQKIIEQNINATIEASRSRFSEIRATSSAHFNQTIDSLEDVKSQLSVYEAMVFGKLKEGIAIAAEHPLITVGVAVGLGAVVLKRPRRLLYYKSLRLFQSEESLLSKADTRVKELRQSIDRLKAESEKLERSATVAEQELIRGKTKLRQAGKQIQSVMRSAYKIERQAAGLKDVLTDLPSREASRFRSQVSNLASQAKRERNTLGKEVSKISNYGIAV >OMO69525 pep supercontig:CCACVL1_1.0:contig12019:4320:5393:1 gene:CCACVL1_19447 transcript:OMO69525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M10, metallopeptidase MLLPSNHPLYSLLFLFFLHNLPPYTAARPAPEFPWKQLTETTVNNYHHYFYNRFLNSRRGSHVSGLSEIKRYFHHFGYLTFEAHYNISDDIFDAPLESAILHYQSKLGLQVTGKLDFDTLTQVLSPRCGVPDKQPSPTLHATKNYVFFPGKPRWARRIPMTLTYGFSPDNMIRSLSLSDIKEAFKLAFSTWASVIPVNFVEADDYGFADIKIGFYSGDHGDGEPFDGVLGILAHSFSPESGKFHLDAAETWAVDFEVEKSSVAVDLESVAVHEIGHLLGLSHSPVKGAVMYPSLKPREKKVELSVDDIQGVQSLYGSNPNFTFSSLLESDISANRAADLRFESSLWAISMILAASFL >OMO69526 pep supercontig:CCACVL1_1.0:contig12019:9392:10213:-1 gene:CCACVL1_19448 transcript:OMO69526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLQTVCCMCGDVGFPDKLFRCNKCRHRFQHSYCSNYYSEFAEPIQVCDWCQSEERNSRHGSSSKKSSSSSLANATGITNRSEYSGDKIKQHDRDEGTVEKAKSSGTPSPRPTTRRYKLLKDVMC >OMO69527 pep supercontig:CCACVL1_1.0:contig12019:20996:22067:-1 gene:CCACVL1_19449 transcript:OMO69527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVTLILSSPLCLSNKIKRRPQLNPSPNLSELSHIRLPKIACSSITTQPQSAKQDLLNLISDQERGLKTQKDPKKRDAIVKAIVAMAVFSRDTVTTDDSLSATWRLLWTTEKEQLFIIEKAYLFGTQAGDVLQVIDVEKKTLNNVITFPPDGVFFVRSSIEVASSQRVNFKFTSAVLRGKNWEIPLPPFGQGWFETVYLDDEIRVVKDIRDDYLVVERAPYNWKE >OMO69531 pep supercontig:CCACVL1_1.0:contig12019:48921:49172:-1 gene:CCACVL1_19453 transcript:OMO69531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase Sec, Sec61-beta subunit MATGTAPPRGSAAAAASMRRRRTTSGGGAASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAILHVMGKLYFVRREA >OMO76623 pep supercontig:CCACVL1_1.0:contig10886:46476:48264:-1 gene:CCACVL1_15532 transcript:OMO76623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein A MAASPKKNTTSKSSPERPATAIMVQPSSPRFPVTSPGAHRKIGIAVDLSDESAFAVKWAVHNYLRSGDTVILLHVRPTSVLYGADWGAIDLSLPEEDETQETQQKREEDFDSLTNKKINDLALPLVESEIPFKVHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAAKRIAKGRLGSVSDYCVHHCVCPVVVVRFPGERKEKNGGGRVEGQIGLDVDGEVLGPLVEEGEHELHDSTNKHSDTQKAL >OMO76622 pep supercontig:CCACVL1_1.0:contig10886:29797:30565:1 gene:CCACVL1_15531 transcript:OMO76622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MKKCELCNSLAKMYCESDQAILCWDCDSRVHGANFLVAKHLRTLLCHLCQSPTPWNGSGPKLGPTVSVCDNCVNRNASREEERNNEEEEEEGDDEDDDDDLDDSEDEDDDDNSGGDEENQVVPWSSTPPVSSSSTSEECSNSNRVGNGNFQEEDGSSQSRKALSLKRMRESPAAPSSRDGHDDPRCMNLSNESDAFRKLKDYRKRWLQETANEAKLDAFQVMAFLY >OMO76632 pep supercontig:CCACVL1_1.0:contig10886:105389:106236:-1 gene:CCACVL1_15543 transcript:OMO76632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding protein PEBP MARSVEPLVVGRVIGDVLDMFTPAAATVFTAHYGSKQVTNGCDIKPSAASDKPHAQILGPPDNSTGLYTLVMVDPDAPSPSEPRLREWLHWIVVDIPHGHDATKGRELVPYMGPCPPTGIHRYILALFKQGRAAEAGGIQLPNGRANFNTRQFAAQNGLGLPVAALYFNSQKEPALKKR >OMO76624 pep supercontig:CCACVL1_1.0:contig10886:49424:51153:1 gene:CCACVL1_15533 transcript:OMO76624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MPQRHSKNNNDLAFFTYDEKRKLGYGTQKERLGKDSIKPFDACCLCLKPFIDPMSCHKGHVFCKECILECLVAQKKDIQRRLAAHAAQQKQEKEEEEEKLMLQKARELDAFDQQNHGAVPQYSDRNHSRDKNGFHGANSVKATSYEEEALRTMKAFWLPSATPEAPAKVEAPSTSTICPEGKEKLKLKNLFSVYFTEDESEQSKSNSLDKSYICPSCKVTLTNTSSLVALSSCGHVFCKKCADRFMAVDKVCLVCDKPCKERNLVNLEKGGTGFAAHGDHLEARDFKHLGSGSGLGLVRPATKT >OMO76621 pep supercontig:CCACVL1_1.0:contig10886:26430:26771:1 gene:CCACVL1_15530 transcript:OMO76621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQGAIIFEDFFPAMVEKLGAEGFMKELCNGFRLLMDGEKGMITFESLKRNLALLGLQDMSDEEVISMLREGDLNGDGALDEMEFCTLMFRLSPALMKSSRNLLEEAFITEM >OMO76629 pep supercontig:CCACVL1_1.0:contig10886:83262:87907:1 gene:CCACVL1_15538 transcript:OMO76629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleoside transporter MTIANGGELPARLEGKFSAMVVCWVLGLGSLVSWNSMLTIGDYYYNLFPDYHPSRVLTLVYQPFALGTMAILAYNESKIDTRRRNLVGYLLFFASTFLLIVLDLVTSGRGGIGPYIGICVLVGAFGVADAHVQGGMVGDLAFMLPEFIQSFLAGLAASGALTSGLRLITKAAFEKASDGLRKGALLFLGISTFIELVCVVLYAYFFPKLPIVKYFRSKAASEGSKTVKADLAAAGIQTREDLGDEDNAKQIERLSNKQLLLENIDYALDLYLIYVLTLSIFPGFLFENTGEHKLGSWYPLVLIAAYNVWDLISRYLPLVKFLKIESRKGLMIAILSRFLLIPAFYFTAKYGDQGWMILLVSFLGITNGHLTVCVLTAAPKGYKGPEQNALGRACKGSIGGVFRNHKAEFLLGYAEPIGRTNSVIAELAALRRGLELVLENGYTNVWLESDAKTLVDIIVQKRQVKNAELGRHLHHINLIIPEINNCVVTHIYREGNRAADKLAQMGHQLQKPQIWWHIPPNEVLRIMHEDAEGEIKAGNQTIKVLPFDLPIKWSQPGGLQGRDS >OMO76628 pep supercontig:CCACVL1_1.0:contig10886:77183:82554:-1 gene:CCACVL1_15537 transcript:OMO76628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDEKGRTSNGEEKQEEILGTSWSSKSSSYKMAMEEGMTVVDWLGLSSSVHRVFSVEMGF >OMO76631 pep supercontig:CCACVL1_1.0:contig10886:96279:103236:-1 gene:CCACVL1_15542 transcript:OMO76631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGELFGGRLLRLANTRKAAISNQATSRSQYRNYGTTFTVGLRLPQYRVYSHYKFNSGGKVPFVSATNAWKRLTGKCYATGRTLPCLTRMAQAVSLALSRSNLIVPGVSGLTYGGNLALAQRNLVGTENYYPSQNSLYMSSQNVHSNFVSSTLHFFREGVILLVRTLYLAVLFSPSIMMAPFADSFGPQFRNKWLEIVHSTLEKAGPAFIKWGQWAATRPDLFPRDLCIKLSKLHSNAPEHSFAYSKKTVEGAFGRKLSEIFDGFEEEPVASGSIAQVHRAYLRSRYPGQQVKPLEVAVKVRHPGVGDSIRRDFMIMNLVAKMSNFIPTLNWLRLDESVKQFGVFMMAQVDLAKEAAHLSRFIYNFRKWKDVSFPKPIYPLVHPAVLVESFEQGDSVTHYLEGIVGHDQIKSKLAHIGTHALLKMLLVDNFIHADMHPGNIQVRVSQNKTSKKRLFFNKSKPHIIFLDVGMTAELSQSNRVNLLEFFKAVARRDGRTAAESTLRLSKEQNCPNPKAFIEEVKEAFSFWGTPEGDLVHPAECMQQLLEKIRRHKVNIDGSVCTVMVTALVLEGWQRKLDPGYDVMRTIRNVLRAGEWTKHLSYTTEEQSPHDSQGKTDVAELKLLAFANIRKAAQSAISNRPTSQSEYRKYGTVVTVGLRLPRYKAYSPYRFNSGGRPPLLSQNTKQLFCRTYFVRNHSFLSASSAVSHHALVAWKRLTQKCSASGRASPHISRMAQAISLALARSHLIVPGMVGLTYGQFALAQQRTLVETDYYPSQNSLYMRAQDGHAFVSSILLSLVEGVILLVRAVFLAVLFSPSIIMAPFADCCGPKFRKIWLEVVHCTLEQAGPAFIKWGQWAATRPDLFPRDLCTKLSQLHSKAPEHSFAYTKKTIERAFGRKLSEIFEGFEEEPVASGSIAQVHRASLRYNPGQRVKRMEVAVKVRHPGVGESIRRDFVIINLVAKMSKFIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRKWRDVSFPKPVYPLVHPAVLVETYEQGESVAHYVDGIEGHERIKTKLAHIGTHALLKMLLVDNFIHADMHPGNILVRVPQSKASQKRLFKKSKPHVIFLDVGMTAELSKSDRVNLLEFFKAVAHRDGRTAAECTLRLSQQQNCPNPKAFIEEVEESFTFWGTPEGDLVHPAECMQQLLEKVRRHKVNVDGNVCTVLVTTLVLEGWQRKLDPKYNVMRTLRDVVDKVDWAKDLSFTINGLMG >OMO76618 pep supercontig:CCACVL1_1.0:contig10886:3719:16690:-1 gene:CCACVL1_15527 transcript:OMO76618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANKLFLSLLVFSILILFSLLSTTTVIADDADDSAAMAKLAGTITPTPPNWSMGSSDYCQWQGVQCESNNHVSGVNLGSMSLTVIPSEFPPLPQLKMLNLSHNSLSGSFPSLAHLTSLEALFLQNNNFNDIIPSGFFADIATTLQILILSDNPVLPSWTIPTELTRFPDLQQFYCDRANLTGHIPDMFQSLPNLQKLSLSQNNLSGPLPDSFSLQATIQYMWLHDQMLSGSIHVLSTMTNLVQVEIYGNQFTGPIPDFSNCISLEVIRFDNNLLTGVVPSSQLASLSSLSLRSVNLTSNKLQGPFPILLQMINSSYLDDNNFCTDTGMACDLQVTTLLKIAADLGYPLELSDAWTGNDACSNWRFIRCVSDDNSITSIDFGMQHFGGTISPAFAYLPTLQYLHLNDNNLTGSIPDDLKKLPQLLLLDVSNNNLSGILPFFDSSVTLNISGNPLLISGKSYGYVRTRSMTTIAILTAIVGAIIVVIGAFLLGKCIANYEARKKRREGLLNREESLPKLSRHNVLRIRKNKSILGLQELQLLSFEKLLMATNKFDSTNKLGQGGFGPVYKGLFPDGQEIAVKRLSRASEQGLEEFMNEVELISKIRHRNLVKLLGCCTEGEEKMLVYEYLPNKSLDYLMFDPQKQPLLDWKKCFNIIEGIGRGLLYLHRDSGLRVIHRDLKASNILLDEDLNPKISDFGMARMFGSNEDQANTQRVVGTYGYMSPEYAMEGKFSEKSDVYSFGVLLLEIISGRKNTSFYNDKHASSLIDHAWRLWNEENIWALVDSRICESCFRLEILKCIHIGMLCVQNFMEDRPNMATVVSMLSSEIENLPSPKQTAFVERKIAMDNSLLTKNQCSINYNTGKGTRGLRVKRTAVDSITSAKSINDSEAIISSGGVFKLGFFSLANSTNRYVGIWYNLIPIQTIIWVANKNNPLRDSSGILMISNDGNLVLLNGNKEIVWSTSNDTNPTSSNTSAQLLDSGNLVLLDNTTGTSIWESFEHPSNTFMPTLTVSTNIKTGKKIQLRSWKSSSDPSDGNFSVGLDPLNIPEVFIWNNDLPYWRSGPWNGRVLIGVAIMYSVYLDGLTLIDDKEGTTYITFAFANQSIVAYAVLESQGKLTQRNWDSNKGEWRTVWSLPETECDIYGQCGAFGSCDYSLRPSICSCLRGFEPKNLEEWNKGNWSSGCVRSKPLQCERVSNNGSEVDKADGFLKLGRMKVPDFAEWSAVLEENTCKDLCLSNCSCIAYAYDAGIGCMSWSGNLIDIQKFSNGGKDLYIRVAHSELARKEKGKEQLLINKEKAHPKGDIHGDNINQTNFQELPVFGFEELATATDNFHETNKLGQGGFGPVYKGKLQNGSEIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCVEGDEKMLVYEYMPNKSLDALLFDIDPLKEEVLDWRKRFNIIEGISRGLLYLHRDSRLRIIHRDLKASNILLDEELNPKISDFGMARIFGGNENQANTTRVVGTYGYMPPEYAMEGRFSEKSDVFSYGVLLLEIVSGRRNTSFYGHEHSISLLAYLCQAANRIAQGETLKDGETLVSEGDIFELGFFSPDNSTSRFVGIWYRVDVKAVVWVANRENPISDRNGVLSIGIDGNLVILDGNNSPVWSSNVSNLPNNTAAKLLDTGNFVLSSNESIDDTSNANWQSFNNPTDTFLPGMRIPVNPAIGEHRSFRSWKSANDPSIGNYTVGIDPTGGPQVVIWDHNKRRWRSGQWNGVYFTGVPNMSSLASFLYGFKLSRPDENRTQYFTYDPSNPSDLLRFRMDWDGSERQMKWEAGEKRWRVLLSQPEPSNQCEIYNHCGNYATCDNSSQMCSCLEGFIPKFQDQWTNKIWSGGCQRRTELECQRNNGTDGFKGLKCMKLPDLATLLTNDEAGDIDECKTSCLGNCSCKAYAFIPGIGCMIWNGDLVDIPHLEEGGNLQFFLRLHPSELGGGRKISNVVIIIIAVVAACILAVSLWLVWRYKKKIKALPAVSSMPCCKDEDVEVVDVSKKVKELSAELSGPYEILRDANQNGPELPVFSFKSVAVATKDFCESNRLGQGGFGAVYKGVLPEGQEVAVKRLSGQSGQGLEEFKTELILIAKLQHRNLVRLLGCSIHGEEKMLLYEYMPNKSLDNFLFDEAKRAELDWKTRLNIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDAEMNPKISDFGMARIFGGNQNEANTVRVVGTYGYMAPEYAMEGLFSVKSDVYSFGVLLLEIVSGRRNTSFRSTTEHTSLIGYAWSLWNENKAMELVDPCIQDSCSPSQMLKCIHIAMLCVQDSAMHRPTMAVVALMLESEAPSLPMVRQPTYTSMRSSIDTEFILEAQEIASSNDVTVTMVVGR >OMO76619 pep supercontig:CCACVL1_1.0:contig10886:20879:22264:-1 gene:CCACVL1_15528 transcript:OMO76619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLAAALHPLPPTWSINSSSSYCEWHGVTCHSSGYVSKINLTSKSLHGHLPSKFPPFPLLRVLDFSNNSFTGSFPSLEKIPLLEELFLDDNNFTRIPLGFFQELSSHLQVLILANNPSLAPWRIPISFTRFKSLTQFFAHETNLMGSIPDIFHSVPLMYLSLFNNNLTGNLPASLGGSKVIHFWLQNQKIGLTGPIDVLSNMTHLTQVILNGNRFSGPIPDLSNCKSLLLLLLHYNHLAGVIPQSLASLPNLKYVYMNDNQLQGPMPSFLLAKIMDGSLINNNFCTDNGDPCDPQVTTLLEISSDLSYPYRLSLTWQGNDACNDWKYVTCDSEKNVTHIDLGNQQFKGTISPAFANLTRLQYLYLNDNNLTGSIPVSLTKLPNLRVLDVSNNNLSGDIPAFGPGVLLNTTGNPGLIPNNEPGADGTMPKGMAVDLLSKFDEYEHALKLVPFLFCDFCPSC >OMO76620 pep supercontig:CCACVL1_1.0:contig10886:23996:24337:1 gene:CCACVL1_15529 transcript:OMO76620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNNGVVFEDFFPAMVEKLGAEGFMKELCNGFRLLVDGDKGVITFESLKKNSALLGLQDMSDEEVNCMLREGDSDGDGALNEMEFCTLMLRLSPELMKTSTKMLVEAIVNF >OMO76625 pep supercontig:CCACVL1_1.0:contig10886:53209:55067:1 gene:CCACVL1_15534 transcript:OMO76625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLDFDMLCSTVALQTQGKWRKLEDAEDCLEQGNGEFGGVFRMWEGEVVLDVFDDRRIALESACCPCYRFGKNMRRAGFGYCFLQGTVYLLLVVSAILNFIAFIVTKRNCFLYLGVAFAISVGAYLGFFRTQIKRKFNIRGSDSLVDDCVYHLICPCCTLSQESRTLEMNNVQDGTWHGRGDTICIGSYGEGSKAFFELHPPPPMSIQTPEPLSMQHSVNGADNA >OMO76626 pep supercontig:CCACVL1_1.0:contig10886:57264:57683:1 gene:CCACVL1_15535 transcript:OMO76626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTELCQISNVKTEHKSSSETDPKASNLESRGAIIHVSTDSTLPSSSSSYKNQADMGSSETIGELQSIMEQQPILFSEEGSDIAENCGVSGSGSGSGSGSDIASSHQLVWFQEHMFHGNSCNNDVWLSSLMKANIYGA >OMO76627 pep supercontig:CCACVL1_1.0:contig10886:73261:74649:-1 gene:CCACVL1_15536 transcript:OMO76627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MSSHHLSELDSTTDSVASSPRSEHHAPHDAHTRVRFMCSFGGKILPRPHDNQLRYVGGDTRIVAVHRSTSFSALLTKLSKLSGIGNVSVKYQLPNEDLDALISVTTDEDLENMMEEYDRLAQNHNPRLARLRLFLFAKGDDSRASSINSLLDGSVNREHWFFDALNGGTGTNAPGLERNRSEASSIVSEVPDYLFGLDNSDEAQPRDPKLRTRHHLLHENVSVSDPGSPAPVVSSSPFCSTSSAPIVPSSMPDLPPVKTKPDNPELVSESKQSQTESFVEQPVSQPTAYSGSPMWHYVQDSHYSVPPVQQQIPVYYVPGTVQPGNPQVQQVQIRAQYVQQYPISTGQIPVGYHQPVPGMGQVYRPVAPMETYDPALRMAADGVKQPVYYGVRNAGPVPVYPGMMVQSGSGEEVGRSGSDMAPGRISQSGQ >OMO76630 pep supercontig:CCACVL1_1.0:contig10886:90265:95183:1 gene:CCACVL1_15541 transcript:OMO76630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEGGALPDHLRCKRTDGRQWRCKRRVMEDKKLCEIHHIQGRHRQRKQKVPESLKMQRKNWKRNAFEKNKLEIRAKLLKLAKPMKRKRLIGGESEALDEAVRKMKLKRGDLPLELIRMVLKREIEKKKKRKESDCSDFDEDEEEKSDMMRQLPNGLMAISSSSPHFDNAASCSGSGSGSGSVSGSCFNVKVGETETNTVAITRRRFRSKNIEPLPVGTLQVVPYKKDVVNLRRGRRVRCHWCRKGGVRSLIKCSSCRQHFFCLDCMKKQYFVTREEVKIACPVCRGTCGCKACSVSQGRDTECKEFLRDKNKVNKVLHFHYLICMLLPVLKRINQDQSVEIEVEAKIKGKKLCDIQVQPAEVGGNKQYCCSNCKAFVLDFHRSCPKCSYNLCLSCCRDIFQGSAIGSIKELNCKCPNKRITCVPGIRLSDKNSVRNCKQNHDRYFDSSASLPSRKAPDGSVPISCPPTELGGCGDGLLDLRCILPLGWFKELEVSAEEIVGSYELPEAFDTFSCCSLCPATDYEAKGVKQLQEAARRDNSNDNFLFYPSVMNIHGDSLEHFQKHWGKGHPVIVRNVLQDTSDLSWDPIFLFCSYLKNSLTKSENEEELTKATGCSDWFEVEIGIKQLLLGSLRGPAQSNMCDEKLKLKGWLSSHLFQEQFPDHFAEIIRALPFPEYMDPRSGLLNIAARLPQEIAKPELGPCVSFSYFSGEELVQVNSVTKLHYNSCDVVNILAHATDAPVSMKQLNRIRKLMKKKNSHDQRELAKTYADQKMANEVKEQYPPCKNMGEVGMNDIIGKEMHAHDHLPKVSRSPSAVHEALGLGFNNGDADHGKEDSSDTDSDSDCNSNSEPALLLSHTIHSSEGLQNREVFGKRTKLAKSCGAEWDVFRRQDVPKLMEYLRKHSNEFGHICGFLKQVVHPILDQNFFLDTGHKIRLKEEYEVEPWTFEQHVGEAVIIPAGCPYQIRNVKSCVNVVLDFVSPENVTECIQLINELRLLPEDHKAKAEKFEMQQVKKMALYRTSSAIKEIRELTYAESSAELSE >OMP03912 pep supercontig:CCACVL1_1.0:contig05912:1108:1533:1 gene:CCACVL1_02228 transcript:OMP03912 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MDNNYPVNSLQQSKKGKSKKKKNNNSKPAVKVVYISNPMKLKISASKFRAIVQELTGQDAELPTDPTKFTDTDDDNDGDCGGGGGYQKVPDAPLVQEVPRVEQADEQRPSISSTVESFEEAFITPQMLENLTGYFPPSLLL >OMP03913 pep supercontig:CCACVL1_1.0:contig05912:3692:3769:1 gene:CCACVL1_02229 transcript:OMP03913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGYLKPHNHKNFAIFQAPAAFGIK >OMP11716 pep supercontig:CCACVL1_1.0:contig00979:571:1205:-1 gene:CCACVL1_00316 transcript:OMP11716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSTRIHICVYGLRRHLSCGGSGDWRTIWLQFYGARYNPA >OMO53985 pep supercontig:CCACVL1_1.0:contig15080:228:6819:1 gene:CCACVL1_28156 transcript:OMO53985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylthiotransferase MDTLIRKCKSAKKPLVVAGCVPQGSRDLKELEGVSIVGVQQIDRVVEVVEETLKGYEVRLMNRKTLPALDLPKVRKNKFVEILPINVGCLGACTYCKTKHARGHLGSYTVDSLVERVKTVIADGVKEIWLSSEDTGAYGRDIGVNLPILLKAIVAELPPDGSTMLRIGMTNPPFILEHLKEIAEVLRHPCVYSFLHVPVQSGSDAILTAMNREYTVREFRTVVDTLTELVPGMQIATDIICGFPGETDEDFAQTVDLIKKYKFPQVHISQFYPRPGTPAARMKKVPSNIVKNRSRELTSVFEAFTPYNGMEGRVERIWITEIATDGIHLVLVIAPESMLGTSAIAKITSVGRWSVFGEVIETLTQANLKSVSKKVPNQENCSPCSNQFESCACSKEPEPCSCGPDSSREHSVLEECTVSMNGSRMEDRSNKNPIGWLLRKRRNHEHKKAENGGGPGLKKKRDWALGGWGIVDRALLVGILVSFVMIVAVIIHLEFRNRF >OMO53986 pep supercontig:CCACVL1_1.0:contig15080:7300:10392:-1 gene:CCACVL1_28157 transcript:OMO53986 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSKLVVEVVDAYDLMPKDGQGSASPFVEVEFDEQRQRTLTKLKDLNPCWNQKLVFHVNNPRELAHKTIDVTVYNDRNAAHAHGHGGHHNNFLGRVRISGVSVPLASDDDPAFIHNVQRYPLDKRGLFSHIRGDIALKLYYYLVHDDHHHHAAAAAAEPPRPDHAPTFSPENVETSPFQETNNNGFDEEEIMFKVEEKKKKNKEQPVRTFHSIGTGGGGGGGPPPPPMRARPPPPMMVETRADFAKAAAAPPSVMHMQMAPRQNPEFLLVETSPPLAARMRYRGGDKTSTTYDLVEQMHFLYVNVVKAKDLPVMDISGSLDPYVEVKLGNYKGQTKHLEKNQNPVWHQIFAFSKERLQSNLLEVIVKDKDFGKDDFVGKVVFDVLEIPLRVPPDSPLAPQWYKLADKKGDKVKGEIMLAVWMGTQADESFPDAWHSDAHNITHSNLANTRSKVYFSPKLYYLRIHVMEAQDLVPHDKARLPDPYVKVIVANQIRPTKILQRTVNPVWDDQLMFVASEPFEDYIIISVEDRIAPGKDEILGRAMIPLRDVPQRFETSKPPDPRWLSLLKPSLVEEEGEKRKEKFSSKILLRFFLEAGYHVLDESTHFSSDLQPSSKHLRKPSIGILELGILSAKNLLPMKIKDGRMTDAYCVAKYGNKWVRTRTLLDTLSPRWNEQYTWEVYDPCTVITVGVFDNSHVNGSKEDARDQKIGKVRIRLSTLETDRVYTHYYPLLVLTPSGLKKHGELQLALRFTCTAWVNMVAQYGRPLLPKMHYVQPIPVRHIDWLRHQAMQIVAARLQRAEPPLRREVVEYMLDVDYHMWSLRRSKANFHRIMSLLSGVTAVCKWFNDICFWRNPITTCLVHVLFLILVCYPELILPTIFLYLFVIGIWNYRFRPRHPPHMDARLSQADNAHPDELDEEFDSFPTSRPSDIVRMRYDRLRSVAGRVQTVVGDLASQGERAQALLSWRDPRATAIFIIFSLIWAVFIYVTPFQVVAVLFGLYWLRHPRFRSKMPSVPVNFFKRLPSKSDMLI >OMO74465 pep supercontig:CCACVL1_1.0:contig11126:53179:53697:-1 gene:CCACVL1_16702 transcript:OMO74465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPKVFFDMTIGGQPAGRIVMELFADVTPRTAENFRALCTGEKGVGRSGKPLHYKDSTFHRVIPNFMCQGGDFTAGNGTGGESIYGSKFADENFVKKHTGPGILSMANAGPGTNGSQFFICTAKTEWLDGKHVVFGQVVEGMDVVQAIEKVGSSTGRTSKAVKIADCGQLC >OMO74462 pep supercontig:CCACVL1_1.0:contig11126:38942:40547:-1 gene:CCACVL1_16699 transcript:OMO74462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MDLVHKLLVAALLIVAAAIDGAQATAMVSGTVFCDQCKDGQRSLFDYPLNGIKVTISCADGNGQVTMSREETTNLFGNYIMRFDGAPDLTNCYAQVSGSGPQGSNDCGATAGPAQKLKLMFRMFDMEIYGVDSLLSQPALPMSFCPKSDNPVPTPVITPTRPSGPIAPSGSPPPWRLPPLPRLPPMPRLPPLPPLPPLPPMPPLPFSEASACSHQYWTMPEYKCYWRVLNPESKVALIFGPLAARRYGTDMTLMESLQGRGDPYKTLLREGTTALLNSYNSLQFPYNSIGVVTHVNWALMGSTRGALITALRFIRANSGSGTVTCKLSPCK >OMO74463 pep supercontig:CCACVL1_1.0:contig11126:42699:44514:-1 gene:CCACVL1_16700 transcript:OMO74463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific eukaryotic initiation factor 4B MAATVSSPWGKPGAWALDAEEHEAELQQQEQTSRVDSSTGKLADFPSLATAAASKPKKKKGQTISLAEFNTYSAPKPTEPTRLTHEDMLMLPTGPRERSPEELERNRLGGGFKSYGTNRYNSNNSNGDDSNGRWGSSRAPKQELGPSRADEIDNWAAAKKSAPSGNGFGGGFERRERGGGGFFDGQSKADEVDNWAANKSNKSFNEAPPRRFGGGFEKRSSFDSLQSRDSQRDMDNWGRKKEESVTPAASGGVRPRLVLQPRTLPVTTEDVKKEAALAKPKGANPFGEARPREEVLKEKGKDWKEIDEKLEAVKIKETVAVAEKGERGERGGKGGFGNGRAPVDRSWRKNESVEAAPDADADANEPQSSENTENGHVAEN >OMO74457 pep supercontig:CCACVL1_1.0:contig11126:11826:23316:1 gene:CCACVL1_16694 transcript:OMO74457 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative unusual protein kinase MARYRKNNGRLNKKLMVALVTVLSVAVVFCMATYAFCSRWRTTRGDEEKKR >OMO74458 pep supercontig:CCACVL1_1.0:contig11126:25157:27160:-1 gene:CCACVL1_16695 transcript:OMO74458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MATATGETSLIRFPLFLTLMTLFLQPCLSSVSQSKTSHEFSIKEATVQDLQLAFERNQLTSRQLVEFYLGEIRRLNPLLKGVIEVNPDALFLADEADKERKAKAPGSLGGLHGIPILIKDNIATKDKMNNTAGSLALLGSVVPRDAGVVAKLRKAGAIILGKASLSEWAHFRDSGAPSGWCARSGQGKNPYNLSADPCGSSSGSAISVAANMAAVSLGTETDGSILCPSNSNAVVGIRPTLGLTSRAGVIPITPRQDTVGPMCRTVADAVYVLDAIAGLDYDDKETIIASKYIPRGGYKQFLKPNGLKGKRLGLLKNFYFSFDNGDFDHLFSALRQGGAVLVEISDASKFFSVYSSKENYEGIAMTAEFKLALNSYLKDLVVSPVRSLKDVIAFNNKFSKLEKIKEYGQQLLLDAEATNGIGKKEKEALINLAKMSRDGFEKLMKDNKLDALLLLKSDSFILARGGYPGIIVPAGYDSEGVPFGLCFGGLKGSEPTLIEIAYAFEQATKIRKPPSFKH >OMO74464 pep supercontig:CCACVL1_1.0:contig11126:46680:50170:-1 gene:CCACVL1_16701 transcript:OMO74464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter NIPA MGMSENSKGVILAVASSAFIGSSFILKKKGLKRAGATGARAGVGGYTYLVEPLWWAGMITMIIGEVANFVAYVYAPAVLVTPLGALSIIVSAVLAHFMLNERIQKMGIVGCVSCIVGSVVIVIHAPQEHALNSVLEIWNLATQPAFLIYVAATLSIVLALVLHFEPRYGQTNILVYLGICSLMGSLTVVSIKAIGIAIKLTLDGISQVAYPQTWFFVTVAAICVITQLNYLNKALDTFNAALVSPVYYVMFTTLTIIASVIMFKDWSGQNVSSIASEICGFITVLSGTIILHSTREQEPPPPVGTVTWYVSNDSIKSPEDEHLITLRSSDYYEP >OMO74461 pep supercontig:CCACVL1_1.0:contig11126:35937:37956:-1 gene:CCACVL1_16698 transcript:OMO74461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MAVKPPLILFSTPILLLLSVTIRIQGHDFAIEEATIENIQGAFAENKLTSLQLVDIYLQRIENLNPLLRGVLEINPDARVQAEEADRERRSDTHGRRSFMHGIPVLFKDTIATNDKMNTTAGSYALLGSVVARDAVIVEKLRKVGAVILGKASLTEWYSVRAIGKIPNGWSARGGQAQNPYVAGADPCGSSSGSAISVAANMVSVSVGSETKGSIICPADYNSVVGIKPTVGLTSRAGVIPISSRQDTIGPIARTVSDAVHLLDAIVGFDPRDSEATSEAAKFIPAAGYKQFLIKDGIRGKRLGIVRHPFLDSLNASNVTTFESHLDILRAGGATIVDDFEIANIDIISDSAKSGEMMLLLAEFKSSVNDYLKELKVSAVKSLADIIKFNNNNPDLEKLQEYGQDTFLESEKTSGIGEKERKAAENLEKLSQDGIEKVMKDYKLDALVAPGISLSMTVLAIGGYPGITVPAGYEGNGMPFGICFGGLKGSEPKLIELAYGFEQATRLRKPPFSEPLDITREFIFAAV >OMO74459 pep supercontig:CCACVL1_1.0:contig11126:27747:29982:-1 gene:CCACVL1_16696 transcript:OMO74459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MAANSLLKNCSFFLIILLVSAISTSYSFSIKEATIKDIKLTFQTNQLTSRQLVEFHLGEIARLNKLLNGIIEVNPDALLQADAADQERNYYKYYKVPGSLPDLHGIPILLKDNIGTKDKLNTTAGSFALLGSVVPRDAGVVKKLRNAGAIILGKASMSEWADFRSTNSTAGFSPRGGQGKNPYVLSATPCGSSSGPAISVAANLVTVSLGTETDGSILCPASFNSVVGFKPTVGLTSRAGVTPVTLRQDTIGPICRTVSDAVYVLDAIAGYDSNDEATKIPSYYIPPGGYKQFLNTYGIKGKRLGVVRNPFFQIVQGLGLGQTFENHLQTLRQLGAVVVDNLEIANIDAILNVMTSGEAVASIAEFKLSLNAYLKDLVASPVRSLADIIAFNLKFPDLELTDEIGQDIFLAAQATNGIGANELAALANLENLTKNGFEKLMKDYKLDALVTPRSDISPVYATGQFPAIIVPAAYDNTGVPIGFCFGGLKGSEPKLIEIAYAFEQATKIRVPPSFKP >OMO74466 pep supercontig:CCACVL1_1.0:contig11126:55596:58740:-1 gene:CCACVL1_16703 transcript:OMO74466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGPFKELSQFLIESKMVKMYENMCSVDPFSTADDVYMFDLAHIKADLGLDMWDYSEWKTSKAIADTMLCCMQKANSMLLIGSSKLSSLKALITLLTVYEETSLEKMTGIGGKIPDQLNFSCIDHICRSFLDALESLSPVPDVSENVLDFLSAQADLLLHLMRSVRNSLSSSVCVLVLKTSGSGLKVLSDLRTIVSGVNKTLKLLLLLILSAMEFCWLDADITGMKDKESVEGFAEISNVILGLLPILCNCITIDECYSLSLTTLDLALKSFLTPDTWFPIIRNHLQLQHLVLKLQDKNSVGSSPILLKFFLAVARVRGGAEMLLHAGFFSSLKVLFADMSDGQTSSVINSGKSLSILSDKTEKPQHLWGLGLAVVTAMVHSLGDSSSCIDIVENVIPYFFLEKAHLISYFLSAPDFPSDDHDKKRPRAQRTWTSLLSLQETEQTLNLMCVLARHWRPWVKAMKDMDSQLREMSIHLLAFISRGNLRLGEASSRIAPLLCPPMLKHEIDCCKKPSFVNSKNGWFALSPLGCVSKPKISGISTTTALVIKDQATENSNHVSQTYFSDLIAFQIYRITFLLLKFLCLQAEGAAKRAEELEYVDLSRFPELPMPEILHGIQDQAIAIVTELCETNKLKQIHSEIQHICLLLVQIIEMALYLELCVLQICGIRPVLGRVEDFSKGVKLLMKATEGHAFLKGSMKSFNQIISLVYPGLL >OMO74460 pep supercontig:CCACVL1_1.0:contig11126:31537:33528:-1 gene:CCACVL1_16697 transcript:OMO74460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MAVKPLLILFSILCLIIRIESHDFTIEEATIESIQKAFAKNKLTSLQLVDLYLKRIEKLNPVLRGVLEVNPDARAQAEKADRERRSDKHRRGSLMHGIPVLLKDSIATKDKLNNTAGSYALLGSVAARDASIVKKLRKAGAVILGKATLSEWYSLRDLVKIPDGWSARGGQAKNPYVAWGGTCGSSSGSAISVAANMVSVSVGTETHGSILCPADYNSVVGIKPTVGLTSRAGVIPVSSRQDTIGPMARTVADAVHLLDAMVGFDPRDRKATSEAAKFIPAAGYKQFLIKDGIRGKRLGVVRHPFLDSLNASIFTAFESQLGLLRYIYIYILYSFIFSAYFGKIQAYLHNNIIMSCLFHFENYRAKGAAIVDDLQIANIDIISDLTKSGELMLLLAEFKSSLNEYLKELKVSPVRSLADIIEFNNNNPELEKLREYGQDTFLQSEQTSGIGEKERKAAKYLEKLSHDGIEKVMKDYKLDALVAPGISLSMTVLAIGGYPGITVPAGYESNGMPFGICFGGLKGSEPKLIELAYGFEQATRLRKPPPIY >OMO79554 pep supercontig:CCACVL1_1.0:contig10401:9329:9601:1 gene:CCACVL1_13592 transcript:OMO79554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVLFGRNTKPCRSANGRDKVVMLTV >OMO79553 pep supercontig:CCACVL1_1.0:contig10401:7003:8409:1 gene:CCACVL1_13591 transcript:OMO79553 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MPSFGTQCLEAEAELNTNVVAINVGGQIFQTTKQTLTQAGPKSFLSQLAETTSNRFVDRDPEYFSLLLSLLRSGTLPSKVKDFDLHDLIEESRFYGLESLLNNSLTNPSHLDAFALQKSLVLHLNGRDSPSTIATTPSGSLHVAHGSKITSFDWSLSRKSTILTQFTAVDSLLAITPDIAAAGATDFAGLQILDLQNGSVKKVIIWENVTRSGSMVQAIGSSPEFLFTSFESGRRNSNSIMVYDLNTLNPVIEIGHNEIFGAELDSAIPATKLRWVSGYGLVMAAGSHSGLSGVSGNIRFWDIRSGNVVFELKEKVDCFSDICVSDNLNAIFKVGVNSGEVFYADFRSLGNVKENGNENSNPWICLGDRRKVVNGKKEGYGCKIESHGNQVFCSKGGDIELWSEVAMGSAKSGEGRSNERVFRKNLMGRVKDLGGLRITNLAFGGNKMFVTRKDQQAVEVWQGSTRGF >OMO79552 pep supercontig:CCACVL1_1.0:contig10401:2899:5673:1 gene:CCACVL1_13590 transcript:OMO79552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVNGESRAMNNTLETIHAAANAIASVENRVPQATVQKRRWGGCWSIYWCFGAYKQKKRIGPAVHASETSASNINVPAAENPTQAPIVALPFVAPPSSPASFLPSEPPSATQSPAGLVSLTSISASMYSPGPASIFAIGPYAHETQLVSPPVFSTFTTEPSTAPFTPPPESVHLTTPSSPEVPFAQFLGPNLQYGEAAQRFPISHYEFQSYQLHPGSPVGQLISPSSGISGSGTSSPFPDGEFAAALNLPEFRIGDPPKLLNLDKLSNREWGSHHGSGTLTPDATRSTPRNGFLLENQISEITSQPPLVREKQNDQVAVNHRVSFELTAEEVVRCVETETAKLPEVVSGSLQSEAVTEREENATKVVDNHECRVGETSNERTEKAPVDKEDKPQHQKTESITLGSGKEFNFDNVDGGDAHKPLVSSDWWANEKVAGKEGGVPRNWSFFPMLQPSVS >OMO79555 pep supercontig:CCACVL1_1.0:contig10401:12991:14529:1 gene:CCACVL1_13593 transcript:OMO79555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MVAYLIWFKFISRSLRGPRVWPLVGSLPGLIQHSSCMHEWIADNLRACGGTYQTCIAAIPFLARKQGLVTVTCDPKNLEHILKGRFDNYPKGPNWQAVFHDLLGDGIFNSDGDTWLFQRKTAALEFTTRTLRQAMGRWVNRAIKTRFCPILESAQVQRKPVDFQDLLLRLTFDNICGLTFGKDPQTLSPGLPENGFAMAFDRATEATLQRFILPEIVWKLKKWLRLGMEVRLSQSLELMDKYLSEIINTRKLELLNQHQGGALHDDLLSRFMKKKESYSDEFLQHVALNFILAGRDTSSVALCWFFWLVSQNPRVEEKILIELCTVLMETRGSDTSKWVDEPLVFEEVDRLIYLKAALSETLRLYPSVPQDSKHVIADDVLPSGTFVPAGSNVTYSIYSIGRMKFIWGEDCLEFKPERWLSEDGKKFEAKDSYKFVSFNAGPRICLGKDLAYLQMKSIAAAVLLRHRVSVAAGHRVEQKMSLTLFMKYGLLMDVNPRNLKAIQEKICKAGNN >OMP11013 pep supercontig:CCACVL1_1.0:contig01707:1124:2553:-1 gene:CCACVL1_00729 transcript:OMP11013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSRGHWRPAEDEKLRELVERYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEERLLASHRIHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERSRLYAKRSINSSTTSTLPDHDQINHNNNNDQNHHQHAPLHTFDQSQLHFPNYHHKYKHGYPNPYLLPHASPRFFKDFYARDPCPSHCITLTQDEKNQPVEFYDFLQVNTDSNKSEVTDNTRRDDEEVEQQSKAVASFIDFFSAGRGSS >OMO93804 pep supercontig:CCACVL1_1.0:contig08058:15192:17641:-1 gene:CCACVL1_06342 transcript:OMO93804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin MAIVKGSWVAIGWGTNEDLIIQILAHRNAEQRKLIRQTYAEAYGEDLLKALDKELSNDFERLILLWTLEPAERDAFLANEATKKWTSSNQVLMEIACTRSANQLLHARQAYHARFKKSLEEDVAHHTTGDFRKLLLPLVSSYRYEGDEVNLTLAKSEAKILHEKISGKAYNDEDLIRILATRSKAQINATLNHYKNEYGNDINKDLKADPKDEFLALLRATVKCLVRPEKYFEKALRLAINKRGTDEGALTRVVATRAEIDLKIIAEEYQKRSSVPLDRAIGKDTHGDYEKMLLALLGHEA >OMO93806 pep supercontig:CCACVL1_1.0:contig08058:25960:31192:-1 gene:CCACVL1_06344 transcript:OMO93806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMTMLDLIHSGDVSLHPLGALISDVRALLAREWSCTCQHTLREGNFCADILSKLGCDLEDEYEVFRSPPEAVLGALDADARGVAFPRGFTEAKALLQWKASFDNHQNRSLLPSWNISTHLNSKTSPCAWFGIHCNNGGSIMRINLTVYGLKGTLHEFPFSSLPNLEELDFNTNDLYGIIPPQISQLSKLTYLDLSYNRFSGNIPPEIGQLIHLQTLHIGENQLNGSIPQEIGRLKSITELSFFNNHLNGPIPSSLGNLTKLVSLLLYNNSLSGPIPPEMGNMKELVYVYMDTNNLTGPIPSTFGNLKTLNLLYMFHNQLTGPIPSELGNMNSLVELALYENNLSGLIPPSLGKLSLLTCLQLFENQLSGPIPDEIGNLNLLVYLELSQNRLNGSIPASIGNLINLETLYLRDNQLSGPIPQGIGNSMKLKILELDQNNLTGILPDSICRGGSGVLENFTASDNNLVGPIPKDLKHCTSLLRVHLENNQLTGNISEAFGVYPSLDFIDLSGNEFYGELSSNWGLCGSLQSLSVARNNITGKIPPEIGNSSKLKLLDLSSNHLVGEIPAEMAKLNSMLYLYLNGNQLSGGIPMELGLLSDLLYLDLSANQLSNSIPESIANFSHLFYLNLSTNKLSQRIPIEIGKLTQLVVLDLSHNNLSGEIPSAIVNLDNLLELNLSYNNLSGEIPSSFQNLRALSTVDIAYNQLHGPIPNCPAFLNASFEELQGNKGLCGNVNGLPPCSTFSSHIGRNRLALFAILFPSLSLAVLSISSISLFFISKNRKKDADEEGQSSVNIDENLLSISSFNGRVLYEDIIRATEEFDAQYCIGKGGYGNVYKARLSSGDSVAVKKFHTSFEMADQKQFLNEVKALIEIRHRNIIKFYGFCSLPKHSFLVYEYLEKGSLATLLRNDEEAKKLEWNKRVNIIKGIVNALSYLHHDCSPPIVHRDITSGNVLLDSEFEAHVSDFGTAKFLNPDSSNWTNLAGTYGYIAPELSYTMKVTEECDVYSFGVVAMEVIMGAHPGDFISNLSVSSPKMQLSLSNVLDQRLSPPLPEVETELVSIINVAFHCLAKNPKSRPTMKSVSQLLFNRI >OMO93803 pep supercontig:CCACVL1_1.0:contig08058:9578:14279:1 gene:CCACVL1_06341 transcript:OMO93803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLGEKKEKMMMIRDMKRNGELENLLNEIPQAPPFLNLQHHSPMNRVSPSPSSSFSNGFSSPEESSPFAEQQQQQAKFHHYGLWLDSKSLPDSHYRNKNVNEKKLVDDGLGLCENLYQMHIRDEEGDRDSPGQMRRFKGDIDGFGYSFGDVSSYGVDKYGSYEGFNKGFQSSPQQVSLCFDGDDAFRSTLLGLQGGYEKGDSLGSYGISYNHSNDLSPKSPGWHLTPRNYLLEQRMDQERSLESKGILLQNAFTTRPYLDNPFVCSQQCGIDGDGGRAVIDSLGSSRFLHSKIPLEENVEDSVLIHGRDMKYGFINKGHDSLKSHKKKSLKEIAMQNLQEKSSKFDTVHGENMTLMPSPCSLAEFQGCIFYMAKDQNGCRFLQRIFDEGSCIDVQIIFNEIIDNIVELMMDPFGNYLVQKLLDVCTEEQRLQIVLMVTKDAGQLVRISLNTYGTRVVQKLIETLKSRQQISLVKSALKPGILDLIKDLNGNHVLQRCLQCLDNEDNKIIFDAAAKFCVDIATHRHGCCVLQRCIAHSKGQHQDKLVTQISRNGLLLAQDPFGNYVVQYIIELKLPSAVGNLLSQFKGHYVHLSMQKFSSHVVEKCLKHFAESRSQIIRELISVGHFEQLLQDPFANYVIQSALAVTKVYSFRSVDDFCESKFCF >OMO93802 pep supercontig:CCACVL1_1.0:contig08058:5109:7454:1 gene:CCACVL1_06340 transcript:OMO93802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTPVRKPHTSTADLLVWSETPPADTPNSAPRSSRPHQPSDGIRKVVFGGQVTDEEFESLNKRKPCSGYKMKEMTGSGIFAANGENEESEEGSANPTPNNKTGIRMYQQALAGISHISFTEEESVSPKKPTTLPEVAKQRELSGTLESEADANLKKQLSDAKCKELSGHDIFAPPPEILPRTTTVRALALKDNFDMGEPDARNPGGASSDDGTVKTAKKIYNQKFTELSGNDIFKGDVPPGSAEKQLSTAKLKEMSGSNIFADGKVESRDYLGGVRKPPGGESSIALV >OMO93805 pep supercontig:CCACVL1_1.0:contig08058:21327:21966:1 gene:CCACVL1_06343 transcript:OMO93805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-associated, eicosanoid/glutathione metabolism (MAPEG) protein MEFLAKEYGYVPIVLVMYCFLNFWMGFQVGKARKKYKVPYPTLYAIESENKDAKLFNCVQRGHQNSLEMMPVFFLLMVLGGMGHPCICSALGLVYIVTRYFYFTGYATGDPQKRLDIGKYGFLAMLGLMICTISFGVKLLRQ >OMO79150 pep supercontig:CCACVL1_1.0:contig10480:927:7148:-1 gene:CCACVL1_13878 transcript:OMO79150 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MASKSNIAQKPHAICVPSPGQGHINPMMNLAKIFHHKGFHVTFVNTECNHKRLLSSMGPSSLDGLPDFRFETIPDGVPLDTTQDITSLLDTLTENVVDPLRRLIQKLNDFESSNVPPVTCIVADAAVPFLEVITEEFGILGVRLGTAGASTFERYIRVRLSVEQGLIPVTDVSSKMDEYLDRMPSAVSVDVSAETNEYLDKNTQVPGLVEEGMKLATDVSDITNEYLDRSTQASSFVENGNKLVTDVCRITNEYLDRSTQISGLIENLLNPITDTSDITDEYLDRSAQVSGIVENGLKPVTDIHGITDEYLDRGIQVFGSSDITDEYLDRSAQVSGIVENGLKPVTDVSGIIDEYLDRGSKAFESSDIKDEYLDKSAQVSDVNGITDEYLDRSSQVFGSSDIIDEYLDRSTQVSGIVENGLKPVTYVNGITDEYLDRGSQVFESVEEVLKPITNTSYIADEYLDRSTRVSDLVKNGLKPVTAYVSSKTDEYLNVSSMTAEYLDKSTQVSDLVENGLKPVTDVSIKTDEYLDVSSKTDEYLDKSIQVSNLVENDLSYGYLDSTRNCLPIMKNIRFKDLNSNDSRLMHLLNEAPHPLTCTVINTFDSLENDTLNAIVSAMPGIPVYSIGPLHLIADQIIQDNKLNQIGSNLWKEEPDCVQWLDSKEPNSVVYVNFGSVVVMAPENLIEFAWGIANSKKQFLWIVRPDLVKSEVASFLPEFISEIKDRGMITSWCAQEQVLKHPSIGGFISHMGWNSTIESLSAGVPMLCLPFFADQLTSCKVACTKWGTGMEISSDVKRDQVEMLVRELMDGEKGIEMKANALEWKKKAEEASKPTGSSFHNLDKLFSHLLSSGKHMNYLEKTKGKTLKVSSLYEAQVVVGSM >OMP02690 pep supercontig:CCACVL1_1.0:contig06207:50000:52323:1 gene:CCACVL1_02726 transcript:OMP02690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MEDQAREIQQLKEEMMRLDEEARRREEATNAKIERMMEMMAAMTNTVPGSSGTANGQRQTTEAENVPSTGVIGSSVQVNLPNCSTSQINLPIPPGRYVHPNMSSATFETSPPTVLPPSQGANTVHVSGFEGVPVVSTGVKAEHDPRKMIEESTQQLWSRMEEKLKGLMGNQTYFKGVDKRSLTGSSYKWYNRLDSSQIKTWNDMANAFIAQYKYIDELAPSCETLLSMKRKPDETIKEYGQRFKDMALEVDPHMKDSEIGSKLLQTLPKEYYRELYQAATDSFTRLMVAGEAYEVGNRKGVFGDVEKKGHANQKKKEGEVHEVEQSSFRLRNKGHQYYPRSPHNYAYPYQYQPYGYYTPPQQDPNLYQYLGNVNAVGGASFQPKPSYPNPAKPLQPTISSGANNSVKIPIDPIPYTYTELLPQLLQQKLLERIPFAQSLPLERRPKWYKADAHCDYHSGTEGHTTEDCMRLKYAVQDLVRSGKLSFGNVAQVNPLPDHGKTQANMVETGEIIKRKVSEITTPLSTLFKALVKVGMIEIGEFGDGDLEKVCPYHGTVHQVCECPKFKGRVQRLMDERQIELYVEKPVKGVSMIVKEQSQPFVSIPSMKEQAIQGLSTEAPTPMQQRSVASVVVSSLQSLSYTNNHQVSKKHEPSFFTLSREGSSTIVTGVGQMTEDGKMDLQGNDRVVRKVNEESQGSVTSVEVENLPKEDECIGLAGWFQEL >OMP02689 pep supercontig:CCACVL1_1.0:contig06207:44487:45543:-1 gene:CCACVL1_02725 transcript:OMP02689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRTGLQHLPSTMFQSIDPPLIFVFVERWQPDTNTFHMPYGEMTIMLHDVQHILGTTLFVDKSGNRIRLSALHELIIEGVTEVPAYSCGAATLTYLIAWIYEYFPCFRPPRGRSQPGRPRACIWSVRSVDRSEARLSTLRSCLDQFTASDVTWLPYGRTPGALLPWTCYIGFIQYRDVIEPYKPSRVLQQLGYVQIIILIPTKGHIGAFRSFLH >OMP02688 pep supercontig:CCACVL1_1.0:contig06207:21821:21952:-1 gene:CCACVL1_02724 transcript:OMP02688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSPPCNAPWPTKDGPTPGTICGPSPLLEKFAPEPLATLRLR >OMO95907 pep supercontig:CCACVL1_1.0:contig07579:4959:5021:1 gene:CCACVL1_05183 transcript:OMO95907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRTGIVSETQNANQQMR >OMO95908 pep supercontig:CCACVL1_1.0:contig07579:6781:11287:-1 gene:CCACVL1_05184 transcript:OMO95908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEANLPVPPSGNLVRPILNQDTSSSTSSSSSPPGLIRDVQAAFKRHRALGTMQTNGIMPRRMVAPQRAASRNVGTNVDTNKSQDCVSLSHGQLVKDKIIAGESQDDASITPPFITGTITKTFDENLNPFDVDRRQPKEVVDQKENNPMPLQDAETQNVDGQRKIQFLTGYNVTSQEMEWDAVNQAEASMAVNNESKHQIFQNAESEISMKSDGGISSLAKRTTVIQDQLHQFRNFLGQPLTQSSVVGSSCATTTSIHSSSAPMLNMTTYCSRSHHEGGSHVAKEPMGDFDRNHSLVNQVDILQQPFPSTKEISRMSVDQAAVATQPSTSANDAQVEVHKFDLSKKQEGSLAYENELSKDPDPCPQYDKSIKGQDFAGDVTNVQSQAPLSKDLTSDVKLEPSKAEKQGKVPSSKGASAPRKRNYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYATAYGFCQEIEYLNRLKGKNNIIQLIDYEVTDKNLLREVMNGCMSNKDGRVKDDGYLYMILEYGEIDLAHMLSQKWKEMDSSDQTIDENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNESDANGNTIKCGRPSDIWSLGCILYQMVYGRTPFAEYKTFWSKFKVITDPNHEITYGPVSNPWLLDLMKKCLAWDRNERWRIPQLLKHPFLVPPVPHQPSLSQDQSCQLVQIIAKACLNNQDALMLCSQLTQLLRDPMSQIRTSQSLTSQEEQCKLLSQMTKVCTQLQEYLSKSG >OMO83327 pep supercontig:CCACVL1_1.0:contig09902:13190:13879:1 gene:CCACVL1_11428 transcript:OMO83327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHLISIRTQRPVKNEEPLLDRTICSLYAFFITAAFSTLWYLVGEKLLGRQGNENRAEIMRRMNNICMGMLLCSSLFLTKGILRPANRRKDYIWNCDLFEVGGIVTINNVVHKILDITLKGTGCLLAKKVGLTTRGIASIPSAYVTRDDVDVGSILLFDVGNGQI >OMO83328 pep supercontig:CCACVL1_1.0:contig09902:14321:18256:-1 gene:CCACVL1_11429 transcript:OMO83328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMMSSGKKVGNVESGDFDFPIPPSLYNPLDEPSPLGLRLKKSPSFLDLIQMKLSQQNANKFTALRKKDGKGNSVSAVQDKLKASNFPASLLRIGSWEYKSRYEGDLVGKCYFAKHKLVWEVLDGGLKNKIEIQWSDIVAIKANFPDDGPETLDVVLARQPLFFRETNPQPRKHTLWQATSDFTGGQASMHRQHFLQCPQGLLGKHFEKLIQCDPRLNFLSKQPEISLESPYFDPKVSGFNDSNEASHGVESKGEQGPTIVGLQDASSPSAGRSSSCKNEQEFSGRSDENFCQGSPSPTSVMDTPVIKEIRGNAKEGNLLSQWDQIRFPGLPASMSMSDLVNHIGNCISEQMTSSGEELQGRDLLEEITQCLLSDSQHTSASDEQYLMSRVNSLCCLLQKDPAVAPTFNIKEDDAVDAREYGKNVEDGSFSASAPVTTNEPDEVSGSKRPQAMSRKDSVGDLLLNLPRIASLPQFLFNFMEDSDIQAR >OMO83329 pep supercontig:CCACVL1_1.0:contig09902:22078:26069:-1 gene:CCACVL1_11430 transcript:OMO83329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MEVERVQTIASSILSKDNVPTEFIRPADEQPGITTFHGPVPDIPVVDLNDHDEEKVIRLIADASRDWGIFQVVNHGVPFDLIEKLQQVGKEFFDLPQEEKELCSKPPGVETIEGYGSKLAKDGQGKKNWVDHLFHKIWPPSSINYQFWPKNPPSYRAVNEEYAQYIRKVVDKVFKNLSLGLGLEGNALKEGGGGEEIEYMMKINYYPPCPRPDLTLGVPAHTDLSAMTILVPNQVPGLQVFKDGLWIDAKYIPGALIIHIGDQIEILSNGKYKAVLHRTTVDKEKTRMSWPVFLEPPREFVVGPLPQLVDQQNPPKYKAKKFKDYSFCKLNKLPQ >OMO83326 pep supercontig:CCACVL1_1.0:contig09902:6968:7048:-1 gene:CCACVL1_11427 transcript:OMO83326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKARVGAMPTYPSTKTKQILLVASE >OMO93933 pep supercontig:CCACVL1_1.0:contig08029:2252:4173:-1 gene:CCACVL1_06253 transcript:OMO93933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSPEIKPKGPKESYGLRISIAIDKIVLHSFMKYFLQ >OMO84579 pep supercontig:CCACVL1_1.0:contig09737:20592:21065:1 gene:CCACVL1_10759 transcript:OMO84579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ras GTPase-activating protein-binding protein MATSEQIVEDAAKSFVHSYYSNLIKSPENVHSFYKDKQQAVTSAAIFTRVHKFSYSVDANLTIGLGVHVLVMGNMVMEDNSSRNFSQFFVLAPLNKPRSYLIQNDVVRFHDEKPDLPKETIVAVQPSLQEVDKSNFDDDFPIIDNLLRRVVKKQGNR >OMO84580 pep supercontig:CCACVL1_1.0:contig09737:38319:41917:1 gene:CCACVL1_10760 transcript:OMO84580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIESPDGDIIDCVHKRKQPALDHPLLKNHKIQKAPTEMPKVKMLKKDGEQGRESRSNEAKGAWQMWHRNGTRCPKGTVPIRRSSMQDVLRAKSLFDFGKKQRSVFSNPTRRADAPDVIGGNGHEHAIAYTGTSQEVYGARATINVWDPSIQVVNEFSLSQIWILSGNFDGPDLNSIEAGWQVSPELYGDSRPRLFTYWTTDSYQATGCYNLLCSGFIQTNSRIAIGAAISPVSQYGSNQYDITILIWKDPKLGNWWMGFGDNTLVGYWPAELFTHLADHATMVEWGGEVVNSRANGKHTSTQMGSGYFAEDGFGKASYFRNLEIVDADNSLSSVRDISTLAENTNCYNIKSSYNNEWGTYFYYGGPGNNPRCP >OMO84578 pep supercontig:CCACVL1_1.0:contig09737:1725:18703:-1 gene:CCACVL1_10758 transcript:OMO84578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFGNIYTGSVHKFQDLLAYIKTIAHKASKYGSDDTTLQSS >OMO66533 pep supercontig:CCACVL1_1.0:contig12543:12264:12401:1 gene:CCACVL1_21097 transcript:OMO66533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKFLASVRAISPRSISLLGFLYEPLFDFFPPTIPRFALSSLVS >OMO66535 pep supercontig:CCACVL1_1.0:contig12543:25060:31135:-1 gene:CCACVL1_21099 transcript:OMO66535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYRKKNSKTPTSSLSLRLKEIIKNNEAAGASSMESLLPLSTVFPLTFSSNSDNQNPRRDMLLGLRNSISITWLFWVLNGGLFEVSRVRGLETAEVMARTLARNFPDIEFKMYTPAVQEKKRLKNAKTTIKKTDTLAYGAKTSKRLVLGSTVRVVSGTFAEFVGSLKKLIRKTGKVSWSRDNRGHGLNTRGFHTHRHPTAAAISKGEKAARKRNKREQSRA >OMO66532 pep supercontig:CCACVL1_1.0:contig12543:7259:9334:-1 gene:CCACVL1_21096 transcript:OMO66532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGLLLWSPCYLSPPVSHSLSLQIPTTKHAPKLPAISASIDSADTQQQQLSARERRQLRNERRESKSGYSWREEVEERLIKKPKKRYASWTEELNLDNLALLGPQWWVVRVSRVRGLETAEVMARTLARNFPDIEFKMYTPAVQEKKILKNGSISNKPKPLFPGCVFFRCILNKEIHDFIRECDGVGGFVGSKVGNTKRQINKPRPVSVDDMEAIFRQAKEEQVKADQAFEEEQQAEKTPTADKLNIEYNLDSNGVITSVLDSKPRRQSRKKSDTVANGAKISKRLVAGSTVRVVSGTFAEFVGSLKKLNRKTGKATVGFTLFGKESLVELDAEDIVLETK >OMO66534 pep supercontig:CCACVL1_1.0:contig12543:17155:24378:-1 gene:CCACVL1_21098 transcript:OMO66534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQASVGEYNDETKEEREKQGIVGGKKWKRGLIKKPKKRYASWTEELNLDNLALLGPQWWLVRVSRVRGLETAEVRARTLARNFPDIEFKGQPIKIEKLVPILEVKGIGPCRSIKPIKTDAIPSLICFKLKGLSKVETPTSSLSLRLKEKKSSKTMKQGLLLWSPCYLSPPFPLSLSLQFPTNKHTPKLPAISASIDSLIDTQQQQLSARERRQLRNERRESKSGYSWREEVEERLIKKPKKRYLI >OMO73393 pep supercontig:CCACVL1_1.0:contig11238:23823:24917:-1 gene:CCACVL1_17283 transcript:OMO73393 gene_biotype:protein_coding transcript_biotype:protein_coding description:GerA spore germination protein MTLKEPLLPLSSSDVVQGDDSGEEARRSDSSSNSDSHTTTKNLKSDSSSRRRRTSTLILSTIFEFLVPSCFLGFCVLGIISTKLSDRPKSNIDNVDECVALVAAVTVALAIVAATLSTVLLFIVTAILALASWALLLPIWKMPIRLLLFTAFYVGLFTFGSASSKVDNAIKQDQPLNELNKKALGHYLGLFTANLFALIYVGIAMISRWLWCSDGGEDEGEERRRYHFQLPAWSTTPFHIFAVCCIFNCFGVFMEYIRFQIMD >OMO73392 pep supercontig:CCACVL1_1.0:contig11238:1260:12767:-1 gene:CCACVL1_17282 transcript:OMO73392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAEVAVSLVLDRIYLLTQELKLLRDVHKDVEDIKIELEFISSFQRDADARAAKEDHDSEGLKTWVKHIREAAFRIEDVIDEYILHVGKHHHQQHGFRAFLGKIDFCSVKSMRKRHEIAMEIQEVKTMVREMRERSAGYGFNTLASSSSAAEIKTWHDPRMGLHFIENDVLVGIDSVKKELVSKLNHGESNQTCIVSLVGMGGVGKTTLAKKVFDEQIATGKFDCHAWITVSQSYKVEELLRTMIRLLHKSRKEPSPSDVNAMDGEELISKLREHLRDKRYLVVFDDVWKEAFWGDIGYALFANDKSSRIMLTTRNENVAVFCKRSSLVHVLELKPLPLELAQELLCKIAFQFDQDKQCPEELEGLSFDIAKRCEGLPLAIVAIGGLLSTKGRDVGEWKRLQQGLKSQLESNIHLTRIKKILSFSYHDLPYHLKSCFLYLVMFPEDYVVNCARLVRLWIAEGFVKQKQHSAATLEETAREYLGELIHRNLVQADFVDFEGVVRKCRVHDLMHEVILSKSDELDLIQTSAENLKSLHHTARHLSIKNGSSNSLSRTTRSSKTHSVIFFEVNEFPKTLLKSIFSNFKLLKELDFEGAPLKYLPEELGNLLHLKYLSIRDTKVKRLPKSLAKLQNLETLDLKRSLVHELPIEINKLSNLQHFIAYSEDWDTRKGVKIRGNVRSLNSLEKLYYVDMNAENSFSFINELGRLKRLKKLGITNLKSQGGDNTLCNAIEQMSHLESLHIITENENELIPLQSMSSPPLLLYCLRLQGIRKLSDLPLRTLGELPELLELGVYKGYIGAQLHFEDGYFPVLKELSLEGLDRLNTLKIDENALCLVEDLFIGSCPRLEELPSDICNMKCVKLLEISEMSKEFARKMLPDVGQDHWKVQSIPEVYFYFVNTDEQYSTYKLGDSSLLEYLDWLKWRASLVPSTKLAADLIVRNGVIFTSDPSFPFADSMAIRDGRILRVGNYSSLQDLSGYGTKELNLEGKIVVPGFIDSHVHLIFAGLQMARVQLQGVNQKDEVVRRVKEAALNAKKGAWILGGGWNNDLWGGELPVASWIDDVTSDNPVWLTRMDGHMGLANSVALKLAGVTNLSEDPNGGTIMKTSDGEPTGLLIDAAMKLIYSRIPEVSIDERRDAMLRASSFALRRGVTAVVDVGRYFPGAPVEDSWQDFSDVYQWADSSGKMKIRVCLFFPMETWSRLYDLIHKAGHALSNWIYFGGVKAFADGSLGSNSALFYEPYFDDPHNSGLQVVEFESLFNMTLASDKNGLQVAIHAIGDRANDWILDMYESVASINGKRDRRFRIEHAQHLAPGTAERFGQQGIVASVQPDHLLDDADSAIRKLGIDRAQKGSYLFQSLLSRNALLALGSDWPVAETYPLRAIRTAMKRIPPGWENAWIQSECLSLNDALIAHTISAAQACFLENEIGSLSTGKLADFVILSADSWDEFAGEGSASVEATYVGGIQAYP >OMO73394 pep supercontig:CCACVL1_1.0:contig11238:26830:28291:-1 gene:CCACVL1_17284 transcript:OMO73394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEYKLINDPSQAVVRAKGDMTLDDCVLCRVYRKREKNNGQDDAGTSSGDKAVEDHPSVNISIPSKHGGGTSGNKAIEDHPSVNISISSNHEVAMLGNHNGFGFGENQLQLAGGAMLGNHIGFGHNQLAGEAMFENHNGFGQNQLAGGAMVENHNGFNHNPLVGGAMLENHNGFNHNNPLVAGEMLENHNEFNHNPFVGGAMVENYYGFNHNPLVGGAMLENHNGFNHNPLVGGAVVENHNGFNHNNPLVGGAMLENHNGFGGGHWQNQLAEAQSVRQQTYHQPDSSQGSLFGNPSTNNDFQSSYHNNELSGDHWFNMEDNEDSTNLGELDPK >OMO75269 pep supercontig:CCACVL1_1.0:contig11057:37378:38029:-1 gene:CCACVL1_16261 transcript:OMO75269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALVDIWTTEYAKLRENGQTLFSSGSGPFAAECSQVVLKNSTEVARAFVSRVKGVKLNVVIVFSPTLNWPNIINTGHCSKTEGVDLKAVTLQNLQSFNVY >OMO75263 pep supercontig:CCACVL1_1.0:contig11057:6426:11793:-1 gene:CCACVL1_16255 transcript:OMO75263 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein MESGLGSLLKIILTNIDVLAWPFISLLYPIYFSVKAIEHPSPVTAKHWLSYWVIYSVLTLVELTFASFIVWIPRLWLCAKLGFVCWLMFFNGAAYVYAVCLRPFYLNPQRTMNSLFVPMKKTLEQNGGSFKDLIQKPALSIGNVGQLATDLLVSSMKAERIGYLDDPFVLPCVGNDAFGPIPCGDLALPLEAYESPSNGLTLLQQRSPVVMGRMVEFAKNMANFAAASGKKHVVLLSGLDFGKWQKIDMSSGLQIYYLSSTTPDGRDDHCERLGWKRLQEYNPAQRCWKYLSTLAEGNTTLENNFPFEDDLEEEDYYPSLPFAALFSSFRAKGLKVTCLLCYCSEGDNMQDAFHLAEAACRLLELNPSTFRGNEESSWVIPLSWQTVYGPPPDMSIF >OMO75265 pep supercontig:CCACVL1_1.0:contig11057:25379:25462:1 gene:CCACVL1_16257 transcript:OMO75265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRWPPRGDRREQPKGGCREKKKKSI >OMO75267 pep supercontig:CCACVL1_1.0:contig11057:34967:35191:-1 gene:CCACVL1_16259 transcript:OMO75267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVGEWMDELAKLKEKVQARRRPLFLSSRPKKEQQLAKEGHVEQKEAAKDDTNETTMSETTVCLLMDRFVPW >OMO75262 pep supercontig:CCACVL1_1.0:contig11057:2152:3618:-1 gene:CCACVL1_16254 transcript:OMO75262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MSSSSSSSSSPSLPAPELPLKPVPGDWGHRFFGPIRDRLAYFYSEGRDDFFSNRIQKYKSTVFKTNMPPGPFISSSPRVICLLDSVSFAVLFDTSKVEKRDVLDGTYLPSLDYTGGLRVCAYLDPSEQKHSSLKYLFMTTLATRHNKFIPLFQTCLSQLFNDLESEISTKKKASFNTLSDAMSFNYVFRLLCDNKDPTETKLGSKGPKLVDKWLLFQLAPIGSLGVLPSGLGFLEDVMLRTFAFPFFLVKSDYQKLYDAFYDFGGSILDEAEKLGIDRDEACHNLVFLAGFNAYGGMKVLFPGLIKWVALAGEKLHKKLAEEIRSVVKAEGGVTFSALEKMVLTKSVAYEFLRIDPPVPFQYGKAKTDLVVQSHDAAFEIKKGEMLFGYQPFATKDPKVFENPEEFVADRFVGEGEKLLKYVYWSNGRETEDVAAENKTCPGKNLVVLLCRVLLVELFLRYDTFEVDATVFMFAASVTFKSLTKASNT >OMO75264 pep supercontig:CCACVL1_1.0:contig11057:20824:22215:1 gene:CCACVL1_16256 transcript:OMO75264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEQNSNLHKRPNLGSLFQQSEPSLFSINNKVGVVDEDSAGSPNRKSSASLASPRYSNSTTTSGEVSPYIMSPWNQPSPYNKSPWMGAASPLENDYGQNGLIGSIVREEGHVYSLAASGDLLYTGSESRNIRVWKNLKEFSGFKAKSGLVKAIIILGDKVFTGHQDGKIRVWKVSSTNPSIHKRFGSLPTLKDLIKSSVKPKNYVEVRRNRSVLRIKHFDAVSCLSLNEELGLLYSGSWDKTLKVWRLANSKCLESIDAHDDAINSVVAGFDSLVFTGSADGTVKVWKRELQGKGTKHFLVQLLLKQENAVTALAVNQESAVLYCGSSDGLVNFWEREKHLSHGGVLRGHKMAVLCLATSGNLVFSGSADKSICVWRREEGGIHTCLSVLTGHTGPVKCLAVAEDHQTSTKTNRKWIVYSGSLDKSVKVWRASEHAPDLKEIKHAYLNGFETIDETVMGKRP >OMO75268 pep supercontig:CCACVL1_1.0:contig11057:36365:36604:-1 gene:CCACVL1_16260 transcript:OMO75268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIVDKCTREFAKVREKGQTLFSSGSTRSVESGQVVQPQERSSNGLIQAMVTRVKPVNSTLLPCSEGSVSMLVQCFSP >OMO75266 pep supercontig:CCACVL1_1.0:contig11057:29188:34425:1 gene:CCACVL1_16258 transcript:OMO75266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide binding protein (G-protein), alpha subunit MAALLRKIVSVGKATAKDDSDDDYNVEYSIAIEYHGPPINYDIPKAVPVDVEELPTAATVSSSYVLNDISLPVIQPIVKTNPVNQKLSKDKIFGSGPCSARKSVDCPAVSPGSSIQVGSLGSLAQRTNDGCRTKLCDVMGSSGNLEISEVHDSLRKSSGGSPELELADHGQEGVGLQKYMDPPGSDTTESVLSSPALSSSEVFSQKGEDGINATPCHVKRPSAVTFRDPETYDMVEDESNYSETESAYSAQPIARNGKKGSCYRCLNGNRFTEKEVCIVCNAKYCFKCVLRAMGSMPEGRKCVTCIGKKINESRRETLGKCSRMLKRLLNELEVQQAMSSERTCEANQLPPELVFVNGEPLSHDDLHVLQTCKNPPKKLKPGSYWYDQTSGFWGKEGLGPCQIITAQLNVGGRIKANASNGNGNILINNREITKRELWVLKLAGVHCEGRPSFWLSADGSYQEEGHRNTQGPIWDKAGIKLFCAILSLPVPPKTVNPAEEDTSNRVPEQQVLNKLLLVGYQKSGTSTIYKQAKILYNVSFSEDERQSIKLMIQSNLYGYLGILLEGRERFEEESLLVQRKRQIADGSCSSGNVSQIVGKTKYSIGPRLKAFSDWLLQVMVSGNLEVIFPAASREYAPFIQELWNDAAFQATYNRRHELEMLPRNATYFLERAVEISRTDYEPSDMDILYAEGISSSNGLSCMEFSFPTVERESSIDGYYQHDPSLRYQLIRVHPSSLGENCKWVEMFEDIDVVLFCVSLTDYDEFSLDSNGVLTNKMLASKQLFESIITHPTFEHKDFLLLLSKADLLEEKIEQVPLTRCEWFHDFNPVISHNHNNNNSNNRFNNTPLAQRAFHYIAVKFKRIFNGLTGRKLYVSMVTGLEPDSVDEALRYVRDILKWEETENSFINNNESSTDIEASSTS >OMO58627 pep supercontig:CCACVL1_1.0:contig14195:19009:19164:1 gene:CCACVL1_25423 transcript:OMO58627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin IKEASYTRLCSTKKILTVNGQFPGPTLEVQYGDTIYVKINNQGKYNITFHWY >OMO87032 pep supercontig:CCACVL1_1.0:contig09356:6692:10587:-1 gene:CCACVL1_09305 transcript:OMO87032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPCGNTTLTWSGRPPFMSTNKLWVSEWQFRISPQIRTLAFSSSSIFPQHLAHSIFNSQSSKKPCRRRLIVKAGRVSVSLIRLLPYNEGSGNNCGSVIFLLDDYFPMLSAYRKLARNYHPDVNKEPGAEQKFKEISEAYEVLSDDEKRSIYDRYGEDGVKGSNMGMGDFTNPFDLFSSLFDMDIGNRGARSMAADGEDLIYNLALTFKEAVFGVEKEIEVSRLDSCTTCNGSGAKPGTNATTCTTCGGQGQVVSSSRTPLGVFQQVMTCSTCSGTGETFTPCNTCGGEGRVRKSKKISLKVPAGVDSGSRLRVRSEGNAGRRGGTPGDLFVVVELLPDPVLKREDTNILYNCKVSYLDAILGTRVKVPTVDGSADLKIPAGIQPGTTLVMAKKGVPLLNKPNMRGDQLVRVQVEIPRRLSDEERKLVEELANLKKTKTLNGTR >OMP12218 pep supercontig:CCACVL1_1.0:contig00273:11668:12285:1 gene:CCACVL1_00073 transcript:OMP12218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYSKSNKGSSSGRGGSGSGGGAGGGSSSAATGRHPVYRGVRRRSSGKWVSEIREPRKPNRIWLGTFPTAEMAAIAYDVAALALKGQDAELNFPNSAASLPVPASTSPRDIQAAATSAAAALGAANDALFGNEDQNEARNNPILPDKSAAMDEFVDEDLLFDMPNVLVNMAEGMLLSPPRLDINAPDQYPSADNLGDDENLWKFP >OMO99205 pep supercontig:CCACVL1_1.0:contig06935:7804:8022:1 gene:CCACVL1_03889 transcript:OMO99205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATTTQTQPPNALMKAPKGPKGLPRSKLVCFSFAAYSKALINHLKTLDSGLIKGQRRGWGYRGQHRERS >OMO99206 pep supercontig:CCACVL1_1.0:contig06935:8680:9126:1 gene:CCACVL1_03890 transcript:OMO99206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MAPPSTSLVFTVRRCKPELVAPAKPTPLEYKLLSDIDDQEGLRFQIPVIQFYKYNPSMQGKDPARVIKEALAQTLVFYYPFAGRLREGPHRKLMVDCTGEGVMFIEADADVTLEQFGDALQPPFPCLDELLCDVPGSGGVLNCPLLLIQ >OMO72783 pep supercontig:CCACVL1_1.0:contig11375:11743:12972:1 gene:CCACVL1_17596 transcript:OMO72783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLDLQRIGNWTQPPFHFTDNDNDDGHTPPNCNSMVV >OMO72785 pep supercontig:CCACVL1_1.0:contig11375:25191:25474:1 gene:CCACVL1_17598 transcript:OMO72785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MDPKPVMIFAGMIVRTFNRGPYLMSCSDSKIYVNLEIPKVALVKLMYQQMERPVEIGPRTPEIAPQ >OMO72784 pep supercontig:CCACVL1_1.0:contig11375:13736:14098:1 gene:CCACVL1_17597 transcript:OMO72784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNFEEGRMSAAEAAKRDNKQSSNEGRSNGDENEEEVIIPPKQGDGEGNNICLGMD >OMO69709 pep supercontig:CCACVL1_1.0:contig11997:10178:17444:-1 gene:CCACVL1_19318 transcript:OMO69709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MFRDVSSCNTYNYGDALYWDARYVQEADGAFDWYQRYSSLRPFVRNYIPPSSRVLMVGCGNALMSEDMVKDGYEDIINIDISSVAIEMMRRKYEYIPQLKYMQMDVRDMSYFQDEYFDSVIDKGTLDSLMCGTDAPISASRMLGEVSRLLKPGGIYMLITYGDPSARMPHLNRPAYNWIIKLYNLPRPDFKRPGDCSSTKSYLEPVPLTENGLLPADFVLEDPDSHFIYFLSKFSSANVPLPTLATQRCMKKTLSRYNKCSQSSSEVAVVEYKAAEVKQDCKEADNLKDEIAKLQMKQLQLLGKDLKGMSLKELQHLEQQLNEGLLSVKEKKEQLLMEQLEQSRVQEQRAMLENETLRRQVEELRGFFPSTDRPVQSYLECYPVERKSSVISHSIHSPDVTCNYTIEKGDSDTTLYLGLPSDYHKRKKPERESQSNDSGSQLQML >OMO69710 pep supercontig:CCACVL1_1.0:contig11997:18738:19404:1 gene:CCACVL1_19319 transcript:OMO69710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLERENEGVMSKGEKRKEERPPPLMALNHVSRLCRNVKHSIDFYTKVLGFVLVERPPVLDFDGAWLFNYGIGIHLVQSKDEERLPSHTDHLDPMDNHISFQCEDMGEMEQRLKDFNTKYIKRTMEDESGAKIDQLFFNDPDGFMIEICNCENLKLVPAGSMGKIKLPLDRHNPPLDLHNDDANSNYS >OMO69707 pep supercontig:CCACVL1_1.0:contig11997:435:2913:-1 gene:CCACVL1_19316 transcript:OMO69707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MEKKLNISLLVVAVFLVTNFDPCSDNYVYAYLNRADVQEALHAN >OMO69708 pep supercontig:CCACVL1_1.0:contig11997:8218:9147:1 gene:CCACVL1_19317 transcript:OMO69708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLVDRLSKLYSKLENHHQSEADVLSASLQAFRSDVSNSLNQLLLNSEILSFPWIQQCFELLPAINRAFAKLVVDIDYPISSWEVASVDEYLNYGLHLLELLNSVSSSVSHLAHARLSFAHALSLLENSPSPCLAIKHLKPIQPQISTSSKDYYKEINSKEKKLSASSKGRVVNEALLEIKHIGFWVCGVVLATLSGEAQPYLEMKKQLIACSSFNSSLLIGVDSYISNVIVGKGEGLKEVKELNNAAASLASAIVSGKCSEAAKELEKRLGVFQNQLEALGKEVDKLFSKVLGARNQLLHGVPHHKQ >OMO69711 pep supercontig:CCACVL1_1.0:contig11997:20815:28356:1 gene:CCACVL1_19320 transcript:OMO69711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTMAGAVDLSFSSSANLEIFKLDFQNDDRELPVVGECPSSERFNRLAWGKNGSGSDQFSLGLIAGGLVDGNIDLWNPLSLIRFADSVRRRCSVLQWHPDVATQLVVASDEDGSPALRLWDMRNIMSPVKEFVGHTKGVIAMAWCPSDSSYLLTCAKDNRTICWDTVTGEIVCELPAGSNWNFDVHWYPKIPGVVSASSFDGKIGIYNIEGCSRYGVGEGDFGAVSLRAPKWYKRPVGASFGFGGKVVSFRPRGSASSEVFVHNLVTEESLVSRSSEFESAIQNGERSLLRTLCEKKSQESESQDDQETWGFLKVMFEDDGTARTKLLMHLGFSLPAEEKETVQDDLSQSVNDITLEDKVVEKVGYEVETQSTLFAADNGEDFFNNLPSPKADTPVSTAENNFAVESTVPSADPIPQESDGLEESADPSFDDAVQRALVVGDYKGAVAQCIAANKMADALVIAHVGGASLWDSTRDQYLKMSRSPYLKVVSAMVNNDLMSLVNTRPLKFWKETLALLCTFAQREEWTVLCDTLAAKLMAAGNTLAATLCYICAGNIDKTVEIWSRCLTTEHDKKSYVDLLQDLMEKTIVLALATGQKRFSASLCKLVEKYAEILASQGLLTTAMEYLKLLGSDELSPELVILKDRIAVSTEPEKETKAAVYENSHPESGSETKPIYMDSPAQQILPGGQGSTYDENYQRFPPYGTYPPTPTYQPQQIPANIFVPSQPPHPQPNFAQPGAVQPPVRPFVPSNPPGLRNADQYQQPTPITLGSQLYPGGVNPNYPTPHVPGPLGPVPSVPGPRMPQVVAPTPTPRGFTPVTNTSVQRSGMGTMQPGSPTQHNQSAAAQPAAAPAPPPPTVQTVDTSSVPAHLKPVITTLTRLFNETSQALGGARANPAKKREIEDNSRKIGALFAKLNSGDISKNASDKLIQLCQALDNNDFGTALQIQVLLTTSEWDECNFWLATLKRMIKTRQNVR >OMO69712 pep supercontig:CCACVL1_1.0:contig11997:32208:33647:-1 gene:CCACVL1_19321 transcript:OMO69712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSCKAESAISVISTSTATQNSSSKTGTNKQEKPIKIQQFDYGDLEAATNGFSHQRLLGKGSHGSVYKAVLRGRQVAIKKPSSRNQETNQEADNEIEILSKIQSPRLVNLLGFTNGTKDRLLVVEFMSNGTLYDILHSNSSRPLYWGRRIRLALQVAKALETLHSQKPPIIHRDIKSANVLIDRNFNARLGDFGLALRCGVDDFRLRSTPPAGTIGYLDPCYVTPDNLSTKTDVFSFGILLLEIISGRKAIDVAHSPPSIVDWAIPLVKKGKILAVYDPRIPPPKDLIVRKQLAVIAAKCVRSCRERRPAMKEVVDWLTGLSKLVPLHSWNGFNNPCMMVETMGRPVEFRNGQENSDAMDGKFGRKTMKDSRRVYSDLGFRSNLMELMGMTGVEGESEVWGEADVVERPASSASSSSRFSNKRYVSRGRGQQKILVNENGVFGLRRNQSAGESSELFSVKGEAFSRSSLSSKAAVDI >OMO98271 pep supercontig:CCACVL1_1.0:contig07140:35149:35754:-1 gene:CCACVL1_04272 transcript:OMO98271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIREYTNIDYWCITIVFIFLIIVCPLFGLFKIEALSRELLRLLVDEKVLIRGELEEEEDLGIQLVPTWFPAIPATGCCLFLLLSGLLCWLTNTYLYNILYVFRPDRALSASFFPKLIFPTLCCYVVAISMSLAILLAYVTILITRAIAASNRVLDHQLPPPAADRTDSKLTRFKVFETSPIPAVQSSILLCTRLVSPSRV >OMO98269 pep supercontig:CCACVL1_1.0:contig07140:27451:30568:1 gene:CCACVL1_04270 transcript:OMO98269 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone-lysine N-methyltransferase ASHR1-like protein MLVHLKDLLLLQTQYVRDVSNQVTFRSVQPVSLFGTAGLNRFDWKMHKIECQAISRLDNNLRNSATPEIRLMVKLYLRRKLQSDQIIPTTAIDNYKLVQKLVTHLSSISEEKLLLYTREAEIVKLMLQWPDINVSETTENFSKLSCNAHTITDSKMEPLGIGLCSPNSVLVFEGREAVIRAVQHAQKGDEVFISYIDTGSRTITRQDSLKQYFFTCTCSRCLTHDALEDETFAAFKCKNKKCSGFFVCKF >OMO98268 pep supercontig:CCACVL1_1.0:contig07140:25218:25769:-1 gene:CCACVL1_04269 transcript:OMO98268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSILFLPLLVHKKVLNGKEDEEEDIEIEAPIWFPTIPAAGCCLFLLVSGLLYRLIDSYLVKASSMFRPDPNKLVSALFSNLFRPALYCSGLSLSMALAIILAYVAMVITRAIVEPSNRVSDVERPADQLPSAADKTETKVRRFKVLESTRIGDMHLRAVQLSVLLCNRLICSAVHYQSTT >OMO98266 pep supercontig:CCACVL1_1.0:contig07140:13658:19314:-1 gene:CCACVL1_04267 transcript:OMO98266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSPSTLFLCGKSSAEIEIAKSMKINDALKLPGAGQVSTLLQSEINNFRFDEEPFNVDLFMNSLSTNRFGRFLVWSPRLPSTHDVVSHNFCELPIGAVCVADVQFKGRGRSKNAWESPRGCLMYSFTLQMDDGKIVPLVQYVVSLAVTEAIKDVCDRNGFPYIDVRIKWPNDLYLNGLKVGGILCTSTYKSKKFNVSSGIGLNVGNEKPTTCLNAVLKELSTSSNEFIREDVMAAFFNKFEMLYDIFVNQGFQTLEELYYRTWLHSGQRVIVQEKNEDRVVENVVTIQGLTSSGYLLAIGDDNQMYELHPDGNRFSHPHKAAKELVS >OMO98272 pep supercontig:CCACVL1_1.0:contig07140:39461:40774:1 gene:CCACVL1_04273 transcript:OMO98272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPEKITKAALLDPYSFLHSASSSSSRTTFSLPQPPKLKETSANKLQHLYELNYLSKCHRVDESGTQEHLITLEIPSEFPKQWLEQGYTHIHFGAIRIALTYHAGKGLPVFARIKVLDSRFFEYRFACLGMVETSLNTETVFVTLFPNFNMALEDPTLPSVLKVQVQIAGAQMVENAREATLHNQMCYRIQDHAMDLAILKEPETPLLKFNSHEAQKTVKSTDPRLIKNKDGSITIKFDHDEIEAPPPPIFSTQFMMQPCLPDPNIKLDILSFKTDGTPVYAFKDKDGHCPWDINCSCKACAQYFDELNDEDYKPKKKRTSQQQLQERYEAGDLEVGLLGSTWLLLIENDAFT >OMO98267 pep supercontig:CCACVL1_1.0:contig07140:20007:24910:1 gene:CCACVL1_04268 transcript:OMO98267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELQTSLEVRGLSVSNAPDKGRSLITTKELYPGEVIISQEPYVCVPNNTLTEPRCDGCFSKRNLKKCSACQIVWYCGSTCQKLEWKLHRLECLALAKLDKERRMSVTPTIRMMVKLYLKRKLQNEKVISTTAMDNYELVKELVSHMSDIDEKQLVLYAQMANLVNLILQSPDIDIKEIAENFSKFSCNAHSICDSELRPQGTGLYPVVSIINHSCLPNAVLVFEGRLAVVRAVQRIPKDAEVLISYVDTAESTMNRQKTLKEQYFFTCTCPRCIKVGQYDDIKESAILEGYRCRDKACSGFLLRESDDKGFVCQQCGLIRNKEDIRRIVSDIKALSDKALKSTSSSNPQEALILYKNIEKLQKELCHRFSVSLMRTWEKLLEILMQLEEWKEALKFCRLTIPVYERVYPGFHPLLGLQYYSCGKLEWLLGETEESIKSLTKAVEILQITHGTNTPFMKELLMKLEEAHAEASYKHSSMEE >OMO98270 pep supercontig:CCACVL1_1.0:contig07140:31066:33694:-1 gene:CCACVL1_04271 transcript:OMO98270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold-shock protein, DNA-binding protein MAETASTERSTGTVKWFSAQKGFGFIAPDDGGDDLFVHQTSILSQGFRTLSDNQPVEFAVDFGEDGRAKAVDGEQNP >OMO64122 pep supercontig:CCACVL1_1.0:contig12862:7113:8477:-1 gene:CCACVL1_22030 transcript:OMO64122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MEASGIVYRTVPRLPATRVDFGGRLSRELNLGVVDSKVSVRSRNSCGRLSCQFSDSGHVQYYVSPRAGAAGTKKKEKEKSSQMKAVKKKLKFIEKLSKDLSMLPQMATGGDIRIGLVAEVKQEASHVLLRTNALKSSPALKRPEEEDIEAPRSVTEAATLANSVTEQVNKKLQGPEEMGAILANSLMEHLESSPQIQVRVPSSSECCSSSNGFRINDESKKIEICMGGKCKKLGAAALLEEFERKVGAEATVVSCKCMGKCRSAPNVRVKNSASGMEALRSNVGINPTCTGVGLQDVDLIVANLLGQDVADEWLMLSP >OMO64125 pep supercontig:CCACVL1_1.0:contig12862:22238:27124:-1 gene:CCACVL1_22033 transcript:OMO64125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENGVNGHTDSNDAGTSKRQEEAENVLLQENNKADEKVNTVPFYKLFAFADSTDTLLMIIGTIGAIGNGVCMPIMTILFGDLIDAFGQNQNNDKVVDLVSEVALKFVYLAVGAAVAAFLQVTCWMVTGERQAARIRNLYLKTILRQDVAFFDVDTNTGEVIGRMSGDTVLIQDAMGEKVGKFIQLVSTFFGGFIIAFIKGWLLTLVMLTSIPLLVISGGVMAILISKMASRGQAAYAKAAVVVEQTIGSIRTVASFTGEKEAINKYNKFLVTAYKSGVHEGAAAGLGLGIVFLVIFCSYALAVWYGGKLILDKGYTGGQVLNVIVAVLTGSMSLGQASPCMSAFAAGQAAAYKMFETIERKPMIDSYDTRGKVLEDIRGDIELRDVYFSYPARPDEQIFCGFSLSIASGTTAALVGQSGSGKSTVISLIERFYDPHAGEVLIDGINLKEFQLRWIRGKIGLVSQEPVLFTSSIRDNIAYGKEGATLEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERVVQEALDRIMGNRTTVIVAHRLSTVRNADMIAVIHRGKMVEKGSHSELLQDPEGAYSQLIRLQEVNKETEHVADPDINPESFRQSSLRRSLRRSISRGSSLGRSSRRSFSVSFGLPTGLNVTDDPEDVGELPLEEEAPPVPVRRLAYLNKPEIPVLILGTISAAMHGVILPIFGILISNIIKSFFKPPDELKKDTRFWALIFMALGLASFVLSPARTYFFAVAGCKLVQRIRSMCFEKVVHMEVGWFDEPDHSSGSIGARLSADAATIRGMVGDALGQLVSNVAAGVAGLVIAFVASWQLAFIVLALIPLIGVNGIVQVKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMDLYKKKCEGPMKTGIRQGLISGAGFGISFFLLFSVYATSFYAGARLVEKGDATFSDVFQVFFALTMAAVGITQSSSFAPDSSKAKSASASIFAIIDRESKIDPSNESGTTLENVKGDIELRHISFKYPLRPDIQIFRDLSLSIHAGKTVALVGESGSGKSTVISLLQRFYDPDSGHINLDGVDIQTLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKGGNATEAEILAAAELANAHKFISGLQQGYDTVVGERGVQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHDTLINIKDGFYASLVSLHTSASTV >OMO64124 pep supercontig:CCACVL1_1.0:contig12862:12988:18340:-1 gene:CCACVL1_22032 transcript:OMO64124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATENGLNGDTDLNKASTSKTCQEPEKDNNMNGENQNLETGKGDEKTNMVPFYKLFAFADRTDVLLMIVGTIGSIANGLSMPLSTILFGELTDSFGQNQNNNEVVDAVSEVALKLVYLAVGTAVAGFLRSHSELLKDPNGAYSQLIRLQEVRKELEQVPDDENGSDITEKSLGQTSLKMSLKKSISRGSSGEGNSSRHSFSASSGLPTGMNATNSVPADAAAASTIPSVQASDVSIRRLASFNKPEIPVLLLGSIASIINGLVLPTYALLLSEVIKTFYKAPNELKKDSRFWALIFMALGLASFLAISAERYLFSVAGCKLIQRIRSTCFEKVVRMEIGWFDEPEHSSGSLGARLSADAATLNSLVGDKLAQMVQSIVSLVAGLVIAFVASWQLALITIALLPLIGINGYVEVKFMKGFSADAKMMYEEASQVANDAVGGIRTIASFCAEEMVMRLYKKKCEHPVKAGIRQGLISGIGFGVSYFLLYSVIATDFYAGAQFVKHGHATFSDVFRVFFVLAMVAASIPQSSALGADSNKAKTAAASIFAIMDRMSKIDPNDESGVTLENVKGEIELSDVSFKYPLRPNIQIFQDLCLDIPAGKSLFT >OMO64123 pep supercontig:CCACVL1_1.0:contig12862:10259:12536:1 gene:CCACVL1_22031 transcript:OMO64123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESSNSIKLMNFVSEQQLDEAKRTRGERIEDGTAQRDRPLYEILKENKDKKDAEFNERFKHRPPKALDDDETEFLDKLETSRRELERQVADEEAEQLRIFQAAVAARSNIVHELKEKPPVPASQDRQEQKSAGRKNPAASPLGMIIKVKPQAKKAKIDPEKELPDANKTPVVDTIQIPNPVNTPNGDSSKSPDVVKTGLVSYSDESDDD >OMO64121 pep supercontig:CCACVL1_1.0:contig12862:4140:5498:1 gene:CCACVL1_22029 transcript:OMO64121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEDPRNGESTHRSSKKPKFISKFISESEIRDEFSHHQPGVARINNGSFGSCPGSVLAAQRQWQLQFLRQPDNFYFNTLRNGIMASRKIIKDLINADHVDEVSLVDNATTAAAIVLQQIGRSFAEGKYEKNDTVLMLHCAFQAVKKSIQAYVTRAGGSVTEVVRDGEEEGVRDKDGVITGYARISHQIYNKLKDYEKFRDAINSLVEDGTTCKMLSVE >OMO51835 pep supercontig:CCACVL1_1.0:contig15697:4723:9702:-1 gene:CCACVL1_29558 transcript:OMO51835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLGRYEDEDTFLEEPLLNGDSGISNYDVELTRKNGSDIVTPYSNAGIFSILTFSWMGPLIAAGNKKTLDLEDVPQLDNSDSVVGAFPKFRNRLESVDSEGSGITTLKLVKALFLSAWKELVLTALFASIYTVATYVGPYLIDTFVQYLNGQREFKSEGYLLVTAFFVGKLVEYLSQRRWYFKLQQIGLRLRAVLVAMIYNKALALSCQSKQRQTSGEIINVITVDAERVGGFIWYVHQPWLIVLQVALALLILYKNLGLAAIAAFVLTVAVMLANIPLKRMLEKFQGKLMESKDKRMKATSEILRNMRILKLQGWEMRFLSKIMGLRNAEEGWLRRFVSTNAVASFVFLVAPSFVSLATFGACILLGVPLESGKILSALATFRILQEPIYTLPATVSMIAQTKVSLDRIASFLQLDELQPDVIEKLQRGSSDKAIEIVNGNFSWDSSSSSSTSTKTLKDINLEVPHGLRVAVCGTVGSGKSSLLSCILGEIPKISGTLKLCGTKAYVAQSPWIQSGKIQENILFGKEMDRERYERVLEACTLNKDLQILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVILGILSSKTVIYVTHQVEFLPAADLILVMKGGRIIQAGKYNDILNSGTNFMELVGAHEKALSALSKTSISEGDDDASATECANGKVQKVENQGCENDKEDDTRPKGQLVQEEEREKGKVGYSVYWKYITTAYGGALVPFILLAQISFQVFQIGSNYWMAWASPVSADVKPPVGSFTLIIVYLALAIASTFSILARAMLLNTVGYKTATLFFKKMHLCIFRAPMSFFDSTPSGRILNRASTDQSEVDLSIPYQVGAFAFSIIRLLGVIALMSQVAWQIFIIFIPVIAACIWYQQYYISCARELARLIGVCKAPVIQNFAETLLGATTIRSFDQESRFQETNMKLNDAYSRPKFHVAGAMEWLCFRMDMLSSITFAFSLIFLVSIPEGVIDPAIAGLAVTYGLNLNMLQAAVVWIICNMENKIISVERMIQYSNIPNEPALVIETNRPSSSWPSQGQVDILDLKVRYAPHMPLVLRGLTCIFPGGLKTGIVGRTGCDLASFPRIQPCLKTIRSNLDPLEEYTDEQIWEALDKCQLGDEVRKKEGKLDSTVSENGDNWSMGERQLVCLGRVLLKKSKILVLDEATASVDTATDNLIQTTLKQHFFDCTVFNNCPPDYFCSG >OMO51837 pep supercontig:CCACVL1_1.0:contig15697:49169:51075:-1 gene:CCACVL1_29560 transcript:OMO51837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MIEAERRLLANALLDFSNQRFVLLSEACIPLFNFSTVYNYLINSNESFVESYDLEGPVGRGRYNRKMRPQVTLDQWRKGAQWFEMDRDLATEVVSDQTYFPVFQKHCKGACYSDEHYLPTFVTMKYGSKNSNRTLTWVDWSKGGPHPAKFLRTEVTVEFLERLRSGSHCRYKGNSTNVCHLFARKFSPDALYRLLKITNGELANGTQSGDDYFGNLKWRDIAMTTAWVVWNDRNTEFHENDWRNPHHTMDFVRAYLMEFQRCQQQQAIRTANFGGIARDCNGQVIGAVAGKLEK >OMO51834 pep supercontig:CCACVL1_1.0:contig15697:721:2852:1 gene:CCACVL1_29557 transcript:OMO51834 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mitochondrial chaperone BCS1-B-like protein MRLALRSRDLHVTTLRNDGFGDDEALQFWGIANEADKERATKMTLTETCLKNLIEALEEARKKAEESVKGICLKKGEEEEKEKGQEVKEESVKEESNDSKPVKENGVIH >OMO51836 pep supercontig:CCACVL1_1.0:contig15697:37747:39263:1 gene:CCACVL1_29559 transcript:OMO51836 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial import protein mmp37 MAKVSQLTTRNTTSMYRSTRVRGISLGSLLSKQMVKTARTNNMAKSHPSAKSELEEGCGDGDRDGDEEKMGLGGRMYSTSLSLKSSALTSSDSDEDDDENY >OMO59169 pep supercontig:CCACVL1_1.0:contig14041:16551:31459:1 gene:CCACVL1_25027 transcript:OMO59169 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative BPI/LBP family protein MVEAMKRTLEKEEVFVVFGLVLCILSTGLARSALTFFSVSENWLAKIKKIPIDVIKKVLDLTGLADHPAKQLLQLDLLHQSLVQILRARTVFSVSLSRDDALNLCCNSMVTRGNYRCKLKLSLLAFGWLKVVELRGRDLLTLTMFIVLDDNEIHGSCSVKIVGNNLGGSVELDDSAISSMWSVELDDSAISIESGPGSVVKVGSHVKKFKQGDEEFFRGRNKAVKRGSEKKGKVAEEIASLTVFVDGNAEEEEEDDE >OMO59174 pep supercontig:CCACVL1_1.0:contig14041:75802:76380:1 gene:CCACVL1_25032 transcript:OMO59174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7/L12 MASSTLSTVSLRSPSYPPPISSHLTNAFPLKTPSLQFPLRSTSPSNLTHRTTFLRPLNATAAPEKIEKLGTEISSLTLEEARTLVDYLQEKLGVSAAAFAPAAVAVAAPAGGDAGAAVVEEKTEFDVVIDEVPSNARIAVIKAVRAVTSLALKEAKELIEGLPKKFKEGVSKEEAEAAKKQLEEAGAKVSIA >OMO59173 pep supercontig:CCACVL1_1.0:contig14041:69669:73252:1 gene:CCACVL1_25031 transcript:OMO59173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAFLYVILGGGVAAGYAALEFTKRGLSPGELCIISEEPVAPYERPALSKGFLLPESPARLPAFHTCVGANEERLTPKWYKEHVLGTRVKSADVRRRTLLTATGETISYKILIIATGARALKLEEFGVNGSEAENVCYLRDLADANSLVNVMQSCAGGNAVVIGGGYIGMECAASLVINKINVTMVFPEEHCMARLFTPKMASYYEDYYQSKGVKFIKGTVLSSFEFDSSGKVTAVNLRDGSRLPADMVVVGIGIRPNTSLFEGQLTLEKGGIKVNGKLQTSNNSVYAVGDVAAFPVKLFGETRRLEHVDLARKSAKHAVTAILEPEKTGEFDYLPFFYSRVFALSWQFYGDNVGEAVHFGDYSGSTFGAYWISKGHLVGSFLEGGTKEEYEAIAHATRLKPAIEDLAELERQGLNFALTVSKKPLPAPPIEVKTSSLVLEKPSYAWHAAAGVILAASVSAFAYWYGRRRKRW >OMO59167 pep supercontig:CCACVL1_1.0:contig14041:6283:7146:1 gene:CCACVL1_25025 transcript:OMO59167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYVKTKPPPPKCLSEEAFSLI >OMO59172 pep supercontig:CCACVL1_1.0:contig14041:61907:64712:1 gene:CCACVL1_25030 transcript:OMO59172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKPCKSQDVEVRKGPWTMEEDLILINYIANHGEGVWNSLAKAAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNSTADFMEAYSPDPSFNQCNNNNNNHHHNHMEASFQGQPSTAMSMAMAIDSNESYWSMEDLWSMQLLNGD >OMO59168 pep supercontig:CCACVL1_1.0:contig14041:12474:13743:1 gene:CCACVL1_25026 transcript:OMO59168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANRQILSSAKLKIHARGVARPRPIHPSSVQEVSSALRTEGPAFSDLGLATALIKT >OMO59170 pep supercontig:CCACVL1_1.0:contig14041:37643:39723:-1 gene:CCACVL1_25028 transcript:OMO59170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formylmethionine deformylase MACASWLNLHSPSIARVFIPVLQYPTALSAGFLHRLHRFSSPARFTFSVTQTNSQLAPVHAQAKRGFLSKDDEIASLEDLRFESPLKVVEYPDPILRKRNKRIDTFDENLKKLVHEMFDVMYKTDGIGLSAPQVGINVQLMVFNPAGERGEGQEIVLVNPRVYRYSKKTVLFNEGCLSFPRIYADVERPESVKIDARDINGSRFSVNLSGLPARVFQHEFDHLQGVLFFDRMTDEVVDTIRVQLEALEKKYEDKTGLPSPEKVETRKKKKAAAGFGKSLNV >OMO59171 pep supercontig:CCACVL1_1.0:contig14041:42869:45016:1 gene:CCACVL1_25029 transcript:OMO59171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, Rab type MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKASA >OMO94962 pep supercontig:CCACVL1_1.0:contig07763:19577:19816:1 gene:CCACVL1_05672 transcript:OMO94962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative monooxygenase MGTNETGCSSYQNRDAAARGGVVGVVVVAPGEDGGFDAEVGDGGGEVGGRAVGENGNEAKVEADLDGESIELMEGKTCF >OMO94963 pep supercontig:CCACVL1_1.0:contig07763:34246:39067:1 gene:CCACVL1_05673 transcript:OMO94963 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase, plant/fungal/bacterial MASSLGTAASSRIFAASRLSLRSSASSAASPSLISFSSSSSLKSFGFSRLQRRSLVNVSSSSSRGFSTVASPKCAASDPDQLKSAREDIKELLKSTFCHPILVRLGWHDAGTYNKNIEEWPQRGGANGSLRFEVELKHAANAGLINALKLIQPIKDKYSGITYADLFQLASATAIEEAGGPKIPMKYGRVDVSGPNECPEEGRLPAAGPPSPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPDRSGWGKRETKYTKDGPGAPGGQSWTVQWLKFDNLYFKDIKAKRDEDLLVLPTDAALFEDHSFKVYAEKYAEDQETFFKDYAEAHAKLSNLGAKFDPPEGIVLDAPTAAPEKFVAAKYSTGKRELSDSMKQKIRAEYEAIGGSPDRPLPTNYFLNIMIFIGVLAILTSLLGSY >OMO53877 pep supercontig:CCACVL1_1.0:contig15122:27951:28280:-1 gene:CCACVL1_28274 transcript:OMO53877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPFHLSPTEEKLVEARLTGVGMDVADDMRMNLGDDHERKRVPLSFDMTSMVRFKIGSRTILSAVVKSYCDLDLENLDDVYHPPRIIARICDSQAGFWFHSIRNYRTI >OMO53876 pep supercontig:CCACVL1_1.0:contig15122:22465:27054:1 gene:CCACVL1_28273 transcript:OMO53876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKLGLIICVWILLWGNCLGRFIVQKNSLKLTSPMALQGVYESAIGTFGVPQHGGTLFGTIVYPIANQRACKTFDDFGISFKFRPGALPTVLLVDRGDCFLTLKAWNAQKAGAAALLIADHIDEPLITNTPEDEFPTRVSSTPDERVEYEFWTNSNDECGPKCDSQIEFVKNFKGVAKRLEQEGYTRFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSTGYDGKDVVVQNLRQACLFKVANESGKPWLWWDYVTDLATRCSMKDKKYTKECANEVIKSLGLDVTKIDKCIGDTESDVENPILRAEQDAQMGKGSHGDVTILPSLFINNRQYRGNLCKGALLKAICEGFQETKMPAVCFGEGKMREKFGFLVCVWILLWGNCLARLVVEENSLKLTWPESIKGIYECSIGNFGVHQYGKFRTLVGTVVYPKANQIACRNFDEFNISFKSKPGQLPTILLVDRGECYFNLKVWRAQNAGAAVILLVDNWDEPLINMHTIEEEGADVEYLQELNITIPSILISKSLGDSIKKELSNGEMVNINLDLTKSLVEQVKYEFWMNSNYECGPECDNHMKFIFNFKEAAQIIEQRGYTQFTPHYITWNCALGAFTWSRHYKSQCINHGRYCASDPQQDYNNGYHGRDVVVENLRQACLFKVANESGMSWLWWDYVTDFAILCTMKDNKYNKKCADQVIRSLGIDVSKIDNCIGDTEADVKNPVLEDEKDAQIFDDVDRGELTTLPILVINNRQYKGLNQNFQPLPANLIA >OMO53874 pep supercontig:CCACVL1_1.0:contig15122:4451:6581:1 gene:CCACVL1_28271 transcript:OMO53874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKFGFLLCVLILLWGTCLGKFIVEKNSLKLTSPESIKGVYDCAIGSFGVPQYARILIGTVIYPKANQRSCRNFDDYGISFKSKPGELPTILLVDRGDCFLSLKVSYAQKAGAAAVLIADYLDEPLITMYTPEDDDVEYLQELNITIPSAFIRKSLGDSIKKALQSGEMVNIGDQIKYEFWTNSNYECGPNCDNQLEFVKKFKGTAKILEQKGYTQFTPHYKTWYCPKAFTLTKRCKSQCINHGRYCAPDPEQDFTKGYDGKDVLIQNLRHACLFKVAREYGKPWLWWDYVTDFAIRCPMRKGKYNKYCADQVIRSLGIDITKIEKCIGDPDADVENPVLKAELEAQMGNGYHAEVTRLPTLLINNSQYKGELQRGAVLKAICGGFQDPMRSICLSQGLEYYDNKDGASSGRTRLLKLLHDGYDSYKKFLQMILSKFNQTSDALRQLPQIEE >OMO53875 pep supercontig:CCACVL1_1.0:contig15122:6847:8803:-1 gene:CCACVL1_28272 transcript:OMO53875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MYSNSQCSLFNQDRSSDSVSIFNGGYPSTWPERGYGSYLSLKIYVYDENEIEGLKALMYGKEGNINVHTCYQGQWGTQVKIHRLLLNSRFRTRKKEEADFFFVPAYVKCVHMLEGLTEEEINQTYVGVLKQMPYFRRSGGRDHIFVFPSGNGAHFFTSWKTFLNRSIFLTPEGDRTDSKNSSSFDTWKDIIIPGNVDDEMTNLGAPLVEPLPLSSRDYLANYLGHAQGLKGRLQLIELSKQYPDKLEAPNLKHHPPDKLFKEEYFDHLRNAKFCLCPRGVSSWTLRFFESFFVECVPVILSDRIELPFQNVIDYSQITIKWPASRIGPQLLEYLESIPDEVIESMIERGRQVKCLWIYGPGSEPCSAMHGILWELQRKLRVFHQSPETFWLHNGTFVNRDLVGFHDWKPPMPLP >OMP00466 pep supercontig:CCACVL1_1.0:contig06671:820:915:-1 gene:CCACVL1_03344 transcript:OMP00466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKTIKNSTNGPRKDRNNKCSK >OMP10687 pep supercontig:CCACVL1_1.0:contig02040:251:475:-1 gene:CCACVL1_00819 transcript:OMP10687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTSDAGYLTRRLVEVVQHIVVRRTDCGTTRGIS >OMO80689 pep supercontig:CCACVL1_1.0:contig10286:13428:13541:-1 gene:CCACVL1_12815 transcript:OMO80689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLNFEVNRDGSHSELRDELKPDKWGGSGRGNGQTA >OMO80687 pep supercontig:CCACVL1_1.0:contig10286:3696:5210:1 gene:CCACVL1_12813 transcript:OMO80687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MENALGVPSAMRAWIYEQHGKPADVLKLKSDVALPQLKEDQVLVKVVATGLNPVDNKRMLGIFIHAECPFPTVPGYDVAGVVVKVGSQVKNLKVGDEVYGNVHEKALDHPKQYGTLAEYTAVEERLLALKPKNLSFVEAASLPVAIGTAYEGLQRCRFCQGQSILVLGGAGGLAKHVFGASRVASTASTGKLELLKCLGADLAIDYTKENFEDLSEKFDVVYDCIGQAERSVKAMKEGGKVVVVVGAVTVPAFKFIVTSNGADLEKLNPYLENGKIKPVIDPKGVYPFSKALEGLAYVDTGRVAGKVVIYPIQEHN >OMO80686 pep supercontig:CCACVL1_1.0:contig10286:1649:3059:1 gene:CCACVL1_12812 transcript:OMO80686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MASIVSTPSKIKAWTYTEYGKSAEVLKLKSDVKVPQVKEDQVLIKVVAAALNPVDFKRMVGLFKASDPPLPVVAGYDVAGIVVKVGSKANKFKEGDEVYGNVNEFALDHPKQHGSLAEYTVVEEKLLALKPKNLSFAEAAGIPLAIETAYEGLERVGFKAGETILVLGGAGGVGTLVIQLAKQVYGASKVAATSSTAKLELLKSLGADVAIDYTKVKIEDLPEKFDVVYDAVGNSEEAVKAVKAVKEGGRVVTIYGEVTPPAILFFVTSKGSILEKLNPFLESGKVKPLIDPKGTFPFSQTLEAFSHLQTGRAIGKVVIYPIP >OMO80690 pep supercontig:CCACVL1_1.0:contig10286:24535:26046:1 gene:CCACVL1_12816 transcript:OMO80690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEGNLQQGVMEEDLIVDLDIDRDSVRESTQFSLIEKVISDRNLNKNGAMGVLQSIWPLKVLRKVFDLGPNLCGFSFVDQRSMEFALHNGPWTVMGHHLCLKRWDTSLAVGEIKFEEVNFWTQVHNLPLEYLTSSNARKIGVQLGKVVEIEDPDWIRGYGMAFLRVKIAVDIHKSLVGRFKVPRGNGDFVTAEVKYERLGDFCYRCGMLGHSDKHCEQSGHSLSLGKYGPWMRAAPIRGGPRTEVRPETFTDNSIPDLSLRRLESDCNNRRERPEIFIREISNVASQELYTMEKGESSGGHSDNLTDYNIEKGVEELPCDSYIEEEDPLSTQIQSQTVPKTPTKQLHASPKISHSPSAKSPFKHSNLSLSSSPETTTISQSITPKKRSKEPPIANNSQNSVSNDCLTLASPSKKQQILKDISNSYSDVSFPSSQTHIPHHSKVIKSINFFSTALNTLSSPVIVIPTNTSTEYMVELPPEEECLSGDPANLSSDSACSGMVSS >OMO80688 pep supercontig:CCACVL1_1.0:contig10286:12937:13101:-1 gene:CCACVL1_12814 transcript:OMO80688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGAPPPLCCTDLGNLQKMAQSPADKQAACNCAKDAASHVPNIKVDGHRISSC >OMO67398 pep supercontig:CCACVL1_1.0:contig12440:4015:9504:-1 gene:CCACVL1_20542 transcript:OMO67398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMRKFRSPESHVAQQSRRDKLRVQQSSNLLHHLEEDFPNTMEQQGSPLHHGINPYLVQVRNVRNANLLYDPSLIPSGIIHFPTNPNVSMAHQELQIPTGMSHPSSSKLNANSSKLSGDPQGYNNSQQSCDWMVSYANASGLGVSRESNIQNPMFVAQVLSNNGGDLKPYSAYHQDVQSSDLSNPGSEISSQDSRRQLYGDLNFVSPYGTVTTASVGNQGQENIARASNSWIDYSGNQPWISRPVMEHCQQWDATTQGLSLSLSSNPKPKISDAAQLNEEYGQESKPFPKPSIISKTSGKSSIPEPGGTSSASLHRHTGPLGPFTGYATILKNSRFLKPAQELLDEFCHSNWTNSKLGKVCDANEGISGEASGSASVDAANTVDMEGGGSKGNNNSVASSSTFYSCNEIGVDHGGVGSSSDEPCRPEYQQKKAKLLYLQEEVCRRYRLYHQQMQMVVSSFDSVAGLSAATPYISLALKAVTRNFRCLKNVISDQIRHVSRALGEDLMSPTTGTSSSKGDINMARLKCVGQKSGGVNMGFLEPQQHGWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLATQTGLSRNQVSNWFINARVRVWKPMVEEIHMLESKGLTEGGNHQNLRRNDAKSTSEGGGSSLPNNEDQPIRDSQHWNHEKRSRMDFHVPTSMEGSFMGFAPYQQSRLEMGGLGAVSLTLGLRHGVESAQQQQQQYQRPEDQLRRQYGGQMIHDFAG >OMO67399 pep supercontig:CCACVL1_1.0:contig12440:13394:14561:1 gene:CCACVL1_20543 transcript:OMO67399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMDWIGIHRTEFHKPPKLYGQLEMTSATGFSLKVPPLSPPALNAGRAKSFIGATACVLGLNQKEEKKGEARETGKERASPRGPRDSIFDGKEYIYMYQKIRRQRVDLTWL >OMO67397 pep supercontig:CCACVL1_1.0:contig12440:2605:2868:1 gene:CCACVL1_20541 transcript:OMO67397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRIMRLLALALAISIMVSSCLAGNRKVIKAEVLSHEDTTVHGRQLVGDGGGNGRDGYPSSSVSNHHYIPRQDFNNYPGGGGDGSG >OMO67400 pep supercontig:CCACVL1_1.0:contig12440:20929:21528:1 gene:CCACVL1_20544 transcript:OMO67400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase MISSPFLSTAISQCNGPCQDLNDCDGQLICINGKCNDDPDLGTQICPKSPSPTPSGSCQQSGTLQCDGQTYPTYECSPPVTSSTTAKLTNNDFSEGGDGGGPSSCDGQYHSNSELIVALSTGWFSNGSRCGQMIRITATNGRSVTAKVVDECDSMRGCDEEHAYQPPCKNNIVDGSDAVWTAVGLNKDVGIVDVTWSMA >OMO67401 pep supercontig:CCACVL1_1.0:contig12440:24317:24925:1 gene:CCACVL1_20545 transcript:OMO67401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase MISSPFLSTAISTCGGPCQDLNDCDGQLICINGKCDDDPDLGTQICRKSPPPRAPTPSGSCQQFGTLRCGSQTYPTYKCSPPVTSSTKAKLTNNDFSEGGDGGGASSCDGRYHSNSERIVALSTGWFSNGSRCGKMIRITASNGRSVTAKVVDECDSMRGCDEEHAYQPPCKNNIVDASDAVWTALGLNKDVGIVDVTWSMA >OMO67402 pep supercontig:CCACVL1_1.0:contig12440:27103:27702:1 gene:CCACVL1_20546 transcript:OMO67402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase MISSPFLSTAISQCNGPCRDLNDCDGQLICINGKCNDDPDLGTQICPKSPTPTPSGSCQQSGTLQCDGQTYPTYECSPPVTSSTKAKLTNNDFSEGGDGGGPSSCDGQYHSNSERVVALSTGWFSNGSRCGKMIRITASNGRSVTAKVVDECDSMQGCDEEHAYQPPCKNNIVDGSDAVWSALGLNKDVGIVDVTWSMA >OMO67403 pep supercontig:CCACVL1_1.0:contig12440:30084:36485:1 gene:CCACVL1_20547 transcript:OMO67403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDHHKALRVREIEIEIEKEIDLSHIAISHVDVKGIAMLHSFASEDVVESTVATTSNRIYTTTTYAFK >OMO78357 pep supercontig:CCACVL1_1.0:contig10592:19253:22141:-1 gene:CCACVL1_14462 transcript:OMO78357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQCSATPRLVFSCTYSPIPTRNSSQILAHPQMLFQTQTNTLLQVPLLPHQPIKANTLKDICLRGNLREAFQSLSVSYNGCPDETYAPVLELCAHKKALSQGLQIHAHMIKSCSVSESVFLGTKLVFMYGKCGSLENAKKVFDQMGQRSIFTWNAIIGAYVSNDEPLGALEIYREMRFSGVPLDAYTFPTLLKASSLLKNLRLGAEIHGLAVKCGYNSTVFVANSLVAMYAKCDDLCGARRLFESMAPTNDVVSWNSMISAYAANGKSMEALELLREMQKAGLETNTYTFVASLQACEDYGFRKLGMEIHATVLKSTQHLDVYVANALIAMYVRCCKKTEAWRIFNELSEKDRVSWNSMLTGFIQNGMYHESLHFFHDFQNAGKKPDQVTIISILAACGRLGYLLNGMELHAYAMKYGFDLDLQVGNTLIDMYAKCSCVNYMGRAFDRMPDKDFISWTTIIAGYAQNDYGVKAFELFREAQLVGIDADPMMIGSVLLACSELTCLSQVKEIHGYIVRRGLSDVVLENTVIDVYGECGNIGYAAQTFKSIKFKDVVSWTSMISAYARNSLGNEALELFHIQNKTGIEPDSVALISILSATSSLSALKKGKEIHSFIIRKGFIPEGSIASSLVDMYARCGMVENAYWVFKCVENKGLVLWTSMINAYGMHGHGKVAIDLFNKMKENLTPDHVTFLAILYACSHSGLIDEGRRLFESMKYEYKLEPWPEHYACLVDLLGRANCLEEAYEFVKSMKMEPTAEIWCALLGACQVHSNKELGEIAAQKLLELDPGNPGHYVLISNVFAARGRSKDAEEIRMTMKEKGLKKNPGCSWIEVGNRIHTFMARDKSHPEYPAINKKLDQVREKLEKEGGYVAQTKFVLHDVEENEKVRMLQGHSERLAIAYGLLKTAEGTPIRVTKNLRVCGDCHTFCKLVSKLFGRELVVRDANRFHHFEGGVCSCGDFW >OMO78355 pep supercontig:CCACVL1_1.0:contig10592:3145:6718:1 gene:CCACVL1_14456 transcript:OMO78355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cation-transporting atpase plant MSSSDEFKLYDCSSLLLNVTTSSLSKAQRRWRIAYTAIYSARVMLSLVKDIISEKGSQNPSVIKNLHHYVALDIESSGSKQLAKTKPGGEGKKDGLFLYQQFTVLKA >OMO78356 pep supercontig:CCACVL1_1.0:contig10592:16767:16832:1 gene:CCACVL1_14461 transcript:OMO78356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFSALSPQLQGAATAYPT >OMP11099 pep supercontig:CCACVL1_1.0:contig01552:3479:4270:-1 gene:CCACVL1_00669 transcript:OMP11099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MAAELGLLSLNQLQKLAARSQQNNQNHQLQHQHRAPNPTPPSSWMWNPNNNKAQAQQPQEDDDSWEVRAFAEDTGNVMGTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQTQPAAAVAINPASSSPTTTSSSTLLIPTQEFATNGGLCLLYQLPNPNGVFTSPPMNACSSESPSTLLSISPYPSNNLMAAAPSINFPVTPPPGLNINYNSSSLCYSSKAEPSTTTTSADNINNKKETSIEELDLELRLGHRPTTS >OMO85354 pep supercontig:CCACVL1_1.0:contig09631:57738:57806:1 gene:CCACVL1_10249 transcript:OMO85354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYRYMETFGMENDCEDGQCG >OMO85357 pep supercontig:CCACVL1_1.0:contig09631:79274:82214:1 gene:CCACVL1_10252 transcript:OMO85357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLIPLSSKRACHQLSQTKATLSVA >OMO85347 pep supercontig:CCACVL1_1.0:contig09631:6146:6262:-1 gene:CCACVL1_10240 transcript:OMO85347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNALVVTRLQLPRERTNHRTSLCKWDPLNNEDKPLI >OMO85346 pep supercontig:CCACVL1_1.0:contig09631:2808:4444:-1 gene:CCACVL1_10239 transcript:OMO85346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSSISINKMQPQIQIFFLLFFFLVGFASAGNLQNPDFESPPKNVSSDSSNPFVTLNENNTIPGWTFQGTVSYVTASPNISLPDNGHAIQLGEDGKINQTFNAGADFMNYILTFTLIPGGQNCSANANLLVSGSDTQGIFSFKQHYGKEAWQSYGLFLGLGGSSYNLEFTLGDANDACEGDFIVQVQAGPVVQNFTVRSNGTGSAQKSSLKFDAAGSRATPISFLSYTTIQTKDGILCGPVIDDVVLLSSGGSRMVIKLNVLISLLLFLILVL >OMO85345 pep supercontig:CCACVL1_1.0:contig09631:75:1989:1 gene:CCACVL1_10238 transcript:OMO85345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M48 NTLLLRAIPGLNEIGRALLGSVTEQIMLLENIGTSVLVSKNQLPELHKMMVEAAGILNIEPPDLYVRQSPVPNAYTLAISGKKPFVVIHTSLVELLTRNELQAVLAHELGHLKCDHGVWLTFANLLTLGAYSVPGLGGFIAQSLEEQLFRWLRAAELTCDRAALLVAQDPKVVISVLMKLAGGCPSMADQLSVDAFLEQARSYDKAASSPVGWYIRNAQTRQLSHPLPVLRAREIDEWSRSNEYRSLLKRATQMSAV >OMO85352 pep supercontig:CCACVL1_1.0:contig09631:24348:26536:-1 gene:CCACVL1_10246 transcript:OMO85352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNNNNPPKNLGPSSSPFGNAGMVPPSMTGNPAFSQAQAQAQAQLNAGFQHQFQLSQAQALAQAQSKAHAQAQVAHAQLQAHLQAQGLALNQAQNAGIGNLGSSSPSMSTPGSASAKRILQKPPVRPPGVPMTNTMSPLRTMDLTPAARRKKQKLPEKQMQERVAAILPESALYTQLLEFEARVDAALARKKVDIQEALKNPPCVQKTLRIYVFNTFANQIKTIPKKPNAEPPVWTLKIIGRILEDGVDPDQPAFVQKTNPLYPKFSSFFKRVTISLDQRLYPDNHIIIWEHARSPAPHEGFEVKRKGDKEFTVNIRLEMNYTPEKFKLSSALMEVLGIEVDTRPRIIAAIWHYVKARKLQNPNDPSYFNCDPQLQKVFGEEKVKFTMVSQKISQHLTAPPPIHLEHKIKLSGNCPAGTACYDVLVDVPFPIQRELSALLANAEKSKEIEACDEAICAAIRKIHEHRRRRAFFLGFSQSPVEFINALIESQSRDLKLVSGEASRSAERERRSDFFNQPWVEDAVIRYLNRKPAAGSDAPGSM >OMO85351 pep supercontig:CCACVL1_1.0:contig09631:19604:23581:-1 gene:CCACVL1_10245 transcript:OMO85351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESKSKEQMCWRKEVDQNLKRLHSLLFGAELCLEKRDYSSAQVLSLQLIGFLDSHSQSEVDEAFTRPIRNDAVSKLNSARRALVEESDRRAFEQAGKALGCVFGKKGAIEIGNIKQSKYFGPFLQQSKGKASTELEGRQEKLVSKPSKIITQAKLTSLYGNKIARANNCSYRSSFDSKGNSSKDCVIVEKGHFYSNPPRGHSVYKVEEEERSYGNTSGTKRAHIEISNPRNDDARSPSSNEEANADVSGNGFVTARAKLEMDTRQKRGLAGSPSASISPQSDTGFNARGYGAKSYGTSRRGVRGNFVPPIKSNGGSVGNVTSRIGGKGDDGLDDSTRTCLEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWDDIAGLEHAKKCVTEMVIWPLLRPDIFKGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGSEQILLIGATNRPQELDEAARRRLTKRLYIPLPSSEARAWIIRNLLEKDGLFKLSEDDIDAICKLTEGYSGSDMKNLVKDASMGPLREALKQGIEITKLKKEDMRPVTLQDFENALQEVRPSVSLNELGTYEEWNKQFGSLSL >OMO85356 pep supercontig:CCACVL1_1.0:contig09631:74348:74434:-1 gene:CCACVL1_10251 transcript:OMO85356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLRLSMASAGDAKAASVVGMVLEWCL >OMO85349 pep supercontig:CCACVL1_1.0:contig09631:10611:10859:1 gene:CCACVL1_10242 transcript:OMO85349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DPH-type MSYDDVEIEDMQWNEELQAYTYPCPCGDLFQITKEELKLGEEIARCPSCSLYITVIYNAEDFAENNSKNNLQPQNRQPVTVA >OMO85350 pep supercontig:CCACVL1_1.0:contig09631:17384:18892:1 gene:CCACVL1_10244 transcript:OMO85350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEIFMSVFRLLPLNFVFISVFFSLLHAISRASKLSKIGPPTYPIIGCLISFYKNRTRLLDWYTELLAESATNTIVVNRLGARRTIVTANSDNVEYMLKTNFNNFPKGKPFTEILGDFLGYGIFNVDGELWKMQRKLASHAFSTNSLREFMMTSLEQEVENHLLPYLESLSSSSSSKVVDLQDLLRNLAFNMICKVSLGVDRCYLDPSLPVSPLNKAFDMASEICAKRGAAPLFLVWKVKKWLGIGSEKKLKDAVDEVHAYIEDLIREKKRDIDNCGDQDLLSRLILAGHDEEVVRDMAISFIMAGRDTTSAAMTWLFWLLSWHPVIEQELVKEIKNKKEQNNLLDYESLKELKLLQASICESMRLYPPVAWDSKHALMDDILPDGTLVQAGDRVTYFPYGMGRMEALWGKDWFEFRPSRWFSEPSCSHGGSLKKVCPYKFPVFQAGPRVCLGKEMAFIQMKYVVASILSRFQIRPVGSEKPVFVPFLTAHMAGGLKVVIQKR >OMO85353 pep supercontig:CCACVL1_1.0:contig09631:30892:36077:1 gene:CCACVL1_10247 transcript:OMO85353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MDPLESDGNGAAGDPLPPPPPLPPNVVPIKAESEPAKKKTARVPMARQGLGSKGQKVSVLTNHFKVNVGNVDGHFYHYSVSLSYEDGRPIDGKGVGRKVIDRLHETYSSELAGKDFAYDGEKSLSTVGPLPDNKNEFTVVLEDVTSNRNNGNANGHDSPNENDRKRLRRPYQSKTFRVAISFAAKIPMEAIQNALRGQESENFQEELRVLDIILRQNAAEQGCLLVRQSFFQNDPANFVPIGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMTIQPGPVVDFLISNQNARDPFSLDWSKAKRVLKNLRIKVNPSNQEHKNWTERANMPRTDKSKNSDGEAETLEVTVYDYFVNHRNIQLQYSGDLPCINVGKPKRPTYIPIELCELVSLQRYTKALSTFQRASLVEKSRQKPQERMSALSNALRNSNYGADPMLRSCGVSISSNFTQVEGRVLPAPKLKMGNGEELFPRNGRWNFNNKKFMEPTKIERWAVVNFSARCDVRRLVQDLTRCADMKGIHINPPFDVFEENNQNRRLSPVLRVEKMFNDIQSKLPGAPQFLLCLLPERKNSDLYGPWKRKNLAEFDIVTQCMSPTPRINDQYLTNLLLKINAKLGGLCSMLAIERTPALPVVSKLPTIILGMDVSHGSPGHSDVPSIAAVVSSRQWPSISRYRASVRTQSPKVEMIDSLFKRVSEKEDGGIIRELLLDFYKSSGQRKPDQVIIFRDGVSESQFNQVLNVELDQIIEACKFLDENWDPKFVVIVAQKNHHTKFFLQNSPDNVQPGTVIDNKICHPKNNDFYLCAHAGMIGTTRPTHYHVLLDQIGFSPDNLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQLGTYMKFEDAYETSSSHGGVTAPGAVPVPQLPKLKEKVCSSMFFC >OMO85348 pep supercontig:CCACVL1_1.0:contig09631:6429:9937:1 gene:CCACVL1_10241 transcript:OMO85348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLRGPSDYSQEPPRHPCLQINAKASFSFSIIGFYLLEPFNAEPPRSALVSSYVTPVDLFYKRNHGPIPVVDDIERYCVDLVGLIETPKKLYMKDIRALPKYNVTATLQCAGNRRTAMSKTRKVRGVGWDVSAIGNGVWGGAKLADVLEIIGIPKLTSSTQSGGKHVEFVSIDKCKEENGGPYKASIPLSQATNPEADVLLAYEMNGEPLNRDHGYPLRVIVPGVIGARSVKWLDSINIIAEVCQGFFMQKDYKMFPPSVDWDNINWSTRRPQMDFPVQSVICSLEDVQSIKPGKVTIRGYAASGGGRGIERVDVSVDGGKTWIEASRFQKTGMPYIADDMSSDKWAWVLFEVTVDISHNTEIVAKAVDTAANVQPEKVQDIWNLRGILNTSWHRVQVRVGHSNM >OMO85355 pep supercontig:CCACVL1_1.0:contig09631:61612:62063:1 gene:CCACVL1_10250 transcript:OMO85355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFLLRLIVYEMPLTYRSKGSIKRLWQLLVQQ >OMO88217 pep supercontig:CCACVL1_1.0:contig09079:11125:11232:1 gene:CCACVL1_08517 transcript:OMO88217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNGLGHDLPPYNGSTVRETNTAPHGTYDSCHIVVQ >OMO88218 pep supercontig:CCACVL1_1.0:contig09079:11976:12190:-1 gene:CCACVL1_08518 transcript:OMO88218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSLKIFLLLRQPKDRLESLLNFSIQQKLMQIGGIPLECKLLHHNEVLPRRQWLKGKIQIVQHHCTSRCN >OMP11771 pep supercontig:CCACVL1_1.0:contig00896:526:1778:-1 gene:CCACVL1_00278 transcript:OMP11771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MARITSYLPQLVGIHSAGANLIGGYEGTLLARFEVRPTLVDQIRDSQEVDEKLSAELEKLYLGMPNEYSLREDGVKTEHQSPAGTLQPLPIPKWKWEHITMDFIVGLPRTRQGHDTIWVVVDRLTKSTHFLPMRATFSIEKLARLYVSEVVRLHDVPVSIVSDRDPRFTSRFWPKLQHALGTRLKFSTAFHPQTDAEFAYNNSYQASICMAPYEELYGRKCRTPVCWDEVGERKLFNVGLIDDMVEKVKMIQDRLKVAQDRQKSYADHRRRDLEFEVGDVVFLKVSPWKGVIRFRKGRKLAPRYIGPFEIVERIGPVAY >OMO85983 pep supercontig:CCACVL1_1.0:contig09551:5940:6611:1 gene:CCACVL1_09878 transcript:OMO85983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSGSVSSPISDSGSASYGRPANFSDEDVMLASSCPKKRAGRKKFRETRHPVYRGVRRRNSGKWVCEVREPNKKSRIWLGTFPTAEMAARAHDVAAIALRGRSACLNFADSAWRLPVPASTDPKDIQKAAAEAAEAFRPAEHSDGYSGDDAKIRAENTEAEKTTVSENGFYFDEEALFGTQRYFANMAEGMLLSPPRCGYNGADEQENDAADDYVPLWSYSI >OMO85984 pep supercontig:CCACVL1_1.0:contig09551:8376:11014:-1 gene:CCACVL1_09879 transcript:OMO85984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Per1-like protein MNRMALNRLILLFFALSFLVASLDASEGEADPIYKACLAECEKSGCVGKRCFQHCKFSSDGKPIDGPWYFQEPLYQKWKQWDCQSDCRYHCMLAREEQREKLGDKPVKYHGKWPFQRVYGIQEPVAVAFSALSLAMQFHGWISFFILLNYKLPLRPDKRTYYEYTGLWHIYGILAMNFWLWSAVFHSRDVELTEKLDYSSAVAYIGFSLIVAIIRAFNVRDEASRVMVAAPLIAFVTTHILYLNFYKLDYGLNTKVCMAMGVTQLLIWGIWAGVTSHPSRWKVWLFVLGGSLALLLQIYDFPPYKGFVDAHAVWHAASIPLTYLSWSFVRDDAEFRTSALLKKVK >OMO85988 pep supercontig:CCACVL1_1.0:contig09551:23668:29030:1 gene:CCACVL1_09883 transcript:OMO85988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMSLASTSILKFGLSPQTRLLRRRSFVTRASPGFLTIRAVQENGSPRRLVDIIRLVPDISRNYFRSPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVLCVLLTEYFSSKMNRQQVVLPKSSANGFGHRIDREVGARVDSKVQSGKSNQGRMQNIGGFSGVAVTRDGFARTGSKAIWCKKFFQRVYTTKLERGPQMRQLEKEAMRRAREIEGEDTHDLHLAEERGLHLHDNLDIVEEMRYSSVCRGSRVDDSGFEEEDIIGFPQHGDFGENSGSLGKRPVDLTNLQSIDGAEMMTSSSLVGEAQTSQATIGADLNHSGSYDQAR >OMO85987 pep supercontig:CCACVL1_1.0:contig09551:22897:22974:-1 gene:CCACVL1_09882 transcript:OMO85987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFRERVFNFLSRGISDRESDRKS >OMO85985 pep supercontig:CCACVL1_1.0:contig09551:11682:16851:1 gene:CCACVL1_09880 transcript:OMO85985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASASLTAPLLHQPNHTRFCTSLRHARKLKFNLSRNSPLRQSCTFPPISPPATTKSRGFYISASVSRAYVTGPPIVSEPDPRVDDSEPDTQKAEPPDLITRRLLWSLLVRHKLRIGLSLLALIGCTTCTLSMPIFSGRFFEVLIGARPEPLWKLLSVQGLLYSLEPIFTVIFVVNMNTTWEKVMATLRAQIFRRVLIQKVGELSGLLTSDLGSLKDVVSENISRDRGFRALSEVVGTICILFTLSPQLAPILGVLMLFVSISVAIYKRSTVPVFKAHGLAQASMSDCVTETFSAIRTVRSFGGEKRQMSMFGSQVLAYQKSGIKLGTFKSINESLTRIAVYVSLLALYCLGGSKVKAGELSVGTVASFIGYTFTLTFAVQGLVNTFGDLRGTFAAVERINSVISGAEIDEALAYGLEKEIQKKEVNDENIKLFISNGAFEQNKKLNSRYMSALKSASNVGRLAWSGDVCLEDVHFAYPLRPDVEILNGLNLTLKCGTVTALVGSSGAGKSTIVQLLARFYEPTSGRITVAGEDVRTFDKSEWARVVSIVNQEPVLFSVSVGENIAYGLPDEDVSKDDIIKAAKAANAHEFIVSLPQGYDTLVGERGGLLSGGQRQRIAIARALLKNAPILILDEATSALDAVSERLVQDALNHLMKGRTTLVIAHRLSTVQNAHQIALCSDGQIAELGTHFELLEQKGQYAALVGTQRLAFE >OMO85986 pep supercontig:CCACVL1_1.0:contig09551:17395:21561:-1 gene:CCACVL1_09881 transcript:OMO85986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAKSNSFTETSKQPCNCYKLASLSETILETDQTANLKDRYILGEQLGWGQFGVIRLCSDKVTSEVLACKSISKDRLVTSDDARSVKLEIEIMTRLSGHPNVVDLKAVYEDEDYVHLVMELCAGGELFHRLEKYGRFPETEARVLFRHLMQVVLYCHEIGVVHRDLKPENILLATKASSSPIKLADFGLATYIEPGQCLHGTVGSPFYIAPEVLKGGYNQAADVWSAGVILYILLTGTPPFWGKTKSRIFDAVRAADLQFPSDPWNRISDSAKNLVRGMLNTDPCQRLTALQVLDHIWMRNDECCHEQSSELVYESCAEWEFGSGSFSLSRDQDISFGAGSPIICDVQTPTATCRTSFSSFLVEPSTPCLASGGFSFCSANSDTLEFSSPIPSMPSFAFFGASSLVEKENCRQDFTTDITGTETIHADAGFDKLIVLPDSSPCCGPEAREMENKAAEFRRTGGSSGARMLAFHSKRNRTIGHIGEREQLDFMVSESVIRWASCEAVSTTKSIETQQPKTDVLTVSFKTLGACKLGISRYPDFEYNAQGGTGAGTGSKTKAGNEISVNFDIKTLYIPPLSSATTKFLGLPLPPLLKIDIVPQLFQGNINQESGKVDLEFLANFCFSVGSIYRAPPLLVKTVLTSEESKGKIRSGRGERLDGEGNCKLVGVATVDPIDDFFMNTFLSLPTECLAKLNAVITVSDSA >OMP01219 pep supercontig:CCACVL1_1.0:contig06501:2369:2518:-1 gene:CCACVL1_03142 transcript:OMP01219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCIRTISLSGRGYVRKSINKPQQFTFLRDLLNRYKHRVCKSPVEGPIQ >OMP01220 pep supercontig:CCACVL1_1.0:contig06501:6712:8078:1 gene:CCACVL1_03143 transcript:OMP01220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRLSELHRPSYFALLLCTWLRFPSMSKSGILSDRTEGRGSGEKEITFYPRARERQIPAFFPQQTIEHQLRSFLSIMLSLFHLRLRKQVPVKADLPLLCCVLLSNGIRDSLKTKRRMLESFIKSKFHPPIEVTQGRNKRLSFLFLRFFCSNVWRLPRILNSEEKSLMHHLPETFSPFSCRLAGWVHLSVQE >OMP11210 pep supercontig:CCACVL1_1.0:contig01478:465:5692:-1 gene:CCACVL1_00623 transcript:OMP11210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMKAVMQAHWRVRGYLEFTTSCNMEDYAMTIEFLRARLLSERSVSKSARQRADELARRVAELEEQLKIVSVQRRRAEKATADVLAILENNGVSDISEEFDSSSDQEIPFESNMDHGSTKEEESSVSSKVRRKESEELSGSDLDFSPVSSRSLSWKGRKSASHSPERHKDSLVRRGNSFAPMGFPSPRHRQGKSCRQIRRRESRSVAEDLKSDKVKVDPQENGLINLSEVNPNHSKAEPDISPMGSEIHEEKSSHSDALKEERNGTGSDLDFQGNEGQKDMEKALEHQAQLIGRYEAMERAQREWEEKFRENNSCTPDSCDPGNHSDVTEERYEIKTQAQYVSETATSQVLGDRGEHISFAGGLPKTHPHDLGPPSQGDTDHSKDQRYSSDVSPVSLNPNFPVQKSHLMAEENHYQDSLHSNHPPSHISHRFAQPHVSSGDHTVQLFSSDMGNSSHREPPGNKNEQYALVPHETSSRFTGVLDALKQARLSLQQKMNPLPLKEGASVGKAIEPSGSGRNVGDRVEIPVGCSGLFRVPTDFSVEAPKVNFLGSGSQLSLVNYTDTGVAGTTGNYLLTNSYINTQSSSSSNYQPVTSDRFFSSPYMDTRSSYSTVPTAYASSSYIKEDRILAGQYAEIGSKLSTQKPSPYLEPGLPSSSLQNYPTFPPYPDLVPQVHTNEGFPAFHTTRSVGASPDQFSFYDNQFRPDIHRL >OMO67998 pep supercontig:CCACVL1_1.0:contig12319:12263:12649:-1 gene:CCACVL1_20146 transcript:OMO67998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTGKDPTLLSRGLEMWFINKGHSFPYKAPFIKRAKPLLKRDNTLGAFFDPRLNKSQSPLPEQSPTLGFYCPSPQSQTLTLAFDWPPTQSQILMLASASTSNPDSTMSIAQSSTYDHVQPPTQTHEC >OMO67996 pep supercontig:CCACVL1_1.0:contig12319:511:606:-1 gene:CCACVL1_20144 transcript:OMO67996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAMMSFTFTKPCIHNHLHATQLGSNPSLKV >OMO67997 pep supercontig:CCACVL1_1.0:contig12319:3287:5861:1 gene:CCACVL1_20145 transcript:OMO67997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPGLFDLENHFAFYGAYHSNRINILLHTLFVWPILFTTLVLLHFTPTIYDLSQAGFLPSGLNPILVLNYGFVFTLIYGIFYVILDKKAGSLAALLCLACWVGASFLAAKFGYSLGWKVVLATQLFCWTGQFIGHGVFEKRAPALLDNLVQAFLMAPFFVLLEALQSLFRYEPYPGFHARVTARVEAEIKEWKDKKQEKVS >OMO80770 pep supercontig:CCACVL1_1.0:contig10275:17070:20846:-1 gene:CCACVL1_12763 transcript:OMO80770 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSCIYGHPELQKRQDVWNQVIQFSTSIPENENWLILGDFNQVLSSSDKLSNTSHSLQGAESLRECLDICNPSEVAAKGQHFTWTSNREEEIITWERLDRAFANPAWFRSFSDAVLTNLPLTVSDHGLMILQLEKSEHFRRRPYRFEWMWTTHPGCKEEIKKAWNQQHQGSAAYQLVQKLKCSRDGLRRWNKNTFGNLRFRRRKMEERLAALQGDLMNMESRNEEKVLRNELEMIMEQEQMHWMQKSRENWIIHSDRNTKYYHTLTKKRRMRNRILSIKNGRGETLEDIKEIEDEFLKEYGKCYEEVRQAAFQLGPMKAPGVDGKPATFYQQYWDVVGNLTTQSAFDFLNNGHILKELNKTLIALIPKKKDPSVVNDYRPISLCNVAYKIISRVLVNRMKEVLNEVISPFQSAFIKGRAISDNVIIAGEIIETIRKRENGKGILGALKLDMNKAYDRVSWLFLETVMESMGFNGHWIQVIKEKVRDLIQNFCYLLGQKVNYSKSDLMVSPNYPQRHKRWFKGILKVNLTQNPEPQEKEKLKENETSCFGGEDKLVWKAEPNGQFSVKAAYKMVSGNHGNEHTTRDEVWFASTLTLRVENFININWIEWIATWLDKNGAQDDEMQWFTNELVLNLWYIWVQRNEVIFQGLNPNPIAVIHKVKHTSSWIQSAYKNNQETNERMKRNKLEIRVPIVINGGYPLLPTNGWIVWVDLRKRQGANWFGASMLARDSQGNTILLSKSIQAKEAWLARLKLARLAYQRLRECGAEEVHFQNGDKRWINALIARTCVTWQKQLLLEDIKKLSKEFKLIAFCRGRHPLAKEARKMAEQAARAWISYSWP >OMO80774 pep supercontig:CCACVL1_1.0:contig10275:39161:39821:-1 gene:CCACVL1_12767 transcript:OMO80774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYAIYRRCRSRVPVSLQITGRMFYPNKTRRVWHWDSGGLLAGS >OMO80769 pep supercontig:CCACVL1_1.0:contig10275:14919:15440:1 gene:CCACVL1_12762 transcript:OMO80769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEITLRPYELSDIDEFLEWANDEEVIRLSRLDRFNSREDALCYFKEVVMPHPCYRAICLDGRPIGFIAFDPAGSGIFKCRGYIGYALGSKYRGQGLTTKAVKMLTSAVFKEFPEIEKVEAAVDVENKASQRVLEKAGYQKEGLLRKWMVFKEKTTDVFLFGILSTDHVVSTY >OMO80767 pep supercontig:CCACVL1_1.0:contig10275:2218:2736:1 gene:CCACVL1_12760 transcript:OMO80767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEITLRPYELSDIDEFLEWANDEEVIRLSRLEHFNSREDALCYFKEVVIPHPCYRAICLDGRPIGFIAFEPCSGTARCRGYMGYALGTKYWGQGITTKAVKMLTSAVFKEFPEIERVEATAGLDNKASQRVLEKAGFQKEGILRKWMVFKGITTDVLMFALLSTDPLVSTN >OMO80772 pep supercontig:CCACVL1_1.0:contig10275:35720:36238:1 gene:CCACVL1_12765 transcript:OMO80772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTLRPYKLSDVDDFMEWSSDDEVINRTRLRRFTTREDALSYLKEVVIPRPWCRAICLDGKPIGFIAFKPWDSANNRCRGTISYALGSKYWGKGIVTKAVKMAVPIVFKEFPDIERVEGLVDVDNKASQRVLEKAGFQKEATLRKYLILHGKTIDVFVFSLLPTDLMARVS >OMO80773 pep supercontig:CCACVL1_1.0:contig10275:37348:38544:1 gene:CCACVL1_12766 transcript:OMO80773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKPCYSNEEMMIKGAWTAQEDRILKEQIRVHGEGRWTKLSQKSGLRRSGKSCRLRWLNYLRPDIKRGNITADEEELIIRMHKLLGNRWSLIAGRLPGRTDNEIKNYWKTKLGKKVDLLSSIKIQSPLKYNGVLMNPQPLKPAGNSDESKTEAGQRDSDKFFMSNNIANDMTPAFATQDNNNNQSDFLLDFNLEDFHLLDHFDLDFSEFCNFSYSAIDHNDSVLPPSDDPLQVFCSETMPELDPLEL >OMO80775 pep supercontig:CCACVL1_1.0:contig10275:40438:40953:1 gene:CCACVL1_12768 transcript:OMO80775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRISLRRFQLSDVDDFLKWASDEKVTRYLRWNTITSREDALIYLEKIAIPQTCRPSICLDDRSIGYVSIKPGSGDDKCRAHLGYAVSAEYWGQGIATIALKMAVSDAFKVFPGLVRLEALVEVENMGSQKVLEKVGFLKEGLLRKFGFRKGEIRDMFIYSFLETDLIK >OMO80768 pep supercontig:CCACVL1_1.0:contig10275:12402:12920:1 gene:CCACVL1_12761 transcript:OMO80768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEITLRPYEVSDIDEFLEWASDEEVIRLSRLERFNSREDALCYFKEVVIPHPCYRAICLDGRPIGFIFFEPGSGKTKCRGLIGYALGAKYWGQGITTKAVKILTSAVFKEFPEIERVEAFVDVENKASARVLEKAGFQKEGILRKWIVLNGKTTDVSMFGLLSTDPVVGTN >OMO80771 pep supercontig:CCACVL1_1.0:contig10275:21143:26020:-1 gene:CCACVL1_12764 transcript:OMO80771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVESWVIEVNGKLESMVDSETEKEEWKKRSIYRIPGCITDLNKKAYKPQVVSFGPYHHGEPHLKPMEEHKQRALLHFLRRSQKPLHLFLNSLAQEVNKLKECYEALDPVWQDDSKFLQLMILDGCFMLEVVRSATHTMADYAANDPIFSNHGKVHIMPFIKRDMLMLENQLPMQVVEILVAVDSNRTKDEEFVNKLILKFCSPNTHILSMGRCLHVLDVYRKSLIQDIPGHRSQRKPVRNRNIHYEDGDDIIRSAMELNEAGIRFKKSNTVSLKDITFHGGVLKLPVVIVDDATESMFLNLIAFERFHVGAGNEVTSFIFFMDNIIDSDKDVALLHSRGIIQNALGSDKAVANLFNSLSKDITLDPDSSLDEVHKKVNSGKDNNIDSIESDDSVGIGQGWNPAPSPWMRERRGYLEEFETDELTEKREEGAKCLVAFLMDVRRFTSKTVQTAMEKRWGLKGRITVMGRDDHRYLVYFEHEVDRLSVMQENSWIFQGALFVFQCWNPNKSLSEAGLEAMEIWLQIWGLPFEYQQPSVASRLARHAGEVLEIDWQNRKPRNISNRIKASANRRSRANGKGEQTQGEQTAGNRSTVNINAGYNADNDQGQPNQTVQEVPSSPVTFFTPELEPLLDLDETRVKSCTNQLVGIGNRINTENVTQILIEKYQQIDSLYEKMVQKKQKLKDNLQERHFDDLFTKGQLVVKNDARGVESSAIGVCRNREAGNGSKNELLQVQMNVHGGLTETNEEVRNYNLNCQWSGGTDTGQENDQNKVENTLPNLTKEETREAEVFAEGMMKGKRSPFLEHGEKVLEDSQRLSQKRKRDSYEKDEQETTRGKQLRRRVQRLEVNKESEQIALETRQEADGLWKAVPEQPPMEP >OMO54003 pep supercontig:CCACVL1_1.0:contig15070:5767:5892:1 gene:CCACVL1_28145 transcript:OMO54003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSILIKKQEARSKKQYGAPTNQEAVTCGLFKGIQIGVNDLE >OMP04047 pep supercontig:CCACVL1_1.0:contig05843:781:5408:1 gene:CCACVL1_02205 transcript:OMP04047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exodeoxyribonuclease III xth MKRFFKPIEKEGSAKKPSLSPSKQGGENGEAKQEEEDIKKEPLKFVTWNANSLLLRVKNNWPEFSGFISKIDPDIIAIQEVRMPAAGSKGTAKNPAELKDDTSSSREEKQTLLRALSSPPFGNYRVWWSLADSKYAGTALLVKKCLRPVKVYFSLDKTASKHEPDGRVILAEFETVRVLNTYAPNNGWKEEANSFQRRRRWDKRLLEFVVQSSDKPLIWCGDLNVSHEDIDVSHPEFFSAAKMNGYVPPNKEDCGQPGFTLSERKRFGEILKEGRLVDAYRYQHKEQDMERGFSWSGHPIGKYRGKRMRIDYFIVSDKLKDRIAACEMHGHGIELEGFYGSDHCPVSLQLSDECKEAN >OMO98323 pep supercontig:CCACVL1_1.0:contig07125:2318:2431:1 gene:CCACVL1_04254 transcript:OMO98323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERSKEPQRQKQYAMKIAAVMMTPTMKRVAKVAEDE >OMP06757 pep supercontig:CCACVL1_1.0:contig04807:665:730:1 gene:CCACVL1_01441 transcript:OMP06757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VASWPNIQHVQQIYFHLVMEL >OMO61276 pep supercontig:CCACVL1_1.0:contig13545:45777:46799:-1 gene:CCACVL1_23630 transcript:OMO61276 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 30S ribosomal protein S31, mitochondrial precursor MAAAERGGEFSSGRQGNGDDAVVRRGRKEGDDRPAAFSNISFSASPSGAVAMGAPVLCGRGDKKTKKGKIFKGPYGNARPKKEKMIERIKDKGQRKPLLQSNNLYLVKEAEQIGSGKSLQDVGFERLNHPLDFAA >OMO61274 pep supercontig:CCACVL1_1.0:contig13545:7995:13129:1 gene:CCACVL1_23628 transcript:OMO61274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLERPTGVLELLPTLNDACSLDDKDSLILMLSFMKKMFGESECWHPSLLHDIMFKIIKHDAVRCATALLEGEIGIKVDINTFFETHSKDHRSLFISVNLLELFLRHGARMNFGGDAPAEPPNHEILHPLNLRLRDFSSREWTPEQSIFRLIFLLCIKDEVSDGLEDLRSLVENGTKEVDKEIVNCVIEGKVMELAALILAAPQKVTTTKFSFDARSNRSMTIRQFLLEEISMLKATQTSFDYLHHDETDPLANHKDLFSSLKEKLAQRMSQLHLLEICERVGDITVTYLKEHQPGMDCDACKYNDQNDARVARHKEIAKDVVCLLQNAGFSLTFQDYDVVGMGVPTDSTLLQSLAKIRIKRGERWLDMYESDFYSSSPRYLKLPKKPFYERLGIFSSILASTVEDEKENGFLLEHKRQLTSLSLGQSFNRPCGTDKDLNFDYDRLKSALVEALENLCHLHYFQDWSPEKSIFKLVYILCIPELELVTIKKLASELDLEDVVLLACLYAKDGKLVEFAALLLGSAEKLKATSYEGDSDEIMAIRQYCISEMQSIIDEQTKLRFGHQSQKNGIEMCKQRERIISSALLLLDVFDLAAFHLDLYYRIIAYEDEIKVAEQVQFFALASRVQIEEGRYGFARYNLAKESKVGAVASGNQMNSLFSLCTRSFHTCRGEKSKFVGTQIGRIHKSWRFDNKWAVFAPAIKRGISRI >OMO61275 pep supercontig:CCACVL1_1.0:contig13545:20005:24536:-1 gene:CCACVL1_23629 transcript:OMO61275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSPKISRFDRLRPAASHSSLSEELLF >OMO90956 pep supercontig:CCACVL1_1.0:contig08404:28160:34278:-1 gene:CCACVL1_07248 transcript:OMO90956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLRGEAIKSSCSISLNC >OMO90954 pep supercontig:CCACVL1_1.0:contig08404:22574:23911:-1 gene:CCACVL1_07246 transcript:OMO90954 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MQGGEGNSSIHILSIPFPTVGHILPHVELLHRLLLRGLTITVVVTPKNLDYLNPLLSFQSSNRLHILVFPFPSNTSIPHGVENVKDVDHIVYTPRFVTVLAQLKDPLVQWFQTHPFPPVAIISDFLLASWTNPLASQLNLKNLSFSVLNAHSMFHWMELILKHKHDTSEFSTKLVLGCIESWGIILNTFTELDGDKIKSIQEEFTKHDRLWALGPLQKEKDSERVSIPQDQVIEWLDSCQDKSVVYIAFGSQVRLSKPQMEAVASALEKSGVRFIWAVRDPRKGNEDEDNEIPDQGFEEHVAGSGRGLVIKGWTPQLAILEHRAVGSFLTHCGWNSTLEALLSGVLLLAWPMALVEVEHSAIAKLLVDELGVAIRACEDLNSVSDATKLANLLSESVSIERPRPERVRAMKLRETAMAAIQKGGSSDKAMDGLVETLCSLKPTKN >OMO90955 pep supercontig:CCACVL1_1.0:contig08404:24929:27142:1 gene:CCACVL1_07247 transcript:OMO90955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein particle (TRAPP) component MGREVSESCIDSLLTEMVSTYCNRFYANKPELAARRIEAIGYQVGHQLSERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRMSIDQSPENGASEEPSIMADNKAVQSMHLYFPCGIIRGALSNLGIPCAVSADISNLPACSFVVRIKA >OMO90953 pep supercontig:CCACVL1_1.0:contig08404:14600:15118:-1 gene:CCACVL1_07245 transcript:OMO90953 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEAVASALEKSGVRFIWAVKDPRKGKEDREDDHDKVPKGFDEHVAESGRGLVIKGWTPQLAILNHRAVGSFLTHCGWNSSLEALLSGVLLLAWPMALVEVEHSAIAKLLVDELGVAIRACEDLNSVPDATKLANLLSESVSIERPRPERVRAMKLRETAMAAIQKGGSSDKA >OMO90952 pep supercontig:CCACVL1_1.0:contig08404:2570:4314:-1 gene:CCACVL1_07244 transcript:OMO90952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MRESRRSRVVDVFLPFRKRNNFGRFAFVRYRFEDELRNAILRGNGRKLNGRPLVVRKASVRQADRDVFVRSQPYLERGRSVYKYSRNVGRNSLLRYRTVRVVSNRQRSFNYNSGRVFDYKPRQCPPLAPKSAQIDGNGKADTQALDAKVTNGSDKIQMAGVVYPEVQVDGVEGDGTNREVINGGEDDDSMRDFAFSDFPAEKVGPFEDLDLESLGIHWFPKLSLIWISLEDMPLELWHPNFFSVIDNLWGKFIRVDEITSSKRCLSTARLQILTPGVSIIPNLVAGYSSGINFRIGVSWEKDDSTVPAKMAGESKVQVEKPVDVLPDANDNLALERPKIFNEQSFDGNTFSKEIMAERYSNSKILETEVVGESLNPIGSDIGNGGLLIYEGVDQSKELVGADSFNEIHVLNPGHESPFSASPMVSGSRIELRSDMGHVSIGANVLKEKLGRR >OMP00390 pep supercontig:CCACVL1_1.0:contig06679:2859:4401:-1 gene:CCACVL1_03359 transcript:OMP00390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTLLPRQEQLFYCDLFGGFGQERQKRDAFYQSKKSGYCPPPMLPRSTYRGIEREDPGPEQVGLGYRAVSAVGGDKGRARTVHRRVRRTPEMTAMSRNLTHWPKRRANTRTATNVEHTTMPPVFISWKSPAEERAALPTHSDNALNTRVCSSAPFSHAESQAAPRKARASYMQGNLHVWFWPGTPSTVGGSQCPGVPGDSF >OMP00391 pep supercontig:CCACVL1_1.0:contig06679:5521:7104:-1 gene:CCACVL1_03360 transcript:OMP00391 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome c oxidase subunit I MTNPVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGSGNWFVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDSAIFSLHLSGVSSILGSINFITTISNMRGPGMTMHRSPLFVWSVLVTAFPLLLSLPVLAGAITMLLTDRNFNTTFSDPAGGGDPILYQHLFRFFGHPEVYIPILPGSGIISHIVSTFSGKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVPANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFHYWVGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGICRFFVVVTITSSSGNNKRCAPSPWAVEQNSTTLEWMVQSPPAFHTFGELPAIKETKSNVK >OMP00392 pep supercontig:CCACVL1_1.0:contig06679:9273:10885:1 gene:CCACVL1_03361 transcript:OMP00392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVNRPTPKSFLLAPCKLSLFIQGSAGPLVQPYLAAISWHPPSLPAIIRVDPLLGAGIRNRPY >OMP00389 pep supercontig:CCACVL1_1.0:contig06679:1626:2455:1 gene:CCACVL1_03358 transcript:OMP00389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSDRGSLLQAPPKPIQASTPPVLVRSGPWSFVSGLPADKISEIDQPAGLSYRHGPTDLFSIGASSSATRFYLTKPRARPRREFALPNSLFDNKAETPDIH >OMP00388 pep supercontig:CCACVL1_1.0:contig06679:82:418:1 gene:CCACVL1_03357 transcript:OMP00388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLLIFSGGIHGYGLIYGPTQRTVSEERIARNSDKANVTPGGNRSNPEIPDATSRGSTFRAMSSPEFAETIPYGYL >OMP00393 pep supercontig:CCACVL1_1.0:contig06679:13806:13928:-1 gene:CCACVL1_03362 transcript:OMP00393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RIKVKGPGRGQQPREAEVNKKDSLSQQAPTPNKHPIYLLI >OMP10698 pep supercontig:CCACVL1_1.0:contig02012:74:388:-1 gene:CCACVL1_00810 transcript:OMP10698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRPISMQSRASTCPTMATGLLKRPRSACCTTLTTRLSAIICSTPRISPTGHARTIRLTDSAPTPYSAQRVAERYPLYSQAGSGRHRKMAINQRRAAHRIHHL >OMO97813 pep supercontig:CCACVL1_1.0:contig07199:3686:5341:1 gene:CCACVL1_04444 transcript:OMO97813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MGEIANGTFEFDVSQGEPIRVRPAEETEKGLYYLSNLDQNIAVIIRTIYFFKSESRGNEDAVEVVKNGLSKILVYHYPLAGQLTISSEGKLIVDCTGQGAVFVEAEANCQLEEIGDITKPDPITLGKLVYEIPGAQNLLEIPLLVIQVTKFKCGAFSIGMSMNHCMLDGIGAMEFMNAWGEVTRGLPLKIPPFLDRSILKPRNPPKIEFPHHEFDEIEDISNTSKLYEEEEMLYKSFLFDHEKLSQLKEKAMEDGVLSSCTTFEVLAGFVWRARCKALNLLPDQKSKLLFAVDGRKRFNPPLPEGYAGNGIVLTYSIADSGDLVEKPLSYAVGLIHKAVKLATDSFMRSAIDYFEVTRARPSLAGTVLLTTWSKLAFFTIDFGWGESISSGPVALPEKPVILFLPHQKDKKSVNVLLGLPASGMHVFEELMQI >OMO97812 pep supercontig:CCACVL1_1.0:contig07199:1530:2192:-1 gene:CCACVL1_04443 transcript:OMO97812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAWRDDQPDVGDKDMNPNPIISNWLTPLTNKAFH >OMO97814 pep supercontig:CCACVL1_1.0:contig07199:11456:12055:-1 gene:CCACVL1_04445 transcript:OMO97814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MDVVRMKLKKNVFVKAWERCVSLGTGGGGGNKSSGNSNCDALRKSKSWHCTSTTTRRSFSTSSSSSALEEDNKKGKKKRQVAPEGCFSVYVGPQRQKFVVKTEFANHPLFKMLLEDAEDEYGFRSEGPLQLPCDVDLFYKVLAEMDSGEEISPVCSFGYSPLILCSPSRRLHSSSSINKGYGSYKLLTPSRLLKLNSSC >OMO97811 pep supercontig:CCACVL1_1.0:contig07199:190:339:1 gene:CCACVL1_04442 transcript:OMO97811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLMHRKAEVKDIITEVINSMSDEDEGEESEEDADTGGGDGKDGDGDDDD >OMO87484 pep supercontig:CCACVL1_1.0:contig09230:15376:17019:1 gene:CCACVL1_08994 transcript:OMO87484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLSLFSLLLLFSSVVLSAVASDFIGEEDPLIRQVVSDDAADDTDDHLLNAEHHFTLFKSKFGKTYATQEEHDYRLGVFKTNLRRAKRHQLLDPTAEHGVTKFSDLTPSEFGQYLGLKKLKLPADAQKAPILPTNDLPTDFDWRDHGAVTEVKDQGSCGSCWSFSTAGALEGAHYLATGELVSLSEQQLVDCDHECDPQEYGACDSGCNGGLMTTAFEYTLKAGGLQREVDYPYTGTDRGTCKFDKTKIAASVSNFSVIGVDEDQIAANLVKHGPLAIGINAIFMQTYMKGVSCPYICGKNLDHGVVLVGYGAAGYAPIRFKDKPFWIIKNSWGANWGEEGYYRICRGRNVCGVDSMVSSVAALNIKSQ >OMO87485 pep supercontig:CCACVL1_1.0:contig09230:17772:22899:-1 gene:CCACVL1_08995 transcript:OMO87485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar proton ATPase MDLLRSEPMQLVQLIIPIESAHRTVSYLGDLGFFQFKDLNSEKSPFQRTYANQIKRCGEMARKLRFFKDQMTKAGLSPSTRSMMDDDVDLDNLEVKLGELEADLIEMNGNQEKLQRSYNELIEYKLVLQKAGEFFQSAQSSAAAKQREAEAELRGEGSIDSPLLLEQEMVTDPSKQVKLGFISGLVTREKSLAFERILFRATRGNVFLKQSVVEGHVIDPASGEKVEKNVFVVFYSGERARNKIMKICEAFGANRYPFTEDLGKQFQIITEVSGRLEELKTTIDIGLVHQSNLLQTIAYHFEQWSLLVKKEKSIFHTLNMLSIDVTQSCLVAEGWCPIFATKQIQNVLQKATIDSSSQVGTIFHVLQTKESPPTYFHTNKFTSAFQEIVDAYGIAKYQEANPGVFTVVTFPFLFAVMFGDWGHGICLFLATLYFIIREKKFASQKLGDITQMIFGGRYVIMMMALFSIYTGLIYNEFFSVPFELFGPSAYGCRDRSCSDASTEGLVKVRATYPFGVDPKWHGTRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNAKFFDNDINIRYQFVPQMIFLNSLFGYLSLLIVVKWCTGSQADLYHVMIYMFLSPTDDLGDNQLFFGQKFLQIVLLLAALVSVPWMLIPKPLLLKKQHEERHRGQSYALLHNFDDAVEMESHHGSESHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAWGFNNTIILIIGILVFICATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFQPFSFALLSEEDD >OMO87482 pep supercontig:CCACVL1_1.0:contig09230:8270:10702:-1 gene:CCACVL1_08992 transcript:OMO87482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIF, beta subunit MDEDHSNGGFLDTGKADKSVWLMKCPVVVAKSWKNRPASSSDSQPLAKVVLSLDPLKADDPSSLEFTMEMAGSEMGSIPKSYSLNMSKDFVPMCIFSEASQGKVAMEGKVEHKFDMKPHEENLEEYGRLCRERTNKSMIKNRQIQVIDNDRGVHMRPMPGMVGLISSNAKDKKKAQPVKQTEVKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLKEILNELCVYNKRGTNQGTYELKPEYKKSVEDTADE >OMO87490 pep supercontig:CCACVL1_1.0:contig09230:57655:61240:1 gene:CCACVL1_09000 transcript:OMO87490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MARGKIQIKPIDNPTNRQVTYSKRRNGLFKKANELTVLCDARVSIIMFSQSGKLHEFISPSTTTKEIIDLYQKTLGVDLWQSQYEKMQEQLRKLKDVNRNLRKEIRQRMGDCLNELSFEELQGLEQEMDNAAKLIRERKYKSIGNQIDTSRKKCRNVEEIHKGLLHELDAIKEDPYGLVDNGGDYDAIIGYQHGGPRIFALRLQPTHSTVNPITPRRNPQLPSPEEFPKIARAA >OMO87489 pep supercontig:CCACVL1_1.0:contig09230:49878:51212:1 gene:CCACVL1_08999 transcript:OMO87489 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport protein MAENLRSQPAEMEMERVVDTVPQTNLSAIFARRIKHSLSSILTRFHAGYFRISLSLGGQALLWKTLIGPTDDRSTLRHVLLHKFHPMAFLVLWSFALSTLVLLSLLYLLRCLFYFKMVKAEFLHHVGVNYLFAPWISGLLLLQSAPFLTPTTTSYLVLWWVFAVPVVVLDVKIYGQWFTKGKKFLSTVANPTSQISVIGNLVGAQAAANMGWKESAVCLFSLGMVHYLVLLVTLYQRFSGSDKLPAMLRPVFFLFFAAPSVASLAWESITGAFDTASKMLFFLSLFLFTSLVCRPALFRRSMRRFNVAWWAYSFPLTILALASTEYAEEVKGSISHLLMLLLLALSVLVSVGLTIFTLLNTRMLLPDNDPIAGLQYHLPTVSA >OMO87491 pep supercontig:CCACVL1_1.0:contig09230:61648:65179:1 gene:CCACVL1_09001 transcript:OMO87491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALAPVVSPWIPEDDLLLKNAIEAGASLESLAKGAVQFSRKFTVRELQDRWHSLLYDPVVSDEASSRMIDFERSASTLPSKSSRTGNTKDNKSLPEKRKSESVRSCYSALRKRIRNEPFNPIDLSFLIAPNDGNYGIQDEPLPGNCMLGIPIADHFGVQETNMDIMHCSFPELLADDGAATRDGCTTDDFQATIQKQDDDGCPSEPVNIHKEGTHILEEDQFLVENGSGIEELHQPKELPVHSLFEANDLILKSSSTFDQMNNNPETICSEFEGNQVFNSPIVECGMSIWRSDEGLSASAIPAGDGHGETDLHEGNIYPLPGDNVAQCNHASGNDVVGADSKFESEIPCMELENQTANTEGYLVEITNTLMNDEPFFMDDNAKDVIDKSYFDGLSSLLASSPTNDGQDQMPDVIDVVTSETPDNLATVSCSYLGELDKVAGSCTVDGPESCNSDVLMLSSASTSASQFPELTNGIICCMLNTEDPEIPCNDDIVFPEQVLSSTRQSFKEGSNQLSASVKDFSGGQKTSEGSALLVQRDKRDTGQSDGVSQMKGSQVMPEMGQLHPVSKCRVKCEDSPCVAPRSDGFLANGSAQIDARNAGEGTLPSTLPKEKSEEIMGKPLNHGSADSFIEKPTPCSVGHNSVPPVNSSSIKQGVDAAETKDKQALSADVVSLNVISPEPVVDHPPPDLEETPVEGDEGVPYFSDIEAMILDMDLDPDDQDLCDQEVLRYQHEDTKRAIIRLEQSFNSYMQRAIASHGAFAILYGRHSKHYIKKPE >OMO87488 pep supercontig:CCACVL1_1.0:contig09230:45858:47406:1 gene:CCACVL1_08998 transcript:OMO87488 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport protein MAIVKSQTTDLQLVIETPQITTTSLVTDQTDTIVARIKAPFFTPNNPFYLFLWFFFAVPVVVLDVKIYGQWFTKGKKFLSAVANPTSHLSVIGNLVGAQAAANMGWKESAIFFFSVGMVHYLVLFVTLYQRLSGSDRLPKMLRPAFFLFFGAPSMASLAWQSISGGYDTASKMLFFLSLFLFMSLVCRPALFKRCMKRFNVAWWGFSFPLSALALASTEYAEEVKGGGFPHFLMLFLLAFSVLVSISLVIITLLNTLLPCINPISSLLSYLPRLSHQSNSTITQQVFV >OMO87487 pep supercontig:CCACVL1_1.0:contig09230:31659:32996:-1 gene:CCACVL1_08997 transcript:OMO87487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, lateral root primordium type 1 MAGFFYLGGREGGNNNKQEGEDKEESLYLYRNNNNEEIYNKGFEIWPQYYYQQQQNANNYTFGAGPSRRTTGFNLSDESSSRSAGFTVMRQGGMNCQDCGNQAKKDCSHLRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQQLAALQQQQQHQPQDQQQQQQQFRGENPKRQRENQGAPSLACTRLSPSTSGLELGQFPPEVSSPAVFRCVKVSAVDDADEEFAYQTAVNIAGHVFKGILYDQGPESRYTTSGGETSQPLNLITAATTAATTATTPPTSSNPGTNMLDPSLYPAPLNAFIAGTQFFPPPRS >OMO87483 pep supercontig:CCACVL1_1.0:contig09230:13334:14375:1 gene:CCACVL1_08993 transcript:OMO87483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKANPAIQLAVIDLVSTAANPTSSCDPLWVASNNVVLREVNFHVFGAKRLVTSGELAGFVPH >OMO87486 pep supercontig:CCACVL1_1.0:contig09230:23326:29669:-1 gene:CCACVL1_08996 transcript:OMO87486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILERTRILRKAAPRKGGANSIKRK >OMO95205 pep supercontig:CCACVL1_1.0:contig07718:3859:6795:-1 gene:CCACVL1_05494 transcript:OMO95205 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 MDPQLVEVSQQFERFKAAFVSLTKFRSLPPLFENTPNANNELLLAREIYEHAVVLSVKIEDQDAFERDFFQLKPYYTDAGNRLPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLSATALEDRCIKHAVELEQSFMEGAYNRVLSARQTAPHETYVYFMDLLAKTVRDEIAGCSEKAYDYLSINDTRQMLLFSSDQELLEYIKDEHPEWEVKNGSVYFQKAKECAPCKEIPSLQLINQTLSYARELERIV >OMO95206 pep supercontig:CCACVL1_1.0:contig07718:8367:10488:1 gene:CCACVL1_05495 transcript:OMO95206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAVVAGASSSCSAAFTSRNIKATPSPSPKQFTPFTTNSQKTTLQGLSLREAKRGVSDIFLAEKKSSFSSTNARKGLQITARTAGASKTIEVEVDKPLGLTLGQKQGGGVVITAVDGGGNAARAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGATVDVKRLPKRPAPPRFGRKLTEAQKAKMALAN >OMO95207 pep supercontig:CCACVL1_1.0:contig07718:11736:12957:1 gene:CCACVL1_05496 transcript:OMO95207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIYQEPDLEVPNEEEDDQQLQESINLQLLRLDSFKNNQQNRHGCATCACNPTTSKSNPMKHTFPESASETKPEKPFLDNGISLSGFSKLPLPQLHRSVSDHYTYTPPRANLSERAKVMADAPFAKATVCGSASPFKPPVLRKSLSDHYPSPVKSSNSSSSNEMGLESIEEDRPSAKRVKRMKEWMKEMNQWVYESSREDGDVCNTEATKEDSEVDCEEAVRVQKIGDCLDLLFKCPCGKGYQILLSGQNCYYKLI >OMO53819 pep supercontig:CCACVL1_1.0:contig15152:3773:5351:1 gene:CCACVL1_28317 transcript:OMO53819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARISSTHLLIVAALFFTVVVMAPLIDAK >OMO63139 pep supercontig:CCACVL1_1.0:contig13026:5887:10212:1 gene:CCACVL1_22459 transcript:OMO63139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDKKPGKPSSSRAGGIRTLSDLNRRSGPDSDSDSDSPQEYYTSGEKIRFSMPVIVLPCDPVSAAMLEFVFSTVVCQKLHNLFNQPADVKSVFHKITLRHLPLLAKKEAAISLTDSHLHRLTIDCLIAEWILLIER >OMO69179 pep supercontig:CCACVL1_1.0:contig12098:28180:28903:1 gene:CCACVL1_19619 transcript:OMO69179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroneopterin aldolase MTTDVGMPTGDKLILRGLKFHGFHGVKPEEKKLGQKFLVDVDAWMDLRPAGKSDDLLDTVSYTAIYRIVKEVVEGQSKDLLESVAQLIASTTLTKFSQISAVRVKVGKPHVAVHGPLDYLGVEIIRYRSIDVPN >OMO69180 pep supercontig:CCACVL1_1.0:contig12098:29441:32461:-1 gene:CCACVL1_19620 transcript:OMO69180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22/L17 MVGWQRHLQSLLRQVGRRLEHNYAVSANYSVSSCSSSRLSSSLLPGELPSIQRLGRSPFAATSTPLYQYFQQLGISTSRNLLAGASEETPISSPLTPVLAINSGKTEEKKVAPNRSKVQAVLKNIKQSPKKVNLVAALVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANATHNHGLDPDRLLVAEAYVGKGFYKKRVSMHAKGRAGVKVRPECRLTVVVREMTPEEEAEIARLRVSKFRKLSKRERRLVPHKLIETTPVWNRKGKARSQEPSGMGA >OMO69178 pep supercontig:CCACVL1_1.0:contig12098:25818:26573:-1 gene:CCACVL1_19618 transcript:OMO69178 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MVQLPPGFRFRPTEEQLLHGYLLKKVRGEALPCDIVTDCEIYGERDKEPWKIFDENSTEKFYVFTQLKKKNPNGKRIERKAGIGSWKGQRTDFVKDSNNNHIGFKKPFVFEVKNPSSSNINKQQHWLMTEYSLVNDLSGYVLCEIRNKNSNSSARVDEQVEDEDEDYEIMAEDQQVEDEEYGITAEELEEMLSDPEIQPFSYQQNNYFTPVAALSY >OMO69177 pep supercontig:CCACVL1_1.0:contig12098:17930:24570:1 gene:CCACVL1_19617 transcript:OMO69177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MKGLREKLNSSIIIAKTTTRLLSLKLTGLSISSALRLLKAVLSRALRASVFLFSMDDLFSSEDDDRLFDADYNPYARKRPLSSRLDRDEEDETLYEDANHQKLYLVPYRWWTEAQRSKADQIGGILYSVLTNDDNVDSEIVLDLRKEDNSGDREKAEEGVSGRAYALVNEALWLRTLKWHNDNTSERDAWNPFVADDQLQEVFPLQISLSFSPETSSLLVRISLKDNLIYHYMRACSIFSSQSELLQIWDFSGQTSQFVMNEIINGPGVSPGKPGKEILLKLHVHGFFVSTNATNENLAEQSRTENSFGKSGTKTYGSTDNRSSYLRLTGTPPSGSGYRGIGQLGLTGLENLGNTCFMNSAIQCLVHTPQIVDYFLGDYQKDINHENPLGMNGELALAFGELLRKLWAPGAMPVAPRMFKYKLAKYAPQFSGYNQHDSQEFLAFLLDGLHEDLNRVKCKPYIEAKDAEGRPDEEVAEEYWRNHLARNDSIIVDVCQGQYRSTLVCPACQKVSVTFDPFMYLTLPLPSTTMRTMTLTVISTDGSMLPTSFTVTVPKSAMLRDLIDTLSVACSLRNDETLLIAEIYRNKIFRLLDDPSDSLSLIRDEDTLVAYRLPKVSESYTLVVFLHEHQQEERPDGFCTMSSKLFGVPLVATIPDHSSESDIHEQFLKLLSPFLMPVEDVINDCDADKKVNTVNENSLMEDIVSPSVSDGAAVSDSGAENDSHLNDDFEFYFNGIKPLELKMNEPTQISRSMKKLDITVRWPDKMIEKYDTCLLSSLPEVFKQQLSTRPQESISLYKCLENFLQEEPLGPDDMWYCPSCKKPQQAKKKLDLWRLPEVLVIHLKRFSYSRFLKNKLETYVDFPIHDLNLSNYISHIDIQLPYCYQLYAISNHYGGMGGGHYTAFVDHGHGRWYEFDDNKVLPVSEDRIKTSAAYVLFYRRVRDA >OMO69181 pep supercontig:CCACVL1_1.0:contig12098:33625:35271:-1 gene:CCACVL1_19621 transcript:OMO69181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLGEEMDDDDSLSDTDNVRANWTPPQDQYFVELLMEQVQKRNKTVHGFKQQAWVDMITQFNSKFGFKYDIDVLKNRFKRMRKQYNEMKILLDQRGFLWDEELQMVKADDKSWDQYLKAHPDMQSYRTKVVPFYSELCVICGHTVADGRYSLSCFDVDFDNEEKRADDKTASTGDRSKIDWTLTMDQYFLELMLEHVNKGNKVGRTFKKTAWVRMITLFNAKFGFQHSRAVLKNRYKILRSQYASIKALLTLKGFCWDETQKMVIADDRVWNKYIKEHPEFRRYKNKSMPCYDDMCIICCNESVSVRKRTLQCNMSSKNGTSGKDIGGRSMATINVKVAEKVRIGPPPGFSSKVQEQQNKHQSQRPRTSHQPKRARTEEDGMANALRQMAFVVTSIKKKKENDNASTERVIEELQAIPGIDDDLLLDACDFLEDDRRARMFLALDVSLRKKWLMRKLRPQ >OMO86492 pep supercontig:CCACVL1_1.0:contig09470:17165:17251:-1 gene:CCACVL1_09587 transcript:OMO86492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKWTLMEEEKVIDPIREDKSKGRGPK >OMO79706 pep supercontig:CCACVL1_1.0:contig10382:66230:68686:-1 gene:CCACVL1_13492 transcript:OMO79706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVSSLFSEDVEKKLERILKLIRRRNRAKKEPELVALVEDFHRHYQSLYGLYDQLRQASFETTDDGKGNENYSHSASGSESEYYSSDDIEINSDMAVYNNRSLHRRMSDNMKEELEKACAEVAELKHQLASKIEEKEALASDHLVALSKIQEIETINSNLRKEVDEKEQRVSALENVYKEPVTELEEQLTGLKTELESLQHQKRDLEAQLDDQGSETKQHGETNKALYAQISELELIEKLDGDEVTKLMKQIKDKENSLMSKIEESVARVGNLKKQVDYLRAQKCEDRGSIACKNNESFDQENVIPQELESLRSQKTEFEILLEKKSEEISQYLIQVDNLKGELARKSAREQLMVEEKESLLVQLTDLESQVDTLQRQEKISEDEVRCKIHEISQLREEKDALQAQILELETLLQERGLELSALRDDSESNRIKETATIVTLNAEIERLQKELDTLKKEKSQLELQIADQQRIMKEREESVNKPVEDSNPQPLKRWSSVTKSNIQVLEKKMEDLAEEFRKKIEDNIRILLQRIKVAEKIHFENKETHKIIKERLEVENAALEEKLAMYEAEFRKLTDTLDPGNSLTCMSNVTDEVGSEIDCETGSECDMKQLNSNNMGFLVAELDKQNEALLKEKISELECKLSEEGEEKLRQIKAVNEVEKRMGKLDKIMKQKDDILSSLQEEKREAIRQLRLLIDCKTAPEGDIKQLNGNVGSVVAKLDKQNEKLSSKKVSDSKSKLGEEGKEKLRLIELVNEVEKRVGELEKIKTEKDETLLSLQEEKREAIRQLCLLIDYHRCRCDHLKEIISKLTVSSKKKT >OMO79699 pep supercontig:CCACVL1_1.0:contig10382:21548:22165:-1 gene:CCACVL1_13485 transcript:OMO79699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSQTQKQSQTQDTKHKEEKPQQQLKQTQTQEPKQNINKSQESKHLVWDCGSSLYDSFELNSFRRQLDSAIHSRTMSMPHLVDTTRVPPLFPPPPPASTTLPPPPVVSASSKKQPSKFSRSIQKLFKSMFKFKQSTSSSSVLWLKQRSNEEYYVVYDKTGALTTIPEVPEIDFGGALSPEINSLVRRTASERFTAASTVGISCA >OMO79703 pep supercontig:CCACVL1_1.0:contig10382:50466:50654:-1 gene:CCACVL1_13489 transcript:OMO79703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPYPCRQIISNKPQVAAEGKMANLLGVGFLRKVVKWALWKMKNVKHDGNVKDKKALEWIL >OMO79710 pep supercontig:CCACVL1_1.0:contig10382:90964:93261:-1 gene:CCACVL1_13496 transcript:OMO79710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFRHIGEVLGSLRALMILQDEIQINRKQCCLLFEIFTLAFTTIAEEIRLNLKLDEKNTKWNALESPFRELYRIFKEGELYVKQCMDRRDWWVKVINLHQNKESVEIHIHNLLSHFPSVIEAIETAGEIAGLDQEEMKRRRVALARKYDKEWNDQKLFQFRFGKQYLIPRDICTLFETAWREDRWNLVEALKEKKNSESATKNEQRLATFLIKKIIGSEANSGKLFPSSILYGGDYIVRRRLGAKYKEVQWMGDNYVLRHFYGEFEPSSSEICTLLSLSHPNILQYLCGFQDEEKKEFMLVQELMHKDLCCYMKENCGSRRRILFSLPVVVDIMYQIARGMEYLHSQKIRHGELNPSNIFMKARSQTEGFFHIKITGYGLSSVKAPSSPNSSPKPDVERNPVIWYAPEVLLEQEQFGNVTIHKYKEKADVYSFGMICFQLLTGKVPFEDGHLQGEKMSRNIRAGERPLFPYTAPKYLVNLTKKCWHTDPCQRPSFSSICRILRYVKKFLVMHFDHLDQPEIQFPITDYCEIESWFLKKFEANGIFNPSSVAQIPFQMFAYRLAEKDRSIIVNSKDKNEELACEESSGGGRDENVSIVEDPVFGATSDTKSVASDVKSVCSDIKSVCSDMRSVCSEIPTRRSIIFDLPPKRTIKRTISTISIPEKKVLQMKKSPSSVKAKKSPGTPKAQTTRPQALMTRGHSVRNTPDSRTPSLPSPTSLNRGRRRAVAAGHTSD >OMO79705 pep supercontig:CCACVL1_1.0:contig10382:59448:63305:-1 gene:CCACVL1_13491 transcript:OMO79705 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MTKHRFRESIKSFFGHHVDPEKNEQLKGSKIEIDDKVTKILKLIKDEEVEENDGISVANSKREPLIQLIEDFHKQYENLYEQYDHLTGELRKKAHGKREKDTSSSSSSDSDSDNSSKGRGSKNGKLESEFQKIAEGIQQELDSANLEIADLKKKLTATSEEKDAINAEYLASLSKVQEAEEIVRNLKLENERSESEKSKLVVENEELRHQLDTAGKVEADVNQRLDDLIRERDNLILEKETAVRRAEDGEKFAEDLRREVDQLKEENITLKQELESVTGEASNIKQQLESAEQQVSELSHNLNATMEENKSLNSKLSEVANEIQHAQDTIQQLMAEMSQSKEKLNEKERELLTLTELHELHGNQSSAQIKELEAQVTSLELELESLRATTRDMEVQIETKANEAKQLTEQNAGLQSQISELEMMSQKREDELLTLSKKVEDNEKESLSRVENLTVQINNLLADLESVRSQKAQLEEHIVSKSDEASIQVKSLMDQINSLQQELENLHSQKAELEVQLERKSQAISDYMIEIEKAKEEILSKTGDEQRVLQEKESLVAQLKDLEFEINSLKNQKCELEEELRTKIEENGQLREEKMGLQGQISELEKTLAERELEITALHEKHASAEKMLAERGLEFTALLERHASIEKSLAEKALEFTALQEIHSNTQNETSSKLTALVEQVNNLQQELDSLRTQKQELEMQLERERQESSERHTALHEKHASTEKMLAERGLEFTALQERHASIEKSLAEKALEFTALQEIHSNTQNETSSKLTALVEQVNNLQQELDCLQTQKKELELQLGKERQESSERWTEMENQKSELESQINEQRRILEEKEEAYNKLAEEYKQVEGLYQDCKANLEVAEKKMEEMSEEFHKTIETKTQIAADLKQMVDDLQRDLEAKGNEINDLISQITDHQRMLKEQEDAFNKLSEEYKELEASFQESKVTIEATERKMQEMEGEHDLNVLSKDQIVADLEQTIEDLRRDIEMKGDELATLVENVRTIEVKLRLSNQKLRVTEQLLTENEESFRKTEAKLLEDQRVLEEKVMTLSGIIAANNEAHWRMITDISENVNNTISGFEAVIERFEDGYRNYEHCIEETSKELRIAKHWVAETKSEKKRLMDEVTNLIEQVKNQKEQESMLLVKAEKLQSKANKEEGEKENLLETVNHLEKKVELLETVMKEKEQGIVGLGEEKREAIRQLCLWIDYHRSRCDDLKEILSRTARVQRAT >OMO79697 pep supercontig:CCACVL1_1.0:contig10382:2012:3460:-1 gene:CCACVL1_13483 transcript:OMO79697 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MENTEESKSLHVAIALTPGIGHLIPLTEFAKRLVLHHPEFEVTIFIPNDGSPMKYQKQLLQALPKSISTIFLPPVSFDDLPLDVDAETKIVLSLVRSIPALKDSLKVLLESTRLVALVVDLFCIDAVYDVSKELGIKPFIFFPTNAMALQLIFHMPKYDQMFSCESYSDLVEPIELPGCVPFQGRDIPDSVREKKSVTYQGMIRLCKCFSLAAGIMVNSFMDLEKGAFKGLMNQNQKQGEFDIPPIYPVGPLVRTSSSSSTHGSNNCLRWLNEQPDGSVVYVSFGSGGTLSSQQMNELAMGLEMSGQRFIWVVKSPNDKAKNATYFGVDSVDDPIHFLPNGFLERTKGVGLVVPSWAPQVEILSHGSTGGFITHCGWNSTLEAIVHGVPLIAWPLYAEQRLNAVLLADDLKVAWRVKENENGLVGRDEIAKFVKGIIEGEEGKPLRDKMRKLKDAAKMVLSPDGSSTKSLAKVAEIWNNQGK >OMO79701 pep supercontig:CCACVL1_1.0:contig10382:33713:36717:-1 gene:CCACVL1_13487 transcript:OMO79701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MAKPPEPDHFPESRLESDAGATFVLESKGSGWMFYFVIFIQTAINTGVGIGAILLAGECLKIMYESLSPHGSLKLYDFIAMVTVVMIVLSQLPSFHSLRHINFASLLLSLGYSFLVVGACIHAGLSKNAPSRDYSLESSSSSRVFSAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYIVAFLTFYSVSVSGYWVFGNKSNSNILKSLMPDEGPSLAPIMVLGLAVLFVLLQLFAIGLVYSQVAYEIMEKQSADVNQGMFSKRNLIPRIILRSLYVVFCGFMAAMLPFFGDISGVVGAIGFIPLDFILPMLLYNMTHKPKRSSIIYWVNMLIIIVFTGVGIMGSFSSVRKLVLDANKFKLFSSDIVD >OMO79700 pep supercontig:CCACVL1_1.0:contig10382:26692:30672:-1 gene:CCACVL1_13486 transcript:OMO79700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MSQPPQPDPFPQTRLDSDAGAVFVLESKAGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVGIGAILLSAECLQIMYSNLSPNGSLKLYEFIAMVTVVMIVLSQLPTFHSLRHLNFASLILCLGYTFLVVGACIHAALSKNAPHRDYSLESSESSRVFSAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLIMCYSVIFVTFYAAAFSGYFVFGNKSNSNILKSLMPDDGPSLAPTMVLGLAVVFILLQLFAIGLVYSQVAYEIMEKKSADIKQGMFSKRNLIPRIILRTLYVIFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPPRSSLTYWINMSIIVVFTGAGIMGSFSSIRKLVLDANKFKLFSSDVVD >OMO79698 pep supercontig:CCACVL1_1.0:contig10382:14842:16248:-1 gene:CCACVL1_13484 transcript:OMO79698 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MVLSPGIGHLIPLTEFAKRLVINHPKFEVTIFIPNDGSPMKYPKQLLQALPKSISTIFLPPVSFNDLPHDVDIETKIVLSVVRSLPALKHSIKVLLESTRLAALVVDLFGIEPVSDICKELGIIKPYIFFPTNAMMLQLVFHMPKFDQTFSCESFSDLVEPLKLPGCVPLHGRDVPDPLRDKKSMAYEGTVQLCRCYSMAAGIMVNSFVELQQGAFKALMMNQGQIPAVYPVGPLVQTGSSDGGVNESNNCCLKWLNEQPDGSVVYVSFGSGGTLSSQQMNELAMGLEMSGQRFLWVVKSPNDKAKNATFFSVDSVDDDPVHFLPNGFLERTKGVGLVVPSWAPQVEVLSHGSTGGFITHCGWNSTLEAIVHGVPLVAWPLYAEQRMNAVILSDDLKVAWRVKENENGLVGRDEIAKFVKGLIQGEEGKPLRDKMRKLKEAAKMALSPDGSSTKSLAKVAEIWNNQGK >OMO79702 pep supercontig:CCACVL1_1.0:contig10382:37414:47901:-1 gene:CCACVL1_13488 transcript:OMO79702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESNVRLVHCPKCQTLIKELPDYSVYKCGSCGAILQAKKKELASNGSLDNSIEEMGELANASDTEICRVEGISRRKEKTFREKSNMNPNNVPSRRDNEKIFLEDDKNVKEHRHKHTRAEKGVGYVDNNLPSSKNPMNNWAQRDEHNMNVNRSKSVNSGRDSQMLDYSPRLADFARSLRLKGVDRDGVGGFYKRSLGTIDEQDRFSAFGYPDEGPSSYRSASVYGYHKPGKSFDVTNGVQTFENDRAQLLKKLQELTDQLSRESVPNDKRMASADPLGSLTGNKFHRSSANGHHVSELTYSNHDSMNGDDHVQNFYGNSRLALKHVHRRMPEYGDSISPGMHRRPQYPVVHNRPQQRTYGYSSRQHMDFINEASYPNPEEALYDRPSCSCSHCYEKPPGLINRRFMEEPCNSTFNHYAKSDRVGQHYLPRVAKCPQLNFQDPAGHVWPSDIDSDIDGFARRYPRRAVLRSRNKQLCHPIAGGAPFITCPNCLQLLVLPRKFKEVKRKGQRLQCGACSTVIEFAIEKKGLIISVPKTKSSKQRSTKAEEKSSGSFNEKQPSSHACSKSGGTISTSSNVENSGYGLISSKEKQSPDNVIDWRDSPVSSHLNDVSPTVLSFTLQEQVQNSSSNQAVSSRRNGNRTKQEQQEKIIPMKNASQIVTEKSVPVPTEVEVSFSGYRNASSSSQNSMEESKEENQLKLHKGSKSFLVGIIKKSFRDFSRSNGNIKNERPAVLVNGQPISDFAVRNAEKQAGPIQPGNYWYDSQAGFWGVMGQTCSGIIPPFIEEFNYPMLGNCAAGNTGVFVNGRELHQKDLDILANKGLPRTRDKSYIIEFSGRVLDQGTGIQLCSLGKLAPTLLVSRSKGFASDLESPVLEKEQVQEPALKPPPAVEIPDNEDQIPLDVVAEKCDLFTGDWIPNPSGPAYTNESCPLIEGHQNCMRNGRPDTGYLYWKWKPRDCQLPRFNVERFLELMRNKAWALIGDSISRNHVQSLLCMLARVELPVEVYHDEEYKSKRWHFPSYNFSISNIWSPFLVKAAIFEDNNGVSTAEVQLHLDKLDKTWTDIYESLDYMIISTGKWYLKAAVYHENDTIVGCHICPPGKNLTEVGFVFAYNKTLHHVMDFIVKSKHKGSIFFRTSTPDHFQNGEWHNGGTCPQTTPAKEGEFEIKDLNRILRDVELQEFEKAAEKAADNGVNLKLLDFTNLLLSRPDGHPGPYRQFQPFAENKTAVVQNDCLHWCLPGPMDYWNDVIMEMVVNAVSSDIPKKSRNNGRKALKQKNPSTNEANILAQKIAQASPTPIELKKLQKIKEFKPTMTFPIAPLKDKEHDKKEKKKGGPETKRPTPAYALWCKDQWNEVKKENPEAEFKDISNILGAKWKTVTPEEKKPYEERYQAEKAAYLQVIAKEKRETEAMKLLDDEQKQKTAMELLEQYLQFKQEADMEATKKTKKEKDPLKPKQPMSAFFLFSNERRAALLAENKNVLEVAKILGEEWKNMTEKQRGPYEKIAKKNKEKYMEEMEAYKQKKEEEAESQRKEDEEMMKLHKQEALQLLKKKEKTENIIKKTKEKRQKKKQQNTDPNKPKKPASSFLLFSMETRKTLMQERPGINNATLTALISVKWKELSEEEKNVWNMKAAEAMEAYKKELEEYNKSAAAAADQDNQQQ >OMO79704 pep supercontig:CCACVL1_1.0:contig10382:54931:58578:1 gene:CCACVL1_13490 transcript:OMO79704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MASEDVKRGESAVSTIVNLAEEAKLASEGVKAPSHALLTICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEEASKVILRLYRQQTGNEDAQLTPVLRLGAGACAGIIAMSATYPMDMVRGRLTVQTDKSPRQYRGIIHALSTVLKEEGPRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLIKTKPFGLVEDNELGVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGKTKAPLEYTGMVDAFRKTVRYEGFGALYKGLVPNSVKVVPSIAIAFVTYELVKDVLGVELRISD >OMO79707 pep supercontig:CCACVL1_1.0:contig10382:72599:76600:-1 gene:CCACVL1_13493 transcript:OMO79707 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MASRDADPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDSTELGDYRLRKRKEFEDLIRRVRWNISVWIKYAQWEESQKDFNRARSVWERALEVDYRNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQIFERWMSWMPDQQGWLSYIKFELRYNEVERARAIYERFVECHPRVGAWIKYAKFEMKNGEIGRARNVYERAVAKLADEEDAEELFVAFAEFEERCKETERARCIYKFALDHIPKGSAEELYKKFVAFEKQYGDKEGIEDAIVGKRRFQYEDEVRKNPLNYDAWFDYIRLEESVGVPGRIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAGDMERTRDVYRECLKLIPHEKFSFAKIWLLAAQFEIRQLNLKGARLILGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWAPENCYAWSKFAELERSLSETERARAIFELAISQPALDMPEVLWKAYVDFEIAEGEYERTRGLYERLLDRTKHLKVWVSYAKFEASVMEENNEGSELQQDGVHYEDKKECIQRVRRIFERAINYYRTSAPELKEERAMLLEEWLNMESSFGDLGDLGDISLVQSKLPKKLKRKRQITSDDGSVLGYEEYIDYMFPEENQATNLKILEAAYKWKKQKTTSDED >OMO79709 pep supercontig:CCACVL1_1.0:contig10382:84285:89927:1 gene:CCACVL1_13495 transcript:OMO79709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGLAEEVAPLHEGEGAHLQGKEIEYLMKPDSRNMLESQELVIPGEVNTLDSSYHVLGEMLEGSGGSCGNRGNSQAMPSMSQDAGYASFSEFLGQKPSSEGRNEATEQMTSGDNNEVSGSRLSHGGIKTKILSKSGFSEFFVKTTLKGKGIICRGPSQDASRVEPRDQNNTKYTEGTTVAPSAPVKTAGSPVVASNTSLILGNKAGMTSSYGIVGPRVGESDNDGMNLREWLKAQCNKANKAERLYIFKQIVDLVDYSHSRGVILHDLRPSCFKLLEANQVKYIGSGVQKGLLDTVLDKDCPPSENFLIRRRPVEQGMIPSGGFCAKKQKFSENTSLTRWPLFHSRAGHKLETINNAQFSHNESMEHCPNVEFGSSGSPHASNSAQLQSISLNEPLEEKWYASPEELNEGVCTVSSNIYSLGVLLFELLCHFESERARAGAMLDIRHRIFPPTFLSENLKEAGFCLRLLHPEPSLRPTTRDILQSEVINGFQEVFAEELSSSINQDDTESELLLHFLSSLKEQKQKHASKLVEDITCLEADIEEVERRHCSRKPLTYSSIKMRECRLLGKEPPISEAHSSLYPFSSANETRLMRNIDQLETAYFSMRSRVQVRETDSMTRPDKDLLKNRENWQLARNDEERPDTTDSLGAFFDGLCKYARYSKFEVRGTLRSGEFNNSANVICSLSFDRDEDYFAAAGVSKKIKIFEFNALFNDSVDIHYPVIEMPNKSKLSCVCWNNYIKNYLASTDYDGVVKLWDASTGQAVSHYIEHDKRAWSVDFSPVYPTKLASGSDDCSVKLWSINEKSCLGTVRNIANVCCVQFSPHSTHLLAFGSADYKTYCYDLRNLRAPWCILGGHDKAVSYVKFVDSETIVTASTDNTLKLWDLSKTNSGGLSSNSCCSLTFRGHTNEKNFVGLSVADGYIACGSETNEVYAYYRSLPMPITSHKFGSIDPISGKETDDDNGLFVSSVCWRGKSDMAIAANSSGCIKVLQMV >OMO79708 pep supercontig:CCACVL1_1.0:contig10382:79314:81025:1 gene:CCACVL1_13494 transcript:OMO79708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKESVDSANLGGDGDGDKSNWSTSNLIFSLKSTFQADEYAEVEKIIVSREGKLKMEIKELKQNRGTILENYEAETLEKMRLQDELKKCQTERQEMCKKVSNLRDENMGLETSLKNAEERNEKLLAQVKELCKKVNELGDKEIENNNLRVRNHEVELEKAKLESELTALRKKFGELDKRVLSLENELPLLKNSDDVIRVENGNSATVGVKSEDVAKVEDVSFGNSAIKTNGNSQNAESVRLTPQNVIEIGDSDDESAPVDNSSEKGTTLLHPADKACSDQIGPENGNPTTLKRKQTSSCGESEKEDDRGTRKSKMMKPEEPVCKPADGPLNHRSSRTTTSDSNEVNKGITTPKEDLMVSRQHERQMEPQPKAQNLLNGFPLDGLRGYVEESSCSSDSDSDDDNDAMPIFFNHSQLPPEGQKENKN >OMO54232 pep supercontig:CCACVL1_1.0:contig15025:21840:23075:1 gene:CCACVL1_27958 transcript:OMO54232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MGLQSQIKLPVIDFSQENLKPGTSTWVATCNKVRQALEENSCFEAKFDKVPLQLHETVFSAVGELFDLPTQVKLRNKSSKPYFGYFGQFKSLPLYESMAIDNPTTLEGAQSFTNLMWPAGNDRFRESTQSFSELVAELDRMVMRMLFESYGVGNYYDYYVKSSNYLLRLFKYRKPEMDEAKNGLYPHIDKTILSIIHQGHVSGLLVKVKDDQWVTPQPSPTSFVVMAGEALTAWSNDRIPACYHQVIMTEKERRISIGTFSFINGIIHILEELGDETHPIKYKSFNHFEFLHFVKSHEGKNWNAIKAFCGV >OMO54231 pep supercontig:CCACVL1_1.0:contig15025:18680:18751:1 gene:CCACVL1_27957 transcript:OMO54231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDKLQLFLSTKAWASTTPPL >OMO99860 pep supercontig:CCACVL1_1.0:contig06786:3167:20202:1 gene:CCACVL1_03573 transcript:OMO99860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSARGEIAGIQGLALTNPDPTRVAHLVIVGESPNRGCCVRKRKRHGRYSPQFACATV >OMO99863 pep supercontig:CCACVL1_1.0:contig06786:41062:43277:1 gene:CCACVL1_03576 transcript:OMO99863 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase-like protein D1-like protein MATSKSSSNNNAKPPQAVKFARRTASGRVMSLSRDLSRDDMDITGDMSNQNDYINYTVLMPPTPDNQPGSDSGPSSDSKSEQGTSRFASDSQRMSRRAGEEDGGGGDGQKLDRRMSILNSSNNKSMLLRSQTGDFDHNRWLFESKARYGIGNAYWQNDDDDNQYGTDGGVTMSDFMDKPWKPLTRKIKERDVCSGVMHSTDSIHRGQTSIQACLGGSNQELKQFRLNHIQMMKKHSP >OMO99862 pep supercontig:CCACVL1_1.0:contig06786:38514:39533:-1 gene:CCACVL1_03575 transcript:OMO99862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSARSFVAAVLCVVLLDGLLVAADQNHDAHKVEKRHLLHRKGGIVEGPIHEVSKSLLLAGAVAHGLAKAAKEHAHARAGAGAGAGGGGLNGAGSGSASGSGQFSGGISGSGSGSIRGGGSGSGGNGGGAGNGAGNGAGNIGGSGGATFGAKGNWAGSGSIGGQAQKGGAVTGGATPTPSGGSATPTPSGGAGSPGASGGASGGVGGGASGSGAGNAGATGGVSGGASGSGAGNAGATGGAGGGASGSGSGNAGATGGVSGGASGNAGATGGAGGGASGSGSGGAGATGGAGGGASGSGAGTGGGSGSGSFDFKGGFSGGGNFQGGGSGNAGGNGGLH >OMO99864 pep supercontig:CCACVL1_1.0:contig06786:45250:55513:-1 gene:CCACVL1_03577 transcript:OMO99864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDMDIPLPEELELLEADSHFYEEPYVDPPSPDPSPPRSPPSEHFEIDGPKRPRNSVEEESPREENKRTKTIEIEEEENEEGEKEDEGEDWLRYSPPPQENSVAATVEKDQEVYLSRYMSAIDGACMPITAPSGGERVYAKISTAQRDERSKKLDIRERFNGLISEPVNVLLQRAEQQAFTKALQASSEDQSDVTLPDTPVVHEQLWVDKYAPSSFTELLSDEQTNREVLLWLKQWDSCVFGSEIRSTSDEVLSALRRHSSTQHQKSFDSNFSGKSRGHRWSNGSYRPINNVDQEKKNPKGMQELWNKKSRLTGPPEQKILLLCGPPGLGKTTLAHVAAKHCGYRVVEVNASDDRSSSTIEAKILDVVQMNSVMADSKPKCLVIDEIDGALGDGKGAVEVILKMVSAERKSDSGKENNANKKGRKTASLSRPVICICNDLYAPALRPLRQVAKVHIFVQPTVSRVVSRLKYICNKEGVKTSSIALTALAEYTECDIRSCLNTLQFLNKKKEALNVMDISSQVVGRKDMSKSAFDIWKEVSGDYDVILDGVHENILQLQYHDPVMQKTAKCLNSLGVSDLMHQYVMRTQQMHLQAYQPFVAITLHRMIAQVQRPTVEWPKSYQRATSSRVGWARSSPLHGEMAEARKKVALHLLSETEKNDLAQLVNVMVSYSITYKKMKSDSPSTQLGQETIVDASVLTFDPPIAEFIKYKDYKSDHQVLALAMRQVVLHEVEKQKILQVSTGKSANTTDGCSNAKQDLKGASEAKSAKIVGENACAGAKSSGNVKNISNARQSNPTTSTISSSLVSSSSATASAKQKSTSNTRKPPNGFNFFERFRKPSSKGSQDPEIAMPKEPTLERDKHPLLFKFNEGFTNAVKRPVRMREFLL >OMO99861 pep supercontig:CCACVL1_1.0:contig06786:25929:27602:-1 gene:CCACVL1_03574 transcript:OMO99861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSLAKNTKLIADFMAYEHEISNNIEDELDPMYMCRYNYVVAGDDDDDAKMDESVKPPLYYHRLAITDEVITIKKIYRCRGRLLSPTGDPEHKLKDICLSFALYRLLCRRFGGYPFAECNLEKTWNLIRYGLLSKEEDYERAFRVIEQELSFLFDLFYTKYAVIFNGGFRLLASRVALSIGLTMSCFLAVNILSNYKPSKDYVNLLTASGRVNIDKLITGVVIIGICIMEIIQFILIMISNWSKVMWICKYVKNESFQKNKHFELFMKMIIRCRSNSKPWERKLGQYSLLQSFNQDPNIFSRFISKLTGDIIPLPRGGQKESPSIELPWVVKKAVILSLRNNGPKLSNGKASLQRNQVGNLLSWACELETTTHVIIIWHIATNLCRIKLAASKLGRDEDFVTIATALSHYCAYLMTFASRLLPGHAAVTDFIFDRVVNEARKLLDGCESENQKHEKLMNLLCEGEAIFNEEEATLIEKGALLGKHLIEGIEDNGLRWKVLADFWAELMLFVAPSDDARTHAEHLAKGGEFITHLWALLSHAGILKQDQTQTAAV >OMP07351 pep supercontig:CCACVL1_1.0:contig04506:686:838:1 gene:CCACVL1_01324 transcript:OMP07351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDKKPVKPSSSRASGIRTLSDLNRRSGPDSDSDSNSPQDYYTGGEKR >OMO51662 pep supercontig:CCACVL1_1.0:contig15759:51145:55440:1 gene:CCACVL1_29660 transcript:OMO51662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MVIKSFKEKYSGYFSSYCCSKNDQKPALPEKKVQEEGKNGNVLLSSSASPSVCSYTKQKQKKPGGWKAMPYILGNETFERFATFGLSANFMVYLMREFHMDQVAASNVLNIWNGVTNFAPLVGAFISDAYVGRFKTILVASFASLLGMVIVTLTAWIPDLRPPQCKEGGHCIGPNGMQLGVIIAGLAMLSIGSGGIRPCNIPFGMDQFDPKTEEGAKGITSFFNWYYATFTLVILLTLTLVVYIQDSVSWFIGFAIPTSLMVLSIVLFLIGTKIYVHVKPQGSIFSSIAQVLVAAYKKRKLKLPAVDEGDHQIGVLYDPPLTENVFSKLPLTHQFRFLNKACMITENDLKPDGSTNKWRLCSIQEVEEVKCLIRIIPIWASGIISLTAITQQATFTLSQALTMDRHLGPKFQIPPGSLITISMLTIGIFIPIYDRIIVPSLRKITKHEGGITLLQRIGIGIFFSILAMVVAGVVEKKRRDSALDGQILSVFWLAPQLILMGFCEAFNMIGLIEFFNRQFPDHMKSIANSLLSCSMAGASYLSTMVVNIVHDKTGGHGRPDWLTADINAGRVDYYYYLIAILGAFNFVYFLYVSYHYRYKTSNFVLKEDKDEVDVELSSMKP >OMO51660 pep supercontig:CCACVL1_1.0:contig15759:18521:23146:1 gene:CCACVL1_29658 transcript:OMO51660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MVIKSLKEKYSGYFSSYCCSKDCQKPAVSSPEKKVEEGENGNVLSSSSSYTKQKQKKPGGWKAMPYILGNETFERFASVGLLANFMVYLMREFHMDQVSASNVLNIWHGITNFAPLVGAFISDAYAGRFKTILVASFASLLGMVILTLTAWIPDLHPQCKAGDHCNGPNSMQLGVLVTGLAMLSIGSAGIRPCSIPFGIDQFDPTTEEGAKGISSFFNWYYTTFTVVMMITLTLVVYIQDSVSWVIGFVIPTSLMALSIVLFLIGTRIYVFVKPQGSIFSSIAQVFVAAFKKRKLKLPALDEGVFYDPPLKENVYVFSKLPLTHQFRFLNKACMITENDLKPDGSTNKWMLCSIQEVEEVKCLIRIIPIWASAIISFTAISQQGTFTLSQALRMDRHLGPKFQIPPGSVGIISMLTVGIFLPIYDRIIVPSLRKITKHEGGITLLQRIGIGIFFSILAMVVAGIVEKKRRDSAMDGPISVFWLAPQLILMGFCEAFNMIGLIEFFNMEFTDHMKAVANSLQSISMAGASYLSTMVVNIVHNKTGGHWRPDWLTRDINAGRVDYFYYLIAVMGAFNFVYFLYVSYHYRYKTSNLVLKEDKDEVDVELSSMKP >OMO51659 pep supercontig:CCACVL1_1.0:contig15759:8518:10617:1 gene:CCACVL1_29657 transcript:OMO51659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MVDEGRQESKNCRLFIISEEENGVSARNQKRPAGWKAMPFILGNATFERLASYGLMANFMVYLQREYHMDQVQAATILNSWSGASNLAPLLGAYVSDAYIGKFWTIVIGSFSSLLGMVIMTLTALLSKLRPPSCSLKEQAEGHCQGYNKAQLGVLISSLFWLCIGTGGIKPCSIPFSVDQFDLTSEQGRKGNNSFYNLYYTTQTIVLLITQTIVVYIQNDVSWALGFGIPTLCMFFAIVLFFVGTKIYIFERPEGSLFGGVAQVFVAAFRKRKVKLPADGGSSQFYDPPLKRSLLSKDHLTKQHSCLNKAAFIEGDEVSHDGFCMNPWRLCSIQQVEDVKCLVNIIPIWLTSILGFLAMNQQGTFTVSQALKMDLNFGPKINIPAGSIGVITLIAIALWLPFYDRILVPELEKITKQENGITLLQRIGIGNLFAILTMLVSGLIETKRRQSAISHGSPDGVAPMSVFWLAPQLVLIGFSEIFSMIGLIEFYNKQFPEHMRSIGNSIIYLTYSLASYASSFVITIVHDVTGKQGSNWLTEDINTSKLDYFYFLIAGLSLMNFVMFMYCARRYQYKGSAKILM >OMO51661 pep supercontig:CCACVL1_1.0:contig15759:43762:43920:1 gene:CCACVL1_29659 transcript:OMO51661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMYLAPHVDFNVIYLIHHLLLTPNHFLLLPLHPKTPYYPFKQNLHLTLLPL >OMO85188 pep supercontig:CCACVL1_1.0:contig09656:25234:25507:1 gene:CCACVL1_10372 transcript:OMO85188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP binding protein ALSGLQFLLNRMQMLQENGSKFSLSDQLDPLLSLVCSWQKMEFDSWPVLLDEVQYQYDINAAK >OMP03807 pep supercontig:CCACVL1_1.0:contig05966:164:2952:-1 gene:CCACVL1_02254 transcript:OMP03807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MAEDENRVEVLEQRIKYLEERLEAVENALKSLIRDFMERNTQDERNTEAGNHGSNSIPVTPTWNPMLFEENSRSGHGEKSFSPEKKKENPWLGGVARVCAEISESMQRIEKQSEENIEEACQSDSATTRRLKLVGKEIDKAMEVLVGQEQGMGVNQSEESTSSESSIRWSEIQVFHALSAYDKMPTDDSEQSSFAELFDYSGVFKPSPEKLIEYKTSPRYKRAREAVKDDGQKLKKIRRELKEFAMRGRSFGQDQSTKNVGSKQSQSNSKVGSDKGTVYRVTSQKSLKGKSSSGKAEWGRKRGPRGSSTTSRRRVSPNSDINLLVIDMVGKICREESRALNPSMPRWYLPVPYATTILSGSASINSMISHFRTSDRVMSSLENCEQIFIPINDGGVWPGQKNDGEGLHWYLLVLDFNKRKVLIFDSNEPYIAEDRERRLEQIRQVFMAGDREFGNLTEWDYETPRSVPQQQNTYDCGMFVATFMMELHLYKYTLVDVNEGSRFQLATTMISHQLNKMRTRAIEFIKDELKLRRSRC >OMO66466 pep supercontig:CCACVL1_1.0:contig12548:126299:135879:-1 gene:CCACVL1_21158 transcript:OMO66466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGTGRGRVVGDYLVGRQIGSGSFSVVWHARHRVHGTEVAIKEIVTGRLNKKLQDSLMSEIFILKKIIHPNIIRLHDIIEVPGKIHLILEFCKGGDLSMYITRHGSVPEATAKHFMQQLASGLQVLRDNNLIHRDLKPQNLLLSTNDSSAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQMQKYDAKADLWSVGAILFQLVTGKTPFTGSNQIQLLQNIMKSTELHFPAENNYLTAECKDLCKKLLRRNPVERLTFEEFFNHPFLSQGQPHESLRTQRFSRTMAGFPSSESNPVRNTEESFQEDCLPFFLDDDSSGPEGSPSFAKKKSSMKSACAFSPDGKNMREETSYPLNKVDFTSKYSGTRHKLEHTSFRMESHKASGENLHEPHNSMDQRPVNTRSRVGDSLELIDQDYVLVSGPPMDISSSSAGTSKPNLTPYKSESPPIPFTKNTTSTAPVPIIGASNVNIYHVGSLESQSSAPGTSQGSMDMGDAMEQPSSHCMTRIKSLQQCASAITELVQEKMEAGRQLEAFSIQLVILAIWKQALHICHTQAASAMEGSPIQETSRLRRSASKKHGTSDTEECQDVVTPEGPEDISSEIEREFLREVEHAEELSKVIEHGSTEMPDAMETIFQSALALGRNGGVDELMGDMESAALLYSKAVRLLMFLLVEAPSLILNPPFSLTNSDRFQFFFGSFTFINSSTQKEMSGFGLSHLVNVKNDVVLSKLWSQDLSFVKNSGKRCVFSVKKKQRLVILSLSQPPEAEPQYGAAATIVSKESSESNSLRKEEVRILGSDYEGAKVDDGNGGGFSDGNGGNGKFNNGGGGGGGDEGGAGDGEKGDPEEEEFGPLMKFEDVMKETEARGATLPSDMLEAAKSGGIRRLLLLRYLDLQGSSWPLGFAMRSWAMLRNRMLADPSFLFKIGTEIVIDSCCATLAEVQKRGKDFWAEFELYLADLLVGVVVNVALVGMLAPYVRIGQPSVSKGFLGRMQRAYGALPSSVFEAERPGTRFTVNQRIGTYFYKGVLYGAVGFACGIIGQGIANLIMTAKRSVKKSEEDIPVPPLLKSAALWGVFLGVSSNTRYQIINGLERLVEASPLAKQVPPVAMAFTVGVRFANNIYGGMQFVDWARWSGCQ >OMO66468 pep supercontig:CCACVL1_1.0:contig12548:145898:148018:1 gene:CCACVL1_21160 transcript:OMO66468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MGIKKETRAKKDLHPTHNPSALGGRYLVYQENCKAQVEGWWVAILYNKMGLAPFTRIIISAILLLCLTLDGCQGQIKPPFNAREALALARKATAGALGPDFKAFNVIDYGAKPDGTTNNAFSFIKTFNEACHFNGNAMMVIPDGKFLVGSVFFKGPCMNPSPLIILVNGYVLATPTLAGYLEVDWFNFQSINGLILTGHGTFHGQGPTVWQTPGLHSTANPGGRRPGSLKFNNVDNAIIRGITSIDAKGFHIFISNSENFRIFNIDLQAPDTSPNTDGIHMSKSSVVKISKSKIATGDDCVSMIHGCSQISIKKVVCGPGHGFSIGSLGHYEKEIDVNGILVKNCTMINTDNGLRIKTYRSPFPSKASNIVFQDISMTRVLSPIIINQEYENSIQGSKV >OMO66456 pep supercontig:CCACVL1_1.0:contig12548:67661:68149:-1 gene:CCACVL1_21144 transcript:OMO66456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVFLLCLILVNVFVAMASETAQTHQNAQAPAPYKAEAPPSIRKLGKHHAKVVKNIGGEPPATSPSEAPQAEKENHPKDHFEAIAAEPNNGEHVNVKGQAVHLEKHHHNNSFDKSMAGGGVILGGLATTFLVAVFCYIRATGRHKSSSDQSCHDHQSTTEV >OMO66460 pep supercontig:CCACVL1_1.0:contig12548:88905:90530:-1 gene:CCACVL1_21150 transcript:OMO66460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSVFSSSSSQWLLQILIFTILLTVKAQLPGTWELLVPNAGIASMHTAVTRFNTVVLLDRTNIGPSRKLLPKGHCRIDPNDAILKKDCYAHSVVFDLQTNQLRPLMILTDTWCSSGQFLPDGTLLQTGGDLDGFRKIRKFEPCEATGFCDWVELRDVELVNGRWYATNQILPDGTVIIVGGRGTNTVEYFPPRKGKIGAIELKFLADVEDTQMDNLYPYVHLLPNGHLFIFANNRAVMYDHEGNKVIRDYPALSGGPRNYPSAGSSVMLALQGDFTTAVIVICGGAQYGAFIQRSTDTPAHGSCGRIVATDPKPGWEMEDMPFGRIMGDMVLLPTGDSLIINGAQAGTQGFELASNPCLYPVLYRPDEPVGLRFMTLNPGTVPRMYHSTANLLPDGRVLVAGSNPHVFYKFDVEFPTELRLEAFSPEYLSADRANLRPVIEGIPETVRYGEGFDVFVSVSLPVVGIVEVNFASAPFATHSFSHGQRLVKLTVTPSVPDNDRYRIRCTAPPNGAVAPPGYYMAFAVNQGVPSVARWVHLVP >OMO66447 pep supercontig:CCACVL1_1.0:contig12548:4845:6524:-1 gene:CCACVL1_21133 transcript:OMO66447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLDDGEFWLPPSFLTDDDLFMDKTTEAKKNQKDGFGFGFELDGSNKSLFPYEFPNGFGSFGFSSDLSSPVESVVGSTETESDEEDFLAGLTRQMAHSTLEDGFRRNDRGFASEKSKGWVLSGSPQSTLCGLGNGCGCKQGSSRGSPNCQSRVSSPPGTWDLLYAAAGEVARMRMNEESYGGFNNRGLLGPPARKPSPNLDVSSYYPPSHQSFSHHQKLQATQFQQLKQQQQQLMKQQSASVWGGQKQQQHQNNHHVVQNRGRNGNSNSNNRPSLGLSPSAWPPLQQQQQQTQSQNGSGMRAVFLGNPAGKRECAGTGVFLPRRVGAPTETRKKTGCSTVLLPARVVQALNLNLDEINAQPQLHPRFNASFTSDSDAAALRVRSRRNFRPQQGMSHEVRLPQEWTY >OMO66449 pep supercontig:CCACVL1_1.0:contig12548:21377:23365:-1 gene:CCACVL1_21135 transcript:OMO66449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNISYMDGELFKAAKDGDMEVLFNKYKGGLDRLLDGQQNTALHVYSTSGGRQVIKKECRSLFSSYTTRSEKEVSINYLEQLLDRCPSLLLQGNARGEIPLHLAARHGNSEIVEFLIKRSKEQYGDLEQMLRMTDKDQNTALHKAVQYFDNLQVVRLLLKEDPTLSNSVNTSGVTPLYLAARRGFFRSVAEILDANCKPMALVGPHGRTILHAAALGGSEQTVKIILERKKHLTKEGDEDGWTPLHYAAHRGHYLLVEALLENDGSAAAYLTDKERGISPLHLAALQGDIDMMRCIISHCPGCVGIVDKRGWNFLHFANVTLHPPYFAYDNRFILNVNDDKDVNGITPFHVARYGPHSSKYSSRPVWDENSSQVAENKVIIYGFSILCKKIEEVLKEISNIEVAGIPVRGFSNTLAATTKGKNNHSTDSYNEREEKIRQTHLLVATLVATVTFTAAFTVPGGYKSDKGTAILSRNTAFNVFVISNSLAFVSSLSAVYTHILISGEKHSTKCYRLFYHMVDPLTGFGMMAMAMAFSTGLYAVLETSLGLAIAACSIGNLFFLIISYAYYKGYGVYLVYENLKWLFTDNFLSVWKSSI >OMO66458 pep supercontig:CCACVL1_1.0:contig12548:73618:74520:1 gene:CCACVL1_21148 transcript:OMO66458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSILKMPPLSQNLSFSNSLLSPTPLFLHTKFSTLSLQTQTRTRPNTIIRMGGGPRTYPGGVSKWQWKRMQAKKAKQLLKARLARERHIFEMRKRAELKAAVSELERPWEVVEKAPNLFSVSADEQVKVLADRFQKPGGFDMWTDRDGPQLFETVERLPSARFFPKGVVHSVKPYMRGIDSDENTKLLSENKAEVNGSESESESEIEDGNSERSGKKNGRKWKRKGVRRRLDAAGKGSFDEKRSNLKSQVYDKRSNLKSGVYDKRSNLKSGVYDKRINLKSEVYDMNLQRDGSYGIRRNG >OMO66465 pep supercontig:CCACVL1_1.0:contig12548:123094:125548:-1 gene:CCACVL1_21157 transcript:OMO66465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MGKNTTVKEMEDGFDSMQEISQNRSKKSPGGWRAVKYILGNETFEKLASMSLIANLTVYLKTKFNMDGIAVVNVMTIWSGCSNLTSIAGALVSDTFLGRYLTLLFGSISSLLGMATVTLTAAVPELRPPKCQDSINCIGPKLWQMGVLIAGLALLAIGAGGIRPCNIAFGADQFDTTTKKGRAQLESFFNWWYFTFTLALVIALTGVVYVQTNVSWAIGFAIPTACLFFSIAIFVIGRHSYIISKPQGSVFVDIAKVIVASIRKHGINGNYPFYDPEVPGSESKIERTKRFSCLDKAAIIVNQNELDEQGKPKNEWRLCSVEKVENLKMLVGMLPVWITGIFCFIVMDQQGTVGMLQAIQSTKTLKSIPHFEIPPAWMGLSSMIALAIWIFIYEKIWVSLTKRMTGKPKRLSVTQRINIGIVMSILCTLVAALTERHRRTEALKKGSFESPVSILLLLPQFALSGLVEAFAAVAVMEFLTTQLPESMRTVAGAIFFVSLSIASYLNSVIVNIVYRTTKKSGTQWLGGSDLNKDRLEYYYCLIAGIGAMNLLYFNVFARHFVTNNVRKEPEDEQKNEERTLESA >OMO66445 pep supercontig:CCACVL1_1.0:contig12548:1:237:-1 gene:CCACVL1_21130 transcript:OMO66445 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptidyl-prolyl isomerase PASTICCINO1 MAAEEGTEQSYLPKKKKSESEDDKRRKKIVPGSLMKALMRPGGGESNPSDGD >OMO66459 pep supercontig:CCACVL1_1.0:contig12548:83554:84614:1 gene:CCACVL1_21149 transcript:OMO66459 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptide/nitrate transporter MDQQKKAKEMKDFDDAEKWVNDSSYDHRGRVPLRASTGVWKASLFIIGGKPGAGAGPLQH >OMO66446 pep supercontig:CCACVL1_1.0:contig12548:3548:3661:1 gene:CCACVL1_21131 transcript:OMO66446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNVVEGVWQQLVKADAAVAFHRNATVSKWNVIYCL >OMO66461 pep supercontig:CCACVL1_1.0:contig12548:96302:100600:-1 gene:CCACVL1_21151 transcript:OMO66461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGFEPYHVPQQSRRDKLRIVAQNHPSACIEPTGATVSLSACSALLPLYDPSLLPSDLLTCAATTTSTHGGSHHVDFHHQLSAAAKNSSVCVVKEEGTVGVNFMGFVSSSSSSTPHHHNPYLDPQSSLPLNPSSIQDHMNHNNNNPFVYTPQSLQNLRDFDHHGYNNGGGHEVVQVYKPEPLSLNHHESSSTGQGLSLSLSSHNTHQSNLPLELNLQRYNESVTASGYVVPAAIVGGGVGSASTSNDQVSRNNSIPLGPFTGYASILKGSRFLRPAQQLLEELCDVGRGIYTEKLTPDSSLLEPPLMDNLVAGEITDDIPLSGNDGGRKHKSRLISMLDEVYRRYKQYYQQMQAVVASFEYVAGLGNAAPYAHLALKAMSKHFRCLKNAITDQLQFTNKAHGQISPGFLEHQPVWRPQRGLPERAVTVLRAWLFEHFLHPYPTDTDKLMLAKQTGLSRSQVSNWFINARVRLWKPMVEEIHMLETRQAQKASQKEDRNGNKSTNDHLSSANSLASENPSTSTQRGVQDTPSKRTRSELPDNIPMGSEPLNLSYNSMSSHPHVDVGVGVSMGGGGSSGGVSLTLGLHQNNGIGLSEPYPINAAQRFGLGLEVSSEGYVIGGFEAQNRHFGRDVIGGQLLHDFVG >OMO66463 pep supercontig:CCACVL1_1.0:contig12548:114306:116561:1 gene:CCACVL1_21153 transcript:OMO66463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MEARVGVVVEGGQRALNSAHGAVVDAGARKLLQQQPQHQHQHGHSSKQGLNPQIGTIQQLLAGGIAGAFSKTCTAPLARLTILFQVQGMHSDVSALSKPCIWREASRIVNEEGFRAFWKGNLVTIAHRLPYSAVNFYSYERYKSFLQSLPILENNRGKAGADLCVHFVGGGLAGMTAASATYPLDLVRTRLAAQRNAIYYRGIWHAFQTICRDEGFLGLYKGLGATLLGVGPSIAISFSVYESLRKYWQLQRPNDSTIAVSLTCGSLSGIASSTATFPIDLVRRRMQLEGAGGRARVYDSGLFGTFRHIIQSEGLRGLYRGILPEYYKVVPGVGIVFMTYETLKMLLASIPTN >OMO66451 pep supercontig:CCACVL1_1.0:contig12548:40565:41366:1 gene:CCACVL1_21138 transcript:OMO66451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein A MAGDKTIGVAMDFSNSSKSALKWAIDNLADKGDTLYIIHINPNHLDEARNALWAKSGSPLIPLTEFREPEVMKKYDVKTDMEVLDMLDTASRQKEIRIITKLYWGGDAREKILDAIEDLKLDSLVMGSRGLGTVQRIILGSVSNFIVTHATCPVTIVKEPSSSGKK >OMO66450 pep supercontig:CCACVL1_1.0:contig12548:35159:37538:-1 gene:CCACVL1_21137 transcript:OMO66450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQHHQVEEIMDTSITYMDRKLFKAAKDGDMELLFNKYKGGLDQLVDGEQNTALHIYSTGGGREVTIIRILRRFCLSTRFEIRREVSMEYLKQLLDRCPRLLRQANAKGEIPLHVAARHGRRKIVEFLIKRSKEENVELEQMVRMTDNDQNTALHMAVQFDDNFQVVRLLLKEEPDYLSNSVDTRGITPLYLAARRGFFCSVDEILDKNYCQPRALLGSHSRTILHAATLANSEQTVKIILKSKKHLTKQGDEDGRTPLHYAAVSGYISVAKALLQSYDGSAAAYLTDKEKGMTALHMAALQGDIAMMKCIISHCPGCTGIVDKRGWNFLHFAFLTLHHARDISRDELINYHFIRNFLYHKDVDGITPSHVSRYGPHSSSEISHPVWDEKSSQVAENKTKIEQVLQEICKIEVAGILVRDFNDIPDAATDVSYKRTDEKIRQTHLLVATLVATVTFTAAFTVPGGYKSEQGTALLCHNAAFKVFIITDSLAFFVA >OMO66455 pep supercontig:CCACVL1_1.0:contig12548:64266:66550:-1 gene:CCACVL1_21143 transcript:OMO66455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome Inhibitor PI31 MSNEKSVMAVIRAARPSFRNNHDKVAFAVHAAFLSSGFVLTAAGSPALADDALSSTSTDEVGIDNWNDFDDHYAFVYANPEKGSKKVLVKGMVMNGKLLVDALADGSSEPVHLEIDIDNYVGESASGNYSAQYKNLDKLVSSLDKEVISKLYGSSSKSSSSSNPPSQETGEGSGRDRNDPLVGRNDPLGPQIHPSGVVVPPIMPIPDSDLYPGSGPGMYPRSGFHGPGPMLIGPNDPRWSIRFGEPGLPGGEPGVPPGANFDPYGPPGVPGFEPNRFIRHPRRPGGGTHPDLEHFNRDGSDFI >OMO66467 pep supercontig:CCACVL1_1.0:contig12548:137573:138916:1 gene:CCACVL1_21159 transcript:OMO66467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MDWGNVTAEDLIDALREVDWSSPPRPLSEFFSRFTVPRSYAKWNSRLKCNLYYYRTNYFLMIVVILGLGFLRRPIAILAAILTALSIAFLNDSFASTFSEKVTRTVRQFSPHLAAKMRPPLTPVIRGRPSAKRAIHICGRPRWVFVFIFSAASFILWYVSCGLLTVLWALAIALLATVLHASFRTPNLKARLNTFREEFRQVWRNYSEL >OMO66454 pep supercontig:CCACVL1_1.0:contig12548:54553:55969:-1 gene:CCACVL1_21141 transcript:OMO66454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGRKGVGSSSSSSFTSELFGSKESPSSSTGIFGSIFSPPSNKVLGRESLRSDLMAKKQDSLNEAFNTKPTASSGKSSKVYEGENQGMANKDMSSIYQEQRVQPCHLSSSIYYGGQDVYSHPQSSQNSVYKKDGGEDDSGSASRGNWWQGSLYY >OMO66464 pep supercontig:CCACVL1_1.0:contig12548:119322:122253:-1 gene:CCACVL1_21156 transcript:OMO66464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain, type 1/2 MTKIDALRRFLPSCFYPTSTTSASSVSSVAPTPKKRLSTSLRDDLDDQDRTSVANQDQDKDSQDSNATTDIETTTVAAARPSRSMVIGTIFGQRKGHVWFCIQHDRLSTKPTLLLELSIPTNQLVQEMRCGVVRIALECDRSELSSCPLRSVPVWTMFCNGRKIGFATRRKASHHHRLMLKTMQSTTVGAGVIPSGLGSSGSEEIMYMRANYEHVVGDANSESFHLVNPDECPGQELSVFLMRSSFKLMTLANLTATIICHIITCNLGLWKAVVRETDMPEEMQSRVMELAYQALDLHEVSDCQSIAHFIKQKFDEAYGAAWHCVVGKDFGSCISHLCGTFIFFHVEMMEFLIFKDGNDFSETKEEAVGVLQKAKKNDS >OMO66448 pep supercontig:CCACVL1_1.0:contig12548:6963:7052:1 gene:CCACVL1_21134 transcript:OMO66448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSTRVERKVIATLEGPYCGAHEGVAAR >OMO66452 pep supercontig:CCACVL1_1.0:contig12548:44125:44679:-1 gene:CCACVL1_21139 transcript:OMO66452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MARVTMVRKLVTVLTSENVPRKLTIRCVEALSKGALPLRQGIKWDTNRLMVSLAQLMEAESGAVQFQYNCLMIIVNITAALEYDANYTSKFLKPNSPGAIAIVQQLLRVIEESDDPALQILATRSIGFLAILFDESNDRHLISILVLQLDNGCPDVATEAVLALQKFLFWHSEQKKCRVVDRLN >OMO66453 pep supercontig:CCACVL1_1.0:contig12548:48350:51484:1 gene:CCACVL1_21140 transcript:OMO66453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MDGAFTHQELIEYSTEQQHQQHYQFKLCLPVPKLKAKTFWASKSVRSLFFLESNGCCLKRLEYSGMLVNNSVYCSSPDSAEEEDKAEMEGLVEKSEGFDEKDESFATLFGQIWRLEPVPHEKKAMWRREMEWLLSVSDHIVELIPSWQTFPDGSKLEVMTCRPRSDLYVNLPALKKLDNMLLEVLDSFVDTEFWYVDQGILAPEADGSTSFRKALQRQEEKWWLPVPRVPPGGLHENSRKQLQHKRDCTNQILKAAMAINSITLADMEVPESYFDSLPKNGRASLGDLIYRYISSDLFTPECLLDCLDLSSEHQAIEIANRVEASIYVWRKRTNSKPVNNTTRSSSKSSWDLVKDLMVDAEKREILADRAESLLLCLKQRFPGLPQTTLDMSKIQYNKDVGKSILESYSRVLESLAFNIVARIDDLLYVDDLTKHSDQFSSLARVGVIGQKSLSIPYSVPFASTPYRTAFTTPNFSPAPRVSPSKGDRSPYISSSKIPQRGVGVKKVLTDYLSIDVRGKESSDPNEGTESSSSIAPETPVSFECLKESVSLPKEEPTPEREPVAAHHDPIS >OMO66457 pep supercontig:CCACVL1_1.0:contig12548:71167:72042:1 gene:CCACVL1_21147 transcript:OMO66457 gene_biotype:protein_coding transcript_biotype:protein_coding description:copper ion transmembrane transporter MGGKIYPGGVSRRRWLDMQDRRSKQLVKIGLARERHIYKMRKKAELKAAVSELERPWEVVKKAPNLFSVSADEQVKVLADRFQKLGGFDTVQGLPSARFFPKGVVHSVKRYTRGIDSDENAKLLSENKAEENGSESESESEIEDGNSERSGKKNGRQWKRKGVRRRLDAAGKGSFDEKRSNLKSQVYDKRSNLKSGVYDKRSNLKSGVYDKRSNLKSGVYDKRSDLKSGVYDKRSNLKSGVYDERSNLKSGIYDKRSNLKSGVYDKRSNLKSEVYDMSLQRDGSYGIRRNG >OMO66462 pep supercontig:CCACVL1_1.0:contig12548:108397:113229:-1 gene:CCACVL1_21152 transcript:OMO66462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWHVFHVTQSHSVVIFVVERDTF >OMO66835 pep supercontig:CCACVL1_1.0:contig12512:6944:9497:1 gene:CCACVL1_20973 transcript:OMO66835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPQGVNSKPQIIDYYVSTLQRVLGSEKDAQMCIYDVSSDTHFGFCCHIDEQASYELARLPEVLSVKPDPDYNSNKKDSASSNIFNSGVESLRLFPSGNTKHWLVRMEKPGVGVVTKAQMVDYYTQILTKVLGDEKDAQMCIYHVSWQFNFGFCCELDEECARELSGVPGVLSVDLDRNFESENKDYGGPTDLPENSEASEMTTIKTKKLFITGLSFYTSEKTLRSHFEGFGELVEVKIIMDKISKRSKGYAFVEYTTEEAAAAALKEMNGKIINGWMIVVDVAKTRPSNFSRGRPRPTA >OMO89375 pep supercontig:CCACVL1_1.0:contig08749:16910:17260:-1 gene:CCACVL1_07870 transcript:OMO89375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor eRF1/aRF1 MISLKLLDISYGGETGFNQAIEQSLDILADLKFVQQKRLIDKLFEEINQDTKKYVFGVEDTMKVLEMGAIQTLIVWENLEVNLYVLKNSATDEIVIKHLSKEQEGDEETSKILSWK >OMO52898 pep supercontig:CCACVL1_1.0:contig15405:1093:3490:-1 gene:CCACVL1_29022 transcript:OMO52898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDMGSELDLLAIPPMDPLYFSTDLGFPLDNDNDDFDINFDDLDQFDFPSDLDNLLTPDSSTTPDSYVEQYLNSSSQELGSCNSPDSSGNCASAISGGIPHSPVSSLGSGNCASAISGTVNASCPDSENIVEQKVSVEEMGKKRISKRKKENEEITKRKKENEEINSSKFTRSSLPATVNNSDFNSDTSIQNPNDVSEGEEKKRARLMRNRESAQLSRQRKKQYVEELEDKVRTMHSTITDLNNRVAYFMAENATLRQQLSNGGAGGGGGTAMCPPQTLPMPMYPPMAYPWMPCAPPYVLNPPGSQVPLVPIPRLKPQKQQVPTSKAKKSESKTKKVASISLLGVLFFILLLGGLVPIMNVRHDNTPVGSGFVGDGFYEVHRGRVLRVDGHLNGSHNSRDAALPDGRFDRNGVHGRGSESGVEHKATGAQNMGNGSEPLTASLYVPRNDKLVKIDGNLIIHSVLASEKAMAFPKASQIKNKETGLAIPKHFPPALAFSDAGENKGHSREYRNPAERLMALSSGKADALKDHFMSSAADGKMQQWFREGVAGPMLSSGMCTEVFQFDVSAPGAIIPASSVKNISSAEHRKNATQVNKGRNRRILHRNPVPLPGSDLNITEHVGRNSPKENFQGNKTASSMVVSVLIDPREAGDVDKDDMIVPKSLSRIFVVVLLDSVKYVTYSCMLPRSGLHLVTA >OMO52900 pep supercontig:CCACVL1_1.0:contig15405:7554:9272:-1 gene:CCACVL1_29024 transcript:OMO52900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MELPEECWESIFSFLEHHRYFEPLSLVCHRFLSITNHLRRTLVVTDLTLESLPRLLLRFPNLHSIIFRDFHGNLNSVLSLISQSGLPLESLDVSNQMGFPLLGLKRLSSKMGNLIELKCSKIGTLKDSDLIEVGKCFPFLEVVDISYPDHGCDLSPNGSLDSRGFSGLVTDYGILGLASRLRRLRKIDLSGNPFITDQALVSLTLNCLFLSEIGIRDCDFITQNGIALAMRKNGNLKSVSMNGIGIPSIDACFKDSFAYARGLCDLDLSNSFISDEFLYLLAEACLPLNKLVLSRCYCFTFDGISVLLSKYHSLTHLDLEGANFLNDESMIESTKFLGNLTFINLSLCSKLTNSTFFSLIRNCPLLSIINMERTNLGLEEFPTEIVVNPRVRSLYLAWNNSLNDECLERVAHVCPNLEMLDVTYCSGITEKGILGILKSCWQIRCLEINRCEGIKNLEIDFELPKLEVLEVEGLGIDDEALTSIGKRCSRLLHLNLEGCPNVTSRGVEGVVGSCKLLKEINLRWCNNLSADIAAWMVFSRPSLRKITLPCGSVPSVSQRTFFLRHGCLLCQG >OMO52899 pep supercontig:CCACVL1_1.0:contig15405:4680:6630:1 gene:CCACVL1_29023 transcript:OMO52899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S1C MASLNTLPSPLPTATSSSSSSESLDNKSLVITKRRAFVSVSTLAMASLLQLSNPIISSAIALQQQQQDQELDQEEDRIVRLFQETSQSVVFIKDLELTKSPRSSSKEDIFVDDDEDAKIEGTGSGFIWDKFGHIVTNYHVIDKLATDQTGLQRCKVSLVDAKGTSFYKEGKLVGIDPAYDLAVLKVDVEGYELKPVVVGTSRDLRVGQSCFAIGNPFGYENTLTTGVVSGLGREIPSPNGRAIRGAIQTDASINAGNSGGPLIDSYGHVIGVNTATFTRRGTGISSGVNFAIPIDTVMRTVPYLIVYGTPYSDRFR >OMO53980 pep supercontig:CCACVL1_1.0:contig15084:19840:21117:1 gene:CCACVL1_28161 transcript:OMO53980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNILALSLVLSSLAAAGVWSPAPQREDVIVKEGHRVIVVEYDEDGKHNTKVSISPTTPPQQKPLDEAEAEAASTVLPNLGQGISSQGKATICDAFGKCTEKMANAFGKARGKVEETFQDTSNQAKDKAKDIKGALGTAKEAIKETAKKAGEDIVSNASEGAKEAKHKLPSRNKLLGWVKYMTTSMDSALILGTLANLVGLATAYGMSVWVTFISSDVLARLLPRQQFGVVQSKIYPVYFRAMAYSIGLALLGHFLGQRRRLLSSKPEMFQAFDLLSSLFLVLFNALYLEPKATKVMFERMKMEKEQGRGRDDFTAEAEGANPTEPPLVADPAATTKTREAAAAAEQQVVVKSRMARLNERLKKLNSTSSLVNVLTLMALTCHLVYLAHRLSLHC >OMO53981 pep supercontig:CCACVL1_1.0:contig15084:33431:34566:1 gene:CCACVL1_28162 transcript:OMO53981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGACYSIWKPWAMYVHIGSEMRKGKGWD >OMO53982 pep supercontig:CCACVL1_1.0:contig15084:39202:42311:-1 gene:CCACVL1_28163 transcript:OMO53982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTPGGSSSSSGGGGGGGEVSRSGLARFRSAPATWLEALFEEGEEDLLKPNQCLTQLLTSSSTATRFPNSADPTGLFDEPPTGFQRQNSSPADFLANTSAAASDAYFSNFGIPPNYDYLSPSIDVSPSSKRNREPDSQFTPTKFQSQLKGEQSGGVSNLIDVDMEKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLEEAVEYVKYLQSQIQELTELQRKCKCKTKE >OMO95192 pep supercontig:CCACVL1_1.0:contig07721:32389:35872:-1 gene:CCACVL1_05507 transcript:OMO95192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MTWHHTHEITEGFMSHPSDGEAWKHFDRTYPDFASDPRNIRLGLCADGFAPHGQFGKTYSCWPVIVTPYNLPPSMCMKSPYMFLSLICPGPTNPKKNIDVYLQPLIEELNHLWVYGADTFDCVSSSNFNMRAALMWTINDFPAYGMLSGWSTAGVLGCPICMEESKASSLPYSRKASYYDCRRAFLPRNHRYRRDRYSFTRDCVVNSPPPPRLSGEETYDRVQFFPTAYEDPLNKPDGYCIDHKWTKKNIFWDLPYWKTNLIRHNIDVMHIEKNVFDHVLGTVMDVPGKSMDTVNARKDLTILCYRNDIAVENDIVGRKPKAIYTLTKEQKRVVSVKTRGTATASGSTSQQQPEFSSRKVFQLQPPPRRIETSPAATNDAVRTRDTATNSGSTSQQQPESEPPSRSVFRLQPPPRQTTTSPPANLHPLQDNDEEEEQEEDEMGEEGVDRNGLDHDLEAEEDVPEVQHDQQQPVKLPPYPGIRDPPMEPLPCREDPRVPPLKISNNMLYGYDFHFRSIFTGTIEGYYCGAWPGWSFIPRDAAWDIIRAYWRSPQFRALQEQNKRNRNADGFASTSGYRGGRISTYTHRQRYIIEKKQKPTRLQLYKRTHGRKNGSIPSGRTAITVEKFKDVLQRAKDAARGDREALQAIDEDAIFDEVAGGTKGRRLGLGNIARAERCGVVDPCSFLTQENQELKESIRSLSQDYEATKAKQIQSDQNILCCNIYKQCVLHLPNKTYTFRHLEYSSRCPSPLVRPVNNPNSPGQSRILLTRTTIFRMKI >OMO95191 pep supercontig:CCACVL1_1.0:contig07721:7208:9585:1 gene:CCACVL1_05506 transcript:OMO95191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVVVLPQDIIEDILSRLPVKSLLRLRCMSKSCNFSISKDQSFARMQLKRALRSPKSSSQKILLSSFFSPYLRSLDYEKENCFGEERAISKRVFPADKTTPKVVGSCHGLLCLAFRGCEDIYLWNPTTRDYNKLPDHPHLLPNKYPTADHRSYTYSFVYGFGYDSFSDDYKVLLGCNTSTTKQRLLEVKFAIFSMREKCWRIIQQLPPIELFHRACPSGSKFVNGTLNWLVMELEKRKFGGIVAFGLKTERFLELPLPDIDTDTLYFLSGLAVLKGRLCLSIATSQSSSYLVELWVMNEYGVRQSWTRLLDSPFETDFPYHLPLCISMDNQIILFNREENRLTMLDAAGGKIPESTCQLRNCRIHSYPLSHSPYARFIYTNYKCGAHIYLESLVSPNFTNKQKLDEYIMYLLVLISPMPDIDHHITHAGLGLLEGRLCLSVATQGSSSNFMIELWDQSFARMHLSRALRSPKSSSQKILLSSTSLLPSPSPSPPYMRSLDYENNKCFGDEGAISKLVFPADVQTPLRVVGSCHGLLCLAFNKGKDIYLWNPSTRDYNKLPDHPCLLPDHDIPPKDHGKTSYSFIFGFGYDSFSDDYKVLLGDQDEHFFEIDQDEHFESAKEVKFAMFSMRENCWRIIQQLPPSKFLKQYYIQFESKFVNGTLNWLVNEFGKGALVAFDLWTERFLELPCSLCWIGGS >OMO97961 pep supercontig:CCACVL1_1.0:contig07183:23683:26921:1 gene:CCACVL1_04398 transcript:OMO97961 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family MWRHSATLSFSSRLFYSSTNPNYFHYASAFSHFTPNGVVEPALSPYNRRFYSSSATPSPSPSPDSVIKLGLLADRSETFRPLTAKARDAIQLARHYGRCYWELSKARLSLLVVATSGTGYVLGSGGAVDLMGLCCTCAGTMMVAASANSLNQVFEIKNDAKMKRTRLRPLPSGRITVPHAVTWASTVGLAGTALLTSEANALAAGLAASNLILYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASGQISLNSLILPAALYFWQIPHFMALAYLCRDDYAAGGYRMFSLADASGQRTAAVAFRNCLYLIPLGFIAYDWGLASGWFCVESSAITLAITAAAFSFYRDRTTQKARKMFYASLLYLPVFMTGLLFHRLTDDQECVADTSDSTFELSSSQDTAISLDDDQKKRRDFTAGSQARPPVAYASVAPFPFLPVPSYVGP >OMO97959 pep supercontig:CCACVL1_1.0:contig07183:9549:9884:1 gene:CCACVL1_04396 transcript:OMO97959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKFFGKGKDSSPDVSLQSFGKSVSWSSPSVSPVTGPAIPIRLVCCDEKGKFRMDPEAVAALQFVKGPIGVVLVCGRARQGKSFILNQLLRRSSGSLDVEHLESSVLLFLF >OMO97960 pep supercontig:CCACVL1_1.0:contig07183:11315:16722:-1 gene:CCACVL1_04397 transcript:OMO97960 gene_biotype:protein_coding transcript_biotype:protein_coding description:exocyst complex component 2-like protein MASSSSSKAQAEKIRSVQGMLFERVTKGEYDLAVENTRQNRLLSSHM >OMO55088 pep supercontig:CCACVL1_1.0:contig14796:12477:12716:1 gene:CCACVL1_27397 transcript:OMO55088 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA isopentenyltransferase MHRIDATEVFLKRGEDEEADEAWERLVAAPSTMIVGQFLYDENRVVSASSLVPSDPTTAVMAPAAAKSHQYSSIGFPST >OMO55087 pep supercontig:CCACVL1_1.0:contig14796:2637:6732:-1 gene:CCACVL1_27396 transcript:OMO55087 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative catalytic MNSLSTSSTVSSSSSSSSASSTSWFSGIVRGRQDKSSSVKMVGSASSGGGGVASDHEGPIKGKNQFRGVLFKYGPKAVQVAFKTGDYKQQVIFIGGLTDGFIATDYLEPLAIALDNEKWSLVQMLMSSSYSGYGTSSLQQDALEIDQLISYLINKENSEGVVLLGHSTGCQDIVHYMRTNAACSRAVRAAILQAPVSDREYKATLPETAAMIDLASSMIKEGRGSEFMPREAEPFCPITAYRYNSLCAYMGDDDMFSSDLNDDQLKMRLGHMANTPCQVIFSMADEYVPEYVDKKALVERLCRAMGGAERVEIEHGNHSLSNRVHEAVQAIIAFVKREGPKGWDDPWS >OMO59825 pep supercontig:CCACVL1_1.0:contig13868:31120:33001:1 gene:CCACVL1_24595 transcript:OMO59825 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MDKLQKSQANYVPLTPLTFLKRASAVYANRTSIIYENTRFTWRQTYERCCRVASSLRSLNIVNNDVVSVLAPNVPAMYEMHFAVPMAGAVLNTINTRLDAKNVATILRHAETKVLFVDCQFVSLIREALRILMGMGDSEKQELLVPLVIVIDDIDSPTGVRLGELEYEQLVQMGNPRFVPIEIQDEWDPISLNYTSGTTSEPKGVVYSHRGAYLSTLSLVLGWEMGTEPVYLWSLPMFHCNGWTFTWGIAARGGTNICLRNTTAYDMYRNIASHNVTHMCCAPIIFNILLEAKPHERREITSPVQILTGGAPPPAALLEKMELLGFHVTHAYGLTEATGPALVCEWQAKWNRLPRESQSKLKARQGISILTLSDVDVKNEKTMESVPHDGKTMGEIVLRGSSIMKGYLKDPEATSKAFKNGWFFTGDVGVIHPDGYLEIKDRSKDVIISGGENISSVELESVLYRHPRVLEVAVVAMPHPRWGESPCAFVALKQNEAGKTDEVTESDIISYCRKNLPHYMIPKKVEFLPQLPKTSTGKIQKFQLRAMAKSFHVTENSTAAKKSSVQVKKEIPKYNDQPHQQILALSRL >OMO59824 pep supercontig:CCACVL1_1.0:contig13868:14782:15983:-1 gene:CCACVL1_24594 transcript:OMO59824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like protein MEKEIYDTRKEEQKQKSGKEQEGAAAVLLDELPEGCIGKIVSLTTPADACRVSCVSTTVRSAAASDYVWYNFLPIDYQAILSRSADPDFSSLSFSSKKQLFSYLSDNPILIDEGKKDGAYGFDYHPTEVSLVLGDDEICSKSVVLNPMNEGMPRHFWHWDEPDYIVTNYSTLSRPKERADGWLEIEIGDFFNRYGDDEMEMKVMEVKAGAPKSGFILQGIEIRPKAG >OMO51966 pep supercontig:CCACVL1_1.0:contig15656:15743:19339:1 gene:CCACVL1_29473 transcript:OMO51966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTRSQVSSFKEEQQRSRSIRSNEEEEEETQVNNTISNNVSLRNPHHGLKEKMRALTLLYEQQKKASAALRNNQSPKPDQEKRFSTHPSVDLLTSCRREEEKEEKQQQDSKFSNVMRENTMPALPKMANPTVTRTYVLPQPPLDDAKENLLIGPDKILGFSSCARKATTTTEKIRVARKLSMGGTKNLQELEKIESGECTEKNGTMGNDSRILVFVRLRPMAKKEKEAGSRCCVKIVNKRDVYLTEFANENDYLRLKRLRGRHFTFDASFPDSTSQHEVYTTTTAELVEAVLQGRNGSVFCYGATGAGKTYTMLGTVDNLGVMVLAIKDLFTKIRQRSCDGNHVVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQRGNQNRTTEPTRVNETSSRSHAILQVMIEYRVKDASMNVINRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACNTVMIANISPSNLSFGETQNTLHWADRAKEIRTKACEANEEVHEVPESGTDQAKLLLELQKENRELRVQLARQQQKLLTLQAQSLAAKASPTPSSVTSLMTPPSTAQLNEKRKPRSAFLTGNCFTPESRKRGADDEVKELRLTVKALELEMARMKKDHASQLKQKDDIIRELSRKSEKSSEVGSKRVVTRASLRPKEPNAGELKSPSHRFRSPVPTTKKRSFWDITTANSPSVTTLNGRKTKSHVIPEPVAAPSMLLQVQ >OMO51965 pep supercontig:CCACVL1_1.0:contig15656:6359:6421:-1 gene:CCACVL1_29472 transcript:OMO51965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGFKSDATGGDITGKQNM >OMO51967 pep supercontig:CCACVL1_1.0:contig15656:25035:25805:-1 gene:CCACVL1_29474 transcript:OMO51967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASQDSSKRHFHWTKKVGTEEDDEAPPAPSFNSEDNPKNKENLKTTHVATTMPTPRKKLPAVAVARLRSVLTAFGKNRSSLPFALGPRVVGTLFGNRRGHVHFAFQKDPNSPPAFLVELATPISGLVREMASGLVRIALECDKGKEEEKKGVSVRLLEEPVWRTYCNGKKCGFATRREFGDKERKILKAVEPISMGAGVLPGSGGGGADEADGELMYMRAKFERIVGSRDSEAFYMMNPDSNGAPELSIYLLRV >OMO67806 pep supercontig:CCACVL1_1.0:contig12382:18636:24107:1 gene:CCACVL1_20301 transcript:OMO67806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNRTNCRHRITGLMEPKIVGYDEVGK >OMO62811 pep supercontig:CCACVL1_1.0:contig13151:19948:26402:-1 gene:CCACVL1_22628 transcript:OMO62811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MAEPKPSNKKLEGKVAIITGGASGIGEATALMFVDHGVRAIVVADIQDDKGENLAASIADRCGCTFIHCDVTKEEDVESLVQSTIQLYGGLDIMFCNAGIMSTSLQTILDLDLSSYQKLFAINVGGVAASIKHAGRAMVKGNTKGCIICTASIAAGIGSDRHTDYVMSKNAVLALMRCASTQLGSHGIRVNCVSPGPVATPLLCQGVGMGVEEACFT >OMO62812 pep supercontig:CCACVL1_1.0:contig13151:39397:42425:-1 gene:CCACVL1_22629 transcript:OMO62812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKFPQLGRSGRSVVTIKNLKFGFGNETYQFFVELSTSDDESLRCKFFQDCKGLAAQLSGTFQGAT >OMO62810 pep supercontig:CCACVL1_1.0:contig13151:12090:19414:-1 gene:CCACVL1_22627 transcript:OMO62810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MGGLILCPCKTCNNCEWKSRYVFYNHLICKGFDQGYTKWKFHGERSSPSVSFDHGERSSPLVSDDDGESINYDIAMVDESNMHDNLEQLERDVMGQEGNNDNTNSHEDNDDVSDEEGVEDPSLDAKKFMKLIRDGQKDLYPNCKKSSKLSFLIRLLNIKGMTGCSTVTITLFLELLKSILPDGETLPKTYNEAMKIMKGLDLEYEKIDACPNDCVLYWGNDAATCCKICGALRDYLFSKTAEAMRWHEEGRTKDMKLKHPADSEAWKAFDSLFPDFAKDPRNVRLGLTTDGFNPFKTMSLSYSIWPIILIPYNLPPWMCMKSPYFMLSTLIPGPSSPLNSIDVYLQPLIKELKELWKFGVAIYDALSKQTFQMHAALMWTISDFLGYSMLSGWSTKGYLACPNCNNETSSIYLHHSRKNVYMKHRRFLDLNHPWQYDKKSFDGTIELGSPTRVTFRDYLNGRLDLQHWGIREELHPRPLPHDKILLPPVCFSMTSKEKGVLCDVLKGIKLPDSHVTNISTCVNVKKLLIRLSAFFRGIYAKVINLQEFELLEKEIAVVLCELERIFLPAFFDIMTHLPIHLASEAKFGGPVQFRCMYAIERYFLKMKSYVRNKNHLEGCIAEGYLADECINFCSRYLDDSTQTRFNRPSRNYDVAGMESQKSSLFTRMGRSIGNGEICTLDDNCRGQAHRCVLFNCPEIENYRREHEQLIMQRSSSRKWKKALGHSLEFHDWFETQRRKTQNSGVVVTSLTETYASSRDQNPIRGNVTYFGVLKEIIELDYYGHFNVLVFKCDWFQMKEDEFGFSLDNFKSGPRDLYDMSQPNEDDPWKIYDEPNVSSDLIINECDDNEINSEVNHFDRQSSTSLVNDNSENEGIFTKKSRGHAKGIDKDFEVQHFVYQKSPSLVYDDFENEDMDFSIKENNRPTISLHSHELSKHKLHQQPHIYHSQLSKQPHFQKHSSSSKEQYFEERAVDIYGYGSQESPSLVDVVSESEDFCTKKEMIVEEQRRVYGRSPSLVNSNSRNEGFFTKKTRGTTKALRIQGVTKDNRIFIQLNTRNQPIGPTHEAVNNLSTYLGTLARNAVMAPLVYHDWRKVPRSKKIDMLDLVYGRFEIDECASNWILSTIGTDWRNYKCRLKRNYYCKYKTDAERLKHCPAGVPFKDWKELVLFWSSKEGKVRSLRGIIVRKKQQCVHTTGSMSFARVREKELEYKRQLSLSSENSEDLETQNGIFAQVMGIAENARKIAMDVMKDVKDKLEDEIQKKLQQHVQSIKAEVTDQVQMIKVAISQQFNLLMSQMQKLSRKMGGDRQKMNKRRRKRTKKRKGREGKRKKKLV >OMO78317 pep supercontig:CCACVL1_1.0:contig10602:23408:27541:-1 gene:CCACVL1_14495 transcript:OMO78317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 3 MRALLSQQASLSVSSIHINNCCNPNPNPSPTRTSLHFSTKSGGHRLIGRKSRSTAVRAVLDSATFDQFGLKESDFRNPAISTTYRSSTLPKPNQTVLDAQARVCTGPTQTRPLSEEQAFKVLDTILRSVRGELKDEEQVSKAQLGAFFAGMTIRANAFPEATQWSEGERRAMNIFWPLLARVLPPDVIFIADPEGSIMGSGSSVGPQFVGNGTSEMRLVGALREILAGGHLGYEEVQGVLRDVLPLKVEDGKSTGVSESLLSAFLIGQRMNRETDRELKAYCLAFDDELGPAPVADVRSLTHYGEPYDGNTRYFRSTLFVAAVRSCYGESSLLHGVEWMPPKGGVTEEQMLKFMGANTNLSLHQAKELIEDEEIGFAYISQREARPSLYSLIGVREHIKKRPPLATTEKVQQFIRAKGKESFVAGFYHEGYEEPLLMLMKRRGVHSGLVVKGEEGALSMTTRLRSANTSKGLPVNYCSGFRSVGKESACEVDGVSRESFRLEVNAMDYGFEPTDTPRTDRSVSKNIELGLAALHGEKGPAYDRIVLNAGIVDHLLGCDGAEDVSLALDRAREAIDSGKALKRLLNYIKVSHKGK >OMO78316 pep supercontig:CCACVL1_1.0:contig10602:19183:21640:1 gene:CCACVL1_14494 transcript:OMO78316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAAASASDSAVKTVKKVCLFYCDETKALAERIAAQSDAIELRNINWRSPGVIFEQLSVIYALPKLFISSFTLVLPFFPTGTSERMEDEGDVATAFTLARILSNIPTSRGGPTSLVTYDIHALQERFYFGDNILPCFESGIPLLKNRLQQLPDSDNISIAFPDDGAWKRFHKQLQHFPTIVCNKVRIGDQRIVRIKEGEAAGRHVVIVDDLVQSGGTLLECQRALAANGAAKISAFVTHGIFPNRSWQRFEHDNGGHPEKGLTYFWITDSCPLTVKEVKSIPPFEILSLAGSIASALQI >OMO78314 pep supercontig:CCACVL1_1.0:contig10602:4526:8763:-1 gene:CCACVL1_14492 transcript:OMO78314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MGIKALDYESLNENVKKVQYAVRGELYLRASELQKEGKKILAVEHSSNVCTNMQDVSLYDFLESTSVLGEHVVALCQAPFLLDDPNVGLLFPADAIARAKHYLSLTSGGLGAYSDSRGIPGIRKEVAEFIERRDGYPSDPELIFLTGGASKGVMQTLNCIIRGEGDGILVPVPQYPLYSATISLLGGSLVPYYLEETANWGLDINDLRQSVAQARMKGITVRAMVIINPGNPTGQCLSEANLREILTFCYQENLVLLGDEVYQQNVYQDERPFISSRKVLMDMGPPISKEVQLISFHTVSKGYWGECGQRGGYFEMTNIPPQTVDEIYKIESIALSPNVPAQIFMGLMVNPLKPGDISYEQFVRESQGILQSLRRRARIMTDGFNSCKNVVCNFTEGAMYSFPQIRLPPKAIEAAKKAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMSSFKKFNDEFMEQYEDNLSHSRM >OMO78315 pep supercontig:CCACVL1_1.0:contig10602:10742:11633:1 gene:CCACVL1_14493 transcript:OMO78315 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxisome biogenesis factor 1 MAEELEIVLESQEEDVREATKFTVIGKILAMKSLNRRGVVGVLQSMWPKKEVIAIREMGDEPGASER >OMO77336 pep supercontig:CCACVL1_1.0:contig10778:1117:7049:1 gene:CCACVL1_15069 transcript:OMO77336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPGSLSGNNNNQDSDIDTKKKKEEGSTKQKKVPLLKLFSFADFYDYVLMGLGSLGACVHGASVPVFFIFFGKLINVVGMAYLFPKEASHKVAKYSLDFVYLSVAILFSSWIEVACWMHTGERQAAKMRMAYLKSMLNQDISLFDTEASTGEVISAITSDIIVVQDALSEKVGNFMHYISRFIAGFSIGFARVWQISLVTLSIVPLIALAGGIYAYVATGLIARVRHAYVRAGEIAEEVIGNVRTVQAFAGEERAVKSYKEALMNTYKYGRKAGLTKGLGLGSLHCTLFLSWALLVWFTSIVVHKHIANGGDSFTTMLNVVISGLSLGQAAPDISAFIRARAAAYPIFEMIERNTISKTSSKTGYKLGKVEGHIEFKDVSFSYPSRPDVVIFNRFGLNIPAGKIVALVGGSGSGKSTVISLIERFYEPLEGQILLDGNNIRDLDLKWLRQQIGLVNQEPALFATTIRENILYGKDDAKHDEIMRAAKLSEAITFINNLPDRFETQVGERGIQLSGGQKQRIAISRAIVKNPSVLLLDEATSALDAESEKSVQEALDRVMVGRTTVVVAHRLSTIRNADIIAVVQSGKIVETGSHDELISNPHSAYSSLVQLQEAGSLQRYPSQGPTLGRPLSVSYSRELSRTRTSFGASFRSEKDSVLSRAADGMDTGKPSYVSPRRLYSMVGPDWYYGVFGIVTALIAGAQMPLFALGVSQALVAYYMDWETTCREVKKISILFCCAAVITVIVHAIEHLCFGIMGERLTLRVREAMFSGILKNEIGWFDDLDNSSSMLASRLETDATFLRGVVVDRSAILIQNVGLVVAAFIIAFILNWRITLVVLATYPLIISGHISEKLFMQGYGGNLSKAYLKANMLAGEAVSNIRTVAAFCAEQKILDLYARELVEPSKQSFNRGQIAGIFYGVSQFFIFSSYGLALWYGSVLMGKELASFKSVMKSFMVLIVTALAMGETLALVPDLLKGNQMVASVFEIMDRKTQVVGDVGEELTNVEGTIELRGVHFNYPSRPDVVIFKDFDLKVRSGKSMALVGQSGSGKSSVLALILRFYDPTSGKVMIDGRDIRRLKLKSLRKHIGLVQQEPALFATSIYENILYGKEGASESEVIEAAKLANAHSFISSLPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMRNRTTVMVAHRLSTIKNADQISVIQGGKIIEQGTHSSLIENKDGPYFKLINLQQQQQQEQ >OMO77337 pep supercontig:CCACVL1_1.0:contig10778:9507:15511:1 gene:CCACVL1_15070 transcript:OMO77337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAQLAKAQLLQNLMQIVNTNKLPNVDAISGFLGSENINNPNPFQGIMNIGTTTFSAKEQIPVAQNVQNNGGANVIPQTTSSSGGYQAVENPWGYNYQGGFNYDQGLDMSNNQSLSNSPEPENQLPELVSGSPVASPANKNDAAPDSAVYEAWEKLIMEDDWKDILE >OMO77338 pep supercontig:CCACVL1_1.0:contig10778:18642:19730:-1 gene:CCACVL1_15071 transcript:OMO77338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase MAGEGRRFEIGYALLPKKENSFIRESLVNQAKSRGIDLVKIDRERPLVDQGPFDCVLHKLYGEDWRSQLNDFRSKNPNAVIIDYPDAIERLHNRISMLQVVSELKIENQSETFGIPKQIVIYDQETLFDTQAWEFLKFPVIAKPLVADGSAKSHKMALVFNHDGLNKLKPPIVLQEFVNHGGVIFKVYVVGDYVKCVKRKSLPDVLEEKLKSLEGSLSFSQVSNLATHEKSDDKYYKLMHLEDTELPPQSFMTDIARGLRKAMKLNLFNFDVIRDNRFGNRYLIIDINYFPGYAKMPGYETVLSDFFCDIMNRKVGDLVVDGFSQEEKVAEIEEKPVLSCEGEARKMVINSDGEENETSIPV >OMO58387 pep supercontig:CCACVL1_1.0:contig14239:1565:1741:-1 gene:CCACVL1_25462 transcript:OMO58387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STQTLGGYVNAATGANLTPPYNTYANSINILTPSVNALSLTLQYYAGILPSIVGNDFEQ >OMP10423 pep supercontig:CCACVL1_1.0:contig02534:285:536:1 gene:CCACVL1_00974 transcript:OMP10423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MAKPIPKVGSRRNGRSGARKSARRIPKGVIHVQASFNNTIVTVTDVRGRVISWVLRRYLWIQGYKKRDSFCCSNPQREMLFAR >OMO82547 pep supercontig:CCACVL1_1.0:contig10023:3108:3839:-1 gene:CCACVL1_11912 transcript:OMO82547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTATKLGIALIVIFATCLLAIFIQIIYVVWRKRRFRRRSAVSGGTRSVDSDISNSPFYAVPSKELLYFFCWKNQPARVEPSSGSGVVSPLPTEPTTAEDSEAAAAEADDDELAKWQALYGPSRVLYTIKEEEKEGADSADNSADQKEAKRENRVSLRDCFSGPVEMADDVDTVSITVGVEEATPFSTPCASPPYFTPSPSPSREVVDSSILIVSPENDDIRSPESDVLTERKVGFVSLRIEG >OMP05895 pep supercontig:CCACVL1_1.0:contig05148:3554:3916:-1 gene:CCACVL1_01796 transcript:OMP05895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MAIHSLELACMVAMLCMLVLNPMATASLSCDDVETELDPCVDYLFSSGTENKDECCEGVRALNERARDKADRQEACQCIKNQLGGWRALFITEEIKSLVEALPQQCGVDFKISATADCNK >OMP02381 pep supercontig:CCACVL1_1.0:contig06261:2517:19947:-1 gene:CCACVL1_02812 transcript:OMP02381 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein-related protein MPVLSSSSSSSSCQSQIHSKKMFLAQKTSPFFLHKFHPKTATKFRYIPKKFTVSASLNAIAAAAASGSGATVHGAVSSAITQVAVTAFAIASGACLSTKVEFLWPKVEEQPGSFTVEGIDVTGYPIFNEAKAVDTVVAGILHDVIDDACESLLSIEAEFGDDVAKLVAGVSRLSYINQLLRRHRRINVNQGTLSHEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIEITAVPAGVVILYSYALPLAKAQAVAQETLLVWCSLASRLGLWAVKAEMEDLCFAVLQPQIFRKLRADLASMWSTSNRGAYPRRISAKAGWSTIEENDSAHDGETFINNEDITSIKDLLEAVVPFDILLDRRKRTNFLNKLGESSETEPKPKVVQDAGIALASLVVCEEALEKELFISISYVPGMEVTLSSRLKSLYSIYSKMKRKDVGINKIYDARALRVVVGDKNGTLHGSAVQCCYNLLNIVHRLWTPIDGEFDDYIVNPKPSGYQSLHTAVQGPDASPLEVQIRTQRMHEYAEHGLAAHWLYKETGNDLPSEISLDESEIEESSYYSKDLDDQNSLDDESFQKYRSLKVGHPVLRVEGSNLLAAVIIRVDKEGKELLVAVSFGLAASEAVADRRSSFQIKRWEAYARLFKKVSDEWWCEPGHGDWCTCLERYTLCRDGIYHKQDQFERLLPTFIQVIDLTEQEESEYWAVMSAVFEGKPVESIATTPSLTYVASNSIEASINRKVRLLRTMLQWEEQLRSESSFGREDGGAKSSINPDSVVLGEVVIICWPHGDIMRLRSGSTAADAARRAGLDGKLVVVNGQLVLPNTELKDGDVVEGIQLQRDGQREREPTKINRGSEVEEWGWKKKPNDGKIDEEKQRWEMGKVLKNAFIWQLELQTVGCYERSVVVALFNIEFSIFLNFMIWSRARHDA >OMP06565 pep supercontig:CCACVL1_1.0:contig04886:2917:4736:1 gene:CCACVL1_01509 transcript:OMP06565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRISELPLPIIHQIMSYLSKKEVDQTNSLSKTWKNNLRPSFPILAFNHEDFTPKEGEFHWTYLQRSYWGRPGKYTESMNDFTRHVEATLVNFCKCETNFKMLKFELYIGIYYNNFENWSALVNQWIKLALESQLDHPNPNPDNAAAPFNFQSLEILVLIEVCLDELMIHKLTSDSPMLNYIAMWDCKGFEHCHLPKLERLKTLIIDFRLAFSLGQVLNTIEVEAPNLEYCTIDCTRMVGPSSISSLFNCHHVRELSLSGNLITDQVLDSLFSIIFPLLEEFKLENSNILRRINISSQRLKQLKILNCQGLEAIHIDTPNLHGFRFVGNLVPIASIKAPCPGKFTAIMERRRVNTLWYLNLKKLLMGNAKHEELLTSRILLREKLTLGVFKIPESEYEAVLDAHLSICYPKTLCLFINGASVHGVNRVQVQRADGFCMVKNLQYLLIICNKGKFLKLIIYVIINLAAVPLPDPVPQRSISLRLQLCPLLEALLEKDYKDNNYQVPVATRGGLGS >OMO97226 pep supercontig:CCACVL1_1.0:contig07259:26918:31142:-1 gene:CCACVL1_04624 transcript:OMO97226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MSSSTTSQSTSTLVSSQNLPLFTINAAAHLPIKLTATNFPSLRTQFQSLLISFDLLGYIDGMHKAPSKQIQKARTTEMPLPLMPGKKLTKLFANKSRSKMMSLREKLTAFKGSRTEFFQNLRSTADELALIDTVIAEDELVIYALNGAGPEFKELAACIRARDSYISFEDLLDRFVDYEQVLKKQEQSASDLSIPSAHYANRNYNRSKSNQGRGNFSKYNSYHSGFQKQQFYILGRRMVCQICEKPRHSAKTCYQIRPKTSAPTAHLSSTTPAAGWIVDSGADHHVTNKVQNLHFVQEYDGPDDLLIGYGTGLSISHTGSTSINSPSHSLSLNNVLCVPKTQANLIFVSKLTETNPVSVEFFLKVLGEGSTYKETSSTRIFMSRHVMFDKSKFLFKGLNARSTASGDTFNIWNPAIDTNLITIVPFFQPVNTVPAPNTVPASNIVPAPTTIPAPNTIPTPNTDSVPAVPSSAIVPLPASIPAAASLDESCAQPSMCADLSPAATKSPSHQGNLSTRSHPMILLNQPVLLMLLRIKTGNRQMLEELEALNKNGIWELVPELSNRTIVGCKWVFRVKRNADGSVARYKARLVAKGFTQRRGVDFTETFSPVVKPVTIRLVLSIVVTNGWSLKQMDVNNAFLQGELSDEVPRAWHCALSNFLISYGFKNSVVDTSLFIYQSGTKIAYLLVYVDDLILTGNDSNFLADFSQALTSQFSLKYLSQLHYFLGIELLQTSQGLFLSQSKYIADILSKANMSGVKECISPLSTSTTLTLHDGATAVDNTEFRKIIGSLQYLTLTRLDICYAVNKLSQFMHKPTTLHLQALKRVLRMKHLALDYFFVREKVTAGQLKVKHIPTQQQLADVLTKSLATNRFTTLISKIGVSGRSTILRGSIGGNEDFKS >OMO97225 pep supercontig:CCACVL1_1.0:contig07259:8527:19423:1 gene:CCACVL1_04623 transcript:OMO97225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSLYSFSFVHPQLQHLVAKMTLLDTLLFYVVHLVDKFGVWHRLPVLLGLAYMGIRRHLHQRYNLLQVGGTSGQNYDTKELCFRTADGKCNHPSDDLVGSQGTFFGRNMPPSTSKYGLLDPHPSVVATKLLARKKLIDTGEQFNMIACSWIQFMIHDWIDHLEDTQQVELRAPDEIASGCPLKAFKFFKTKRVSTGQPEDINFGFLNSRTPWWDGSVIYGNNDEGMRRVRAFKDGKMKIAGDDLLQHDEKGIPISGDVRNCWAGFSLLQALFVKEHNAVCDMLKEHYPDLDDEKLFRYARLVTSAVIAKIHTIDWTVELLKTDTLLAGMRLTEEFSSVYKMHSLLPDNFILRDIKSTNSEYECPPIAEEVPMMELAGKQGERRLSKIGMEQMLVSMGHQACGAVTLWNYPSWMRNLVPHDINGEEVPHPIDMAALEIYRDRERGVARYNEFRRNLLMIPISKWEDLTDDYEVIEALSEVYGEDVEKLDLLVGLHAEKKIKGFTISETAFFIFLLIASRRLEADRFFTTNFNSQTYTEKGLEWVNKTESLKDVGIDAYSWLHKGAYSCSMEICLNSKSEKKTRYLDYFMHRINLLRFHKIIPVVVFDGANIPCKAATENERHRRRKDNRELAMAKLKEGDVKGAIELFQRAVSITPAMAHQLIQILRSENIEFVVAPYEADAQLAYLSTLEAEKGGVVAVITEDSDLLAYGCPATIFKMDRYGNGEELVLSNIFDSVTSKPSFRNFDKELFTGMCVLAGCDFLPSVPGIGIVKAHSLVSKYRNLDRSQGLPPDNEQNGNISYLKDLHAVLLTLKLACHLHVVEIIVWLFLFPAASGIGFAVDDNYSIALSVLKIEKGSQMPEDYSKSFKEAVAVFQHAIIYDAEIKGLRHMKPLAEQLLQALDEGLDFLGPKGRKKVRKTEIIGEIPPSVAVAIAEGNLDPINMEAFNCMPTSRNHLQPTAFETSARLQSLQTADISSQESCFMVFSSHKTREKSTMKQGTVSTERKYFPDAGLEKLAFPVKSHSAKENVVLEDITLKVPNNNPFKRRKVDEMHITKANDMTELVSLKGEDENSEILCVFPDNSQLTSPENISMSERKLEMSKQIESIAEEISVVTEVQNSETLCINMGSQESVSSKPKGVFSGRGRGKSEMLKRSKTLNTEPKNSILNFFARA >OMP06445 pep supercontig:CCACVL1_1.0:contig04932:365:1869:-1 gene:CCACVL1_01576 transcript:OMP06445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVAPRAASASSASECSEFVKTMENTLCNRSNNDKDEVFSMLWSPCAVAKRFSQALESGNTTKLIPVCECTKEYLKKWTDTNEAADHFMEAFDIGRCEDAHTEEEEGDDDEDDDDDDDDGGGGNGARLSNIEFADFRLLMGSFVAVEETSQTEQRDMEEAAERKTEADLSESVVLAGGILAFYGGLT >OMP05864 pep supercontig:CCACVL1_1.0:contig05165:2096:2734:-1 gene:CCACVL1_01803 transcript:OMP05864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASGRFFSSLLVLAILLLFS >OMO82998 pep supercontig:CCACVL1_1.0:contig09953:61595:61690:1 gene:CCACVL1_11613 transcript:OMO82998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSETKLLSTARQIVNLLPRRREISFDCPF >OMO83000 pep supercontig:CCACVL1_1.0:contig09953:64846:66590:-1 gene:CCACVL1_11615 transcript:OMO83000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKSRLEFVMVHGFSHGGWCWYKIRALLEASGYKVTCLDLKASGIDPSDTNTILRWEDYNKSLIDLFSNLPPTQKVILVGHSAGGLSLTYAIHRFAKKIHMAIYVAANMLKYGFVTPQDYKDGDPDLSRYGDVNDMIYGEGGDQPPTSMIMKQEFQREILYHLSPMEDSSLAGMLLRPGPLRAIQGVSFEETEWSGRNADSVPRVFIKTLHDRVLAQDQQDAMIRRWPPSQVFALESEHSPFFSTPTLLFSFLLKAVDSITTTT >OMO82994 pep supercontig:CCACVL1_1.0:contig09953:40760:42890:1 gene:CCACVL1_11609 transcript:OMO82994 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MDTTPVRSNSRMSLAMERTGQWVFSQEIPTDVVVEVGEANFNLHKFMLVAKSNYIRKLIMETNEADLTKINLSNIPGGPEIFEKAAKFCYGVNFEITVHNVAALRCAAEYLQMTDKYCDNNLAGRTEDFLSQVALSSLSGAVVVLKSCEDLLPMADDLKIVQRCIDVASARACSEANFPCRTPPNWWTEELSILDVEFFGRIIGAMKQRGAKALTLASALITYAERWLRDLVRDHSGNGIKCSDSTDSDLRIQQRELLESIVTLLPTEKAAFPIHFLCCLLRCAIFLKTSTACKNELEKRISVILEHVTVDDLLVLSFTYDGESLLDMDSIRRIISGFVEKEKNMAVFNGGDFREVSSSAMQRVAKTVDAYLGEIATVAELSISKFNGIANLVPKGSRKVEDDLYRAIDIYLKAHPNLDEIEREKVCSSMDPLKLSYEARVHASQNKRLPVQIVLHALYYDQLKLRSGAVDDRGAADAVTTRNQLQADVSLVKENEALRSELMKMKMYITDLQKSNSNNHNQGTSSCSKNVNRSKQTFFSSMSKTLGKLNPFRHGSKDTSHIDDTPGVDVTKPRRRRFSIS >OMO82991 pep supercontig:CCACVL1_1.0:contig09953:20535:23834:-1 gene:CCACVL1_11606 transcript:OMO82991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRQQQQQQEAILASEAAADDLVDVEVDAAKAIRASSAHKDSSLSSAYGYGSLHSSSAPPPSFPTSTPTAKDSHFHEYASTKSLNEPKQGFWGSLARKAKAFLDDDDPEHQFESEGRAKSKLPEKPMPEKYHHIPQSHDSRRKTDNPTLQKGLGAIASSLSYIGNAVEEGLTVVENRTAGIIQETRKHIRKKPSGSMVPNQAATQPQMQHQVRTSMHADQDIQLKASRDVAMAMAAKAKLLLRELKTVKADLAFAKERCAQLEEENRILRENRERGDNPEDDDLIRLQLETLLAEKARLAHENSIYARENRFLREVVEYHQLTMQDVVYLDESTEEVTEVYPMKVPSLTNMNSMPATSGALPSGASVDSSMQITRNISVRPVPPPEFAEGSQSRALPSSQAHI >OMO82995 pep supercontig:CCACVL1_1.0:contig09953:49515:51616:1 gene:CCACVL1_11610 transcript:OMO82995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFHHHNPCHIPGSKRHPMKFCLASVFSSLVIIGIFLPLISADLNSDKQALLDFIAAVPHRRNLNWNSSNPICTSWIGVTCTQDNSSVRALRLPGVGLIGRIPSNTLGKLGALRILSLRSNLLNGNLPSDITTLPSLQNLYLQHNNFSGDIPVSFSLQLNVLDLSFNSFTGIIPKSLQNLTLLTGLNLQNNNLSGPVPDLNVTRLKHLNLSYNQLNGSIPLSLQKFPNSSFVGNPLLCGLPLQPCSLPPSPSPANSPPPPVSPQKQSSKKKLSLGVIIAIAVGGSVVLFLLALIILCCCLKKKDNGGSGVLKGKASGGGRSEKPKEEFGSGVQEPEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLKEVVVGKKDFEQQMEIIGRVGQHPNVVPLRAYYYSKDEKLLVYDYIAGGSLSTLLHGSRAGGRTPLDWETRVKISLGAARGIAHVHSMGGPKFTHGNIKASNILLNQDFDGCISDLGLTPLMNVPATPSRTAGYRAPEVIETRKHTHKSDVYSFGVLLLEMLTGKAPLQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRFQNIEEEMVQMLQIAMACVAKVPDMRPNMDEVVRMIEEVRQSDSENRPSSEENKSKDSNVQTP >OMO83004 pep supercontig:CCACVL1_1.0:contig09953:90100:97073:1 gene:CCACVL1_11619 transcript:OMO83004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRIKTQSHNCKPAQKQYFEQRKRQQQQQTADSDSYAEETSIGGPHQKEYRSLDILSLLNLSTVSEEGKSCPNNSVEIEEAGLGKITAETPPQSPKQQGRISSDDCSIPWNAASQRNSSNSSSYILNDLEIEVDALMQDINLPLGGNRSEFSMDMTYSHGNGKPKLSSITDHMQLDSNYSNRRCSYPNTNVFGNVRREDIWDARHCVLDDEFRNEMNDGNSWKYLPHKIDDDSGDLLEFGKHEMPDIAFEGHHMLKKKAFKISKDYTTSIGLRHTPYRRNHYIGDWPGQPDWPCFETEVSKDDLSLLSEESCSSSAGEVIDLINCIDNSLQSRRISNTFGSAKKMYDVDDFAKETHCEDIDNLEQRFGRCTRTPSNPMPRQSRKISNIFGSARKKYDVDDVFAKETYCKDIDNLEQRFGKCTRAPSNSIPRQSRRISNTFGSAKKNYDVEEVFAKERHCEDIDNLEQRFGRCTRTPVLPKSKATKPISSSFRGVEEIGPYGTWLPEEGCNTVDIDLGFSSLHCTSEAKLPSLGAKLWTEDPIGAFPDPEFNFHAKSCFDRPKPGESIPYSPFGCFTSKKFAFCQPFDQTNSYDSPVLSKVGSRSVKLELSPDSGIQVAPPDTSQTASPHKETVSSDLSVQGSVDGDDKRKSKIQASNHEQLDLGKESFPGNDVFFSEEQVSMDGSSPKNKDTESKEAEGGTLKAKESLKSNPKNKDPKSKEARAGTVKACSPENGEETSPSVKIHDKSESSINDA >OMO82992 pep supercontig:CCACVL1_1.0:contig09953:25026:26810:-1 gene:CCACVL1_11607 transcript:OMO82992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10e MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKYSRSDYLKYKSENRIMPDGVNAKLLGCHGPLANRAPGRAFLPATA >OMO83006 pep supercontig:CCACVL1_1.0:contig09953:108555:109337:-1 gene:CCACVL1_11621 transcript:OMO83006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDVDAIVEIKAGEFDERWSVLFIISGFWKRFHGADRFLLVGYWVCLLLHVSKVLESEIHGLIWDSQIQFFKFHSGLCNSCWQLPHDHYLRSEMMVTAASVKVIPQPPLLQTEMIRGRGERFGG >OMO82990 pep supercontig:CCACVL1_1.0:contig09953:17316:19464:-1 gene:CCACVL1_11605 transcript:OMO82990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENSYEEARRKRIEENKKKIQELGISKFSDSLAQASNSRRNKSQSQQRLSKPKPKDSTFEVRRSSRARNPVPSYRDDVEINLPTLRKRSRSKSSSWESYLARPLDEVKIASYEERVKAHNAAEDLRNSLPSGNPSFVKSMVRSHVYSCFWLGLPSKFCEHHLSKRGTEDMVLEDEDGAEYGAIYIGERVGLSGGWRAFALDHKLDDGDALVFELVQPTRFKIYIIRASSISGHGCEDNDANKGLTDCTKKKTRGSVKLDSGSKKKKATSSGKNKGLPSSQELQIKNASDDDKGKCINVSQRPKRKCKTTR >OMO82999 pep supercontig:CCACVL1_1.0:contig09953:63472:64344:-1 gene:CCACVL1_11614 transcript:OMO82999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRSVWLLMGILLALGRHAAAPNKTDIPHHVTLSPFWGWRSAAECLLTYQIRSEGVCTGKKVLTLSGAIVVEESEAKEYCNPGGCGDRTKDVLDCIHSVKRDFHFTNKAKLNVVSDAINNGCTNFSEISTANYTSSNAIKLCSLSLSLSLNRSRLLLLSMAMVPFIII >OMO83001 pep supercontig:CCACVL1_1.0:contig09953:73293:74170:1 gene:CCACVL1_11616 transcript:OMO83001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQAVAGSGSSYPYPNYGQNPNPNGLWVISPQYCCPQPVDLAVVRKVLAITEGNFGVTDLNGNLMFKIKGKFLSIHDRRVLLDAAGNPICTLRPKIMTVHDRWQVFKGESSEEKDLMFTVKRSSMIQLKTKLDVFLANNPKEDVSDFRVEGSWLERSCVVYSGQSNTILAQMHKKHSVESVLLGKTKFMVTVYPNVDYAFIVALIAILDGINKDDLDYD >OMO83011 pep supercontig:CCACVL1_1.0:contig09953:122538:122909:-1 gene:CCACVL1_11626 transcript:OMO83011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MGNNSAKSISLMMMVVMVSILLMGDYHLHGVKAEITCEEVTYWLFPCISYGVFGGTVDPACCTGVKTALAAAKTAEDVRKKCECVKEGAALIPGLNYTRVNEIPKLCGTTSPYQVTPDVDCSK >OMO83002 pep supercontig:CCACVL1_1.0:contig09953:77511:80451:1 gene:CCACVL1_11617 transcript:OMO83002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MANSSGQLIKSMKRAESRKSHSWLWDSHISPKNSKWLAENLEEMDRSVKRMLKLIEEDGDSFAKKAEMYYQKRPELISHVEEFYRMYRALAERYDHLTGELRKSIPSDLQSQGSGISDISSELPYVFPSPDQKLSRRKSGPRAAGFDVFLGSGGSSSDVYQKEGDESSSLTDSESESDDSSVNNYSVLSGNGSDQGMSRKITELEIELREVKEKLRVLEGEDTDSSVTGAKNVSSDLLARTGEYEEELRVANKKLQLSEEKITWLSIELQKYKPLETAEFDLSEEEKVKMQKTELELELNQSSGLQETNGVMEKETQPLDGKMQALVEELRSTKEMLQDSEKELASLKLEKKQSDEKIKNLQGQLDTAQREITTWKSKLNTEKREVSKLQERIARLKTSLSDRDHEVRDLKIAVSDAEQKIFPEKAQIKAEISNLLEERTCFEEQLREWESRGRSLEDEIRMIVNEKGELEERLHSEIELLKVEIAERGDCIKSLNENLETLKSERDELKAKTDSLKAEVSSRDDQIGEMDKHLHQLHMEHVELIASAEGEHKMVQELQTRAKELEDEIERQRIAILEGAEEKREAIRQLCFSLEHYRNDYDRLRQAFMGHKRVPVLAT >OMO82988 pep supercontig:CCACVL1_1.0:contig09953:7314:8185:-1 gene:CCACVL1_11603 transcript:OMO82988 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase, subunit N MATAAFCSQLQPVRVNWQRKSSSSSILREMRLGYFRATTRGHSYKSKRSGLMVKCSSSSEGEGGVGIGDFIGGDLLKFDLGRWLSDVEEHKALAIYTPHEGGYEGRYLTRLRYQGYYFLDLTARGLGDPETTLTKIHPVCPPHVGKQPIARWYFPPEVDFMLQALPPDAKGLVVWIIEAKVLSKSELQFLALLPTLRPKVRVIAECGNW >OMO83009 pep supercontig:CCACVL1_1.0:contig09953:118154:118765:-1 gene:CCACVL1_11624 transcript:OMO83009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSSSSYYECEAWQVEDCEEDIDLIEEDSSNICPVFSIEIFANIISDEQTFMQQVLVPQGQLTQGTSWSAISSKLSQMHVPLSLHATVTHKIIDCARSAMIEAHNKNRNNIPIIVTVRLIIDEEEEVVVEDVRPRGASKEAIDALDKVEDGEFTGPCVICLEEIWDGIKLPRRMPCSHVYHQACIVSWLENSNFCPLCRFQMPV >OMO83013 pep supercontig:CCACVL1_1.0:contig09953:139183:142436:1 gene:CCACVL1_11628 transcript:OMO83013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENQKFQLGTVGALSLSVVSSVSIVICNKALISTLAFTFATTLTSWHLLVTFCSLHVALWMKMFEHKPFDARAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKRFSRNIQLSLAILLLGVGIATVTDLQLNLLGSVLSLLAVLTTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAITLFIIGPFLDGLLTNQNVFAFKYTPQVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFSWRNILGILIAVVGMVIYSYYCTIETQQQKASEVSAQLPQVKESESDPLISVENGSGILSDGVVQKAPVWNSNKDLHA >OMO82993 pep supercontig:CCACVL1_1.0:contig09953:27903:35620:-1 gene:CCACVL1_11608 transcript:OMO82993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASKPRAFNVGPVFLVCLFASTSWLLVGAQNNITNPTEVRALRAIKGSLIDPNKNLSNWDRGDPCTSNWTGVLCFNTTLDDGYLHVRELQLLNMNLSGILSPELGRLSHLRILDFMWNALSGSIPKEIGNITSLELLLLNGNHLTGPLPEELGYLPNLDRIQIDQNNISGPIPQSFANLNKTKHFHMNNNSISGQIPPELARLPYLVHFLLDNNNLSGHLPPELSRMPNLTILQLDNNDFGGTTIPNSYVNMSKLLKLSLRNCNLQGPIPDLSSIPHLGYLDLSSNRLNGTIPAQQLSQDITTIDLSNNELTGSIPANFSGLPNLQKLSLANNSLNGSISSSLWQNKTLNATESLTLDLENNMLANISGSINLPPNVTLWLKGNPVCINDNISSLQLCGSQSENENRIQSTTNSIAGCPAQSCPFPYEYSPTSNLSCFCAAPLLVGYRLKSPGFSDFISYKHRFEEYLTNGLNLDFDQLYIDSFAWEKGPRVKMYLKLYPVFNASGNNEHIFNRSEVQRIRSMFTGWLIGDSDVFGPYELLNFTLLDIYKDVLITTSKSGISKNALIGIVLGGIAIAVTLSAVVTLLIIRVRLRNYRVVSKRSHASKASLKIDGVKSFTYAELAMATNNFNSSTQVGQGGYGKVYRGTLADGTVVAIKRAQEGSLQGEREFLTEIQLLSRLHHRNLVSLIGYCDEEGEQVNIAYQSGMIFSVIDGRMGSYPSECVEKFVSLALKSCQEETDSRPSMAEVVRELEKIWQMMPESDTGIPESIDTLPEKMTSPPYSASSSMVKNAYVSSDVSGSDLVSGVVPSITPR >OMO83003 pep supercontig:CCACVL1_1.0:contig09953:86423:89281:1 gene:CCACVL1_11618 transcript:OMO83003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDLLFLEKALIGLFVAVIVAIAISKLRGKRYKLPPGPLPVPVFGNWLQVGDDLNHRNLTDLAKKYGDIFLLRMGQRNLVVVSSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRFGWEEEAARVVEDVKKNPEAATHGIVLRRRLQLMMYNNMFRIMFDRRFESEEDPLFVKLKALNGERSRLAQSFEYNYGDFIPILRPFLRGYLKICKEVKERRLQLFKDYFVEERKKLASSKSMSNEGLKCAIDHILDAQQKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQKKLRDELDTVLGPGHQITEPDTYKLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLAGYDIPAESKILVNAWWLANNPAQWKNPQEFRPERFFEEESKVEANGNDFRYLPFGVGRRSCPGIILALPILGITLGRLVQNFELLPPPGQSKIDTSEKGGQFSLHILKHSTIVCKPRSF >OMO82997 pep supercontig:CCACVL1_1.0:contig09953:59639:60487:1 gene:CCACVL1_11612 transcript:OMO82997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTQTQTQTHCSFADMVKFTEHVIITNKPPPQPTTSIPPKLLRIILTDADATDSSSDEEHHRPSPTRTRRRLNSNTTLTDASVDRFDSSSSPCSSLSSPASDSSLSSPTSVLRYEETFTPFDSLGYVEDMDAFGFQIDVPSYSADLSLSDSLFAQEDEFSDFNVDDFLVGD >OMO83008 pep supercontig:CCACVL1_1.0:contig09953:114137:117127:1 gene:CCACVL1_11623 transcript:OMO83008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQDLRDKSSHNPNLSSAKKMSGMPSNTPTIFGSCSSNNNNNNYNTNNGSNCSSGANSSCSAFTRGGGAGAHHRRAHSEMSFRLPDDMSIMMMDLSPPTDPMNAGGGSSTASLEEIGSEDDLFSTYIDVDKLNSASDAANVRTNCTDHSEGEKLTSSSTTTTTTTPSSRSRHRHSNSVDGSVYGEVMDAKKAMPPDKLAELWTLDPKRAKRILANRQSAARSKERKARYIIELERKVQTLQTEATTLSAQLTLFQRDTTGLSTENTELKLRLQAMEQQAHLRDALNEALKKEVERLKIATGEMMSPSESFSLGMQHMPYTASTFLPIPPQQGAAGHQNMALPNFTGSQSSMSTQHIPQTNPHHLSDIMQNDPLGRLQGLDISSKGSNLVKSEGPSISASESSTTF >OMO82996 pep supercontig:CCACVL1_1.0:contig09953:52628:54845:1 gene:CCACVL1_11611 transcript:OMO82996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYTGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >OMO83010 pep supercontig:CCACVL1_1.0:contig09953:120385:120885:-1 gene:CCACVL1_11625 transcript:OMO83010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MGKIAKSISLTMMVVMVSMLMGDHYHLHGVKAEITCEKVTYWLFPCISYGVLGGTVSPACCDGVKTSLAACKTVEDLRKKCQCVKDGAALIPALNYTRVNDIPALCGTTCPYQVSPDVDCSKVTFT >OMO83012 pep supercontig:CCACVL1_1.0:contig09953:124244:132703:1 gene:CCACVL1_11627 transcript:OMO83012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAGLKYSSDNVVIKSPNDRRLYRLIQLHNGLLALLVHDPQIYPDGLPQDSPALDKTELEADEDDEDEDDDDDDDDDDEDEEEEEDDDEDEDEEDNEKPNEEKTAQTKKAAAAMCVGFGSFSDPPEAQGLAHFLEHMLFMGSAEFPDENEYDSYLSKHGGSSNAYTEAEHTCYHFEVEREFLKGALRRFSQFFIAPLVKLEAMEREVLAVDSEFNQVLQNDSCRLQQVQCHTSEHGHPFNRFSWGNKKSLVDAAEKGIDLRKQILELYRDYYHGGLMKLVVIGGEPLDVLQQWVIELFSDVRQGSKGKPEFKVEGPVWKAGKLYRLEAVKDVHILELRWTLPCLLQEYLKKPEDYLAHLLGHEGKGSLHYFLKAKGWATSLSAGVADDGMQRSSVAYIFSMSIHLTDSGLEKIFDIIGYVYQYLKLLRQLSPQEWIFKELQDMGNLDFQFAEEEPQDDYAAELAENLLVYPSEHVIYGDYVHEIWDEETIRKILGFFTPENMRIDVVSKSFKSQDVQYEPWYGSHYAEEEISPSLMAFWRDPPEIDVSLHLPVKNEFIPCDFSIRADSTRIDPVNEPLPVCIFDEPLMKLWYKLDSTFKLPRANTYFRINLKGAYLSLKSYLLTELYIHLLKDELNEIVYQASVAKLETSVSMYSDKLELKLYGFNDKLPVLLHKVLAISSSFLPTDDRFKVIKENMERTLKNSNMKPLSHSSYLRLQVLCKSFYDVDEKLSFLNDLTLSDLKAFIPELRSQMYIEGLCHGNLLEKEVLDISNIFKSNFCVQSMPVTMRHKEQVICLPSGANFVRDVSVKNKSETNSVLELYFQIEPEVGEEAVKLKALIDLFDEIVEEPLFNQLRTKEQLGYAVECSPRVTYRVHGFCFCIQSSKYSPVYLQERTDNFINSLEELLGMLDTESFESYRSGLTAKLLEKDPSLSYESNRLWSQIVDNRYMFDLSKREAEELKSISKIDLLNWYKTYLQQSSPKCRRLVVRVWGCNADMKEAESKTDSLQVIKDLAAFKMSSKYYPSLC >OMO83007 pep supercontig:CCACVL1_1.0:contig09953:110538:111125:-1 gene:CCACVL1_11622 transcript:OMO83007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MLDKDMDTGMPPISLNSTIGSYTSEANFDNNMVIVLAALLCALICALGLNSAIRCAIRCGYTFGLIAGTPHPQQTPVSVRQQQDSISTAGVKKSALSRIPVIAYDSSGSGGGLDNLTGTDCPICLGEFAQGDKVRILPNCSHGFHAKCIDIWLLSNSSCPLCRQALLAEDQVNFVVGRLPENAPSTGQTTPVLVT >OMO82989 pep supercontig:CCACVL1_1.0:contig09953:9054:16112:1 gene:CCACVL1_11604 transcript:OMO82989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSASRGLRVRALLALRPDALNHTPLLSSCALHSNHAPLRAVAGGGSSSSSIFGKNHTFYTNNSRRAYSWNLINRNANINCFLSDSSFSSSSSTPSHQLGSMINGRLLFSSSASSNDDDKAQTKASSVTDKQQVADMKILRTLAGYLWMKDNWEFRLRVMTALGFLIGAKLLNVQVPFLFKLAVDWLTTPNATALANSTLVALFATPTAVLIGYGIARAGAAAFNELRTAVFSKVALRTIRSVSRKVFSHLHDLDLGYHLSRETGALNRIIDRGSRAINFILTAMVFNVVPTFLEISMVSGILAYKFGAPFAWITSLSVAGYVAFTLTVTQWRTKFRKAMNKADNDASTRAIDSLINYETVKYFNNEAYEAEKYDEFLKRYEDAALKTQRSLAFLNFGQNVIFSAALSTAMVLCSNGIMNGQMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSMFQLLEERAEISDKKDAKPLKLNGGSILFDKVHFSYLAERKILDGISFNVPAGKSVAIVGTSGSGKSTILRLLFRFFDTHSGSITIDGQDIRDVTVDSLRNSIGVVPQDTVLFNDTIFHNIHYGRLSATKEEVYDAAKRAAIHDTIMNFPEKYSTVVGERGLKLSGGEKQRVALARAFLKAPAILLCDEATSALDSTTEAEILNALKSLANNRTSIFIAHRLTTAMQCDEIIVLEYGKVIEQGAHDILLAKAGRYAQLWTQQNSSIDAIDAAIKVEA >OMO83005 pep supercontig:CCACVL1_1.0:contig09953:100462:106561:1 gene:CCACVL1_11620 transcript:OMO83005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKICMNSSCGTATTHEWKNGWPLRSGGFAPLCYRCGSAYEDNVYCDTFHLEESGWRDCRLCGKRIHCGCIASDYMFELLDYGGVGCTSCAKRSRLHSIRRIQTHGDEIPNGFGALPITNARSSSAESKVVGDHVDDRTLAQLCKIMEANELNLLPQSQTGDTNSSIGQPRGEEVICPVAEVGSSFSNAMQQFVLTSNFAKAEDAKPSLDIRDIHDSLSQPSLSMTLGGPSGNPNFVLPFSSGLADGKEQSKTSPSFQQGQRSRPILPKPSKNGLGTSPEVNKSVVPQARIARPPVEGRGKNHLLPRYWPRITDQELQQLSGDLKSTIVPLFEKVLSHSDAGRIGRLVLPKACAEAYFPPISQSEGVPLRIQDVKGKEWMFQFRFWPNNNSRMYVLEGVTPCIQSMQLRAGDTVTFSRIDPGGKLVMGFRKATNTDTQEGQTSSLPNGAHSGETSHPGGFENLPSTSAYSGLFQTPKGGKDPLVNALSEHLSLADGNISWGRGESHGDGANEDPFQQTSANAEKKRTRNIGSKSKRLLMHSEDALELRLTWEEAQDLLRPPPSVKPSIVTIEDHEFEEYDEPPVFGKRTIFAARPSGGQEQWAQCDDCSRWRRLAVDVLLPPKWTCSDNVWDTSRCSCSAPEEISPKELENLLRVGRDLKKRKILESPNLAPEREPSGLDALASAAVLGDKMGDLVGESSVGATTKHPRHRPGCTCIVCIQPPSGKGKHKPTCTCNVCMTVRRRFKTLMLRKKKRQSEHEAEISQKDNNEQKDESEPNETRQDQSENEGSQSRIQAEVAESSTGQIDLNCHPNREDLQLEESGLNMMSLVEAATMPMAIDNYTKQNGLPSLTSEQQDSVGSHLPSQANRDKERHLSDEEFLASVGWERDNRNDQGPKEPSLERNGDH >OMP12365 pep supercontig:CCACVL1_1.0:contig00076:1899:2264:-1 gene:CCACVL1_00021 transcript:OMP12365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MARGRVQMKRIENPVHRQVTFCKRRAGLLKKAKELSVLCDAEIGVVIFSAHGKLYELATKGTMQGLIEKYSKYTGGTQP >OMO92766 pep supercontig:CCACVL1_1.0:contig08171:27014:28871:1 gene:CCACVL1_06757 transcript:OMO92766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESSRSALKFEIEKFTGTNSFQMWQSTVTDVLVQQGLGDALEADKPSAMNDNRWRDIQRKARESFGLKMKVGTSLRAHINEFNRLVTQLASVDEVMKEVDKAVLLINSLTDRYDPVTRALMVGRKTLSLQDATSAIFEYDRLKETEKKDEENGALTVERGRTPLSIEERQPLKHHSIGGVRPTTRESYASGFLRLNLESRLESLDLRKVEKSEERVQNELTLLGIPTVKNIDRSSYLCNSRSSGRFNERGRSSSRPRVDMSSNECYYCHEMGHIKAYCKKLMEDLGDFMKSKEKNKGGVNVAAAADSEEYSDEETVVLMVQEEKKDTRDMWVFDSACSEHICIKKEWFLKLEKCDKHVYMANNGEEKIEGIGSVKFRLHDGSVKMFGNVRYVPKFTRNLISLGKLDSLGYGYSCRGGGLKITKGSMIVTKGVKNSKNLYEFIGSTIRGD >OMO92764 pep supercontig:CCACVL1_1.0:contig08171:4164:4334:-1 gene:CCACVL1_06755 transcript:OMO92764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASGKAATNKVPAVANLLSEKPSEIAWNINYHAKFNPHFSLFKFEPEQAFFATAES >OMO92765 pep supercontig:CCACVL1_1.0:contig08171:18294:19870:-1 gene:CCACVL1_06756 transcript:OMO92765 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MKEYWASFASLLGFLAFCQSLLQVIFPPELRFACLKFFNRIFHLFSSYCYFDITEIDGVNTNELYNAVQLYLSSSVSINGSRLSLTRALNSSATTFGLSNNDCIVDTFNGVNVLWEHIVTQRQSQTFSWRPLPEEKRGFTLQIKKRDKSLILDSYLDYIMEKANDIRRKNQDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFDTLAMDPLKKQEIMDDLLDFANSQSFYQKTGRAWKRGKLLMKTSSKSIIVIEDIDCSINLTNRKKNNNSNNNPRSYYEPEIRCGLTSVCGGEEGGGNSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIYMSYCSYPALKILIKNYLGYEESDLDGEVLKQLEQVVDKAEMTPADISEVLIKNRRYKQKTIRELLEALKTRAERKILNSGNLMREKNSDDFEDEEQEKRPLETPKEEGSTAEFEEPCKKQEEDDEKEGVGVEEKIK >OMO82474 pep supercontig:CCACVL1_1.0:contig10032:668:3249:-1 gene:CCACVL1_11944 transcript:OMO82474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQSLISLSSTIFLIVVSICFSLSSSRNPNILQIHSSLAVENHDSDLLISLDNSFTCGFYGLGENAYYLSIWFTNSKDRTVVWTANPDKPVNGKGSRISLLRNGAFVLTDIDGAIAWETNTTNTNVRRAELLDNGNLVLKDSSGKILWQSFDFPTDTLLPHQQFTKSNKLISRLGRGNYAAGNFIFHYDNDNVLRLMYDGPDISSVYWPNIDVDVFQNLRTNYNSTRIAVLDDMGRFLSSDRLDFTASDFGFGIKRRLTIDYDGNLRLYSLNNATGLWTITWEAVRQMCSVHGICGRNGICVYQPEPKCSCPPGYEMADQSNWNRGCKPWFSRFCSMSQMQVKFVKIQHVDFYGFDSSFSFQSSFESCKNKCLEDCECQAFSYRLTGEGRCYTKAELFNGYKSPNFPGSIFLKLPSTVETSEPIILNGTNYKCNSSESTKTLETSFAYGSRGKKVRWVYLYSFASAIGAIEMLLIVLGWWLLLRKHGLPDMVEEGYRLISSQFRKFTYIELNKATKNFKEELGKGASGNVYKGVLEDERVVAVKKLGDAYQIEEVFWAEVSIIGKINHMNLVRMWGFCSEPSHRLLVYEYVQNLSLDRNLFSENRFLGWQERFEVALGTAKGLAYLHHECLEWVIHCDVKPENILLDGEFKPKISDFGLAKLSQRDSKNSEFSRIRGTKGYMAPEWALNLPITAKVDVYSYGVVILELVKGIRLSNWVVEDGEEHETTELTKFVKVVKRILPSEDLGWIESEVDTRLNGEFSRRQAQKMVEIGILCVEEDRSKRPTMDSIVQALLECEE >OMO69340 pep supercontig:CCACVL1_1.0:contig12060:346:1527:1 gene:CCACVL1_19549 transcript:OMO69340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prohibitin MNLNNVKVPKMPGGGALPALLKLGVIGGLGIYPEGTHFMVPWFERPVIYDVRARSHLIESTSVLVDSSREIRRILTERARYFNILLGDASITSLTFGKEFTAAIEAKQVAAQEAERTKFIV >OMO69341 pep supercontig:CCACVL1_1.0:contig12060:10161:10220:-1 gene:CCACVL1_19550 transcript:OMO69341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PARELEGNELETEEETRGD >OMP08866 pep supercontig:CCACVL1_1.0:contig03562:82:852:1 gene:CCACVL1_01085 transcript:OMP08866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNGVDGIWSLDNDIFHFVEAKASESAGALFGQSPRSWAERADGEQPSKLPPPPNMTERQYALWCMLGQRQKGLQMSMRWLRRSAASTMVDGNVKNRFVYVFFAIPSTSPPKDYAPKPGGALKKDVAAGIFEHVEVSAEVTAIATTGGDYYNLSLHDKHRPTHGVSDQFSYSELDDLTNFYDQALERRLVSNRPKTDQNTPSFLPEKDDLPTKRRQKFLYEALYRNVFARLQKGIE >OMO81559 pep supercontig:CCACVL1_1.0:contig10147:24882:28550:1 gene:CCACVL1_12359 transcript:OMO81559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNTTISAPITRPNTTSITSLYIHPQIATLIFSFLLLPFDFRVSPPTPTPPHSTPTPTPHPASVQDSSVRLFSSKSTLQDFILHNFQSNTCHDLGLCLDLHLCFAPNSDVDFASGLRSRLLYGI >OMO81564 pep supercontig:CCACVL1_1.0:contig10147:48291:49865:1 gene:CCACVL1_12364 transcript:OMO81564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MDVMKLMMSDYKVETINDGLNEFNVEFHGPKESLYEGGVWKIRVELPDAYPYKSPSIGFMNKIFHPNVDEMSGSVCLDVINQSWSPMFDLLNIFEVFLPQLLLYPNPSDPLNGDAASLMMKDRKQYDQKVKEYCDRYAKKEHITKSTADEESDEDDITEDESESSDDEIAGHADP >OMO81562 pep supercontig:CCACVL1_1.0:contig10147:40919:40999:1 gene:CCACVL1_12362 transcript:OMO81562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHFSHDFLFSQVPPSCLVCWAHLG >OMO81563 pep supercontig:CCACVL1_1.0:contig10147:41784:43539:1 gene:CCACVL1_12363 transcript:OMO81563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVEPNLEELLEGKKRVRNPLVPVGALMTAGVLTAGLISFRQGNSRLGQVLMRARVVVQGATVALMVGTAYYYGENPWKAR >OMO81566 pep supercontig:CCACVL1_1.0:contig10147:56155:57147:1 gene:CCACVL1_12366 transcript:OMO81566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYQYVVGDLLQVTLSPGTVRYEKLIMEGKRSEDASSSIAGDVEEKRIRRNRVCISIPQKSKGKT >OMO81560 pep supercontig:CCACVL1_1.0:contig10147:29183:34232:1 gene:CCACVL1_12360 transcript:OMO81560 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Cucumisin precursor MDSDHKYVVRSPVVVYNVLPTPYIDYSIPQKKQTNFQRSSVYPNKNGILGRD >OMO81565 pep supercontig:CCACVL1_1.0:contig10147:51019:52980:1 gene:CCACVL1_12365 transcript:OMO81565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKSIRALPFLIFILFHLSKSEDEEVKQSLVGFMDKLAAARDKSWGWNMTSDPCKDKWLGVSCDSQLQAVRKIVLDELNLTGVLDIASVCKASSLSVLSLENNNVVGIISEEIGNCKRLTHLYLSGNQLSGGLPDSLKQLSNLKRFEISNNSFTGEVPDLSRISGLISFLVQDNQLSGQIPNLDFSNLIDFNVSNNNFSGPIPDVKGRFTADSFSGDPLLCGELVSNPCPPSAAPEASKKKSKKPSTKQFLIYSGYVLLGLIVVLLVALKLGSRKKPKEKKVVEVKKKGKVEASTSSSSNKTSATATSVTSKATEQKSEYSISSVESGVVMSSLVLLSSPTAQGLRFEDLLRAPAELLGKGKHGSLYKVMLYNGETTLAVKRIRDWSISSEDFKRRMKRLDQARHPNVLPSVAFYCSKQEKLLVYEYQSNGNLFKLLHGSQNGQAFDWGSRLNVAASVSEALAYMHEELYEDGIAHGNLKSTNILFNKDMDPCISEYGLMVLDNIGQSQPNSYKNNIDLGFKADNYSLGVILLELLTGKLVHNSGFDLAQWVQSVVREEWTVEVFDKALIMEGASEERMLNLLQIALKCINPNPYERPSINQVALMINTLKDEEDRSIISEA >OMO81567 pep supercontig:CCACVL1_1.0:contig10147:57729:67707:1 gene:CCACVL1_12367 transcript:OMO81567 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, eukaryotic-type MDYCPKKKKNLTDGLPSFQASKSKKQKAKVSPRQTQEPRGGYSSSLTLHCTIPQRQRQRQRQRRSNSAKPLSLAQEMGAATDIDRPTLRISNIPQTAIAADLFQFLESKVGPRTVYAIEILTDHKDWKSRGFGRVQFVTLEAKSKAHFLSLHNQLLFKSHSLQLSETYDDIIPRPIRADHRLDAGILHGGFMVQDDRFRVLEKWEGVRGWLMPERRRLEFWVSYNDECYKLDLLFDDISETVGCKFNGSTCNALLLRVKFAPRIYQKVSGPNIASKFRPGRYRMCQDNYDFLWVRTTDFSIVKSIGQSNSFYWEFSAGLSRSDMFYCFPCYREDMGVTLEHGPENMSECEIVPLVKGPSDSKLAYEILFQLNSLVHTQKISIAAVDTDLIDILSGLPIQTAVRILQKFHKLQSTCYDPVSFVKTKLLVSEENFHGLPLSPYERLIRHNVMSCHRALVTPSKIYCFGPELEKSNYVVKHFAEYASDFMRVTFVEEDWSKLSANAISTSIQLGILPKPSRTKIYHRILSVLQNGIVIGDKRFEFLAFSASQLRSNSVWMFASNDKVKAEDIREWMGCFKKIRSISKCAARMGQLFSSSSPTLEVPVQDVDIIPDIEVTTDDTDYCFSDGIGKISLPFARQVAMKCGLNLENEIPSAFQIRYGGYKGVVAVDRNSFKKMSLRRSMLKFDSNVRMLNVTKWSESMPCFLNREIVALLSTLGIKDEVLEKLQEDQLCVLGKMLANREAALDALQSIGGADSKNILVNMLLQGYEPNVEPYLSMMLLAHHESLLSDLKTRCRIFVPKGRVLLGCLDETGVLNYGQVFVRLKLRKAELECPDQSYFHKVDEKTAIVIGKVVVTKNPCLHPGDVRVLEAVYDAQLEEKGLVDCLVFPQKGERPHPNECSGGDLDGDQFFISWDKDLIPCQTDAPMDYTARRPRIMDHDVTLEEIHKFFVDYMINDNLGAISTAHLVHADRELDKARSTKCLELADLHSMAVDFAKTGAPAQMPKALKPREFPDFMQRTEKPTYISNGVLGKLYRATNNFMVEERSKKMAEEVYDHDLKVSGFEEFLSVAQVHKDMYQEKMSFLMNYYEVKSEDEILTGNMRNKAMFLQRDNRRYGETKDRILLAVKNLQREAREWFENGCKADKPRLPPDQQPTVSVYASIIQPKDANTLIRRLNQVAPLEHLRHVKRIHKRHLQVQEGNKTELSVILCLACDSETQSNTMPPDVREIVDSYHLSPFITKVSKDAALSKEEWEEQCKLWPTSYHPPTYNIDGITGFSEEDTESILSFMKFTVELAKSGDNLVVNAAVIVDPSVRQMIVSACDEVCSWHTPENQVKSETYCFEQLEAITSHTNANRIARDITLLPNGSFNDLQQCYTAVSCLNPWEWAQHPSNTSPCYWHPLRHAAIVAIEASAARDRQLFPGSGHNERSCEVDCCQSSPSVSPAKRQKMISLVSNGGEQDAHSKSSHSLDRPYLCTGYDIYLAWEPCT >OMO81558 pep supercontig:CCACVL1_1.0:contig10147:20757:22025:-1 gene:CCACVL1_12358 transcript:OMO81558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S10 MAYAAMKPTKPGLEETQEQIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLHITTRKAPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIADS >OMO81561 pep supercontig:CCACVL1_1.0:contig10147:35784:40612:-1 gene:CCACVL1_12361 transcript:OMO81561 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MPPKHDNSSLADAIASLTESINAQMQELGLSQEKLALKVDHSIVENQTSIQNLQTKISKLKTPGSALLPRSILMGNSSNTDQSIEITNKTQKFPKPPDIFTIKTPKFYLTPFDGTNPHAWLFQAERYFAFYSVDPEQRLPISGFFMSGEALCWYQWMYQNGQLTSYESFSQALLSRFGPSLYLNPNAALFKLKQKGTVTEYQEYEILANQVKGLSDEHLLHCFISGLHPEIQHEVITQSPPSLTYALALAKMIEAKFNVHKTFRRAPSVQPFSSFTKPNITPTYPLRQPTIPALPAPPRLPNLPGPQIKRLTPAEMQARRAKGLCYNCDEKYSLGHKCRTAPFLLMQIDDEEESGEFLALETNLVPPALSTIPLPPPPQNYEAVGPDDFQVSFHALYGQSQHRCLKLIAKIAGQYFNVLIDSGSTHNLVQPRVAKFLNLVVQPSPPLSVSVGNGASLQCSGRVTDLQIDLQQHQFKLDLYILDIHGAEVVLGVQWLSQLGPILMDFSGLTMTFLHEGEMVTLSGSGPPQTLALSLAQFRRLAQTDAIDSAHLLAMAEAAQETSPLHFPQTPPELYELLCSFSHIFEPPKGLPPARPHDHQIHLLPGTPPINVKPYRYPYAQKQDMERLITEIFSHFRRPLRVPELIDELHGASVFSKIDLRAGYHQIRMAETDIGKTAFHTFDGHYEFLVMPFGLSNAPSTFQSAMNELFRPFLRKFILVFFDDILVYSKSMEEHLVHLEKALQTLADQHYFAKFSKCSFAQGSVDYLGHIISGLGVAVDHSKIDAILAWPVPTFIKKLRVFLGLTGYYRKFVRGYASLAAPLTDLLKKDNFIWSAEATKAFESLKHVLVTAPVLAIPDFSQPFVLETDASMTAIGAVLSQHGHPIAYFSRKLNPQMQTASAYAREMFAITESVKKWRQYLLGRPFLIYTDQQSLRNLMNQTIQTPEQQRWLAKLLGYQYTILYKLGVQNKVADALSRSFPEQGELNAISGPTFPFLTQLRDYYANDPHGKQHFNDVKEHPNKFPDLLVQDGLLLRHGRIVIPENHPLQQQLLFEYHCTLTGGHAGVAKTLSRLASNFFWSNMRKTMANFISTCRTCQEVKCLPTKQAGLLQPLPIPTHIWQDIAMDFITHLPFSNGKTTIWVIVDRLSKYAHFLAIPAHTTAPQLAALFSQEIGKLHGLPRSIVSDRDPIFISSFWKELFRLQGTKLNHSSAYHPQSDGQSEVLNRCLETYLRCFAGDNPRSWSKIFHWAEWSYNTALHSAINMTPFEAVYGYAPPTVASYLPGPSKIAQLDDCLVERQTLLARLKVNLARAQNRMKMQADRHRKEKHFEEGEWVWVKLQPYRQQSVVKRTTQKLAKKYFGPFQIIKRVGTVAYELKLPADSRIHPVFHVSLLKAYRGNLDINPTPLPALAIEDQPVLEPEVVLKTREVKYQDQNLPQILVKWKNLPEAEATWEWLDDVQTNYPAFHLEDKVVSDRESTDTSLAPSPNEPSSQDNSEAPMRRGNRARNAPPWHVDFIRQRLS >OMO81557 pep supercontig:CCACVL1_1.0:contig10147:13198:17425:1 gene:CCACVL1_12357 transcript:OMO81557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S1 MGDAKRKRGRKPKNHNPAETLESPSSTPALDDVFSVSNVELIPSPTTTTTTTTTTTSTSSPAAAATTARPRGRPKKLPKIPENPEPLPLQQPPAILSPSRRVPNGNAIAVGGGGGGGGGGDLGGHSVGTRVVPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHYTQVKVKKRGSDTKYLATVLAIGTECDIAMLTVNDDEFWEGVSPVEFGELPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDVENIGYVIPTPVIQHFIQDYEKNKAYTGFPILGVEWQKMENPDLRAAMGMKPDQKGVRIRRVDPTAPESGVLKSSDIILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYTGDSSAIKVLRDSEILNFNIKLASHRRLIPAHNKGRPPSYYILAGFVFTTVSVPYLRSEYGKDYEYEAPVKLLDKLLHSMPQSPDEQLVVVSQVLVADINIGYEDIVNTQVLAFNGKPVKNLKSLAEMVENCDDEFLKFDLEYEQIVVLRTKTAKAATRDILATHCIPSAISDDLKA >OMO69753 pep supercontig:CCACVL1_1.0:contig11992:10:2120:-1 gene:CCACVL1_19292 transcript:OMO69753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MSNTSAQNSTSQTQNISSNQQCLNFVASTMMNGSGKFLAVISAYILSRVVHQVLRPLSQPYITSDLLIGLFLGSLPPVRESFATQLILTLENIVDFGMICYLFVLGLEMDPYVVLKSPTRHAMVAYAGTLSTFIIACSVTPWLHYYNAKNAKIVTFTISLSVSLSGSGSHILTRLITSLKIGKSDIGKLGIGAGVHSDMITMFFLSIGFVFYPMGMGMGMGMGENQNPSLNHRAKEIVKMGAVLLFQTIVAAKFSPVFMNWVNNENPEGKPLKGSHLVLSMAYMALICAPAPFYGYNPFMSAFMAGLFLPSEGRISKWAISKINYLLSTVFYPLFFFWVGLKVNFKEFKAGDAGSWARFFVLLSITTVGKIAGTVMCGLMLGYHWPELVALALLLTAKGHFHIYFSIFALRKEHIDMTTCISMVIVVFLTIVHTPFVVKHIIERARKRVPIHRMALQWLDPSNELRILLCLHGTHNVPSAINLMEISRGPPQPGLSVFVTDMVELTDQIAATLVQGEGVDSVTVTDESVTEMRDQVTHAFQAYIDENGAGITLSRMIALSTFNGMAQDLSALGEDLMTSLILLPFHKRLNADGTLDGGHPGFRYVNRK >OMO69755 pep supercontig:CCACVL1_1.0:contig11992:10267:12619:1 gene:CCACVL1_19294 transcript:OMO69755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIKEQQSQSHGQNDEDEIITENSAFVHGEPPQDTSGPPKVDSEVEVLHEKVTKQIIKEGNGQKPSKYSTCFLHYRAWAESTQHKFEDTWHEQQPLELVLGKEKKEMTGLAIGVSSMKSGERALFHVGWELGYGKEGSFSFPNVPPMADLIYEVELIGFDETKEGKARGDMTVEERIGAADRRKMDGNALFKEDKLEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMSACLIKLKRYEEAIGHCSIVLAEDENNVKAMFRRGKARAELGQTDAAREDFLKARKYAPEDKAIARELRLLAEQDKAVYEKQKEIYKGIFGPRPEPKPKTSNWVITLWQWLLSLIYSIFRRERVKAD >OMO69757 pep supercontig:CCACVL1_1.0:contig11992:16287:16394:-1 gene:CCACVL1_19296 transcript:OMO69757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYLHFLADYDPFLTGTPLENPCEARRSSPTYGD >OMO69754 pep supercontig:CCACVL1_1.0:contig11992:3050:9806:1 gene:CCACVL1_19293 transcript:OMO69754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type MGMISRTVMPVCGSLCFFCPSLSTRSRHPVKRYKKLLADIFPRSPNEPPNDRMINKLCEYASKNPLRIPKITSSLEQRFYRDLRSEQFHSVKVIVCIYRKLIISCKEQMPLFASSFLSIIQILLDQTRMEETQILGCQALFVFVNNQRDGTYMFNLDGLIPKLCLLAQEMGEDSRVEHLRSAGLQTLSSVVWFMGEFSHVSSEFDNVVSVVLENYRGLRTSDTTHDKQDTQNGCVKDNHSSADSMARVSWRSIVSENGEVLVSAEEAENPKFWSRVCLHNMAKLAKEVTTVRRVLESLFRFFDNEELWSSQHGVALSVLQEMQSLIENSGENTHFLLSILVKHLDHKNVLKKPSMQLDIVNVVTSLARQTKVQSSVAIIGALTDMTRHLRKSIHCTLDDSNLGAEVIQYNQDFQAAVDECLVQLSHKVGDAGPVLDMMAVMLENMPNITLMARTLISAVYRTAQIMASIPNLSYQNKAFPETLFHQLLLAMVCADHETRVGAHRIFSVVLVPSSVCPRLPAATPSSKKASTMQKTLSRTVSVFSSSAALFQKLGREDKESSVHIDKVGSIKSVSVHDRTNSVKRQPSILNRLKSGYSQAYPSATIGDETLMTNSVEVQALPLRLSSHQITLMLSSIWAQSISPLNMPENFEAIAHTYSLVLLFARTKNSSNEAMIRSFQLAFTLRSISIGGGGPLQPSRRRSLFILATSMIIFSSKAYNIPPLLPSAKASLTEKTADPFLQLVDDCKLQSAETELVHPGKVYGSKEDDEDALKSLSEIKIAENQSKGSLATMIVKFLGNLSDQETSKIRNQLVSDFIPDDGCPCGTNLFMETPANVYGSGSIDDQSPEKETPLFSVDDDVLPGTFESQTGGDAHLAPEPRNLLSVDELLDAVSHCTTVGTRSSVSCPPDMPYSEMAGHCEALLVGKQQKMSVVMGVQQNRESLLSISAKEVQPLPHVESSFFKALNAALQQYFILFGFRAPAVHSTSFNFLTTCPVLHWTSSNRRT >OMO69758 pep supercontig:CCACVL1_1.0:contig11992:16703:19986:1 gene:CCACVL1_19297 transcript:OMO69758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGEFEPEPQAAPQPELATQGEIGNDAKEASHKEMENERVERKSTVAVIEDGNKEIGNERIDEEKEDVMLGDSDKSLNDGTSGSGDKVDDIAAEVGKEEDCVKANCELKEDSSKDAIMHKSSSLEENCKEDKGINLEMQVEECQAAVSNEEVADENGEEKNNTDPIPIEMGLSQNIKDKGKGVAVEPTNVADSAENGVWVEGESENADVDIEGPSTRGFELFSCSPVKKVEKAEQSGADKQKEGSSKMANGLERQLSFHKQNDVRSPSQSVGSHEIGSTYSFDKKRAMREKHGGSLYRSTSQKEQEQLLIGGADFVETIISRIVSEPIHVMARKFHAMTGQSIACLKESIREVMLNADKHGQLRSSQEALRNRSDLILETLLKSHRAQLEILVALKTGLPEYLQVDNSISSSDLAEIFLNLRELEYVKRIFSGSKDVRGKRLHEIASHMLVRLSKKSDLPEVYSQMMDFLSDSDNFKPDSDYSKPGKAAALSGKEQGKGINGVAGPSQDTSWLKSVYSDKAPQLESSPSLLPSLHVDRTDRPDKRPMESDLQRSCEKQSFMPELESFITIKQEEAMMYQTRADDARKEAEGLKRIVMAKYEKIEEEYMSKVTRLRLVEAEEMRKQKLEEFEAVERAYKEYYSMKTRMEADIKDLLLKMEATRRNLSM >OMO69760 pep supercontig:CCACVL1_1.0:contig11992:26168:28223:1 gene:CCACVL1_19299 transcript:OMO69760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPTCSFLLLFTLLLSGSALTLVSARTKRVVRARPHASHKIATKPFEGYLENGNFEEQPKPTDIKKTVLIGKYALPKWTINGLVEYISGGPQPGGMYFPVAHGVHAVKLGNEASISQTIPAKPGQLYALTFGASRTCAQDEVLRVSASGQTGDLPLQTLYNSFGGDVYAYGFIAKSKYVTVTFHNPGVQEDPACGPLLDAVAIKELAPVMPTRGNLVKNMGFEEGPHRLVNSTNGVLLPPRQEDSTSPLPGWIIESLKAVKFIDSKHFNVPVGKAAVELVAGRESAIAQILRTVPNQLYNLTFIVGDAQNGCHGKMMVEAFADRHTLKVPFTSRGKGEFTTASFQFKAATPRTRITFFSSFYHTKIDDFGSLCGPVLDEVRVFPVA >OMO69759 pep supercontig:CCACVL1_1.0:contig11992:20813:23400:1 gene:CCACVL1_19298 transcript:OMO69759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MEATEQLVCRPVDEFNPLITTCFQVSCILVCSHIFHLLLKPIGQPGPVAQLLAGVVVGPTLFSRAKIVEEFFIQASSAKYYEFFSFVCRLLFMFSIGLETDIPYVKRNIRVIGIIAAGGSLLACIVAAPIFWLLAKVFQVTTERFPFYLVVFTVLANSASPIVFRLVAETKFDTTDLGRLAIYSSLLNEFSCVAFVCALRAFTSLSRFGGALLAALVTLALIFLNKYLSRFFNRRARNSRFISNSAVFLLVFLLTSLSFFVEYVGSITAIASCFLLGLLFPREGKTARTLLHKLTYAVNTFILPVYFGYTGFQFDIKTLNNKVTVFLTLLVILASAGTRLAGTFAASHYLKIPWNEAMILAFLLNLKGNYDLILINTPPQPKLVWATDIHNLLLTVVVLDTLIIGPGVSILLNREESGAQYPTTLEILNPESELRMLDCVYVPRHVSGHVSLISALAGLHNAPIKPFLVHLVELPKKRKSKLMYHQLEDGDQFSDEEEYGGNDVLEINEAVDAFTSETKIFIHQTKLVSSFLTINEDLCNGAEDLRVAIIFLPFHKHQRIDGKMENTMEEIRTINQKVIRHAPCSVGILVDRGQTGFQQPHGSSCTQNIVTLFFGGPDDREALACSKRILMHSQINLTVIRFIPTSSRHDSWINDASQKDEEVIMAISSVGTENEIDNAFVETFCNRYVAQGKAGFLEKYVSDGVETAAVLREIAELYSLFIVGKGGRGSCPLTTGVSDWEECPELGSIGDLLASSEINITGSVLVIQRHRHSDSDPRGGIDD >OMO69756 pep supercontig:CCACVL1_1.0:contig11992:13274:13723:-1 gene:CCACVL1_19295 transcript:OMO69756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATAPLTLQFQFHRNHSLTLCCRNTTSVQQLIKVKTSSKCKCNCKCPRNRINLIVVSCQNNNEPSDKSTSKPQKEKEKTQLFAQVLDGAEKLGRGLKENMRPKQKEKGDWKDLMLMSLSFAVYVYMSQQIVCAYFAWMSMSMPTHSW >OMO99089 pep supercontig:CCACVL1_1.0:contig06959:10324:12042:-1 gene:CCACVL1_03934 transcript:OMO99089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MDPLSFSMIQSYNLKLLKLERKYGKTKKNSEEESNVTVVVSDEEAVSLICGHGDCNHVADPSIEWIVDTGATYHCVPKRELSTTYKAGDFGETRMGNKSVSQIVGIGDIVVQTSTGCTLTLKNVRHIPDLRMNLLSINVLDKEKYESRQKDRQWNHFYGSLLVAKGSLCCTMYKTWLKHCGNNVNAVEDDASANLWHNRLAHFSEKGLLLLARQSLIPIPSAKGKGLIVNSCDHCLFGKYRRVSFRIPATRKENRLELIHSDVCGPMEVESLGGNRYFLTFIDDASLKTWAFCVRYKSQVLLIFQKFHAMVERETGLPLKCIRTGKGGEYTSNDFATYCSKHEIRHELTEPGTPQHNGVAERMNRTIVERVRYMFKMAKLPKALTYQKVWSGKDPSYDHLKVFGCKAFLHVPKEQRSKLDSKATPCIFVRSLVTGLGIQQKKKIIRSRDVVFHKHETIIAFEKKKKISRVVHDDDLTPTTVPPTRATVGGDEQGTELGTDEPVTGNDELDGDDDIAQPDVVGIEQGEQPPLLENNEPQLRRSTRGHIPSTKYPSSEYLLLTNDGEPESFHDV >OMO99090 pep supercontig:CCACVL1_1.0:contig06959:17314:20998:1 gene:CCACVL1_03935 transcript:OMO99090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine/DAP/Arg decarboxylase MEPPTVQPKLVFHKRNVELGSNFDCSNLAEIEALLSLGVSPDRIIFANPCKAESHIKYAASVGVNLTTFDSEEELVKIQKWHPKCRLLIRIKAPETSGAKFPLGAKFGALPEEVVPLLQATQARNLNIVGVSFHIGSGAIHFQAFDGAIAAAKIVFEQAAQLCMPKMHILNIGGGFSAGPQFTEAASAVKNALQKYFPNDSDSNLIVIAEPGRFFAELPFTLATSIIGKRVNPLKKVSTNSKLSAKDHVQSRFSQEEQVALQSLQTLFGSKIVNSCMIVVFTGGNELEEDEETLEEYLSRDSSALALCLEVPHYVGLNGMSNILECVVLPHGIIAVATPDIALADSIRSLDL >OMP03192 pep supercontig:CCACVL1_1.0:contig06124:2871:2939:-1 gene:CCACVL1_02510 transcript:OMP03192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLMTIQNDPSGLDYDRDKEFSK >OMO73378 pep supercontig:CCACVL1_1.0:contig11242:101324:101431:-1 gene:CCACVL1_17291 transcript:OMO73378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVDNLDNSFDVAIKKVEELATEFGKNLSFHQVR >OMO73380 pep supercontig:CCACVL1_1.0:contig11242:105943:106245:1 gene:CCACVL1_17293 transcript:OMO73380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDPGPNYAKLMEEYTSRRQNKLPTQIITIPDNDKETNDSTMIQLPEPIDKELNDLEVVYYAYKFFEIFKGLVVDSIFSFHERNMSRKFFKEREAEDALG >OMO73382 pep supercontig:CCACVL1_1.0:contig11242:126155:130151:-1 gene:CCACVL1_17295 transcript:OMO73382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPCSSSSFPQRKFEYDIFLSFSGKDTRHSFTDHLYTALIGHGIKSFRDDKSLKKGKDFAQDLLRAIRESRGSIIVFSKQYALSRWCLDELVEIMKQRKERDLVVYPIFYRVEPTDFRHITNTVRAAFDGHEGKYDKEKTKGWEDALKVVADQSESLKILLTNFKDMAYELDDIVDEFETHDAIRCQGNSSKKPKLMISDSYNTEFYKGILAKINEVNPRLKQLEPQINELQQLIIRTGNDKSKRLEPRLPQTSSVEMTANVYGRDQVKEAILHSLLQNDDEANFVISIVGMGGIGKTTLAQLVYNDAHVEHHFDLQAWVCVSDDFDVTRITKQMLESITCQTCNDNTLNILQPKLKRELSKKRFLIVLDDVWNEDPHKWKILQSPFLRTPGSKIIVTTRNHNVSSIMGACHAHSLQLLSHDDALSIFAQHAKAPRDFEGYPHNLMEVAKKIVTKCNGLPLAAEILGGLLSTVHLDDWEDVLENGIWKLPESQCNIILALRVSYHYLPPHLKQCFAYCSIFPKDCEFEEEIILLWSAEGLLRGARGKKCFDDLLSRSLLQKSKKYNSRFVMHDLVHDLAQLVAGEICFRKDGDDKQILKRTRYLSVDRKYFDIQELKGIREAKHLRTILPICLDVCGPISQESYNVLWHLRCLRVLSFKGYKKTYVLPDDIFGDLKHLRYLDFSETEIRSLPESVTTLYNLETLLLVECRQLEELPPMTENLVNLHHLNLTKTDKLEGMPNALKAKIADKSGLDELVLDWHSRSQQIADQGYRGIIRLAWQKICEYDTPKILDLLEPSKQLKKLGILNYGGSKLAKWVRNSSLTNLESLYLFNCHNCSSLPSLGGLPFLKNVSINTFRKVTSVGVELLGENTTDPFQALEVLDVGNMPKWKIWNFSKVDDEARKFPKFRELYIRDCPELLLLVSLPKQLYNLEELEIESCGNLVISIASLPRLSKLKISSCGEVVCKGFENHTSLQKMSFIFIDKLTCAAECERLEKLSTTMLRELELRCCENLISLSKNNLLLNVKRLTISNCRIWKFLWEGDSSNACLIEEIYISHCPFLVPLSSKCELPASVKKLIIWGCLKLESVAQEIQRNSSLESIIIQLCPNLASLPQGLNKLSLCRKFAYLIAQSLFPFQKIVCFAHTQTRNFL >OMO73383 pep supercontig:CCACVL1_1.0:contig11242:131207:135153:1 gene:CCACVL1_17296 transcript:OMO73383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MSSLGKFDNEKFNGSNDFILWKVKMKAIMIQQNCADAIDKEMLPKKSTDKEIKEINLKAHSAILLSLSDEVLREVVAEKDAASLWKALDDKYMKKSLTNRLFQKQHLYTFKMAENTPIKDHLDSFNRIILDLGGVGVKIEDEDLALILLCSLPRSFQNFRDTMLYGRDTIALKDVKYALLSKELQNKVSADVDGEASLIVTRGRNKEKSSGIARFRSRSKSRATSAIVQEGSSLVESSDDEVGTDVLTVSTAGSANTWVVDTGASYHMTFSRNLFTTFKEWNGSVMLGDETTLSVKGSGSVQIKTHDGTIRTFDAWLVPELRKNLISLGTLDKQGYKYSGENGQIKVSKGSMTILKGKLQHGIYTLIGNSVIGEVAVSESLGDSNDRTELWHRRLGHMSEQGLSILSKKGLIRWGPAPVESHSRCRYFVTFIDDYSRKVWVYFLKTKDEVFDRFKEWKIMIEKRTSRQIKTLRTDNGLEFCGQGFNEFCKKEGIVRHHTVRRTPQQNGVAERMNQTVLQRARCMRLNAGLSKKFWAEAVNIAAYLANRSPSTAIDLKTPQEVWYDKPSDYSALRIFGCPAYVHVNDGKLEPRAIKCIFLGYGTGVKGYRLWHSDSNKVSRDVNFDESTMFSQKEELFDIAGTDQIVVFDAPPLKSPSVTTEEPRTETDDLSKSIAERRTRREIKKPQRYADCISLNVGETDPIAYALSVAEIIDSDEPRSYKEAIKSENAADWLLAMNEEMQSLAKNETWKLVPLPKGVRPVGCKWVFKRKEGIPGVDLQVVKHKTIRVLLAMVSTLDLYLEQLDVKTAFLHGNLEEQIYMSQPEGFIDSENEDHVCLLQKFLYGLKQSPRQWYKRFDSFMVSHDFARNQYDNCVYSKKLSDGSYIYLLLYVDDMLIAAKDLAEINSLKALLSSEFEMKDLGAAKKILGMEIWRDRKVGLLYVSQQKYIEKVLQSFQMDKAKPVSTPLAAHFKLDASALPSSNDEVNCMSIIPYSSAVGSLMYAMVCTRPDLAHAVSVISRFMSNPGKTHWEAVKWIMRYLNGTKNVCLVYGSDGNSGLIGYVDSDYAGDFIKRRSLACYIFTLYGCAIRWKATLQATVVLSTTEAEYMSLTEGIKEGMWLHGLVDSLGLDVSKPVIYCDSQSALSLAKNPVYHERSKHIDVRLNFIRDALDNKVATIEKIPTANNPADMLTKPLTTDKFKHSLDLVNVRIA >OMO73379 pep supercontig:CCACVL1_1.0:contig11242:105451:105633:1 gene:CCACVL1_17292 transcript:OMO73379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPGDYSVQSTSVDLPGFVRPISKIHQDKTVDHGHLVRLLARRCSRYFLCGAHLQQPKQ >OMO73376 pep supercontig:CCACVL1_1.0:contig11242:10554:17212:-1 gene:CCACVL1_17289 transcript:OMO73376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPCSSSSFSPRKYEYDIFLSFSGTDTRYGFTGHLDKALSDGGIKTFRDDKSLKIGKIFPPELLRAIGESRGSIVVFSQRYAFSRWCLDELVEIMKQRKEWGHEVYPIFYYIEPSDLKYQLKAVKEAFDKHENGKRYTKEKMQSWRHALFEVAELHGRTLRVYKYESDVIVQIVQDILSARLAETIINIKPQIEKLEAEFLQIRAMIDGAEKILFRGQNDQIYKEGLTELKDLAYELDDIVDEFETHSKMVMEPKFMISDSCNTEFNKGILLKINEVNPRLKELEPQKNRLQQQLIRMTGCDESKRMEPRLPETSSVEMTAHVYGRDQVKKAIVHSLLEKDDEANFVIPIVGMGGIGKTTLAKLVYNDAHVEHHFDLLAWVCVSNDFDVTGITKQMLESITSQTCNDNALNILQLKLKKELSKKRFLIVLDNVWNEDPHKWKILQSPFLRRTPGSKIIVTTRNHNVSSIMGASHAHSLEVLSHDDALSIFAQHASVPRDFEGCPPDLKKVAKKIVRKCDGLPLAAEILGGLLSTVHIDDWKDVLESGIWKLPESQCDIIPALRVSYHYLPPHLKQCFAYCSIFPKDYIFEEEEIILLWRAEGFLKDARGKQCIDNLLSRSLLQKRKIAKPGFVMHDLVHDLAQLVAGEICLRKDGDYKKILKHTRYLSTDREYFDIYELKGIREAKHLRTILPLRFSLLYWLLEMYPFDCPRLRPSLEYYNVLGDLRCLRVLSFKRYWGIEVLPDIFGDLKHLRYLDFSHTEMNTEMIRSLPESISTLYNLETLLLVECRRLKKLPAMTENLVNLHHLNLTNAYMLEGMPSNFGALTNLEFLSNFVVGKDKRSQIRELRDLSNLKGTLNISGIQNVVDPEDALKAKIADKSGLDELVLDWHSRSQIRNRKSEKKTHRNVLVLLEPCKQLKKLGILNYRGSELAKWVGNSSLTNLESLSLFNCPNYPFRSLEILEFGDMPNWKIWDFSKVDEEARKFPKFRELCIRECPKLLLLVSLPKQLYDLEELEIERCGNLVISIPSLPRLSQLQIESCGEVVCEGFEDHSSTMLRELELRRCENLISLSKNNLLLNVNKLSISRCQNLKFLWEGDSSNACVIEEIDISNCDSLVSLSSKCELPASVKKLTIWFCRNLVSIAPEIQHNSSLKYIMIEECPNLENLPQGLNKLSHQQKIRISGCPKFVSFPEGSLPTSLKSVLIDEVPTPLDSLTSLHEGIAHPVPEPQISSSKTFFAFWVIFLGFLLLKLNHSSYSRHIESSIHLEKMSYNPLTHPYADSVFFPMKWLNSLCKFKKLWLLTTEVKGRLAATQGFNTDQKSVIGSREDNACCCQLEDIRKTCSV >OMO73377 pep supercontig:CCACVL1_1.0:contig11242:76899:99842:-1 gene:CCACVL1_17290 transcript:OMO73377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPVRVPVNFEVLSQRPGPGDLFLLQLLLKPNPTSPNLKPAPLATSFLHHLRSPKPSSTTTIEPQIEDLEEKLEEIRAVIDDAEEILFTDRSKSFKIELPKLKYLAYELEDVVDEFKTHIAIKFQV >OMO73381 pep supercontig:CCACVL1_1.0:contig11242:115937:116005:1 gene:CCACVL1_17294 transcript:OMO73381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKEKKNRRYLTFVEMLHWMV >OMO81085 pep supercontig:CCACVL1_1.0:contig10242:6999:7799:1 gene:CCACVL1_12614 transcript:OMO81085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MAAISFDPRLNVQVGQKNHAVVAEEIEGLIRVYKSGHVERPPIIPIVPCTVAGGVMARDVVIDKFTSSWTRIYAPSHSTKMPLLVYFHGGGFCVGSAAWSCYHEFLSALASKAGCIILSVNYHLSPEYRLPTAYDDGDSAGANIAYNVATRLGSYGTSDYSNIKPLVLKGTILIQPFFGGESRTASEMHSTQPGNSALNLSASDTYWRRLSLPLGASRDHPWCNPLAKGATN >OMO94111 pep supercontig:CCACVL1_1.0:contig07966:2830:6678:1 gene:CCACVL1_06164 transcript:OMO94111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MDSYERELNQPILNCMYEDRPLAVVPPPDSEPTHQHNHEVDSRLESVLSDTELSFFNRLRLSSWIELRLLFRLAAPAVFVYLINNAMSLSTRVFCGHLGNLELAAASLGNSGIQLLAYGLMLGMGSAVETLCGQAYGSLRYEMLGVYLQRSTIVLTLTGIPLTQLPHTKVPPSSKHRDSKCLHIGGDAGGAHFAELVGSLQGGNGVDWGVSGAQLVVVDHRDSPDGVYFVMLCLETWYFQILVLIAGLLDNPELALDSLSICMAITGLLFMVSVGFNAAASVRVSNEVGAGHPKSAAFSVYVVTLISFIIAIVEAVVVLALRDVISYAFTEGETVANAVSELCPFLAVTLVLNGVQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPLGCLLGFKFGLGAKVESARKRLDKWEDKKREPLLQK >OMO75758 pep supercontig:CCACVL1_1.0:contig10985:9578:9676:-1 gene:CCACVL1_16051 transcript:OMO75758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRKRRAGEGNLGRELMDFVTLLPIVKNTNED >OMO65351 pep supercontig:CCACVL1_1.0:contig12663:24396:30962:-1 gene:CCACVL1_21545 transcript:OMO65351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLLSAAFFIGSAFTITDYKERILGWGSVLVSQYTRPMMCETQCRAYGTEALPKGIISETSNLEMRPLWGQQNKKFPESDFVVMLFHYDGIVDQWKDFEWNDRAIHVAAVNQTKWWFAKRFLHPDIVSEYSYIFLWDEDLGVDHFDAKRYQTDNLAVVQLTWCNMASPRASICEAMSASRATLSKKSKYLSIVKKEGLEISQPALDPEKSELHHPITRRDKDSTVHRRTYAVIGKTRCNENSTGPPCTGFVEMMAPVFSIASWRCAWHMVQSDLVYGWGLDFELGYCAQATFGDRTQKIGIVDAEYVVHNALPTLGGVAAKKVQSSSNETDVRSMVIKQSYAELETFKSRWRRAVKQDSCWFDRLQQYTKRSPR >OMO65350 pep supercontig:CCACVL1_1.0:contig12663:2022:4675:-1 gene:CCACVL1_21544 transcript:OMO65350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MSMACAPRALLSGPRSPVPMCGWVACPTCAGHPCGKLGTPCGLPRTPCAPVLRRLLTCLLALKFNFAALLQTLKNQSYDDKIDSSSSSITIISAPFFIQIVLGKYLWYVKEENVDCTSCKLAKHHALPFDLNPKTCLAPFDLMHTDFWGPSPYETMGGSKYFVIFVDDYSRYTWFYLMKNRSQIPEIVSNFAAMVKAQFSCAIKVLRMDNAMEYRETDLVKCLSQQGPVIQRFCPGTSAQNGQAEHKHRHILDTTRVLLIASKCPERFWGEAAIIAVYTINSVPTPTIGYGIEHKGYRDPISKRLHVFRLVTFLVHKMFSSLSEFQVPNPDVLYFTDPSVELFPETSLDLSHCRNPPTSSSSDALPLPTNESHPSEDPAQDPPPVQELESCHSSPAPLRRSTRVRNPHPRYVDFHCYSTIVSLHEPSNFQEEKSNPLWQQAMAEEIHALEKTHTWDLVDMPPSKSVVDCKWVYKIKTCSDSSIERYKARLVARGFTQEYGIDYEETFAPVAHITSVRTLLAVAAVKRWELFQMDVKNAFLNGDFDEEVYMKHPPGYEHPPNKFGFKSSSYDHALFIRHSEHGSIFLLLYVDDIIITGSDVQGIAKLKNYLSQHFEMKDLGHLTYFLGLEVTSNDSGYFLSQAKYATDFLSRVGLTDAKVTSTPLEANQKLSPLDGKPLDNPTLYRQLVGSLIYLTVTRPDIAHAVHVIANLWLLLGLLTMQLSFTFSYVKGTLFHGLHYSSHSPLKLN >OMO76077 pep supercontig:CCACVL1_1.0:contig10928:73799:80017:-1 gene:CCACVL1_15946 transcript:OMO76077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MWIGAATSSASSRFLFFQIQCSDSNAKRGFGPKKPKQKASKGSSSKEEKGIQLQQRKSTGKQSGPSPAQAPGLSAQFDGKFNSSSLDVDFEERLEAIKRAALEQKRAEEQKEFGPIDYDAPVESDKKTIGLGTKVGVGVAVVVFGLVFALGDFLPSGSVNTPEEAAVIDKKLSDEEKAVLQTRLKQFEATLSTSPKDPTALEGAAVTLTELGDYARAASLLQDLAKVKTTDPDVFRLLGDVKYELKDYDGSAAAYKLSSMVSKVVNFEVLRGLTNALLAAKRPDEAVQFLLSSRERLNTERSSPNLKADSEKMEAEPEKVDPIQVDLLLGKAYSDWGHVSDAVAVYDQLISSHPNDFRGYLAKGIILKENGNVGDAERMFIQARFFAPEKGKALVDRFARQ >OMO76066 pep supercontig:CCACVL1_1.0:contig10928:4302:7677:1 gene:CCACVL1_15935 transcript:OMO76066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MESAALNFVNATLNWITLALDAPSARAVVFGVHIGGHLFVEVLLLVVILFLLSQKSYKPPKRPLTNKEIDELCDEWVPESLIPPITDEMKTEPPVLESAAGPHAIINGKEVVNFASANYLGFIGHEKLLESCTSSLEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPCFCKKGDVIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMESLEKTLEKITAQNERAKKLRRYIVVEAVYQNSGQIAPLDKIIRLKEKYRFRVLLDESNSFGVLGRSGRGLTEHCGVPVEKIDIITAAMGHALATEGGFCTGSARVIDHQRLSSSGYVFSASLPPYLASAAITAIDVLEQNPGLTSKLKENIGILWKGLSDIQGLAIASNPESPIVFLRLVKSTGSVKSDIQLLEDIADRALKEESIFVVASKRSTLDKCPLPVGIRLFVSAAHLESDLHKACASLKKVAAEVLRDLR >OMO76068 pep supercontig:CCACVL1_1.0:contig10928:15112:18554:1 gene:CCACVL1_15937 transcript:OMO76068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34Ae MDFLKVKKFRKAQKPEPGKDLEDKPVPQPEEPKNENGVTEGVGGGDNNLSKSSKADPAAEVEDDDDDFITNEVKRRLKELRRNSFMVLIPEEEEETYPEEEEEEEAGETSSNEWRDVEAEGRQWWGGFDAVYEKYCERMLFFDRMIAQQLKEAGSTNFSTPSPKSASKKLSSPLRCLSLKKIEEPDEETENLQLPINDPYQDIETAYVAQICLTWEALHCQYSQLSQIVLCQPENPTCYNHSAQHLQQFQVLLQRFIENEPFQDGLRAEIYSRARNILPKLLQIPNIQGSEQKEKVEEESDYLVHAPDIIRLIESSILTFQLFVKADKKKHSSVLNLFGNQNLMATPLQQVQSLLEKIYVVDIV >OMO76067 pep supercontig:CCACVL1_1.0:contig10928:8395:11499:1 gene:CCACVL1_15936 transcript:OMO76067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIPNGSVVKTSESTAEQQQHEDNSTTSSIKFGTPEALEHVRSLTDVGAMTRLLHECIAYQRALDVDLDTLLSQRSDLDKNLNNLQRSADVLEIVKAESDHMLANITSTCDLADQVSRKVRELDLAQSRVNSTLLRIDAIVERGNCIDGVKSALEAEDYESATKCVRTFLEIDNKFKDSGSDQRDQLLASKKQLEGIVKKKLMAAVDQRDHPTILRFIKLYSPLGLEEEGLQVYVGYLKKVIAMRSRLEYEHLVELMEQSHGLSNQLGEDYTEYMVSKIKGMTTVDPDLVPRATKAFRSGSFSKVAQDITGFYVILEGFFMVENVRKAIGIDEHVPDSLTTSMVDDVFYVLQSCLRRAISTSNISSVVAVLSGASSLLNNEYYEALQQKIREPNLGAKLFLGGVGVEKTGTEIATALNNIDLSSEYVLKLKHEIEEQCAEVFPAPVEREKVKSCLTELAELSNTFKQALNGGMEQLVSTVTPRIRPVLDSVATISYELSESEYADNEMNDPWVQRLLHAVENNVAWLQPLMTANNYDSFVHLVIDFIVKRLEVIMMQKRFSQLGGLQLDRDTRALVSHFSGMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLSLRVDFKPEAISALKL >OMO76071 pep supercontig:CCACVL1_1.0:contig10928:31994:35135:-1 gene:CCACVL1_15940 transcript:OMO76071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MMLKMDFESQKNNYRVFGNMALVVPKELEELEKPSSLSSPTPLEIRFQVPDFRAPIRDFFRTREVGEFLSGALAGAMTKAVLAPLETIRTRMVVGVGSKNISGSFIEIIEQQGWQGLWAGNGINMLRIIPTQAIELGTFECVKRAMTSAQEKWKQDESPKVQIGDFRLNFSLSWISPVAVAGAAAGIVSTLACHPLEVLKDRLTVSPDIYPSLSVAVSKIYKEGGVGALYSGINPTLIGMLPYSTCYYFMYEKLKKSYCEAKKKKSLNRPEMLLIGALSGFTASTISFPLEVARKRLMVGALQGKCPPNMAAALAEVIRDEGLGGLYRGWGASCLKVMPSSGITWMFYEAWKDILLVEKRIL >OMO76075 pep supercontig:CCACVL1_1.0:contig10928:67116:67628:1 gene:CCACVL1_15944 transcript:OMO76075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MANAPKTNTTTTNGGSSGGGSGGSTSNQTNGSSGGSGGASKSMKFLKRTLSFTDVNSSNNNSEVVPKGFVAVCVGKEEKRFIIPTQYLGHQVFGILLRKAEEEFGFQQEGVLKIPCEVSMFENILNLLQNKKDVDIDGLFFNNDLFGFNVDKDIIASFSPSHHHHPQMCR >OMO76078 pep supercontig:CCACVL1_1.0:contig10928:83109:89495:1 gene:CCACVL1_15947 transcript:OMO76078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MAASIGVSVPVLRFLLCFVATIPVSFLWRLVPGRLAKHTYSAFSGALLSYLSFGFSSNLHFLVPMLLGYAAMVLYRPKCGIITFFLGFGYLIGCHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYNDGLLKEEDLREAQKKNRLVKLPSLLEYFGYCLCCGSHFAGPVYEMKDYLEWTEGKGIWATDKGRKPSPYGATVRALLQAAICMGLYLYLVPYHPLSRFTEPIYQEWGFWKKLSYQYMSGFTARWKYYFIWSISEAAVIISGLGFSGWTESSPPKPKWDRAKNVDILGVELAKSAVVIPTVWNIQVSTWLRHYVYDRLVTKGKKPGFLQLLATQTVSAVWHGLYPGYIIFFVQSALMIAGSRVIYRWEQATNMALLKKAFALMNFAYTLLVLNYSCVGFMVSLSLSLSLSLSLSLKPKFYNLSVVIYAPNQAIHQMDGCCGMSKRDEMYHFRQTDKCQLAADFFGHSDRELAFCHVLLFKVEGMFSIPNKKSIGGAGEGSVNNPEEWEVRPGGMLVQKRDLNSNQSCVPIPTIKIRVKYGSTYHEIRISSQASFGELKKMLADHTGLHPLDQKLIYKNKERDSKAYLDVLRVKDGSKMILVEDIASKEKRCLEMLKTTRIEKSSKSLSQISLEVDKFAQQVKDLETTISRGSKVQQVDVDNLTGVLMTCLVKLDEMVVNGELKLQKTMLEKKVQKHIETLDAMKLQNTIPRRNGDKILVKQNDQHSIGKGQKVQIQEKQKSLTAQRPMMQQTRMQSDSVVFTTKWETFE >OMO76072 pep supercontig:CCACVL1_1.0:contig10928:38542:41611:1 gene:CCACVL1_15941 transcript:OMO76072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, RsuA/RluB/C/D/E/F MLNNSLRRHFLSLVRHYSRVAPPPPALADPVIRVSNNVAYLGPPKQGPKPRQLLSLPPFPGCPLPGKDSGTTRVTAISWLKYYFDEISDSAIQSHFNKGLVQVESSNADNSFIGRNGQMKQLRKIKHNEVMQVGARIWVPVSIAETRISKRFDCIPSGTLNPNADEINYLRRLVKYKDYAILVLNKPAKLPVKGSLPVHNSMDALAAAALSYDNDEGPKLVHRLDRESSGLILMGRTKESIAHLHFLFSDINTARSSCKAWNDACDAKFQRYWALVIGTPKENEGLISAPLSKILLDDGKTERVILAQNVGLEASQEAITEYRVLGPMINGCSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHKKWKQMARVDIEPTTGKPYKLRRPEGLDVQKGSVLSKVPLLHLHCRELVLPNIAKFLPMLNNNTETLPPTVSSKLDLLRFVAAMPNHMKISWNLMSSYLV >OMO76074 pep supercontig:CCACVL1_1.0:contig10928:60107:60858:1 gene:CCACVL1_15943 transcript:OMO76074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSLAALKLLCGQLKDARQTPSQNSFTIGGILFQRVWLQGILVTNDNDDRLLLDDGTGLVDLSLTGDFRQRQWKKSMYVMVVGGYFIRTGDLPVIKVHKIVDLSQFPDREAMWYLEVLEAYKLFYQPLIEEFE >OMO76069 pep supercontig:CCACVL1_1.0:contig10928:19849:20049:-1 gene:CCACVL1_15938 transcript:OMO76069 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8 ultimate buster 1-like protein MDRAGSVTGTSKLAKLAIQNKKLQSSRTSVDHCSCRNVKEEGEKEREGKTLKLKAAAIGVFLIMFS >OMO76070 pep supercontig:CCACVL1_1.0:contig10928:21738:30911:1 gene:CCACVL1_15939 transcript:OMO76070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESPMRMIESGGATKWLSSKDALVIGSPLKDMEVEELRLLFKGQRIHGDSTETVPNRSGSAPPSMEGSFAALGNLFAQQNTSLASSLANLSSVIENCESEEQLRSDPAYFAYYCSNINLNPRLPPPLISRENRRLARHMGGFGNNQRATSVDDSGNGSLRLFRSSLSTHMEESEDDRSPRQASDKWAEDSDLSLLEQDMASLRGRHKSLVDLVQEGFPRTPSPVYNQSRSSGITTTQEQMDHDVHAISSNFSSINISKAPESNFGSVDVCKDKSALDANSNALLRGNDSLEIPSLPDSEQIPRSSAPHKDDMSMKDAGMDADASGNVQQSAISNVDSRIRKKQEAQQSHGRNMPQHYSSIQPGSPHHAQGLAGPAISQGLSHLYGHPKLSSAESQPLLHSSGLTHPMYGTAAAYMTSGNPFYPNFQPPGVYPPQYNIGGYAMTPTVLPPFMAGYPSHGAVSMPFDSAASGSSFSNRAGNTTGESTPHTSDLQHLGRFYGHHGLVPPSSLVDPVHMQYLHHPFSNVYGASIQHGHLASIGLSGGQVDPFLQKESNVAAYIGDPKLQPLINGNISNPGKVGTLSGSYYGGHPSMGVISQYPASPLASPLIPSSPVGGMSPLGHRNEIRFSPKAGPYSGWQGQRGFNSFEDSKRHSFLEELKSSNARNFELSDIAGLIVEFSVDQHGSRFIQQKLERCSVEDKESVFREVLPHASRLMTDVFGNYVIQKFFEHGSPEQRKQLADQLAGNMLNFSLQMYGCRVIQKALEVIELDQKTRLVQELDGHIMKCVRDQNGNHVIQKCIECIPTDRIGFIISAFHGQVATLSTHPYGCRVIQRVLEHCSDELQSQCIVDEISDASCSLAQDQYGNYVTQHVLERGKPRERSHIIGKLIGKIVQMSQHKYASNVVEKCLEYGDSAEKELLVEEIIGQSAENDTLLTMMKDQFANYVVQKVLEICNDRQREVLMDRVRVHLNALKKYTYGKHIAARFEQLFGEGSVPVDPLEWQISQDAANSIVAWLANTVGAAESVLRVAATGHDKKLFFRVVLCLYILSVIGRLVSGVTVAYAGLCLFCLYMLAENSQSIRTCVPQLQRQRNGNSGEEDNM >OMO76073 pep supercontig:CCACVL1_1.0:contig10928:42311:58426:1 gene:CCACVL1_15942 transcript:OMO76073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ic MADQSTPSDETPPSNVLQSLSINSQPSSSSSSSTELSLEERFQIIRSVGEECIQEDELLNLLKHKPEPVCYDGFEPSGRMHIAQGVMKTINVNKLTSAGCRVKIWIADWFAQLNNKMGGDLKKIKIVGQYLMEIWKAVGMDVNGKVEFLWSSDEINSRASEYWPLVMDIARRNKLPRIMRCCQIMGRSDQDELSAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLQQGQEKMSKSDPTSSIFMEDEEAEVNVKIKKAYCPPQIVQGNPCLEYVKYIIFPWFNEFTVERNESNGGNKVYKNFEELVSDYESGQLHPADLKPALSKALNKILQPVRDHFNNDPKAKDLLKRVKSYRLTKFGDAFSFLISLPKENHIWCGSWDIMGPLLETFYNYSKDEHDDSPLRLLWKRISQEMRHCIQCVSQHHQAQETYSMEYELCSIGPLLDVLRSLDEARVSQHLREINEKLVKQEYDPACDNAEVVNLMYEVLMFPVLLDDQSLFIEFERFIEAVDNMHELALAGHQQFPGVYALLFFNRRVRTVGYRLARSMGKLRRATDLEPLQPLIKKYIGFLENEVLPSTSDSRSKAQLDRSPIWLGITSLLEFLEPPAFEEGILERYPIFFDIVLNHISGDSPEFSHAVSCLRELFKMLGCKLWLRSTLSPSVMRNTLLGQCFHTRNEKIHKDIFDLFQPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSSNFSVLTRKTGCKIALLMIHRGYKMNPPCPPFECAHMWGPSLVSCLKDSSLHSSLQQPAFDLVQTIIVSDAAALITSVLNSSTVSSIGRDTLIELDDEEENELSFTQDVEEKNIKSALDIRGWLSSSAAEISSTFGWKVPTGSDDGGGKESKNSMRLSTMCLPLVKTFNRLTAYFLVRMGQGELRKQWTWEPRMGESLILSLVDPSDNVRQFGKCILEQVSNTRGLGCGLKFLCSNSFSLSAVYLGLKHAMKLVRLDSVILKYQTLHHFFFVLCKLLKDEDLPKSDLVGSSSNAPHIMKYSSQGGFLKQPLFSSLPANEGGNHSNVDLKLRESFCYSLLEISWPTICKCLVEGKAFIDYSLCQMTCVRVLEILPVLFERLGPSFSESKVASGNLMDLKWLHDLMDWGKSQLKVIVVYWKKAIISLLNVLKVLKSDSSKSMVVAIENLISSGEFYSCVMCFLNIDAVDMDELTEQVSRLCVALSKEVSCGTENSTSRNENNPIVLSDDENEKDIASDKSSKHLLHGVAELVSTYDRASETGHAKKDAHSTAANTPKDLLEAPIETDTSTTKKEEFGKPSFKQQRSIKLKGPENQRKEISSNTESNVSSSQCRVVQKEKFDKSVKSNSIDQGSNKVVSGTSDKILRELVHDAADDPLESAFRTARVQPSFLAKSGPLVPKRQVIQLKSPFDNRSGLQRLEAQVKRFKPPRLDDWYRPILEINFFVTVGLASEREDESRTANKLKEVPVLFQSPEQYVNIFRPLVLEEFKAQLNHSFLEMSSWVDMYYGSVSVLSVERVDDFHLVRFVYDGDDSTGSKTFSENDLVLLTKEPPQSTSHDIHMVGKVERRERDNKRSSSILLIRFYLQNGTVRLNQARRQLLERSKWHASHIMSITPQLREFQALSSIKDIPLLPVILNPVNDSTVSDKPRVDFGKLSQPLQQILRSSFNDSQIQALNAAIGSQRTKKDFELSLIQGPPGTGKTRTIVAMVGVLLASLQRRTYESENSQNGDWKQSYRSSTNSRTRISQSTAIARAWQDAALARQLSEDVQRSESSIESCIRGRVLICAQSNAAVDELVSRISSDGLYGRDGKKYKPYLVRVGNAKTVHPNSLPFFIDTLVDQRLDEEKMHTSDARKDSNLESSSMVLRSNLEKLVENIRFYETKRANIRDGNSDMKKTLEDGADKATDVKEMSDKEIEIKLRRLYEQKKQMYKDLSAAQAQEKKINEETKALRHKLRKSILKEAEIVVTTLSGCGGDLYGVCCETMSNFKFGNPSEQTLFDAVVIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVLSNVASKFMYECSMFERLQKAGHPVIMLTQQYRMHPEICHFPSLHFYDKKLLNGDTMSSKSASFHGTEGLGPYVFYDVVDGQELRGKNSGALSLYNEREADAAVELIRFFRKKYPSEFVGGRIGVITPYKCQLSHLRFRFSSAFGSSVTADIEFNTVDGFQGREVDILVLSTVRASDSSSSPGIGSSSIGFVADVRRMNVALTRARLSLWILGNARTLQTNHNWAALVKDAKQRNLVLSIKRPYNTIFKISARNNPIPEDSDNHPSKVKHTAKGGTCQPGEQNRHREKLKFEGKRKHNGSLAHGNRTIAGDDDSDQRKDLHFSKRREKDDCDPPIGRNISSASANFDKRKSQNVKSTNSEKVSTSNGSQEKEGGKVKTKLGKNHVNEKKGDENTGQEVGHSEKNRRSDILKGSKKSSGHEQRSSAGPVDNKKDGQTNEGGGDPKEATTSQNLIAKRKKQREAVDAILYSALIPSKKSEQSTKTLHQKRPSSPHSAVSGGIKPSKKRKGEPRLL >OMO76076 pep supercontig:CCACVL1_1.0:contig10928:68938:69330:-1 gene:CCACVL1_15945 transcript:OMO76076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MVILRPWRRENFPIIPKKKYCHDNEDEEMPRESLLTEDLELSDGSSRGSRDPKYVPKGFVAVYVGTELRRFVIPTSYLSMPEFKVLMDKAAEEFGFEQEGGLKIPCDEQDFEHILHNCTTLDRMSKNKKI >OMO72846 pep supercontig:CCACVL1_1.0:contig11350:124:710:1 gene:CCACVL1_17554 transcript:OMO72846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVVGLFVGGLNAASTLSVIIVVIYGANLTINGSMSPGDLTSFILYSLTVGSFVSGLSGLYTTVMKAAGASRRVFQLLDRSSSMPKSGNKCRAHWVIKMVKWSWMTSGLPITS >OMO72851 pep supercontig:CCACVL1_1.0:contig11350:33358:33582:-1 gene:CCACVL1_17559 transcript:OMO72851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNMPHDGAKKAQVNYKAQFRFKAHGAVTTNAAPQGARVHQVASSSKNTNEDLSVDNQGKQAGGADDRLDVEL >OMO72847 pep supercontig:CCACVL1_1.0:contig11350:2751:3155:-1 gene:CCACVL1_17555 transcript:OMO72847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MALSAETETLSHVLTLVEAFRAFDADNDGAINAAELGGILSSLGYNASEPDVRAMMQQGDANKDGLLSIQEFLHMNTRDMELAAVTAAELYQIMGNLGVDFSLEDCQGVIASMDADGDGAVSFDDFKLIVNSLL >OMO72854 pep supercontig:CCACVL1_1.0:contig11350:43134:48428:-1 gene:CCACVL1_17562 transcript:OMO72854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKKTRRKPRLERRNAAKHIDYDAESFSSSLDDSSSSSSLITRSLDLSDKTSFRIQGNEGEFDRICRSLGLTGPEDFSIPAAAWEARKIRSSSDLLPRSRLNRLDSPTEEADKVKDDTEVIDSELSDRVLAIELTRDDSAELKLSDCCFSDRIVADATTTSSTTIESKLNAPRVGVSVPGNNGIKGARPPVLKPPPAAMKLPVIDNACSTWDLFRDFAPEDGRGCLVSVHLQSSSDEEEEQEYNRKQDEVNDDNAKEEENVMRIGETAVLSESCSFTTSNDDDTSSSTTEPMSNISPNGRFKRSITYWEKGELLGRGSFGSVYEGISDDGFFFAVKEVSLLEGSQGNESISQLEQEIDLLSRFEHENIVQYYGTDKDESKLYIFLELVTKGSLLSLYRRYHLRDSQVSAYTRQILHGLKYLHDQDVIHRDVKCANILVDASGSVKLADFGLAKAAKLNDVKSCKGTAFWMAPEVVNRRGQGYGRPADIWSLGCTVLEMLTREIPYSDLECMQALFRIGRGVPPSVPDSLSKDARDFIMQCLQVNPDARPTAAKLLQHPFVKRPLPTHSGSASPHIGRRL >OMO72852 pep supercontig:CCACVL1_1.0:contig11350:35373:36088:1 gene:CCACVL1_17560 transcript:OMO72852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPKSSNSNGVVITVYVESCSAIPPLSESNPNKKIKPNPVSRKCKKSQGYDRRAQLLAYTQQLRAADDGNKEELQWINYDKSSKRKSKTKGQWKYQRMPPEADQIHEDYNHKPATATATANANNGKKKKKHRRPKFWRKLKRMLRGLSNVWQRKKGY >OMO72855 pep supercontig:CCACVL1_1.0:contig11350:48786:48866:1 gene:CCACVL1_17563 transcript:OMO72855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLFQLCNLLPRKHAGFFYGGVHHY >OMO72850 pep supercontig:CCACVL1_1.0:contig11350:15444:15527:1 gene:CCACVL1_17558 transcript:OMO72850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVAPPGQEGLGVTPEPHAYFHMPPG >OMO72848 pep supercontig:CCACVL1_1.0:contig11350:7928:10293:1 gene:CCACVL1_17556 transcript:OMO72848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVHLIWLTARGAAKSKLSDAAAANRVQEVK >OMO72853 pep supercontig:CCACVL1_1.0:contig11350:36981:37907:-1 gene:CCACVL1_17561 transcript:OMO72853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVSKLQKSDSRHRKVNPYLLPSSPKKAGKGSQKKKCCKASEKKEWEAAKCSVCLEFPHNAVLLLCSSYDKGCRPYMCATSRRFSNCLEQYKKAYTKVSSVGNGSVDNASLVSGAGDPTEKIEVPQLLCPLCRGQVKGWTVVKPVRKYLNRKKRACMQDKCSFVGTYKELKKHVRAKHPLARPRAVDPVLEEKWKKLENERERNDVISTIISSTPGAVVLGDYVIEPGHRGIYRDEYDSDDDSIDEDDDIIDLDSLHSSFLDYDLFEDDDFGLGRAFRAVSPVARTRPSRLVGLRRVPRVRGRNGGR >OMO72849 pep supercontig:CCACVL1_1.0:contig11350:10932:15055:-1 gene:CCACVL1_17557 transcript:OMO72849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVDNRQFRGLEPALNGVYSPLKPGPTPTHRPVKNVFLENGYDSSSDDEDNEIRNYYNDMIIRSNNELESSILDPRDEGTADKWIKRNSSMVRLTGKHPFNSEAPLNRLMHHGFITPVPLHYVRNHGPVPKGSWDDWTVEVTGLVKRPMKITMDMLVNEFKSREFPVTLVCAGNRRKEQNMVKQTIGFNWGAAGVSTSVWRGVLLHDVLKRCGIFSKKHGALNVCFEGAEDLPGGGGSKYGTSIKKEFAMDPARDIILAYMQNGERLAPDHGFPVRMIIPGFIGGRMVKWLKRIIVTTQESDNYYHYKDNRVLPSHVDAELANAEAWWYKPEYIINELNINSVITTPCHEEILPINSWTTQRPYTLRGYSYSGGGKKVTRVEVTMDGGETWQVCSLDHPEKPNKYGKYWCWCFWSLEVEVLDLLGAKEIAVRAWDETLNTQPEKLIWNVMGMMNNCWFRVKTNVCKPHKGEIGIVFEHPTLPGNQSGGWMAKEKHLEKSAADANPTLKKSVSSPFMNTASKTFSMSEVSKHNSADSAWIVVHGHIYDCTRFLKDHPGGTDSILINAGTDCTEEFDAIHSDKAKKLLEDYRIGELITTGTGYASDSSASSPNNSVHGASNMMSILAPIKEVTPTRPVALVPREKIPCKLVEKTSISHDVRVFRFALPSEDQVLGLPVGKHIFLCATIDDKLCMRAYTPTSSIDEVGYFELVIKVYFKGIHPKFPNGGLMSQYLDSLLLGSYLDVKGPLGHIEYTGRGNFMVHGKPKFAKKLAMLAGGTGITPIYQVVQAILKDPEDETEMYVVYANRTEDDILLKEELDGWAKKCDRLKVWYVVQESIREGWQYSLGFITESILREHIPEGSSDTLALACGPPPMIQFAVQPNLEKMNYDVKDSLLVF >OMO55439 pep supercontig:CCACVL1_1.0:contig14694:13962:24080:1 gene:CCACVL1_27245 transcript:OMO55439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cohesin loading factor MEAVAEGLWGLADYHEKKGEIGKAVKCLEAICQSQAAFLPIVEVKTRLRIATVLMRHSHNVNHAKAHLERSQLLLNSIPSCFDLKCRAYSLLSQCYHLVGAIPPQKHILHKALHLISTVPPDVSVMLWCCNFNSQLANALIIEGDYQNSISILESAYASAAQICYPELQMFFVASILHVRLLMQWDDQTSVEVERALQRCHHLWEAIPSDRRAHCLGLLFYNELLHIFYRLRVSDYKNAVKHVDKLDAAMKQDSENMNQLHQLNLELNALNQSLSRSDLPSRERSALSARKARLERQLTDFPTTNTTTSTSADNDFLEPTYFGNAKRALQDRLFLAPPPINGEWLPKSAVYALVDLMVIIFGRPKGNFKECDKRIQSGMHIIKEELIKLGITDGVREVDLKHSAIWMAGVYLMLLMQFLENKVAVELTRSEFLEAQEALVEMKNWFTRFPTILQACESIIEMLRGQYAHSVGCYSEAAFHYVEAAKISESKSMKIMCQAYAAVSYFCIGDAESSSQALDIIGPIYRMKDSFVGVREEASILFAYGLVLMKQQDLQEARNRLAKGLQIAHVQMGNLQLVSQYLTILGNLALALHDTGQAREILRSSLTLAKKLGDIPTQIWVLSVLTGLYQQLGERGNEMENDDYRRNKLDDLQKRLADARSSIHHIELIDREKLDIQQISELDMKRTMAGQSMRVDLDIPESVGLSTPMPVRSSSRLADLDARRRGKRKV >OMO55440 pep supercontig:CCACVL1_1.0:contig14694:31340:31575:-1 gene:CCACVL1_27246 transcript:OMO55440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFLLQPSEVQWAIFLGEMERKEDHVRG >OMO59857 pep supercontig:CCACVL1_1.0:contig13862:24973:29244:1 gene:CCACVL1_24571 transcript:OMO59857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexokinase MGKVVLGVAVGVAVAACAVAAVVVGRRVKSRRKWKRVVGVLKELEESCETTVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTYVDNLPSGFGDGFWLLAEQNFEFLGSLFYFILLGETRGFIKYMISGYGCDSVMPWSDNGLPLIGFVWKMFALWSEKGTYYALDLGGTYFRVLRVELGGQKSSILDQYVERQPIPEQLMSGRSEELFDFIAESLQQFVEKGNDSEQFSSRKELGFTFSFPVKQTSVSSGILIKWTKGFAIKDMVAKEVAGGLQQALVRKGLNMRVTVLVNDTVGTLALGHYHDADTVAAVIIGTGTNACYWERTDAIIKCQGLLTASGGMVVNMEWGNFWSSHLPRTSYDIELDAESPNSNDQGFEKMISGMYLGDIVRRVILRMSEESDIFGPVSSSLSSPFALTTPSMAAMHEDDSPELTEVARILKAVLEIPDVPLKARKLVVKVCDVVTRRAARLAAAGIVGILKKIGRDGSGGITGGRSRSDIKMRRTVVAVEGSLYTEYTMFREYLHEALHEILGEEISQHVIIKVSEDGSGIGAALLAASHSSRSVDNVQPL >OMO94072 pep supercontig:CCACVL1_1.0:contig07986:42023:42514:1 gene:CCACVL1_06195 transcript:OMO94072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTPPPVEHSLIGNSNKVHGGATGEFGRDLGFWPGSG >OMO94068 pep supercontig:CCACVL1_1.0:contig07986:18439:19889:1 gene:CCACVL1_06191 transcript:OMO94068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFSVPGLPLYEGLRVVDNDDAANVMCEYMVRHGSIDWYLEHEVEVPVEPSLLLEGPVVEPSITNDYSEEVRVDQGDTVEPTVDKVFDVNVEEVLVDPTDDEVDVEVEVMDDIDHPYFSPETQPPIDDDDTIDEEVAAARANFEEVLAEAMGAAEGFYLI >OMO94070 pep supercontig:CCACVL1_1.0:contig07986:38201:38347:-1 gene:CCACVL1_06193 transcript:OMO94070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKNSDPGQNPEPCQISLPPPLCTLSEFPIRESLARGAVSTMAHHAS >OMO94067 pep supercontig:CCACVL1_1.0:contig07986:15826:15903:1 gene:CCACVL1_06190 transcript:OMO94067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFREKMALRLQDSKKSQGDPYRE >OMO94076 pep supercontig:CCACVL1_1.0:contig07986:69088:81576:-1 gene:CCACVL1_06199 transcript:OMO94076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSVCQTRYNEEERVPLLLQCGHGFCKECLSRMFSASPDTSLPCPRCRHVSLVGNSVQALKKNYGILALLNSNPNSAGSNSRNNFDCDYTDEEEDDDDEEREDGENGDFFDDLAGGRINRGSHASSSGGGVAAGCGPVIELTAHPEVRLIRRIEGKGEGKGGRAGVETWAAVISGAHGGRGGRRCKHKVAVKKVGAMEGMDAEWVQGQLESLRRASMWCRNVCTFHGVLRLEDGSLGVVMDRCHGSVQSAMLNNEGRLTLEQVLRYGADIARGVAELHAAGVVCMNIKPSNLLLDASGHAVVSDYGLASILKKPACRKARIECDSSKIHSCMDCAMLSPHYTAPEAWEPVKKSLNLFWDDAIGISAESDAWSFGCTLVEMCTGSIPWAGLSADEIYRAVIKARKLPPQYASVVGVGLPRELWKMIGDCLQFKPSKRPTFNAMLAIFLRHLQEIPRSPPLSPDNGFVNFHGSNAVEPQVAPELEVLPDNPSHLHRLVSEGDVGGVRDFLAKISSRNNGSSISSLLEAHNADGQTALHLACRRGSAELVEAILEYSEADVDVLDRDGDPPLVFALAAGSPECVRALIRRGADVQSRLREGFGPSVAHVCAYHGQPDCMRELLLAGADPNAVDDEGESVLHRAVSKKYTDCALVILENGGCRSMAVSNSKNLTPLHLCVATWNVAVVKRWVEVASVEEIADAIDTPSPVGTALCMAAALKKDHEIEGRELVRILLAAGADPTAQDAQHGRTALHTAAMANDVELVKIILDAGVDVNIRNVHNTTPLHVALARGATSCVGLLLSAGADCNLQGDEGDNAFHIAADTAKMIRENLEWLIVMLRNPDAAVEVRNHSGKTLRDFLEALPREWISEDLMEALMNRGVHLSPTIFDVGDWVKFRRGITTPTYGWQGARHKSVGFVQTVVDRENLIVSFCSGEARVLVNEVVKVIPLDRGQYVKLRDDVKEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPTLTTAKHGLGSVTPGSIGIVYCIRPDSSLLLDLSYLPNPWHCEPEEVEPVTPFRIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIETDGLLMIDIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVSSPKYGWEDITRNSIGIIHSLEEDGDMGVAFCFRSKPFCCSVTDVEKVPPFEVGQEVYVMPSVSQPRLGWSNETPATVGKIVRIDMDGALNVKVAGRHSLWKLSPGDADRLSGFEVGDWVRSKPSLGTRPSYDWNTIGKESLAVVHSVQDTGYLELACCFRKGRWSTHFTDVEKVPSYKVGQHVRFRAGLAEPRWGWRGTQPDSRGIITSVHADGEVRVAFFGLPGMWKGDPADLEIEQMFEVGEWVQLRETASNWKSIGPGSVGVVQGIGYEGDEWDGNTFVAFCGEQERWLGPTSDLERVDRLIVGQKVRVKLSVKQPRFGWSGHSHTSVGTIAAIDADGKLRIYTPVGSKTWMLDPSEVELVEEQELCIGDWVRVRSSVSTPTHHWGEVTHSSIGVVHRMENGDLWVAFCFMERLWLCKASEMEWVRPFKVGDKVRIREGLVTPRWGWGMETHASKGEVVGVDANGKLRIKFQWREGRPWLGDPADIVLDGSSSG >OMO94074 pep supercontig:CCACVL1_1.0:contig07986:49873:50278:-1 gene:CCACVL1_06197 transcript:OMO94074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENFGLVLGKKRNYTRGLGFKGMTSATEERTRLLAENEANKKRADDLNVEVVKLSDNTQKQNEHIQTQQDVLERQDREIRKLNVVLAQLVKSGLLSFTDEEVDA >OMO94071 pep supercontig:CCACVL1_1.0:contig07986:41260:41370:1 gene:CCACVL1_06194 transcript:OMO94071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNFGLVDKVVGFFLKMLECLLAKASFQAERSEGQ >OMO94073 pep supercontig:CCACVL1_1.0:contig07986:46756:46854:1 gene:CCACVL1_06196 transcript:OMO94073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTPPSAEDSLFEKSDEVHGCGERRGNLAGI >OMO94075 pep supercontig:CCACVL1_1.0:contig07986:57109:67722:1 gene:CCACVL1_06198 transcript:OMO94075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNFPPPLTYSSNGVQLRSRQQGDQLLLEDVRMPPHQSIFSCRKGSKVKTMDMKRKEKNRSPNFMLYNIYCVGRLQQRYEAGCRLVAGCIPFRYRNSDETDENSEKVVEGGWENDETVEEAAVREAIEEAGVRGDLMDFLGYYHFKSKTHQDEFSPEGLCKAAMFALFVKEELELWPEQSTRTRSWLTIPEAVESCRHAWMKEALEDGFCETSIVSNLKRPLT >OMO94069 pep supercontig:CCACVL1_1.0:contig07986:21024:21902:1 gene:CCACVL1_06192 transcript:OMO94069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSATVNESRSASPPPNSQIPTPKLHTDPNSQILSSSQPTQNTATASVNARKTLPTAINKATKGAASSSATGSAAGSGPAREKAKASACPAVMATATVPARPASQDPCPNQKQKVKGKQKQPWKHPGVSFADSDGSIGASGSKGKKNQPPAAPNYNQAKNEWLDLASALKQADLSLVQKSACVGNIQKKARTNADSLNDGASSRTDMGPKEGYDV >OMO62214 pep supercontig:CCACVL1_1.0:contig13311:11439:11630:1 gene:CCACVL1_22956 transcript:OMO62214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEVLKPKDCLRLPKPMKQPRSYVPNPPNRTSRSQQNRKKHSPNTSPPSCLTVGPKFRLKIS >OMO59919 pep supercontig:CCACVL1_1.0:contig13851:24924:25940:1 gene:CCACVL1_24534 transcript:OMO59919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSYAVAGVGFLLIGFWESITSFNSSSNPNRTPSSSSSSSPISTQIKSTTISQTKENPFSSSSSFILVSIFSILIFLNSLVSIFDAFSSKDRVGSVLQLQVLSIALLFLLYSALGLLNNKKSSYFWPDSVLDLVLLFAFVEEFLLYYLQRKDTSGIENRYFDLLCVPIAICVVSTVLQLRSNRSIYSRLGRGIGLILQGTWFVQMGFSFYTNLIVHGCTLHEKSRGNFTIKCKGHPEYHRGRAIATLLFNCHLAMLVVLVVGILSIMGKRNGYTVSEDGLRYRPLGAEMQQMDNNVGNFTLDSDDDLDDGIREEGDSRKEKGAFVELAINGNGSHV >OMO59920 pep supercontig:CCACVL1_1.0:contig13851:28982:29896:1 gene:CCACVL1_24535 transcript:OMO59920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M10, metallopeptidase MGFKAILPIFSFTLFLFLLPLLFQAVLADPKDEHQSPFEFLQHLRGCQKGNKVKDLNKLKKYLEHFGYLSYDDKTHADDDDFDELLEHAIKTYQLNYNLNATGTLNPETVTKMMSPRCAVPDIMNGTSGMNSGKHKHFHTVAHYAFFPGRPKWPASKFRLTYAFRPGTRATAITPVNRAFQTWASKTHFRFRRITDFRRADIKISFERGNHGDGFPFDGPGGTLAHAFAPTNGRFHYDADERWSVSVTPGFYHLETVALHEIGHLLGLHHSSVPGAIMYPTFSTGQRKGLHSDDLRGIKALYRV >OMO93768 pep supercontig:CCACVL1_1.0:contig08063:13202:13793:-1 gene:CCACVL1_06361 transcript:OMO93768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRKLSPGEIPLECFLSFTNRISPTKKRWLEMLSDRNSFSSINFTINRVQMISVSTDLSFNISTSNEIFVGCIKLVLDSESSSKLTRIPIASESAAWGVKGPNKEEITDWYFQPKEEEKEQRDKEKNTMGNRGRHEEKKRIQFSVGFHPRNPSPATDRIPPSPVG >OMO93769 pep supercontig:CCACVL1_1.0:contig08063:31933:32400:1 gene:CCACVL1_06362 transcript:OMO93769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSLFPWTVSRLVDSCRKTASLIGDMGKGHRALVSERRRVGRDYNSEIVGDNTVGLIDQERLRLDSKLLLTDNVDEGKKRDSRMVGVLGKSQDFLEINRKARELRPVEIGNGSKNLYVNVENELSSNTMGQSPSGKKINMETMSGAKETDFGPR >OMO93766 pep supercontig:CCACVL1_1.0:contig08063:2991:3396:-1 gene:CCACVL1_06359 transcript:OMO93766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDAALRSAIVWLAGILVLVGIRTLSLKKLMITYAFGMVGIAGILLPDWDFFDRIDSIP >OMO93765 pep supercontig:CCACVL1_1.0:contig08063:833:1186:-1 gene:CCACVL1_06358 transcript:OMO93765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSNIKGVSNGFPLKIEVEKVVEKQVEINHDFLRNIFQKIDWKAFFDASKVMGFAELPEQAPEPSVLESDLDFLRKFHHALLELHLEEGALVCPETGRKFPVNKGIPNMLLHEDEV >OMO93767 pep supercontig:CCACVL1_1.0:contig08063:9808:11118:1 gene:CCACVL1_06360 transcript:OMO93767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDENGLKKGPWTPEEDQKLVHYINQNGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSILGNKWSAIATHLPGRTDNEIKNFWNTHLKKKLIQMGIDPMTHQPRTDIFASLPQLIALANLRDLVESAANPLDEYEHAVRLQAEAVQLAKLQYLQFLLQSAASIPNTNYSQNGINNADMQEAAFNLILNSDYSHHHIKETQVPNSAPVGNNATSQPLHHPINAESQVPFSFQTSLNSDENMGHCSNFTMVNQGDNQTDNNSSSWPLPSPTTLLPDQISLSHNNNNTTGGGDASSSSSYNNGAANSPYWPELYFEDSIMHEIS >OMO53449 pep supercontig:CCACVL1_1.0:contig15199:40628:44209:-1 gene:CCACVL1_28629 transcript:OMO53449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGNQQKNGKKRGSDAGVPPSDAKGRGKSNDIKVFPGEELPNGNPLGSPLTENVTKGHPTSTDNNSRQNYENSVTTERHGVPAEGLGQSVSSGSNSGDCIENAPSQEASSAREQNEISPDGNLHPENKKGVWGCFLNGFHLKDAMENLEFSDNVMVRNVRTSALSTLKVISRWLERQRPFFISLTTNIYNARDHVKVKIEQLYPVVLKWLMHIGNIVLLLSIVWLDCTLRGIDSFLRMGTTSLFSVVWCSIFSVIAMVGMLKFLMVLAVAAVTAFFVGFTLAMLVVASFGIIFLWFYGSIWTTLLVIFLGGLAFSFSHERLALLITTIYSVYCAWIYAGWLGLLLALNLSFISSDVLIYYLKNNINHQARSDGNPEQTSGMHNQPGFFSDESMHASFSDNVPGFSADRGPGVASTSGVDTEITSEDEVARLLNCTDHYSALGLSRYQNVDVNVLKREYKKKAMLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSLKRKAYDDELRREELLNYFHKFQNASQKNGGHGFFSSGFARSEADGEEPFGESRRIACKKCSNFHVWIYTKKLKSRARWCQECKDFHQAKDGDGWVEQSSQPFFFGLLQKVDAPSAYVCADSKIYDATEWYICQGMRCPPNTHKPSFHVNTSVTSKHATGKGSGQRGGKIPTPNLEETMTEEEFFEWLQNAVQAGVFGNFSGSTSAESPSAKAGSGSKTNGSNSSGGSGNKRKKKGKKQW >OMO53456 pep supercontig:CCACVL1_1.0:contig15199:76324:80142:1 gene:CCACVL1_28637 transcript:OMO53456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MVVASVPNPIGAEKVQPIELPVIDFSAERSEVSKQIVKACEEYGFFKVINHGVSSDMIAEMEEEGLNFFRKPVSEKQRAGPANPFGYGSKNIGFNGDIGEVEYLLFDINPLSISQRSISISNDPQKFSSAVSGYIEAVRGLACEILDLMAEGLWVHDSSVFSRMIRDVESDSLFRLNHYPPIPILDSHSHCTPTSTNNKVGFGEHSDPQILTILRSNDVAGLQISLADGVWVQVPPDPTAFCVNVGDLLQAMSNGRFVSVRHRAVTNCYKSRMSMAFFGAPPLHAWVTAPSELVTPLRPLLYNPFTWAEYKKASFSLRLGDTRLDLFRKTPLLPLPVD >OMO53443 pep supercontig:CCACVL1_1.0:contig15199:21540:24293:-1 gene:CCACVL1_28623 transcript:OMO53443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MPLRPSNRRKKWYKAGAVDSEEARRRREDNFVEIRKSKREESLLKKRRQGIFLLNHNLYHQQHFHELSTANLESLPLMVQGVLSDNPALQLEATTLFRKLLSIEQCSPIEQVIAAGVVPRLVEFLDNHDQPQLQFEAAWALTNIASGTSDHTHVVIENGAVPKFVQLLGSPSVDIREQAIWALGNVAGDSPKSRDIVLSHGGLIPLVGQLNEHSKLSLLRNATWALLNFCRGKPPAPFEQVKPALQALKYLIQLKDEEILTDACWALSYLSDGTNDKIQAVIEAGICPRLVELLHHPSQTVLVPALRTLGNIVTGDDSQTQVVIDNKGLPCLYKFLSQNYKKSIKKEACWTISNITAGNTSQIQAVIEANIINPLLHLLQHAEFEIKKEAAWAISNATSIGSREQIQYLVKQGCIKPLCDLLVCSDPKIVTVCLESLENILKIGEADKESGNSMVNIYTEMIDECDGVDKIENLQSHDNNEIYRKAVKILERYWLEEQVEDSDFSFLVNQSNHPFGGIKFG >OMO53453 pep supercontig:CCACVL1_1.0:contig15199:53168:56216:-1 gene:CCACVL1_28633 transcript:OMO53453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MGSIIVTPSPSLAMACLFLSLLIAGIIKASGTGTYLRAQECDRVMNLPGQPSTPSISQFSGYITVNEEHGRALFYWFFEAQSQPSQKPLLLWLNGGPGCSSIAYGAASELGPLRVGKNGEALHFNQYSWTKVANLLFVESPVGVGFSYTNTSSDLTNFDDAFVAEDSYSFLVNWFERFPQFKTHDFFISGESYAGHYVPQLAELVFDRNKDGTQYPFINLKGFIVGNPITNDWHDYTGIVDYAWSHSVIPDQLYHEIKQVCDFKPLIWSDHCNDLVDQLFGNYSDIDIYDIYAPKCVSNRTSSSSSVSKVNIYSMQRMRIRGGYDPCYSDNAQDYFNRADVQASLHANTRGGKYQSCSDSVLMRYNFSVLSVLPIYHKLIKGNLKIWIYSGDTDGRVPVISSRYCVEALKLPLKSPWRSWFHKHQVGGRMVEYDGLTLVTVRGAGHLVPLTKPTQALSLIRSYFSGQPLPATAI >OMO53457 pep supercontig:CCACVL1_1.0:contig15199:81518:84303:-1 gene:CCACVL1_28638 transcript:OMO53457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-hairpin glycosidase-like protein MNGSGVHNLSKLHSKAVDRRRFHSYKHSKSQIIGYTYKCAVDLNRRAFSVSDSSWGQSRILTGSFRSNKGRSRDVLIIPKVASDFRNHSTSIEPHVNDKNFERIYIQGGLNVKPLVIERIETDNNGLVKEDNNTGIDVNESGVNIDNVKGLNLTEPKIEREVSEVEKEAWKILRDAVVNYCGNPVGTVAANDPADKQPLNYDQIFIRDFVPSALAFLLNGEGEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTAPLDGSIDAFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYSLQERVDVQTGIRLILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLTVNDATKNLVAAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSTDAINKFNIYPDQIPSWLVDWIPDEGGYFIGNLQPAHMDFRFFTLGNLWAIVSSLGTTKQNEDVLNLIEAKWDDLVANMPLKIIYPALESDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGKPELAQKAVALAEERLSADQWPEYYDTRSGRFIGKQSRLYQTWTVAGFLTSKMLLQNPEKASQLFWEEDYELLETCVCGLGKTGRKKCSRLGARSETRGQ >OMO53451 pep supercontig:CCACVL1_1.0:contig15199:48676:49158:1 gene:CCACVL1_28631 transcript:OMO53451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQQVTQEMKARAEVCYGDETCREKFSFLLEQKGLPSGLLTLQDIQECGYVKETGFVWLRHNKKRDLYKFEDVVISFDDEITAFFEPNKIKKLTGVKAKGFMLWVTLTEIYVDQEEESESPLASITFKTLAGFSRSFPASVFKVQTVSFHDEADEAKDGN >OMO53454 pep supercontig:CCACVL1_1.0:contig15199:58037:59406:1 gene:CCACVL1_28634 transcript:OMO53454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S13 MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >OMO53442 pep supercontig:CCACVL1_1.0:contig15199:21074:21157:1 gene:CCACVL1_28622 transcript:OMO53442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRGPGPGQVRASQAASIIHVLDED >OMO53444 pep supercontig:CCACVL1_1.0:contig15199:25474:25671:1 gene:CCACVL1_28624 transcript:OMO53444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNGIRVKACSPNPMARNQWAGNGIMPARNNMVWPCGCGDHSQQGINVGDYQHTIQKFRRQLIY >OMO53458 pep supercontig:CCACVL1_1.0:contig15199:95063:96830:-1 gene:CCACVL1_28639 transcript:OMO53458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKGRNCGGNVQISEEEMDLRRGPWTVEEDFKLINYIATHGEGRWNSLARCAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLVERIQAAAAATATSTTTSASVTTPTANLGTGQMVLPPLGNNDFGTSSSNYTPENSSTAASSDSFGTQVSPVSDLTTDYYSNIPVNNNPNPDNYFQVGYSDSLISPAANYYNNHGMDFQSMDQHNNPWLDGAEAADNFLNADDYFFLQQQFNFNM >OMO53446 pep supercontig:CCACVL1_1.0:contig15199:33802:35122:1 gene:CCACVL1_28626 transcript:OMO53446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGYSPDAVSYTTIISSMCKLGKVDEARELAMRFKSYVSVYNALISGVCGEHKLKQAFGLLYQMLLERVDPDVRTYSILINSLSNEGNVELSLAVLANMFLRGCSPNIYTVSSLIKGYFVAGRVHEALDFWKQMAREGFEANVVVYNTVIHGLCLNGKVA >OMO53441 pep supercontig:CCACVL1_1.0:contig15199:19846:20067:1 gene:CCACVL1_28621 transcript:OMO53441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEYLVRPVARAEDEEDASDFEPEENGEEDVDEDDEEGGKIEAPPKRKRSDRDDSGSDDDDGGEDDERPSKR >OMO53455 pep supercontig:CCACVL1_1.0:contig15199:59651:61150:-1 gene:CCACVL1_28635 transcript:OMO53455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MAVTALFLSATKLAGIIMTLSVAANAFSFSRYRKKNLRRFKSPIDESSDTLADFNVHGEGGNEFFFGLATAPAHVEDRLHDAWLEFAEENPCHKSESADEPPQADAVIGASTADGTSHPALPNKKTKNKKPLKIAMEAMVRGLHKFIEVEEEEGKMPALNEECHHNVAAWHNVPHPEERLRFWSDPDTELKLAKDTGISIFRMGIDWSRIMPQEPVNGLKDALESSAIAQMYPKFSLSPFTYLSFDVSQFSGYITVNEEHGRALFYWFFEAQSQPSQKHLLL >OMO53445 pep supercontig:CCACVL1_1.0:contig15199:26820:30142:1 gene:CCACVL1_28625 transcript:OMO53445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVGPVTPGQVSFFLGIFPVISAWIYAEYLEYRKNSIAAKARHSDVNLVEMGNDAVKEDDRAVLLEGGGLQSASPKARTSSSTLSPIFRFLMMDETFLIENRLTLRAISEFGILLVYYYICDRTDVFASSTKNYNRDLFVFLYFLLIIVSAITSFKIHHDRSPFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVIFCCVILNNSYMLYYICPMHTLFTLMVYGALGILNKYNESGSVIALKIIACFLVVILVWEVPGVFEILWGPFTFFLGYTDPAKPNLPLLHEWHFRSGLDRYIWIIGMIYAYYHPTVERWMEKLEEAEVKRRVTIKTAVGVIALTVGYLWFEYIYKLDKITYNKYHPYTSWIPITVYICLRNITQWFRSYSLTLLAWLGKITLETYISQFHIWLRSGVPDGQPKLLLSLIPDYPMLNFMLTSSIYVAISYRLFDLTNILKIAFVPSKDDKRLLNNLITGVVISSILYSLSFVLLRIPQMLV >OMO53447 pep supercontig:CCACVL1_1.0:contig15199:35939:37100:-1 gene:CCACVL1_28627 transcript:OMO53447 gene_biotype:protein_coding transcript_biotype:protein_coding description:desiccation-related protein PCC13-62-like protein MASPFSSAPIVFISSLFFSLSLLLPCAYSAPPRKPTANKTSSSIPKSDVNLLEFPLNLEYLEAEFFLFGALGYGLDKFAPNLTGGGPSPKGAKKANLGPWTRDVITQFAYQEVGHLKAIKKTVKGFPRPQLDLSPSVFAKVIDHAFNKTFKPPFDPYANSVNFLIASYLIPYVGLTGYVGANPKLENAASKRLVAGLLGVESGQDAIIRGLLYDRAVDKVKPYGITVAEFTNHISHLRNRLGHAGWKDEGLIVPKFRGAEERIRGNVLAGDEFSMAYDRTPEEILRIVYGTGNETVPGGFFPTGANGEIAKSHLNRAHRHK >OMO53452 pep supercontig:CCACVL1_1.0:contig15199:50162:52695:-1 gene:CCACVL1_28632 transcript:OMO53452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRPSQGQLSKGDQVEVKRSNGAYYTATELRSPSPCQKNMVFVEYQAVYEDDDVEGSKPFRGYVDLSHVRPSPPLELNRCFKHGDSVDAYWENGWRKGVVKEILENSKYVVCFNGDGDLVPKQEEECEIEQYNLRLHREWDDGSWVPPLTEPAKPYYAKNVDKSRKVKVKIVFGKRSAANATFQKGDEVEVTSEEEGFRGSWFTAVVVECIGNGKYIVEYLTLRTEQDLPLREEAEERHVRPRPPELLSSATFNLHEAVDAYYNDGWWVGKISRVLNGSKYAVYFSQSNEELEFDHSNLRIHQDWMNGKWTFASENASNILSYDIDMQEKSQPVVSNSIKLPQKMDVKGKRPPEKCPIGMQVEVKSDEQGYEGSWFSAVIVDSLGSDKYLVEYQTLKTEDQDALLREEAYASYIRPCPPQFQHAYPYKLLESVDAWYNEGWWTGRVVRVLTGSRYSVYFWTSNEELEFDHADLRPHLEWIDGKWVASTGAS >OMO53448 pep supercontig:CCACVL1_1.0:contig15199:37543:39969:-1 gene:CCACVL1_28628 transcript:OMO53448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6 MESLLHSSANVVAPTLPKLQIGSGFFGNQSSFLKFPQENSSLGRRNLLVVEAKKNKNNDDKQDAHSFIPKPDETTGFFPEAVLLKEKKVQEDGRLLPEFADDEEQELYESLNLQLQSALNVERMRHYEVVYLIHEKHAEEVESVNEKVEDFLREKKGRVWRLNDWGLRRLAYTIKKANSAHYMLMNFELEAKWINDFKTMLDQDERVIRHLVIKRDEAITEDCPPPPEWHTIRADGDDYEEEDDDEDYDDEYEVEDDEDLEEDWDGEDDVDIFEGADVEGAIIAGLDEEEEEDLYDKSTSVGVE >OMO53450 pep supercontig:CCACVL1_1.0:contig15199:46439:47506:-1 gene:CCACVL1_28630 transcript:OMO53450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFPDEVILQILARLPVKSLFKAKTVCKLWYRLASDKYFIRLYNEVSVKNPMVLVEISDSTESKSSLICVDDLRGVSEFSLEFLKDRVKVRASCNGLLCCSSIPDKGVYYVCNPMTREFKLLPRSRERHVTRFYPDGEATLVGLACDLSRNKFNVVLAGYHRTFGHRPDGTFICLIYDSDSNKWRKFVSFQEDHFTHMNKNQVVYVKGALHWLTGSCSYILALDLDYEVWRKISLPDEVSYGTGNRVYLLELSGCLSLTQISDAWMKIWMIKDYEKEIWCMVDRVSIRCIKGLVPGIFPITQTGEYVFLATHKQVLVYHQRSKVWKEMYSVKNNATLPLWFSAHAFRSTIFPCD >OMP04820 pep supercontig:CCACVL1_1.0:contig05626:5824:5910:-1 gene:CCACVL1_02131 transcript:OMP04820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEICDGNGDNVFVHELMKLAGFIKNT >OMO63792 pep supercontig:CCACVL1_1.0:contig12893:4590:6088:-1 gene:CCACVL1_22269 transcript:OMO63792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSCFCAFLVLFLVAFEPTCKVKATDIPPPLCRRVVGSSAELIEFAVNLEYLIAEFFLCISRGEGINIIAPDLVHGGPISIGCATANLDSVTRSIFEEFGIQTVRILRTIFQTSKLIKEIPMPQIDIRAVTLRRLVTGAFGGSLNPPFNVYGNTTNILPSSTLIVSMARQYYIGISPYIVGDEFQSLASLISNTESAKFGALRTLLYLRENETVVPYNFTLGTLVARAALSVNRLGMCGVKNEGLTLQFEFGNATNIIPFNPNVTAASRTAREVLRILYGTGNATLPGGLFPRGVNGRIAEKIVNLKLN >OMO63795 pep supercontig:CCACVL1_1.0:contig12893:20938:22151:-1 gene:CCACVL1_22272 transcript:OMO63795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSCLYTFIDLLLLVQFLSIRSVKGNDLPPPHDQCRRVNLGTGAELIQFSLNLFYLETTLFLYASTGKGIDAVAPDLVQGPLPIGVRIANLDNITRIIIEEFGLQGVGIIRAILNTKLVDPIKMPLVNLSVEEMEHFVHLALNATVLTPPFNAYANKLNFLPTSASITSLIRQYYVGILPHLANNNDLQLATSILGTVSARFGVFRTLLYQIADVTMSPYPFNVATLVDGIAKLINRHGLCGVKRRLIVALELGAEKRSSINLIPGDVNSLAFSRTEVEILRIVYGTGNATLPAGLFPRGVNGKIAEAIARGRLG >OMO63794 pep supercontig:CCACVL1_1.0:contig12893:14690:15872:-1 gene:CCACVL1_22271 transcript:OMO63794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSCLLYAFLVLFLLAFEPTSRVKATDIPPPSCRRVVGSSAELIQFGMNFLLSQAEFFNCAATGEGINVIAPDLVQGGPVSIGCTRANLNNVTRAIFAELGFLSVGLIRFILQASRLINPIPVPQIDISTVTLGGFANAAFGANLRPPFNIYANTINILPGSAAFLSLTRQYYIGISPYIVGDELQAVVSSIVSAQSAAFGVIRTLQYQNENVTMVSYNFNIATYVDRLAQLINRLAMCGDKDEGLKLQFQFGTTTNIIPFTPNVTAASRTALELLRVFYGTGNASLPGAIFPQGVNGRIAEKIVNLKLN >OMO63796 pep supercontig:CCACVL1_1.0:contig12893:24097:25115:-1 gene:CCACVL1_22273 transcript:OMO63796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFHFEANLYLYASTGHGIDAVAPGLVQGPAPIGAQIANLDATTRKIIEEFGLENVGIIRKILETKLVDPIQGPLSNLSVEALGGFVSAAFNADLTPTYNTYATTINFLPAAAAVNSLIHQYCVGILPHLANDDLQVLATSILGTVSARFGALRTLLYLNANVTVSPYHFNVATLVDRVAQLVNRLAMCGVKDEGLIVALQLGAENRTTTNVIPGDVNSLAFSRTEREILRSFYGTGNATLPGGIFPHGVNGEIAEEIVRERLS >OMO63793 pep supercontig:CCACVL1_1.0:contig12893:11020:12223:-1 gene:CCACVL1_22270 transcript:OMO63793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSCFCAFLVLFLVAFEPTCKVKATDIPPPLCRRVVGSSAELIEFAVNLEYLIAEFFLCISRGEGINIIAPDLVHGGPISIGCATANLDSATRAIFEEFGIQTVRILRTIFQTSSLIREIPMPQINISVETLRSLVYAALGVNNLTPPYNIYANKINLLTASTTFVSLAQQYLRGISPYIVGDEFQQLTSSVLSTVSGNFGVLRGELFRNENVTVVPYNFNLGTLVERGAQLVNRLAMCGDKDEGLTLQFEFGNATNIIPFTPNFTAQTRTAREVLRALYGTGDATRPGGLLPRGATGRIAEKIVTLKLN >OMO63791 pep supercontig:CCACVL1_1.0:contig12893:61:1312:-1 gene:CCACVL1_22268 transcript:OMO63791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRSCFSAFGLLLIAFQFITVMGANLPSNKCGPNVAATDRDLINFALNLEFLEAEFYLFGALGKGLDNIEPSFAQGGPPPVGGQVANLDPKTRRIIEEFAYQEIGHIRAIVKAEGGFQRPLLNISKEVFATIVNQAMNTTLSPPLNPYANSVNYILASYIIPYVGLVGYVGTIPNLVRRDSRALVASLLGVEGGQDAVFRTLLYERAPNETVHPYRFTVAEFTNPISEFRNRLAMCGLKDEGLMVPLQLGAENRTTSSILSADANSLSYSRTPLEILRTIYGTGDESKPGGFYPNGGNGRIAKSFLSRRH >OMO71422 pep supercontig:CCACVL1_1.0:contig11647:9938:10135:-1 gene:CCACVL1_18226 transcript:OMO71422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARRQINEWEIARDWKRSHNIYWKRSQNIYWFRSGIVACIKCNGEFFFWVAVNESFWLVGPGPE >OMO70348 pep supercontig:CCACVL1_1.0:contig11837:3949:4017:1 gene:CCACVL1_18962 transcript:OMO70348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRESESIITKHRQLPKLAML >OMO70354 pep supercontig:CCACVL1_1.0:contig11837:24224:25576:1 gene:CCACVL1_18968 transcript:OMO70354 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex, subunit Nop10 MYLQFYINDNGEKVYTTKKESPLGMPTQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQQSPLKY >OMO70349 pep supercontig:CCACVL1_1.0:contig11837:5460:10032:1 gene:CCACVL1_18963 transcript:OMO70349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVKKKSIPILPWMRSPIDVSLCENCPLNLVPCLDPRLEAALENMGISSLFPVQVAVWQETVGPGAFERDLCINSPTGSGKTLSYALPIVQMLSTRAVKCLRALVVLPTRDLALQVKEVFAAIAPAVGLTVGLAVGQSSIADEISELIKRPKVEAGICYDPDDIAYELQSSVDILVATPGRLMDHINSTKGFTLEHLCYLVVDETDRLLREAYQSWLPTVLQLTQSNDESLFPYPNSFLSSTFGSLKTMRKFGVERGFKGKSHPRLVKMVLSATLTQDPSKLAQLSLHHPLLMTMGKKRYQLPEKLESFKLVCESKLRPMYLVALLQELGEEKCIVFTSSTESTHRLCTLLNHFGDLSIRIKEYSGLQRQSIRSKTLKAFRDGKVQVLVSSDAMTRGMDVEGVRNVINYDMPPYIKTYIHRAGRTARAGQAGRCFTLLRNHEVKRFKKMLQKADNDSVPEYSVPSSSLESLRAAYKSAVESAANPNISTTLRHLWGSFAAFHSLLPS >OMO70355 pep supercontig:CCACVL1_1.0:contig11837:26171:26305:-1 gene:CCACVL1_18969 transcript:OMO70355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGCVWGLLFQQAAAADQERSDFVNVPSISYLVLLFMSVFLFS >OMO70356 pep supercontig:CCACVL1_1.0:contig11837:30881:31081:1 gene:CCACVL1_18970 transcript:OMO70356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVFFLTTLHETVLCSPKPKVVTSPLTPFSAVVPARFLAVARARLNIHITLETILEEENEEEIMDQ >OMO70350 pep supercontig:CCACVL1_1.0:contig11837:12084:14466:-1 gene:CCACVL1_18964 transcript:OMO70350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPPRTRKRVHATIPRRAPDGSAFKNCDTCGETVAIALAGWHECENKKKELKRFKGVSGIHKRGMHLDFPENIPQLTNLKQLDLKVTEYKYQSILPCLELIEACPMLSRLKMKTSLHEQFQGSLDLEWKSPKESHKSLELVEMVGFLINLIHNTVSLKTIIIDAPKEINYFNFTVWDYKVEARKFADERLKMALKMTPSVEVVIK >OMO70351 pep supercontig:CCACVL1_1.0:contig11837:15104:17044:-1 gene:CCACVL1_18965 transcript:OMO70351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNQLNHGHAAAPLPKSTRLDFPGNFPQLINLRQLQLEVTEYEHQSILPWFDLIEACPMLSRLKMKTSLCGQFKGSLAWKAAKEPHKKLQVVEMVGFIAFSTAGDFLINLIHNTMSQSLKKIIIVPHKYRAFTFNERDYGVEARIFAKERLKVALKMAPTV >OMO70347 pep supercontig:CCACVL1_1.0:contig11837:222:296:1 gene:CCACVL1_18961 transcript:OMO70347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKRWRRSSGRGIRHGTHEIGEING >OMO70353 pep supercontig:CCACVL1_1.0:contig11837:22323:22622:-1 gene:CCACVL1_18967 transcript:OMO70353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSRLTRFVMEVAPPQLVSVMRHRTRKMLDTINEEDHRDGINNDHPLSPTSKSSSNISMAAMAVSSSSSSSTAVTTTTTMANSKYFYKGVSGFSIFNN >OMO70352 pep supercontig:CCACVL1_1.0:contig11837:17461:21650:-1 gene:CCACVL1_18966 transcript:OMO70352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKNRLNHGHAAAAFCKVRMIHHFKGSKEQWKAAKEPHKCLKVVEMIGFAADSTTTSLGRIRFKGSLEWKAAKESHKSLQVVEMVGFIAYSTAGDFLINLIHNTVSSLKKIIIDPAKYRAKDYGVEARKFAEEHLKMAPRVEVVIKQQ >OMP06025 pep supercontig:CCACVL1_1.0:contig05087:4692:4790:1 gene:CCACVL1_01752 transcript:OMP06025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLLEIEGDDISKESDLQSQVDTGSRKSLD >OMO98586 pep supercontig:CCACVL1_1.0:contig07079:23027:23128:1 gene:CCACVL1_04178 transcript:OMO98586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGLDLQLLSFNGGGLSLRYGGPVVVRSSVGGG >OMO98585 pep supercontig:CCACVL1_1.0:contig07079:10500:10613:-1 gene:CCACVL1_04177 transcript:OMO98585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHVNTQEANRQNKVCKYYTHSTVARLTSETKSEREG >OMP05905 pep supercontig:CCACVL1_1.0:contig05140:6844:6945:-1 gene:CCACVL1_01794 transcript:OMP05905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDGITHLGFGEFQEKKACDFQKLIFTIPIKSE >OMO90056 pep supercontig:CCACVL1_1.0:contig08550:5608:5673:1 gene:CCACVL1_07529 transcript:OMO90056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSAFNLMPEYPLSCNLDKNDTE >OMO80814 pep supercontig:CCACVL1_1.0:contig10271:66747:68362:-1 gene:CCACVL1_12734 transcript:OMO80814 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MSLEEGGPESPESDVTINMMSFEDTELTLGLPGEGRSSAAVVNGVKCCAKRGYIETVDLNLGSCSSKPRGHGDTHVHDSGPGKPPAAKSQVVGWPPVRSSRKKAMNESCKYVKVAVDGAPFLRKVNLQAYSDYQFLIKDLENLFSSSFTIRNCGTSDDNKEESKLKDGAKGMEYVPTYEDKDGDWMLVGDVPWKMFIESCKRIRLMKSSEAAGLGNARFQHYN >OMO80819 pep supercontig:CCACVL1_1.0:contig10271:98231:115749:-1 gene:CCACVL1_12739 transcript:OMO80819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWVEELKFPHLHIHITIDQIIPVMPAGPVHAVPGSSLYLSNLDDMIGARVFTPTVYFYRPNDSSSIREPVIETLCDALARVLVPYYPLSGRLREAKNGKLEVFFGKEQGAVVVAAHTEMALAELGDLTVPNPAWAPLIYRFPNEEPYKVLDMPLVIAQVTLFSCGGFSLGLRLCHCICDGLGAMQFLGAWAATAKSGALVTNPEPCWDREYFQPRNPPVVKYPHPEFMRIDEGSSLTMSLWKAKPVQKCYKSQSHRTRPAQRVGLWFFLRHNNAPPLHTLQYLDTVATRPLPLTRADPPRPTSSMDQHHPSTTPPPQEEPEYLARYIVIKHSWRGRYKRILCISNVAIITLDPSTLSVTNSYDVSTDFEAAAPIIGRDENSNEFNMNVRTDGKGKFKAIKFSSRYRASILTELHRIRWNRLGPVAEFPVLHLRRRRADWVPFKLKVTYVGVELIDLKSGDPRWCLDFRDMSSPAIVLLGDGYGKKNVDQGGFVLCPLYGRKSKAFQAASGTTNSAIISNLTKTAKSTVGLSLSVDNSQSLTATEYIKQRAKEAVGAEETPFGGWSVTRLRSAAHGTLNVPGLSFSVGPKGGLGEHGDAVSRQLILTKASLVERRPDNYEAIIVRPLSAVSSLVRFAEEPQMFAIEFHDGCPIHVYASTSRDSLLAAICDVLQTEGQCPVPVLPRLTMPGHRIDPPCGRVTLQFGLQRPLADMESASMHLKHLAAAAKDAVAEGGSIPGSRAKLWRRIREFNACVPYNGVPPNIEVPEVTLMALITMLPAAPNLPPESPPLPPPSPKAAATVMGFVACLRRLLASKSAASHVMSFPAAVGRIMGLLRNGSEGVAAEAAGLVAALIGGGPGDTNVLTDSKGEQHATVMHTKSVLFSQPGYVIILVNRLKPMSVSPLLSMAVVEVLEAMICDPHGETTQYPVFVELLRQVAGLRRRLFALFGHPAESVRETVAVIMRTIAEEDAIAAESMRDAALRDGALLRHLLHAFFLPAGERREVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSDGVPEDSIQEGSLTSKRQRRLLQQRRSRTGRGITSQEQSLPSVNNFEAGDAARQINAGFHRVSDVYPKSAIDPSSSQFASQSPAAHTMESAASDAYSAGISQNGHSTIAASSDSPSANVHGAPETNASNSVDSDGIGVGPHNTGLPAPAQVVVENTPVGSGRLLCNWPEFWRAFSLDHNRADLIWNERTRQELREALQAEVHKLDVEKERTEDIVPGGATGESMTGEDSVPRISWNYSEFSVGYASLSKEVCVGQYYLRLLLDSGSSGRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDEMGASDDWCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHYNTIGPFEGTAHITVLLDRTDDRALRHRLLLLLKVLMKVLANVESCVLVGGCVLAVDLLTVVHEASERTAIPLQSNLIAATAFMEPLKEWMYTDKEGAQVGPLEKDAIRRLWSKKAIDWTTRCWASGMQDWKRLRDIRELRWTLSVRVPVLTPTQVGEAALSVLHSMVSAHSDLDDAGEIVTPTPRAMLSGEPTIVETAAALLKAVVTRNPKAMIRLYSTGAFYFALAYPGSNLLSIAQLFSVTHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPVAFAAAMVSDSDTPEIIWTHKMRAENLICQVLQHLGDFPQKLSQHCHSIYEYAPMPPVTYPELRDEMWCHRYYLRNLCDEVRFPNWPIVEHVEFLQSLLVMWREELTRKPMDLSEEEACKILEISLEDVSSDNADQKYPHEVTGEISSISKQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGSVLEPFKYAGYPMLLNAITVDKEDNNFLSSDRAPLLVAASELVWLTCASSSLNGEELVRDGGIQLLATLLSRCMCVVQPTTPATEPSAIIVTNVMRTFSVLSQFETARVEMLEFSGLVEDIVHCTELELVPAAVDAALQTIAHVSVSSDLQDALIKAGVLWYLLPLLLQYDSTAEESDTTESHGVGASVQIAKNMHAVRASQALSRLSGLCGDENSTPYNAPLVNALRALLTPKLASMLRDQMPKDLLSKLNTNLESPEIIWNSSTRAELLKFVDQQRASQGPDGSYDLKDSHVFAYEALSKELFVGNVYLRVYNDQPDFEISEPEAFCVALIDFIASLVHNQSSMSSDVQEKLTISDSPLKSEHQSDTTGSFIDEHQIPDDSLVASDKKDEHQISDDSLVASDKKDEHQIPDDSLVASGKKVKNKEEKVLIKNFRFGLTSLKNLLTTYPNLASIFSTKEKLLPLFECFSVPVASESNIPQLCLNVLSLLTTYAPCLEAMVADGSSLLLLLQMLHSTPACREGVLHVLYALASTPELAWAAAKHGGVVYILELLLPLQEEIPLQQRAAAASLLGKLVAQPMHGPRVAITLARFFPDGLVSVIRDGPGEAVVSALEQNTETPELVWTPAMAASLSAQIATMVADLYREQMKGRVIDWDVPEQASPQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYESQSVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAYEGRRETMSSGEMKDGNNMADRTYESDEQPEQTPQERVRLSCLRVLHQLAASTICAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRLVSAGNRARDALVAQGLKVGLVEVLLGLLDWRAGGRNGLCAQMKWNESEASIGRVLAIEVLHAFATEGAHCIKVRDILNASDVWSAYKDQKHDLFLPSNAQSAAAGVAGLIESSSSRLTYALTAPPQTAQARIPASSVSDSNGS >OMO80808 pep supercontig:CCACVL1_1.0:contig10271:6023:6390:1 gene:CCACVL1_12728 transcript:OMO80808 gene_biotype:protein_coding transcript_biotype:protein_coding description:lupeol synthase-like protein MWKLKIAEDGPLLSTVNNHVGRQHWEFDPDAGTPEERAEIERLRLEFTKNRFNVKQTNERKPMRANSSSSETE >OMO80813 pep supercontig:CCACVL1_1.0:contig10271:51182:53169:-1 gene:CCACVL1_12733 transcript:OMO80813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory B subunit, B56 MLKQILSKLPRKSQKSDSLDSAGVDSGNHNSNSSNGVQCTNIGNSITSRLSVVKRVSTAVFPSSIMAGVEAVEPNLSFKDVSNPQKQNLFISKLNLCCEVSDFSDPDKTTAEQDLKRQTLIELVDFVSSGSAKFNEPAIAAMCKMCAINLFRAFPPKYRSNTTSGEAEDEEPMFDPAWSNLQLVYDLLLRFVSYSSLDAKVSKKYVDHSFILRLLDLFESEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIIYRFVFETERHNGIAELLEIFGSVISGFAIPLKEEHKIFLWRALIPLHKPKSVGVYHQQLTYCVVQFIDKDPKLAYSVIKGLLKYWPVTNSQKELMFISELEEIMEMISMAEFQKIMVPLFRRIACCLNSSHYQVAERAHLLWNNEHILSLITQNRQVIFPLIIPALERNSQNHWNQAVLNLTQNIRKMFCEMDEELVLACQRKLEEENSQLSEAAEKRKLTWERLETAASFQPATANIIPPVKPAACPVAC >OMO80811 pep supercontig:CCACVL1_1.0:contig10271:40907:42000:-1 gene:CCACVL1_12731 transcript:OMO80811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSLLFYSYHSSGAPNPIFATSWTVAKALAAQIIHCLALALNAIVIQAGPRSDNLFNVTTLPCFKDCALGADCKGVELGPPAAAPPPPNSNSSPPNKPPSSHGLRGLQIESTFIDRLTLLTLAAALFLPWL >OMO80816 pep supercontig:CCACVL1_1.0:contig10271:92045:94790:1 gene:CCACVL1_12736 transcript:OMO80816 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase MEQPPGNLHEKNDQVIDIPNDVVSGNSQEPVAVVQPTNPSNGSSHGNFTFISSFCFWVYIRLIFNVSQIVASVAVLVVSRNEKSQAPLSTWIVGYAVGCAACIPILFQHCFLPYPTLSRSLERFKWMLKAYFFIWFVIGNVWLFGGYSSSGAPNLRRLCVMFLVFSYLEFTLPFILCAVLACCCGDLGLIRGAPSECINSLPTYTFKLQKDGSGSIRKINSEVKGGVVEAAEAEKDPAISGDDAMKEALNEKK >OMO80812 pep supercontig:CCACVL1_1.0:contig10271:43114:49395:1 gene:CCACVL1_12732 transcript:OMO80812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQSSASTEAVVETLRARGWCFGDLDQVRAVIVLRTALSDDLDSCSVADSAESELINMDLRTIGGKSLPESSLRKVSHIVGPKVLQILSVRDISRSSIEEFSANSSSLRLLRLRLTDGHSEITAVEYSHVPAIPDNVVPGTKIRLENKAIIHGGILCLNPKVVHLLGGVVQSLYEEWEMNQKYSGFSRSSLRSTQESGNGGPPPFEKLQIEAPSSSRVVQPAKSSYNSESMLKRHGPTAATSARNNDRWSRKNQTVEAKSNNADNDLRIDSVAEKSEEIPSSSETRPKEVAESVPLQNQAASQKLLQKMSHSNLDGRHSRGRKFRGKGKQEEPAVLTLDEWEKMKAGAKPQIRHELPETSGDEDLAWQLQAQLDLEDSHVQRMHDGEAENLKRSMFNYERDDIAEMEKALIQPVIAVLISSLIAIRSYRRKSLDLSGALSGVIVMAIHFAVGYRFGAILLAFFFSSSKLTKVGEEKKRQVDADFKEGGQRNWIQVLFNSGIATVLSVMIWNLTGWEDKCLDSKESVVITALIGGIIGHYSCCNGDTWSSEIGVLSNDQPRLITTFKPVRRGTNGGVTKTGLLAALAAGSVIGLTFVLVGFFTTRCSSGVAMKQLLVIPLSAVAGLLGSIIDSLLGATLQFSGFCTVRNKVVGKPGTTVKKISGLSILDNNAVNLVSILLTTLLTSMACVYIF >OMO80809 pep supercontig:CCACVL1_1.0:contig10271:23594:33642:-1 gene:CCACVL1_12729 transcript:OMO80809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MKSAGNYTNLSLDHHDDHKPLLPIIDFAKPDSILDLIKLACEEWGGFQVINHGIPIDLLNETESQARRLFSLPNEQKLLVARSPDNYVGYGIAGISPFFPKLMWSEGFTMIGSPIGHASQLWPQDHAKFCEVMEKYQVEMKAFCEKIVELMLSSLGLTHEEDKKWFEPTSGSDPNQPKTTCVLQLNSYPVCPDPGRAMGLAPHTDSSLLTILYQGNIGGLQVQKNDELGWTPVEPVEGALVVNAGDLMHILTNGRFKSLLHRAMVNNTRHRVSVAYFYGPPKDAKVSPLKKLIDYDGNNNPPLFRPVTWKEYLEAKSKHFNKALDTIRL >OMO80810 pep supercontig:CCACVL1_1.0:contig10271:35065:35343:-1 gene:CCACVL1_12730 transcript:OMO80810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNSAENVFSRSASFREEDDDEEALRWAALERLPTYDRVRRGIFRNIVGDSKEVDLSELESTDHKLLLERLVNSVDDDPEKFFDRMRKRFDA >OMO80815 pep supercontig:CCACVL1_1.0:contig10271:72064:75280:-1 gene:CCACVL1_12735 transcript:OMO80815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-ACP thioesterase MVATAATSSFFPVTSPSPDSSDSKKKLGSGSTNLGGIKSKPSASSRSLQVKANAQAPPKINGTTVVTTPVEGFKNDDSLSSPPPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPRRSDMLIDPFGIGRIVQDGLVFRQNFLIRSYEIGADRTASIETLMNHLQETAINHCRSAGLLGDGFGSTPEMVKKNLIWVVTRMQVVVDRYPTWGDVVQVDTWVSASGKNGMRRDWLVSDSETGEVLTRASSVWVMMNKVTRRLSKIPEEVRGEIEPYFMNTDPVVAEDSRKLVKLDDGTADYVRKGLTPRWSDLDVNQHVNNVKYIGWILESAPLPILESHELSSMTLEYRRECGRDSVLQSLTAVSDSGVGDLVNLGEVECQHLLRLEEGAEIVRGRTEWRPKHAKSFGDSIAGPDSDPSRPTLLAGSFAMEGGSAAESHVRWKTEFLYRKKGCLILCLVGSVGFRFLYINTPFIVVT >OMO80820 pep supercontig:CCACVL1_1.0:contig10271:116702:120279:-1 gene:CCACVL1_12740 transcript:OMO80820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGISKRDESLVINSTNVFAALGSLKKKKKKGSEKEQPGSSSKSKGKKGGQTEAEKKELFWAPSPLKVKSWADVDDEDDDDYFASMGPPPAAWGSQKEPEPALEESESEEEGLDEIDDDVEEEHETEVEAPVEVQPVVKKAPEAPMVTKDAERQLSKKELKKKELEELEAVLAELGYAKPETADPNDSHGKESNGVVEKKENASGESKSAKKKKKKDKSSKEVKDSSQGQPEGNDAGNDTEETGEIEKPEETSAVDVKERLKKVASMKKKKGGKEMDAAARAAANEAAARSARLAAAKKKEKNHYNQQPVR >OMO80817 pep supercontig:CCACVL1_1.0:contig10271:95219:95878:1 gene:CCACVL1_12737 transcript:OMO80817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNKKMVMVCIVMATWAMGTMATMEDDEKACAEQLANLASCIPFVSGTAKKPTPQCCQDTQKVKASQPKCLCVLIKESTDPSLGLPVNTTLALQMPAACNIDAKVSECPTILNLPPDSPDAKIFKEADGSASTTSSPPAASSSSSSAEGTSSSQVSKTIPTSNNGAKVKVFMGGNWLVLMASVAWMFI >OMO80818 pep supercontig:CCACVL1_1.0:contig10271:96369:97163:-1 gene:CCACVL1_12738 transcript:OMO80818 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPS-induced tumor necrosis factor alpha factor MGVPYYVGMNPHQAGMVPPNAIYGDPKGIPIHQTMYRDTPAPFSCPFCGNSGLTVVRSKPSLAALVGCMMPFMLGICFLCPSMDCLWHKYHYCPKCSEKVADFEKSDPCAVVDMPQWVQESFAVPA >OMO51843 pep supercontig:CCACVL1_1.0:contig15693:2379:3005:1 gene:CCACVL1_29553 transcript:OMO51843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase VPVDMVVNASLAAMARHGITRKADINIYHVASSMLNPLTLQNLFELFYQHFKLWPCVDANGKPITVQKLKIITSMEAFNHYLLREATTSSSLVEKVERPLKFMETAKYMAKCYEPFTSYHYRFDSGNTEKLWERMTEEEKKKFGFDRKSIDWKHYITNVHIPGLRRHVIMTKL >OMO51845 pep supercontig:CCACVL1_1.0:contig15693:28966:29034:-1 gene:CCACVL1_29555 transcript:OMO51845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIRGFQAAIKSLASITKKGS >OMO51844 pep supercontig:CCACVL1_1.0:contig15693:8105:9313:-1 gene:CCACVL1_29554 transcript:OMO51844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSSSTPLTIATIAQISAMVSEKLTTKNYITWQDQVLPIIEGISMANHVLYDSKEPKATITDSDGKEEQNPLLPIWLQEDRLVKSLIIATLSPVVRALTVRLTSAREVWKTLEECFANSSKQRARDLLRKLQEVLKDDHPTLHAYLQEVTMISNELAAINQPIDDGDKVYWSLNGLGDKYESFVTAMQVRPPPPPFDEFTNLLADYEKRHKSKFANENQTLIASCGRGCFSGNNRFFRGGTIFRGRGGSNNKNSSMHSDSPSPSQTMSQKTNKAQRPAIICQICNKLGDKAIRCYERLNHAYQEEDVPKALVALAINDPQDPEWIPDTGATSHLTNDAGNLSNLRPYKGRDKIIVGDGKKLKITHVGDATIRTSSGNLLLKRVLLVPQIKANLFSIGQFP >OMO51846 pep supercontig:CCACVL1_1.0:contig15693:30723:33799:1 gene:CCACVL1_29556 transcript:OMO51846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Male sterility, NAD-binding protein MEALTPKEKAPEAGIGIEKFLQGKVMLITGATGFLGKVLVEKILRTVPSVGKMYLLVRAKDKEAAKERLKLEFLEAELFKCLKQIYGEGYEAFMMSKLVPVVGNVSQSDLGIEEELAISIKKDVHIIVNSAAVTSFFARYDAILDINAKGIYNLMIFAKKCLKLELHLHFSTAYVTRPGRIMEDTISIANCMENEVLNSNKSLGKFLPALILENEMKLVAVCKKIYSDSSIVAEKLSELGLQRANKFGWPNTYMFVKAIGEMVIDNMREDVPVIIMRPTIVESTYKEPFPGWIEGRKAMDPLISYNGQGKLTGFIGTVNNVLDVVPVDMVVNASLAAMAMHGVTTKADINIYHVASSVSLPLALKDLFEVYFYEHFKMWPCVDARGKPINVQKLKIITSMEEFDAHLLREATMSTSSSEMVERRKFMEKAKYLAKCYQPFTTYPYRFGMNNTERLWECMSEDEKKKFRFDLKSIDWKHYIINVHIPGLRKHVMKEKCPCKL >OMO90648 pep supercontig:CCACVL1_1.0:contig08451:18873:22748:-1 gene:CCACVL1_07325 transcript:OMO90648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ternary complex factor MIP1, leucine-zipper MESVDSSGEEELAIQRLEITKNDLQHRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSSRGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDACDRYQHVQNHNSQQRFLQQDFDTTLAFCNHERKQRTEENLLGAEWRNVKGQGLAVGNSSRQPTRKQFMESTSLSDSKSTEASANISLDELCAVDSASIPSTSRAAEVVEYPRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTSSQDFVYRPSSPPWN >OMO94003 pep supercontig:CCACVL1_1.0:contig08014:11199:11282:-1 gene:CCACVL1_06229 transcript:OMO94003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSKFIKQGSKDANPESEAYQIQDNK >OMP02428 pep supercontig:CCACVL1_1.0:contig06253:65:265:1 gene:CCACVL1_02803 transcript:OMP02428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGHAMSLIELPRRTSTIGGEISCYPVPEDCEQSAGESR >OMO63134 pep supercontig:CCACVL1_1.0:contig13029:9098:14614:-1 gene:CCACVL1_22465 transcript:OMO63134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MATKGFSLKGKRINGRSAYSEGGSSKTSNVGRSASSHVNRLKSTKGGHSTPTQVIPSVPPDPTPLRSHRKSATLAAPAALAAPAAPAAHTSSVPLAATTPTAPPIRPPSPAPLSPHVGSSSHAPPAPNVGSSSHAPSAQPVGGQSQASDAEHEVSDSQNDASNTSGPPWLIVPDDFLLLARARDEGPFEWMAGEAWEEYEKYIESEEFKKLSEQNKKNRSKNGDSSTIVFRGGSVCTSVHHARLEKELGRKPTRKEGFLRTHGKDDIVEGEAANLVGIDLFDETGEIAHADEHSPVPKKARATSTSVGNDEFTDNPYYDPYPSYQQWSEPPYEPATPSRIQIIEETFKANITRIQSLTIQVAELTTRMEKLDELPSTMVLDSEHHVSELVLESKEDDDSYSLFPTKLVECEEEEVEISISPLVESSTTDFSFINDMVPTNTNTPSLEVSVGESEFSSVIQETSPPKCEDSTLKVNVVNGSPIGSTLEYEDPDFLGIEKFLKFVCFEDGSFGT >OMO95429 pep supercontig:CCACVL1_1.0:contig07679:5409:7798:1 gene:CCACVL1_05410 transcript:OMO95429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFKLNHVVGSYLKLDSPFQVPNGESQIKN >OMO50115 pep supercontig:CCACVL1_1.0:contig16315:2644:2877:-1 gene:CCACVL1_30618 transcript:OMO50115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKSVEIFRKTVRPTEITNHRFVVPAETMWAFLLQVDTNKLDIQALDGNGKTWNFRYITRGQGLTTRSRISPAAG >OMO50116 pep supercontig:CCACVL1_1.0:contig16315:6094:6459:1 gene:CCACVL1_30619 transcript:OMO50116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLFRKQLSKTDVEKRLAIPTSSLQAFNLNGACSVGFEAEDMLSGRIWQFHCTTRTKGFYSKPVISKGWVQFVKFKQLRVGDRVAFYKLNQHEHEAQLVPYKIEVERKIELLGKLVWAKV >OMO50117 pep supercontig:CCACVL1_1.0:contig16315:16982:17305:1 gene:CCACVL1_30620 transcript:OMO50117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKSVEIFRKTVRPTEITNHRFVVPTEAMWAFLLQVDSNKLDIQALDVTGKLWSFRYITRGPGSHHPQPYFSRGWMKFVRDNSLQAGDIIVFKLRPFADPIYFIEV >OMP10014 pep supercontig:CCACVL1_1.0:contig02825:107:369:1 gene:CCACVL1_01021 transcript:OMP10014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEGTWPSACGARVRRTVVVGLTEIKAPRVRYLIGNHVIFPPLWRARLFRIRLDSSETAAQ >OMO94501 pep supercontig:CCACVL1_1.0:contig07858:1454:6993:1 gene:CCACVL1_05965 transcript:OMO94501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L46 MRISLTSIKGARGFSTTSSEKIVASILFERLPVVIPKIDRVVYAFQEFSGKGDYQMDYVPAPRISEADKTNDRKSLQRALDRRLYLLIYGNSYGAPSGKPVWHFPEKVYDSEETLRKCAESALTYVLGDLSHTYFVGNAPMGQHGSEVNATWDVQESLAWWIRLGDNSHPKNTSSSSSFSRNFEFLIQKTTCHSRVHCRYLLRSSSPPPPAHLRLYLRRLLNHNKKNPLYFGDSAGEKHSQRGVCETLLAFGLEDLRATISSGEPPTYIQEMMKMMKAMEERAKASDEMANERFTVMEERIVQLSKTVNGGTGKALESPATADGEDMSGTHNGRFIDLVNQTPTKNTVTSAIESSYVTKEQLQRVLEQKNKTLSFSEFDLKLPYAASIAAKHYPKDYTSPKFKLFDGKTGDAREHVMKFVETLGVAGLDDDLKLKEFSKSLTGKAYTWFVNLKSGEDVMDYIQRFRERVLDVHDAHNEKELVKVCIHGMFDEYRIHLENLPLDTFATLVEAARRTNSTIQRQKESYNKRNVHVVQFRERDERSGGNRPPKRSKKDFQGEDDAPPFPVSVERVRALLREWIRDGQINLPYATRLPTAQEKADAKYCDYHRTVNHPFAKCRNMRRLIHRGVQKGEIVINDTGVHNNPLPDHGGQANAVIHSLLDEPEDHHSEDTSVAIVMTSTIAASILKTPNLRRFFDLLGFYEEARKEAAEELVQIANKYHAEGGFVESKIKRLGRAYANAIVFTEADMCTPHDNQNKPLYVESTINGVHIKRTFIDDGSGLNLMSLTTLRALGIDRGSLRHPMTINSFDNRGTRTLGCVLVNFKIGNIQEQTRFHVIDADVAYHNGKEIFIPTTKASFEKSEVRYAETSFFDEVAEKEEGVLSLPMGIPLPPLQEYGEGSKNNMKYNRKRTRRGGKRRRCSTSEGYKVPEKGVTSHTKSNKDLV >OMO99340 pep supercontig:CCACVL1_1.0:contig06912:301:959:-1 gene:CCACVL1_03843 transcript:OMO99340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALVLFSMGGYGTYLGFCIRYADDVEEKAMAKDLHRKRLAGMFFFFALGATSGITSLVTSDKPIFESPHAVTVFIGLALLSIQTILPTLFEGNPGLRNVHGILGSGIMTLFLIHFALGLQLGFSY >OMO99341 pep supercontig:CCACVL1_1.0:contig06912:1657:8569:1 gene:CCACVL1_03844 transcript:OMO99341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAPWPGLREKYQKSSNIIYEKKRLVREVDGGGSGRERLSSPTVERGEK >OMP11720 pep supercontig:CCACVL1_1.0:contig00969:2081:7467:1 gene:CCACVL1_00313 transcript:OMP11720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKTVVFTNVRKFDGDKFRWISSGEYIQMSGRAGRRGIDARGICILMVDERMEPATAKMMLKGNADSLNSAFHLSYNMLLNQLRCEDADPESMLRNSFYQFQADRAIPDLEKQIKALEEERDSMIIEEEDSLKNYYNLIQQYKSLKNDARDIMFSPKYCLPYMKSGRPVCIKCIDDENSPSFTIEDPVTWGVLMDFHRVKSVLEEDANRRPEDGSYTLDILTRCVVSKDGVGKKKIKIVPLKEPGEPIVVSVPLSQVTSLSSARLNIPKDLLPLEARQNALKKLVEFISRYANGMPLEAEEMNIQSKSYKKAVGRLEALENQFEKHEIAKSPLVEQKLKVLNRKEELTAKIKSIKKTMRSSTALAFKDELKARKRVLRRLGYITSDDVVELKGKVACEISSADELTLTELMFSGVLKDVKPEEIVSLLSCFVWQEKIHDAAKPREELELLFTQLQDTAWRIAKVQLECKVQIDVESFVSSFRPDIMEAVYAWAKGSKFYEIMEITQVFEGSLIRAIRRLEEVLQQLISAAKSIGETELESKFEEAVSKIKRDIVFAASLYL >OMP11721 pep supercontig:CCACVL1_1.0:contig00969:8464:9246:-1 gene:CCACVL1_00314 transcript:OMP11721 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor MASINKSFVKCRHHLVEFLSDVARMVTPSCSAKGFEILRDGKTNEFGSNNDELVYERRPSVLVLTNNNLRLPPLVSSSSSSSEIKGTIVLDLDETLIHSILGPPPPRYDFMVSRDIDGTTVNFYVLKRPGVDEFLEMISKKYEVVVFTAGHVAYASKVLDILDPKGLISHRFYRDSCKQVRGKFIKDLSGMGRDMRKIVIVDDNPNSFTLQPENGIPIKPFLGDEPWDNELMKLAGFFERCDVFSDMRDAVKKYLELILR >OMO52398 pep supercontig:CCACVL1_1.0:contig15542:403:13032:1 gene:CCACVL1_29237 transcript:OMO52398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NHLWRRYVTIVVPIRNLEGDFRSDDPTVGSTPKYIMLFSYSSPPKPLKPLLIRKPQSPKTQVQNVRSYWLIPGKVGIKLLRLPWGHKVR >OMO52399 pep supercontig:CCACVL1_1.0:contig15542:20554:22318:1 gene:CCACVL1_29238 transcript:OMO52399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MGSDAPQPRIPIIEFATGSADLERGTEGWKHLCKRVREACENYGCFEVVYGKIPRKLREETFSSLRELIEVPLENKQKNVNPKPYHSYFGPCSHVSLYEGFGIEDASNYNSVQSFAQLMWPHGHNKFCNAVNTMVKELEELNQMIWLMIIDSYDLGEKMESLMKCKMLLRMMKYMAPPSSVEKKQGLHAHTDKLVNAIVCEDQISGLEIEIEGEWIKAFPSPSSFIFFVGDPLMAWSNGRMKAGRHRVTMSGDKDRYSFGVFPVPVEGTVIKPPEELVDEVEHPRVFKEFEFMDFLFYSFSEAAKPIDSAQQIYAFASQVQPKN >OMO64403 pep supercontig:CCACVL1_1.0:contig12830:14255:16983:-1 gene:CCACVL1_21784 transcript:OMO64403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCHYYQIAVSVGARFSMANPSVLRAEHSMAPDLSSDSSVFRGGSSRRRDLVFVVNPRGANGRTGKEWKKLLPYLQSRLGSDCNICESLTSGPSHAIDITREAIREGADAVIAVGGDGTLHEVVNGFFWDGKPVANKNTEAVHSTALGLIPLGTGSDFARTFGWKNDPCEAIERIARGVRSRIDIGVISREGEGSHYFINVADIHLSAKAGYYASRYKKFGNLCYVIGALQAFIGHRNQDLRIKVNEGEWEVYPQVTALCIGNAKYFGGGMKITPNADPHSGSFEVVILQDFKWYDFILKLHKLYNGTHLSVNNVSSRSVYSIEVEDISGNGNIFIQSDGEHLGFLPRKLCILPGAIEMIY >OMO64404 pep supercontig:CCACVL1_1.0:contig12830:18076:19647:-1 gene:CCACVL1_21785 transcript:OMO64404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 MASPSLLQSTASSFHGQSPFLSPAFSVRLPYGYPRNGGVVSVKATSEIVLVEKTEAEKTHRLKTTYLEKIIPLLKEEFNYTNIHQVPKIEKIVVNCGIGDAAQNSKGLEAAMNEIALITGQRPIKTRARNSVATFKIREGQPLGIAVTLRGNVMYSFLDRLINLGLPRTRDFTGLNPNSFDGHGNYSIGIREQSVFPEIRFDALGKPRGMDVCITTTANSDKEGQKLLALMGMPFREGGGGPAVIQRKKKLKAHHFDKKGGKGRR >OMO64401 pep supercontig:CCACVL1_1.0:contig12830:6815:13551:-1 gene:CCACVL1_21782 transcript:OMO64401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M48 MAATISLPAITPHVKSQRQCFRRQNYGHKIYRIRCSGDKNPGSNLPTKEESAPENALLKVAWYGSELLGIAASYLRSPSNVEEAAQNNLRLGVDGLGAIDRTAVVETIKDDFERSYFVTGQLTLDAYEEDCEFADPAGSFKGLRRFKRNCTNFGSLIERSDMKLVKWEDFEDKGVGHWRFSCIMSFPWRPILSATGYTEYYFDVQSGKVCRHVEHWNVPKMALLKQLLRPTRSFMVLMYFFETYLDLRQHAALKLPTLPKTLEGVISQEKFEKSRAYSLDKSYFHFVHEFVTILMDSAILFFGILPWFWKKSGNFLPLVGLNAENEILHTLAFLAGVMIWSQITDLPFSLYSTFVIEARHGFNKQTIWLFFRDLIKGMCLAIVLGPPIVSAIIVIVQKGGPYLAIYLWAFMFVLSLVMMTIYPVLIAPLFNKFTPLPEGELRLKIEKLASSLNFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKNDEEIVAVIAHELGHWKLNHTMYSFIAVQILTFLQFGGYTLVRNSTDLFRSFGFDTQPVLIGLIIFQHTVIPIQHLVSFGLNLVSRSFEFQADAFAKKLGYGSALRAGLVKLQEENLSAMNTDPWYSAYHYSHPPLVERLAAIDEPDKKED >OMO64399 pep supercontig:CCACVL1_1.0:contig12830:81:176:-1 gene:CCACVL1_21780 transcript:OMO64399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQAPLQTRQRATEVNNPHVSLAHSTPRQG >OMO64400 pep supercontig:CCACVL1_1.0:contig12830:2222:4686:-1 gene:CCACVL1_21781 transcript:OMO64400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVYDAAFVNTELSKPTSIFGLRLWVVIGILLGSLIVLTLFLLSLCLTTRRKSRRHQHVDPTPPISKEIQEIVHHPPATDHHQFAPPVPEIQVDLGKVEHRVVFSSGESRGPTSAGASVSEASFGSGSVGPEVSHLGWGRWYTLRELELATNGLCEENVIGEGGYGIVYQGVLTDGTKVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLHGYCVEGAYRMLVYEYVDNGNLEQWLHGDVGDVSPLTWEFRMNIILGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRQWNPKVSDFGLAKLLCSERSYVTTRVMGTFGYVAPEYACTGMLNEKSDVYSFGILIMEIISGRSPVDYSRPQGEVNLVDWLKTMVGNRKSEEVVDPKLPEMPASKALKRVLLVALRCVDPDATKRPKMGHVIHMLEADDLLFRDERRVAREPSNSRPEDQQTNRNATKLGDRRFDGASASDTSEGDSGRIHHQPTRWR >OMO64411 pep supercontig:CCACVL1_1.0:contig12830:76420:78766:-1 gene:CCACVL1_21792 transcript:OMO64411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII LCFDLIKKWVLQNPEASICTAEGVDRFKDIAIFQDYHGLQEFREAVAKFMGRVGGNRVTFDPNRIVMSGGATGANETVMFCLADPGDAFLVPSPYYAGFARDLRWRTGLEIIPVHCKSSNNFRITRQALEEAYEKAQKLNKNVKGVIIANPSNPLGTVLDRDTMKSLVTFINDKNIHLVCDEIYAATVFSSPKFISIAEIIQDMDCDKDLVHIVYSLSKDMGFPGFRVGIVYSFNDAVVNCARKMSSFGLVSSQTQFLLASMLSDEDFVESFLRESSKRLAKRHRVFTKGLEQVGISCLKSNAGLFFWMDMRPLLKEQTFEGETELWRVIINEVKLNVSPGLSFDCCEPGWFRVCFANMDDETVEVALDRIRKFVLQGTENAAGNKCKRRQKNNLCLSISSSRLYEEGLMSPAHLISPHSPIPQSPLVRART >OMO64407 pep supercontig:CCACVL1_1.0:contig12830:39781:42565:-1 gene:CCACVL1_21788 transcript:OMO64407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHGLHSLPPPNTVAPPLPTPSTSVSTPTAGNTAAAFSEDHSKKIRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGTSEHVPPPRPKRKAAHPYPQKAPKNAAVASQVAGPFQSSSALLDSGYTYRPDSSSMPGHPIGTAPLSSWSYNSVPPANVSQVTKDDAVLGGPTIAYNSCYSSSNESTPRTWSFGETIDRGDHGKQSRVMPDFAQVYSFIGSVFDPSANGHLQKMKQMDPINLETVLLLMRNLCVNLTSPEFEDHRQLLSSYAESERVKPGSSYYGINTHHKAVSAVPTA >OMO64406 pep supercontig:CCACVL1_1.0:contig12830:30898:34115:-1 gene:CCACVL1_21787 transcript:OMO64406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID component TAF4 MSASLLHVLEGGFSTSQPSDSDDQGVWQGSNQSGRQDSKTVDFHSQQSLGSVLPSGSILGNPQRQPNDELHNFSLSEQIAGQTQGMKNSQMNAIPLSESQGSEPQKMDDIPFSRLMPILLPRVDKDKAMQLRNLYAKTKEKVITNKELVKHMKDMVGKAMLESAVMEMRSHSQMDHKSDSHIGVQQIQISSSSSRAMNQERDCSSISGQLQALNKQQKIPFTSHSAADVNSSGSTLKSQPHDSQMMSGPNCQEQNSVDDPIKKHRYIVPGNCRIYKEEDYRKQISVGLGAELQSKSHSSTPQGPFVQGNAILETSKNTTNLMPMNPVSPSLSPQVEHVVPLSSQNSSDNSPAGVKARTPRKRCPAAAGQKKRQKKSLQALSSSPPLPSKKQKVSGAFSDQSIPLVLNHVVNLQEEEEQLFAGLKGESQVSEASRSILHEEERGILLKNPLQKKLSEIMVKHGLKNIGNDVEQCLSLSVEERLRGLICNLIKVSKERADAEKPMHLKHITSDIGQQIKRLNSEAKKEWEKKQVEDEKVQTVLDEPEGTRVHGEKGKNKGQEKPVKKMNDNIDKMRTAAAANVVVRDAVGGEDVLSKWKLMAEQARNKRDAASTSLAGDGTSTKDNRETEKRGPLNPSTSGKLDTP >OMO64410 pep supercontig:CCACVL1_1.0:contig12830:54124:61099:1 gene:CCACVL1_21791 transcript:OMO64410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLGILQNFPRCLHETIMILWYHLLNKAATNLGVRGPPPFSFSTKLVLKLDLHDDKAKQKALKTVSTLSVLTRPCLSTEFSH >OMO64405 pep supercontig:CCACVL1_1.0:contig12830:25260:29838:1 gene:CCACVL1_21786 transcript:OMO64405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLENEEQHSLDQPADINKESQKKPRISYTRDFLLSLSELDVCKKLPPGFDQSILSEFEDTSQDRQRIPGTLSGYRRNEYGSSPPTRGDSGNYSRGNLGRWDSRSSGRNDRDSDSQSDWDSDSGRRFGNQSRRSWQGPEHDGLLGSGSFPRPSGYTAGASAPKFRANDQYHLNKSNEPYHPPRPYKAVPHSRRETNDSYNDETFGSTEDTSEDRAEEERKRRASFESWRKEQQKAFQEKKINPERRKDEFDFSELLVDSKNDKGLLSRSKESDQPLAASNIDTDKPSVPSQNPASRPLVPPGFASTILERAGSKSSVHSHSSQIGSSEIEGCLSEAKGGILLNGASDDLLGTQSKQSVEGTLSEQQVESTGIHLLSNNKSGKALNFSSALDKPNEAISVDSQIYKTSSLLEAFETPRKSKVTELDSKQLPVDKILTETNHDGSTSILDKLFGSALTPNGGSSTNITEPNDSKADETWALETAHSSKFAHLFLDEEKKPVDDLSTGRPKDLLSLIQGGEKAGSHISDRTNSNRVSSNFPFHISELVDRHVISNVTSPGIEKSDQSCNINDVSKPASVPAVLTCEDLEKSMLSESTENDPTLPPAVEGWKIPDAKTVQRGANIDNHASQHLLSLLQKGTSMNSMLTSANLDIRSPERIQNIETASVDTAPRDSIEANAEDAPSSGKPLTLETLFGSAFMKELQSVGAPASVQRGSLESARVDVLESNRLPLHVTDDSLLPPAVHIGSNRTTFETNALPFTPREQIKSDGIEEHTLGFNDARSAVDPSHVRAELGSKVGGFDGSTEIRLPEEDSLIAVNDPMKFQNFLSGRNSVKAELLPSQEAPIDVAEKLAALKAVFRDERPGVAGQEGTPFLPGPYDMREPDIPFHNQNVQPSSPQLHPPKLNHGGPMIHPLDSHPSNINSQVKFMAPEGIIHHDPPPNHQFPASMLRPPFHHPSSGLSGFDPPMHHPMLQQMPMPGNFPPPHLQRGFPGAAPPPPHSNNQATGFLQEVNPMHGFPFGHRQPQPNFAGLGMPPGHDVGSGSHHPEALQRLIEMELRSNSKQIHPFGSPGHSQGMYGHELDMGFRYR >OMO64408 pep supercontig:CCACVL1_1.0:contig12830:45165:49137:-1 gene:CCACVL1_21789 transcript:OMO64408 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-isomer specific 2-hydroxyacid dehydrogenase, NAD-binding protein MNTSTTTSSRHRSSAAMSHRSNPPPLPLVVSLNCIEDCAIEQESLTGVALVEHVPLSRLGDGKIETAAAVLLHSLAYLPRAAQRRLRPYQLILCLGSSDRAVDSALAADLGLRLVHVDVSRAEEIADTVMALFLGLLRRTHLLSRHALSASGWLGSVQPLCRGMRRCRGLVLGIVGRSASARSLASRSLAFKMSVLYFDVVEENGKVSRSSITFPPAARRMDTLNDLLAASDLISLHCALTNETVQIINAECLQHVKPGAFLVNTGSSQLLDDCALKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWLEVREKAISMLQKFFFEGVVPNDAISDEDEEESKITDEKGQFSRQDKESALQGSSGEQFTDDIELSPESSLKKDTNQSKESPNQNQGSGFSQNTPTKSDGRRSRSGKKAKKRHARQKTLQKPDEPLILEKESTSQREDDTAMSGTDQALSSGSRSPVDSRSRKTPIELMQGSTSEQLLKTSKKLSGLSGDTLKDGYVIALYARDRPALHVSRQRVKGGGWFLDTMSNVTKRDPAAQFLVVYRSKETIGLRSFAAGGKLLQINRRMEFVFASHSFDVWESWTLQGPLEECRLVNCRNPSAILDVRIEILAAVGEDDGITRWLD >OMO64402 pep supercontig:CCACVL1_1.0:contig12830:14136:14237:-1 gene:CCACVL1_21783 transcript:OMO64402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKIHASPHIELTVEEDKQMMPPKGVERYGTG >OMO64409 pep supercontig:CCACVL1_1.0:contig12830:50431:52079:-1 gene:CCACVL1_21790 transcript:OMO64409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQELDLSPIPIQKTSNSYNLINNSSAWLEIRLFYVRIAPCVIDSVPDHLTLCHLRRELGVSLEINGSRVPASDSASLTLRRDRLNRESSEVTYLSTDSVRVTGGFEFEVYEDEKNVLLCGSLERMEGEWTMDCFMATSVMGSGNSAFFQPKMGVSAPGFEVYIAGCCAGVPVILTKTILVSPRRKAGLKLSGMLDAIPEDEEIGKGDNKGANGLIRHRKFQITEAGVEDYDSDGKFGHGYYSEDLYAGEDGQLSWFNAGVRVGVGIGLGMCVGIGIGVGLLMRSYQATTRNFRRRFF >OMO69041 pep supercontig:CCACVL1_1.0:contig12129:8985:9617:1 gene:CCACVL1_19675 transcript:OMO69041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRDSIRMKRPGICSLLSSPLRLTVKRPIKAQVPRRGMA >OMO49656 pep supercontig:CCACVL1_1.0:contig16445:54:813:-1 gene:CCACVL1_30868 transcript:OMO49656 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA-like protein MGSDSKSNGSGRGGGGGAGGGGGVQIPASVKKVVQNLKEIVDNNCTDSEIYAVLRDCNMDPNDAVQRLLSQDTFHEVKSRRERRKE >OMO49657 pep supercontig:CCACVL1_1.0:contig16445:1156:1263:-1 gene:CCACVL1_30869 transcript:OMO49657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKLSMIPDPAIKIIEYLKGGAKGSQNDPIEKRR >OMO49659 pep supercontig:CCACVL1_1.0:contig16445:13599:15697:-1 gene:CCACVL1_30871 transcript:OMO49659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGAMVVGYSYSYRPRLRPTCFAANSKINTEQLRFQLDQLHAEADTTRAKASSARLRFLRLSEAAEKLRRQAAVSIQRGLENEARDLLFQKKKVMQALEKSKSRIELLDKLSTKLNEAITVKETQLIGNVASDSEIGGEDDSSPVHIISPKEQAAQDESQNKDFSHVALTLGEDENLLLHTNNLAEEPANEELEEHETCPSFSVFNEETIHSSLAGISTYEDFLEHLDQQLNKIEQELVTVLNVSTLLLDGEKPKNLKVQQTKELLDSILDIRQRTGDTTITVQTNLETFFLDKRFWSNLACSSEFHNSLNKASCQLAPYL >OMO49658 pep supercontig:CCACVL1_1.0:contig16445:5592:10628:1 gene:CCACVL1_30870 transcript:OMO49658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg2+ transporter protein, CorA-like/Zinc transport protein ZntB MNKVGNDYSNSMSNEMKKQDYNKNQYQSWSDAIGGDHLWTDGLICAFEFIQGQGQRKTLQQKAGAKVWSTRYGSKEASRKRIYAHEPEDSSVNNLANSPPLTDSAFTVKDTLCEKNCNHRNHNPGEELPRTYWKPIGWERVSELVQMVQVDDGWASQPVELIQNEDDVTVADLAAPYWDRPVGPTWWCHVAADHPSVNAWLSNAHWLHPAISIALRDESKLISERMKHLLYEVPVIVAGGLLFELLGQSAGDPLADEDEIPIVLRSWHAQNFLITSLHIKGSASNINVLGIAEVQEMLIAGGTNIPRTIHEVIAHLTCRLARWDDRIFRKSIFGEADEVELKFMNRRNHEDLHLFTIILNQEIRRLSTQVIRVKWSLHAREEIVFELLQHLKGNATRDLLNGVRKSTRDMISEQEAVRGRLFTIQDVMQSAVRAWLQDKSLTVTHNLGIFGGCGLILSIITGLFGINVDGIPGNDENSPYAFLIFSGILALLGVVLIATGLLYLGLKKPIVDEDVEVRKSVARAIKPPTAASILPNGEVQEEESSRPSSIRISPGYLDLGPGRRRSTAHLITNDAIIPITTTPNSSSYVNLIANLNKKRKLTRRSNSAPSIFTDVREAFQDPEDHQKPVSKSKTPFIVRQAFIWMVLYIFIGIILYTTSSASFKGNKTFKPVDALYFIVVTLCTIGYGDIVPDTTFSKLFTCFFILIGFGFIDILLNGLVTYICDRQESVLLSTVDENRFNTMVKTYMIDKAKGRMRIRIKVCLALVVVVGWIVIGTVSVHFLENLDWVNSFYLAVTSVTTVGYGDYAFKTLRGRCFAILWLLVSTLTVARAFLYLTELRIEKRNRKIAKWVLQKKMTLGDLVAADLDNDGSIRY >OMO49660 pep supercontig:CCACVL1_1.0:contig16445:26041:28057:1 gene:CCACVL1_30872 transcript:OMO49660 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MSSNERKDHLHHNYDPFQGFNRSSNTFPFFDDNNNNNNPSIYSNQLQSDASPVTQNFQGFDPSFMSFTDYLHGSAVDYNSFSKAFDMSCSSISDHVLSPQIDVDSGNSSKKIGATADNNNDVTENPSTPNSSVSSSSNEGAADEGSTKSSKKDKLPKASEDGGEDDKSKKVNKPKKEKRKREPRVAFLTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTSQKCGVKKRVERSFQDPSVVITTYEGQHNHHLPATLRGNAAMFSPSSLLASSAAAMGPGFPHDFLSQLLPPPSTASNNPAAAGGGGGASNNTTNSNLFYQSLAPPNQQQQQLQVPDYGLLQDLVSSFTHRQAP >OMO54884 pep supercontig:CCACVL1_1.0:contig14874:13994:15617:1 gene:CCACVL1_27494 transcript:OMO54884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIELVNMRWCWVVWLIVATAKSVETDLNRLTD >OMO54881 pep supercontig:CCACVL1_1.0:contig14874:3508:4438:1 gene:CCACVL1_27491 transcript:OMO54881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative period circadian protein MDSDSDDIESGLIKGNDSDDGDFDDIVKNNNLRRWKREEPPLLQYAILVLILVPKLALLYSRFYAASTVSADFVSLYLSFRLFSYATFLRDVTWHAICEQMVICVMTLLYLFVTKSSEPFRYPEASDIFLVMWLAVLILKISKLYHRSNIRSAY >OMO54885 pep supercontig:CCACVL1_1.0:contig14874:31311:37192:-1 gene:CCACVL1_27495 transcript:OMO54885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAEAAVIGACASVGLTKAISSVEEQINLAWDFKEELRKLGATVTLTQAFLQDTQTRQVDGALKAWLERLGDTASKANDLLDKVAYEHLRRKVEKNRKSKKVRHLFFLTKSKIIFPCMMAKKIKKINDSLDNINGEALKFGLQQGAQNMAPVVTGEGGSHSFGDSSLVVGRGGDVSELVQLLTASTNQQFSIASIVGMAGLGKTTLAKLVCKHGDIKTCFNKIIWVCVSENFHVPRILQEIFESPTGKACEVKNEDAVLKGIQKELEDGKSYLVVLDDVWDEDIKNWEDLKGSLLGVIEGKQISTSILVTSRSENVALVRETLPTHRHHLKTLKDHECQSIIEKRAFQNSPISPESADIAKDIALKCGGVPLVAMVIGGTMCNKRNREEWESIRDSTLWGSLEKSEGIIRVLKLSFHRLPCTSLKQCFVYCSIFPKDFRIEKEQLVQLWMAEGFLHQPKGADHAVDEDIGNEYFNHLLSNSLFQDLERDEHGCITSCKMHDLVHDLAQSISEAQTPSLGAIAATATDDNVVSDNYQVKLWHSLFLKTNTFRINVEDLKGLRVLNFCDAFIKELPNAIGKLKHLRYFNISGSDISRLPKSIAKLYHLQTLRLINCPKLEKLPKGMKTLVSLRHLHINHMGHVPDEIGCLTNLQTLPMFDVGTNKRRGIQQLGCLSELGGQLDIFALENVRNKEEAREAKLWEKKRLHKLKYEWSNRLQENSQNDDEQQVLQGLEPHSNLKSLTIWYYNGGCYPSWLGWRSSVTCSTASFQPINLVELELFSCKNLTHLPTGLGQYPNLKFLKIADLPNVKCIGNEFYISDSDNDMNKTITLFPVLQVFALLDMEKLEKWSEVEHHLIFPSLKELKIDACDMLKNVPTMSRFSSLEILFIARCKEFNWTGDGLFPRHLKKLNIYTCSNLESIPSSEGSIQELFVGRCDKLSNIEEGLLASTCLREVAISNCPQLTSISFNAQSLSLLKLELWGCNALKEIMGGHQLQKLETLSIQNCSNLTSFPCMDGFSSLSELTLIGNKNLALPNFGSLACLRRLQLGPFSEELEEFPSLNSIDCLGSSLKDLTLTGWEKLIMSSLPDQLQHLTSLGYLSLSKFKGLKTLPDWFGNLSSLRILRINECEHLMDLPSMQGLCNLKELCIRDCPLLEAKCAKDTGSEWPKISHIPNISILMFLSMVKRNFQQRIEGPAVDLYFKCGVNAAAPNCFANPTDGFSEVALTTANIKLQRPYDIPLEERYSYENGTHKMWVYADDKPHDPNSRTQPRTEIRIQGLDYSSGVWQFEGYGFVPNGTSGATISQIHGAASGATTLILRVYDGNLRYYSRDLVATDLYDKWFRLNVIHDVDGGKVMVFVNGVQKYSTKDKGPGDLYFKCGVYAAPANISYYMESRWRDIKIYNKTKCKT >OMO54882 pep supercontig:CCACVL1_1.0:contig14874:5376:6110:-1 gene:CCACVL1_27492 transcript:OMO54882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanases superfamily MKASYCYSLLLILLAVSAKEHSLFGAADPTDGFTQLPLTDDNFDLQKPYNIPLSQRYNYSDGIRSLWVYNNDKPFKHSSGTRPRTEIRIKGYDYSSGVWQFEGYAYVPKGTSGVTIVQIHGAAEGATTLQLRIYDGNMRYYKYNLVATDLYDKWFRVNVIHDVNEGKITVFIDGEQKFVVKDQGPGDLYFKCGVYAAPENSSNFMESRWRDIKLFKK >OMO54883 pep supercontig:CCACVL1_1.0:contig14874:12170:12618:1 gene:CCACVL1_27493 transcript:OMO54883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDYDSDFDDIESGLSMDDDSDDSDFDDIESDDSDDSDLDDIVKNNNLHWWKREETPLLRYAILLLILVPMLALSYNWFYFRVDPDYSFCTLHADSIVSLFLCVRFIFYLTILRDVM >OMO75821 pep supercontig:CCACVL1_1.0:contig10967:31794:32606:-1 gene:CCACVL1_16027 transcript:OMO75821 gene_biotype:protein_coding transcript_biotype:protein_coding description:chitin synthase 1 MACSTVGFKDSSQKNQLKAEKNQLKAEEVVSLPAKMKLMIMSLRNWGELSWLLINLESKSSSSNLLSLGSLGQDKNQPGESLDSDIVNDEMPERFGIELVTGCFPLNLPELAIRVEDSLAQKVLEKQTEAVAFGVVVEVGLENMLHVQRVGADYAMDFAWTKKHGCVGRTLA >OMO75823 pep supercontig:CCACVL1_1.0:contig10967:42574:43766:1 gene:CCACVL1_16029 transcript:OMO75823 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperone MRPRNTGISGGSRPQQPQSQNFKPKTEWKHEEAASFLFLYLPGVEQLTITPDYSNGRVKVEGQRRLPNNRLLPVNETFTIPEDCDLAKMDKQFGRRGILTLKMPRNIAEPPKETTTSLLMEATKTSKPQEDATTAVDNSVSAEVVPTDEKSAMKEEININDEKGKQTKPENLENVEEEKSSNYEQSKESVMATATDDANKVEEKQKQKGNAKVKDLNEDSKLIINMGAAVLIVVGVGVSLFYFIP >OMO75822 pep supercontig:CCACVL1_1.0:contig10967:39878:40830:-1 gene:CCACVL1_16028 transcript:OMO75822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVLEKLLLVGVLWLEVVVGAEYVKYKDPNQPVAARIKDLMSRMTLAEKIGQMVQIDRTVATEQIMRDYSIGSVLSGGGSAPLPEARAEDWVNMINAFQNGSLSSRLGIPMIYGIDAVHGHNNVYNATIFPHNVGLGATRQA >OMO75824 pep supercontig:CCACVL1_1.0:contig10967:52983:53126:1 gene:CCACVL1_16030 transcript:OMO75824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVEAAVKAAMLVFKERGNNAVAVEYLQRELRLHLVGGKQIYQYIA >OMO75820 pep supercontig:CCACVL1_1.0:contig10967:19223:28593:-1 gene:CCACVL1_16026 transcript:OMO75820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MYHPTRGGVRGGRDQFSWDDVKVDKHRENYLGHSIKAPVGRWQKGKDLHWYTRDKRSGGSDDALKEEIRRIKEEEEQAMREALGLAPKRSSQPQGNRLDKHELSELVKRGSTAEDLGEGHAEAAHVHGLGFSRMPRPWEDPSALPSTQKEASPVPVKMDESLPSTTANTVEEDESELLSNELILIRFSFSHPPSLNFLFTFIMSSSSSQPTGTLVSSQNLPLFTINAAAHLPIKLTASNFPSWRTQFQSLLIGFDLLGYIDGSTEAPSKQIQKTGTTEMISNPAYEHWLRQDMLLLHGMIASSSESVVSHIASASSSHDAWEKLNKLYANKSRSRMMTLRDKLNTPRGNKSISEYFQSLRSIADELALIETVISEDELVIHALNGAGSEFRELAARIRARESYISFEELMEKLVDYEHVIKKQEQAVTDLTIPTAQYANRTNFRSIGRGQFSRTATTHSGTQRYHQNFNSKRMFCQYCDKPGHTAKMCYQIRPKDQIALAAHLSTTQSPQTWIVDSGANHHVTNKLQNLQIAQEYDCPDSLLVGDGTGLSISHTGSTLIKPSTHSLSLQNVLCVPKSKSNLVSVSQLTEANNVSVEFFSKNFMVKDLHTKEPVAHGLNRGGLYYFTNHPPVIDTQALALVGVKSSLETWHQRLGHPNSHVLQSVLKSFSLPCSSFKQFSSCNACYCNKSHRLPFSSSSIVSRGPLDVIYTDVWGPAQIISTQGFKYYVAFVDLYTRYTWFYPIKLKSDVFVLFPKFQKLVENYFKTKIVTIFSDCGGEFEKLKHFFSNLGIQHLQTPPHTPQHNAIVERRHRTIVEKGLTLLHHASMPLKFCPYAFSATVYLMNRLPTEVLESTSPFQKLFNQTPNFEKLKVFGCLCYPWLKPYTHHKLESKSKPCIFLGYSKNQSAYLCLDLSTDRIYVSRHVMFDEEKFPFTCLNTADKVIKSSYDLWNPALDVNVDIVPSPAAAAQQTPAQNAENLPSAHNQTVASPVSSSSTSAVPAVSQPLVTECADSLPNSTTTTSVSGNQPQRTHPMVTRSVNNIFKPKNTVTKHPLPETLEPTCASQAIKNPKWKDAMLDELAALHRHGTWELVPQPANCNLVGCKWVFRVKRHADGSISRYKARLVAKGFTQRPGIDFTETFSPVVKPVTIRLVLCLAVQNGWMLKQLDVNNAFLQGALQDEVYMKQPPFLYDKQNPHLVCKLKKAIYGLKQAPRAWYCALSSFLVASGFKNSVADASLFIYKSGANQLTLTAYTDSDWAGNSDDRRSTSAYVLYYGKTPISWCSKKQKSVACSSTEAEYRAIATCVSELIWIQSILQELHAPISTTPLVLCDNLSATYACANPVFHTRMKHLALDYFFVREKVQQGALHVKHISTVDQLADALTKALPRDRFHQLLSKIGVSSGSTILRGSIGGNNDFKSSRVLDEINHN >OMP07192 pep supercontig:CCACVL1_1.0:contig04600:4:165:1 gene:CCACVL1_01354 transcript:OMP07192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHILTRFKLYKLAQSLAENLAFNMPEDGISPSICPRMKREISSFNALRNLPF >OMO65581 pep supercontig:CCACVL1_1.0:contig12639:100515:102491:-1 gene:CCACVL1_21488 transcript:OMO65581 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III, subunit Rpc31 MDIERYSDWGNQKSSSKRDSLDQILQLHSNNFPKELIGDPRKVQRRAKKMRWNLDSGLEKLDKFEKFEQGYKDQDDDEKEKKGDEDEENTDEESGAESDGSYSTDGDYNENEHFDDDEDDYNQEDDGGDEAIY >OMO65575 pep supercontig:CCACVL1_1.0:contig12639:40633:40827:-1 gene:CCACVL1_21482 transcript:OMO65575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQKFKISWGLGPGEETENRQELRGTLQKAERKGRRDGRSILGNKSVGDSVKARFWWPPLEEN >OMO65577 pep supercontig:CCACVL1_1.0:contig12639:68624:69364:-1 gene:CCACVL1_21484 transcript:OMO65577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIITTMGTCDLNPKSIESQPRKRRRRTNDGLSVAETLKLLTQNVNSKQVGIKAPGKGSKRGCMKGKGGPQNQRCNYRGVRQRVWGKWVAEIRAPNGGKRIWLGTFPTDSEAALAYDEAAKTLYGAKAVLNFPQGLDSSTGQLSVASNSDSEHVSEIHDEVMNLLNNSDDNDDVGIADDDHKAEALPSIDDVMNLIGDDVIQGSEEKVPEKDEFLVWFRGAVKYDTELTYEYDTELSTFHDFFANN >OMO65576 pep supercontig:CCACVL1_1.0:contig12639:55660:67683:1 gene:CCACVL1_21483 transcript:OMO65576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MFKKLMGIHRLTASPLWVQFDYIVVETMKAKLFRSSGNSTCKRPRLQKDLQNGRKKERLREEEHKDVQREEKSVQKAIREAAKRNDMGSAKALAKEIVMSRKAVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPQMAATMQEFSKEMTKAGVIEEIVNDTLDTALDSEDIEEETEEEVDKVLAEIAGETAAQLPEAVRKEKVRMPAQRESTSQEEEAIAEGADDEEELEAIRARLAQVRS >OMO65578 pep supercontig:CCACVL1_1.0:contig12639:70711:72145:-1 gene:CCACVL1_21485 transcript:OMO65578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSVISFLLLALLVAVQAQDFIPSDFPSEDSSLFSELSDSPDDDDSDEINSLPLRSQPFALQTQSQNSFPSEINLSFDFQGANNSPFMTNPADSSDDPLSSSSSDSEFLGEEDSSQDEPNSILPDLPKVPPLLPHEPVSPPPLPSETPSTPPPTPSETPEAPDTPLPPSASPRVPSAPSSPTTCKGKCFAKCNKKKVPLLHNLCSKVCKKRCVLLYAQVVYNCTDKCAEAMPNTFKSDEKKVAGYVRLMDDWMWA >OMO65579 pep supercontig:CCACVL1_1.0:contig12639:78741:79181:-1 gene:CCACVL1_21486 transcript:OMO65579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S9 MAERAPIESVQCFGRKKTAVAVTYCKRGRGLIKINGCPIELVEPEILRFKAVEPILLLGRQRFSGVDMRIRVKGGGHTAQIYAIRQSIAKALVAFYQKYVDEQTKKEIKDILVRYDRTLLVADSRRCEAKKFGGRGARARFQKSYR >OMO65580 pep supercontig:CCACVL1_1.0:contig12639:80195:87220:1 gene:CCACVL1_21487 transcript:OMO65580 gene_biotype:protein_coding transcript_biotype:protein_coding description:XAP5 protein MVASQLTSFYEDSVVGELDLTFELSDEDDLSVNGRQKSKLRMKDCGNNGFVNTSKSRVHKGDTIEEFLRAVQRQLAPEFREIRTTSVENLLYVKESLIISQFSNVAPTS >OMO65574 pep supercontig:CCACVL1_1.0:contig12639:9787:14065:1 gene:CCACVL1_21480 transcript:OMO65574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGESLTLCTIANIAVFLITLSSTLSFCLALHETPQEPTIFQVTDENPIPNIPNLNRKFSRNFIHKEFQVFIEKYEKKYSTKEEHMHRLGIFAKNLARAAEHQALDPTAVHGVTQFSDLSEEEFERLYTGVKGGAAAPQLFDSVGSEAVKLEVDGLPESFDWREKGAVTEVKTQGACGSCWAFSTTGVIEGANFIATGKLLNLSEQQLVDCDHMCDTREKTACDDGCGGGLMTNAYKYLIESGGLQEESTYPYTGRRDECKFQPDKVAVKVVNFTNIPIDENQVAANLVLRGPLAIGLNAVFMQTYIGGVSCPLICGKRWLNHGVLLVGYGAKGFSILRLGNQPYWIIKNSWGKRWGENGYYRLCRGHGVPFLSARISCRLSEFLKHHEIESLNKLLPCQPFTKESNNEDDHPLLVCQATIFACGGLALGLGMSHKITDVQTGYNLVNVWSTICRGSYQNDGNPALAEASIAFPPRNQVPQNYISMMENLWFTEANYRTKRFIFEANAIAKLKAMAKGGYSEATPTRIQALSGLIWKCFMEASRAVSGSAKPSILVQAVSLRPRRKPNLLHDSIGNLFWWGIASSLAEKGTKVSELVDVMKESVVAFDDEYLDSLQGEQGFEAISEYFDQLETMFSLEKPDIFGFTSWCNLGYYKLDYGWGNPTWFALFGKVGSAFRNHTVFVETKCGKGIEAWITLDEERMLVLEKDPEFLKFASPNLKISSL >OMO62761 pep supercontig:CCACVL1_1.0:contig13168:2210:2430:1 gene:CCACVL1_22650 transcript:OMO62761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEESVAAAAVADEEVPEIASSWFLFLSTKTIGIGRKNGKLVFQSTK >OMO58960 pep supercontig:CCACVL1_1.0:contig14077:26918:28505:1 gene:CCACVL1_25214 transcript:OMO58960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAYAKRLGHTTSIEDQTFKLRKRRQNVVEFILFKRNTAQRRRYHEEYRP >OMO58956 pep supercontig:CCACVL1_1.0:contig14077:6874:8954:1 gene:CCACVL1_25210 transcript:OMO58956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MNPTNTVFDAKRLIGRRFSDPSVQSDMKHWPFKVIPGAGDKPMIVVDYKGEVKQFSAEEISSMVLIKMREIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDEIEKMVQEAEKYKAEDEEHKKKIDAKNALENYAYNMRNTVKDEKIGSKLDPADKKKIEDAIDGAIEWLDNNQLAEADEFEDKMKELESICNPIIAKMYQGAGGDMGGGMDDDAPPAGGSGAGPKIEEVD >OMO58958 pep supercontig:CCACVL1_1.0:contig14077:18507:19676:-1 gene:CCACVL1_25212 transcript:OMO58958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide repeat-containing protein MANRRLLKQIVGDISLPDSSPFAKLLDSCIHSKSLHGVHRLHARIIKSPFASETFILNRLIDAFENCKQLEQALKKSTENKKI >OMO58959 pep supercontig:CCACVL1_1.0:contig14077:20418:22283:1 gene:CCACVL1_25213 transcript:OMO58959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNSPVLANRKQIQLLAKQVTLINVAGDDSEQRPADNHPFKCNGIVEKIQSKLFLTLRVAKPTLAKPTELYFF >OMO58957 pep supercontig:CCACVL1_1.0:contig14077:13351:15550:-1 gene:CCACVL1_25211 transcript:OMO58957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIERYQIVDTFEESNTVVHRAFDPERKVEVVLKEMQLNANDLAKAYLREAAILYDLSHPNIVRLERAFIHENRLWLVLECLDIDLAMRINTGAGLNAAHVKPYLKQILEAVSYCHSFGILHRDLKPANILLDSSHAVLKLADFDMARVFMGPDVKFTPDLVTMNYRAPELLMGARVYTPAVDMWSVGCIFAEMMKKPEKDFLFEGDLPEKVIRDAIVPLLGTPTEESWPGVSNLPGYEKIVKDLPPKDLAQEFPFNDPNAIDLLSKLLCMNPSQRISAEDALRHPFFVQASED >OMO65853 pep supercontig:CCACVL1_1.0:contig12615:1593:7107:1 gene:CCACVL1_21367 transcript:OMO65853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNNSQLWTDPLLLTDVSWQQNSSNYTNAAMSSDSKLLSMLDSDCSPNTNSDEPWPNQSSDRISTPLREINGRSLLGFSLTSPDLVVCTGSPDIPSKIYGDSPEFLEKNRRSFELSLENGIDGSETTTKQKTPTVKFSTVCQTFDRELSPDSSFELLPLPEASDYVQNKHEDLPVISINAGCINGAVELDGVTYSDDNFYSGGDVIRTDTMVGDGEGKSLYNSARFGDFSYKFSSLESGSYTVDLHFAEIVFTSGPPGIRVFDVFIQEEKVVSSLDIYGQVGANKPLVIPNIRTFVDSGGGLLIRFEGVVRSPIVCGITVRKESPASFKEAESQEVMGMAELGDHESPRDMSECEVEVQYKNLQRNYERQSKELAEMRRAFEALKRENQLKSKECEEACRSLQELQNELMRKSMHVGSLAFAIEGQVKEKSRWFSSLRDMTRKLKIMKMEHIKLSEEVSMYKNCFKDVNEINSKIISRINQQADLHEDLKIKYIKGAKERKELYNKVLELKGNIRVFCRCRPLSSEEIAAGSSMSIDFESAKDGELTVISNGAPRKTFKFDAVFGPQASQADVFEDTAPFATSVLDGYNVCIFAYGQTGTGKTFTMEGTKEARGVNFRTLEELFRIINERQKLYRYEISVSVLEVYNEQIRDLLVSGSQQGMAPKRLEIRQVGEGAHHVPGLVEAHVNNINEVWEVLQTGSNARAVGSTNANEHSSRSHCIHCVMVKGENLLNGECTKSKLWLVDLAGSERVAKTEVHGERLKETQNINRSLSALGDVVSALATKSPHIPFRNSKLTHLLQDSLGGDSKTLMFVQISPHENDLGESLCSLNFASRVRGIELGPARKQIDSSELLRCKQMVEKSKQDMKIKDMQIRRMEETVHGLELKLKDKDLKNRNLQDKVKELEAQLLIERKLARQHVDTKIAEQHQQQQQLKQQNEEVNSNSALRPPLAIRPLGANKNLNEVTNGALFKEQLNLTRPLVENSFRPAIPFSLTDGSTKQIDPAEKENNPEMAEQLRLPKRIGRASICPTARRMSITSAPRRNSLIPLPSTPYITQATPPVLPFPPQPDIKEEIDEFVPEQTVCNSPNAMKSGGKKLSSILRRSLQKKVQLKSPLQQNFRRGVNVGMERVRVSIGSRNRMAARVLAGNGRKGGPKEIQQKQNHKEKERGWNIGTVGRTAI >OMO65854 pep supercontig:CCACVL1_1.0:contig12615:7569:9014:-1 gene:CCACVL1_21368 transcript:OMO65854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEETYFPDTDLDFSFTSTTTDRTFPSSSARSSLARSSLTLSFNDRLSCSTTTTTTASTTNFTNLHHRNWDPRWSAIKAATNLSSDGKLHLSHLKLIRHLGTGNLGRVFLCQLRDCSSATFALKVIDRESLSNKKLSHVQMEGEILSMLDHPFLPTLYAHIEASHYTCLLIDYCPNGDLHSLLRKQPGNRFPLSAVRFFAAEVLVALEYLHALGIVYRDLKPENILLREDGHIMLSDFDLCFKADVVPTFKFLRRKSRKSRRNCFAGECFGSVMDSREEEEELVPEFVAEPVTAFSRSCVGTHEYLAPELVSGNGHGNGVDWWAFGIFIYELIYGTTPFKGGSKDSTLRNIASSRQVRFLHVAEVEEAGMAEAKDLIEKLLVKDPRRRLGCTRGAQDIKRHPFFNGIKWPLIRHYKPPEVVGGVARKRGHGHVGHVKRRRWFWKRLDSLLMRNRNKGSLNLSSSYYDYVHNTYNNKPRKHA >OMO67956 pep supercontig:CCACVL1_1.0:contig12342:6092:7851:-1 gene:CCACVL1_20173 transcript:OMO67956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVCKGLLSVAKRGLSSQRPFCNRPSSSSNPLLNRLLQLPSSLIKTTLNSDDRFAQKSSNFSWDALAAGLPSFPSEKAQLVLEWKLEKMLKENERDYDQYLNLLSLCSKVRNVSLAMHVFTSMEVHGIKPATPVFNSLINACFSSKDAITALSLFEIMQSSEDYKPNAETYEAFIIGFSSLGNAVAMKSWYSAKKAVGYCAKLQTYESLISGCIKSSDFDGAEKFYEEMMSIGIMPNEPILENVVEGFCRQRKLNQVKEFLKSFLDVGQEISLKMAEKIVRLYSEHGKVDEMEELLSTVVESGQVVEVLLQVYSGIIRMYAMLNRLDDVEYCVGRMFKQGLSFRCSDDLEKVICCYLRKEAYDRLEIFLEHIKGSHKLTKSTYNLLVAGYRRAGLSEKLDLLVKEMELAGIIPS >OMO67960 pep supercontig:CCACVL1_1.0:contig12342:23767:25677:-1 gene:CCACVL1_20177 transcript:OMO67960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLDSPVQTQMAVAFFNGTCSGEYLGNTRARPNRTRRVFVQTETGSVLGLELDRNDNAHTVKKRLQLALNVPTDESSLTFGDLTLKNDLSAIRNDSPLLLTRNALHRSSSTPCLSPRGKNLQQRDRGGPIEILGRSNRFDGTTGLVKDITKAIKNGIDPIPVSGGLGGAYYFRNIRGENLAIVKPTDEEPFAPNNPKGFVGKALGQPGVKRSVRVGETGFREVAAYLLDYGHFANVPPTVLVKVTHSVFNVNDGVNGNKLQNKKKVSKIASLQQFISHDYDASDYGTSSFPVDAIHRIGILDIRIFNTDRHGGNLLVRKLGGVGGFGQVELIPIDHGLCLPESLEDPYFEWIHWPQASIPFSENELEYIKNLDPVRDCDMLRAELPMIREACLRVLVLCTIFLKEAAAFGLCLAEIGEMMSREFHCQEEEPSELEVVCLEAKELIMEMDMSSFEADERDSEFQFDMDYEAAEVNVAPKMSCNLSLKAPFSYGSESVNDHSFLTQVDEGLEESESQVDGGPVRDRIAGRRKEDWFLTASRLSLSLKKVNLGEKGFPCHGGKPEGNYTMGYSSRMRRSADEQQLPTSMSFVKFADMNEGEWDLFLEKFKELLYPAFAKRRSRTIGQRQRLGTSCQF >OMO67955 pep supercontig:CCACVL1_1.0:contig12342:3870:5291:1 gene:CCACVL1_20172 transcript:OMO67955 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MANAGVHILVYPFPGAGHIIPFLDLTHRLLNRGLTVTVLVTPNNLHLLDQLLSRHASSSLHPLVLPAPDPPAKFGLIPRMRALRELHYPLLLHWFHSHASPPLAIISDFFLGWTLSLASELGVPRLVFSPSGSFSISLNFSMCREPPRIDDPEDVDHIVSFNGLPNSPEFPCHQITLMYSWGVVLNTCSHLESIYIDHIKKEMGHDRVWAVGPLMVPDEDGDGDDANAMDLTNRVDASRPEKDRAKKLSEAALTAVKGGTSHKDLESFVKTVKELKAQQSD >OMO67959 pep supercontig:CCACVL1_1.0:contig12342:18610:21930:1 gene:CCACVL1_20176 transcript:OMO67959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAGDSRTNSPSSSPNKRLKLTSPDSKSIIQVEEQASAYEDDSDSDICGICLSDGGRGAIRGKIDSCDHYFCFVCIMEWAKVESRCPMCKRRFTAICRPPKEGVFATERLVNVPQRDQVYHLYGNATSGPFNPYSESKCSVCHGISDESLLLLCDLCDSAAHTYCVGLGATVPDGDWFCHDCALSRSEHNKNEVDTDTDDNVIPGSTNIKLLVEANASIFDIVQESNIPVLGGHNASLLPPLIPQRECIAADEVSGPTERNRNNACNSTQSGATQSGARTLGRCRNVHNRIRALRENWRALQSRSLSFSPSLGEPGGSSSRKGKTAHTSLLDNSSSGPQSSSSTSQPSTSQDGFVEPKGDLYDTEKAWKMMNIAKSMQNKHKKTSSLKQSSTKPSCLGSASKKAISNSGLDISKIQQSESRNEDSMGKQRQHRYYHREREKGKHKYPEMEKQKGTVMNVESSERVLAPLSPKSFQSPSSTKFYIQNDDCHVNGVRPFVNNDQRKCQGSSSHASKDGYSCSSIVGSVLQGSTGSSHSKSEVGVSKLDVPEGRTRMGKSSKSKDGQDDNVKSEIQSLVKLNLRLLSQDKRLGVDAFKEIARLATHTILAACGFEHSKSSIHFFPSSNVVSSVMIEKAYRGAY >OMO67957 pep supercontig:CCACVL1_1.0:contig12342:8600:14950:-1 gene:CCACVL1_20174 transcript:OMO67957 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEVDGETSSNPEGVEASSNPEDVEANAAEDPGEEEENIAAAGMAKTMAIIGKNAHVLVIPFPAQGHMIPLLDLTHHLATAATTNLTITILVTPKNLPLLSQLLSSHPPIKTLLLPFPSHPSIPSHVENFQDLPPDRLTALIHALGQLYHPLLSWFQSQSSRPPSFILSDMFMGWTQRLASHLGIKRIVFSPSGAMAFSVMCSLWLHLPNPDAPHDQTAVIAFDEIPNCPKYPWWKISPTYRACVGGDPAAEFIRDAFIANVESWGLAWVRAHTERWVQLGIGGALVDVARHV >OMO67958 pep supercontig:CCACVL1_1.0:contig12342:17467:17769:1 gene:CCACVL1_20175 transcript:OMO67958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAGDFSNKTLQIPTLKFEPVILDDRRQRRSIDGRDSDYFRCGLCFSDRGKPALRGTIDCCNHTFCFVCIVRWVFLPSLQAPLHHNLWTVEEHRLCLQTPL >OMO67954 pep supercontig:CCACVL1_1.0:contig12342:1835:3019:1 gene:CCACVL1_20171 transcript:OMO67954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVYDAVPAAAEAEVGNTRLEGRKMMLKWGLDRKAMAVEGADGEESAKISGKGRYALNNQIRSSQEKFINQDDRNLMKVKFQSCANPKASRKHLPCKSQLCFQNSKPVSLKGSLKCFSRTRSPTTRKLVPKESDNFSPDQKLQSSKRPLEAAKELMNVMVEDYTGPPAHKPPIHN >OMP11148 pep supercontig:CCACVL1_1.0:contig01531:57:122:1 gene:CCACVL1_00660 transcript:OMP11148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNDNYLNTFVSPEKPKGQQ >OMO55607 pep supercontig:CCACVL1_1.0:contig14654:19597:22392:-1 gene:CCACVL1_27148 transcript:OMO55607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MADALLSAVVNTILDNINSLWLQEFGITGGLKTELESLQSTLTTIQAVLLDAEEKQWTSEAIKNWLRKLKDAAYDVDDRESKSQSCLVDGTNLEFLNLFEEEDEQYEDKEKIVNVLFNTRLRDENDLSIYAICGMGGLGKTTLIQLVYNDERVERAFDLRIWVCVSDSFEVIRLTRAIIESIDVSSCEIKELDPLQRHLQERLMRKRFLLILDDVWSESSAKWESLRNPLMSGANGSTVIVTTRIEKVAHIMATPILPIYHLGYLPEHDSWLLFKQRAFQRESEGNNMKLERIGKQIVKKCGGVPLAVKALGSMLRLKRKESEWLSVKESEIWELSDDGSSILPALRLSYDNLPPYLRQCFAYCCIFPKDTKMDKSWLIELWIANGFVPPRGQRELCEIGDEIFNELSWRSFFQDVKEHHDGTITCKMHDLIHDLAISIMRFECYMFDIIKCVDISHCHSLKQTPPEISCLAHLLELSIFIVRKDRGCGIGELKELNLGNELCIKELVNVEGSTEAKSANLMRKLNLKSLSLIWGKNAGEFPDNEEEVLSSLQPHSNLLYLQICGYQGLVLAAWMIEGYRVSRH >OMO57000 pep supercontig:CCACVL1_1.0:contig14456:15474:22684:-1 gene:CCACVL1_26088 transcript:OMO57000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALAASVSSSWIPEDDLLLKNAIESGASLEALARGAVRFSRKFTVRELQDRWRSLLYDPVISAQASAQMIQVELSAPNLNSKSNKIDHSVENGSAKRKSESIRRLFYDMRKRACNQFLANSSDVSFLESPNNNDCIMQDQFGFCELGVNSVNNGSQKDDLKVTLEKDCSGEKVENLEQNDGLKQSPHITGEVTVEFEHHSVIEETKPYSMEYSPQQPDMPLWTTMEDVPAAVMPINADTDALLNFDGDTLDRSCYDSVNSLLLNSPDDVNKDDASKTKEPETYDSVTSPGIAEAACPANLEQIPDQQSHSGQGEQLGISCSEINLPSTTAVSDPHSPELHVDVTCCMLNSEDPEIPCNDDIILGDAFALSVEEKCHKMGGEQASSSANLLGSKEELSLIKKEDNLAQCFPTPKMVGPEDLSESSQGVKSEIRDAQCHMISRQAQNSMVDPSRSKATQAFPNSAINGAAKEEPSHECNTEVMPTYAETSSILDTILEPEANPSTSDCVEYESDDNAPSFSDVEAMILEMDLCPDDSDSFLSREVARYQDEHAKTRIVRLEQCARSAMQRAIASRGALAIFYGHHMKHYIKETEVILGRATMDVDVDIDLGREGRANKISRRQALIKLEEDGSFSLKNLGKSPIFINGKEVPNGQMMGLGSSSLIEIRDMTFVFETNQNHVKRYLAKLNQKNQEKRTHFEWSEEERKRWAMNAADISSLCQINSSFHPYISSLLSVKREMGTFKFCVSTLVLLAAITRLLPGAESKTYWGDIEALKQLKNGVEPSSVTPGSCLSSWDFTVDPCDSLFSDRFSCGFRCDLMVSGLSRVTEVSLDSAGYSGSLSSAPWNNLPYLQTLDVSNNFFSGSIPGSLANLTRLTRLGLSRNTFSGQIPASIGSLSSLEELYLDNNNIQGSIPTSFNGLVSLRRLEIQSNKLSGELPELGSLKNLYFLDASNNAISGYLPSTFPPSLVQISMRNNMIQGTIPQSLKYLSFLQVLDLSHNKLTDSVPYFLFDHPSLQQLTLSFNSFTSIQSPSDLGTQSELIAVDLSNNELQGWLPPFLPLMPKLSALSLEYNKFSGMIPAQYALKTVMSGSGGIAPFARLLLGGNYLFGPIPGPLLLLKPDSVTVSLADNCLIRCPMRFFFCQGAYQKSLVECKRFSPVIP >OMO57001 pep supercontig:CCACVL1_1.0:contig14456:23912:25461:-1 gene:CCACVL1_26089 transcript:OMO57001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRGKIEIRKIENTTNRQVTYSKRRNGIFKKAQELTVLCDAKVSLIMFSGTGKLHEYISPNISTKTFFDLYQKTLGIDLWSTHYEKMQEHYRKLKEINNKLRREIKQRLGEDLEDLNIKELQALEAKMASALDAVRYHVIKTQTDTCKKKVKNLEERHANLVLDLEAKIEDGIVENEGYYESANGASNLYALRLHQNHHPSLLHHHAGRFGPHHHLRLA >OMP11078 pep supercontig:CCACVL1_1.0:contig01577:534:620:-1 gene:CCACVL1_00679 transcript:OMP11078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDELPELNPEEYEADRYPSPGRTRLRP >OMP11393 pep supercontig:CCACVL1_1.0:contig01329:1972:6197:1 gene:CCACVL1_00542 transcript:OMP11393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFLHLFFFFYLFLSSATVSLSYEPRNHEVEALISIKKELNDPHGVLNNWDEDSVDPCSWAMITCSSENLVVGLGAPSQSLSGTLSGTIGNLTNLRQVLLQNNNLSGQIPPELGTLPKLQTLDLSNNHFSGAIPASFGQLNSLQYLRLNNNSLSGSFPASLAKIPQLAFLDLSFNNLSGPVPKFPARTFNIVGNPLICGSSSTELCSGSANAVPLQFSPGSSDGEKKSKKLAIALGISLSFAFLILLTLALLWQRRKRKKLTILNISDKQEEGLISLGNLRNFTFRELQLSTDNFSSKNILGTGGFGNVYKGKLGNGTLVAVKRLKDLTGSFGESQFCTELEMISLAVHRNLLRLIGYCATSNERLLVYPYMSNGSVASRLRGKPALDWNTRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLIELITGMRALEFGKTVSQKGAMLEWVKRIQQEKKVELLVDRELGSNYDRIEVGEMLQVALLCTQYLPAHRPKMSEVVRMLEGDGLAEKWAASHNHSNPTMNLFPNNFSKSMPRPTTGSKHDEKSHDQSSNMFGTGMDEDDDEHSLDSYAMELSGPR >OMP11394 pep supercontig:CCACVL1_1.0:contig01329:6643:6804:-1 gene:CCACVL1_00543 transcript:OMP11394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVAIVIGNNSSVNRKVKEKIRDKETEKETKRASAKLTSSWRDTAKASTENDP >OMO62979 pep supercontig:CCACVL1_1.0:contig13091:26336:27774:1 gene:CCACVL1_22550 transcript:OMO62979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MISSSTASSFILSTILLTLLLSQTKAQLNATFYDSTCPNASTIVRSVIQQALQSDIRIGASLIRLHFHDCFVNGCDASILLDNSANIQSEKDAGPNTNSTRGFDIVDNIKTALENSCPGIVSCADILALAAEASVSLQGGPSWSVLLGRRDSLTANQAGANSSIPSPFENLSNLTSKFSAVGLDTTDLVALSGAHTFGRAQCRLFVNRLYNFNGTGNPDPTLNSSYLTTLQQICPQSGSGFNVANLDLTTSDSFDNNYFSNLQNGQGLLQSDQELFSTSGAPTISLVNTFSGNQTAFFQSFAQSMIKMGNISPLTGSNGEIRSDCKKVNGS >OMO62980 pep supercontig:CCACVL1_1.0:contig13091:37989:39239:1 gene:CCACVL1_22551 transcript:OMO62980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGDETSDTMNLDLNLGPAPETGSGSVASEVLNWDDLSDPFDRIREAVRRRRWRWQQVQIPAATQNLSVELDQFMGNSGNVSTLQAGEGSVTAEERTSDVPKACENTNGFLEDEVTENKDNIEKGVSNDGSFFDCNICLDLAREPVVTCCGHLFCWSCLYRWLHVHSDAKECPVCKGEVAVKTLTPIYGRGNITHEPEEDSGLKIPPRPAARRVDSWRQTIQRTALNLPVEEMIRRLGSRFDLTRDLTPPREASSGRETTDRTSSVLNRILTPRLRGEQNTVVSLDDVDLAPSTTTGTEVSSRIQSLLVQRHSQLRRAARLTSLSSALSSAERLVEAYFRSNPVGRNQEQPPPPVDDRDSFSSIAAVINSESQMDSAVEIDSVVSLSASSSRRRSDASRITDVDSGDSRAHRRRRLN >OMO62978 pep supercontig:CCACVL1_1.0:contig13091:667:2676:-1 gene:CCACVL1_22549 transcript:OMO62978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 8 MRIRKRQVPLPFSSISPVPLPSDPNFNRSPVVQLSLQQPTPCFDPRPSSDHPNNHPIGQLRTQAGRDSSAFSPFGEDNHHQKKGLNQGVEEEGRGGEGEKSNDTRKKSVMGAQVGTGSFPESSNSSHRAVGTWGEGEKSFPLKKRRGSFDRRGNNNVYDVDDDTIIMEKDNSNKKVQMMMNNNKMKTKMNKKFVQQQNTDNHAQEESKDGIVTASVDSNNNSNSNNPSGAKKRGRGGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMTSVRSRSLAAKKEEQLPKTEDHHHHHHHQPQQQQQPLSSNSSPSQQVKQIMKRESLGESTGENEKEEEGDQKPLTMTKKRVKLGMVKARSISSLLGQNDNAIALAEDNN >OMO72773 pep supercontig:CCACVL1_1.0:contig11380:4190:6640:-1 gene:CCACVL1_17602 transcript:OMO72773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPAQLLMDFNLDHKPQCAAKAAADKEKKTPLDSSNCEETINSMEYVSPKSAEDVEVDIIGCTNNNDTETVKTEDPDATECSSSFAETTSDTEKCSGLSDAEVESQFTSDAPFVSAYDAFSSVFQLRKKKLTSHWRSFIRPLMWRCKWTELRIKEIESQAQKYARKLAAYEQRKFSGFDQSTLEGFASKSLSFPSQCYRKKAIKRRRRKRVEETTDISSYMSNHNLFSYLENKKTIADGTYIADDFANTANADQHADSNDKFSINNDQLLLEFRDNNNSLEQVLWKIEIVHSRVHKLRSQLDLVMSKNASKFSSSENLSLLAACDAQTSSAPSPTFSAGNGDTISMGPVFNTTHQQISEYDVGDLVMPANSIANYGEAFHVPDIIESTVGLLSSADVTSHQPHIGDSCEDIVENVLIQNEGNTGVLLRTNSQPIKQHNQPEKGEEGDSTNRSTIPISEPNHATKSMVSQEQSTLRSCLASDICFPRNKRKRGERKAGSGGWSKKHSNEPDSQ >OMP11544 pep supercontig:CCACVL1_1.0:contig01177:949:11005:1 gene:CCACVL1_00453 transcript:OMP11544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MTGALCHRQKKMMGRGADGGCGTEERPWRPISRVPGRSPVTQHENAEKQISSDVGVDFFSQARKALSERSPFDTPADGSVSGLSVPTLPSGLASLLKPTDSRKRHKKSHSGADKKSSRQGEKARGGSIWFETEEYFRDLALPDIDALFQITSFSSLAARKKCLMIPYVGNEPRADLHLEADMDEKASVTCGENLNARNENGDLGEEVKEVVKEEDGQSMEIDSMETQAQCSPKEEKECSVSDSCSSLEWLLGCRSRVLLTSERPSKKRKLLGGDAGLEKVLVACACDGNLSLCHFCCTGDTGKDSNRLIVCSSCKVAVHQKCYGVPNDIDDSWLCSWCKQKNDIDDKVKPCVLCPKQGGALKPIQKDDENAESLEFAHLFCSHWMPEVYIEDLAKMEPIINMGGIKDNRKKLVCNVCKMKYGACVRCSHGTCRTSFHPLCAREARQRMEVWGRYGCGSMELRAFCSKHSDVHDNSSSPQLGEVSAAGSDSSITNQLSPTSVDTSQKLNIGLKNGDKIAVHLEAPDDNCDKSVDGELQELGLPDTRLNARIVSGCGDAEQLVDVGLLESSNGDHVNPSDTLNFALILKKLIDQGKVILKDVALEMELSPDSLSATLDDDNLAPDLQCKIVKWLRNHAYMASSQKNIKVKIKSLISSKDETGAMDSSDDIMVSDSDITDPVAVKSVPPRRRTKSNVRILRDNKVICSDEIINDNGNSANPDGSQDTSERHVSASEGNIANPLNDSLTERSQLERTITPDKITAANSDKRNSICPTVNQFICDLIRTEEFSNFYIHPYIHKKLVQLHNGTLYKNRVGKFEGTREGDLSRLAVSSNASVCSSNQNEHSKCNDKSYTSDDLEQLVKARKMGVLKMSPEDEVEGEIIYFQHRLLGNAVARNRFTDNLVSRVAKSLPHEVEAARGQKWDAVLVNQYLYELREAKKQGRKERRHKEAQASLAAATAAAAASSRISSLRKDGLEDSSQQELNASGGRAGFSSQPRAKDALSRNVVPRVSSEKFSDMVQSVSDFSKEHPRSCDICRRSETMLNPILVCSGCKVAVHLDCYRNVKESTGPWCCELCEELFSSRSSGAPSLNFWEKPYPAAECGLCGGTTGAFRKSVDGQWVHAFCAEWVLESTFRRGQVNPVEGMEVASRGVDICCVCRRRQGACIKCSYGHCQTTFHPSCARSAGFFMNVKLSGGKLQHKAYCERHSVEQRAKAETQKHGIEELKNMKQIRVELERLRLLCERIIKREKLKRELVLCSHEILACKRDHVTRSVLVHSPFFHPDVSSESATTSLKGHTDGYKSCSEPMRSDDVTVDSTLSVKHRVKVPVSMDNDQRTDDSSTSQSLFVRKPTERMAFAGKQIPHRYSLASRNGLDNAEWNSKSRKPFDTFEKELVMTSDEASMKNSRLPKGYCYVPVDCLPKEKDVTQDACSDGQLEHNG >OMP06998 pep supercontig:CCACVL1_1.0:contig04732:18:1237:-1 gene:CCACVL1_01402 transcript:OMP06998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFARGLNTNDGDYIKTSLVNPNQDGFELLVLLGLLSGEPKYPETVIPFRLTSSSTYVSSTSSPDSVKSRPETRLGNRKETSSSSGTGTLSLLTAPLDSEQLSSSDYGKT >OMO67454 pep supercontig:CCACVL1_1.0:contig12429:16599:18418:-1 gene:CCACVL1_20517 transcript:OMO67454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSHASVHPVDDPPTTDGGGGGGAGNNIEVPRVRMKDVQGMPGTPGGLALRICQLVFAVVGLFVMATTSDFPSVTAFCYLVAATGLQSLWSLSLAFIDIYALLVRRSLQNYRVVSLFTIGDGITSTLTFAAACASAGITVLIDNDLDSCAQNHCAQFETATAMAFISWFTALPSFLLNFWSLASR >OMO67455 pep supercontig:CCACVL1_1.0:contig12429:25881:25988:-1 gene:CCACVL1_20518 transcript:OMO67455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKQEVNASCTWKVIYPMAFLVISKPRDNSVAHSAA >OMO67453 pep supercontig:CCACVL1_1.0:contig12429:8529:14409:1 gene:CCACVL1_20516 transcript:OMO67453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVAHHRESSSGSSINKHLDPGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVCENGYMRQQLHTVNASAATDASCDSVVTTPQQSMRDASNPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQSCSGVAARACGLVSLEPTKIAEILKDRPSWFRECRNLEVFTMFPAGNGGTIELVYTQTYAPTTLAPARDFWTLRYTTTLENGSLVVCERSLSGSGAGPSAAAAAQFVRAEMLPSGYLIRPCEGGGSIIHIVDHLNLEAWSVPEVLRPLYESSKVIAQKMTIAALRYIRQIAQETSGEVVYGLGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSIMNCDGAEDVIIAINSSKSLSSTSNPANALSFLGGVLCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAYSAASLKAGTYAYPGMRPTRFTGSQIIMPLGHTIEHEELLEVIRLEGHSLVQEDAFLSRDIHLLQICSGIDENAVGACSELVFAPIDEMFPDDAPLLPSGFRIIPLDSKPSDTQDSVTTNRTLDLTSSLEVGPATNNAAGDAPSQNTRSVLTIAFQFPFDSNLQDNVATMARQYVRSVISSVQRVAMAISPSGLSPTVGTKLSPGSPEALTLAHWICQSYSYHLGAELLRSESLGGDSVLKNLWQHQDAILCCSLKSLPVFIFANQAGLDMLETTLVALQDITLDKIFDESGRKALCSDFAKLMQQGFAYLPAGICMSTMGRHVSYEQAVAWKVLAADDESTVHCLAFSFVNWSFV >OMP11339 pep supercontig:CCACVL1_1.0:contig01378:4559:6218:-1 gene:CCACVL1_00572 transcript:OMP11339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MGKVVKREADNDEDEFELQFGGFTEDDDNKKRKNMNMIVGGSGRKGSIATGGGAAGGLRYCQADECNADLSDAKQYHKRHKVCAHHSKAQVVLVAGIRQRFCQQCSSRFHDLAEFDEAKRSCRRRLAGHNERRRKNSAESHGDGSGQKGTEQLKDMICGQVGDRGRMKLTIQENPTYKHFQII >OMO86129 pep supercontig:CCACVL1_1.0:contig09532:93234:100953:-1 gene:CCACVL1_09793 transcript:OMO86129 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MDTVPGAAGRREPRSGGKMMRPRRATLHRTPYDRPGLPNSTQQNPNWISRRIFSPTRTIVSGAGRVLSAVFGFESSSSSSSSDHDSSSDGDDDNNDDQNVSSQSGDTVEHMEPQSFAGKDETKHLIEHVSGDTVEHMEPQSFAGKDETKRLIEQLLLHETFSREECDKLINILKSRVVDSPIIGGLGIARLNETPNRTVGSDVEVHDLCSSAVMEARKWLEEKRSGSNSKPDFHRGASDLGSVALKHGAEGEAGSPVDMAKSYMRTRPPWASPSTNNVEFRSPSPIGMPLFKEETPYSSGGNSLYLSKIFYDYVTVDKSVIVPLEGIVLTTLKRDSSATGSWNIQEEIRKVRSKATEEMLKTPSSSKIDWSSFALEHKRGPDSLVVYNLGSTREYDPQSSKMSVDASVDFAARPVSQITQDALHNDALPNPATLLCEQNQGVEAIESIVGSDETCLGQRLQVTVAMKTMSNSDCVAVNVDHFKDTNGSTQLLRFTREEAVLDSQVEDKNYSALKEKDGVDNALPAPNGFPLSQSSMSAELNKKQNDGPINEEDNTVGSGNDNTMRVEAKENYDMLSEASVDVPIVNETDAVAPGSPNSSSMQDEGSPQNLNKPNVKRVAAGKNNSGIEKQLGKKAKPRKDRFGPTDNTWALNPGEKKSPSMDSAIAQKTWELENNILTVEKPDPSSDSIFYYDEAAQAKFQQEKPWANDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDDPISEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENQLAHSRIGPLGPPRKKEEESQLAKITRDSAKITVEQVHGLMSQPLILNIFPLYTCRLSRTSLFNSVRLSNRGRSEQSDPEPMVEA >OMO86135 pep supercontig:CCACVL1_1.0:contig09532:127045:134143:-1 gene:CCACVL1_09800 transcript:OMO86135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIHPNCINKPQFSSSQLSVHGVPQRSKKFSAVRVSNFAATTTTEVAADNGTARRSLTSSNDSQNKSLSLEKSSSAMEQLDIERGVCIPFRKYSPETVRNKVLESRQSIASLILRGVEIVWNLGFYWSSLMYDCLVGRDEEVVPFRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNQVAFKIIEEELGQPLEALFSKISSETIAAASLGQVYRATLRSSGEDVAIKVQRPQIEPIIYRDLFLFRTLASFLNGISIQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFIENFKDDPTVKIPRVYKNLSGSRVLVMEWIDGIRCTDPQAIKNAGIDVNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVSPIIPALEAIWQNSAGKGLSDFNFRSVTGQFNKLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLIVLAKENVAKMSSNPALQKNDMPSSRSLQVQRKLDLTDTIKDGARLFLFDEGIRRQLLLALTEDSKLHVQELVDVYRLVEDDIDVPSVAVEIVQDRGREKKIEIHSIALSLNPIFNSSCQEQLMASNLKQEDEEEIKQDQFQRLPDDVVMLVINKLIHMKTLIRFSLVAKRYSSLVPQTHSLFVDFYTPPPPTSFMGHLGAFLRNLVAKFRRAPNPITQKLSLIKDGTFKQLKHLHLHYGTVNPSAAVSLQSGSSCNKWVSVYGSKSQFETCVCLMASSVLVNQSNSNPSVAGNSNTNSDMLAYHNEDPELFLDLFIMMLKHLRERNDIIREVVLDCKTLETVVFTDDKILGGNFIMGKELIARLQGKLEVSNSDPEELLAWVVHVPTLVLPKSGYTMNDVIIILASPDDQDHETVEVMDAEEDGDLELAILDGSVLKKWEVLDEAVRVILDDDKLDENTKTLCASYIPFHTLMED >OMO86122 pep supercontig:CCACVL1_1.0:contig09532:47673:48041:1 gene:CCACVL1_09786 transcript:OMO86122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREDGSILSRFKKAVKKLNSLLSLDLTKWRLSCFLRRASGKWRPTLSFNDRLGLHSFLEDEETNGTSSVRALQRVRSYGAGDDDVDKRAEIFISNFRRQLWLERQVSLELRYCRTRSFDTD >OMO86125 pep supercontig:CCACVL1_1.0:contig09532:64925:66184:-1 gene:CCACVL1_09789 transcript:OMO86125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator Hfi1/Transcriptional adapter 1 MQPPQGSRIDLGELKSQIVKRIGAERSKRYFYNLSRFLSQKLSKSEFDKSCYHILGRENLPLHNQLIRSILKNACQAKTPPPVHEAGPAKSLIQTVKSSPGREDGHEQTTSLVPNQNMAIWSNGVLPVSSPRKVRSGIRDRKFRDRPSPLGPNGKVDSVSHQLLGMEDNANKLGMENGDLTPYDYQRPVQHLQAVAEQPEMEREGLGRAREKTRVPSKDQTEGVIFEDGEEVEQANHIKLSRSPLLAPLGIPFCSASVGGARKAMPVGSGGNFVSYYDSGGLYDTETLRKRMEQIAAAQGLGGVSVECASMLNNMVDVYLKKLIRSCVDLVGSRSMHDLRKHSAHKLQSQGKLVNGIWPSNHLHMQSSSGPTTEVMQEQGQRCSISLLDFKVAMELNPQQLGEDWPLLLEKICMHSLEE >OMO86117 pep supercontig:CCACVL1_1.0:contig09532:16439:20047:-1 gene:CCACVL1_09781 transcript:OMO86117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSCSLLVYFDKGTPAIANEIKEALEGNDVPAKVDAMKKAISLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIEKTDAKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAILAVMSIYKLPQGEQLLVDAPEMIEKVLSTEQDPSAKRNAFLMLFTCAQDRAVNYLLTNVDRVPEWGELLQMVVLELIRKVCRTNREEKGKYIKIIISLLNAPSTAVIYECASTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKASHRDIMVDMIMDVLRALSSPNLDIRRKTLDIVLELITPRNINEVVLMLKKEVVKTQSGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDANVASAIDVVVFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSVSEEGEATDASKKPPQANSITVSSRRPAILADGTYATQSAASETAFSPPTIVQGTLASGNLRSLLLTGDFFLGAVVACTLTKLILRLEEVQPSKVEVNKATSQALLFMVSMLQLGQSSVLPHPIDNDSYDRIVLCIRLLCNTGDEIRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSHAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFVKDADDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVAVNTVIQDEKEFLDHIIKSTNMKCLTAPSALDGECGFLAANLYAKSVFGEDALVNVSIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >OMO86126 pep supercontig:CCACVL1_1.0:contig09532:70403:76628:1 gene:CCACVL1_09790 transcript:OMO86126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MGEVNFLQEEDIRLENTRARFANVVKRHAQLTERLSRDSDKTIYERLQKEFEAARASQSQEVNLDGEQWNDGLLATLREQVHMEADRKARSGETNNNMPTSHFEERITYRVGNKVICCLEGTRIGILYETSFAGDPCDLYHCVLESKSFLEKMTVLEHTVPFFLPIREAENELLSSNAMRFIDHIGELLQAYVDRREQVRLIKELYGNQIGELYHSLPYHMIEFVLDDSDCKVTISLRYADLVSVLPTRVKVLAWPMHQLKKNHTSSAILNRNENGTMNSHPIPARLSYAEDALRTMSLPEGIAFTVGYYQNSCPDVESIVNSAVKQKFQQTFVTAPATLRLFFHDCFVRGCDASVMLSSWNNTAEKDNPDNLSLAGDGFDTVIKAKAAVDSVPECRNKVSCADILAMATRDVITLTGGPFYAVELGRLDGRMSTKASVRHHLPGPDFKLGKLKAMFASHGLTLTDLVALSGAHTIGFSHCSRFARRIYKFKSKTGIDPTLDQAYARQLRQMCPENVDPRMAIEMDSATPRTFDNLYYKNLQQGKGLFTSDQSLFTDDAMSRNIVNVFASNNTAFEEAFVIAITKLGRLRVRKGKQGEIRHDCAVVNKI >OMO86119 pep supercontig:CCACVL1_1.0:contig09532:35456:36187:-1 gene:CCACVL1_09783 transcript:OMO86119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGTWHHISTNRISSKLRSPAARARRLVQVTSFVVDSGLGFLFFLFIIGRISRSSLGFAGFWCVTQFTVPP >OMO86121 pep supercontig:CCACVL1_1.0:contig09532:45241:46504:1 gene:CCACVL1_09785 transcript:OMO86121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L28 MAFRGKEMMKKMIKKVGENKLAPGVKEQLKKYIPDSKVVMGRAKRGLYAGRHIQFGNRISEDGGNKSRRFWKPNVQDKRLFSYILDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYHKMDTEMGLLWKAKMEKMYEDLGKMEVVFFTLEDEAKFEQQFKDMKLEHKAARRDARRKIFGWSGKQE >OMO86124 pep supercontig:CCACVL1_1.0:contig09532:60008:62220:1 gene:CCACVL1_09788 transcript:OMO86124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MDGYSGKRAVDGLVVPGKGSGLILKDHVNNRERNAQFCNRIGCNGRLNSMKGTPNGCSEKAKSSRPSYRTSSSGKEIIGSSSRAYSAVSNPKKSSTNPQKKSLLQLETDSSETSSVQDEPEGSELITPPGKIQRGLQPEPEDANSREVTVMEAGSSSVASSTRSRKNFIQRSGLGNQDSLANPSVTLATRGASQATRANSSKYGLRNLRCNSISDVVPSGCSSSDSSLNRRKDAVKKRNSDGEGSSSSKGKKLSGSSLEGRNNSSSHGVSISDSRRARNWPPNRDSGVASVRTRRSNSSYSRGRLPNQANGNSLTLNESPVVIPQVPQSDTSMDLNAPVSVETTSTRAGSYNRPSSISESLRSIMPSSPSEGGISRSPVNRDSFRRYNMDGIAEVLLALERIEQDEELTYEQLLVLETSLFLNGLNFYDQHRDMRLDIDNMSYEELLALEERMGSVSTALSEEALDKCLKKSIYEATSSEDTSVSCEGEKDDVKCSICQEEYVIGDEVGKLQCEHKYHASCIQQWLRVKNWCPICKASAEPTQSSSLS >OMO86132 pep supercontig:CCACVL1_1.0:contig09532:111075:114979:-1 gene:CCACVL1_09796 transcript:OMO86132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVNYGVDYDDDDGYDDYDEYDYDYEVEENVQAPSEEETAKHGVWRCSICTYDNDETMSACDICGVLRGPLVNNCIYDGKGTAPFKFDVPSPDDMVSHGLHSSKSGSKANIFDLKSSRVSGSVVGKNEAVQTHSSSRSDKSSASMPKGRVDNVDASSVAVNPRSSGKSSSSMMAKERQDMVDDSSSSKNRGEAHNLTSNPKNSSVAAKSGHSNDANAGRANSNTQYKPEKWMLPEKAEDSLTQLNLAIVGHVDSGKSTLSGRLLHLLGRISPKEMHKYEKESKLQGKGSFAYAWALDESAEERERGITMTVAVAYFDSKRYHVVVLDSPGHKDFVPNMITGATQADAAILVIDASIGSFEAGMDGTKGQTREHARLIRSFGVDQIIVAVNKMDAVEYSKDRFDLIKLQLGTFLRSCGFKDSSVSWIPLSAVENQNLVATPSDDRLSWYQGPYLLDAIDSFQPPTRDFLKPLLMPICDVMKSTSQGQVSACGKLEAGAVRSGSKVLVMPSASIATVRSLERDSQACTVARAGDNVAITLNGIDGNQVMAGDVLCHPDFPVAAAKHLELKVLVLDVATPILIGSQLEFHIHHVKEAATVTKIPSLLDSKTGKVTKKAPRCIVAKQSAVVEVILQEPVCVQEFSKCKALGRVFLRTLGRTVAVGIVTRIVEEQ >OMO86130 pep supercontig:CCACVL1_1.0:contig09532:101501:105587:1 gene:CCACVL1_09794 transcript:OMO86130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MVRLLRRIWELAASSRLTDYRIDEGRRRIKVAGVPGVTEALFLAKQAALAQEKDKQNEAPMLYSCGLCGKGYRSSKAYAQHLKSRTHLVRASQGTNNPEEEKAIIKPLPPRAVSKPPQPRDRDDEESEDEWVEVDPEEDLVGQAANSLTDLNVNEEASADDMDTDDEDGEELDPCCCFMCDKDHDTLESCMVHMHKFHGFFIPDVEYLKDPEGLLTYLGLKVKRDFMCLYCNERCHAFSSLEAVRKHMAAKGHCKVHYGDGDEDEEAELEEFYDYSSSYVDESGKQLVAVGDMGNNVELGGGSELIISRRTDRGITTRTLGSREYLRYYRQKPRPSPANNMAITAALAARYRSMGLATVQSREQIVRMKVMKAMNRSGVEAMRTKIGMKSNVIRNLPKNVPY >OMO86123 pep supercontig:CCACVL1_1.0:contig09532:48702:49988:-1 gene:CCACVL1_09787 transcript:OMO86123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTKRESNLGKEKRGGISPSNPSTTKKATSGSVPNYLRPTVSSRTETGPTTTKTNNAKKTGLGPDPNPNLLRRRSFDRPPSAAPRVHKALISPAREKPAATRSVSFSSKSNTSASSKGVTSDKVVKKPTNNAAKPQSTLSSSRSMKKTTNNSSAPATAKKPALKKHSSRRDKHEEQDVEITKLETEEVLDQHDHVDEILNNDLGDPVLDYSESPPKPEENEHHDDEDVLQVATEVKSDDEVKDHSPGPVEVSEEHNNINVIPETEEIEDKIHEEDQSDPADQHDEDHKENEIKEEIDDEEEKIPDDQEEAKTETEEEKAEEVVVVAKTEEIEEEKQVESRQQESDEGSKEEKVEEEKNIEESKPEAAAPVVVKSQAAAAHGKKESATPYNDVIEETASKLREARKNKVLALVGAFETVIDKETSNAK >OMO86114 pep supercontig:CCACVL1_1.0:contig09532:1701:3749:1 gene:CCACVL1_09778 transcript:OMO86114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex protein Exo70 MDQSANGNDSKSNNCIDKLIAAKKSLKLSLEKSKTLGLALEKAGPRLEEINQRLPSLEAAVRPIRADKDALSAVGGHINRAVGPAAAVLKVFDAVHGLEKSLLSDPRNDLPGYLSVLKRLEEALRFLGDNCGLAIQWLEDIVEYLEDNRVADGRYLLNLKKALKGLRELQNDGQRVHLDGGLLDAALDKLENEFRRLLTEHSVPLPMPSPSLGEQACIAPSPLPVTVIQKLQAILGRLIANKRLEKCISIYIEVRSSNVRASLQALNLDYLEISVSEFNDVQSIEGYIGQWGKHLEFAVKHLFEAEFKLCNDVFERIGLDVWMGCFAKIAAQAGILAFLQFGKTVTDSKKDPIKLLKLLDIFASLNKLRLDFNRLFGGAACAEIQNLTRDLIRRVIEGAAEIFWELLVQVELQRQSPPPQDGGVPRLVSFVTDYCNKLLSDDYKPILTQVLVIHRSWKHEKFQEKILVSEILKIIKAIDLNLETWVKAYDDATLSCLFAMNNHWHLYKYLKGTKLGELMGDSWLKEHEQYKEYYSTVFLRESWGKLPGHLSREGLILFSGGRATARDLVKKRLKTFNEAFGEMYKKQSGWVISERDLREKTCQLIVQTVLPVYRSYMQNYGPLVEQDASSSKYAKYTVQGLEQMLLALFLPRRERYGSFKGRPTGGKLDNGVDLRRTASAVV >OMO86116 pep supercontig:CCACVL1_1.0:contig09532:13509:15105:1 gene:CCACVL1_09780 transcript:OMO86116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase, PTH2 MAALSPLCRTRFTTFLGSFSFTPSRTSSKIRVHLQLPLCLPNRKLSVSSSMSQPSSEPTGAENKDDVLVQYVVLRRDLIDTWPLGSVVTQGCHASVSAIWAHKDDPHTLQYCSPQNIDSMHKVTLEVKGETQIKNLSEKLTAGGIAHKLWIEQPENFPTCLATKPYPKSLVSMFFKKLKLCK >OMO86127 pep supercontig:CCACVL1_1.0:contig09532:79700:84093:-1 gene:CCACVL1_09791 transcript:OMO86127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MGGDKGISLEEIKNESVDLERIPIEEVFEQLKCTRAGLTSEEGAQRLQVFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGRPPDWQDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWSEQEAAILVPGDIITIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPSDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGILIEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDRNLIEVFAKGVEKEHVILYAARASRTENQDAIDAAIVGMLADPKEARAGIREIHFLPFNPVDKRTALTYIDSDGNWHRASKGAPEQIITLCNCKEDVKKKVHAVIDKFAERGLRSLAVARQEVPEKSKDSPGAPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQDKDASIAALPIDELIEKADGFAGVFPGVSSCKMSFVIF >OMO86131 pep supercontig:CCACVL1_1.0:contig09532:106936:108876:-1 gene:CCACVL1_09795 transcript:OMO86131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVQLNTNLDDVICPICLDFPHNGVLLQCSSYEKGCRPFVCDTDHLHSNCLDRFKNAYGMSSPSTSDTLAITNIQLTVSEDNCRPACPLCRGEVTGWVVIDKARLYLDAKKRCCEEEQCTFSGAYLELQKHAQLEHPHARPSKIDPARQLDWENFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGEDESGDEFEDFPGDEGNWWTSCILYQMFDNFRNSRNRRRSRVTDTRRGSRRSSYASSNSDEGSVTSVEFAEYRVDETDDEFVSTSAPSRGSSGFRRIQGEVELRIDPVEAECSGIIAEADSSRF >OMO86115 pep supercontig:CCACVL1_1.0:contig09532:10940:12046:1 gene:CCACVL1_09779 transcript:OMO86115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLVGPTFGVKVASSAATFGRDRPQEKISSSSCLFLKEDDDVVGGADDPTGDGSGSDPGSPELSSDSSSSIGVPDDSTDEEEDEDGVVSSGPSLGLASLSSIEDSLPIKRGLSNHYTGKSKSFASLSDISSSVSSVKDLQKPENPFNKRRRICK >OMO86134 pep supercontig:CCACVL1_1.0:contig09532:118797:120494:1 gene:CCACVL1_09798 transcript:OMO86134 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MEIKSLNSQLAELKKLKTSYESFPKVTFQDGYPKMNTYFVNPAFAQKDMSPPPEQQSFSTPFKSRPPFQPSEPQPFPLAPVQIYFDQNHPPIHVTAFFDTGAAQTIANPQVLPPSFWKEHKNYFKSANADVFSTDYVSKKVTIQIFPTCSTKLRIIGSTLPGKDLVIGFDVISSIKGLKLDDKDSHEQLLQRFQQIVQDFGIMLSEKKMTIGTSEINFLGMHIKEGKYVAHPHIGQALQDFPNENLTKKQIQQFLGIVNYMSDFLSNLAKLSNPLKVLLKENPPQWSQKQTTTVKVLKAKALSLPTLHIPSNGTRILQTGASNKY >OMO86118 pep supercontig:CCACVL1_1.0:contig09532:34006:35133:-1 gene:CCACVL1_09782 transcript:OMO86118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSKSCTGGGKQKDNYDQGNYKKSEPLIPGLPDEIAELCLLHLPYPYQSLVRSVSSSWNRAITDPAFLVSKKSLSLSLPYLFVFAFHKSTARIQWQALDPRSGRWFKLPSMPCPKPVCPPGFACTSIPHQGQLYVLGGMRSDTETSLHTTMLYTTTTNQWSTASPMLTPRSFFAAGNVNGKIMAVGGSGASYNDAITSVECYDPQKDTWEAVAKMGTGLARYDSAVVGSKMYVTEGWTWPFMFSPRGGVYDLEKDTWQEMKDGMKEGWTGVSVVVGDRLFVISEHGDCPMKVYVPDHDAWQYVGGDRFPRAAMQRPFAVSAVEDKIYVVSSGLNVAIGRIFEGQNGEFCAEWDVMAAPKAFHDFSPSNCQVLYA >OMO86133 pep supercontig:CCACVL1_1.0:contig09532:116904:117071:-1 gene:CCACVL1_09797 transcript:OMO86133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTKLASYQARVWVREVCEQAPAHVTCKADHDSVNSVPAVKHRKIAFLVEFRFK >OMO86128 pep supercontig:CCACVL1_1.0:contig09532:84447:84575:-1 gene:CCACVL1_09792 transcript:OMO86128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSPKDSEDKCVKCRERRGAWQVKEGKKDQKKAKAGPAVAF >OMO86120 pep supercontig:CCACVL1_1.0:contig09532:39533:43836:1 gene:CCACVL1_09784 transcript:OMO86120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MLQVHSLFLPFPSKPLLHYSNIFSSSYSSSSSAPTRRRLRRTFSPAKPPNLKLLTSRIVQLTRRRQLHQILDEIEGAKREYGKLNTIVMNAVMEACVHCGDIDLALNIFDQMAHPQSCGVDTVTYATLLKGLGRARRFDEAFQILESVEKGNAVGKPKLSPQLIYGLLNTLIEAGDLRRANGLLACYGFLLREGGSSSILTYNLLMKGYISRGCPQAAIKLHEEIFRLGLEPDRLTYNTLIFACVKTQNLDAAVHFFEEMKDKALRFCHPDLYPDAVTYTTLLKGFGHAKDLHSVQEIVSEMKLNHDLFIDRTAFTAMVDALLNCGSIKGALCIFGEILKRAGANANFRPKPHLYLSLMRAFADQGDYNMVKILSERLWPDSSGTISLAVQEEADHLLMESALNDGQIDTAMENLTKIINRWKGISWTSRGGMVALRIEGLLGFTKSMFGPYLLPQVLPGEPIESIMMPFETVRPLLGTLELKKVVMRFYRDSVVPIVEDDWGSCIGLLHREDCYEMNAPLSTMMRSPPPCVTTTTSIGHVVDLVLEKKYKMVIVVKHSNLNGSTHGFRAVGVFTVEQLLKLLAPMPDFLKQKRSLSVCRRLTML >OMP10479 pep supercontig:CCACVL1_1.0:contig02444:582:653:1 gene:CCACVL1_00950 transcript:OMP10479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AHDSTLIVMDWYQDRVLDRQTSF >OMP03889 pep supercontig:CCACVL1_1.0:contig05920:3387:10035:1 gene:CCACVL1_02233 transcript:OMP03889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTIYQDAFSCVPRYLIHSRYGGLLVSPGSVVWGWAFRLPTLAKQKEERFLFTTIWHARSSIIGIYNLTSRIVGSEVKPCSPIRGVLNPTKVHDGLIKIIHETN >OMO74662 pep supercontig:CCACVL1_1.0:contig11101:8364:9730:1 gene:CCACVL1_16549 transcript:OMO74662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MDARIQVLPPVKRFRFLQQQQEQEEELNSSKANSFHLPAKKRKESRDPPAAQATTYCLPTKKRIWAFQPDFVSGKPLFPFDLNVDYEKEEGIEENENSPISKSPKKCVFDAQEEILVENKKTSPRKGPNPKSLIKTRKEEEDIKENEIPFVVEESKKTPLVKSPKKCIFDAKEKNLVESKKIPLENGSKKCMKSRHEEEDKQKETLPLDESSKEEKEEVEEEEEEEDGILCDICKSTDGDPTDPIVFCDGCDLMVHSTCYGNPLITEIPEGDWFCSLCLASKSEKNEGDKPLSCCLCPTKGGAMKPTNDGRWAHLVCAVLVPEVFFEDPEGRERIDCSKIPEKRWKGKCYVCRSRSGCVIECSEPKCGLEFHVTCGLKEDLCIEYKEGKKGAVVAGFCKCHTELWKKQQQTGKFKIVARDEQRR >OMO74664 pep supercontig:CCACVL1_1.0:contig11101:20002:20064:1 gene:CCACVL1_16551 transcript:OMO74664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEKIRRPKMEFREIKLRE >OMO74660 pep supercontig:CCACVL1_1.0:contig11101:986:1690:1 gene:CCACVL1_16547 transcript:OMO74660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALWSLEEKWKVTTQEAVLLFVCTASAVIGLCAATVLKRKAQKKRTVDRDPVADGPVRSKWCEPSCNWVSAKRVLMWSGANRWGERSFGWEERPPPLLGLQGYDSSSMGWRSHNSDSPVWQRPILMGEKCELPRFSGLILYDERGQLLDRSIRGSSDPEDDALDQGKATAVVRTTLRDLL >OMO74661 pep supercontig:CCACVL1_1.0:contig11101:2783:4664:-1 gene:CCACVL1_16548 transcript:OMO74661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFSVGEYLRFWAVCITHVPNGIGTILGIVQLALYFYYKRKSVEDSREPLIRPHA >OMO74665 pep supercontig:CCACVL1_1.0:contig11101:30288:37714:-1 gene:CCACVL1_16552 transcript:OMO74665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDQLKKDLKEMDFFTEYGDANRYKILEVIGKGSYGVVCAAIDTHTGEKVAIKKIHDVFEHISDALRILREVKLLRLLRHPDIVEIKRIMLPPSKREFKDIFVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRAMKYMHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKSVVHQLELITDLLGTPSPETISGVRNDKARKYLTEMRRKQPVPFSQKFPNADPLALRLLQRLLAFDPKDRPTAEEALADPYFKGLSKIEREPSCQPISKIEFEFERRRVTKEDIRELLYREILEYHPQLLKDYINGNEGTSFLYPSAIGHFRKQFAYLEENAGRSAPVFPLERKHVSLPRSTVHSNTISPNTQTNLVSSNSRQVTEDASKKAPDIVSGNPKVARPPPRVPTAKPGRVVGSVIPYENGKNVKDGYDAKTFYRNAVLPPQNVSPHCFLRNSMTNQEKPGIHADRDPQAKPQPQFSMSAKPSSGMVVVDINSNPYYQPQAKAEQLKDRIAIDAKLLQAQSQFGAVGAAAVAVAAHRNVGTVQYGFLNTSQQTLEPKSQLGSPPNVVKILEERGLLESITNENIRLACSDQTAGPLRAYCGFDPTAESLHLGNLLGLIVLSWFQRCGHKAVALIGGATGRIGDPSGKSQERPELDLEALEKNIVGIMDTINKVLSKNANLGSQDNSNFVILNNYDWWKEVRLLDFLKQVGRYARVGSMMSKESVKKRLESEQGMSYTEFTYQLLQGYDFLYLFKNEGVNVQIGGSDQWGNITAGTELIRKILQAEGAYGLTFPLLLKSDGTKFGKSEDGAIWLSPSMLSPYKFYQYFFSVPDADVVRFLKILTFLDMEEINELESAMTRPGYVPNTAQRRLAEEITRFVHGEDGLNEALKATEALRPGSETKLDWKTIEGIAEDVPSCSLPYDQVLNLSIIDLSVSSGLFDSKSAARRLLKQGGIYLNNSRVEKESKIIEADDIVDGKVLLLSAGKKNKVVVRIS >OMO74663 pep supercontig:CCACVL1_1.0:contig11101:13530:19381:-1 gene:CCACVL1_16550 transcript:OMO74663 gene_biotype:protein_coding transcript_biotype:protein_coding description:SART-1 protein MSWILVALINSHCLSADKFRAFLLLGMERDRYDREDDASRERWDGGSYSEELEQNDKYRSKEKKKSSREEEKEHRSRDRERDRSKRSTDEVLKEREKESLEKDRVSSRERRKDDRDEHGKDRNRDSKVREKEKDYDRDKYREKEHDRERDKDRKDRGKEKDRERERESEKERGKDKGRDRDREKEKERDKTKEKEREKLKDREKDREGEKDRDREKGKERSKQKNKEADVEKDRSRDRDNAMKKNHEEDYEGSRDGELPADYEQSRDKDEPELNVGSKAGAVEASSLALEQRILRMKEERLKKKSDGASDILAWVNKSRKLEKEKALQLSKIFEEQDNLIQEENEDEDAGGRATHDLAGVKVLHGLDKVIDGGAVVLTLKDQSILANGDINEDVDMLENVEIGEQKQRDEAYKAAKKKTGLYDDKFNDEPGSQKKVLPQYDDPVADEGITLDERGRFSGEAEKKLEELRKRLQAAPTNNRVEDLTSAVKISSDYYTQEEMVKFKKPKKKKALRKKDKLDIDALEAEAISSGLGAGDLGSRNDARRQATKEEEAKSEAEKRNSAYQSAYAKADEASKSLRTEQTLIVKSEEDENQVFADDEEDLYKSLERARKLALKKQEEKSGPQAIARLATIAVTTQTAEDQSNTTGEAQERLVISEMEEFVMGIQLDEEAHKPSSEDVFMDEDEVPRAPEHDGENGENEAGGWKEVVDASPDEKPANEDKDEIVPDETIHEVAVGKGLAGALKLLKDRGTLKETIEWGGRNMDKKKSKLVGIVDDNRENDGFKDNRESDRFKDIRIERTDEFGRIMTPKEAFRNLSHKFHGKGPGKMKQEKRMKQYQEELKLKQMKNSDTPSLSVERMREAQTQLKTPYLVLSGHVKPGQTSDPRSGFATVEKDLPGGLTPMLGDRKVEHFLGIKRKADPGDSSTPKKPKT >OMO60655 pep supercontig:CCACVL1_1.0:contig13692:29305:33122:-1 gene:CCACVL1_23982 transcript:OMO60655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVDLHEANGNASASHNDHGWQKVSYPKRQRKTKPNNADPQARPNGTLANGAPNVFRSVEQHSEDRHRRILDAKRAAADSLAVNANGKARHSRSDDDYDDDSDLEGDKANKKPATEEKKVKEKKPKKQKVSVPEAAAKIDPADLSAYLAGLNEEQQEIQMQKFADYYGKAFQQVLAGQFPWMKMFRETTVAKLADIPLQHISDAVNKTSADWISQRSPEALNFFVLWSLDIILEDLVAQHTSAKGSKKPVQQTSSKSKVGIFVALAMVLRRKPDALISVLPKLRENSKYQGQDKLPVIVWMMIQASKGDLAVGLYLWAHHLLPVVGSKNCNPQSRDIILQLVEWILSGSKARSILVNSAVRKGERLVPPSSLEIIVRVTFPTSSARVKATERFEAIYPTLKEVALAGSHGSKAMKPVALQIFNFAIKAAGESSPELAKEAGEIVVWCLNQNPDCFREWEKSYPENLEGSVAVLKRLSEEWKQHSVKFTTLDPLREAIRSFRNKNEKAIGSEADAAKQALYQEADKYCKNISGKLSRGHGCLKALAFVVIAVGVGAVVTSPNMDSLDLNKLSVFFSS >OMO60656 pep supercontig:CCACVL1_1.0:contig13692:37648:37737:-1 gene:CCACVL1_23983 transcript:OMO60656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRSVPRYRTERRRRLNSESMVESGRHALT >OMO60652 pep supercontig:CCACVL1_1.0:contig13692:10896:12269:-1 gene:CCACVL1_23979 transcript:OMO60652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MAGDSAAKTCFFFFIIFLFLFHHTKSTLFFPKEALPTKSGYLPVNPANDSAIFYTFYEAQTPTSPLPETPLLIWLQGGPGCSSMVGNFFELGPWRVSSSAQNIENLSLEPNPGSWNRLFGLLFLDNPIGTGFSVASNPQEIPRDQISVAKHLFIAITKFISLDPLFKNRPIYITGESYAGKYIPAIGYYILKKNTQLADSERVNLRGVAIGDGFTDPETQDATHADNAYYLGLINEKQKKELEEAQLEAIKLIKIGNWSEATNARSKVMNLLENMTGLATLYDFTKTKPYQTSLVTKFVNMKDSKRALGVFDESMEFEHCSGIVKAAMHEDMMKSVKYMVEFLVSKIRVLLYQGLYDVRIGVVSNEAWVKTMKWEGIEKFLMADRKLWRVNGELAGYLQKSWEGNLTNVVVLGGGHLLPADQALNSQAMIEDWVLENGLFGRVPEIMNLSTDFSEPM >OMO60653 pep supercontig:CCACVL1_1.0:contig13692:18790:27299:-1 gene:CCACVL1_23980 transcript:OMO60653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MMASTLSTGFNMKLFNPQQEIFTPISSLEAITVIPTRPRRKRNVRGDGNTVDATPQEPAAVSDGEGINISSSTLGDNYVALFVRMLGLDNDPLDREQAIVALWNYSLGGKKFIDAIMQFKGCINLTVNLLKSESSATCEGAAGLLRSISSINVYRDLVAESGAIEEITGLLSRPSLTSEVKEQSLCTLWNLSVDEKLRVKIANSDILPLLINSLDDDDIKLKEAAGGILANLALSHCNHNIMVEAGVIPKLAKLLKTDEEGTEVIQKEARNALLELAKDHYYRILIIEEGLVPVPIVGAAAYESLKPELYSWPTFPDGTEIEQTAKGPSRHGAYELFLGFNVDNNVDIDEAKMKAIVGRTRQKFLARIGAIELDDEKKPLTELPTDQRLTLLPWMDGVARLVLILELDDDVAILRAAESIADSSINEHMRTSFREAGATKHLLRLLDHSSDAVRSAVIHALERLSVSPGVCQVLESEGILHPLISTLKHSKMSESLMEKTLDILARILDPSKEMKSKFYNEPVNDSKNGLDAARSLDTSVGVTGDRPMSVIDSRKELLDSAVITRFIEILRTSPPNLQRKAASIVEFMTIIEPSMETIIRVDISSGLDAVFQQKALKDIEADEGQELDENALELEEAGLAVSAASRLLTKLLDSEQFCQKIDSAHFTKLLRKILKSNIPLQNKDWVAACLVKLSSLSGPNVDFENPINMEVTLYEAIPRLIEQIKSSFSPETQEAAVVELNRIISEGVVDSTRAVASEGGIFPLVKLIEEGSKRAVEAALSILYNLSMDSENHSAIIAAGAVPALKRIVLSQRANWTRALRLLRNLPPKSVALTFATLTNNPSSSSSPQEIKANPKPKTRTRQRRRRQQRKPQAPSIIQIERAIGAGSFRDKDSRHFFITLSISSFSKHVSVFVLDLEEQKRRTVFDGLLPLTAGSKFESEIEKKIRETGEFIGSKTEAAFRSSGKTILLVVLQWFLPIWTLSLLVASGAIKLPFSIPQIDDLIM >OMO60654 pep supercontig:CCACVL1_1.0:contig13692:27781:28762:1 gene:CCACVL1_23981 transcript:OMO60654 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit L MGTSSFTFQIPKALPSISQHSPSNCRKFLSYNITSQHKPIHNSKLDDYKKQNKVTKSINIKPNDYFDSKKTSLAIQVAALLATFEQPALAVTGVNHEPDLFTVIIRLGIIAFWYFLIMPPIIMNWLRIRWYRRNLLEMYLQFMCVFLFFPGVLLWAPFLNFRKLPRDPSLKYPWDTPKDPSQVKNDYLKFPFAKPEDYDYW >OMO60651 pep supercontig:CCACVL1_1.0:contig13692:3019:7998:-1 gene:CCACVL1_23978 transcript:OMO60651 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein MGTLQTWRKAYGALKDSTKVGLAHVNSDYADLDVAIVKATNHVECPPKERHLRKIFVATSALRPRADVAYCIHALSRRLAKTHNWTVALKTLIVIHRALREGDPTFREELLNFSQRARILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPRPAQGEDKGYSRTRDLDSEDLLEQLPALQQLLYRLIGCRPEGAAIHNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAIKALDVYKRAGQQANSLSDFYEVCKGLELARNFQFPVLREPPQSFLTTMEEYIREAPRMVSVPTEPLLQLTYRPEEGPSEDTNLSNEEPEPSVPADEVAVSSVETAPPPPPPPQNNTDTGDLLDLSFSAPDASAIEDSNALALAIIPTEPGTTSTFNSSAQPRDFDPTGWELALVTTPSTDISAPTERQLAGGLDSLTLNSLYDDAAYRASRQPVYGAPAPNPFEVHDPFAMSNGIAPPPAVQMAAMSQPQSNPFGPYQPTYQQPLPPQQQLMMSPSNPFGDAGFTAFPPNQMHPHPVAQPHANNPFGSTGLL >OMO60014 pep supercontig:CCACVL1_1.0:contig13820:44070:45608:1 gene:CCACVL1_24466 transcript:OMO60014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MAPPLSVSSFFSTLASIPHFLHNILDPPLHPWADPSHVYAGNMAPVDEMEPIDCPIIEGKLPVSLKGVYIRNGPNPQFLPPRALIIYDGDGMLHSLRISNGQATYCSRYVKTYKYLLEKEAGFPIVPNIVSGFFGFGDIARFVIAMAPKILTGHFNLMNGFGVANTSIAFFANKLFALCDSDLPYEINLTKEGDIETLGRWEFERKFMSNINAHPKVDLDTKETFALTWSLTFPHHSFLRFDENGVKKNEVPISSLNQLSSIHDFAITKRFILFHETQMVFSLGKVMTGRGTLIQYEPNKVSRIGIIPKYAIDGSEMKWFPVPGFNTIHLINAWESEEDDNEIVLVAPNVLSADNIFNTKIPVSLVKLKMNMKTGDVSREIISSRNLEFGTINPDYVGRRTRYAYLGLLEESPKMSAVVKIDLETGREVARRFYGPNCYGGEPLFVRRDGESINNSDDDEDDGYIMTFVHDEKANESKFIIMDAKSPELEIVTVVKLPRRVPYGIHGLFLSK >OMO60013 pep supercontig:CCACVL1_1.0:contig13820:30263:34841:-1 gene:CCACVL1_24465 transcript:OMO60013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDAEIEKLLSELNLDKVSNVDKDSHDHDHQDYMDNEQEDAANPIEAPTNPLLMVAPPIVLPTAPPP >OMO60012 pep supercontig:CCACVL1_1.0:contig13820:23712:25073:1 gene:CCACVL1_24464 transcript:OMO60012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MSPPLSAFFSTLASLPHLLPNILDPPLHPWDDPTHVYAGNMAPVDEMEPTDCPIIRGKLLVSLKGVYIRNGPNPQFLPPRALIIHDGDGMFHSLRISDGKATYCSRYVKTYKYLLEKEAGFPIIPNIVSGFFSSGDIVRFVIATAPKILTGHFNLMNGFGVANTSIAFFANKLFTLCDSDLPYEINVTKEGDIDTLGHWEFERKFMSNMNAHPKVDLDRKETFALTWSLTFPHHSFLRFDENGVKQNEVHISSMNQLSSIHDFAITKRFILFHETQMVYSLGKVMTGRGNLIEYQPNKISRIGIIPKYATNDSEMKWFAVPEFNTIHFINAWESEEEDDEIVLVAPNVLPVDNIFNLKIYASLEKVKINIKIGDVSREIISPRNLEFGTINPGYVGRRTRYAYLGLLEESPKMSAVVKIDLETGQEVARRFYGPNCYGGAFIREKRWGKQQKL >OMO86954 pep supercontig:CCACVL1_1.0:contig09380:5925:7335:-1 gene:CCACVL1_09370 transcript:OMO86954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-turn-helix type 3 MSGIGPLSQDWEPVVIRKKAPNAAAKRDEKAVNAARRSGAEIETVKKATAGTNKAASSSTSLNTRKLDEETENLAHDRVPSDLKKNIMQARMDKKLTQSQLAQLINEKPQIIQEYESGKAIPNQQIISKLERALGVKLRGKK >OMO86953 pep supercontig:CCACVL1_1.0:contig09380:3938:5143:1 gene:CCACVL1_09369 transcript:OMO86953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MATTQEEPSWEELIGSNNWENLLDPLNLSLRNLILRCGDFCQATYDAFNNDENSKYCGTSRYGKSSFFEKVMLDNPSDYVVTNFLYATARLSVPEAFILHSRSRECWDRESNWIGYIAVTSDERTKALGRREIYVVWRGTQRDLEWVNVYKGRPESAESLLNNEKFESSENERTPNVMYGWLTLYTSDSPNSPFTKLSARQQLLAKIAVLREKYKDENPSVIVTGHSLGASLATLSAFDLVENSIYDIPVTAIVFGSPQVGNRAFNERLKRHSNLRVLHTRNTIDLITRYPGRLLGYVDTGIELIIDTRKSPSLKRSLNTGDWHNLQAMLHIVAGWNGADGEFELKVKRSLALVNKSCAFLIDELLVPGLWWVEKNKGLVKTEDGEWVMTSPDEDALVPEI >OMO86952 pep supercontig:CCACVL1_1.0:contig09380:1970:3247:1 gene:CCACVL1_09368 transcript:OMO86952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MANTSEQEPEPAWEEILGSKNWDSLLNPLNLSLRKLILRCGDFCQATYDAFNNDQNSKYCGTSRYGKSGFFDKVMLESASDYKVESFLYATARVSVPEAFLLHSLSRESWDRESNWIGYIATTSDEKSKALGRREIYIAWRGTTRDYEWVNVFGAKLESAKPLLKVNENEVQNQGHTHNYTSSSSDSDGDGDDDTNPKVMLGWLTIYISDNPKSPFTKLSARTQLLGKIKELKQRYKGENLSIVLTGHSLGASLSIVSAFDLVENGVANDIPVAAFVFGSPQIGNRAFDERMKKYQNLKVLHIRNTIDLIPHYPSKLLGYVYSGTELLIDTRKSPSLKDSKNPSDWHNLQAMLHIVAGWNGEEGEFELKVKRSLALVNKSCAFLKDEYLVPEVWWVEKNKGLVRNEDGEWVLAPQIDEDLPIPEI >OMO73603 pep supercontig:CCACVL1_1.0:contig11212:6716:9093:-1 gene:CCACVL1_17205 transcript:OMO73603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTYFPLRWESTGDQWWYASPIDWAAANGHYDLVRELLRIDGNHLIKLTSLRRIRRLETVWDDEEQFDDVAKCRSQVARKLFLECESRKSKNSLIRAGYGGWLIYTAASAGDFCFVQELLERNPLLVFGEGEYGVTDILYAAARGKNSEVFRLLYDFAVSPRFLTAKGDGFEEHIGDIPSVYKREITNRAVHAAARGGNLKILKELLSDYNDILSYRDKRGSTVLHAAAGRGQVEVVKNLVASFNIIDAIDDQGNTALHIAAYRGQAAVVEALILASPSLISIRNKAGETFLHLAVSGFQTPAFRRVDRQINLMKQLVQEKYFNMEDIINAKNNDGRTALHVAIIGNVHTDLVELLMSAYSINVNIRDANGMTPLDLLKQRPRSASSDILIRHLISAGGMFGCQAQDYTARRAIASHIKMQGHGSSPGTSFRISDTEIFLYTGVETTSDASDVGSGGGRSRSSSTDFESTDENRKSSVDKKVNSMNNAAQRLKSVLHWPRLKDKKPIRLKKTTMNDQGFLGDTPIPLRQRFSKPSSSLPNNKRTLSVRSNQSSPIAKKKLASGIMHGVMQAMPQLSLPRRSRSSSFSKSSTLSSPSSLDKQKGVFIDGDIAGPSYSNNPTLDDDKEKPNIKIEKQGSTKKGLRSQYFCFGSSGLAVKTPVSRQRHQNQNQNQTVNPAMVSVA >OMO73606 pep supercontig:CCACVL1_1.0:contig11212:62904:63053:1 gene:CCACVL1_17208 transcript:OMO73606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARERAEGYICTKLFNGKHILINGEGCDLETKGKYFDPDDPRLEYYFNRQ >OMO73604 pep supercontig:CCACVL1_1.0:contig11212:12794:13186:-1 gene:CCACVL1_17206 transcript:OMO73604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ribosomal protein MALNHVRSLRVIIAKEAVGVGHRTFAAAGGKAKKGSKGAASDAPKASILSKEVKSTTVVGANILKDGADPKILPDSEYPDWLWHLLDKRPALSELRRKNVDTLPYEDLKRFVKLDNRARIKENNSVKAKN >OMO73605 pep supercontig:CCACVL1_1.0:contig11212:15083:16274:1 gene:CCACVL1_17207 transcript:OMO73605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prohibitin MGSTQAAVSFLTNLARVAFGLGAAATAVNASMYTVDGGQRAVIFDRFGGILDETVGEGTHFLIPILQKPNIFDIRTKAHTFSSVSGTKDLQMVNLTLRVLSRPEQKQLPWIFKQLGLEYDEKILPSIGNEVLKATVAQYNADQLLTERATVSALIRSALTERAKEFNIVLEDVAITHLSYGAEFSRAVEQKQVAQQEAERSKFVVAKAEQERRAAVIRAEGESEAAKLISEATTIAGTSLIELRRIEALRENAATLARSPNIAYIPGDQQMLLAMNRP >OMO49755 pep supercontig:CCACVL1_1.0:contig16410:26925:29558:-1 gene:CCACVL1_30819 transcript:OMO49755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFETAPSPSPKAQATASRLPMNPSPETPLWRTQSNRVLLPKLKPDPKRP >OMO49756 pep supercontig:CCACVL1_1.0:contig16410:29993:30202:1 gene:CCACVL1_30820 transcript:OMO49756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTSITPYVEVGERKYEYSPRTFEADHVKEPKGNTFEAAHIMKKYGWSEGQGLGKNAQGMKEILPSGV >OMO49757 pep supercontig:CCACVL1_1.0:contig16410:67609:67677:-1 gene:CCACVL1_30821 transcript:OMO49757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNQMKTKRAHHRDGGKHRSR >OMO80318 pep supercontig:CCACVL1_1.0:contig10334:59281:59604:-1 gene:CCACVL1_13037 transcript:OMO80318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRGVIGDKWSMRILWACAIGSAISLYMVAVERQKQNRDRMMAESLQAMESEGSGEKV >OMO80312 pep supercontig:CCACVL1_1.0:contig10334:15328:25816:-1 gene:CCACVL1_13031 transcript:OMO80312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation, RCC1 MADRSRLFSIEELPSHLILEILTSGKRLSAVDLVSLELTSRTFGGSHGVYPAKFRSLVDLAAFQLCTTNDIFKGMSCNSQSEVFERCDGNWKRLLRFLQSVEQSSDMVETSAGNMQITTGRYHTLLISNSSVYSCGSSLCGVLGHGPETTQCVAFTRINFPYPANVIQVSASHNHAAFILQSGEVFTCGDNSSFCCGHRDTSRPIFRPRLVEAMKGIPCKQVAAGLNFTVFLARQGHVYTCGTNTHGQLGHGDTQDRPTPKMVGSLEGVGTVVQIAAGPSYVLAVTDNGSVYSFGSGANFCLGHGEQQNELQPRQIQTFKRKGIHILRVSAGDEHVVALDSSGYVYTWGKGYCGALGHGDEIDKTLPEPLISLKSQLAVQVCARKRKTFVLVDGGSVYGFGWMGFWSLGFPDRGASDKVMKPRILDSLRGHRVSQISTGLYHTVAVTHQGRMFGFGDNERAQLDERFTIEINRWLEVALSKRVRRLELDFTPTDLSHNRMLDIKNYTLPPELLIPASSNALTRLCLKYVKVKGQILEYLLSSCVLLETLHVSHSELLLRSSEQLIDLKVCGSGSSLRLKHLHISFCDNIKSMEVSAPNLVSFGYCGRRKIQLHFKYVPQLRDVTYRDYEGFRPAVDFLYSNLIILNQLVNLSLNFINSIDQTLMLQCPQLPNLRHLTCDVLVLGRSSSMHLLHLTSLIHASPNLRKFKLNIEMTKDAHIESEVREVGEVIELVGRNEYYHPNENLREVEIVGFLGAKSDIELLTYLLKTASLLDKVVINTKHPPASLGTTALQRPRQHSEAIDFVLTFARLLHLRLLVAFDPFQLDTHIVRFAQRDLEYCSGLKTGIIEQELRILMVIGDDEFAIIDIDISILFNTKPISSLSYTLEDGGRMVIWIEDGKCGEQHQCIFELEIRLLQLTLLSYTASDFTLCTLSMRSEEDKRHIIKTLEEKLDVVEDGFGREIESEEEEWLCFPYVPFKKGLLLDIAVGHEFYPRYLNSLLLKKPDTCDKFAILLIGNAVMISLFGRRTVFITAIAEIVRRNPPPIPIMQQLERFQEMSLDDVRNQGIVNLCKFKSDRASLEFPCSGIRFSQ >OMO80324 pep supercontig:CCACVL1_1.0:contig10334:95205:99950:-1 gene:CCACVL1_13043 transcript:OMO80324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDRNGHLPENSDEETETRSNPKSESEPEDRKPKSHSKSDKGKKPSKSRKRHGGSSSSEDEDSYSDSESESDYSSSESEYSDSEEERRRRKRKRREREERERKLRKKEKEKKRRRKEKEREEERKRKKRKEKERKEKKKKEKLERGKKGAVTNSWGKYGIIRETDMWNKRPEFTAWLAEVKQMNLESLPNWEEKQLFKEFMEDHNTATFPSKKYYDLDAYYKHQMEKEMKKGVKKALGTERTVFNDEEQRRQELLQAREKQKEEQVESLKRAMQSGMAQAMKEQAQLREEMAYQYKIGNFEAAQAIQRRLDPDVPIIIDQLFLVDQTSKRQLPSENCLDKINQLPDHLIEHILSFLPTKDAVATSILSKKWYPFWTKLPVLHLEDSIRCENRKQTRNRFKVFVSRVLELNKAVSLQKFHLTCRPVYQPRCFKSWVCSAINKDVQEIDISISRTPKPHFLKLPYRFFQAEKLQILKLSGEILIDIPGESSVSFPSLKTLHLLYVSIANDQSFAKLFSGCLVLETLVLKTAYHENTLNFKLRSSTLKSLFINLRYAEHKLEINAPALEYLDLQESYHQVSFNGALSSLIEAKIHFNYGISLNQLIRVLYNAKLLSLTSYWYSEPVPDHGYIYPLFLNLVKLEILIGLPGWGVLSHLLTLSDNLATLVVENNSTIDSRWTEPEHVPTCVSSHLTTVSFKVFQALRSEMQAIEYLLKNAKVLKVMQICTLDMSPDSKSFVRNTLSEFRWSSETCQLAIQ >OMO80319 pep supercontig:CCACVL1_1.0:contig10334:61813:66799:1 gene:CCACVL1_13038 transcript:OMO80319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIVSAANPDEEALRQLLDVFGSQFSLEDIASAFYEAKGNVNVAGEILCSSNGGRALRADKIENKSVGARAISLESFSGGETASAVSSEWPACNPNNGASKLKKSSASMGSISGVIGNNYVKSHPSRKDTPETTKPVKIDSKELPVSLIWSEEGADSRTARNGTPHGDLEEFLFEMLGDGFQLDKSVIHEVLDCCGYDVKKSMDKLLDLSASTLEKSDDVIGIAARELTGTCADDQLLLFQDKQQCNEFAQSREATSLIRDPTRSPIKKQNKIALEKEVLEALFSVPERPEETPKRTRLVRVVRRSKAYGKLVAEPLKDADISLTSPIAELQKVSKDAFLCLAATSAEEPNDDNENSYDMLRQAVKEYWMTMKEYYKAAVEAFAEGDTARASKLMDLGHFFNDKAREADERSAKKIVEARDDEILCLDWRKFEPKEALNLLRVHLTSVSGIPSIKFLRIKVGTVEEDTKKGARKRLILQQLEKESIQWNEEENGSIISIRVDIINPKKLSFTKNKTEMSMRFS >OMO80321 pep supercontig:CCACVL1_1.0:contig10334:81471:84052:1 gene:CCACVL1_13040 transcript:OMO80321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELLHGLSALVKLQLLATALLQSRATAKKTRNRVAVRVLKGN >OMO80311 pep supercontig:CCACVL1_1.0:contig10334:9724:11226:1 gene:CCACVL1_13030 transcript:OMO80311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRLLSHELSDLCLGKPALRSLSVTSTIADAIEVLKTSDENFVSVWSCNHKKAKTGSGFESAAGFGDDFDDVDDCKCVGKVCMVDVICYLCKDENLVSPSLALKKPVSVLLPKIPGLVIHVEPSCSLLEAIDLILEGAQNLVIPIKTKLSSKRKQHLKPSPTVTIHKGREFCWLTQEDVIRFLLSSIGLFSPIPACSIDALGIISPEILTIEYHSPASAATGAISRALVDQTSVAVVDSEGTLIGEISPFTLACCDETVAAALKTLSSGDLMAYIDCGGPPEDLVRVVSARLKERNLNGMLDHFTMSMTCGGFSSASSSSDEESMTTPVSPLPRSGRISKTTSYSARMVRRSEAIVCHPKSSLIAVMIQAIAHRVNYVWVIEDDCSLVGIVTFSDMLKVFREHLESMA >OMO80313 pep supercontig:CCACVL1_1.0:contig10334:26865:28662:1 gene:CCACVL1_13032 transcript:OMO80313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIIC, tau55-related protein MEENKKANGQGEEQEESEFVLLDLDAVSGQIDIPPNAPYTLSGLDTINPILIIDDKVKLIGEYEETIGTCLVFSEDEAAPVVHEETNPSEANLFSGKCIIDPNEGPRKQVKPVARLHKILKFRLLLDDDVQDQVNAPTN >OMO80315 pep supercontig:CCACVL1_1.0:contig10334:43561:47838:1 gene:CCACVL1_13034 transcript:OMO80315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKNKEKRVNVSGKPKHSLDVNRSDGKSNSRSAATVRRLKMYNTRPKRDTKGKILSHEFQSKELPNTRIQPDRRWFGNTRVVTQNALEFFREELQKEMSSNYKVIMKGKKLPYSLLKDHAKQARAHLLDTEPFQDAFGPKRKRKRPKLLAADYESLIKKADGSQDAFEQKYGASTSAEAGEEEGFRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPQGTRCHHLERHLKEHCTHKHMILLLNKCDLVPAWATKGWLRVLSKEYPTLAFHASINKSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRTKNNSDTETDIVLKGVVRVTNLEDAAEHIGEVLKRVKKEHLQRAYKIKDWDDDNDFLLQLCKSTGKLLKGGEPDLMTGAKMILHDWQRGKIPFFVAPPRQEADDSLEEPTVHGIDKDAVADNNQADAALKAIANVMLFQQQKNVPVKTDLFTDNELNDEAGNQLPATEMQGEVEASGSDDEVEDTSSDES >OMO80320 pep supercontig:CCACVL1_1.0:contig10334:67490:75475:-1 gene:CCACVL1_13039 transcript:OMO80320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline transporter-like protein MTNFSIYNNLTHPSVTNRSELDQRRRKTSKLAWSRQDFPGKYPSPFEYDEMAAKLTKLLKFVMEGNDAYGHSLGDWRHSIHTIIIPYDLELSDDHLLYIAERAPGVKHLSLLGTHKITAEGFTKIVRRKMPGPLGAVIGRYPSSDGSTELGGIIRHNRKCRDVAFLVIFIAFWVAMIVNSSFGFNQGNPSRLTYGLDYEGNLCGKKHGHRDLHELELKYWLNPNQVYQTGVKDSQFKLSNARSICLLDCPIPSEDSLNWVCDYPDGDIRLSLNDWIDRNYDYFEFLTPEMRNTSLQLQGPCYPVIFPSVNVYWSCQFIARASNTSLRHWQQMGGVNINEDIVIDKSIHRSINSRSSVLKRYMADIGKAWPVLIVCGGLLPLFLSVIWLLMIRHLVAAMPWITVALFNILIITVTMLYYLKAGWIGNDAISPIIGEHDPYVHVSGRELHHLRVVAILMTFVMVVSILTSIAIIRRILMATSVLKVAAKVIGEVQALIIFPIIPYAILAIFYMFWISAALHLFSSGQVVQNNCNSNCCAYDLASKKVNCDRCCGYSIHYTPHIAIAIFFHLFGCYWATQFFIACSSTVIAGSVASYYWARGDTSPEIPFLPVFASMKRLIRYSLGSVALGSLIVSFVESIRFILESIRRKLKVAGTTPDSWFGKMGYHTSNGCLRCVEWTIKSVNRNAYIMIAITGKSFCRASSIATELIMNNILRIGRVNVIGDVILFLGKLCVSLSSAVFGFLMLDEHKYSSGHNKISSPLLPVLVCWALGYVVATLFFGVVEMSIDTIILSFCQDSEEHQGTAQYAPPLLIETLSDQNEMQRLTQ >OMO80322 pep supercontig:CCACVL1_1.0:contig10334:88644:92320:-1 gene:CCACVL1_13041 transcript:OMO80322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSASQESNASSKYLKLSDEGTGFDRISQLPDVLIQHILSFLPTKDALATSILSKRWFGVWTSIPLLDLNDSPCCLISEKLRTDFIGFTTQVLIFNTVLSLDKLCLKLHPIYGPFFVNIWIRAAVSRNIKEIDITIYAMENVPFFKLPCEIFETKTLTILKLSHGLELDVPKTVSLSSLKVLHLVLIKYTNDQSVSRLFNGCPVLEELLVRKFDGDNSLTLNISIPTLKRLFLRFSTGVEQHKLKINAPILEFFNLEENLPLQYDIENVSSLVQANLTVSVLENRHFQLFKALCNVKFLSINWDWFSLSLEELCECNTFPVFVNLVQLELNVGYEGWTMVSYLLESSHNLKVLVLSKNANCRGLGLECSWKQPNGVPKCFQSRLETVYVRGFEGLKCQLRVNFLTCMEFLRSLISRPTWSSKRRKTEDDFESTGNTTCRINELPDFILHHLLSFLSTKQAVATSILSKRWRYLWIEVPALDFEDSPSCKTDRNHKKAFKKFVYRVLLLNRQVTLHKFRLKCHNNVRQYSGLQTWIDDVIIRRNVVGEVDISISGYTISMPPFFKPTDSIIRYPTIKNLKVLKLSRGFQIDDCTPISFPSLKILHLNFLYYTNGGDSVSSLLRGCSVLEELHIKRGSYDNVPNFIISVPTLKILSYIGHQHCREFIDRFYSYQLRVNTPALEYLNIEDVGGTILLYLESNLVRLIQANITFNGIGLLNVFKALCNAKFLSFKWTSSLYNYDLGASLECDSFPLFVNLTQLELISCRTRDFHDLDVLRQFLENSHHLQVLILNMVSFDQDLYFYFYIPQEFESPFSS >OMO80316 pep supercontig:CCACVL1_1.0:contig10334:51039:56674:1 gene:CCACVL1_13035 transcript:OMO80316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MAETGDDKEMRSLALTPTWSVATVLTIFVAVSLIVERSIHRLSNWLRKTNRKPLLAAVEKMKEELMLLGFISLLLTATSSTIANICIPSKFYDSTFAPCTRSEIDEELEEGSSKERKLLMASGFNIFRRMLNGGINRNNCKEGHEPFVSYEGLEQLHRFIFVMAITHVSYSCLTMLLAIVKVHSWRAWEDEAHMDKHDILNERARESILRRQTTFVINHTSNPLTKNSLLIWVICFFRQFGKSVVRADYLTLRKGFIMNHNLTSKYDFHSYMIRSMEEEFQRIVGVSGPLWGFVVAFMLFNVKGSNLYFWIAIIPITLVLLVGAKLQHIIATLALENAGITSYFSGAKLRPRDELFWFNKPEWLLSLIHFILFQNAFELASFFWFWWQFGYNSCFIRNHTLVYIRLFLGFTGQFICSYITLPLYALVTQMGTNYKAALIPERIRETIHGWGKAARRKRRHGHYPDDSTIRTETSTVMSLDDDDHQMIDIHEDGNGIHTEIELQPPPIVPTSPSTAANETSSRVDGRFPEIIFSAF >OMO80317 pep supercontig:CCACVL1_1.0:contig10334:57316:58185:-1 gene:CCACVL1_13036 transcript:OMO80317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMLKPTCLVLSVFLCLSYSLAHRVLSDNNEHKNIETDGNGAEHINPDGQGVGIGIGSGGSGGSGTGYGVGTGSGSGTGYGVGIGTGSGGSNGYGAGSGSGSGTGYGVGIGTGSGGSGGYGIGQGIGSGGDGGSGTGTGDNAPPACGQCEGCGPCQPGLPIPKIPPFPKIPPIPEIPPIPEIPIPLFPSPCQPGGNCNLPPPCSGNCNLPPPCTPGNPCNPPVNCPHCYKRKHTGKRKGGTTISEATTEMQDTYIAAEPAPRSSDEMQDTYIAAEPAPRSSDEDITEP >OMO80323 pep supercontig:CCACVL1_1.0:contig10334:94100:94207:1 gene:CCACVL1_13042 transcript:OMO80323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLFFSKSFNACSKPSQQDISHHQVHETHQARAL >OMO80314 pep supercontig:CCACVL1_1.0:contig10334:38604:43190:-1 gene:CCACVL1_13033 transcript:OMO80314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLKAARASGSLNLSNRSLRDVPVEVYRSLDAVGEGENWWEAVELQKLILAHNNIEVLKEDLRNLPSLTVLNVSHNKLTDLPAAIGQLSMLKLLDVSHNSIAVIPEEIGSVTSLVKFDCSSNQIKELPCSLGRCSALSELKASNNFITSLPEDLTNCSKLAKLDLEGNKLTALSDNLLASWTILTELNASKNLLSGMPESIGCLSRLIRLDLHQNISHLKFCTLNVNLLKEYPVGACKLCLSVLDLSNNSLTGLPAELGKMTTLRKLLLAGNPLRTLRSSLVSGPTAALLRYLRSRLSEAEDSEAKTPAKEEIVTKAARLSLTSKELSLEGMGLSVVPPEVWESGEIIKVNLSRNSIQELPDELSSCLSLQTLILSRNNIKDWPVAILKSLSNLICLKLDNNPLRQIPSDGFQAISMLQILDLSGNAASLPENPSFSSLPHLKELYLRRTQLREVPSEIMSLSQLQILALSQNSLQSIPEGLKSLTSLTELDLSDNNISALPPELGLLEPSLQVLRLDGNPLRSIRRTILDKGTKAVLKYLRDKLPDQ >OMO67687 pep supercontig:CCACVL1_1.0:contig12405:26029:29629:-1 gene:CCACVL1_20386 transcript:OMO67687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MGPVRGSKKRKQVEKKPEENASASGSSEKEGSVDWWDELSKRMNGLQSSSKGLDKFKSVLKISQRTFNYICSLVKEDMMAKPGNFTFSNGKPVSFEDQVAVALRRLSSGESLVNVGDAFGLHHSTVSQLTWRFVESMEERGLHHLQWPSTEAEMAEIKSKFEKIQGLPNCCGVIDTTHVMMCLPSSDPANKVWLDHEKNHSMVLQAIVDPEMRFRDIVTGWPGKLEDWLVFQSSNFYKLCDKGERLNGKKVELPEGTEIREYIIGDLGYPLLPFMVVPYEGKELPEIRAEFNRRHSATRLVAHRALARLKEMWKIIQGVMWRPDKHKLPRIILVCCLLHNIIIDLEDDVQDEMPLSHDHDAGYHHRICGSFDVKGVTSLLEAKYRLSSGVYNLPFGLGCAAVPAGR >OMO67684 pep supercontig:CCACVL1_1.0:contig12405:10299:11976:-1 gene:CCACVL1_20382 transcript:OMO67684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGPAHVSDGDAAFDNPSRIEPKRSHNWFLDAEPQLFPNKKQAIQAPNNKSSSGITNSNVSPWENVSSFQSVPNQFIDRLFGSESEKSVNFTESNIEADNMRQKDVEDHFGEDASIGLSMSHPMDDPETCFNYGGIRKVKVNHVKDSDSSMHTLKEHNFGRENNSEMSTIEAYNRENESSFISMGHSYDKEYDNVALMGHTYNRGDTHIRTSSPAYGKGDEIPLSMGDTYGKEDANILSFGNFHEEHEIIPVGRPLGSFEPPYAQSSNPASDAALEKQLDARSTGAVASASRTAKLRPESSSKTKPELKSSKKEAPNSFPSNVRSLISTGMLDGVPVKYIALSREELRGVIKGSGYLCGCQSCNFSKVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRNTPESLLFDTIQTVFGAPINQKSFRIWKESFQAATRELQRIYGKEELNL >OMO67685 pep supercontig:CCACVL1_1.0:contig12405:14194:14706:-1 gene:CCACVL1_20383 transcript:OMO67685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MTVKRGRDVVEAMDMANCLMLLSKVGQTDSSKDNSQGRVFACKTCNKTFSSFQALGGHRASHKKPKHGGAGDSVADVPVSPKKPKTHECSICGLEFPIGQALGGHMRRHRATSNNELLVTRDLLPEMKKSRVDETDLCLDLNLAPYGTDLELKLGKVAPPPTTPVVHCFI >OMO67683 pep supercontig:CCACVL1_1.0:contig12405:7152:9244:1 gene:CCACVL1_20381 transcript:OMO67683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLADTDSRAEPSTIKINQPDQAAGAGQAAQRSACCGST >OMO67681 pep supercontig:CCACVL1_1.0:contig12405:1217:1375:1 gene:CCACVL1_20379 transcript:OMO67681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKPVNIFVTDDFGTVKIGDFGGVTRQGPSADSAPTSREYVPPEAKDKRSE >OMO67682 pep supercontig:CCACVL1_1.0:contig12405:4088:4180:-1 gene:CCACVL1_20380 transcript:OMO67682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMISRMFGDGEINGDDADGDHDEHTQNES >OMO67686 pep supercontig:CCACVL1_1.0:contig12405:23130:24574:1 gene:CCACVL1_20384 transcript:OMO67686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG DNA binding protein MRTKSSPAKKKKTAPATQEPEAHDPSEMQLQIVESSPSSPMSRSPIKLPGGWVVEQRPRVTSAGHPGRVDRYYYEPGSGKQFRSLIAVQKYLSQEMDYTLANATQGQRVKPRDYESMQIVPHVFRSATPFKLPHDWTVEEKPRSNINYAGVVDRYYIEPGTGHRFRSLRAVERYLAEQEARAAASEVSKAGTPV >OMO54226 pep supercontig:CCACVL1_1.0:contig15028:3637:3762:-1 gene:CCACVL1_27962 transcript:OMO54226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTHMLEKSLTLRLHLTNAALADEIRWHRKLGLILLEPLG >OMO57564 pep supercontig:CCACVL1_1.0:contig14355:1110:7338:-1 gene:CCACVL1_25743 transcript:OMO57564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin assembly factor 1 subunit A MADSMPVVIDVDDDPKVQKMEGQDQPKKTHKRKRASWVSEALSCEQREAQIKGLEQEIEGLFGYYKEVMDRKSGFGMDSDLGLVESGSLNSAVAVLMEESPLPLSRLVEAIHEKLKDRMGNVSLAAVKSAVLFVGQRVKYGLGNEDADILEDDSHSALWCWETRDAKLMPKSVRAILKVRRTCRKKINERITAVSAMISLLQKWENNENYKHGLIKASEKLVKILSESDIRSLMHTMSQKSGAEMAEKEAKREEKLLIKKMERNKREIEKEKKKVDRELQKEKLQNEKERKRLQEEAERRREREDAELRKQLRKQQEEAEKEQRRREKEEAELKKQLSIQKQASIMERFLKKCKTSPSQIEQSSNPSTCPLTPKSEKKPEAVTLSMDNALSSAEEISSDLRKLHLSSWHHLGHSLRSNQNQCWGLRRKPKTQLFKELKLTANKGSINGELSIERLVDGWAEQNSDDGSCPADAVISATDVKKRCRRKQLLQFDKSNRPAFFGIWPKKSNLVGPRCPLRKDPDLDYDVDSDEEWEEEEPGESLSDCEKDEESFEGCSKANDEDESEDGFFVPDGYLSENEGVQVDRMETDVQVDESISSPTHELDRLNEEFSALLRQQNHLNNLTEHALRKNQPLIILNLLHEKTSLLMAEDLSGTPKLEQTFLQALSMRACPDGSPVEISIVDAVDDNEEDCPSSGKASTTPLLNVAPIADSDLPLIVSTIQSCSLGINRLVESLQQKFPSIPKSQLKNKVREISEFSDHRWQVKKEILLKLGMSISPEKGGGRTKTIAAFFSKRCLPPSDKSISPTSISPQQLLKLGSATEEQQGCTYNHT >OMO49840 pep supercontig:CCACVL1_1.0:contig16381:23846:37210:-1 gene:CCACVL1_30788 transcript:OMO49840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIVADTTMLRFTNETVWELDGNCDDLATVRWQGFSSLRLYNHPSEDRLELENAKM >OMO49839 pep supercontig:CCACVL1_1.0:contig16381:4445:4525:-1 gene:CCACVL1_30787 transcript:OMO49839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNASQSHVLQLSQSKIAPPSKPNRK >OMP00268 pep supercontig:CCACVL1_1.0:contig06703:21122:22782:1 gene:CCACVL1_03413 transcript:OMP00268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLIGHKGEKTLSEIGFTGQIVSMGHQACGALELWNYPSWLRDLIIQDIDGKERPDHVDLAALDIYRDRERKVARYNQFRRTLLLIPISKWEDLTDDKEAIQTLNEVYGDDVEELDLLVGLMDEKKIKGFAISETAFVLFLLMASRRLEADKFFTSNFNEEKYTKKEFEWVNKTESLKDVLDRHYPQITKKWMNSSSAFSVWDSPPNGSNFIPLYLRFPYSRSQQQ >OMP00270 pep supercontig:CCACVL1_1.0:contig06703:41502:41768:1 gene:CCACVL1_03415 transcript:OMP00270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQFQAQLCEAIKKEGIEIGEEFKADAWIPYCAVAQEVPKTRMAEAFCVLRDLKLPVSGYAMDIGLVEFSPVREHFSFGLGNTVEA >OMP00269 pep supercontig:CCACVL1_1.0:contig06703:25847:29398:1 gene:CCACVL1_03414 transcript:OMP00269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVHSVDKLGIWHRLPVFLGLIYLAIRRHLHQEYNLLHVGKSPSGVRFNPGDYPYRTADGRYNEPFNEGAGSQGSFFGRNILPVPQNDKLMRPDPMVVATKLLARRNYKDTGKQFNMIAASWIQFMIHDWVDHMEDTNQIELIAPKEVASSCPLSSFKFYKTKEFPTGFYDIKSGTKNIRTPWWDGSVIYGSNNMRLEKVRTFIDGKLKIAKDGLLEHDKDGIPLSGDIRNSWAGVSTLQALFIKEHNAVCDALKEEYPELNDEELYHYGRLVTSAVIAKIHTIDWTVELLKTNTLLAGMRANWYGLLGKKFKDRFGHVGGSILGGLVGHRKTVNHGVPYSLTEEFVSVYRMHPLLPDSLDLRNTNVAPDQANKSPPLLEKIPMQDLIGHKGEKTLSGIGFIGQIVSMGHQACGALELWNYPSFLRDLITQDIDGKDRPDHVDLAALEIYRDRERKVARYNQFRRALLLIPISRWEDLTEDKEVSQSLNEVYGDNVEELDLLVGLMAEKKIKGFAISETAFVIFLLMATRRLEADRFFTSNFNEETYTKKGFEWVNKTESLKDVLDRHYPQITKKWMNSTSAFSVWDSPPNAPNFVPLYLRLPS >OMP00267 pep supercontig:CCACVL1_1.0:contig06703:3821:16208:1 gene:CCACVL1_03412 transcript:OMP00267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDMVLITFESKEEMLLALDLDHEWLLNGFVNVSPWKASGVCQSKLFRLNLSDISLHAWPNNFFFSVGGLWGEVVTLDEITTKKKIGFASCGPSGDFPSQVANSNSNNEFSASVRQNLENDSPDQIPVLNSNTKFSDDDLQHLEADSLFQVFIRIEFLSIIMMIGNILKLIHFFSSNNEATSNTQCDGNLKEHEDVDGLVGFDGDKDESNDGNSFNDKQSHSQRMKGSGRKSRDLEDIEEDIEEDWSFGFGLYGSRVIQVTGHLGYESLGFGSSGLHRNLPVRGKVTSPLSGSLRKLFASRSLTELDADLDEGELRDLGLAGGSDQGLSGCTTQSGKPPLDPSSRKKARTTASTPSSSSRKTSIVWEHVTTFEGVGGSFMAKCNYCPKTFKAHTKRNGTSSMKSHLENSCPKSPLRAVATGIEQDSSQTELTFNVEEGGNGSGSVGTWKFSQEATRKVVATMIIIDELPFRFVEAKGFRHAMKTTQPKFVMPSRKTIAKDCFQLYCDAKKQVKKQMNSNRFRVSLTTDTWTSIQKSSHMCGLDTVFCVTVDNATNNDVAVGYLKKKFLKEKTCLGGGKFLHMRCVAHILNLIVGDGLKEKEFDSSVGKIREAVKFVRASNGRLEKFMACAKKEKVKCDKGLCLDVCTRWNSTYLMLDVAERYEKAFEAFEYVDPIYREHLRASGGLPTSSDFEVARCLCIFLKKFYTLTVKVSDTSYVTSSSLLDEVFKIDKMNMIIYVASILDPRKKLGFVDFCIQRMYNEGEYSDLMKTMQEATKELFEGYKTLLSPEKGSGSGGNDATMEEAVTSVDPLGEGNEESAMDMFWKHEMESGKEENRSELDVYLKEDLEKKAGDFDVLGWWKMNSPRFPILSCMVRDVLVVPVSTVASESAFSTGGRVLDVYRSRLNSKFVQALVCGQDWLRGTFDINLNADMKEQSEFDQLAQSYSKQFNLCIFAKQFDNFKFDIQLELKKPSGDENRPPDRNRLRSVDCGFHHLPIAHSVDRLGIWHRLPVFLGVIYLAIRRYLHQEYNLLNVGNSPSGVRVNPGDNYHYRTAKGRCNEPLNEGAGSQESFFGRNILPVPQDDKLMKPDPMVVATKLLARRNYKDTGKQLNIISASWIQFMIHDWVDHMEEYTKQVELTAPKEVANKCPLTRFRFYKTKEFQTGFNEIKTVTKNIRTPWWDGSVIYGSDVTSLEKVRTFIDGKLKIAEDGLLQHDKDGIPIVGDVRNSWAGVSTLQALFIKGHNAICNALKEEYPELNDEELYRHGKLVTSAVIAKIHTIDWTVELLKTDTLLAGMRVHWNTNVAPGANKSPPLLHK >OMP00271 pep supercontig:CCACVL1_1.0:contig06703:43915:45966:-1 gene:CCACVL1_03416 transcript:OMP00271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCDLFKGHWIPDLKGSQYTNSSCTTIPTSKNCFHHGRKDRDFLNWRWKPDQCDLPRFNPERFLELVRGKKLAFIGDSVARNHMESLLCLLSKVETPKDEYKDEQDRKRIWYFPDHDFTLMILWTQFLVVGEERLVNGSSSGIFDLHLDQIDQEWSKDLPGLDYVIISDAHWFFRPIFLHDATGIVGCVYCNDPNVKDYGVGFALKMAFRSALNHINNCKRCRVKVTLVRTFSPAHFEDGFWNTGGRCNRTSPLSEREINLKSNEWELRGLQMEEIEMARKAGEKTGKRFGILDVTRAMLMRPDGHPGEFWGNKWMKGYNDCVHWCLPGPIDVWNDFLMAILRREAASVS >OMP11509 pep supercontig:CCACVL1_1.0:contig01223:3452:5118:-1 gene:CCACVL1_00474 transcript:OMP11509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19e MEAARTVKDVSPHEFVKAYAAHLKRSGKIELPPWTDIVKGGKLKELPPYDPDWYYVRAASMARKIYLRGGLGVNAFRRIYGGAKRNGSRPRHFCRSSGSIARHILQQLQNVNIVDLDAKGGRRITSNGQRDLDQVAGRIAVAH >OMP06231 pep supercontig:CCACVL1_1.0:contig05019:5501:7037:1 gene:CCACVL1_01665 transcript:OMP06231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MAAESTAAIRTLQQTPTWAVAIVCLVIISISILIEQIIHLIAKWLKGHKKTALYDAVDKLRSVLMFLGFMSLILTVAQNPISKICISNKLGNEMLPCHRRKIIKNSKEIGYDDPFFIHQRILAADSDASGYCESKGLTPLISTDGANQLSIFLFALAAMQIVYSVLTMALGRAKMRRWKGWEKETRTIEYQAANVSTLLCFLHDPPPMPNLAGPAL >OMO58261 pep supercontig:CCACVL1_1.0:contig14256:35698:35784:1 gene:CCACVL1_25511 transcript:OMO58261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFVAAAFRCGYQKALAVLAVILAGTV >OMO58269 pep supercontig:CCACVL1_1.0:contig14256:70590:71026:1 gene:CCACVL1_25520 transcript:OMO58269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQDISNWTHLLQQAQFPLLQGIKQWLC >OMO58271 pep supercontig:CCACVL1_1.0:contig14256:85925:91633:1 gene:CCACVL1_25522 transcript:OMO58271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MPSSSATPAHQPSSSSGGTGVQSVTRDVEKLALEPAAASMAPPLPPSSSKAVRFPQRPGRGTVGRQCLVRANHFLVKVADNDLHHYDVSITPEVISKKVNRDIMTELALKYRQSHLGGRMPAYDGRKSLYTAGALPFESKEFLVKLIDKDRGDSSSGSARKERQFKVAIKLASKPDLHYLREFLSRRHFEAPQETIQVLDVVLRAKPSEMYTVVGRSFFHTSLGTSGELGDGVEYWMGYYQSLRPTQMGLSLNIDLSARSFYEPILVTEFIAKHFRKRDLSRPLSDQDRVKVKKALKGVRVELRHMGYVKTSKIVSISNVPISQLMFTLDDKKSKVSVVQYFREKYSIPLKYTNLPALQSGTDTKPIYLPMELCWIVKGQRYTKKLNDLQVRNLLRATCQRPHDRESNIKKMVEANGFNMDQLVNREFGIQVQSEPAVVNARVLPAPRLNYHESGREKSVNPGFGSWNMINKKLVNGGRVDSWSCVNFSSDGRLTDRFCTELVKMCNTKGMEFCQTPSIGFRHARPERIEQTLIEVHKESTDKKRPLQLLIIILPDQSGSYGKIKRICETELGIVTQCCQPKQASRLSPQYLENLSLKINVKAGGRNTVLDDAIQKRIPLVTDRPTIIFGADVTHPQPGEDSSPSIAAVVASMDWPHVTKYRGIVSAQPHREEIIQDLYKTYQDPQKGVVHAGMIRELLVAFYKLARTKPHRIIFYRDGVSEGQFSQVLLHEMDAIRKACNSLEENYMPPVTFVVVQKRHHTRLFPTDKNFADKSGNIQAGTVVDTQICHPTEFDFYLNSHAGIQGTSKPTHYHVLYDENKFSADIMQVLTNNLCYTFARCTRSVSIVPPAYYAHLAAFRARYYMEDDVSDSGSTGGGRLARDRNVEVRPLPSIKDNVKDVMFYC >OMO58272 pep supercontig:CCACVL1_1.0:contig14256:92399:98355:-1 gene:CCACVL1_25523 transcript:OMO58272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVFPAWVMVTVLLLEAVVVKGGFPTSLQLERRVPLASHELELSRLRERDRVRHGRLLQSSGGVVDFPVQGTYDPFLVGLYYTKIQIGSPPKDFYVQIDTGSDVLWIGCNSCNGCPQSSGLQIQLNLYDPGSSTTSSPVPCSDQRCSAGIQSSDSGCSGQSNQCSYTFQYGDGSGTSGYYVSDLLHFNTILEGSMTTNSTASIMFGCSMLQTGDLTKSDRAVDGIFGFGQQSLSVISQLSSQGITPRVFSHCLKGNNGGGGILVLGEILEPNIVYTPLVPSQPHYNLDLRSISVGGQVLSIDPSVFSTSTNQGTIVDSGTTLAYLADEAYNAFVSAITNTVSQSVRPVLSKGNQCYLITSSVTDIFPQVSLNFAGGASMILYAQDYLVQQNSIGGAAVWCIGFQKIQGQGITILGDLVLKDKIFVYDLANQRIGWTNYDYLLERMESNFGYTNLYVKNFEKDVTEETLLEKFSVFGTITSLVISKDYNGVSKGFGFVNFEKHEDAFKAKEAMRGAQLGSKVLYVARAQKKGERQLLLQLKYQGSNLYVKHINDGVDEEKLKEYFKQCGTVTSVRIMRTEKGISRGFGFVCFSMRQEALRAIQILNGVLFHGKPLYVAMAQTREERKRLFQSAFRHPFTNVEGLQGPFNPTITPPYPYAAAAAAAYHNRLLFPMYIPG >OMO58273 pep supercontig:CCACVL1_1.0:contig14256:101279:101362:1 gene:CCACVL1_25524 transcript:OMO58273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTKPNAARQTENKSTVQVRYGRCVL >OMO58257 pep supercontig:CCACVL1_1.0:contig14256:13818:14465:-1 gene:CCACVL1_25507 transcript:OMO58257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYDGFQAPEAVEDNNLTVNKVDLQ >OMO58268 pep supercontig:CCACVL1_1.0:contig14256:68543:68946:1 gene:CCACVL1_25519 transcript:OMO58268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLWTEGRLVRQGRAVQWGPPHRIIHISHLRFATENPLVENQAGVQCDEEPLLHCPTKWPSLNDGQLKIYRKWIEADCVLTRRWHYTAFKRDLEHMLPSFGY >OMO58263 pep supercontig:CCACVL1_1.0:contig14256:49380:51093:1 gene:CCACVL1_25514 transcript:OMO58263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MKFSSYFLAPFILFAILLSKANGQPLVPAMFIFGDSVVDVGNNNKISTIIKANFPPYGRDFVNHKPTGRFSNGKLASDFTAENIGFTSYPPAYLSKEAEGNNLLIGANFASASSGYYETTAKIFHTLSLSKQLENYKEYQNKLVDIAGKSNASSIISGGVYLISSGSSDFLQNYYINPLLYKFYTPDQFSDILIESYADFIQKLYELGARKIGVTTLPPLGCLPAAITVFGSDSNECVAKLNRNAVSFNNKLNATSQNLQKKLSGLNLVVFDIYQSLYKLVTKPAENGFAEARKACCGIGLLETSILCNPKSVGTCANASEYVFWDGFHPSEAANKILADDLLASGISLIF >OMO58260 pep supercontig:CCACVL1_1.0:contig14256:31651:35344:1 gene:CCACVL1_25510 transcript:OMO58260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MVPLFHASLAELTPGIKAYIIARIARVWNTVLPASTVAVSIEMVLADDKGNAIHAIIPKEAMRHLSGKFAEGVVYKIVRFQVYDRKTSYKCVPGHNVLYFSSSTEFQKIITCGWHKFPRYCFNFATINEIRARNEREPVLTDAVGLLTTIGPVTRVFVPSRGEDVDERDIYVKLSSGDEIRVSFWENHIHRLDVDALLAMELKPVLAITATTVREYLGTLIYVNLDIPQTRDLKEMYVEFDVFIVVLNRGHSQCRGAADSRSQPLHCDRGYKDDKVGVQLLTAEEVDSCHVSTQADQDVAIDRLFYFTPNELRGQRFRVEARVVEVDTTNGCMRLSLIIEDGTGTIQVTVFGNLAENLIGVQLTNTMVHSGIDPYKLPASAKDITNSEFVFNLGVSDQTLKRGYFKYTVYGYFVKACRDNPSSRPVGVGKQSNPITCSNTNYIPVTPPKQAAKLAEPHDLSPGSPCMPPESSAEDSPAKRIKHSHRAGFIQAREDDDDEQDKEKDGPDGASKLKREGN >OMO58267 pep supercontig:CCACVL1_1.0:contig14256:64325:67427:-1 gene:CCACVL1_25518 transcript:OMO58267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKNGDRSEASDYSSEDEGTEDYRRGGYHAVRIGDTFKNGCYVVQSKLGWGHFSTVWLAWDTQRSRYVALKIQKSAQHYTEAAMDEIKILKQIAEGDPDDKKCVVKLLDHFKHSGPNGQHMCMVFEYLGDNLLTLIKYSDYRGVPLPMVKEICRHILVGLDYLHRELSIIHTDLKPENVLLLSMIDPSRDHRKSGAPLILPTRKEKVVSEAVASKEIKSSNGDLTRNQKKKIRKKAKKAAQGCGGKEASEENETDSKNGDAEETNGDPRSKEVSGEEQPNGSVIKDETKVDGITNGNQGNQPHRRGSSAARKKLLSEVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGENYDRDEDHLALMMELLGMMPRKFALGGRYSRDFFNRYGDLRHIRKLRFWPINKVLMEKYDFSEQDAADMADFIIPILDFVPEKRPTAAQCLNHPWISAGPRLLEPSTTVSEKHTADGKSEKERKEKDDREAMEAGVSNIAIDGGAIKPSEKTLRS >OMO58258 pep supercontig:CCACVL1_1.0:contig14256:14921:23841:1 gene:CCACVL1_25508 transcript:OMO58258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKHAGYIKAHEDDDDDEQDKEKDDPDGAPELKIEGN >OMO58264 pep supercontig:CCACVL1_1.0:contig14256:51858:52391:1 gene:CCACVL1_25515 transcript:OMO58264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAFKRLSLNSNEKEEKLFNFEGFKKSKLPPPPTLPQEFKDVIKSLDGTNLVFLMQKNLSRDDLSRIYNRLSIPMCRVRKGFLCRVRKGFLSSKEKEELLVHCKKPVLFVEPSLDVTKMFMRRLEKKTYSSYVLVSSQWDTIWRRNNLKEGDLVQLWTFRVKENLGFALVRRAEWL >OMO58262 pep supercontig:CCACVL1_1.0:contig14256:43509:46987:1 gene:CCACVL1_25512 transcript:OMO58262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol/phospholipid:diacylglycerol acyltransferase MASILRFRKLCYVEPAVKCGFESFDDKSSKINQKLDKKEEDVPESPGIRLKREEGLSALHPVVLVPGIVTGGLELWEGRPCSDGLFRKRLWGGSFTEIFKRPLCWLEHLSLHNETGLDPPGIRVRAVPGLVAADYFAPGYFVWAVLIENLAKIGYEEKNLHMAAYDWRLSFQNTEIRDHALSRLKSKIELMYITNGYKKVVVVPHSMGVIYFLHFLKWVETPPPMGGGGGPGWCAKHIKAVMNIGPAFLGVPKAVSNIFSAEGRDIAYIRAMAPGVLDSEILGLQTLEHVMRVSRTWDSIVSLLPKGGETIWGNMDWSPEEGHACYLSKKRNFQPSLSDNNVNNSDAKRGFRVKDPVKYGRIISFGKAASTLHSSKLPAVNPKELLDTSASQNFNPACGEAWTEYEEMSRESIQKIAADKAYTTRTLLDLLRFVAPKMMQRAEAHLSHGIADNLDDPKYSHYKYWSNPLEMKLPDAPNMEIYCMYGVGIPTERSYVYKLSPTNRCKSIPYQIDSSVHGEDDSCLKGGVYFADGDESVPVISAGFMCAKGWRGRTRFNPSGIATYIREYRHKPPSSLLEGRGIESGAHVDIMGNVALIEDVLRVAAGATGKEIGGDKIHSDILRMSERINLRL >OMO58266 pep supercontig:CCACVL1_1.0:contig14256:59192:63512:1 gene:CCACVL1_25517 transcript:OMO58266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2/LPE10 MALTPSPSSSSYPNLLKLSAKSPSPPVNYLFLGSPWPNPILLQKNGVPLLPVVVKPSYKKIKCFARSSTEEDRLSEPETLAADNVDDDVDDGGREDPKLQQRQASAVATQRNTSSFSDSLSLGIRDPVYEVVEVKSNGVVSTRKINRRQLLKSSGLRPRDIRSVDPSLFLTNSAPSLLVREHAILLNLGSLRAIAMRDCVLIFDYNRKGGRAFMDTLLPRLNNMNGGPCMPFELEVVEAALLSRIQRLEQRLMDLEPRVQALLDVLPNKLTGDILEQLRISKQTLVELGSRAGALRQMLLDLLEDPHEIRRICIMGRNCTLKKGNDDVECSVPLEKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAKEMEDSIAVNLSARRLEVSRVELLLQVGTFCVAIGALVAGIFGMNLKSYLEEHVVCLLSGQPQQGSSLVALLHFFSCIGTSGLGKYCNLGVQVKLTAHITPKLIERRLCSVEAPSNDGASKEHQVMCFDCS >OMO58270 pep supercontig:CCACVL1_1.0:contig14256:72090:74847:1 gene:CCACVL1_25521 transcript:OMO58270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEDWESCSDNEVYFQDTNKDDDEENYYSSAFLSKLQFRKDISKARWIDDLAMAEVVEKRGKMWVTMGISRSGKTYCSIEETLFLIEIGALHLLDEKGICLSLMEVYEKLSVEKSGCCWELFEVYKHLKSLGYVVGRHGIPWSVKGVKTLSQTCSLQGCEEKKELLEMEPRDKSSIIELFASMQINEVNPAFDVYLPNSKFRKSCPGAPSFVLYLSRGNPPSRVEIEAIETKHGGIPLKFCHVENGCVSFFSFDKAELAVLP >OMO58265 pep supercontig:CCACVL1_1.0:contig14256:52629:57066:-1 gene:CCACVL1_25516 transcript:OMO58265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAPSAPAPTMEEEEEEEEQFGEDRFVPSHHPSAPPDELFDISTTVDPSYVISLIRKLLPTDAKNGDNVEFQGSHCNEVESSSNDKCKSMEIVDDFSKSDFHGEDEEDSSRGGGNARLLAGEEVWEECGCVLWDLAANQTHAELMVQNLVLEVLLANLMVTQSVRVTEICLGIMGNLACHEVPMKHIVSTNGLIPAIVDQLFLDDTQCLCEAFRLLSSGLQGGECIIWAEAVQSEHILSRILWVAENALNPQLIEKCVGLLLAMLESQKEVVHILLSPLMKLGLANVLLNLLAFEMSKLMKERIPERFSVLDVILRALEGLCVIDGYSHEICSHKEFFHLVCELIKFPDKVEVSNSCVTAGVIIANILSDVSDLASDISHDLHFLQGLFDIFPFTSDDLEARSALWSILARLLVRVQEDEMDASDLRQYVLILLSKSDLIEDDLFDHQFDENKENESLTASDRRSNARSLAQQLKRIINILNKWNALKDTVEEKYMMEEDANDEDIHRLLDLCCKYIA >OMO58274 pep supercontig:CCACVL1_1.0:contig14256:109814:110119:-1 gene:CCACVL1_25525 transcript:OMO58274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALDAAYAQFVNCCKIELMDEKTTPVVFSLDCENLVKFMNDVAKLPSEVKMNPRSSQYSLDLKKEMSSHSIVYEARELNQVADFLAKNKLPANSKTRHDK >OMO58259 pep supercontig:CCACVL1_1.0:contig14256:27293:28536:1 gene:CCACVL1_25509 transcript:OMO58259 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein TWIN LOV 1-like protein SCKYFLLGGWLRLLPTFLQIKEIIQTEQECTVRILSYRKDKSSFWNCLHLSLVRNASGKITSVQIAYYVGVQIEEDCKKQDRHGLSPEMRQLSVVGAVKVAVRSLSMGASLAGSSKS >OMO51534 pep supercontig:CCACVL1_1.0:contig15794:1152:3666:-1 gene:CCACVL1_29734 transcript:OMO51534 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxyacyl-CoA dehydrogenase, NAD binding protein MGETKNLGVVGSGQIGSGIAQLGAMYGLHVWLFDTDPAALSRASKSISSSVQRFVSREQLLDTDGETFQDFARSNWQGKGKAIDRNNQISKQSFTRKLLSYADDKPRKEELNKLGR >OMO96621 pep supercontig:CCACVL1_1.0:contig07424:23271:26915:-1 gene:CCACVL1_04862 transcript:OMO96621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQKEKKHKKEKKDKEKRENKEKKEKDRSDGKHKDKKDKKEKHKDKKKERDRDKEKDRSNNSEEKKFPGQPEGQNGENTSDEKKLSGKSEGHIGEKIIQKEKGRDKDRSGFSGDKKLAGQFSGYNGEKISQNSHLSENFRDAKFVQELGRRVRDEGAGTGTQLADKFIGTDRKRDEGMVRLVAKNANVLAEEKEKNKRSDDRKLDAQGTREEKRDEGMVRLVAKNANVLAEEKEKNKRSDDRKLDAQGTREETRSGGNAMVPNLSGAVKARVEGMPRQVERDSERRVEGKEKTKEKESHDKIRDKRKDKDREKKSHGKDKDRDKEKKKEEKEKAKAKGEHRNLEQDNLKGSNKDDPVGTINLKTSHPSMEGNKGAVAEENLRKRKDLEKNGFFHVNDIKPNKLPKTTSSSHPLTDNGRTLESYPAPIPHTSDSLSAGSSLKVDNRERKVNGIIEAQPFSDSPSKASSASAQASKMDEVCMKPPHPDSKYLSQILSVPKMEEWSDFDDQEWLFHSNESQLKKPRVGSSEVDEAPQVLQQIVFAMTDCQTLLKGTDLSCRRSHASSCRSIAGSMEVEGGYLPPRRAGSYHGTNKRDDLIDLGAKSDDPNDLTDLGA >OMO90162 pep supercontig:CCACVL1_1.0:contig08514:609:4829:1 gene:CCACVL1_07491 transcript:OMO90162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EMEAALVDAFCRLVKQHAKVRVQEEQERINHHLTT >OMO90167 pep supercontig:CCACVL1_1.0:contig08514:44067:44579:1 gene:CCACVL1_07496 transcript:OMO90167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARAYLLVSLFVFAFIFPSIISDDIPTNPYPYCYAKCSKSYGEEECKMDCIKKKFVDGKCLKKDAHHLEPRTCCCN >OMO90166 pep supercontig:CCACVL1_1.0:contig08514:32781:38868:1 gene:CCACVL1_07495 transcript:OMO90166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLEDYTDIYSVFQAFRVRSIPVLFVPYSTSTTSVDYNKFLQWSFNKGVTIQLTKVIFKILAREEMEDCTYATLDNYELDIHADYDNETGEPNHHWVDEVVEVQLVPLMRVLAT >OMO90169 pep supercontig:CCACVL1_1.0:contig08514:52043:53307:-1 gene:CCACVL1_07498 transcript:OMO90169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MASKSFKGSRSSLSVSSDAAESHKPPMPPSVTFARRTSSGRYVSYSRDDLDSELGSSDFMNYTVHIPPTPDNQPMDPSISQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEANHPQMAGAKGSSCAVPGCDAKVMSDERGVDILPCECDFKICRDCYIDAVKAGGAICPGCKEPYKNTDLDETAVDNNARPLPLPPPGTMSKMERRLSLMKSTKSVLMRSQTGDFDHNRWLFETRGTYGYGNAIWPKDGNIGNGKDDEVSEPTELMSKPWRPLTRKLKIPAAVLSPYRGSCMND >OMO90170 pep supercontig:CCACVL1_1.0:contig08514:79221:79574:-1 gene:CCACVL1_07499 transcript:OMO90170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type METQHFLMEKLMESEPNHPQMAGAYEGSLSSCAVAECQGKVMRDERGEDILPCNCGFKICGKCYSEKNAESRDGGKYPNCNKPYKKKDSDGKAGDSSDQKNGKTDPSAGGNGKDKGT >OMO90174 pep supercontig:CCACVL1_1.0:contig08514:89516:89662:-1 gene:CCACVL1_07503 transcript:OMO90174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLSTVKTIALASRFLNMESSCFDSSKPASKPVNQTSPKAFKKSSDLAI >OMO90168 pep supercontig:CCACVL1_1.0:contig08514:46056:48439:-1 gene:CCACVL1_07497 transcript:OMO90168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYIIPLVVLFLALFQSITSTDINPPDDQYLRVATEEMQKANYFAFVMLINMFPLDHKFQGNVTFLMPNDRMLSKTIIPESEVSAFLYRHTIPSALFLEDLLHIPTGSILPSSEPEYMLNISNGGGRRSFFLNNVKIISPNICTAGSSIRCHGINGVLSSAKLLGSNKTPSTCSNSTASAASASPVASPPFPFVPFSHDFKVPSPQPSDSSPHDSSGAAQLLSDGKLLKFADPNQTRSKLYESQRSPITTASPEHRSQTPNHRS >OMO90171 pep supercontig:CCACVL1_1.0:contig08514:80130:81428:-1 gene:CCACVL1_07500 transcript:OMO90171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISCLVPIFQHAEELDIEKEIEDFHWCLGGCQIHSWRLINAVIVAKNAVILWRRLIKNAAMHCDTEFSGVSGGV >OMO90172 pep supercontig:CCACVL1_1.0:contig08514:83831:83974:-1 gene:CCACVL1_07501 transcript:OMO90172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSGVNFAAFLSNAAICPTTIIITAFIRCKRWHIDILAAFILRKHR >OMO90163 pep supercontig:CCACVL1_1.0:contig08514:5915:11027:-1 gene:CCACVL1_07492 transcript:OMO90163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKACAAGLFSALAAASSSSLLSQTNNNIAFADGPFNFPLFSSSPSSSGSPQSPPAQSQPQPSGAPAADKEASGNARVRNDNPRTSSAGFDPEALERGAKALREISSSPNAKKAFELMKKQEETRQAEMAEKAAEFKAMQAQAETERQRVIYEEQKKLTQHQAQTKSQMARYEDELARKRMQAENEFQRARNQELVKLQEDSAIRQEQARRATEEQIQAQRRQTEREKAEIERETIRVRAMAEAEGRAHEAKLAEDVNRRILVDRANAEREKWVAAINTTFEHIGGGLRAILTDQNKLVVAVGGLTALAAGVYTTREGARVIWGYVDRILGQPSLIRESSRGKYPWSGIFSRTMSSLSRSGDKTSLSKNGNGFGDVILHPSLQKRIQQLAGATANTKAHQAPFRNMLFYGPPGTGKTMAARELARKSGLDYALMTGGDVAPLGPQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPGEEERFKLLKLYLDKYIAQAGSRKSGVLQNLLKKESQKIEIKGVTDDILKEAAAKTEGFSGREIAKLMASVQAAVYGSENCVLDPNLFREVVDYKVAEHQQRRKLAGADGGQV >OMO90173 pep supercontig:CCACVL1_1.0:contig08514:84325:88893:1 gene:CCACVL1_07502 transcript:OMO90173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDKVGVGKVSWHGELAWPYSISVIKETVTGREKAHKLTMPAQQPLHTCTTKIT >OMO90165 pep supercontig:CCACVL1_1.0:contig08514:24874:25134:-1 gene:CCACVL1_07494 transcript:OMO90165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQIAYDVAIFERSGEIPSVPYPIAELCKPIVGRIKKCKVFDEMLKKKAELKKKKEKELWEMGENFWKKENPEHPGVRVLLQCLT >OMO90164 pep supercontig:CCACVL1_1.0:contig08514:14257:19941:-1 gene:CCACVL1_07493 transcript:OMO90164 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MISIADHPVEPQKPAELSTTPKPSPETNHNIFRSKLPGIPISNHLPLHTYCFQKLSSFPHKPCLISGSSQAKTYTFSETHLISRKTAAGLSNLGIEKGDVIMILLPNCAEFVFSFMGASMIGAVSTTANPFYTSTEIFKQFKAARAKLIITQSQYVDKLKDDQTTEKNFPKIGQDFKVVTIDDPPENCLHFTVLSEGNENDIPEVSIDPDDPVALPFSSGTTGLPKGVVLTHKSLITSVAQQVDGENPNLYLKNDDVVLCVLPMFHIYSLNSVLLCSLRAGAAVLLMQKFEIGALLELIQRHKVSVAAVVPPLVLALAKNPMVAQFDLSSIRVVLSGAAPLGKELEEALRGRVPQAVLGQGYGMTEAGPVLSMCLGFAKQPFPTKSGSCGTVVRNAELKVIDPETGCSLGYNQPGEICIRGSQIMKGYLNDAAATATTIDVEGWLHTGDIGYVDEDDEIFIVDRVKEIIKFKGFQVPPAELESLLVSHPSIADAAVVPQKDEVAGEVPVAFVVRSNGFELTEEAVKEFIAKQA >OMP11538 pep supercontig:CCACVL1_1.0:contig01188:654:5596:-1 gene:CCACVL1_00457 transcript:OMP11538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MSKVNQDPGNHQEDKKEVIIIKDDLWDRGLPTELLELILSKLIFVVDIHKFHAVCETWRSITVRDSPPRQLPSPLLYADSSFPLFFQGNPQGDSDYSKYRVMHPLYKYTWDLEFPPQVGDGPKTVIFSKYGWSLMARSYWHPFLFNPLTQEIKELPTFPFFPGYTTCMLFTCPPSQPEDCLVVAISGLDRSIFVHKLGEADWKKHDLNGKMASDFHPDCHPILYQGLYYCLDVKGNLAVFDIQDIEHTWIVHDTVIPSRGELLTALVEHNGQFLVVSIGFHPPCIFELDLKTKLYTPMHKSLGKNALFISNGASFSQKAIRNLLYPKRMDIALFSPSSLFSGDSDGDEEVSVDEEKADNHQSYVERKHQFPGMELLIREFSFHQFNANLLWPGTFAFAEWLVQHQSWIQGRRCLELGSGTGALAIFLRKSFDLDITTSDYDDQEIEDNIAQNCEANGITPVLPHIRHSWGDTFPTTEPDWDLIIASDILLYVKQYRNLIKSLSYLLKSYKPKDDNTVPPCRKDQSSGNIYPTENVIDMSRKDRILRYKSPILLICLYCLLLGLPTPAFLMSWRRRIGKEDESLFFSGCENAGLEVKHLGSRVYCIHPRENTVNHPR >OMO56215 pep supercontig:CCACVL1_1.0:contig14549:10407:10547:-1 gene:CCACVL1_26692 transcript:OMO56215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTFMRDGISIKIKRLDVWLAKLERPNKMSWKAVFHHLITLGFSK >OMO73144 pep supercontig:CCACVL1_1.0:contig11294:29115:37989:1 gene:CCACVL1_17439 transcript:OMO73144 gene_biotype:protein_coding transcript_biotype:protein_coding description:NatC N(alpha)-terminal acetyltransferase, Mak10 subunit MDVHAPKLASIPASDNTVWADATPLLEAACQGLADGELIHGDNFNLFAAMSALEIMDPKMDSGIVCRYYSIDEAIENGVAPIPISLDSTVDVQCTIDIMDHLLACEAAWHTGHSLAQTVFSCIYLLRLDRTASHALLHSYCRVTRATCKAVVSVVSDARTHEEEDLFTMTYGLPLNGDGDEKCLSMLNTVEETISRQLRVCKATSSKRSSVGNLEPLQSNPNLEEGFCKALLCRLRFRKHFFHVLTCMKRPQGRGLELARKHIASCISELESILNSAEFLRSCSAESCEDDIEDKTTASGREPIGFDATLNSRLSAPTPPRAIKILSWKKAIQYFLKLLRHLDVICSYSLDPNLESLLHFVVQFQKSQPDLVSRAHLQLLLVQDGKLYGRDPIFTVITKASALPEAAKNHDIQKNEYIVQLGQLVMNLLKILCTNAAWQRRKLGKILQDWRVIYVQLELAFRNEFGEASSSSNDENICMKIFQHILVWVEEQTYWIAFRFLMLGFELELYSASEYCMVYWYLYAVLIKLAEKTHLKMAVSHDTAKRKGKKRKDSPKDLARESRIPPAVLFLQCYICLAEGLTMMLAALRNEIMVLQSPSPFNTEQEKFIQHFELLQRACIPDHVSYPSFKESTTHARFSTLAMYNYFKDAQRIAKEVKSSFSNDPDRLAELRRLEQVAEHNSVALNLICRLGALDPSLKVSFEFSHHPFFATAVGMEIRKRESSVLGVLNGYSKLEACEIVDELFKVGNGDKKRRLSEVTEASDFGSEKKRLKSSVLLKS >OMO73140 pep supercontig:CCACVL1_1.0:contig11294:7079:11275:1 gene:CCACVL1_17435 transcript:OMO73140 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MAHQILANPNAATSPISITSTTNLPVKLTSSNYTSWKAQMDALLIGLDLAGYVDGSFPLPPFEIQQEGEMIPNPAYNLWLRQDKLILHALITSTSESILPYIASATTSSEAWNTLAKVFANKNHSRIMSLKEQLSLTRRDQMAVGDYIQHMKQLADAIRMAGSPVEDDDLVLHILKGVGPDFKDVVAAVRCRETPMSINELHSTFTAHELHLKNEAAVASMEVNIPSANFTRRVNNNNSNRGIGRFNSRFNGPRTYSNHSTVSNNNNRPTCQICDKFGHSAKTCRKGKQFFNSPPVANVTTMAGQNSSWCMDTGASHHVTPQFQNLTLASEYDGPDQIVVGNGQGLKISHSGLIDLRTSSKQFHLKDVLHVPSMKQNLISVSKFCSNNKVFIEFYDDYFLVKDLQTRQVLTKGLLTDGVYCLPAQISRPHVALSSQSLSFLDWHSRLGHPSKSILCHVVNKFELPSTPVKDFVCTACQCSKSHKLPFHLSSLSSSRPLELLYSDIWGPSPQISIDGYSYYIIFVDNFTRYTWFYSLKRKSDLSALFPKFKAMVEQYFQTHIQTIYSDGGGEYEHLKQTLQNHGITHLQTPPHTPEHNGISERKHRHIVETGKTLLHQAHLPNTFWTFAYQTAVYLINRMPTPTLAHKSPFEVLFQKQPNYSKLKIFGCLCFPWLKPYATSKLEPKSRSCVFIGYSTHQSAYKCYDFVTHKIYTSRHVVFSEKDFPFHSNTTSSSTTPSSMVSTPTSTLHKILPSSYTPSLAAIPQRSAISNSSPSLSTSSSNSNTLNFSMEPYETDLPQDDPTSTERATHIASGLNMETYSSPISEPIVAPRQRRSSSTQVVVHKPIVNDHPMQTRSKNQIFQPKSVHLATKHPFSTDSEPTCVTQAMKDENWRRAMSDEINALLRNGTWELVPPSSSQNVIGCKWIFKLKRNSDGSISRHKARLVAKGYNQRPGIDFQETFSPVVKPTTIRIILSIATQRQWQILQLDVNNAFLHGTLTETVYMKQPPSMIDSSNPNHVCKLKKAIYGLKQALRAWYNELRAFLLSFGFRQSHSDSSLFIFQRDEVVTYFLVYVDDILLTGTSNSHLQEVVSALSNKFSLKDPAPLSYFLGIDAIRTSSGLFLCQRKYVVDLLERTSMLDAKPVSSPLPSTSSFANGDGEPFEDASLYRSIVGTLQYLLITRPDLSFAVNKMAQFMNNPIVVHWQGLKRILCFLKGTASYGILLHSTPSPFKLVAYSDADWAGDTGDRKSVSAFLVYLGGNLISWKSTKQKTVARSSTEAEYKAIANAASELTWVQNLISELGLSCPTAPTIHCDNMGATYISTNPALHSKMKHVSIDFHFVRDKVNAGLLTVNHISSQDQLADLLTKPLPRQRFCHLSSKIGVLPGDSILRGHVGG >OMO73142 pep supercontig:CCACVL1_1.0:contig11294:21928:24194:1 gene:CCACVL1_17437 transcript:OMO73142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MVKRLKVWVYKEGERPLVHTGPMKDIYGIEGQFIDEIDSRKSPFLAQNPDEAHVFFLPVSVGFIVKYIYKPITTYSRDRLIRVFTDYIHVVANKYPYWNRTNGADHYMISCHDWAPDVSARDPQLYKNLIRVLCNANSSEGFNPNRDVTLPELNVPSEGFSSRRSFSFGQPPEKRTILAFFAGGAHGHIREILLQHWKDKDNEIQVHEYLPKGQDYNKLMGLSKFCLCPSGFEVASPRLVESFYAGCVPVIITDHYVLPFSDVLDWRKFSIQIPVEKIPEIKTILQSVPEDKYLKMQKRVLKLRRHFELNRPAKPFDIIHMVLHSIWLRRINLKL >OMO73143 pep supercontig:CCACVL1_1.0:contig11294:26704:28074:1 gene:CCACVL1_17438 transcript:OMO73143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MVKRFKVWVYSEGEPPFLHGGPVNNIYGIEGQFMDEMESGKSHFLARRPEEAHAFLIPVSVAKIITFLYRPLVTYSRDQLHRVVSDYVGVIADKYPYWNRSNGADHFLVSCHDWAPDIGDDNPELYKNLIRVLCNANTSEKYKPQRDVSMPEINIPKGKLGPPHLDLSPSKRSILAFFAGGSHGYIRKMLLEHWKDKDEEVQVHGYLPHNKDYFKLMGRSKFCLCPSGYEVASPRLAAAFSVGCVPVIISDYYALPFSDVLDWSKFSIHIPSKRIPEIKTILKGISSKKYLKMQMRVRQARRHFVLNRPAQPFDVIHMLLHSVWLRRLNFRLPIP >OMO73148 pep supercontig:CCACVL1_1.0:contig11294:59807:60130:1 gene:CCACVL1_17443 transcript:OMO73148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase MVLMVSATACYGDDQTQFPSSNLFAAAGDGIWDNGASCGRQYLVRCISAAQPGTCIPDQTIQVKIVDYAPNALSPPSAQSTTIVLSQTAFSAITNLPADAINIEFQQ >OMO73146 pep supercontig:CCACVL1_1.0:contig11294:49321:52521:1 gene:CCACVL1_17441 transcript:OMO73146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHRNANGQPPKGTASGGAGSMYSINLENFSKRLKAFYTHWNDHKAELWGSSEVLAVATPPPSEDLRYLKSSALNIWLLGYEFPETIMVFTKKQIHFLCSQKKVSLLDVVKKSAKEAVGVDVVMHVKAKNDDGTALMDAIFRSIRAQHKGDENDAPVFGYIAREAPEGKLLETWADKLKSASFQLADITNGLSDLFAVKDKEELMNVKKAAYLSYNVMNNIVVPKLENVIDEEKKISHAALMDETEKAIVNPQLAKVKLKPENVDICYPPIFQSGGEFDLRPSAASNDENLYYDSASVILCAVGARYNSYCSNIARSYLIDATPLQSKAYEVLLKAQEAAIGMLKPGNRISAAYQAALSVVEKDAPDLIPNLTKSAGTGIGLEFRESGLNLNSKNDRVVKAGMIFNVSLGFQNLQSESNNPKTRNFSLLLADTVIVGEQNSDVVTGKSSKAVKDVAYSFNEDEEEEEKSVRAVSNGPDTLSKTVLRSDNNEISKEELRRQHQAELARQKNEETARRLAGGPGSGDSRAVSKTSADLIAYKNVNDLPPPKNFMIQIDQKNEAVLLPIYGSMVPFHVATIRTVSSQQDTNRNCFIRIIFNVPGTPFSPHDSNSLKNQGSIYLKEVSFRSKDPRHISEVVQQIKTLRRHVVARESEKAERATLVTQEKLQLAGNRFKPIRLTDLWIRPVFGGRGRKIPGTLEAHVNGFRFGTTRADERVDIMYGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGGKRELGFHGVPYKASAFIVPTSSCLVELIETPFLVVTLSEIEIVNLERVGLGQKNFDLTIVFKDFKRDVLRIDSIPSTSLDSIKEWLDTTDLKYYESRLNLNWRQILKTITDDPQSFIDNGGWEFLNLEASDSDSENTEDSDQGYEPSDVEPESESEDEDSDKLEREASNADREKGDESDSEEERRRRKMKALGKSRAPPSSAIPKRSKLRR >OMO73147 pep supercontig:CCACVL1_1.0:contig11294:53732:57975:1 gene:CCACVL1_17442 transcript:OMO73147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSIDRKQPTSTEEMCTAKSGAKQGEGLRQYYLQHIHELTLQLRQKTHNLNRLEAQRNELNSQVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKNIDITKITPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRLDILKIHSRRMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >OMO73150 pep supercontig:CCACVL1_1.0:contig11294:73224:79187:-1 gene:CCACVL1_17445 transcript:OMO73150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLIRNRGMFKVSVCGQEEIEHLSRESSHYSLSTGILPSLGARSNRRVKLQRFIISPYDRRYRVWETFLVILVVYTAWVSPFEFGFLKKPEAPLSITDNVVNGFFALDIILTFFVAYLDKTTYLLIDDHKKIAWKYGSSWLAFDIISTIPSELAQKISPKPLRSYGLFNMLRLWRLRRVSALFSRLEKDRNYNYFWVRCAKLICVTLFAVHCAGCFYYLIAARYHDPGRTWIGASLGENFLEQSLSIRYVTSMYWSITTLTTVGYGDLHPVNTREMIFDIFYMFFNLGLTAYLIGNMTNLVVHGTSRTRKFRDTIQAASSFAQRNQLPPRLQDQMLAHLCLKFRTDSEGLQQQETLETLPKAIRSSISHYLFYSLMDKLYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVSGAVDLLVLKNGAEQVVGEAKTGDLCGEIGVLCYRPQLFTVRTKRLCQLLRLNRTTFLNIIQANVGDGTIIMNNLLQHLKDMNDPIMEGVLIETENMLARGRMDLPLNLCFATLRGDDLLLQQLLKRGLDPNESDNNGRTALHIAASKGSENCVLLLLDYGADPNCKDSEGNVPVWEAILAGHDKLAKLLKDNGASINAGDVGHFACIAAEQNNINLLKEIVRYGGDVTCARPNGYTALHVAVCEGNVEIVKFLLDKGADIDQPDIHRWTPRDLAEQQGHEEIKTLFESTKDMKTQPIMSIPEKQETRFLGRFTSEPLIRPASHEHTDGSWSQSRPRRRSSNFHNSLFGIMSAASAEQDLLLSINQPNGVKDSVSVDNSVRVVISCPEKGETAGKLILLPRSFQELLDIGGKKFGIFAAKIVSKEGAEIDNIEVIRDGDHLVFVSDGQTMQQDTNNSQHPQANGFA >OMO73145 pep supercontig:CCACVL1_1.0:contig11294:38061:44810:1 gene:CCACVL1_17440 transcript:OMO73145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPHSNNSKQNPSLRHTKTKKTHHQIPHSNNSKQNPSLRRTKTKKTHQIQTTLPLKPICTNNHRLTQTLKIKTKTPEPPTQTLLSANPKTKTLGQTPKLSLCEALSANPKTKTLGQTPKLSVCEALSIWRERHAATWRERERQAARLALQKLEQSVNGRDNMQIFDELKALCDIDLEAQSYPLTKPLPGAARFC >OMO73141 pep supercontig:CCACVL1_1.0:contig11294:13172:17345:1 gene:CCACVL1_17436 transcript:OMO73141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNLQTLQFLSQCFLHQLDPKAGILEAEKERIKTLIVSLVLSTSPHIQSQLREALSIIGKHDFPKLWPTQRRIFWRPFRPPSLVKHVKAVIVKRLCRKPEQRLDKSFGYDKNFGAKYELGIELGGGAFGHVFAARGIKGELEDQVVAVKIIPKAKLETVLHIQGVQREVKVLKALSGHKHFLEFYDACEDDNNVYIVMELCEGGNLSDRLSARGGLCTEEDAKAIVTDILSAISFCHLQGIAHRDIKPENIVFTSEDEDAEMKLIDFGFADFVRLGEWFGSILGTTLYMAPEFNDDGYHGLEVDIWSIGVITYYLLCGNWPFWASTDSGIFQLVEKTDPNFDDLPWPSISPEAKNFVKRLLTKDPQRRLTAAQALNLSSHILRNQAKCFCHCWLRHESHPIPLDFLIHRLTKLYLNSKPLTCAAKKALSKALTEDELVYLRAQFMLLEPNKDGSVSLENFKMALARNATDVMNESWVPHIVSALAPLADRKMYFEEFCAAAIIILILESVEGWEQIVSTAFEHFEQEGNRAISDEEFCQEVGIKGPSALSYVQDGIRDSDSKLILTGFIKLLHGNIQLTDPSIIRA >OMO73149 pep supercontig:CCACVL1_1.0:contig11294:69686:71983:1 gene:CCACVL1_17444 transcript:OMO73149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MAGELQLEPAGARNPSDSDPLLENQEDLSPSPLSSPSSSEIRGEDIENVSAPCCRICLECDGEEDDELISPCMCKGTQQFVHRACLDHWRSVKEGFAFSHCSTCKAQFHLRVELFEDNSWRKIKFRLFVARDVFLVFLAVQTVIAAMGGFAYVMDKDGAFRNSFSDGWDRILSKHPIPFYYCMGVLAFFVLLGFFGLILHCSSLNSNDPRMAGCQNCCYGWGILDCFPASMEACFALVLVFVVIFAILGIAYGFLAATMAIQRIWQKHYHILTKRELTKEYIVEDLHGSYTPPKLDPEHEERLKMLKLL >OMP07139 pep supercontig:CCACVL1_1.0:contig04644:18:353:-1 gene:CCACVL1_01369 transcript:OMP07139 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD4-binding protein 2-like protein MVRACPVGEILGVEQMIAERRVVNVVQHADRGRFKSPVAIVGHVLALDCMEMAVVPWRTFEALVPTIQILLDFFLGLFGGLDRIVGINERIVRRDVEEIGVVAWISNGSFD >OMO90243 pep supercontig:CCACVL1_1.0:contig08504:12702:14333:1 gene:CCACVL1_07442 transcript:OMO90243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMATQALLCNFNTHCRALPRRPTPFNSNPLSLPSCKDPSKTWPAISFSIPRARNPTPLNPTAIVCQASRRKSTAVTPTSEDGENDSVRRVLQLALWTAEAVYILWLFLLPYAPGDPVWAISSQTINDLIGLSLNFFFILPFMNAVGIRLIDAPVLHPMSEGLFNFVIGWTLMFAPLLYTDFKRDRYKGSLDVLWGFQMFLTNTFLIPYMAIRLNEADADGPPSKRSQLGSVMTNGAPIVGLIGGAVCVLSAIWALFGRMDGDFGSISDRWQFLESRVGLVNYLRFIPVVGLVAYLLLLEVEEEQ >OMO90249 pep supercontig:CCACVL1_1.0:contig08504:33706:33939:1 gene:CCACVL1_07448 transcript:OMO90249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFRLINGLPSGQLPTATT >OMO90245 pep supercontig:CCACVL1_1.0:contig08504:17518:19688:1 gene:CCACVL1_07444 transcript:OMO90245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MARAKINKYWDEMRDFARKAWEMGRSDPRKVIFAIKMGLALSFVSLLIFWKGSYEEITQYSIWAILTVIVVFEFSIGATFIKGFNRGLGTLCAGILAFCFAELSVISGKLEEVVIVISIFVTGFFASYLKLYPTMKPYEYGFRVFVLTYCILMVAGNRTRAYTEAVLTRLVLIAVGAGVTLVVNIFIYPIWSGESLHNLVVKNFKDLATSLEGCVNGYLKCVEYERIPSKILTYQAADDPLYNCYRSVVQSTSQEETLLGFATWEPPHGRYKMYNFPWEKFVQVSGAVRHCAFMVMALHGCILSEIQAPPERRRVFSNEMQRVGAEGAKVLRELGSKIERMEKLSPGDDILKDVHEAAEELQKKIDHKSYLLVNSESWEIRTRPIPKVQEDLEETEEDENMELGFKSLSEAVLDLRSIPVRTASLPPCDVSNNMFRRWPSNLSTDAESVTKGNECKTYESASALSLATFASLLIEFVARLGNVVESFEDLSQKANFKDPVMISLPSGKKTIGGTWVV >OMO90250 pep supercontig:CCACVL1_1.0:contig08504:35644:36696:-1 gene:CCACVL1_07449 transcript:OMO90250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MRRRRSMAAIAVDQSLISLKIGSRAASNHDQHGGVIEEIEGLIKVYKDGHVERPAIVPYVTAALAPELGVTSKDIVIDKLTNVWARFYVPNYTNRPGNQQLIPLAVYFHGGGFCVGSTAWICYHEFLAKLAAKAGCVIMSINYRLAPENPLPAAYEDGIKSVMWLKQEAGNYEYWWWSKQCDFSSVFLAGDSAGANIAHNVAARLGSYINNPLTLKGTILIQPFFGGEARTDSEKKMVQSPRSALSLAASDTYWRLALPCGTNRDHPWCNPLPLGKGSTNLPPTLVCISEMDILKDRNLEFCAAMEKAGQNVEHVMYKGVGHAFQVLSKSQLSQTRTHEMIAHLNVFLHH >OMO90251 pep supercontig:CCACVL1_1.0:contig08504:38963:41831:-1 gene:CCACVL1_07450 transcript:OMO90251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIETECEVPYPPPVQPQPQPQPQGHAYNYPPPYSPQPQPQPQPQPAAVIYPQPQNNNVVQNIPPQYQQNGPNVGALQSNGAATGSMPVQYFNNMPMASPAPTKVAPTGSWTTGLFDCMEDPTNALITALFPCVTFGQIADVLDNGHTTCATSGIIYAVAPCLVSRPYRTKLRQRFGLVEAPAADWIVHTIFEPCALCQEFRELNNRGIDPTLAIYTRSSMDFEKDESPFPTPSAPPCPPSQQPSQSTVKTILKPSNPPINPDHSHQSPVPWSTGLCDCCNDCHSCCLTCWCPCITFGRIAEIVDRGSTSCGVSGTLYMLIMCVTGCACMYSCFYRSKLRGQYFLRESPCTDCCVHCCCQHCALCQEYRELKSRGFDISIGWHGNMERNKRMAASIKKPPSIEGGMLR >OMO90241 pep supercontig:CCACVL1_1.0:contig08504:7852:9839:1 gene:CCACVL1_07440 transcript:OMO90241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKLDALLGRAFKPSKFKSHISLAISRLAVFKNQRQVRCNQARSDVVQLLQLGHHDRALLRVEQVIKEQNMLDVFVMLEGYCNLVIERIHFIEQDRVCPEELKEAISGLLFASSRCGDFPELQEIRAVFTARYGKEFAARAIELRNNCGVNTKIIQKLSTRQPDLQNRRDVLKEIAAENGIALPVEEISVSSEENMDVSKKESQAKPETSAKEGAFSGDADEFSDSVKAKKKYKDVADAAQAAFESAAFAAAAARAAVELSRSDSQDPPDDQNSPSGQRKRVSNRQESNFKDKETHHGSQAEELKQSKKTQELKMSNSSSSEGSEEGNLDLRTMSFDEVDPVKLLEKEVVIHDSDDDNYDERSAETGPMFQHSSNKQIPSSLRAGLKVEAGPENPTENAAESSRIKGKQRFTINKGPISVRTRQVRGY >OMO90242 pep supercontig:CCACVL1_1.0:contig08504:10655:12385:-1 gene:CCACVL1_07441 transcript:OMO90242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tat binding protein 1-interacting MAPKSDSTEAIVLNYVNEQNRPLNSQNVADSLQKFNLKKASIQKALDTLADSGKISFKEYGKQKIYIARQDQFDIPNNEELASMKEENAKLQEQLEQQKKAISEVEGEIKSLQSNLTLEQIHEKEAKLRKEVKEMEGKLDKLRGGVTLVSPEERRAIEAMFSEKMNQWRRRKRMFKDLWDAITENSPKDLKEFKEELGIEYDEDVGVNLQSFSELLQHGKKRTRGQ >OMO90248 pep supercontig:CCACVL1_1.0:contig08504:28856:33079:1 gene:CCACVL1_07447 transcript:OMO90248 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein MAMVEEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGIEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGSQMRENDLVEWFIPLVKRLAAGEWFTARVSACGLFHIAYPSAPEMVKTELRSIYSQLCQDDMPMVRRSAASNLGKFAATVESAHLKTDIMQIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILNVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDDFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWMQDKVYSIRDAAANNLKRLAEEFGPDWAMQHIIPQVLEMSSDSHYLYRMTILRAVSLLAPVMGSEITCSKLLPVVVNASKDRVPNIKFNVAKVLQSLIPIVDQPVVEKTIRPCLVELSEDPDVDVRYFATQAIQSIDHVMMSN >OMO90240 pep supercontig:CCACVL1_1.0:contig08504:4749:7364:-1 gene:CCACVL1_07439 transcript:OMO90240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKNKSPKGPMLPTFYNPKFPITETPESSLSLSLKWGGKVFIHNYLAFAIEYNMDLQLTLPELWDLKLRPT >OMO90247 pep supercontig:CCACVL1_1.0:contig08504:25702:26781:1 gene:CCACVL1_07446 transcript:OMO90247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MEDYFELLIDLFTIGCTNTPFFAKKAPPEKRQVFASELQRVGNAGAKVLRELGDKIAKMEKLSSEDILSEVHEAGEDLQLKIDEKSFLLVNSESWATAPQVKDHEEPMTIVDVKDDDNKVIKSLSDMWDIQNPNMGSTQNLISQDSMLMKPSWPRLSLTFTADALLNQHESKVYESASSLSLATFASLLIEFVARLQNLVEAFQELSEKANFKVPVTQPDDKEVVGFWNKLRSCFLSKN >OMO90244 pep supercontig:CCACVL1_1.0:contig08504:14732:15386:-1 gene:CCACVL1_07443 transcript:OMO90244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein PSRP-3/Ycf65 MLSMATQSSVHGTFTWHSFPYQKPTLKSSNTAIFFNAKASLRISSTSLVKRIAPTSKTERLTASAAPETLTAETSAETETPSSSSPEEPEKAEVVVKQVEKPRLVLKFIWMEKNIGLALDQVIPGHGAVPLSPYFFWPRKDAWEELKTTLESKPWISQKKMIILLNQATDIINLWQQSGGNLS >OMO90246 pep supercontig:CCACVL1_1.0:contig08504:23082:24906:1 gene:CCACVL1_07445 transcript:OMO90246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MGRSDPRKVVFAAKMGFSLAVATLLIFFKEPLRHTSQYSIWAILTVVVVFEFSVGATLSKGFNRALGTFSAGTLALGIAELSMSAGKFEEIIIVISIFIAGFCASYAKLYPKMKPYEYGFRVFLLTFCIVLVSGNNSRTFFDNAFYRILLIVVGAGICLVVNICIYPIWSGEDLHKLVVKNFKSVATSLEGCVNGYLQCVEYERIPSKILTYQASDDPLYSGYRSVVQSSSQEETLLDFALWEPPHGPYRSFNYPWRNYVKVSGALRHCAFMVMAMHGCILSEIQVLNKDDP >OMO99627 pep supercontig:CCACVL1_1.0:contig06845:11796:15073:-1 gene:CCACVL1_03701 transcript:OMO99627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MPKTRSSGIEGLEFNPEPERTLIQKKKKTQSRLQEEELSNSSSQPSSPRSAVSTSLEDMAEEEQPKTLRELAAPNVNAKRLAIRYLDTNGNFEIKSGFIQILPKFHDLHRAKDWLYLLPTGSITSWTSLKKLFLEKYFPAHKASSIRKEICGIKQRHGETMHEYWERFKTLCASCPNHQINEQLLIQYFYEGLLPFDRSSIDSASGGAFIDKTPAEAWSLVENMAANTQQFGSREDFSREGPKRRINEVSTYSTSLEQQLQETNQQIALLTDLFHANFSSMPRVCPTLEDNKQGVNAVGLQGAYQRKPEPYWRPEQPSQQYENQRPNFQSSQAISIEIQQIKEAMEMMRKQISQLASDLSDLKTQGQQRIPSQPKVPPRENVSAISLRSGKELKDPYPNLAARGLGVDDQILVDSIDNIPLFDRTPDAAYEALEALSKVIEPPSFREKKDRAKEAKKELEVNNEEVQPSLKINQPSNSTEKQYIDLKHRTKVGSILQRQVENFPQFWLRFKKLCAAHPYHGYDQRRLAQIFYQGLRASNRLSVDTLIYIPLFDHSTVDIYEILENFAQMLTNTPKEAAQGLSLVKPVPHKSSCPAIARPSTSHGRPTPAKTAQARAPSPATARPPESHVRTPPALAAPHARMSQATAAPPAWPCQAIAMPPARSCSALPAQSAPRPVPAAMLPMSCSALIDPSAPCHAMSAPAALPTRLVPAMQASCRDITVPCPSLSAHHVRPLPSHPRTSPSHHKPMLCHHWSQPCHRQIVLL >OMO99628 pep supercontig:CCACVL1_1.0:contig06845:28412:32944:1 gene:CCACVL1_03702 transcript:OMO99628 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MVCNSCLRSVIVQTGHIGTILNPRRAHLRSVVRTVYLRAGLTSWWNRQFGMSAKMMIDAGSTANQARVVDMFPEKDDDGGYVSGGWKSEDGRLSCGYSSFRGKRATMEDFYDIKTSKIDGQTVCMFGIFDGHGGSRAAEYLKQHLFENLMKHPQFMTDTKLAITETYQQTDADFLDSERDSYRDDGSTASTAVLVGNHLYVANVGDSRTIISKAGKAIPLSEDHKPNRSDERKRIENAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDKEIDEEIELLVLASDGLWDVVPNEDAVSLARVEEEPETAARKLTETAFTRGSADNITCIVVRFHHDKADPVTPEASA >OMO89410 pep supercontig:CCACVL1_1.0:contig08726:8761:12942:1 gene:CCACVL1_07852 transcript:OMO89410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSSSNLPPSNNQRNQQGRPRTMKSHLAQQHPVTGLAPSIAPSDTSRPSTYRGRVRIDKSTARTLLSEPDDQPINVDNTLINIQRIVAANEHLEETDDARKELVLTPVYQNSPPTALDQYFPSHSFLDLPFKCPSYKWDRCFNFFHLPCGPMSVTLMDVVAITGLPVDGFEVSSVLGTTPEEESIDYSIPPKCPGFVPFISQSAGLGVVDKLDEEEVTAFMLCLICKFMVCSPSKQCTPEYIKLAKIMATGHRRLTLGQFVLANLYRSLYSITAPSDTSPLGVPCVTMWILQIWAWMYFPDPEISPSALVSSTSLLSYGALYASRPAVCDSFEKAFTYFWNLRIDRSSDQFFILRNREHGATWFRAAPDDTDDDRVREAWGNYCLPLDLPVGLDLSNSKTRFGFEFYSPSLFCRQLGFFQRVPVPLATSRNRCCHLRLTTFKKQDLEDAHEEMFNARENVIFRMPPFLPNCSPSFQSWWASKRVAKPRKRRVASNQSSDDEENEVPLKVNRKKKPTLNASAIPASSNPSDDHPIAEVKKPKMTKPSATISPSPLPALKPASFIPQVTPSALGLSTSSNPFKTSASTPFGYTSGPSTSTSVSLKVSPSKEDSSSKLATPMTPSAWIAKTPSATPPSVPSPPKEALSASKTTEPSAKKTLDVDAPLDLTLTSPTGRPAKKTLSSPRPATPKLTLTKTSFTPSSDTVAKLNRLSSDLDQAEKQRKKLLKLERKITDLQAELTSLKAHRAALVTKREKDTRERMDRLETQTKELMARHPEIDEIDHRRAYLQFQIDQLLVDVEAWNDFLPPRNEAENGGDKDGDGESKENS >OMO89411 pep supercontig:CCACVL1_1.0:contig08726:19205:19315:-1 gene:CCACVL1_07853 transcript:OMO89411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQCCTFETTSTPADGSKARSSRIDAEKKVKSDYN >OMP00962 pep supercontig:CCACVL1_1.0:contig06578:2529:4496:-1 gene:CCACVL1_03219 transcript:OMP00962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKTLRLAVKRVARKKKKGNDDEVMETMDADMADHGLAVAQESLKEQGGQERQEQNNQSSLGRIWWWGIWTPTG >OMP00961 pep supercontig:CCACVL1_1.0:contig06578:618:2033:1 gene:CCACVL1_03218 transcript:OMP00961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKGFIRGLIKKYAWRVPILAPLLIRMPYYSTSQLINSLFRHHGIKGFDQDEERIPLLSTAFNDIPQVFQETQNSRFQSKGEPSTSDSPFPYSSSANESDAISLGDEMSIGIVNFESHKGIYGLLGLCVIGTPASGLELECDRICFLLLGKTSKRYSPSVSRNLG >OMP11237 pep supercontig:CCACVL1_1.0:contig01456:804:4645:1 gene:CCACVL1_00609 transcript:OMP11237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MKVRHIVLGLLSVSVIAPIFLYTDRVATFNPSSSRREFLDDVAAFTLSSDTRHLNVLPQETSTALKEPIGVVYSDHSTNSIPNGTREHKSTRVLSATDEERQLQLQNIIRQVTDPAHANLTTTLHSHPNASQQLAIIKFEQQPTQPSGKTDQEHSDNNSDRLTEPADAQVRHLKDQLIRAKVYLSLPGIKSNPHITRELRLRIKEVSRALGDAAKDSDLPKTAFDKLKTMEQSLEKGKQIQDDCAAVVKKLRAMLHSTEEQLRVHKKQTTFLTQLTAKTLPKGLHCLPLRLTSEYYSLNTSQQNFLNQEKLEDPRLYHYALFSDNILAAAIVVNSTVSHAKHPSNHVFHIVTDRLNYAAMRMWFLGHPPGKATIQVQNIEEFTWLNSSYSPVLKQLGSPSMIDYYFRAHRANSDSNLKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLSGLWSLDLRGNVNGAVETCGESFHRFDRYLNFSNPLISKNFDAHACGWAYGMNIFDLEEWRRQNITEVYHRWQKLNHDRQLWKLGTLPPGLITFWKRTYPLDRSWHVLGLGYNPNVNQREIERAAVIHYNGNLKPWLEIGIPKYKNYWAKYVDYDNMYLRDCNINP >OMO54649 pep supercontig:CCACVL1_1.0:contig14922:26705:27139:1 gene:CCACVL1_27695 transcript:OMO54649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQAIYKANRRNLFGRLILVRKAIARKADSASVLQKNDRHVSQKVRKGSSYPRRNQFRRVVSHESHGYRPKIRVHEQKKAAAIGKLTLRATTVGIPVVDNFGVDKAATVPKDPCPTSAALNQEDGTDQDTDSLMGDLVNQKVLQ >OMO54650 pep supercontig:CCACVL1_1.0:contig14922:28816:28884:-1 gene:CCACVL1_27696 transcript:OMO54650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLQQQQQETSSKPSTKKRA >OMO54647 pep supercontig:CCACVL1_1.0:contig14922:1127:8329:-1 gene:CCACVL1_27693 transcript:OMO54647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPEKTQISSSLSKFEDSPVFNYINSLSPIKPVKSIHLTQTFNPLSFASLPSIFTSPHVSAQKESRFLKRHSYADPSKPELLSGEVTKASTIVEVGNEAGQLCDSSAELQGNFDPGVSLGEASLELPNEPSRYAMELPRTLKYDCGSPNCDPAPCLIETNCVSESTCTSIVPFVQEASHEKGLSDSGVEVAGVEQKRDSAGCDWESLISDAADLLIFNSPNDSEAFRCIIQKPMDPGTRFCPTLNSRFPQNDINGVPQTTVDSDEYKDPCTQTEEDGELKGTYPAHDNFENTGVDNCMSGSLTDNVETGISVPFSFKPGSSLHRGFRRRCLDFEMLAARRKSLDGGSNTSSSVDNQLVPGKPSNDSSRRILPGIGLHLNALAITSRDDNNIKHETLSSGTQKLSFPGSTASILTPTAKPEAVHESLNSASIERDTDPVENGLQLAEDASQASTYLRNEEFNQNSPKKKRRRLEQAGEGESCKRCNCKKSKCLKLYCECFAAGVYCIEPCSCQDCFNKPIHEDTVLATRKQIESRNPLAFAPKVIRSADSIPEVGDDSSKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSINCRCEGCKNAFGRKDGSAIVETEGEPEEEERDPSDKNGIERSLEKTDILNNEEQNPVSALPTTPLQLCRPLLQLPFSSKGKPPRSFIAIGSSTALYNGQRYGKPNIIRPQNIIEKHFQTVAEDEMPDILRDNCSPNTGVKSSSPNTLKGKLPVSIRLKEKLMHRSSGHLGRYGREDSTNWSLNDDITGEVLCRLPGKTVLVSWEWRRSKDVFHGNFRIRKNNMIFVRNRIHWLTGEHHIITFDVETELSAVIKLPGRVMQLSHVQDMDRGAICIGASSGCLHYVCSHPSEIRVWELKDYGESDQWVLKYNLNLIDLVMENKKRFGQRDLENFDEFVKVKDETVANYLFSSLAYSEEVVFMKVNTVIFSYDFRSRELKERFCLLVQFHRTPLTDPTVIPYTICLAAAGVLKEIKNVVSGLFQLKQDSGSRPSIRSCPCCETHPP >OMO54648 pep supercontig:CCACVL1_1.0:contig14922:10480:16410:1 gene:CCACVL1_27694 transcript:OMO54648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNYGLPKAALKAFSVDEMDSCCEKPLPRAKDSSNMG >OMP10510 pep supercontig:CCACVL1_1.0:contig02352:88:810:1 gene:CCACVL1_00915 transcript:OMP10510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VREDAERHGLGPAAHQHRADEAQHQHQPDRAGKGPGDMRAHPQRTRAAEGAQPPQQHRCGHRETGNLPPAAMRQRHKQLEAIFRAGRLERQPRQLPHELDGVPADRRPHVEPDHLKGEETEHQGQDAEPTQINRPDLRIADLAHPIRDAGLADPRKIIAAKRRAHFGRAAQLDLREDVLIACHRTLPPQDQIGRLSHQLHHSGQPRVHKGPEMMMHTALQKPALSARNSPSRWMPSVPTE >OMO67828 pep supercontig:CCACVL1_1.0:contig12370:30714:31016:-1 gene:CCACVL1_20288 transcript:OMO67828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFLGSIGLLRLKNMNKPLQMISKVILDGSFAMAILEFQEG >OMO67826 pep supercontig:CCACVL1_1.0:contig12370:5017:9215:1 gene:CCACVL1_20286 transcript:OMO67826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QPPPTLLYSKPRTPKNLPQPLAKMVLFKAPSSSISTAGGPKEVDHHEEVQMSA >OMO67827 pep supercontig:CCACVL1_1.0:contig12370:9866:9985:-1 gene:CCACVL1_20287 transcript:OMO67827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFLGSIGLLRLKIMNKPLQMISKGRTSVMMTLTLSDT >OMO67829 pep supercontig:CCACVL1_1.0:contig12370:42195:42827:1 gene:CCACVL1_20289 transcript:OMO67829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQAQAQAQDPNSPSHGSERSEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGSVGDANVFYWFQNRRSRSRRRQRQLQAASLAGEQRNHHQAQVFGGGGAIQYENCGVSAAATAVSMGFANNSPCFANSSDNYLIGSSSSSSCGVMGDDGIENFLSMSGQMGFQEIGQSSCVTSVLCPSETSNLHYQSGKNAWQRV >OMO79304 pep supercontig:CCACVL1_1.0:contig10448:1478:3511:-1 gene:CCACVL1_13758 transcript:OMO79304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKKVVIRRFTCISPDNATIALGSEMFEEDDGVTETEGYEEEEQEVGAATRCERERKRRELEAELKVVLKFMTMNDEKTKKKAIEAVADIYGNQQLSKRLTIPDARNTGGIPVLSFSP >OMO79309 pep supercontig:CCACVL1_1.0:contig10448:110636:110901:-1 gene:CCACVL1_13763 transcript:OMO79309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKCSIGMVCNDTSGSTLDVKIIIKGEEALPCSVVTTYPQEMKKDVA >OMO79313 pep supercontig:CCACVL1_1.0:contig10448:137011:141187:-1 gene:CCACVL1_13768 transcript:OMO79313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MEKEDSLAERTTGSGQEDQYDPSNGEESTNPEISSPDPNVNPKTPSIDEETGKSKTDKDQSDADATNSQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKACQRYWTAGGTMRNVPVGAGRRKNKNSSSHYRHITISEALQAAQIDAPNGSHHPALKNNGRVLSFGIDAPICDSMASVLKLGDRKVVNGTRNGYHSLEEQKLSVPCRDENGDDSASGSSMAVSNSMEEGGRNCIQQTMMGNINGFPSPIPCLPGVPWPYPWNSAVPPPAFCPPGFPMSFYPAPPYWNCGIPGTWNVPWLSPHSSSSSSNQNGSSSGPNSPTLGKHSREGDIVKVEDSEKEKPPKQKNGSVLVPKTLRIDDPSEAAKSSIWATLGIKNESRSGGGLFKAFHPKSDEKNHRAEASPVLKANPAALSRSLNFHESS >OMO79305 pep supercontig:CCACVL1_1.0:contig10448:45363:75103:1 gene:CCACVL1_13759 transcript:OMO79305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTEDIPLRTFSKKISENWQKKDMGRQSKEKETEKAKANSPGSFRFQFQKLSTKPKLSPKLNHKILTRAIARATS >OMO79308 pep supercontig:CCACVL1_1.0:contig10448:105461:105556:1 gene:CCACVL1_13762 transcript:OMO79308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPYIKEASSQDWNKHLNSHKLTQLQKSKP >OMO79310 pep supercontig:CCACVL1_1.0:contig10448:122248:122493:1 gene:CCACVL1_13765 transcript:OMO79310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase Sec, Sec61-beta subunit MAGGAAPPRGSAAAAASLCRRRTTGGASSGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAILHVMGKLYFVRREA >OMO79312 pep supercontig:CCACVL1_1.0:contig10448:125715:133965:1 gene:CCACVL1_13767 transcript:OMO79312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMSNINPEPKRKRNIFRKLARAKGTHSKSNIFRKLLCNNADSTRKLKQLDCNT >OMO79316 pep supercontig:CCACVL1_1.0:contig10448:178086:178496:-1 gene:CCACVL1_13771 transcript:OMO79316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MADTAQTRGPISLFLFIAVDVGGEVDKPGPAPASKASIEALPTINVEGSGKDCSICLEEFKINEEAWQMPCKHFFHSNCVEKWLRIHGSCPVCRFLMPSEEESRAGGGASDGGDGGSRSLEGLVIGLGLGFIPGSH >OMO79315 pep supercontig:CCACVL1_1.0:contig10448:159091:172397:1 gene:CCACVL1_13770 transcript:OMO79315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C50, separase MAPPTESSLLADLKTCDAKTLHSLVCDYLRPISSIAGLKKTSKTPDPSVIRSLAKQFLSFLSKSFPIIYSRLHIQNPNHQQQTSLSPFFDIYRLCLNCVELISSQLEGGARSIQIQRLKLVYCLHAWGRYEEGESEAFRVLDRLRGEAHSGGKLVPNVGGCDSRLGSIVVEAVASVVKSVAMRQSKDCRRYETILALLEQVRPWFRISEEVSFEKSHNGLVTFLGRCCRFLVEEIDNFGEDLVRRYCIECLAEYSGSTMKNQVYKFARRICSSLFSLEGGKSSVRIDLVTYADTCIPVALPELSPVSSCRLQHSVEMDDSAIGFVELADYCANKCRAAGTSFCSTLARHLIKLAGDFHQATIPVDLILRLYATGLDFSECNIKSEHDDFDTSRGAEDDCAVKVLFLERDKIRNLSALLGSLRNCFNIGKRERYIISDMECKNLVNQMSLQPESKGQCSMTCKDRKACLVMYYNIVKFLCQPLAALVNSERKKILAETEASSDSCKLYIIQDAFYQFCDCFFSLESCTSETEREELDGGKVLVPSVIVAGFTLSLYTKIEIEKSVDLLEKIIGSRWIQSQGLKYLSASLYNIGILMYRRKQMKEALSALELSHRASWAFVQDFCEMFTDKNKHNDNDLSEDAIRDLITDACTTSAFLLEVLHASGDLKVKRIMAESLENWAAAENLFKPLPGPMPLIKQWVKIECKLHKHVDAEDIAPTLCCDLLSSAKVSKRTIGKLLEQELLAYQELNRGYPDFCQRMQIKIIDLLLQDEFATEDCPMQKARILIRKGRSLRANGIEALRDCIQCLSEAISIMKNLFGKTRSPGTAACHQLATAYCLRALCTQEADPNSENSLELEKCLANLWECRRLSHALCVSPVNEAFIINLSEHCGERSKSIDFWINCLSGSQPGLLGFQQNLTGSFNSVTFGSENHERYFQSAVTVDHVKQMVSELTSSDPVRSHSLFLAGHLYYDLSERHISNGRLFEGLSYAKEAFQLRNQLFKRNFTLSIEEQVEKCDETGETGEDAPKVTNVAKNLQVHKILGSELWSFDSSSWDVCGYYLSPWKVLQSYLESILQVGYIHEMIGNGTEAESFLLWGKNISHLQRLALFEVAFSSILGKLYRKKQLWNAAEMELQSAKGILVESSSCYSCIKCRLMLEVNLEQQLGDLFRNGFDSTIIKNSKEKLSQAEFLYKSALEKLNHSEWKNISLGEEKDENKIITGTIICTEDIAGNGDAHHPAKPLEGVGARKSRKTKNVSKSVLKEQHVIPEQSSRITRSRSRSTQNQSISITGEAQVQLLNTNGNAVSDLSGTCRQKESLLGTKSSMGEVRSEITCLCKKTKCWQCLPTDIMTSGLVNNFINMRWEYARRRLMVRVLTGIGKCLEYHGQTQEIHNVFCQSISIVVSRNIDTQTCTSAQRTFLLDWIGWEIPGDAFAVERAAILYNICWITMKNFHSKDTRIVCCNLSNVPLSKVVHWLKLAFVLCREVSRLLSAVYLLSATNEHFSLPSCKALSESHWASYFHQASLGTHLNYQFFPPTCGRSNTCCIVDSRELHAVGSSCPHTATSTPLRLAPESLNDLEQFVMSFYAGLPCTAIICISLLGRAYTSLLQELLLYPSRVHAWMLLSRLNSKNQPVVLLLPLDTVLEGVSDDDVPHDDNARACWELRQRMNSGRKWRCPWGSTVVDDVAPAFRVILEENFISSSKFTMEDTKATRSLWWAIRKKIDYQLGKLLRDLEDSWLGCWRIVLLGDCLDCKHLNTVLKKLVQNLKSKCKMEVNESFLKLVLGGAMIDMEEACLQLQCLKKGCYIGLLNHHGEENCLADGIDKVSALASQLIHEAVNEIHLEDTISREPIILVLDCDIQMLPWESIPILRQQEVYRMPSVGSICTTLERRWHCQEQVDRNPVAFPLIDPLDAFYLLNPSGDLSSTQDEFENWFRDQNFEGKAGTIPTAEELTTALKSHDLFLYFGHGSGEQYVSRNEIQALENCAATLLMGCSSGSLRLHGCYIPKGISVSYLQAGSPVTIANLWEVTDKDIDRFGKAVVDAWLRERMKLADCSQMVKEFEAMKIKGRKGNSRKKVASSDHSHRPKIGSSVSRARDSCTLRFLNGASPVCYGVPTGIWIKKDL >OMO79311 pep supercontig:CCACVL1_1.0:contig10448:124453:124690:-1 gene:CCACVL1_13766 transcript:OMO79311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVEFEEPTAELEEFADTSREMIEIMDYKDPGPNVNPKTGYIFSPPPQG >OMO79307 pep supercontig:CCACVL1_1.0:contig10448:100769:102403:1 gene:CCACVL1_13761 transcript:OMO79307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTLQQFHNSSCCHHQQNNGLCNWHSTTSIYAPPPSTSSMEVLQHMDSLSLSPNPNFHQLNVSSSSSHSAYNNDDDYYSNNKNIIIPAKSIENGFGENYNGAVSMEDIVMSRRIEGNFLNGKDVVMGPSTSKLCARGHWKPAEDSKLKELVALYGPQNWNLIAEKLQGRTGKSCRLRWFNQLDPRINKKAFTEEEEERLMAAHRAYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQATAYRRRKLTQVVQTKLEDTNYNYGNLDYSPNNNKILGKLGAAISGGDLFLGSKSNNNSFSQEEGEQATQLPLDFFSDYRSQESFSSWNSTRQYWKMLQQDETWLSNDSQNSKMLMQLSDVSESIASASDQITAGTEPSSSSSPSAGTHFETPLITTTPSSPPFIDFLGVGAS >OMO79306 pep supercontig:CCACVL1_1.0:contig10448:77586:83099:1 gene:CCACVL1_13760 transcript:OMO79306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSQPQFRYTQTPSKVLHLRNLPWECAEEELVELCKPFGKIVNTKCNVGANRNQAFVEFADLNQAISMVSYYASSSEPAQVRGKTVYIQYSNRHEIVNNKSPGDTPGNVLLVTIEGVEANDVTIETIHLVFSAFGFVHKIATFEKAAGFQALIQFTDAETASSARNALDGRSIPRYLLPDHVTSCHLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAMEGLMQPVVGPDGKKQEPQSNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTAAVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAYSDKSRDYTISDPSLLATQVPGMPAAPSVWQNPQGAGAPLYPATDYAASAALQAQPPAGQVPAWDPNLQVRPPYGSVPGSVPSQSYQASSVPTYVNAATPAGSSPLSQPGVSTMRMPHPGASVRPGGAPVPGQPPYYGQ >OMO79314 pep supercontig:CCACVL1_1.0:contig10448:151512:152225:1 gene:CCACVL1_13769 transcript:OMO79314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34Ae MVYFHSSISVCNSVDQASSAPIMANSVNSNEFVTNSKSSSSSRNNIYKKKVFNSPSCLKIPSCERSRSAAIDVVILIAVIAACGFLLFPTIKCISLKLVEIIGAAFYLAQEEMMRAPMIYGSIGLGFGCAAIAAWLLLLCTTRKCGNPNCKGLRKAAEFDIQLETEECVKNSSTLVKDGVKKGLFELPRDHHKELEAELKKMAPVNGRAVLVFRARCGCSVGRLEVPGPKKQRKIKK >OMO91912 pep supercontig:CCACVL1_1.0:contig08286:46255:58040:-1 gene:CCACVL1_06965 transcript:OMO91912 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein MVLQNASSSSSSIPSSDSGISNSQRRSSLYDKMERDLDERGAAFLQHGETSQSLSLSDLFTLKDGSVTPVLKAANPPVRANVLYMSTEYSVPISEAVKQVFEPNFDKAIWFQNSSLYHFSMFHASHHITPIPASEVEIEAEAAAIRSVTLGLCPLEIVLDRVVLTSTGVLLGCWQVISGTDPVSIRAKLRSALPRAPEKQLYDAAILHTSFARLLGPPKTSLMDHHDTSNQIKLFHKLFDIKLLQLAMSRNVATESTHVTVNIWKTAERMAMVSELWYVEEYDVLALALNGRMKLDLEVGFFSFFFMFFLFCFDLGKFVDIRIKMGSEAPAPLVEKTNAPMETSVGSLSFPLHIHVSVHLVVAAMDMHIETGFVEDSNKNNGKASILCEASRLLKDLFGQIESLRKENASLLSESHYVNIEKNELKEENSTLETQIKKLRSEIGTKIARSKPDLNEPLPGIQQSELSSHFHLDHPGLPTAEPALQQPSALLVVPIHTDIQPYPVPDSTQPAAKPNSIVSKPHARYPTEADSWPSQLLGKHPAVSNEFRLDDICRTEDRSPSVLPIISLLYPLYASVRAIESASRADDRQWLTYWVLYSMITLVELTFAKVIEWLPFWSYAKLIFTCWLVIPYFSGAAYVYEHYLRPLFLNPQQTINIWYVPRKKDFFSQPDDVLTAAEKYMEQNGKEAFENLIHRADRSRTSDFIYDDDGYRH >OMO91908 pep supercontig:CCACVL1_1.0:contig08286:7449:19944:-1 gene:CCACVL1_06961 transcript:OMO91908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELRLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEDN >OMO91909 pep supercontig:CCACVL1_1.0:contig08286:21640:25499:-1 gene:CCACVL1_06962 transcript:OMO91909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATTDTASLVLPSSLSSLHCNGAPPPGNPSQSRDFMILLVGSQAVVGDALFADYNPVSAFLFPGQGVQAVGMGKVARAVPAAAELYKKANDILGFDLQNVCINGSKEKLESAIISQPAIYVTSLAPVELLRAHDGDQQIMVSVDVTCGISLEGYTALPFAEAFSFEDGLKLFKLRGEVMQEASDAKSAMVSIIGLDSEKVQQLCDAVNQEVDVAEKVPIANNLCLGNYASSGGLKGIEAVEAKAKSDTEIKQIQNISNPVPVSLQNENKLLNKKLVKSRSPAMKNNGRSALLLLLFLISITVHTHAEVITLTPDTFSDKVKEKDTAWFVKFCVPWCKHCKNLGTLWDDLGKTMEGEDEIEIGEVDCGVSKPVCTKVDIHSYPTFKLFYDGEEVAKYQGPRNVESLKTFAVEEAEKAAEKAHLD >OMO91910 pep supercontig:CCACVL1_1.0:contig08286:27986:31891:1 gene:CCACVL1_06963 transcript:OMO91910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIGMMDSAYFVGRNEILTWINNRLQLNLSRIEEAASGAVQCQMIDMTYPGAVPMHKVNFDAKSEYDMIQNYKVLQEVFNKLKIEKHIEVNRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRLKGGKERNLKGSYKSSKSLQANNLHNSASGDTAAVNKNSVSKQGRMGVAAASGDTQALSKEITELKLSVDLLEKERDFYFAKLRDIEILCQTPEVENIPMAVAIKKILYAADAKENALEEAQEYISQSVDEGETEEGEEEN >OMO91911 pep supercontig:CCACVL1_1.0:contig08286:35316:41322:-1 gene:CCACVL1_06964 transcript:OMO91911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE-type MQYRAMMALDIVSMPGMRFYVYRLRHVLFLFKKAITTLKKGMYLLKYGRRGKPKFCPFRLSDDEKSLIWYSDQEEKRLMLSHVSKIVPGQRTVIFKRYPQPDKEYQSFSLIYSNRSLDLICKDRDEAEVWFTALRVLILGGDDRRPGSDTTSDSASETQCSYTQRNSISKVSSASSDNIYKDPRDIQTPSVPYESSPQHRLGKAFSEVVTYTSTTKSLTQTQSVVKHFSSLSLGGLDNQQGRSSAVDSFRCSLSSAVSSSSHRSSFDGFDAIGDVFIWGEGAGNGLLGRSVHRTETNSVATTDALSPNALESTVLLDAHNISCGNKHAVLVTRQGQIFSWGEGSGGRLGHGVEADVSQPMLISALSESSIGFVACGDFHTCAVTLSGDLYTWGDGSLNLGLLGHDTEVSKLTPKKVSGEMEGLQVSYISCGTWHTAAVTSIGKLFTFGDGTFGALGHGDHSSTIMPREVDALRGLKTLRASCGIWHTAAIVEVPAETSGGFSPGKLFTWGDGEKCQLGHGDKEPRLLPSCVALSDTTSFSQVACGHSITVALTDTGKVYAMGSLDHGQLGSSGSSKLPTCVKGNIKYSQVEEIACGSHHIAVRTSDAKIYTWGKGVNGQLGHGDNTDRNLPTLVEALKNKQVKRVACGSNFTAAICLHDWALGADHFNCSGCRTPFSFIRKRHNCYNCGLVFCSACSSKKSLKAALAPNMSKPIRVCDDCFTKLNRKKEPKSTPEFTKNLRESIHQNGNELPEKDTSNSKSHHKLGRLASFDSFKQKRSRNSKDDRRVSNETAQSELGSSMRKSAPSLGSRMVSGGSSPTSRKSSPLYSITRNSIHAYIATPEFLLDDSKSSVESLTQEIGILRAQVEDLTVKSQVLEEKLERTSRQLKEATDIAHEEAEKNKASKEVIRSLTAQHRLIKVGSETSRETSGESVPEQIPKYKSSYYSIMGEVS >OMO53843 pep supercontig:CCACVL1_1.0:contig15148:4336:5600:-1 gene:CCACVL1_28293 transcript:OMO53843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFDDGKRRVYNRLGGSSTAPTESSKNQKVCYHWRAGRCNCYPCRFLHGELPDSSATANGSGAPKHFSDDSGFSSLAAKRGPNYKNTWVRKTYQKAWNTQTNSDLTLSGPVGQVYAMATSDDLLLAGSQDGTILAWKFNALITNNNFEAAASLKGHTRAVVSLAVGVGNRLYSSSVDHSIKVWCLDTLQCLQTLTEHTDVVMSLLCWEQFLLSCSLDQTIKVWWIATKNGGLEVTHTHSEEHGLLILRGMHDMESKPVLLCVCNDNSVKLYDLPSFSERGKIFAKQDIRAIEVGPDASGLFFSGDGIGFKVCKWVEAEAEAIGKS >OMO51252 pep supercontig:CCACVL1_1.0:contig15917:13537:13599:1 gene:CCACVL1_29903 transcript:OMO51252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGEFHINTGQKNSTPRDS >OMO88953 pep supercontig:CCACVL1_1.0:contig08880:62930:63640:1 gene:CCACVL1_08089 transcript:OMO88953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRRKRSSSGEIFSFPSTPNQDQESDFEFGCFTPESPSQDPYRTSPADHLFFNGRLLPHAFPLQPVAAAHHDQYGSRGTSRTSSINSKDSLMSSRSNSTNSRSSCSSARTSSSENSERRFLYHSRSHACHKASKLVTAQLYGDSQRWQYITPIPTLKRDVSKRKDGGVGVNKDALRAKKQVDHHHHHQEKSIISSRAKSGPCLRIFRLFLLICRECHAMEPSKKPDMVQGNLTVR >OMO88946 pep supercontig:CCACVL1_1.0:contig08880:7213:13051:-1 gene:CCACVL1_08082 transcript:OMO88946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MEEAKNQVFLSLPLILCNVSYFSISLVSVMFSGHLGELQLASATLANSWATVTGFAFMTGLSGALETLCGQGFGAKIEPIFILLHQDPQISKIAAIYLKHLIPGLFAYGFVQNILRFLQTQSIVMPLVWFSILPLGIHFGTVNTLVNQTSLGFKGASLAASISLWISFFLLAMYVFCTKKLKQTWEGLSFESFCYIFTNLKLALPSAAMVCLEEWAFELLVLLAGFMPNSEITTSLIAMCVNTETIAFMFTYGLGAAASTRVSNELGAGNPYKAKNAMAVTLKLSIILTLALVLALTFGHKVWAGVARGCGWQHVVVWANLATFYFIGMPIACLLQFVGKLYDKGLWIGLIRGLCCQVTALLSIILFKKWTKFDFSLESGMSSTNTRLDRVSLLSPDQATHGEEEEEINNELGSCWNKVMDMEEGKNQVRFSLPMILTNVSYFSITLVSVMFAGHLGEIELAGATLANSWATVTGFAFMLEFKAILFVPKRAPFDLFDTTKKLNNIKLYVRRVFIMDNCEELIPDYLSFVKGVVDSDDLPLNISREMMQQNKILKVIWKNLVKKCIEMFFEIAENKEDHNKFYETFSKNIKLGIHEDSQTVNKSRT >OMO88950 pep supercontig:CCACVL1_1.0:contig08880:43561:44296:1 gene:CCACVL1_08086 transcript:OMO88950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATFLAKRALFNIPKFLPHGPPGIQSTGRVARACFSSAFERAEGSNAAVEAASDPSDAPRDSYRDVMDETKHQAFATGEGRPPGSTTSFMADTAKDGVKKAVEAAENVGDTAKKTLDGAWMAAKDTAQSIKDNASTDHHENGHDDEIEEDVAVDEIREFDQPVDTQEYRIIEERKKLELNGVAH >OMO88954 pep supercontig:CCACVL1_1.0:contig08880:65104:67843:1 gene:CCACVL1_08090 transcript:OMO88954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGGITEKGEMDMDVDDMDSLFEGMVLFTPTRLTENNDQEQKQDLDRPKPELENSTQQQQQNHVQEPLDENLFSDLVIQTPLDQPEPEPEPEAQPGPASATTFSRQTSSTSRKKKRATGFRIGYGRDYQIHNDTDDHDDNHHAPLPSPSLQTSTTTTTTTSLVSDADSQQGQAPKQQQQQQQESVNDAEAQFEQIKVQIADKLSQARELAASVSAARKDSVKKRRKAADDLHLATIRLGELEKQLDDACEAEDFEAADRINESIADAEKRKQALLTALRDAEAQCNAIDSKMLEVLNRQIAVEEECASLLHQFSKDATCNADLVFNKSEAQSSEEREKWLSSTEALELNKIELQIEAHLVDDARAVFNTSLDSLIQDDKREKEFLCNQKDILTDELQKLLALVKEKEKEIAENESKIKCVEQRIADVVSGFQEMQSSVDSKYNSLQSHLSQMDKESETLLKKKEEIDKLLAEEEARGIKLKELARMSVDEAKMYDEVVGLRKSLLSSVLKSMEDKVRLAKTEEKLSEEVQMLQQDASSARASLQELSSTKSSIQQNIASLKQRIYFIDKMVPELEAEKKVAAASRNFKEAARIAAEAKSLSTEKESVQIEMEKSVLDQGKLEEEIKHTVDKLQEIEGLIVSKEKEVAMARFQRLFLIAGAAKAERSAALELGDLEEANLLLAEADAADSEVKMLQPLYNLKEDEFEDLPKQFISLELVTNLGQKKLAELAAMSSA >OMO88947 pep supercontig:CCACVL1_1.0:contig08880:14673:20143:-1 gene:CCACVL1_08083 transcript:OMO88947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MASSNTSLDSRALLSEGNDHSHDQEEEEGRWWKNVLDLEEAKNQVWFSLPMILTNVCYYSITLVSVMFAGHVGELELAGTTLANSWATVTGFAFMIGLSGALETLCGQGFGAKIYRKLGIYLQASCIISFCFAVIISILWFYTEPILILLQQDAQISKTAASYITYLIPGLFAYGFVQNILRFLQTQSILMPLVWFSVIPLGLHLGIVYSLVNWTDHRFKGASLVASISLWISLLLLAFYVFLAKKFEQTWQGLSFESFHYILDNLKLALPSAAMVCLEYWAFELLVLLAGLMPNSEVTTSLIAMCVNTEAIAYMITYGLSAAASTRVSNELGAGNPIRAKNAMVVTLKLSVLLALAVVLILAFGHNVWAGFFSKSSEIIHKFASMTPLLLISITIDSFQGVLSGFMDRLNMLSFLPSRLVSVNGFPMDDLINKLPGQPDVNFRQFSGYIDVDQKAGRSLFYYFVEAEKDPMNLPLTIWLTGGPGCSSVGDAFLGVGPFIANANAHGLKRNPLSWIRESNLLFIDSPIGSGWSYSNTSSDYQVGDDSTNKDLLTFIFQWLEKYPNFKSRDLYLGGSSFAGHFIPNFAKGLLDYNNQSSTFKFNIKGLILGNPVLRYKLDTLATYEFLRSRGMINNEMHQAIVKECNGVDEGNYSDSAMIQWSKSCVEAMAKAELAAFNVTSVGLAMARHFDVYRETCDQNWTVLESGNELTKVRHGVDMCIPLRGDFYFNIPEVQKAFRGNRTNLGYQWQGCFDGLNFSSTDKNRDMLPVLKQILQQSIPITIMSGDQDAIVPIVGTLNHVQKLAEEMNLKLTKNEGWKNGKKDGAGWMYSYGDLLTFMTVKGANHHVTFSKPSKAFFIFKNIVLNPSIKIAIADKVV >OMO88951 pep supercontig:CCACVL1_1.0:contig08880:48172:49349:1 gene:CCACVL1_08087 transcript:OMO88951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MNNKGFMAEDLDNDFEEDEEEGGGDHGYPDDEKKKRAYGKRGSSGGGGGVSPPCCQVEKCGLDLSDAKRYHRRHKVCEIHAKAPVVVVSGLRQRFCQQCSRFHELSEFDESKRSCRRRLAGHNERRRKSTAESSSAEGSSRKGLTSHSKESHNYRQVDDQRGRVPMQIPGNLSFKRSQIR >OMO88948 pep supercontig:CCACVL1_1.0:contig08880:26972:29485:-1 gene:CCACVL1_08084 transcript:OMO88948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETKSLGVVGSGQMGSGIAQLGAMHGLHVWLLDTDPAALSRASKSISSSVQRFVSKGLLSQAAGTDALRRLKYTSDLEELRSADFIVEAIAESEDVKKKLFLELDKITKSSAILASNTSSISITRLASATSRPSQVIGMHFMNPPPIMKLVEIVRGADTSDETYNATKALAERFGKIVVCSQDFSGFIVNRILMPMINEAFHTLYTGVATKEDIDTGMKLGTNHPMGPLELADFIGLDVCLSIMKVLHTGLGDSKYAPCPLLVQYVDADRLGRKRGIGVYDYRKQHEPTKPSPRL >OMO88952 pep supercontig:CCACVL1_1.0:contig08880:53714:59347:-1 gene:CCACVL1_08088 transcript:OMO88952 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MTGKEILHKMKEKVQEKVGLSSSTAESGKGKSKMSKNIKHGYHLQKGKASHPMEDYVVAEFKQFGDSELGLFAIFDGHLSHIIPDYLKSHLFNNILNEPDFWTEPETAIRKAYRITDKTILDKAVDLGRGGSTAVTAILINCQKLVIANVGDSRAVISKKGKAKQLSVDHEPSAERESIENRGGFVSNFPGDVPRVDGQLAVARAFGDKSLKEHLSSEPDVTVEIIDDETELLILASDGLWKVMSNQEAVDAIMDIKDTKSAAKHLSEEAVRRKTAAATQHVQPTCDSSGSTAAAGARYKLVTQAELPISRSACITIPPGLSPPSLLESPVLLSDVKAEPSPTTGSLIKPQAVHDSVASTTFSATAVCSNAFDDRPSSSFELRPHPRSNMAPAELNHQGSESSLQIQGEEQTVSLNSSTSVKSEMVGASNELSLSVPVHVAASVTTAPADVDVDELNQIGKSGMHILAHQSDFRDIVVGFSTMCLAKKTHIFTNRHVAMAFGDKSLKEHLSSEPDMTVEIIDDETELLILASDGLWKVMSNQEAIDAIMDIKDNY >OMO88955 pep supercontig:CCACVL1_1.0:contig08880:70219:72650:-1 gene:CCACVL1_08091 transcript:OMO88955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGAADRSKEAVGMMALHEALRSVCLNTDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGRVADCLEEIDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSANRSNSSSTTLPSKQSAIPTRPPPPLFNWNQRPIPPANPMLASPNFQNPARLGFPQSKDETHMFLMPHASETRMEDMMGDHENDIKWPNGLSFFNALTGRSDDAKLLFNPDGLGNKPDQNHHPLILEGKDSNQNSEAGANPNEFLSLDSHPDNMRKMENKFKRSFTLPARMASSSSSTSVDHHQHQPVEFRNTEPGMYTDVMETFLE >OMO88949 pep supercontig:CCACVL1_1.0:contig08880:33526:39691:-1 gene:CCACVL1_08085 transcript:OMO88949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIATVYNSQPRWKRAAEGGRHGEKLDKAADAVI >OMP07102 pep supercontig:CCACVL1_1.0:contig04663:185:520:-1 gene:CCACVL1_01375 transcript:OMP07102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPVDIMHLLHNNHLEEVQAGGAASRRNGVWALEGFGVAHILQEMLTYKSDHIRARQEVLGTTIIGGTIPKPEDAPESFRLLVRELRSLALELNHFLVSEKNFQINRKEA >OMO90964 pep supercontig:CCACVL1_1.0:contig08402:3009:8906:1 gene:CCACVL1_07242 transcript:OMO90964 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MENTTGDNPPGTDLRDEALRRAKKKYKKRRSDSSPDRGMMEETGVPARPPSSPSANAPSKFVSYRDRVTGNFEEEDSTWEEWTEDAEGDDCIMFDDSVSDEEDGAPSDSHVKFTPDEKRLLRKPWRKSLIVKLLGKVLGFKALSAKIKTLWQIKGKYKIIDLGNDYFLFKFQHKSDYTHALDGGPWIIGGHYLTVRQWKHNFQPDSDKINSIIAWIRLPQLPLEYYPNMAVRRIASTVGRVIRLDKTTETVDRGGFARVCVDLDLTAPLKSFVHIGDLIQRVEYEGLHLICFSCGQFGHRKDACSMSPTMSSTSVDGSEPSGGNSASSQNPDVSSSTPGFGPWMIVQRKVRRLVKETVEKGKNKIDLNPGHNLRNRFSSLSGIEDSSRENFKEPVSAVSKEKFKESVGYGQKVWKPKKDLGLTEQTKSSNKGKPKVGIKKSVPPPVVRSDGSSFIWFGRNLCNGPPPGFSFKAGSNTQITLDPKRLEELVGSSHFGLSHLMAQQNSTTAADTGVSGESVSAMVVGDIRCGDEMILPTDAVCLPPQQPPSVVVEQANSALIQKVNDASDEKDVSTMDAAQSKELVHKPSIFAIVEPRISGSRAKCVLRKLKFPKWHVADPVGFAGGIWLNWDDAAVQLTIIISSPQLVHALVKPKDQEEFMLTVVYASPKLEVRRILWTHMEDLARTITLPWVVLGDFNDVLNGGEKMGGFYGPAFTWCNRRKGLRKIQERLDRVLARLNWRVMFPEAAVIHLPRVHSDHNPVLLRLEPHVPGDKSQRPFRFQAMWRAECGFDEMMSKLWRELDGSFVDRTEKLASALLEWNKADFGNIFAKKKELRARINGVQRALAVHRSHQLELLEEDLVSQYDKILEKEELFWAQNSRVQWIMHGDRNTNFFHFSTVIRRRRNKILLLRDATSGEWVSDQGELMRMVVAFFRNLFSIEDGDVRPLGIVPHPVLSPVDVLNMDKRISLAEVRSALFQMKPWKAPGVDGFQAGVYQAYWDDFGTYLYQLVLEAFDSGSFSPELNRTLLVLIPKVQQPEYVKQFRPISLCTVAYKLITKVLVNRLRPLLDNLVGPLQSSFIPGHQAADNVFIAQEMIHTIRRSRSKLGLMAIKIDLEKAYDRVRWDFLRDTLIVFGFPDSWVQLIMYCVESSSMSVMWNGEKTDFFSPDDLFLFGRASEKQAETVREVLDRFCLASGAEVSLEKSRVFISPKASGSNVRLVSNLLGIGLTNDLGKYLGIPLVHKKVGVSLYRELIDKVATHLSGWKAKLLNMAGRATLVSSVMSSIPTYTMLTTKLPASCRNKLDMLNRRFLWGGTENKKALHLVSWDEVCKPKKFGGLGLRQMEYNNRVLLQKTAWRFLHQPHSLWVQCLCAKYRIQGDVFYFIREAGSRKASWSSSWKGLAGALEELFCGLKKRVGRGDKENFGLEDCSYANIWSLRVPLRWIFFLWLVWRGRIVTNALRYSWGISSSAICSSCNGGVEDIIHVLRDCVWAKQGLNLKNRSVSQVTLFVTTIWRIWTSRCRRVFDPNEVASLDAIVYNIAGTTKSVIAAMSMPSPPTGPTTSISWTPPGEHFVKLNTDGAAKGNPGAAGAGGVIRNTEGGWVVGFAAHLGTCSNVAAELHALRLGLTLAWREGFRAVICEVDAKVILDLLNSDNLEVHPLGALLLDVKEMLSWNWQCFCQHTLREGNFCADMLSKMGCDLATDMEFFYSPPSGVVDIFEADRRGVAFPRGFKFS >OMO90965 pep supercontig:CCACVL1_1.0:contig08402:19373:21000:-1 gene:CCACVL1_07243 transcript:OMO90965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMHEISLTKKETASFPIVI >OMO90963 pep supercontig:CCACVL1_1.0:contig08402:1330:1443:1 gene:CCACVL1_07241 transcript:OMO90963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCLEESKHNNADAIIILQIVLVVAIAAQPHATWLLQL >OMO98021 pep supercontig:CCACVL1_1.0:contig07173:24138:27759:-1 gene:CCACVL1_04380 transcript:OMO98021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIPVNSRIIIPSSFQVSQDDITGQIGLIWQQIKAPLIVPLLKVMVVLCLAMSLMLFVERVYMGIVIVFIKLFRRKPEKKYKWEPMKDDVELGNSAYPMVLVQIPMYNEKEVYQLSIGAACGLSWPADRIIIQVLDDSTDPTIKSLVQLECQRWASKGVNIKYEIRDNRNGYKAGALKEGMKHSYVKQCDFVAIFDADFQPEPDFLWRSVPFLVNNPEIALVQARWKFVNSDECLMTRMQEMSLDYHFLVEQEVGSATYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFVYVGDLQVKNELPSTFKAYRYQQHRWSCGPANLFKKMAMEIIRNKKVSLWKKFYVIYSFFFVRKIVAHLVTFIFYCVVLPATVFVPEVEVPKWGAVYIPSIITILNSVGTPRSFHLLIFWILFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDALKSKLGGKAPRKFRIRIGERIHLLELAVGAYLSFCGCYDMAFGKNRYFIFLFMQSFAFIIAGVGYVGTIVPTS >OMO98020 pep supercontig:CCACVL1_1.0:contig07173:18006:22715:-1 gene:CCACVL1_04379 transcript:OMO98020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTSALPGLPLEAQSVRCFHVGAELDYLGLNNMAVPLIGPIFHGEFEFRYTCKQEVHELGQRLLKQAI >OMO98019 pep supercontig:CCACVL1_1.0:contig07173:14999:16903:1 gene:CCACVL1_04378 transcript:OMO98019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFSELGAKEVFSNEDNLWTKLIRETYLGRDSSRKGASPPCKAI >OMO98018 pep supercontig:CCACVL1_1.0:contig07173:2210:9535:1 gene:CCACVL1_04376 transcript:OMO98018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PDFLVFFCGSTDNFGAFDFNDSTGRSSRK >OMO97016 pep supercontig:CCACVL1_1.0:contig07325:8679:8869:1 gene:CCACVL1_04708 transcript:OMO97016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAIFEERGERTNKNAVLLLLHTCEGMRWQERVDR >OMO71707 pep supercontig:CCACVL1_1.0:contig11573:64236:67199:1 gene:CCACVL1_18093 transcript:OMO71707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFLDLGAAIFIAALPTNFKHVNNLKALDEYSLNVVVFPQQLDEQYAKSNFVVGSGMLLDNEEGFVMCDSVLCKDISVNSVANILAVADGYHAMDLKSICFKFATENLVVAKSFPQVLPSVTLDDARK >OMO71708 pep supercontig:CCACVL1_1.0:contig11573:83575:83643:-1 gene:CCACVL1_18094 transcript:OMO71708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEERDFDRRESEKTEERERLMV >OMO94965 pep supercontig:CCACVL1_1.0:contig07762:1996:4515:-1 gene:CCACVL1_05667 transcript:OMO94965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MGEQKIMNGRRVSLMIMVFIFQVLSASFHGCFVAAGHVQPPWKKSTIHPTAVNSFGPLGSSVFFSVSGNVYPLGYYSVTVGIGNPPKPFQLDIDTGSDLTWVQCDAPCSGCTLPRDRLYKPVKNNYLACKDPICAALNSPKGNPCKNLNDKCGFQVEYADHGSVLGVMVTDNFPLPLVNGTRSSPLLAFGCGYRLQNRGPHAPPTTVGVLGLGKSKASIASQLSGLGVTRNVVGHCLSGHGGGFLFLGADFIPKSGMTWTPMLQNSLDMHYASGPAELLFGGKPTGVKGFNVIFDTGATYTYLSSNVYQTVVNLIKKDLTGKPLQEVKDNALPICWKGPNPFKSVQDVKKYFNRLALSFGGPNTQLQLPPEAYLIVTERGNVCLGILNGAEAGLGTSNVIGDISLQDKLVIYDNENQRIGWAAADCARKLR >OMO94969 pep supercontig:CCACVL1_1.0:contig07762:24335:30202:-1 gene:CCACVL1_05671 transcript:OMO94969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase NAD(P)-dependent MGSEVEKKAVFDMEAAKVVVKELRESFAAGKTKSYEWRVSQLKAILKMMEENEPQIIAALREDLSKPELESSIYEIAMLKNTCKLALKEMKHWILPEKAKTSLTTFPSSAEIVSEPFGVVLVISAWNYPFLLSLDPVVGAIAAGNAIVLKPSEIAPASSSLLAKLVETYMDSSCIKVVEGGVTETSALLEQKWDKIFYTGNGRIARIVMAAAAKHLTPVVLELGGKSPVIVDSDINLQVATRRIIAGKWGCNNGQACISPDYVVTTKDYAPKLVDSFKRELERFYGKNPLESKDLSRIVNSNHFARLSKLLDEDKVSGKIVHGGERDKTNLKISPTILLDVPQDSLIMNEEIFGPLLPFILVDKVEDSFDVVNSTGTKPLAAYLFTNDKKLKEKFVATVSAGGLVVNDTTVHLAVHTLPFGGVGESGMGAYHGKFSFDAFSHKKAVLYRGFAADAFVRYPPYTNGKLRLLRALVNGGLLDIIRALFGWSKA >OMO94966 pep supercontig:CCACVL1_1.0:contig07762:15834:16826:1 gene:CCACVL1_05668 transcript:OMO94966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFGQLELNGLRGHCGAPTRTSSCNKDSSKHRSMDTFFSSVNPCPVPRTTSKRSPSPSPNPMPSFVNRNGSFRSVDSTPKGIFPETLSRSSSRWSSNPIMFSNSTGTIKPPPIERKLECTLEDLCYGQIVEEEEILSIKVKPGWKKGTKITFEGMGNERPGCYAADVTFVIAEKRHNMFRRQGDDLELAIEIPLVKALTGCTIPIPLLGGEMMNVKMDDVIHPGYEKIIAGQGMPNSKEGSRGNLKVLFLINFPTKLADEQRQEAVRILEGSC >OMO94968 pep supercontig:CCACVL1_1.0:contig07762:22640:23722:1 gene:CCACVL1_05670 transcript:OMO94968 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MDFPFYGNAWNASSRPFYGQSFRKAPVEVQPKVRTPPPPPPPPPQVMKPKVVSIPVRFVGSERSRPDSSHSAVKIQKVFRGFLVRKSMKKIKAVRGEVNDIGRRVSNRETVDLIRNDSKERLKVNEMLMSLLFKLDSVRGIDSGVRDCRKSVIKKVIALQELVDAIVSGDQSLDSNNADRVAENGTADQNQAINSSSDDCNQIHTPEQQTHDEITNDAGLTMPNLSESQVIPQNQETVESSKEMIDNEGDEESDTDSSANPENIAVEEEESETSQADEEKEESNNNSNKEDKELVRKMMEDNEKMMGLMADLVDKNEKQTLLLSDLCQRVERLEKAFLCEILRRNKRRNAVDCVQKCGKR >OMO94964 pep supercontig:CCACVL1_1.0:contig07762:882:989:-1 gene:CCACVL1_05666 transcript:OMO94964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MGRPLQTYELDVDTGSSLIWVQCKLGDDDIFPGWTQ >OMO94967 pep supercontig:CCACVL1_1.0:contig07762:19586:22069:-1 gene:CCACVL1_05669 transcript:OMO94967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAVRAKVDDWSTGIEGGYVD >OMO95514 pep supercontig:CCACVL1_1.0:contig07664:3689:8493:-1 gene:CCACVL1_05386 transcript:OMO95514 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MAFVASNLPPAEQQAGIKDDPLYRELWHACAGPLVSLPREGERVYYFPQGHMEQLEASMHQGLEHQMPSFNLPSKILCKVASVQRKAEPDTDEVYAQITLIPEVDQSEVTSPDPPLPEPERCIVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMTQQPPWQELVATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGANGELRVGVRRLMRQQTNMPSSVISSHSMHLGVLATASHAIATRSMFSVFYKPRTSRSEFIVSLNKYLEARSHKLSVGMRFKMRFEGEEVPERRFSGTIVGVGDNKSSGWADSEWRSLKVQWDEPSSILRPDRVSPWELEPLVATNASSNSQPAQRNKRARPPVLPSPSSDLPSLGIWKSPVESPGFSYCDSQRGHPSPKFSSATKSSSVGFSGNGSLAAVSSNSMYWSNRMDSVTDSFAPAVNKESCERKQSSGNGCRLFGIQLLDNVNMEDNSAVATVSGTGGDDGPVASLDADSDQLSEPSNLNRSDLPSLSCDPEKSCLRSPQESHSKQIRSCTKVHMQGMAVGRAVDLTRFDCYADLLRKLEEMFDIKGQLSGSAKDWQVVYTDDEDDMMMVGDDPWNEFCSMVRKIFIYTSEEVKKLSPKIKLPVNDDNKPAKPGVETGVNSEDRSSIVCPGC >OMO95513 pep supercontig:CCACVL1_1.0:contig07664:2379:2891:-1 gene:CCACVL1_05385 transcript:OMO95513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMFKAVKKLKFWSRKKRKRKSLEPPPSYPVSSPYYQYHHCYYSYSSLQPSAPPLPPWLQEELTQDAVPPPDQADEPLSEQEVSYPSLVQFPTEDNSDVVSETESQSYQQYMVPNPVYGVPVVVQQQKQEAISRRERSTGFFGCVIEFGVSLFRCFCPCFRIRDEVCRQM >OMO95515 pep supercontig:CCACVL1_1.0:contig07664:14551:20509:1 gene:CCACVL1_05387 transcript:OMO95515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spindle assembly checkpoint component Mad1 MIVRTPLPKRQRGEAIVHESPSAAAASEGRLVIYEDNPSPAPLPESSHQPSDHLLCTYQCRQMVKADFLDALSNAEKQVRDYQSKLEELNENFSKSDAERKKFRDQFLYAEQELAAAKGREEMLQNQLLKEVNDSHERLKKQLESYNELQGKLQNEMNLRKKAESSAATAEEKATVLEGKLSQLSQSIEREKRRLHNDIAHLTEESKLSVSRISADLERMEFRANNAEKESGLLKEQLQDLQKQFNECLHQKTELEKKLSSFTFQEVPSAESSTLIKHLQEELRNYESEVREARKLKLSHENIELLKEKLLEEKGRRERAESELSKLQELQISLNKSENELSSWKLVMKDIPGVSCPEDIPVKFATLQKEVIDGTVKIGEANARLKQIEVGLDAAELAKQSAETDAALAKERAEVLKSDVKRMELMLSVVTQERDNLRNILNEVKRPKNEEVADELASGTVVKDLESSIAKKESCIKELQSNLHEQKEVNARQYNEIKLLNDKLNNEARRIKSLERESDRLRSEISLLESKLGHGDYSAANTKVLRMVNTLAVDNEAKQTIEALQTELQKTKEKLQALEELKSQSGDTGKLVDSHISEKILKLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKIVMDEHQRPNGIPVTRFTLQSIYAQSDDEKLEFEYESGNTNILANDYTRQREISQQVTRVTFQSIF >OMO95512 pep supercontig:CCACVL1_1.0:contig07664:911:1462:1 gene:CCACVL1_05384 transcript:OMO95512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Valine-tRNA ligase VTCFLHSVKVRKYAEIIKSCELEILTLATLSSLKVLLSGVDVAPAGCAFENVNENLKVYLKVQGNLNAEAELEKIRNKLDEIQKRQEKLKKIMNASGYQQKVPSHIQEDNANKLAKLLQDFDFFKKEEERMESEADRQR >OMO54492 pep supercontig:CCACVL1_1.0:contig14988:2272:2349:-1 gene:CCACVL1_27763 transcript:OMO54492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDDQIQVLNKEKEQPEERKRNQQK >OMO91572 pep supercontig:CCACVL1_1.0:contig08327:9705:9982:1 gene:CCACVL1_07073 transcript:OMO91572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIRSRSSDNDQNGVGNANGGHDLNHYKAKTATVKNKLVEQQLNFELLP >OMO51355 pep supercontig:CCACVL1_1.0:contig15865:1256:1315:-1 gene:CCACVL1_29835 transcript:OMO51355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNQKQRGPFFRGQQLFC >OMO51354 pep supercontig:CCACVL1_1.0:contig15865:29:324:-1 gene:CCACVL1_29834 transcript:OMO51354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDLYTLNLHYHGTFVGEGLNLRYIDSEDGYVDVDPDRFSYFELEGIALEPRFKIKKFKRMYFSVPGLPLYEGLRVVDNDDAANVMCEYMVRHGSID >OMP06711 pep supercontig:CCACVL1_1.0:contig04834:1008:1988:-1 gene:CCACVL1_01461 transcript:OMP06711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MPPRRYAVRADEATHPDSMRATLAEFLSTFIFVFAGEGSVLALDKMYKDTTTTPARLVMVALAHALSLFAAVASSFNVSGGHVNPAVTFGALLGGRISLVRAVYYWVAQILGSIVACLLLLVTSGMRPAGFSVASNVGELRGLVLEIVLTYGLVHTVYGTAIDPKRGGLGAIAPLAIGLIVGANILVGGPFDGAAMNPARAFGPALVGWRWSNHWIYWVGPLIGGGLAGLIYEYMVISEEPPHHTHQPLAPEDY >OMO69704 pep supercontig:CCACVL1_1.0:contig12000:10946:20109:-1 gene:CCACVL1_19325 transcript:OMO69704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSAVLLNKLKGAEPFFLLAGPNVIESEEHILRMANHIKTITTKLGLPLVFKSSFDKANRTSSKSFRGPGMAEGLKILEKVKVAYDIPIVTDVHETIQCEAVGKVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMVNSAEKIRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWMREANCPVVADITHSLQQPAGKKLDGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDDPLNAPVDGPTQWPLRHLEELLEELMAIAIGKMTTSSGVKKSEADNFLVNGSTLLEKLISFGNGKCNHIRSFSYEDLKRATNNFDPKQVIAADSGYELFKGVLQDRPISVKKFKDFDLDQYGYSFNDIVFSSQTSVHKNFLKLLGCCLETQIPIIVFESVANGSLAGHLYGPNKPFLQPLTWKRRLKIAIQIANAVAYLHIGFPRPIVFRNIKPLNILLDEDYVAKLSDFSIAISIPEDESHVHDTVKGATGLIAPEYLFTGFFNEKHDVFCYGVFMLVLLTGQMVVDSSRCGIGEDGLIALVDYVKKYGEENRLKHVIDPSILREFEAGSWPGFEQQIQSFVDIAFKCISESPEDRPTMMSISNQIKHMYQSLDSTSPMVALGWGLLSCEVIVLVPTKFSSMEVISYNSPRCCHLKRRLLVKNSSTTQRVKIPFCRKHKILCNKVHYSSGSQGLSSKDHPLLKNFSKGKGSRPFNAHEGFKNVESKISSGNYDGYVIGSEDEVAIMSETEESVTKVLIPGLPDESNGEHAAPISSCFWEWKPKFNVHYEKAGCENVNAPPLLFLPGFGVGSFHYEKQLKDLGRDYRVWAIDFLGQGMSLPVEDPTAPSKEEGISEGKDLVWGFGDKTEPWASNLVYSMDLWRDQVRYFVEEVIGEPVYIVGNSLGGFVALYFAACYPHLVKGVTLLNATPFWGFLPNRIKSPRLARIFSWSGTFPLPENVRKLTEFVWQKISDPESIADILRQVYADHSTNVDNVFSRILETTQHPAAAASFASIMFAPQGELSFREALSRCRMNNVPICLMYGKEDPWVKPVWGLQVKKQVPEAPYYEISPAGHCPHDEVPEVVNYLLRGWIKNLESQ >OMO69703 pep supercontig:CCACVL1_1.0:contig12000:5378:7074:-1 gene:CCACVL1_19324 transcript:OMO69703 gene_biotype:protein_coding transcript_biotype:protein_coding description:GIY-YIG nuclease superfamily MELCYCENLVSLPESMQRLTNLQFLLIRGCPYLEVSCKKDIGEDWHKIRHIPFIKINGPYIQALPDQMMRLLTTTFRSVKVKHPTPNPNSLKPPSSASKPIAKSKLKSWSVYLILSTNHPIKTYVGVTTDFSRRLKQHNGELKGGAKASRAGRPWICACIIRGFNDQSEGKQL >OMO69705 pep supercontig:CCACVL1_1.0:contig12000:20908:23249:-1 gene:CCACVL1_19326 transcript:OMO69705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MSNLGVTFYMFLVGLEMDLAPIRKIGKTALSVAIAGILVPLGVGAGIFFMLYTKPVLGTPPPFIGIFFWPIALSVTSFPDLARMLSDLKLMYSDLGKTALSAAVVSDLCSWFLLVVTVCLVNGHSKLYVTLPILGCMTLFWFLIRPMISWVVKQSEASKDASREGKYSDMHVCFILSAVLLCGYLTELCGAHSMFGAFMFGLMIPSGELGTLIMETIEDFVVGILLPPSFIVIGMRTNFGHLLKFNSVIVVVVVLLCFSAKIISTLLVCLYFRCPTRDSFALGLLMNTKGVLVLIVLNEGRGMKAFDQPNFTLATFAILLMTAIVSPVIHFSHRSNRNLKQFYHRNLERSRPEAALRVLACLHSTKNVTGMINLLHISNATRKSPIVVFAAHLVELAGRNTAMLIFHDKDKTGEYDIGNNATREKAEAEQIVSSFESFEEDNHAVTVQPLTAVSPYATMHEDVSTFAHDKLANIILIPFHKQPNALGGWVDENLQHREVNQNLLANAPCTVALLVDRGLTSPLYMESHNGGVRERHIAMLFVGGPDDREALAYAWRMAGTARVTLTVVRFLPGKDVSELPEREDDDHEDGILTAMYESERDKQLDDEYINEFRFKTMNDQSVAYMEKKVNGGEEIVSTINSAYSKFDLYVVGRGDGVESPLTIGLSSFSDYPELGPLGETLVSSESTSTASVLVVQQSAPSLSGAKKFNNNNSAPSSQGKGVFGKTASSAVETFVNHRKADDEYN >OMP11642 pep supercontig:CCACVL1_1.0:contig01065:2216:2302:1 gene:CCACVL1_00369 transcript:OMP11642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLRLSMASAGDAKAASVVGMVLEWCL >OMO52179 pep supercontig:CCACVL1_1.0:contig15570:38938:40740:-1 gene:CCACVL1_29317 transcript:OMO52179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEKSLIIYESYNCLEGCSVYGGALV >OMO52180 pep supercontig:CCACVL1_1.0:contig15570:51859:51999:1 gene:CCACVL1_29318 transcript:OMO52180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFNEICRLSCITNTPAATLPSLDSRVKRVKKVPVHLKDYELDKK >OMO52182 pep supercontig:CCACVL1_1.0:contig15570:60067:67162:1 gene:CCACVL1_29320 transcript:OMO52182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IMVCHCKRPPDGKLGCGDECLNRLLSIVCVQGTCPCGDLCSNQQFQKRKYAKMKWDKCGKKGFGLRMLENISAGQFLIEYVGEVLDTYEARQKEYAARGQRHFYFMTLNGSEVIDACVKGNLGRFIDHSCDPNCHTEKWMVNGEICIGLFALRDIKKDEELTFDYNYVRVYGAAAKKCHCASPHCRGYIGGDPLSAEVIVHDDSDEESPEPMMLEDGETWNGSVNITSRSSFVDAAEMQSMEGVITDGVIKLENTTEVEYSLNHFASSKSQLKNSVETEVLKGSLLSNQPEEVLHMAAISEAMADIEPDGTMKKRAMNKTSSSAKKLDTSLNILDSKLSSDTADVNKKSKFSTPEGKQVVPKSRPLMKTSRSCSSGSIKEKITSSSLNGNKVQITSIKAQDASKGYLKLLLLTATSGDSGNGEAIQRFADAAQHYEEIQKGLQKDSNSSEASKGFRVLAMREILTLDHIYGGPPCAGRESFRESILSLTEHDDKQVHQIARNFRDKWIPKPARRLSCRDKDEGRMDFHRGLDSNRVSVSHNHWRDQAIRPAEEIDFAMQSVIATTSVDTAACNGCSSSSTGICQTNGTKTRNRKSRWDQPADMEKIDTWSPKKSEYSSLPVLVESTPNQVDSMSRADGEAINVENGRHSFQDDVPPGFSNAPGPSTATDLHQPICQSKCCDVIIGHPQKRFISHLPVSYGIPLPILQQFGSPQGEDKWIIAPGMPFHPFPPLPPGMPCTANFIGTNQDAQGQEKSHRPFHGISRNAWETTI >OMO52183 pep supercontig:CCACVL1_1.0:contig15570:68127:69836:-1 gene:CCACVL1_29321 transcript:OMO52183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYHHPFSLDSQKVRLALEEKGVDYTSFHVNPVTGKNMDSSFFRMNSSAKLPVFKNGSHIIFDTIEIILYIERIAVVTVGNNGIAFSSEEVIEWMHKIQEWNPKYFTLSHIPNKYRLFVSKFIRKVVIARMAESPDLASAYHCKLREAYETEEKLKNPDVVSRSKEHLVKLLDEVETKLNETTYLVGEEFTMADVMFIPVLARLVLLDLEDEYINSRPNIAEYWGLVQQRPSYKKVIGKYFNGWRKRKTLMKTCAHSCSASGFGR >OMO52181 pep supercontig:CCACVL1_1.0:contig15570:57054:57152:-1 gene:CCACVL1_29319 transcript:OMO52181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSSSLNSQLSTRDGGRRAAASRWDMNDERR >OMP03642 pep supercontig:CCACVL1_1.0:contig06019:5193:10552:1 gene:CCACVL1_02328 transcript:OMP03642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRERDWDFYLRTVSNSARDSTFANDPTSDPSLLHAVKKLCDFCRQEEKSSEDLVARVYPHINKLFQRSIASISQSSSSSGILLLVILQFFLDFGEVVLHDADPSLRTFFRSCLSCEFADPVVAEATLNFLNINKNKLLASFPNLLPQFFPLLLKLIAWNGEKLEKPFLKVFPGLVSPGSFLPLFPSLVDLPILVVALEKVERSSGSLIGNSIASIQKSTAPEMLLALMDEAYTGSTIGDGGGDSESEDSNTIDVADPLFLELLKDENDGLAERHWTSPGVAAVLHAATNSPQSDRLKQILNIAPRLLDVYFTIALRDANNSLICALIPILMTRNSTLFPDKNYMYEVRKRLLEFMLAAFQRSPDFIALLKKPIIDRLGEAYDSPEKTELALQLCWAVGEHGGGGGSHKDAARELFESLELLLYENLSSSRLGLRQESATSDNRNFRKSSQSRLLCFVITAIAKLATYHRELLPRARVALGKVARSRISDSRVWRRARDYLGLMNEPAICLSVLGPSRPSHGYMQSPGTVNWNEGGTKMIAHIPFYILGEQEGPPFHDFSFSDILPRKQ >OMP03643 pep supercontig:CCACVL1_1.0:contig06019:11169:11720:1 gene:CCACVL1_02329 transcript:OMP03643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin MGRLSINMILFMACVVASATAQSASNVRATYHYYYPEQNNWDLTAVSAFCSTWYADKPLEWRSKYGWTAFCGPVGPTGEEACGKCFRVTNSGTGAQATVRIVDKCGNGGLDLDWNVFGQIDTDGNGYAMGHLIASYEFVDCGDNSLIFSH >OMP03640 pep supercontig:CCACVL1_1.0:contig06019:2796:2909:1 gene:CCACVL1_02326 transcript:OMP03640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLNFEVNRDGSHSELRDELKPDKWGGSGRGNGQTA >OMP03645 pep supercontig:CCACVL1_1.0:contig06019:29184:29321:-1 gene:CCACVL1_02331 transcript:OMP03645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGEEIVDGSGSTSPSRSCTFRFFFANFRCTNGIKRKSSKIRFR >OMP03639 pep supercontig:CCACVL1_1.0:contig06019:98:181:1 gene:CCACVL1_02325 transcript:OMP03639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CPSVTGNDTKRNRLESSAWRLRMNQES >OMP03647 pep supercontig:CCACVL1_1.0:contig06019:44576:46557:1 gene:CCACVL1_02333 transcript:OMP03647 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase APK1B, chloroplastic-like protein MATTTLVSKCKKMAKRWVSRLEEKQTSKPIDTAFGDGDGWFLPRDVYSFGALLLSIITKRVVNKYRPQNTIMSHDWAQNAYEEEKAVCQKESEYSIACGTLKQDPAYDARDGHVVTVLGMQCMEFEPERRPTMKDICKRLQSLRVVKQYKEIMLL >OMP03644 pep supercontig:CCACVL1_1.0:contig06019:19837:25066:1 gene:CCACVL1_02330 transcript:OMP03644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylose isomerase MAGRILLLLLCMIVVSFIVNAAPQTCPADLGKKCGEDGEWEGEFFPGIPKIKYEGPSSKNPLSYKWYNAEEEILGKKMKDWLRFSVAFWHTFRGTGADPFGAPTKQWPWEDGTNSIAMAKRRMRANFEFINKLGVDRWCFHDRDIAPDGKTLKESNANLDEVVALAKELQGDKIRPLWGTAQLFMHPRYMHGAATSSEAAVYAYAAAQVKKAMEVTHYLGGENYVFWGGREGYQSLLNTDMERELDHLARFLESAVAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATTANFLRKYGLIDEFKLNIECNHATLSGHSCHHDLEVARINGLLGNIDANTGDPQIGWDTDQFMTDIAEATMVMLSVIKNDGLAPGGFNFDAKLRRESTDVEDLFIAHISGMDTLARGLRNAAKLIEDGSLYELGRKRYSSFDTELGAKIEAGKADFEMLEKIAMEGGEPKVASAKQELAEMIFQSVL >OMP03646 pep supercontig:CCACVL1_1.0:contig06019:32825:41143:-1 gene:CCACVL1_02332 transcript:OMP03646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKLKNSLKTCIGLFVVSPLVLCLNDSIIESRVPSAPSLPKAFTAPTTESPPPPKAPSSSNAFIAPSDEPPPPRGKPE >OMP03641 pep supercontig:CCACVL1_1.0:contig06019:3743:3919:1 gene:CCACVL1_02327 transcript:OMP03641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDAPFLKKSQSLKLHDTLLDRTIVPVITLVLTATLSIVWYLHRDDLLQRLAPESWRR >OMP03648 pep supercontig:CCACVL1_1.0:contig06019:47395:47826:1 gene:CCACVL1_02334 transcript:OMP03648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVCGRPGMMNRTYISGGNAKDYSQGE >OMO87464 pep supercontig:CCACVL1_1.0:contig09236:42:101:1 gene:CCACVL1_09018 transcript:OMO87464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPRPCWGNMDWAGPKES >OMP11697 pep supercontig:CCACVL1_1.0:contig01021:219:1547:1 gene:CCACVL1_00333 transcript:OMP11697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLFGSVRRSLVFRTTPDNALENSPPLPTTANVFVEKINSCIRKSRVFSKPSSPSPSPPPPPIRWRKGELIGSGAFGRVYMGMNLDSGELLAVKEVLIAANSASKEKAQAHVRELEEEVKLLKNLSHPNIVRYLGTVTEEETLNILLEFVPGGSISSLLGKFGPFPEAVIRTYTKQLLLGLEYLHNNGIMHRDIK >OMP03686 pep supercontig:CCACVL1_1.0:contig06007:3388:4110:-1 gene:CCACVL1_02311 transcript:OMP03686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKAAWGASRRFSVLSQVSLAEGLITFFTTPTSPGYLHGSGLQDLRQSLAGGELKSGYMLGLLSIYCRGPMHIHEGIETLSSLGIEKTTNPRRVIWDYRRKCSQMLSNFWGIIPYWEPPTDPNCARCNSLQVHDITRSEGGEIPLTTNNWWLLKSLMDWQAEPDNWQHVVDLADPLYGIWCSTCFWVQEAKLFFVYISTRSALQLKKLDF >OMP03687 pep supercontig:CCACVL1_1.0:contig06007:5525:6062:1 gene:CCACVL1_02312 transcript:OMP03687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAQPKLKFAKILVRADLLPGAWLNPGQNFLESCGLDTTQDCSFRLKGRRRSFAVGLERRGNTLQFSHGWNVFARESCLKKGDKCSLRFLGRDSQGVVKILVKRTPKHMLPSTENAAPVVVLREGAWKEAGKEATSVRYLTTV >OMO59248 pep supercontig:CCACVL1_1.0:contig14025:14525:17955:-1 gene:CCACVL1_24973 transcript:OMO59248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase MAPSLSKANSGVSGVADGHKSPISLEGSNFTANGHVFLSDVPDNITITPSPYGGSSSPDKSITTVGSFVGFDAVKADSRHVVPIGKLKNIKFMSIFRFKVWWTTHWVGSNGGDLENETQMVILDRSDSGRPYVLLLPLIEGPFRASLQPGTDDNVDICVESGSTKVTSAGFRSVLYFHVGEDPFKLVKEAMKVMRVHLGTFKLLEEKTPPGIVDKFGWCTWDAFYLTVHPQGVWEGVKGLVDGGCPPGLVLIDDGWQSIGHDADPITKEGMNCTVAGEQMPCRLLKFQENYKFRDYVSPKSSGSGAPNKGMGAFVKDLKEEFSTVDFVYVWHALCGYWGGLRPNVPGLPENKVIKPELSPGLQKTMEDLAVDKIVNTGIGLVPPEMADQLYEGLHSHLEKVGIDGVKVDVIHLLEMLCENYGGRVDLAKAYYRALTDSVKRHFKGNGVIASMEHCNDFMFLGTEAICLGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAEYHAASRAISGGPIYVSDTVGKHNFPLLKRLVMPDGSILRCEYYALPTRDCLFEDPLHDGKTMLKIWNLNKYTGVIGVFNCQGGGWCRETRRNQCFSQFSHMVTTKTNAMDIEWNSGKNPIPIEGGQVFAMYFSQSKKLLLSNPTEKIEISLEPFNFELITVAPVTVLAGKSVHFAPIGLVNMLNVGGAIQSLAYDELQSCAKVEVKGSGEMRVFASAKPRICKIDGKEVNFEYEGHMVIVQVPWSSPSGISSVEYLF >OMO59247 pep supercontig:CCACVL1_1.0:contig14025:8216:8662:1 gene:CCACVL1_24972 transcript:OMO59247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWDSVFGFAKRSYRRAVDGFSSLYGSIRSAFWKAVDGFRSLLGSIRRVFWKAVDFLRSVYRDLSGLFWGAVDGVRCGYGHVSEAYWEEVVESLLKLFRRAVDNYAKREGLSSYPPWVGAAAYIIRVVKRNLSLDDLIFALLAQWED >OMO96303 pep supercontig:CCACVL1_1.0:contig07493:7635:9783:1 gene:CCACVL1_05004 transcript:OMO96303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRAKSPNLELELNLSPPRANNLRVESPNTSVSSWAMSPESSCVSSEPEEQYPEETSMVLVGCPRCLMSHARGHMWGCTSEVTCRRCPLEVTYGRTIGSRGELSVGGHVWCAGWLTYGVRLLVVVSGRAPDMKVVERLMVISGRAPGMEVVERRVCMLSVAGLREWSDVQQLCHAQQLPPSREGGYKVTERNVFSSC >OMP10469 pep supercontig:CCACVL1_1.0:contig02472:12:926:1 gene:CCACVL1_00959 transcript:OMP10469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSIVGNVFGFKALRALRLEDLRIPPAYIKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHAGTVVGKLEGERDITLGFVDLLRDDFIEKDRSRGIYFTQDWVSIPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPLGKCTGCRS >OMO96528 pep supercontig:CCACVL1_1.0:contig07447:216:3859:1 gene:CCACVL1_04913 transcript:OMO96528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAQHEEEEQGEVFLDESDIIDEVDVDEEELPDADDDDTEINEEPDDSIHIFTGHTGELYAVACSPTDPVLVATGGGDDRGFLWKMGHADWASELQGHTDSVSSLAFSNDGQLLASGGFDGLVKVWDTSGNLKCTLEGPGGGIEWVKWHPKGHLILAGSEDCTVWMWNADNGNCLNVFSGHGASVTCGDFTPDGKTICTGSEDATFRVWNPRSGESIHVVRGHPYHTEGLTCLSISSDSNFAVTGSKDGSVHIVNITTGKVVSSWASQTSTTEGDPESIECVTFATNFPWAVSGGMDGNLTIWDVQNSSPRFICNHEEGVTCLAWLGASKFLATGCCDGKVRLWNCLSGECVETFKGHEQPIQSLSVSSNLDFLVSVSMDGTARVFEIRNFH >OMP08979 pep supercontig:CCACVL1_1.0:contig03474:250:1235:-1 gene:CCACVL1_01078 transcript:OMP08979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type TRPVAMTEKYMRPDNVTEVCYDPDEGRIKCECKLFETDEIPCRHAIHVLKIKNLSRIPKSIIKKRWSKNANDFTVEEEVFGVVDEKAIEALRRLSLQMMCSTICYMGSKSKRAFLEARDKIARLIQPMAADPKEATREAGPDTEVAPEEGLTQEQAAVDPERRKKRDFKCGFCRKVGHKKTCCPLLQPRNNEVAVEFPLDEDVVDGESDSSDNEDETE >OMO82270 pep supercontig:CCACVL1_1.0:contig10056:42637:45418:1 gene:CCACVL1_12002 transcript:OMO82270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTSRSVKASSNFALQRCNKAPTSFNGVISNELPNRIHFDVEGNLINSLPDHILVSILSLLNLKEAARTSILSCRWRNLWTFTSRLVFDDSLLSSAMREGEVKKSLEAERSRFINWVNDILKSHKGTTIDEFIVCFDVNGQSYKRDVEGWIIFALEKRVRSLHLDFSISWGQKPWGSYTLKTQFLSNYTINSLKVLRLAAVEVTGEVLEYILSMCPWLEVLSIRMSKSLVRLKVSGPSLKLKSLEILLCSLEYIEISAANLMSLKYSGDIVTAVVNGVNRLIEASISGRYASYIIKNLCHFSGLFSQLETLVLDLTEETFRTFPEFPKLRNLKNLELFLKGHRAHSLLYCAKLLKASPILQRFALKVFQLPKYCIYRKVKEQEAKQQHGCLEVIEFVGFVGCAVDIELLLYLLKGAVSLKKLIIDPSGNEFFHGKKVPHEDFDRILATSRAKKLETRLPRGAELVIL >OMO82267 pep supercontig:CCACVL1_1.0:contig10056:28580:28944:1 gene:CCACVL1_11999 transcript:OMO82267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPISNNGTFPAADINGELNPGANKYFEVDSTSSEIATSKEIKDRFEEQEKKEKKKKDSMQTLKTTILISAAVVAVAGAAFAIAKKLREK >OMO82266 pep supercontig:CCACVL1_1.0:contig10056:23397:27902:1 gene:CCACVL1_11998 transcript:OMO82266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMQFSTVASRHFSATSGSFPTNRKFYNSPRNSTKLGSSWNSGSGNVTSLSSRNLFTREIWGWVNSKTVTFKRDMRGVVRAEMFGQLTSGLESAWTKLKGEEVLTKENIVEPMRDIRRALLEADVSLPVVRRFVQAVSDQAVGTGLIRGVKPDEQLVKIVHDELVKLMGGEVSELVFSKSGPTVILLAGLQGVGKTTVCAKLANYLKKQGKSSMLIAGDVYRPAAIDQLVILGEQVGVPVYTAGTEVKPSDIARQGLEEAKKKKIDVVIMDTAGRLQIDKAMMDELKEVKKVLKPTEVLLVVDAMTGQEAAALVTTFNVEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLTFVEKAQEVMRQEDAEDLQKKIMSAKFDFNDFLKQTRAVARMGSMTRVIGMIPGMGKVTPAQVREAEKSLKIMEAMIEAMTPEEREKPELLAESPERRKRIAQASGKTEQQVSQLVAQLFQMRVRMKNLMGVMEGGSMPTLSNLEDAMKAEQKAPPGTARRKKKAESRRQFANSSSTRPSPRGFGAKD >OMO82268 pep supercontig:CCACVL1_1.0:contig10056:30087:34102:1 gene:CCACVL1_12000 transcript:OMO82268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNRLILLSPPSQPLLTPPSLHLRPTPKPKPNFLHFNSPSKLPFITPLKSINSTKLPLNPQTYENPLSKTSETLTKLKPFLQSNYQPILLGWICSSISVFSLSGIIPRIGSFSSNLTNNISLSTLRDQGLVLGVLVFAQLVARYWQQAFLWEAALRTEYGMRSFVFEKVLERDLSFFEGGNAVSSGDIAYRITAEASDVADTVFALLNAIVPSTLQLFAMATQMLVISPSLSFISAMVIPCMAFVVAYLGERLRKISKRAHQSIATLASYLNEVLPAILFVKANNAELSEHARFQRLAYADYSQCLEKKKMKALIPQIIQIIYFGVLFILCVGSVVVSHGSFDGSSMVSFVTSLIFLVEPIQGLGKAYNELKQGEPAIKRLFDLTKLKSKVIEIPDAIDLAHVKGEIKFCDVSFKYADDVPLVLDGLNLHIRAGETIALVGPSGGGKTTLIKLLLRLYEPSSGSIHVDNHNIQRIRLESWRRHIGLVSQDTMLFSGTVAENIGYRDLMANIDLGRVELAARVANADEFIRTLPDGYKTQIGPRGSLLSGGQKQRLAIARALYQNSSILVLDEATSALDSRSESLVRQAVERLMENHTVLVIAHRLETVLMADRVFRLQDGKLEELTRSTLLAGHQNSLSSTELVI >OMO82264 pep supercontig:CCACVL1_1.0:contig10056:6353:9596:1 gene:CCACVL1_11996 transcript:OMO82264 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding protein MTEVLQLSPSHFSSPSSSTSSPHALINSSASTVGTVGSICQDSDQEEESRLQEEEEEEERKERDREGDQLSLLTLLVAAFRKSLIGCSISDTKELCSMEIGVPTNVRHVAHVTFDRFHGFLGLPVEFEPEFESQAQCIVDTMRSLTIMNAWFRELPRGILDSLPPEQVIQSQSEEECAQLVRLLPPTEASLLNWAINLMADVVQLEHLNKMNARNIAMVFAPNMTQMSDPLTALMYAVQVMNFLKTLIIRTLKEREETVVDSTSVSRSEPSDKNGQQSSSQLQQDVNEEVQNQSEEEKVYVAQEPESPTDSTEEDLTTESNSQSFLTSIENICAGHRSLVDNCPCTMVSQVDSLANELQEGGLLSTSKKGRIGPSGGSSLKKGSKMANERPTGRAAGAVEKSKGTRIVGRINSRAELFEAWR >OMO82269 pep supercontig:CCACVL1_1.0:contig10056:35634:35912:1 gene:CCACVL1_12001 transcript:OMO82269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MDTSNPAAFVNGGLLGMHVGRKVRAVIQVIRSDVGSVLGKSTDDRQIVVKGSPPAPLTTYVEVIGVAENDNSIRAEIWTNFGDTFGIAFYSF >OMO82263 pep supercontig:CCACVL1_1.0:contig10056:1462:3319:1 gene:CCACVL1_11995 transcript:OMO82263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGQPPDLKKYMDKKLQIKLNANRMVVGTLRGFDQFMNLVVDNTVEVNGNEQTDIGMVVIRGNSVVTVEALEPVGRMQ >OMO82265 pep supercontig:CCACVL1_1.0:contig10056:13759:20011:1 gene:CCACVL1_11997 transcript:OMO82265 gene_biotype:protein_coding transcript_biotype:protein_coding description:4'-phosphopantetheinyl transferase superfamily MKIQINGAFPYGLQMQNLRETHLWYILPDEVKRVALLKQYSELLSPCERENVNRMGGDQLKKRALLARALVRTTIARYQTNCEMNPRSLKFRKNIYGKPEVEWQTEDNFIPPPLHFNISHTSSMIACGVTVNVPIGIDVEEKQRRIKNNVIAFARRYFSPYEVELLTAISDPEIQRQEFIKLWTLKEAYVKALGKGFSAVPFKTFTIRFRPKALRSLPGSPVSEASEIIIDSSDDPSILTNNWQFALLEVAGGHYASICTEKDKSIGGEGTMPMKLTVRKTIPFVEDVCVSGTDAVVALGGIIEQ >OMO82271 pep supercontig:CCACVL1_1.0:contig10056:48396:50604:-1 gene:CCACVL1_12003 transcript:OMO82271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKQRLKQQKAAQASSSSHPAAEASSSPAAASPVLAASASPVAATPASASSVAATTSAAAPSAPKVDMNSLISAPNAARIVANPKKKKTNPKKKSAKKPIPSGYLEMLTTRMIRELDMNTLKSALKEAGFAIPKPKSTKKLPRAELMKDIAKRFKFQLGPTILKVRATYIIEKLAYKPLCHLRQVRNYWFRRALMMSMQPRNTADFAMDILELYYNELIGLMRLQKGFEENDKVALDFDAFITLLVEELDFFINKALAYQILPIGSITQFDIVSELLRDKDMYRFRGLIRVPDEVEQNLKQNILEWAESLGIVCTPERDVGQSAGPSGIQEEADIGGYLSKSED >OMP03516 pep supercontig:CCACVL1_1.0:contig06053:26620:26706:1 gene:CCACVL1_02387 transcript:OMP03516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSTFRRHPTFGHRDDLRNYQPTYSGA >OMP03515 pep supercontig:CCACVL1_1.0:contig06053:21518:22735:-1 gene:CCACVL1_02386 transcript:OMP03515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLPQQEQTAEVWTLCRIFKRIPSNHKKYAAAAAKKDTTIKPNLGDCSLDDSENSINNDPLIITNFCDNFVRPNNIMDRQVDDGRNFLYLGPWRTNAIAHHHQHQHQAVNPFAAAASNNYPASFWNPNGEIDILRNGNWDELKSVVELAMEPYPQVFE >OMP03514 pep supercontig:CCACVL1_1.0:contig06053:1321:5678:1 gene:CCACVL1_02385 transcript:OMP03514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSASAQQGARDATENSLEKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKTRRNEPGVKGSITFDENSTIAISPVNFHGLPKYDGCCIYIGTPQKKEYYLCSETPGAARAWVSTLHATQLVLKAHKEAVNTLSGNGSAKFGTVATVVAAANSTARECSKEIEAAMQISLRNALGVITNKPTDGPMDDLTIMKETLRVKDEELQNLARELRARDSTIREIAEKLSETAEAAESAASAAHTMDEQRRIVCAEIERLAKDSEKQKEAFMLKLRESEENLGAISKERDQLVKQRDSALQEAHMWRTELAKARERVVILEAAVVRAEEKVRVTEADAEARIKEATEREAAAVKEKQDLLAYVNALQAHLQRQQSDTKQIVEEEKTESSNTSDSLPETKDVDLSENIDKACLSVSRAVPVPAESVVHMAVDQNIQPVGDGEWSDIQATEARIADVREIAPETDGSSLDIPVVSPAVNNHHEQGSNSFHQP >OMP03517 pep supercontig:CCACVL1_1.0:contig06053:32953:33012:1 gene:CCACVL1_02388 transcript:OMP03517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFDMSVIFSGIVSRRTVS >OMO89483 pep supercontig:CCACVL1_1.0:contig08703:23586:24804:-1 gene:CCACVL1_07803 transcript:OMO89483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MAFSKTFAFTISLILFSFMIAIASATDYGYGAKPAAPVYKPKSDVKEKPLPIGVEGIILCRSGYKTFPIKGAIARITCLAVDENGYEAAPFSILSKETDSKGYYFATLFPNVLNNKLKLSQCKAFLEKSPLETCKIATDVNKGISGAPISYCHLLQNKKIKLYKVPPFIYTTSSSTPKPISNGY >OMO89481 pep supercontig:CCACVL1_1.0:contig08703:11005:15385:1 gene:CCACVL1_07800 transcript:OMO89481 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(26)-N(2))-dimethyltransferase MIKEKTMSMDLNDYTIIKEGEAEILMHAKNEVFYNKTQVNNRDMSIAVLRTFISKRKQEHDALLSKRTKSAPKVSENNGSTSDVENKPNESDMNNEKSNGECEGPEEKSQEEPCTTSEEPVKIEGKVRGELKPPRVLEALSASGLRALRYAREVEGIGQVVALDNDKGAVEACQRNIKFNGSVASSKVESHLADARVYMLTHPKEFDVVDLDPYGSPSVFLDSAVQSVVDGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLACIESHANRYKRYIVPVLSVQMDFYVRVFVRVYSSASAMKNTPLKLSYVYQCTGCDSFHLQPIGRTVTKNTSVRYLPGFAPVVPQECTHCGKKFNMGGPIWSAPIHDQEWVASILADVKSMKDCYPAYDRISAVLTTISEELPDVPLFLSLHNLCATLKCTSPSAVIFRSAVINAGYRISGTHVNPLGLKSDAPMEVIWDIMRCWVKNHPVKAQPADLPGSVILAKEPVLQANFARAVASLSKAQAKKVARFLPNPERHWGPKLRAGRQITSKHISLLGEEAVNGHLNQQDDERDAKRQKTENTTENEANADTES >OMO89484 pep supercontig:CCACVL1_1.0:contig08703:26121:28592:-1 gene:CCACVL1_07804 transcript:OMO89484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDPELNRYILMNEAKGLVPGYPQSMLDILGKCNIAAVHGSTHKQMRGALLALISPTMIRQQLLPKIDDFMRTFLTNWDNKVIDLQEKTKEMAFLSSLKQIASAECTSVAQEFMPEFFKLVLGTLSLPIDLPGTNYSRGFQARQNILRILGQLIKERRDSKEESHKDMLGYLMNNDESNKHKLSDDEIIDQIITILYSGYETVSTTSMMAVKYLHDHPRVLEELRKEHMAIRDRKKPEDPIEWNDLKSMRFTRAVIFETSRLATIVNGVLRKTTEEMELNGFVIPKGWRIYVYTREVNYDPFLYPDPLAFNPWRWMDKSLESQSYFLIFGGGTRQCPGKELGIAEISTFLHYFVTRYRL >OMO89482 pep supercontig:CCACVL1_1.0:contig08703:17093:19141:-1 gene:CCACVL1_07802 transcript:OMO89482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reversibly glycosylated polypeptide family MEPATATQAAAPAVPQLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIKVPEGFDYELYNRNDINKILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKAINALEQHIKNLLSPSTPFFFNTLYDPFRDGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVLSIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLGLGVKTGLPYIYHSKASNPFVNLRKEYKGIFWQEEIIPFFQQAVLPKDCTTVQKCYVELSKQVKDKLGKIDPYFDKLADAMVTWIDAWDELNPSGASLPNGKAK >OMP02081 pep supercontig:CCACVL1_1.0:contig06326:468:746:-1 gene:CCACVL1_02925 transcript:OMP02081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein F16F9.4 IGSSDLANLESGLLLSKNAILPPSLLCSAGYSELKKIPSTGYNPQPPLSAMGHQSRWEFYSAPFHGSNPPNSATNTTTTTSSSSSASASGLY >OMO73269 pep supercontig:CCACVL1_1.0:contig11273:6600:6680:1 gene:CCACVL1_17360 transcript:OMO73269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQIIIVLSINADKRLRGGKKAIPSSD >OMP00748 pep supercontig:CCACVL1_1.0:contig06624:296:370:-1 gene:CCACVL1_03304 transcript:OMP00748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALRFVISKTIKNSTNAPRKA >OMO86157 pep supercontig:CCACVL1_1.0:contig09529:89974:90288:1 gene:CCACVL1_09772 transcript:OMO86157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDERGEYQLVCFRRLDDEFDKVEKFYRAKVQEVMKEADLLNKQMDALIAFTIKVENPHEIFDRKVEMTRLASDIAASAAALSASTPSRARSSSRFLFSRLLN >OMO86154 pep supercontig:CCACVL1_1.0:contig09529:66402:73370:1 gene:CCACVL1_09769 transcript:OMO86154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFIESVNGGIDRFIYFRFNQNGFMKFNSRISRLP >OMO86151 pep supercontig:CCACVL1_1.0:contig09529:38393:42907:-1 gene:CCACVL1_09766 transcript:OMO86151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCEMETDQIEDMDVEVLSSMWPEDIGTDPGKQFNVEKPGGDQDMLEEVNIPGDPTIVDFKHLLDLTKYTNQGSSQLAYLVKHWEYKQANAVRLLREELDNLSRQRQESELKKLEIIEEHRFEEERYGGDKRPISILDEVYDFWQEVPRRKNDVVVPSKRVEIDAEFDTVIYWKQRAMQLEKMLEASMQREQQLKEKLQESIKNLERQSSPVEELSQILKRADNFLHFVLQNAPVVIGHQDKELRYRFIYNHFPSLQEEDILGKTDVEIFTGSGVKESQDFKKEVLEKGLPAKREITFETELFGSKTFLIYVEPVFSKAGETIGVNYMGMDVTDQVKKREKMAKLREEIAVQKAKETELNRTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTKLDKEQRQLLDVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVRHVLQTAAASLQKILTLEGHVADDVPIEVIGDVLRIRQILTNLISNAIKFTHEGKVGVKLYVVAEPPFAKEGSQNGSTANQSTTDVAKEETCTSTSQTNNDQKGFLGKKREGHCQNHSLGDEPGTPVMNGTMDGDGDEEQELPATTVWIRCDVYDTGIGIPENALPTLFKKYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVSSRVHCGSTFTFILPYKVSLASGDHSDDPDDLSDMADHDATNDDETAGFFQFQPRTLGSLFSSNGSGRTQKLMPQTIGFANSHKLNGFSENSYSFPTTTSNGPTKEMASSVEDACSVAEAVETSSETESPISHNPEADKESAICIDKHHQNDANVQNKVSKPELSSCSEASREVDSKMNTSEPQPSPKRQDQSVTSSKSTLNCNGESLNSISKPKILLVEDNKINVMVTRSMMKQLGHTIDVVNNGVEAVSAVQRNNYNLVLMDVCMPVMDGLQATRLIRSFEETGNWDAAAKAGIEQPPVTSDSLVNRPAKRIPIIAMTANALSESADECYANGMDSFVSKPVTFQKLKECLEQYLP >OMO86152 pep supercontig:CCACVL1_1.0:contig09529:55730:63886:1 gene:CCACVL1_09767 transcript:OMO86152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MHVGGITVDLYWFLQFIVTLFIVALGALYLLRNTASKYFEVDANFDRDQTMPSIAMDPDLPPCTVCSHPASKTCSGCKSVLYCSMDCQSRHWKDGHKTKCKLMSARTATPKPFSGIALVPTNGTSKNIKKPRKILYPYDEFVKLFNWEKPGFPPCGLLNCGNSCFANVVLQCLVSTRPLVAYLLEKGHRKECKRNDWCFMCEFQTHVERSIQSQHPFSPINILSRLPNIGGNLGYGRQEDAHEFMRFAIDTMQSVCLDEFGGEKAVDPSAQETTLIQHIFGGHLQSQVICTNCNKISNQYENMMDLTVEIHGDASSLEECLDQFTVKEWLHGDNMYKCDGCNDYVKAWKRLTVRWAPNILTVTLKRFQSGRFGKLNKRVSFPETLDLTPYMSEDGDGSNVYKLYAVVVHVDMLNASFFGHYICYTKDFSGNWYRIDDCKVMRVDFEEVLSQGAYMLLYSRVSARPSCLRTSGSQGKDEQKAMKVEPEHCPKEQVECIPGKDSITSPSGTAFLSLNGNLHSEIPRHGGESSSGINNYAVNGLENADVVKFKSHSSPSKEVSICENGSCSQMISEGIIVNGDDMDRDSSREIPENVDTISSQPCSSNIKELPSCDKDGPVTAGSEVVRENPESMKMVEVEQQLSVAKEIKSCEQDSQVAIDYVMKDSEDISMANSEPSSSVAEDSSLHCVTFPSSTVSYGIASMAMVFINKAILMQYAHSMTLLTVQQLATALLIHFGRQLGYTKSKGIDISTAKRLLPVSLFYNANVAFALASLKGVNIPMYIALKRLTPLAVLIAGFFSGKGKPTTQVSLSVLLTAAGVVVAAVGDFSFDLFGYSMALTSVFFQTMYLVLVEKSGAEDGLSSVEIMLYNSVLSLPFLVFLIIATGEFPNSLALLFAKSNSFSFLMILLLSLVMGIALNYTMFLCTIVNSALTTTIVGVLKGVVSTTLGFVLLGGVQVHGLNVTGLVINTAGGVWYSIAKYQQKKNKAPKLMSDLEAHRK >OMO86149 pep supercontig:CCACVL1_1.0:contig09529:13361:13552:1 gene:CCACVL1_09764 transcript:OMO86149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSDFYATHSSYRTRLVLNPRDSKSAVIGAAAAVL >OMO86155 pep supercontig:CCACVL1_1.0:contig09529:74314:77363:1 gene:CCACVL1_09770 transcript:OMO86155 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyl transferase MAPRLDFSNWFAKDDTRKGTPVVVKMENPNYSVVEIDGPDSAFRPVEKSRGKNAKQVTWVLLLKAHRAVGCVAWIATLFWALLGTIKKRLIFREDVSVASEKLGKGKILFAVIKLFLVSSLTILAFEIVAYFKGWHYFQNPSLHIPRTSDIQGLLHLVYVSWLSVRADYIAPLIQALSKFCVVLFLIQSVDRMMLCLGCFWIKFKKIKPRIEGDPFKSDDVEGSGYDYPMVLVQIPMCNEREVYEQSISAVCQLDWPKDRLLIQVLDDSDDESIRCLIKAEVAAWNQRGVNIIYRHRLVRTGYKAGNLKSAMSCDYVQAYEFVAIFDADFQPNPDFLKQTVPHFKDNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMHLFRLCLPAILTSKITIWKKANMILLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPVWVICYVPVFMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFKLGSSYEWVVTKKAGRSSESDLLAAAERETKTTNQLQIQRGASESELTELNRLKEQKEAASTPAKRVNKIYRKELTLAFLLLTASVRSLLAAQGVHFYFLLFQGVTFLLVGLDLIGEQMS >OMO86150 pep supercontig:CCACVL1_1.0:contig09529:32608:33536:1 gene:CCACVL1_09765 transcript:OMO86150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSDFYATHSSYRTRLVLNPRDSKSDVVGAAAAV >OMO86153 pep supercontig:CCACVL1_1.0:contig09529:65745:65933:1 gene:CCACVL1_09768 transcript:OMO86153 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRAS1-related extracellular matrix protein 2 MDTTKSNLNLPLSPNQPIIIKIPKSNSNPADSVSANDDGGGGGGFDYREVSKHDDFVSKEAT >OMO86156 pep supercontig:CCACVL1_1.0:contig09529:78874:87164:-1 gene:CCACVL1_09771 transcript:OMO86156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRVYVGNLDPRVTERDLEDEFRVFGVIRSVWVARRPPGYAFIDFDDHRDAEDAIRELDGKNNWRVELSHNSRGRGGRGGGGGGGGGGGRGRSGGSDLKCYECGEPGHFARECRMRGGSGRRRSRSPRYRRSPSYGRRSYSPRGRSPRRRSPSPRGRSYSRSPPYRAAREELPYANGCVVLHYAVMQLPQTLVAFLYFYLKRIEELRQMLLAEERIKDGIFRFNNNGGVTHLEKPLPVYKATAPLLKTEGACWKSECGKETSPLRDLSLMESFSYPSPDEHVANGTEQEEEEEHSLASSHSSQVIQNLGVTILASRFAANTRRGAQKMKNVGMPKFQKPEEPDFSAEPDDD >OMP11248 pep supercontig:CCACVL1_1.0:contig01445:5226:5742:-1 gene:CCACVL1_00599 transcript:OMP11248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENQNLSPPHVDASRPSLGFPLGTALLLIVIFSLSGIFSCCYHWDKLRSLRRSFSNGTDIPDDDIEASPSKSKPEFMDLKKNISQSLPVLMPGDQIPKFIALPCPCEPAREEKVEVKVQKPVKPPPRFPVPLY >OMO71588 pep supercontig:CCACVL1_1.0:contig11594:18353:18562:1 gene:CCACVL1_18148 transcript:OMO71588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEKTPERKSGVRIPPQRGQVKVMMFNQIVKTVKSVVTLGKKGGSGNGSSASTTPPSSNYPSEGHSDA >OMO71587 pep supercontig:CCACVL1_1.0:contig11594:1810:12472:-1 gene:CCACVL1_18147 transcript:OMO71587 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G-like, type 3 MDHHEDECRGEQHSKQDDEEAVARLEEIKKSIESKLSLRQSNLNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEELKEGLMEEIRSVNLSKFVSEAVTAICDAKLKSSDIPAAVQICSLLHQRYKDFSPSLVQGLLKVFFPGKSGDDVDADRNLKAMKKRSTLKLLLELYFVGVIEDNGIFINIIKDLTSTEHMKDRDATQTNLTLLASFARQGRVFLGLPVSGQEMQEEFFKGLNITADQKKIFRKAFHAYYDAVTELLQSEHASLRQMEHENAKILNAKGELNEENASSYEKLRKSYDHLYRNVSSLAEALDMQPPVMPEDSHTTRVTTGEDASPPATGKESSTLEAIWDDEDTKAFYESLPDLRSFVPAVLLGEAEPKANEQTSKVQEQQTESSTEADQSTAVVQDAVEASADSGNLQEGKSIEKGKDKEDKDKEKTKEPDKEKGKEKEKDSDKKGENEKEKLKGLEGSSLDALLQRLPGCVSRDLIDHLTVEFCYLNSKSNRKRLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVPSMLLQMLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFKIAPAGLVFSCLKTCLDDFTHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFTDLDKSSIEHVLRQLRKLPWSECESYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYLILVFGHDTAEQDVLDPPEDCFRIRMVITLLQTCGHYFGRGSSKRKLDRFLIHFQRYILSKGTLPLDIEFDLQDLFAELRPNMTRYSSMEEVNAALVELEEHESTASTDKTSSEKHSDTEKPSSRTTAHSISDDRSSIVNGSEENGGVHEETVEGDTDSESGSGTMEPDGHDEDDLDEENHDGGCDTDEDDEDDIGPSDEDDEVHVRQKVAELDPQEVANFDQELRAVVQESMEQRKLELRGRPTLNMMIPMNLFEGSTKDHHGRVVGGESGDEQLEEEAGGSREVQVKVLVKRGNKQQTKQMYIPRDCTLVQSTKQKEAAELEEKQDIKRLVLEYNDRVEEENNGLGTQTLNWPSGNTRVYGRGNSWEGSSGRSGGQRHRHHNHSGSGAYYGRKK >OMO54951 pep supercontig:CCACVL1_1.0:contig14846:1548:2974:1 gene:CCACVL1_27453 transcript:OMO54951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKKNRGINTINGAIGHEITTLE >OMP11843 pep supercontig:CCACVL1_1.0:contig00778:4920:8975:1 gene:CCACVL1_00238 transcript:OMP11843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHPRVRTTSLEKRWIPYK >OMP02148 pep supercontig:CCACVL1_1.0:contig06316:791:907:-1 gene:CCACVL1_02912 transcript:OMP02148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSKGSAHQPQSFTVKQKDSTISLFIEQEEIRQAERM >OMO60482 pep supercontig:CCACVL1_1.0:contig13715:18846:19142:1 gene:CCACVL1_24104 transcript:OMO60482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPIVLTQVATGLSVLAGAVLVKSVMDQKPMAGPFQRCPTCNGTGRVTCMCSRWSDGDVGCRTCAGSGRMACSSCGGSGTGRPIPVQISVRPPTNRSS >OMO60495 pep supercontig:CCACVL1_1.0:contig13715:105056:113125:-1 gene:CCACVL1_24117 transcript:OMO60495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Engulfment/cell motility, ELMO MSHVNSSCACPNSKTPHFYFIFNLFNGRVNYDNNCMAKSFSSLQYAIRLCRIRKIIYSKGARRHTRSSSLRSPLMAEERLKRLRHRMKVYFDNSRPDHQEALRALWSATYPGQELHGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQRLLKKQGGKRAAWEYPFAVAGVNITFMIMQMLDLDASKPRTFIRSVFLQMLSENEWAFDLLYCVAFVVMDKQWLERNATYMEFNMIWDEVGEDQIEREKVLLELEQECLEVYRRKVDRANISRARLHQELAESEAEFTHLLLSLGERSLPGRPEKMSGTIKQQLDSITPALRDMRLRKEERVNQFRAVQGQIQKISAEIAGQSEYDDSITNVTVNENDLSLKKLEEYQNELQRLHNEKNNRLQQVEKYIDAVHSLSTTLGMESSMIITKVHPSLNELCGISKNISDAILAKLNSTVESLQEEKQKRLEKLHHLGKALKNLWSLMDTPYGDRYMFSHVINLLSVSSAEASDPGSLTLDIIKQAEAEVKRLDQLKASKMKELFLKKQNELEQICNKSHMEIPSRSEMENILNLINSGEIDHADLLMSMDEQISRAKEEANSRKSIMEKVEKWILARDEERWLEEYSMDEHRYTVSRSRGAHRNLRRAERARVTVNKIPALVDSLIAKTKSWEEERKKVFLYDEVPLLAMLEEYNLFRQEREEDKQRQRDLKKVQSQVEVEQENFIASRPTTSNRRISNRSINGGFSNASPLNRRLSLSIQHLGSNSINSATQGISYIKEGKRVQGKSTIPRPNFASQLRDETASVVSTFSGPLSP >OMO60496 pep supercontig:CCACVL1_1.0:contig13715:116500:122271:-1 gene:CCACVL1_24118 transcript:OMO60496 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 3 MTDWSKKTILRNDALVQYILQTSAFPKEHEQLKELREASAQKYKELSVMNVPADEAQFLSMLLKLINAKKTLEVGVFTGYSLLATALALPQDGKGEEGSFDFIFVDAYKSDYLKFHELTLKLVKIGGIIAYDNTLWYGSVAESEKEVTEDLIKRSVMNVPADEGQFLSMLLKMMNAKKTIEIGVFTGYSLLTTALALPEDGKIIAIDPDKEAYEVGLPFIKKAGIEHKINFIPSDAFLVLNDLINSGEEGTFDFIFVDASKNDYLKFHELTVKLVKIGGIIAYDNTLFMGSVGKSEEEIKEEPMRQLRNSVMEFNSFISADPLPRVESSLLSIGDGLTLCRQAVSSLNHKSLIVQPSVLEYILEKNAYPKEHEQLKKLREVTAEKYEKKSIMNVPADEAQLLSMLLKVMNAKKTMEIGVFTGYSLLATALALPQDAQITAIDLDKEAYETGLPFIKEAGVDHKINFINSDAFLVLDDLINGGDDEGKFDFIFVDAQKKDYKKFHEQVLKLVKVGGIIAYDNTLWFGSVGYEEEKDWMPEFVWKSREFVLQFNSFLATDPRIESSLLSIGDGLTLCKRLY >OMO60485 pep supercontig:CCACVL1_1.0:contig13715:57330:63034:1 gene:CCACVL1_24107 transcript:OMO60485 gene_biotype:protein_coding transcript_biotype:protein_coding description:snRNA-activating protein complex, subunit 3 MDETSRNMAEEEANCSIPKGGPIFIPNLISRLTSVPEFQNELLRQLQELEAEFSSSQLTEDDDICVDDLKILREEELVEMAIKEAFKDDERAGDAAQHSGECGNKHACLESSRLNEASTSIESTNCCPVSNLDGAAIVKKNGSKKRKRRKAKNHLFENIEKVERLAKIKQKQDEDRSTARLHSLNAMCKINDRANPSNNRIERLRSLRSMNSSGKVKSLVVEEHIPVIYPEVVLCVEVYHNKRKWSKIQEFLVLGHQTLTELKDKIYCLTDQVMQKAGKHDPSGYFLIEDIFLNDLRDPSAIDYSEPILDWLRDSKDDALKRWESIITGELQQKQRAIIGSESTLALPQFKAVDMHKTRFCDLRFQLGAGYLYCHQGDCKHIIVIRDMRLIHPDDVHNRAAYPLLIFQLKPRVQKCRVCKISRATKVTVDDKWARENPCYFCDYCYSLLHSNDESPLDSGFSEQKRRGEKMGFIMEFAENLVLRLMEDPKERDRKFREHVYDLKDRCKRTKEMWSYPIRPYGFWTFERHNAQLRWDPQISQVPGRRDPYDDLLEQSYKPSSSK >OMO60494 pep supercontig:CCACVL1_1.0:contig13715:104234:104665:1 gene:CCACVL1_24116 transcript:OMO60494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CBF/NF-Y/archaeal histone MAEDEAVDPVQPEFPRGRVKKIMKLDKDINKVNSEALLLISCSTNLFLQFLAERSAEVATEKKKKTVKLDHLRTAVKRHRPTSDFLLDSLPMPSEPTQSVARTVADRDRSRPVSDKPAPAGTRRIDQFFRKTGNEAPVQINDA >OMO60481 pep supercontig:CCACVL1_1.0:contig13715:14017:16572:1 gene:CCACVL1_24102 transcript:OMO60481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MYGSDKAGDDAERTAFRKAEKKYKLYYEDNSKSSKKKKLPKQVDLSEVLDFKSISESYNQTGDLPPGIVAVNCGFDRPVFGLENHPGFYFIPGALTVEEQCQWIRESLTNFPQPPNRTNHNVIYGPLSNLFVAAKEGKILVEEKSDDCLDSKSSDIVSNGDAQRWKFYEEDIAKSRGKTCKSVSASVLLRKLRWSTLGLQFAWSKRNYDVSLPHNKIPDALGQLAKRMAQPAMPIGEEFRPEAAIVNYFALGDALGGHLDDMELDWSKPIVSMSLGCKAIFLLGGKSREDEPLAMFLRSGDVVLMAGEARECFHGVPRIFTDEENAEITPLELQFSHADDHCFLEYIRTSRININIRQVY >OMO60480 pep supercontig:CCACVL1_1.0:contig13715:7123:13606:-1 gene:CCACVL1_24101 transcript:OMO60480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRNVLRSVFVTEAWRPLHQNGNFHSVKTLRSFGISGTKSGSCGCYGAAVRARDIHFGKGTRKLNCSHNETPSSSTSEEDDVDQGPPQEAVLKAISEVSKTQGRVGQTTNVVIGGTVTDDSSNEWLALDQKVNTYPTVRGFTAIGTGGDDFVQAMIVAVESVLQQPIPEKAHSVIPAHLVAEAISTLQGLDLRWSGPITPSEMQYVKQYVFAKYPQYCNGLVEDGEKIELLDNLSITEESSESMTDDKRKSPRIADVNGTRYSMHWISEAHRNSWHVLLDATGLVFGAERLALALHRPDFVLCTLDNTHAQPSKITCLLVRRKSFDTSSTSA >OMO60488 pep supercontig:CCACVL1_1.0:contig13715:72852:76784:-1 gene:CCACVL1_24110 transcript:OMO60488 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor MQTKKRVSGRNASRELSSPKASKPQKKLSEKVQAPEKKVKDLITSSARKQRPAGKLPKKNAEHLGVTNCQTNGAHVEHTEDETRGDIFSPGFHMPRGEITDGDLNIHQEGGIEESNIDMMDAHITREALQSTSEEDMLGLDTNTVICSSDSGAVLSSEVSAIYLAMKNSKLECVDEHGQDSMATDVCVEDEYYEEYDDFDPYFFMKNLPELSSVVPTFRPVLLPKQTRSCPPTTLVLDLDETLVHSTLEPCDDADFTFPVNFNLQEHTVYVKCRPHLRDFMERVSSLFEIIIFTASQSIYAEQLLNVLDPKRRIFRHRVFRESCVYVDGNYLKDLSVLGRDLARVAIIDNSPQAFGFQVDNGIPIESWFDDRSDQELLSLLPFLESLAGVEDVRPLIAKKFNLRAKIAAAVYPPLISNREAFERTSPLVGRSKSRS >OMO60497 pep supercontig:CCACVL1_1.0:contig13715:126364:129171:-1 gene:CCACVL1_24119 transcript:OMO60497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MYGKAAICLTFVILFLISCSIYVGTIDLRSYFFPLLQSPPVSRSVCATDRPLRVYMYDLPRKFHVGMLGRRSSVEEGDENAPITMENLPPWPSTSGIKRQHSVEYWLMASLLYDGDGDEDREAVRVSDPEAADAFFVPFFSSLSFNTHGHNMTDPETEIDRKLQVELLEFLRQSKYYQRSGGRDHVIPMTHPNAFRFLRQQLNASVLVVVDFGRYPKTMSSLSKDVVAPYVHVVDTFIDDNPPDPYESRSTLLFFRGNTVRKDEGKIRVKLAKLLSGIDDVHYEKSVATPNNIKMSTKGMRLSKFCLHPAGDTPSSCRLFDAIVSHCVPVIISDKIELPYEDEIDYSEFSIFFSMKEALEPGYLVNQLRQFPKDRWIQMWKRLKNISHHYEFQYPPKKEDAVNMLWRQVKHKLPGVQLAVHRSRRLKVPDWWRRRR >OMO60484 pep supercontig:CCACVL1_1.0:contig13715:48445:49731:1 gene:CCACVL1_24106 transcript:OMO60484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, MYND-type MACRKRQRFSPEVVGKTDFFDGLPDDLVISILCKLSSSAGCPSDFVNVLITCKRLNSLALHSLVLAKASHKMFAIKAKNWSESAHRLLKSCADAGNVEACYTLGMIRFYCLQNRGSGASLMAKAAINSHAPALYSLAVIQFNGSGGSKNDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVRQNIAEGRRFLVQANARELAAVLSSTPTSNVPTRSWLTWSPHPIPHPNQRQPAVPGCPLLSDFGCNVPAPEAHPASRFLAEWFGSREREPGPGLRLCSHVGCGRPETRKHEFRRCSVCGAVNYCSRACQALDWKLRHKAECAPVERWLDEEGEAGEGNGAVDGDDVMIVDS >OMO60490 pep supercontig:CCACVL1_1.0:contig13715:87371:89238:1 gene:CCACVL1_24112 transcript:OMO60490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKKAAKWAPTNQRCGRQELIWARPWVSETCPDSEEPTPRHPGPSIMA >OMO60479 pep supercontig:CCACVL1_1.0:contig13715:3181:4059:1 gene:CCACVL1_24100 transcript:OMO60479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylan biosynthesis protein IRX15/IRX15L MNVKREDQSPKNRQFLADKRWFLPIVVAGFIAGAILISSFIKTADYSLLCSIASSRSQAVAEYSETPVQLQAIIHYATSHIVPQQNFAEISITFDVLKKRSPCNFLVFGLGYDSLMWTSLNPRGKTIFLEEDPKWVQTVLKDAPTLQAYPVKYRTQLQQADQLRAHYKSEPDCFPAKAYLKGNDKCKLALTGFPDEYYDTEWDLIMIDAPRGYFAEAPGRMAAIFSAAVMARNRKGSGVTHVFLHDVDRRVEKVFAEEFLCRKYLVKGVGRLWHFEIPPASNMSTPDGYRFC >OMO60493 pep supercontig:CCACVL1_1.0:contig13715:101331:101512:1 gene:CCACVL1_24115 transcript:OMO60493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLYCSPSWGLWERLPGPPRVRLVSQSSENLPLRTVEG >OMO60489 pep supercontig:CCACVL1_1.0:contig13715:84003:86417:-1 gene:CCACVL1_24111 transcript:OMO60489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MKFLILALLNISLLLLIKSFTSLVLFSIFLSVSSFFLNNWLVPGGFAWRNHHGYSPLLRGPAGWPLLGVLPQMGSLAHRKLANLAVSLGATRLMALSLGTTRLIISSHPETAREILSGSSFSDRPIKESARLLMFERAIGFAPSGKYWRHLRRIAANHMFSPRRISCLEGLRRRVADEMAAEVRRAMEEKGVVELRKILQKGSLSNIIESVFGCSLEKEEELGLMVKEGCHKLSSKVRGIVGQIVQERKRSVAEVNNNGGNDFLSALLALPKEDQLSDSDMVAVLWEMIFRGTDTVAILLEWIMARMVLHQDIQAKVQQEIDACIGGGGGSATQVQDSHLQNLPYLQAVVKEVLRLHPPGPLLSWARLAIHDVHVGKCFIPAGTTAMVNMWAITHDPSIWKDPWTFRPERFLEEAEDVSIMGSDLRLAPFGSGRRVCPGKALGLATVHLWLARLLHRFRWLPAQQHVDLSETLRLSLEMKRPLACEVVPR >OMO60492 pep supercontig:CCACVL1_1.0:contig13715:96534:96659:1 gene:CCACVL1_24114 transcript:OMO60492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLIIYLNNIKGQLRQEKFGSAAIAQLSQNQEQMLHIKL >OMO60487 pep supercontig:CCACVL1_1.0:contig13715:68120:72542:-1 gene:CCACVL1_24109 transcript:OMO60487 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding HORMA MVVELKVKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFNDKSVPALEMKIKKLMPLDAESRRLIDWMEKGVYDALQKKYLKTLLFCICESMDGAMIEEYAFSFSYSNSDSQEVSMNINRTGNKKQGGTFKCNSTTEVTPNQMRSSACKMVRTLVQLMRTLDRMPEERTILMKLLYYDDVTPLDYEPPFFRGCTEEEAHNPWTKNPLKMEVGNVNSKHFVLALKVKSVLDPCGDENDDIEDDEVSLGANSVQRDESSDSGSEDTDTKENHFVVAPADKQQPEEDNSMGDDDDTQDPMEDEQQLERVKDWINGRHLDTVELTDVLSNFPDISVALTEEIMDKLVKEGVLSSIGKDSYTKKKQKTSEYDFMVKEETEGQVQTVRKSPKIEDHMYMKALYHALPMEYITVAKLQSKLNGEANQSVVRRLITKMNQDGFVEASGNRRLGKRVVHSKLTEKKLLEIKRALNYDAMDVETNEPQNKTTPQLHTTGSNLRDLSTCGVLHSIGSDLTRTRGRSDMNQNGSIRSEQTISKGSDHGNTPMSKAEPIASRESFVPGYENTRLNGNCDDLDTVVCSRPSQDKRGRKSSTVKEPIVQYIKRQKS >OMO60491 pep supercontig:CCACVL1_1.0:contig13715:93489:95637:-1 gene:CCACVL1_24113 transcript:OMO60491 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17L21.18 isoform 1 MEFSEDDVNRLLLAEHTRKTSEDNYTRNPLDAENLTKWGGALLELAQFQDALGAKMMISDCISKLDEALLINPSKHETLWCMGNAHSTSGFMNNDLDEAKVSFDKAAHYFQRAVDEEPGNDLYRKSLEVAAKAPQLHMEFQKAAAEQRAMGGASSASSTANTSMKKKKSSDLKYDIFGWVILAVGIVAWVGMAKSHVPPPPPR >OMO60483 pep supercontig:CCACVL1_1.0:contig13715:22156:23714:-1 gene:CCACVL1_24105 transcript:OMO60483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein, plant MASVCASSAIAAISSPRQVSQKSGSIVGATKASFLSGKKLRSVRKYTAPAAVRSVVVGAAADPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPETLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYDAGTEEYFTDTTTLFIVELIFIGWAEGRRWADILKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATIFAAFTPK >OMO60486 pep supercontig:CCACVL1_1.0:contig13715:65372:66372:-1 gene:CCACVL1_24108 transcript:OMO60486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubber elongation factor MATMEQQKVDFENKKQDLKHLGFVRVAAIHALVCVSNLYEYAKQNSGPLRSTVGNVEGAVSTVVGPVYERFKDVPDHLLGFLDKKVDEASHKFDEHAPPAAKQVVNQAQYLVHKAAQKAQKLVDEARTNGPRGAFNYAAAEYKHFVVINSSKLWVKLNHNSAFHSVADKVVPTATKMSEKYNCLVKDLSGKGYFVFEYLPLVPIDELEKAVKQAEAKDNNKADDVDGHKSDSSSDSD >OMO87863 pep supercontig:CCACVL1_1.0:contig09163:6383:10884:1 gene:CCACVL1_08714 transcript:OMO87863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSCIIIVVAVLVLLLCPSSSAIQVGYDSRSMIIDGERKLIFAGAIHYPRSTIEMWPDLIQKAKDGGLNAVETYIFWNAHEPRYRQYKFDGNLDFIKFFKLIQEAGLYAILRIGPYVCAEWNYGGFPVWLHNIDGIELRTDNELYKNEMQIFTTKIVDMCKEANLFAPQGGPIILAQIENEYGNVESGYGDRGKAYIQWCAQMAVAQNIGVPWIMCQQKDAPQPMISACNGFYCDQMQTNDPNSPKMFTENWTGWYTKWGQKTPHRPTEDIAFSVARFYQLGGALMNYYMYHGGTNFGRTSGGPFLITSYDYDAPLDEYGNLNQPKWGHLKELHAAIKMGEKLITSGNVISKDITDNVQLTTYINDATGERFCFLSNNHWERDETIDMQQDGKFFLPAWSVTILGGCQKELYNSAKVTTQTSIMVKQADNYEEDYPADPLSWMWTFESMKDKLQGKGRFSARQLLEQKRATFDHSDYLWYMTSFDNNGTSSSSKNLTLSVTSSEQAHYHVFVNGQLIGSQSEHKQFQSPVSLVPGRNNITLLSATIGLKNYGEFFDTYTDGITGPVVLTDNGNITIDLSSNTWNYKVGLNGEVKRLHDPYSLHARAWKIADDMEELPTDRSMIWYKTTFRAPSGTDPVVVDLIGMGKGQAWVNGNSIGRFWPLRIADSNGCDVECNYRGAYKTDDDITQTRCVTNCGNPTQRWYHIPRSFLIPENNTLVLFEEIGGNPSQVSFQTVIVGSVCASADEGSTLQLSCQNGKIISEIEFASFGDPKGTCGRSILQQGSCHSAKSLTVAEQECVGMESCSIIVSSATFGSVDCGINVTTKRLVVQATCESEVVFYKKLKLKEAQSKA >OMO87866 pep supercontig:CCACVL1_1.0:contig09163:41659:41931:1 gene:CCACVL1_08717 transcript:OMO87866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIISKQKLAFICMVVLIMLSSTSPTPAAASSRLVEKPYGANETCHLYGEHCTVANFVEQCCPGCYCQSTRGGTFCATRGGGITCSSEI >OMO87865 pep supercontig:CCACVL1_1.0:contig09163:31230:33440:-1 gene:CCACVL1_08716 transcript:OMO87865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISGQQRQGMLVEEFAWSQSSKHRKYHGLGNISAPYLKLCKVGKKL >OMO87867 pep supercontig:CCACVL1_1.0:contig09163:45438:46166:-1 gene:CCACVL1_08718 transcript:OMO87867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITIRNHVARRSTTGEQNPANRGPDWPAKGTGTRTDGDRNLLRERVSSKREGGENEN >OMO87864 pep supercontig:CCACVL1_1.0:contig09163:18528:30665:-1 gene:CCACVL1_08715 transcript:OMO87864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIWLTGKSSPHITALVDRSHQHAPRCPKGYGRSHCNKASSRQGGKKQMPLELELIKASPPLKAM >OMO87862 pep supercontig:CCACVL1_1.0:contig09163:4791:4856:-1 gene:CCACVL1_08713 transcript:OMO87862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVGTPETIAGCGIDNRCTC >OMO67971 pep supercontig:CCACVL1_1.0:contig12338:20074:20627:1 gene:CCACVL1_20160 transcript:OMO67971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEIFKGGSKYASKQKDFALEFGIPSTCSIFAF >OMO67970 pep supercontig:CCACVL1_1.0:contig12338:2275:4330:-1 gene:CCACVL1_20159 transcript:OMO67970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MRWNQTEWGNRPDHLMRIFSPINNDEDVMTQFQLAEDRDLLQLPSNCGSLLSDFKEEKINKSNLKQSATPWRTSEENVEEVDDGQLQNLYSEFEVDESDNSRKKRARKSTLAVAAAAETAATVAADSDHVSPSKPGSGSGKHRRLWVKDRSKGWWEERNRPDFPDEEFKRDFRMTRATFDMICEELEPAVMKKNTMLRDAIPVRLRVAVCIWRLGTGEQLRLVSKRFGLGISTCHKLVLEVCTAIRGVLMPKFLKWPDENNMKRIKQEFECMTGIPNVGGSMYTTHIPIIAPKVGEETYLNQKRSERMRKACYSITLQGVVDQRGVFADVCIGWPGSMSDDQVLEKSALYYKGMRGLFKDVWVVRSERYHLMDWVLVPYTHHNLTLAQHGFNEKIGEIEKVAKDAFTRLKGRRSCLQKRAEINLQDVTLVLGACCVLHNICEMRNEDMDPYAWISAF >OMO61107 pep supercontig:CCACVL1_1.0:contig13595:8682:12910:1 gene:CCACVL1_23740 transcript:OMO61107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLASLSKGINIYSKFATSSSADPSFQDEVKEIKVWYADFGRVDLNSIDSLEKEIHELELSLQQNAPDDNTYEVLFQKKDKLWKLYRSVERSWQQKSRIRWLMEGDKNTRYFKLVAACRAKRNQILKIDVNGKSIDQPQQIKDSIADYFESFYNLKTAVKVLDLDIPFMVLSEASVLWLEKEFTEDEIFAAVKDCEGRARVNSIGVWKPVIDRCKKKLDTWKAKHLSSAERLVMIKSVLSSFLVYFMSLFPLPATVRNELDKLIKRFFWSGSNNKRKLHFVDWNSITNLKCFGGLEITDLGIQNRALLNKWIWRFGNEENSLWRNVVAAKNGLQVDKIIPSTGNRMASSLWKIIVKPLFGNDALSVHTKPGLFISIKDGSIVDFWRDKWVDDLVLSEAFPRIFALASNKNGKAADFGYFDGNTWKWADDIWKLVWAGFAPPKTRIFVWQVLKKRIAVKFELCKRGLISLDSALCAFCGNKLETIEHFLFWCQCSWNIWTACCKLWGIDWVIPKDPIIFFLSWHYALANNRKNKLWRMLLFVVVRFPQEVTVVCKRPVRSGSVVWEAPKPSFLKFNVDGAAKGKPGPAGIGGVLRDENGKIWMDFSKSMGIMDSNEAELCAIREAILLFSTSKWANSHALIIESDSRNVVSWVGNPDSVPWKLRRWVLHISSLIKGLTGFSISHIFREGNVEADTLAKEGVDRTDPCFNLYN >OMO86913 pep supercontig:CCACVL1_1.0:contig09390:36448:38531:1 gene:CCACVL1_09393 transcript:OMO86913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNQQGDPPSSSSSLQSSSFSEDWRQRILIPTIIAGVVGGGVGLVSKHRKAHGLANISAAYASNLAIVTGCYCGAREFVRATRKTGPDDLLNSAIAGFGSGAILGRLQGGRYGAYRYSLIFAVAGTAADFAALKLGPKLRNFSESMFDKKTGSLKLPEWFPIQILDEEALAAKKAREEKLYSQRAIGKLSKEES >OMO86910 pep supercontig:CCACVL1_1.0:contig09390:3778:3918:-1 gene:CCACVL1_09390 transcript:OMO86910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKNSGNNPITKKKYEIVTKEKVIVFIICLVPDEKEKEKVVVETAA >OMO86912 pep supercontig:CCACVL1_1.0:contig09390:34859:35455:-1 gene:CCACVL1_09392 transcript:OMO86912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTAGSDVEAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLASLGGGMNISRHHARIFYDFTRRRFALEVLGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKEFYFLLPVEFISSGNKGGFDERLYSADTYFSLFAIWVGILQTRSDNTRPERRELMLVRIGVGLGTNVFKTGPGDEPARVPILPVQPVQQGV >OMO86911 pep supercontig:CCACVL1_1.0:contig09390:5039:9147:1 gene:CCACVL1_09391 transcript:OMO86911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRKLLGLCSGRSSFLTPLPRFFDNGNAPGNSNQNAKSVSVHPMPSDAISHIHGKSTAKVGSGSQNVSGSGSSKEHHNQPFPGQPIKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEEEKQELRKFKWDEFLAYTRRSITNKKYKRRLGTGQQKRSEPTIESSDWDSKQGVNSLSASEELGPDSSAS >OMO86914 pep supercontig:CCACVL1_1.0:contig09390:40130:42174:-1 gene:CCACVL1_09394 transcript:OMO86914 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein LVMKKNIKGFRFRPTDEELIQYLQDQTFDRDSLVQVIALVQDINLFDPWQLPGRSILQTGDNLWYFMYHPTYKYRNSKRISRTTPHGYWKPTGNARKIINSHTAHPIGTKKTLVFYKGHFSDKDKNKTCWVMHEYQLIIPPAHLAASVSHQKIFNLCKLKRKIDISSCEAGQSSQHALSNLENHNAIPENLVDPNTSSEPEASNDCSGFLSQSSSIQTYARERSNQHNMVKEGEGSNISFNSINHVAEDSIPQDLLHLEDHNLYSKFEQDNESCNSILTNDDESPLTERSNQHNIVVAAEGFKMPSSLELEYLGHEDLIPSDLLYNDGLSLDELLAESEATNNFNWIQNQFVTRAEDGEFLNSVTANTNEAYLQEGSNQHYLAADNGSGLPCIGMMETSNPMEKSRKRPRLEYDELNHHGQTKEGQPWGKEYPF >OMP06033 pep supercontig:CCACVL1_1.0:contig05084:1565:1678:1 gene:CCACVL1_01745 transcript:OMP06033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VCSNVNKACQAIKTQSASLIPASAHGSIRYAIGRASN >OMO59785 pep supercontig:CCACVL1_1.0:contig13882:23709:24903:-1 gene:CCACVL1_24607 transcript:OMO59785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNQSFVYLIFFFLVAGFASSSTYISNGALDSYGNTGRNLLQAKKTCTEDMEHKNYTILTSKCKGPQYPVKGCCDALKEFGCPFVDKLNDLTTDCASTMFSYINLYGRYPPGLFANMCREGKEGLECPDEPKKSGTLVSKPTLLMLTSGFLVLLYQLF >OMO59786 pep supercontig:CCACVL1_1.0:contig13882:26268:29759:-1 gene:CCACVL1_24608 transcript:OMO59786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAARTSPREAQVIDDSDCGGSSITLKEWQGWGCVSPVPAMVNEVIQDLKLLENKIDSEMVFGGNGGKLQGDFKIQEDKKHRATYQALGDSGKKFQFFSARQIACRLLGSRGYLCQKCWLPMEDCMCSKVKPCSLWPGIRFWLYMHPKDFLRQNNTGKLLWQVFGVHAATLCLYGISEDEEIMWNTFKDAGKSKVWCLYPNQNIVPKTVQDAFNCQSSANLECTHSSANRDGPLHFVLIDGTWSNSAAMFRRLKEQTKLVWGEEDLHSISLAAGASAMHKLRPQPSWDRTCTAAAAIGVLAELQLLPECSSYGLDKQAEAVEDALDVLLEVLTARRLRMGRSITRKVRIRHVEYCKFSV >OMO59787 pep supercontig:CCACVL1_1.0:contig13882:30139:32034:1 gene:CCACVL1_24609 transcript:OMO59787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MASVTESMAALSSPSSSRFGTSVSRPSMMISSPAVSLSIRRNLPVSKGLKIQSSSKLSFASSNWRYSRVSKHKCCIVCEAQETACDIPSVTDKTWQSLVLKADEPVLVEFWAPWCGPCRMIHPVIGELAQQYAGKLKCFKLNTDDSPSIATQYGIRSIPTIMIFVNGEKKDAVIGAVPKTTLCASIEKFL >OMO56029 pep supercontig:CCACVL1_1.0:contig14559:21740:25209:1 gene:CCACVL1_26800 transcript:OMO56029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNQTVEDESESSNATITRRMQRLSLHLTPLPPLGSSPQLDMLTCAKANNKLEVDQSSLSIYMRGKNREIQEKIFEFFNARPDLQTPIEISMKEHRELCMRQLLGLVREAKVKPLRYVVEDPAKYFAIAEAVGSVDMSLGIKLGVQYSLWGGSVINLGTKKHRDKYFDGIDNLDYPGCFAMTELHHGSNVQGLQTTATFDPITDEFVIDTPNDGAIKWWIGNAAVHGKFASVFARLILPTHDSKGVSDMGVHAFIVPLRDLNTHQTLPGVEINDCGHKVGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGLAYCSVGVLKISVTIAVRYSLLRQQFGPPKQPEVSILDYQSHQHKLMPMLASTYAFHFATQYLVDKYSEMKKTHDEQLVADVHALSAGLKSYVTSYTAKSLSTCREACGGHGYAAVNRFGSLRNDHDIFQTFEGDNTVLLQQVAGDLLKQYKDKFQGGPLSVTWNYLRESMNTYLSQPNPVTARWESSEHLRDPKFQLDAFRYRTSRLLQSVAARLRKHSKNLGSFGAWNRCLNHLLTLAESHIESVILAKFIEAVQNCPDPRSRAALKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLAEYLSFQVKNIAGELIDAFDLPPYVTRAPIAMQSEAYAQYTQHVGF >OMO56027 pep supercontig:CCACVL1_1.0:contig14559:6834:7949:-1 gene:CCACVL1_26798 transcript:OMO56027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNSSSSSVFTDPFREELMKALEPFMKSASSSSSSSSSPSSPTSSFSSSPTSSFSSYPNLYPEFCSPSSTQLFSNHGFSSNYNNLMGFEQLPGSIGLNQLTPSQILQIQAQIYQQQQQQQQEHQLLASMSARTPVQNQKLNFLSPKAVPMKHVSTSSPPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGEFARLNFPHLKHQGANISGEFGDYKPLHSSVDAKLQAICQNLQKQGNSGKAYSVSNSKTNISAPNSQPKVEFDNEYYPVKSEEFDQFSDPKVENSLSTSSSSSPDLSDESLAGSSSPESDISFLDFSDSKWEDNENFGLEKFPSVEIDWEAIRELSES >OMO56030 pep supercontig:CCACVL1_1.0:contig14559:39721:43557:-1 gene:CCACVL1_26801 transcript:OMO56030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGNSKAERNEALRLCKERRRFIKQAIDSRYALAAAHVSYIQSLRNIGIALRRFAEAEILIESSLSTSATELDKTPSHSSYPSPSPSHLGAEASDSPLNNESPISPAMANISYMRAGNGAAVTVKVNPSNGSFVEDESLTMAMPPPPPPPFEPGSSWDYFDPIDECESFRFVGNNEVDMDFEDLRGWKECRTKGVDHGGLDGSSELNEGTLLLESERNAVKMSDSSVTRHYRRGSSMENDVYLMGFGGNRQANDKEMRNVGVEHYVNGPGETLMGKGALEQSSSKEKTAAEKDLSAEREDPSEFITHRAKDFLSSIKDIEHRFFRASEAGREVSRMLESSKIRVGYSEAKGGSSAFLAAFQLVCCRGKTALVSHEPVNHVTKVITWKRSASSRSSSSRNPLATASKDDADDSGSDFIEEFCMIAGSHSSTLDRLYAWERKLYDEVKASESIRKEYDRKCDQLRHQFAKDLSTQVIDKTRAVVKDLHSRIRVALHSVNTISKRIEKMRDEELQPQLVEFIQGLLRMWKAMLECHHSQYITISLAYHSRSSAGGPQGETRRQIMAQLQQEIECFGISFTDWVNSHASYLEALNGWLQNCIIEPQERSKNRNPFSPHRYLGLGPPIFALCREWLAGIKALPAEELSNAIKTFLSDLCPLMEQQVEQLQKKENSVDATNEESENKEGDNMLTDGDTNDDISSNLSFIQTSLTRVLDRLNKYSEASLKMYEDVRQKSEAARIAYLKLQAN >OMO56028 pep supercontig:CCACVL1_1.0:contig14559:18066:19556:-1 gene:CCACVL1_26799 transcript:OMO56028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDNFTAGKPQARPALSDVTNRPIKRGFSLISKEESDSQFAKQVCLGVENLIKEKSKKPQLESNPNPSPACTGEIGKSKEELVLVNDKVNEVKEGIDLSSDSEETIGQCDEGVTEVKDLGIGKLASSKGGSIEWSKLPKTFSQASKPFELERCGSLKNDGCVNLNAGGDLPMACSCSFCLKAAYIWSDLHYQDIKGRISVLRKSQKEASILVQKSGEGKQTDNQSQGNANNSAQLESDLTNQWKSLFLNMEDIFLQESSQLQASFTALKDLRENCKMGLERITGMTADK >OMO84693 pep supercontig:CCACVL1_1.0:contig09722:37493:40997:-1 gene:CCACVL1_10710 transcript:OMO84693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside phosphatase GDA1/CD39 MMKRSIARHESMSDKIHKYRGVLLVISIPILLITFVLYVMPGKSPSDVAVLEEVELNSRKVGSNSRGNKNYAVIFDAGSSGSRVHVYCFDHNLDLVPIGSDLELFEQIKPGLSFYAKDPQAAANSLLTLLDKAEGVVPLDLRSKTPVRVGATAGLRALEGDASDRILQAVRELLKDRSTLKSEANGVKILDGSQEGSYEWVTINYLLGNLGKTYADTVGIVDLGGGSVQMAYAISESAAAKAPRVQDGEDSYVNEMYLKGSKYYLYVHSYLHYGLLAARAEILKVSEDSGNPCVLEGYDGTYSYGGENFKASASLSGTSMEECRRVTLKALKINDTCTHMKCTFGGIWNGGGGDGQKNLFVASFFFDRAAEAGFIKATDPVAKVQPQNFADAAKRACETKYSNAKATYENVDENNLPYICMDLVYQYTLLVDGFGLDPFQDITLVKQVKYRNSLVEAAWPLGSAIEAVSS >OMO84692 pep supercontig:CCACVL1_1.0:contig09722:34719:36161:-1 gene:CCACVL1_10709 transcript:OMO84692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIRETGSNNVEMRKRLEQSSIKVSSELVVEVLSRVRNNWEVAFTFFLWAGKQPGYAHSLREYHSMIYILGKMRKFDTAWSLIDEMRGGRTGPSLVTPQTLLIMIRRYCAVHDVGRAINTFYAYKKFKFDVGIEEFQSLLSALCRYKNVQDAEHLMFCNKDVFPFNTKSFNIILNGWCNVIGSPREAERVWREMSKRAIPHDVVSYACVMSCYSKACNLNKVLKLFSQMKRMGVEPDRKVYNAIIHALAKARHVKEAINLLKTMEEKGIRPNVVTYNSLIKPLCKARKIDEARNAFDEMLQRGLSPTIRTYHAFFRILRNGEEVFELLEKMRKMGCQPTNDTYIMLIRKFSRWCQFDNVFKLWNEMTENGVNPDRSSYIVLIHGLFLNGKLDEAYKYYVEMKEKQYLPEPKIDEMLQSWVSGKQYAEQQMADLKNNQLQDNKLGNQIRVEPKKVDQEKDFLRETETKRVVRERGFSFGE >OMO84691 pep supercontig:CCACVL1_1.0:contig09722:24621:25250:-1 gene:CCACVL1_10708 transcript:OMO84691 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MFSISLFLNVNLQLDDWVLCRIYKKSHSSSSTSTATASDQDQEEEETEQFVQDALLPALKSPPSNTTLMPQKSCSFSNLLDAMDYSMLSSFLADNPSNPTGYETSSFSFGNLDPAPPVTNYTNSSTSNSMMLQKHPGQLNTSVPNMENKLKRQYSGIDEDYLHNPSKKFISSCSYSNSTNQSDMTQYNFLNQSFFNQSLLLSPHLQFQG >OMO60079 pep supercontig:CCACVL1_1.0:contig13793:847:942:1 gene:CCACVL1_24411 transcript:OMO60079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGYREVIPAKIKEPKLGKTWTCGNLSKKFVA >OMO60081 pep supercontig:CCACVL1_1.0:contig13793:2979:5173:1 gene:CCACVL1_24413 transcript:OMO60081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIYSSNLGRSIGCLDDEKMVLEEIIESMSYGHESSCAGRYSDDCCRWEGVHCSPTTSHVTRIMFYHVNRIKKDEDHQWFLDMTLFSQLKQLQELRLQGNHIGGLIKPQAICELVYLERLDLSDNSIEDVVPPCWGNMSSLRSLKLSKNRFLGNPTSFLANLSSIEFIDISYNLFGGLLSFSILANFSKLSYLDLSYNRHLEVETETPSWHPSFQVQHLFLAGCNLNNQSGHMIPRFLSSQHKLQTLDLSSNSLVGDFPTWMLHIVSSGLYLRGNAFVGQFPLGLQSKSTLTKLDISDNHFDGHLPSSINLVLPQLYGFNASSNRFSGNILPLAELENLHSLDLSNNLLSGEVPVRLTLNSSLWYLNLSNNSLEGELLPENCSIPNLTWLLLHNNLFVGNLPACLSNSLSLQLLDVRYNHLSGTISSLPVFMQLGALLLKGNQFIGHLPAQLCQMKMLQFLDLSKNKFSGNIPSCLRNNLFWRKKLQANSWVPVDFTTKGNSYLYLGIPLTVMTGIDFSCNELAGNIPDEIGELNELHSLNLSRNHLTGHIPTSFKNLKNLESLDLSHNNLTGQIPPQIIQIDFLQYFFVAFNNLSGRIPFNEHFLTFSESSFEGNQRLCGEQLQRKCLGNDNEVEDGARKESNPNEEAEESILDNHLLFYSLVFIAYAIGFWSVIAPLCISTNWRRKYFAAIDG >OMO60080 pep supercontig:CCACVL1_1.0:contig13793:1812:2132:1 gene:CCACVL1_24412 transcript:OMO60080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSESCNPIPRQSKFFQILELSKKGSIWIPILQQAFVPLVLKQDAIDASLGLITLNTIPLTTVLSASSAAKAYAKKKE >OMO60082 pep supercontig:CCACVL1_1.0:contig13793:6166:7880:-1 gene:CCACVL1_24414 transcript:OMO60082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATCFPLYTPATSLIPKTSTTPKAYTFSTSISKKFPTNHFTCKASASPSSSSITDFDLYDLLGIESSSNQSQIKTAYRALQKRCHPDIAGPSGHDMAIILNEAYSVLSDPGSRLAYDKEQAKMAELRGFTGKPLYSVWLGSENEKRAVFVDEIKCVGCLKCALFAEKTFAIESVYGRARVVAQWADSEHKIYEAIEACPVDCISMVERSDLAALEFLMSKQPRGNVRVGAGNTVGARVSNIFVDVKKFQSRYVDAMDKESKEADLRREARMSAINAIKSISNWWYWQSPNGVGATESQLNLTRISHKTSEPNINKLRDAAAARKQASKSSRTIGTRIPSSYLYKDDYWIPSRQALPASIHDNSSSSRSAASKPLQTEEPKEKNDRVYEKVKRRRNWIEWGIPMVAATIAAGLVWVQVGDTVPGGITEHVGGHLALTMVNSSWLRVVLAGVTWYLIGSAMVEIVETIRNR >OMP09797 pep supercontig:CCACVL1_1.0:contig02995:1705:1767:-1 gene:CCACVL1_01036 transcript:OMP09797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILDKFFNFAARILGKLPDKN >OMP04152 pep supercontig:CCACVL1_1.0:contig05805:239:2769:-1 gene:CCACVL1_02186 transcript:OMP04152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MGGSSNQINEEELNSKNNPKVLIVTSTYIKKYKDVNNLSSTSAVQIYINLDIPEVSAIVKSFPGGYSKIEIYGTPRQQVTGDAEGKVFMYKITILKVYTTFGWYYLACECNKKMSEVNEKQFCTACSVNVSNLIPRYKLSVDGFDHIGSASFVLFHAEAKELLKQDVRTLYDIFFRNIYNVDTGSTKLTVNNVYKFNPKLESQKLLRQKTLLSIHPMPNIKIEDVDSISKTTTFEIGYSSEEVVQTSLAAMIQCFDWKVDGANGTVDMKQGPGLTLPRAHSLVCTPVPRLSPFPSF >OMP05309 pep supercontig:CCACVL1_1.0:contig05443:1416:6769:-1 gene:CCACVL1_01962 transcript:OMP05309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MEARLGTEAHHFYGMNPGKRTLEWDLNDWKWDGDLFIASSLNPVSADGIGRQLFPVGSGIPVNSSNSSSSCSDEVNLDMEKGKRELEKKRRVVVVEEDSLNEEAGSLTLKLGGHGANGYPISQREGTSGKKTKLSGGSGNRAVCQVEDCGADLSSAKDYHRRHKVCEMHSKATKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTNPETVVNGNSLNDEQTSGYLLLSLLRILSNMHSNRSDQTTDQDVLSHLLRSLANHAGEQGGRNISGLLPEPQDSEAVSALFSNGQGPPRPIKQQITRPASEMPEKAVRSHGTRAVEVQGKTTGAVKMNNFDLNDVYIDSDDGTDDIERSPAPVNTVTSSLDCPSWVQQDSHQSSPPQTSGNSDSASAQSPSSSSGDAQSRTDRIVFKLFGKEPNDFPLVLRAQILDWLSHSPTDIESYIRPGCIVLTVYLRQVEAAWDELRCDLSFSLSRLLDCSDDTFWRTGWIYIRVQDQIAFINNGQVVIDTSLPLRSNHYSKIMSVKPIAISATERAQFSVKGINLSRPATRLLCAVEGKYLVQEATHELMDDNDDFKEQNELQCVNFSCSIPIVTGRGFIEIEDHGLSSSFFPFIVAEEDVCSEIRMLESILEFADADADVARPEIMEAKNQAMDFIHEVGWLLHRSQLKSRLGHLDPNPESFPLRRFKWIMEFSMDREWCAVVKKLLNILLDGVVGSGEHPSLMLALTEMGLLHSAVRKNCRPLVELLLRFVPEKHSDALEFGHKTVAGGVHDSFLFKPDVLGPAGLTPLHIAAGKDGSEDVLDALTNDPGKVGISAWKSARDSTGSTPEDYARLRGHYSYIHLVQKKINKRTASGHVVVDIPSAISDCSTNQVQKKESTSSFEIGQLELRSMQRHCKLCDQKPAYGYGFASRSLAYRPAMLSMVAIAAVCVCVALLFKSCPEVLYVFRPFRWELLDYGTS >OMO57329 pep supercontig:CCACVL1_1.0:contig14403:8466:9230:-1 gene:CCACVL1_25828 transcript:OMO57329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIQFGTALYGRRVARALQFEEASSEINSRMNGNPVRQQPNLKEGQGQRQQRGNGGNVAAGLTVDSTKRGILSKMRAALKDNFLVEGECDSRVLAVVNDVESSNNSQFPDDYIPLIDNMESGSFIGAEIIGAQSILGVGLSSIGPISGAIRSKSMGLQAELQRRANAKSGPTVVARQQRANNVHREMNLMIQMPPMSFVQKHQKVEIFENGRRQPAQLSRLQLICSAMRPWEVLVRRGQQFSQLPNTIRLEAR >OMO57332 pep supercontig:CCACVL1_1.0:contig14403:25164:32454:1 gene:CCACVL1_25831 transcript:OMO57332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPDVSDRDNDNDDDEFLNFDDEFEGGDGDDEEEMFLPFEKMKKWLERKPRGFGEGKVYDTSIEDKLFEEIQQSREAQLVNVNKLKNNPVSSVFKKDDQQEKQAKDVPSGIRVRVVNLPKKKNIHRDLKAAFEGVSGIINISPAVSGNKKTRDPVCKGFAFVEFKHEADATRFLQNFSGNSIMFGKIQKQIKCEMVNSLSPGCEESRDNNSRPPKVAVSGLIGSPNVDLDVKKSLSDLSLEDISDEFDDHDDEFDMEGLGEDENNHAIGDDQDDEFDMEGLGEDGNNLNAIGDDQDDEFDTIELGEDRNNLYAIGDDQDDEFDTVELGEDRNNLNAIGDDHDDEFDTVEQGEDTINLNAIGDDQDDEFDTVELVEDRNNPNAIGESESNNGNDMEQMPKHPADSITSSRLERIRALEQRLRAKGKQQKFPKEQKDQKLERIRGVEKKPPSKGKQQKIPKEQKAQKLVVPGSAKRLKIKEKALLTDVFSNAALQSTSGRQWKAPFFSDSSRLTEFLLTRFRAQCYSSRKSSGSKKVSKKSDSEQVMDPEKDAFFLVRKGDIVGVYKSFAECQAQVGSSICDPPVSVFKGYSLTKDTEEYLASSGLKNALYTIKAADVKEDLFGTLTPCTFLEPASSKGETSHTDAAKRRSQDAVGLAALNSAAVADPPRKHAKLDPHAEAQIASSDHRSCILEFDGASKGNPGPAGAGAVLKTDAGNLICKLREGLGTATNNAAEYRALILGLNHAIRKGYTSIRVRGDSKLVCMQLRGLWKVKHEQMSEFYKQAKKLKSSFLSFQIEHVLREFNKDADAEANLAIELADGQIQEVLA >OMO57331 pep supercontig:CCACVL1_1.0:contig14403:17151:23738:1 gene:CCACVL1_25830 transcript:OMO57331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLQHTAGEEIAQLALSTLQRGR >OMO57333 pep supercontig:CCACVL1_1.0:contig14403:33274:34844:1 gene:CCACVL1_25832 transcript:OMO57333 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiol-disulfide oxidoreductase DCC protein MAMLLMKRIAKVTKPSAKPCPPFSWSFSHSFTHRSLSSFPTKADVLAGTAADVADISTQDDLVYSDPPASTTVNPVLPNLLQPRVVIFDGVCHLCHGGVKWVIKADKHRKIKFCCLQSKAAEPYLSICEVNREDVLRRFVFIEGLGVYHQGSTAALRVLSYLPLPYSALSAFLIIPTPLRDAVYDYVAKRRYNWFGKSEDCLVLQDKELLERFIDREELMDRSRPNL >OMO57330 pep supercontig:CCACVL1_1.0:contig14403:15290:16743:1 gene:CCACVL1_25829 transcript:OMO57330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEIKKQYATFDEKVIGIVPLTSC >OMO58777 pep supercontig:CCACVL1_1.0:contig14163:29808:33775:1 gene:CCACVL1_25360 transcript:OMO58777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSPPNQRRIGEDGVVRGGNEMGCER >OMO58776 pep supercontig:CCACVL1_1.0:contig14163:28521:28643:1 gene:CCACVL1_25359 transcript:OMO58776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGVVIHKCRILGEEKLLIRVSWKQVERRVWGDSVKWCS >OMO58775 pep supercontig:CCACVL1_1.0:contig14163:23880:25072:1 gene:CCACVL1_25358 transcript:OMO58775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MAMERCFQLSSVCTTRASVLQSYHHFSSVDKVHLPTFRGLNKPSLSFTSSSSSSAFSNSSFSGRYQKSRLICKAREAVDEVEVVTEASWAELVVGSATPVLVEFWAPWCGPCRMIEPVIAELAKEYAGKIACYKLNTDDSPNIATQYGIRSIPTMLFFKDGEKKESVIGAVPKSTLSTTIDKYVDS >OMO58778 pep supercontig:CCACVL1_1.0:contig14163:65894:66028:1 gene:CCACVL1_25361 transcript:OMO58778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDHHAGAPANLRWNRECAKSCSSPSPSQIRPWFSPKHPLTLQI >OMO63507 pep supercontig:CCACVL1_1.0:contig12955:2811:2873:1 gene:CCACVL1_22397 transcript:OMO63507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILDKFFNFAARILGKLPDKN >OMO49419 pep supercontig:CCACVL1_1.0:contig16510:39724:39822:1 gene:CCACVL1_31014 transcript:OMO49419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTGYKQNISSLSNTILPFPFYAAPQNPFDP >OMO49418 pep supercontig:CCACVL1_1.0:contig16510:25018:25491:-1 gene:CCACVL1_31013 transcript:OMO49418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLNNLFLLILFLLATAIMRVPAHKGEMVGDIETDPVVEDKFAFPPGTEGDEGFDDEPELSPKQLKYLQDCAKKMTPECAKEVFAYMFEDMVVTDQCCELLIKMGEPCHLGLVKTIMVIPEYKANASYAIPRSKQVWNKCAALVGAHSPSPIPLEI >OMO49417 pep supercontig:CCACVL1_1.0:contig16510:5285:15586:-1 gene:CCACVL1_31012 transcript:OMO49417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTRVYKKAANLQGLQLVISIKINSPPSTIIYMATGNSPITKI >OMO49416 pep supercontig:CCACVL1_1.0:contig16510:689:4293:-1 gene:CCACVL1_31011 transcript:OMO49416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQESVSLFLGQIETSVQVQIQTSSIKHPKKRSISFFHS >OMP07268 pep supercontig:CCACVL1_1.0:contig04562:253:384:1 gene:CCACVL1_01340 transcript:OMP07268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGRKQFKRKALWFAKSKPIQNSTKVPKTRITSVLNERKTYTVD >OMP05003 pep supercontig:CCACVL1_1.0:contig05549:32028:33267:-1 gene:CCACVL1_02076 transcript:OMP05003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar (H+)-ATPase G subunit MESSRGQNGIQQLLAAEQEAQHIVNTARNAKMARLKQAKEEAEKEIAEYRAQVEYEFQKKLAESSGDSGANVKRLELETDAKINHLKNEAARISHDVVHMLLKHVTTVRN >OMP05002 pep supercontig:CCACVL1_1.0:contig05549:16373:16537:1 gene:CCACVL1_02075 transcript:OMP05002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASTIAQAHHLLSRPGDYNTGPTASIASQTGWQHGAAVRPPPMSPESRKPRFQ >OMP10841 pep supercontig:CCACVL1_1.0:contig01870:958:1146:1 gene:CCACVL1_00774 transcript:OMP10841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DHSKSSDLPRYPFTHGDKLRLTKSFIFQFPSPNFRHSIEHLLVGCGVSPGPPNKRTKTTKMD >OMO99156 pep supercontig:CCACVL1_1.0:contig06945:20460:23988:-1 gene:CCACVL1_03902 transcript:OMO99156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cc-nbs-lrr resistance protein MHAQQGFPLCWPKLKTLEIIDCPQLMQVNVAKERGEEDIVLPQLEVLTLKCCPLLTRFLIKQGVPLKLHLEDVGVLSAFKEYISNAKLNLTLGRQTIHKKNLVPNVDSRGLNELNFLKLEDCEELECLVDTRSNEGGHVSTTVALSNLVELVIRTMPGLRMLCKGQCPKQFLQKLDKLEIENCREMTSLLISPEVRVTDSGNFISLQSLRVLKISNCGRLKYLFSPSIAQSLVLLEQLYIDHCDALQHIILTGLENDGHHHPPLKQVFNVAQGIDCAIVLPQLKHLYLRWLSNLSSFCSENYLILLPSLKLLRVWACPRLIDFTIHKQVNEQAQLKEMYLGDLGNDIVCDTINFPSTPSTSSVNWECITVANCEKIFQIRASGAAHFLSRVKGIGLDNIHHLQGPIQIPALQYLKDLHVSDCNRLKCLFSAVLARNLPQLSELRITDCEELEEIFEMDQTLASSSSSHAHLHPISLPSLQCIEIYGCNKLTRLFPLSVIHSAASKLQSIKIYWASKVEIILWHYGELDHDINEITLPQLEQFRLFELPSLKTLNPTGYHLLLPTLEDLEVRGCPQLTTCFVRDNSQRVWHTKTQTPQEGGSDNQPTCNDVYWCEATFSSEATSGLKKNWVILLAMSMEDLIKLQLHHQLEQDALLL >OMO65960 pep supercontig:CCACVL1_1.0:contig12599:49627:65043:-1 gene:CCACVL1_21330 transcript:OMO65960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQTHMKTLITQDSKKSKKVAELADRINGIVWIDFGRPIFKQHRLGFPIGASSKIPSQKGLSHHFTGPMIKTRPSFNKQEISRWGMAFFDLHINFQVVENFYDLLGISESGSLSEIKQAYKQLVRKYHPDVSPPERIEEHTQRFIQVQEAYETLSDPKTRALYDTDMAKGFNLAFSAGNRYRHVNQDLDENRAWKIRWQAQLIELERRSMNKKNCKEKMSWAARIRMQAKG >OMO65957 pep supercontig:CCACVL1_1.0:contig12599:3595:3660:1 gene:CCACVL1_21327 transcript:OMO65957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKEEELKLKKCRNVCLLL >OMO65961 pep supercontig:CCACVL1_1.0:contig12599:106706:106783:1 gene:CCACVL1_21331 transcript:OMO65961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFDEAIAPKWRHLMKQATQNGGV >OMO65956 pep supercontig:CCACVL1_1.0:contig12599:824:2975:1 gene:CCACVL1_21326 transcript:OMO65956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFLIQMKERDQRRGFNMSTSSAKKVAAAWLQYFESKEFEHQEAARNGTKKADASIASKGHLR >OMO65958 pep supercontig:CCACVL1_1.0:contig12599:10477:20234:1 gene:CCACVL1_21328 transcript:OMO65958 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc transporter 4, chloroplastic-like protein MEEVKQNLYVLPLRLLEESSGESSSSSNPVDAARAWLEFERASFLEARARLKFERASFLDSNYSKKQQAKKKRKN >OMO65959 pep supercontig:CCACVL1_1.0:contig12599:20773:21335:1 gene:CCACVL1_21329 transcript:OMO65959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYASFFMLAASPNHLRLQSKFAESVAPELARRVGPKGPAFPTSPLSEAALQYYMDFIK >OMO54168 pep supercontig:CCACVL1_1.0:contig15045:1497:9429:1 gene:CCACVL1_28002 transcript:OMO54168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MDSLVSPVLDIVTRLCVCSSKLIASIYSLEDNLQRLREQRDKLNRNRNDLWRRIEDAERGPRTRRTEEVEAWLTSAERMLSQTDVIMEQGNRQLQNRCLGSCCPKSMLSTYKIGKQIVNKLESTSRLIDASVGFYSNPGAIAVRSPRLRLLMPELLVENTVGLDPTVERVWRCIEDVYTRFIRVRGIGGVGKSTLLKKVSNEFHNRDHDFDFVIWAKPKVSRQEDYIEKVQDAIRKKLDISDAIWNECSSEEEKGAQIFSVLKDKKFVVMLDDVWERFDLLRLGIHLHINRNWSKVIYTTRSVDLCDAMGAAQETLEVQCLPQEQASTLFRMTVGENVLNSDQQLSELAEIIAARCGGLPLALLAVGRAMASRRSPGEWRHAMELLQSNPSEIEGMGSHVFPLLKFSYDSLNNTTAQDCFRYCSMFPKDCNIRIDELIDLWIGEGFLDGSKPRDQAEFIIGTLKLAYLLESDESKQYVRMHDIVHDMALWLARDQGKNKNKVLVTKGGTITFEELTKWEEANWISMLGSRSKAEIDHSPACNHLSTLLLRDTLLESFPHGFFDSMPALRVLDLSHNQALVELPANMGNVRTLQYLNLSSTGILELPATFTNLRNLRCLLLDYTTNLKRIPKEVISSLLLLQVYSKINGLFEATTTALGDDDEVAFLKVLESLNHINKIGITIYAAPSVNKILNSYTLRSCIRRLTLVECKGLITLCFTQELGNLESLQIFGCCLLKEFRLSECCKLGNLRQVCIGVCPLLLNLNFFAYAKNLESLTIFDCESLMAVTSKMVAFPGLKTISLTRLQNLEIICPSPRCFPSLSDIEVSQCSSLRQLPFDSEIANFLQKIRGETEWWDGLIWDDDTVKDACQLKFLSISCGPLQRKKDQASIGASDQEWTRQRKILAPEFFIDKVKGMTTIMAESALIVVKSWNDKIEAEGGVAFAELKIDNDLGSFAGDVISRACFEINYEEGEQIFMEIKALKDIMAKNIFLRWIPGFRPSLQQNDSFFGQGILGSSGEQWARQRKILAPEFFSEKVKGMTKLMVESALMVVKSWNDKIDNESGVTELKIDDYMRSFSGDVISRACFGSNYEEGEQIFMKIRDQKSIMAKTIFLQWIPGIRHLPIKSNREMWRLEKEFRALMLKVIKERKETITPNSDKDLLQIILESAESSDLGQEAKNRFILDNCKNLYLAGFDTTAISATWTLMLLALYPEWQDKVRAEVLQICAGELPDSDMLRKMKILTMVIYESLRLYSPGSIVPREAVKDLEFGGMQVPKGVNVWIMMVTLHQDPNLWGPDVDKFNPERFSNGVSGACKLPQAYMPFGVGPHTCLGQHFAIAELKILLTILLSNFRFTLSPKYRHSPIMNLIIEPQYGVDLFVRKL >OMO72657 pep supercontig:CCACVL1_1.0:contig11425:9609:11901:1 gene:CCACVL1_17674 transcript:OMO72657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLYLAYVCPFAQRVWITRNYKGLQDRIKLVPLNLQNRPAWYKEKVNPENKVPALEHNGKIIVESLDLIKYIDSNFEGPSLLPDDPEKKKFFEELASYVDKFLGTVFTSFKGDTTKSGPAFDYLENALHKFDGPFLLGEFSLADIAYITFVERFQIFLSEVFQYDVVAGRPKLAAWIEEANKIDAYRQTKKLDPKELVETYTQRFLGQK >OMO72656 pep supercontig:CCACVL1_1.0:contig11425:2594:4329:1 gene:CCACVL1_17673 transcript:OMO72656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLQDKIKLVPLNLPNRPAWYKEKVYPENKVPALEHNGKIIGESLDLIKYVDSNFEGPSLLPDDPEKKKFFEELLSFVDKFVGTVFTSFKGSDHPKAAVDPVFDHLENALHKFDGPFFLGEFSLADIAYIPFIERFQIFLSEVFQYDITAGRPKLAAWIEEVNKLDPYKQTKVTDPKELVAFYKQRFLGQK >OMO72658 pep supercontig:CCACVL1_1.0:contig11425:13214:18633:1 gene:CCACVL1_17675 transcript:OMO72658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNLNFLHTLEPKRDAYGFAVRPQHVQAYRQYVNIYKEEEEERSVSWKIFLEQHAQPTEPCFSKEEDSKASQAEATELKEKALTGVENKEETDSEKENKVTLQAEGDQFNEKAASEEEGKEAFQGEATQLNERVASQDEEALHTEATELIEEVALERSEGGGTSSTKLDSAGLPQSETEKPDTAHSTESSEREKEVQLAEETKKLRVERWAKTRPSLCFIENMMNSRVKNVKNMKNMSMNMNESGNHLPSIKESTSPEGESEDEFEEKVCVNEMSAREESDAGNRASQESFSAWKEELESLVHGGVPKDLRGEVWQAFVGVKARRVEGYYEDMLSREANDDDDQRSNPSGVFSKWRKQIEKDLPRTFPGHPALNDNGRDSLRRVLLAYARHNPSVGYCQAMNFFAGLFLLLMPEENAFWALVGIIDDYFDGYYTEEMIESQVDQLVFEDLMRERFPKLVNHLDYLGVQVTWLAGPWFLSIFVNMLPWESVLRIWDVILFEGNRVMLFRTALALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFLAVTDARLHELRDKHRPSVVEIVEERNKQGRVWKDSKGLASKLYSFKQDRGPVSEETKPAEHLADENASELEHRSSNLDELLCSLNADSEVDSLPDLQEQVDWMKVELCRLLEEKRAAVLRAEELETALVEIVKEDNRRQLSAKIEQLEQEIADLQQALADRKEQEAAVFKVLMRLEQEQRITEEARRHAEQEAAAQRYAVSVLK >OMO88016 pep supercontig:CCACVL1_1.0:contig09127:12774:12878:1 gene:CCACVL1_08590 transcript:OMO88016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALSSIMNPIPFLMNRGINSIAPSLFNRSSFSDLH >OMP00118 pep supercontig:CCACVL1_1.0:contig06724:3296:4873:1 gene:CCACVL1_03462 transcript:OMP00118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein IKPRYSFKRKEIQPGVFQTVDIDFPNTTESYKLYSKSVIASDIKECVCRAPGTPYDESAYSNIPMTPYELPDGQSIAIGADRFKIPDVLFNPSFGS >OMO56814 pep supercontig:CCACVL1_1.0:contig14477:21750:24204:1 gene:CCACVL1_26253 transcript:OMO56814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELTRENVVTMKKEGNLEEKGDSKRKETWQYKNKPRREGGCNCVSQEKRHVEQKGLGKSKPQNNPTRDGGSTP >OMO56811 pep supercontig:CCACVL1_1.0:contig14477:11129:11512:-1 gene:CCACVL1_26250 transcript:OMO56811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACASSTSNSKSGKAASIKTRTSAKVVHIDGRVQEFRQPIQAKNIISQNPNCFLCSSESMSIGTCVPRVPDDEELQPGQVYFLLPLSQSDKPLSLPELCSLAIKASSGLGKFSVDLSSSSSRLILR >OMO56809 pep supercontig:CCACVL1_1.0:contig14477:2386:7272:1 gene:CCACVL1_26248 transcript:OMO56809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQGSGESKMLGLRVTSSKHKRSKSFPDKKRDGEDGLDNSLEASNRIKLDMRYLKDSVKTQKNRSATPSTEVQNSLKQEILQLEKRLEDQFEVRRALETALGYRTSTHEDRNETSVSISKPATELIKEIAVLELEVVYLEQYLLSLYRKAFDQQVSSVSPSKRDEKLKSPVDTPRGRFPEVLKPDESSKVETSAVQSGYHDDSWKEPSGIGEDKLLDSGVHRCHSTLSQRSAFTSRTSPQNEAMARAVRACHSQPLSMMEYAQNASTIISLAEHLGTRISDHVPETPNKLSEDMIKCMSAIYCKLADPPLIQNGFSSPISSMSSASAFSPQDQHDMWSPGFRNNSSFDVRLDNPFHVEGLKEFSGPYSTMVEVPWIFRDSQKLGDVEHLLQNFRSLICRLEDVDPRKLKHEEKLAFWINVHNALVMHAFLAYGIPQNNVKRLFLLLRAAYNIGGHTISADTIQSSILGCRMSRPGQWLRLLLPSRAKFKSGDERQAYAIDHPEPLLHFALCSGNHSDPAVRAYTPKRIFHELETAKEEYIRATFGVRKDHKILLPKIVESYAKDSSLCPAGVIEMIQQSLPESLRRSIRKCQIGKSRKSIEWIPHNFTFRSSSSPMNGPDQEQAPGLYGERRTGLNY >OMO56812 pep supercontig:CCACVL1_1.0:contig14477:14352:14495:1 gene:CCACVL1_26251 transcript:OMO56812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSERKRQVGIWETAGSISNRAGGSAIKVVEAMNITIGNSNPRQEK >OMO56813 pep supercontig:CCACVL1_1.0:contig14477:19171:19659:-1 gene:CCACVL1_26252 transcript:OMO56813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICSSCESTHVATAKLILQDGRLQEFPYPVKASYVLQKNPMCFICNSDEMDFDDVVSAIHEDEELQPGQLYFALPLSWLKHPLQAEEMAALAVKASSALMKSGGGDKCGCKRSKTVAPLVFSTESPRRKVASAAVGGGGEKRGRGGKGRRKFKAMLSAIPE >OMO56810 pep supercontig:CCACVL1_1.0:contig14477:8522:9070:-1 gene:CCACVL1_26249 transcript:OMO56810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allergen V5/Tpx-1-related protein MASALVTVLVLAIFCHNVAEGYFPPAARLGNGAQAAPLLPAAAREFLEAHNQARAAVGVGPLKWSEQLANATSLLARFQRNNMNCQFANLTNHKYGANQLWSTGGAVTPRMAVDTWVREKNFYNYAANSCAPNHRCGVYTQVVWKNSSELGCAQATCKGPNLNTLTICFYNPPGNYVGERPY >OMP01011 pep supercontig:CCACVL1_1.0:contig06562:862:11843:1 gene:CCACVL1_03195 transcript:OMP01011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFELTHPRNSETDLVGPLSVLTRLGSVLGPKIYSSVLEWNRPE >OMP01012 pep supercontig:CCACVL1_1.0:contig06562:16220:16336:1 gene:CCACVL1_03196 transcript:OMP01012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKRDYGEQSSNQNKEDEHKCNDNGDPRQRQRPSLEN >OMP01013 pep supercontig:CCACVL1_1.0:contig06562:28383:32081:1 gene:CCACVL1_03197 transcript:OMP01013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MDSNYLVIKVKYGDTLRRFNAHYNDDEQLDLDMAGLRAKIIGLFNFSADADFTMTYIDEDEDVVTLVDDDDLRDVMKQDIKFLKINVQLNNVKSSRPSTGSSGSSMPLRSSSAQSPLPSVDDLLNSVPEPLRDALNEVFSTLSLGVASKAASASPVLGDLVECLAKMGQSYMSPASQSGPGADSNIPVRSSESPSAPSAPTVPIHSKDGGLRVVLPKMAAKNSTCKPSKEANTGNVEKGAGVPAAVDLNVDPPADTNLFGSATMTSGASAPNVPAHNDKKNTKESNGHNKGKSVSFETSTPLVDTSRNYYSMSECPFSGVPVANNAPVPPCPYNPGKRSFASTGNVTLTDGNVMFGTFHKGIQCDGCGVLPITGPRFKSKVKDDYDLCSICFSKMGNEADYIRMDRPVHCRPWGFRASNDHIPRVGGTALPHILRNRVMKTSRKLDSRFVLDVNVLDGTAMAPSTPFTKIWRMHNNGTLPWPRGTQLVWIGGDKFSDTTSVDLEIPADDLVRVDGELDIAVDFTAPQLPGRYVSYWRMATQSGIKFGQRVWVLIHVDPSLKVVSDNIQGLNLNLPPESSGPRDSEIVDMNVDLVSELCNSIKDPGPVKPMVNELPKKDQLGYNVPTSSPPSSSISYINDQIVHIPVSQPPRLSPSATYPSIDQGVSGPAYPQAPSSAVSYINDQIVHVPVSRPPRSSPTATYPSIDQGVSAPAYPQAPSSSVSYPIIDLSEEAPAGPPQAPPHVIWLQASAPAVTQETSPAIGVQAPIPATSVQVPSPATSVQGQSQEDRENDAVEQTLLKELEEMGFKQVDLNKEILRMNEYDLEKSVDDLCGVAEWDPILEELQEMGFCDAETNKKLLKKNNGSIKAVVMDLLSGDRA >OMP11528 pep supercontig:CCACVL1_1.0:contig01200:1364:1564:-1 gene:CCACVL1_00466 transcript:OMP11528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclases/protein prenyltransferase alpha-alpha toroid MWRLRNGEAENDPYLFSTNNFLGRLVWEFDRNAGNEEEKAEVEEARQNFYQNRFKYKPSADLLWRIQ >OMO90791 pep supercontig:CCACVL1_1.0:contig08426:4795:9953:-1 gene:CCACVL1_07289 transcript:OMO90791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAKDEDENILCPRFQVMDQDILHLSLSLRILSNLVAAGALHSGGILDEIQCELLNFTAILVGMKSSDVIELVAKSFAVIRMLLAEDNRSDVANSYFKHWVVLVEIFSQVVSHIEDSSACEACRAIWSLMDALDILFMKENSNLFPLDALRSHSLVRLDIRDDARGLLAGTESAKVVDAVTRAFVRSKAVQFAIAHCLHQRVEPALSAAIQILSRCCLHNGIIPTVLCGLPNSLPVTTVVSGGADGTIVSELFSILSLCSSLNKDGQPETADLKCKISNPSALTQHSCLLLATIAQLLKSTGRNSALFMLTTSPQEQLCRLSVLAHHVSCNDTTVTSLQPHSASAMLTLASILSLEGVLSVESSIPEIAMPLIPPTSTLCDHLKILLDSENEVGSRNLKVFLSYWHGLRDGCVGLLESKLRWGGPLAVQQLIASGTPQLLIQLLATNHSNTSHQGFDSLIDGVSLSPVGVVWAVSSICHCLSECSCLPLATAFVNSGFILNMGSPGGRVCMEDKDMVKAIEEDMGKYIKILLEVGVTGIVLGCLEQLESKDLGRAVAFLAKMIGHRPLAVQLVGKGLLDPNRMRRYNMIGLLIDRCADPDKRTRKFACFATGNGNAAYHNDMLYEELKRSIPQLSKLLLSAEDQDKTKANAAGALSNLVRNSNKLCEEIISKGAMQALLKLVADCSVVALKPTKINESPLKIALLSLANMCTSTMSTVPPFIRVVPSDWTASAIPRIKHCKTCLSYCQQSYKPEGEKQLIRSFHFLLISPT >OMO90790 pep supercontig:CCACVL1_1.0:contig08426:15:3258:1 gene:CCACVL1_07288 transcript:OMO90790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVYRPNRQPRKQSALIGSVVRGGSGGASKSESWRSSIDRLLINTAIYSCKRGWGNEENNIFLHNESALMWADFQLSSLRALLASFLAPARVRPPYLAQGLELFRKGWLGLRHFGLKGIVQFLVRVISGKQEAGTKLAGFCSYALLALEVLIHPRAVPLDDFPSTYRTSADGADHISLETIYSGGKKHDNMIFNSKQGTKQGALSSNDDDLHDWLLENENENENENIPFQNMKDKVSGFNLAEEPRINDSSFTDILEVSKQKAPADEDVVMRSKDEMIAQPLYSQESLPQSREILSAKDISSPAVPRKPEDTKIESEKVLSAGDVVTHTNHDTPSLDEVMADKGNVSYNIHGSTSQVFSNAEKGNSSMARVYSDSSMDSFPSIVDADPDIESD >OMO90792 pep supercontig:CCACVL1_1.0:contig08426:10971:17579:1 gene:CCACVL1_07290 transcript:OMO90792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRRSSAHLISIDASTEAEC >OMP11287 pep supercontig:CCACVL1_1.0:contig01413:693:758:1 gene:CCACVL1_00583 transcript:OMP11287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNDNYLNTFVSPEKPKGQQ >OMO82744 pep supercontig:CCACVL1_1.0:contig09979:1502:2141:1 gene:CCACVL1_11778 transcript:OMO82744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVSFQVFQDMNLMKKIDGNNNIEEAWCNNNLGSENSIESSFEDSENSISSSSSISSSSDLVEDASSSSSSSNGPLYELSEIMDQLPIKRGLSKHYQGKSQSFTSLESVKSLEDLPKKVIPFKGKMKSCKSFGWGLVDAQKQSSYSPKATISKKGSRGCFMSSIGKRSLSTLVITE >OMO82746 pep supercontig:CCACVL1_1.0:contig09979:10467:10697:1 gene:CCACVL1_11780 transcript:OMO82746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAGKSGKGNGKPSVEVKKDRKSGTGMNGSPKKGGHGGKFTWAGDGFSPAEIGFEKEVVDVKDPNFEDPDEIVTV >OMO82745 pep supercontig:CCACVL1_1.0:contig09979:2921:8343:-1 gene:CCACVL1_11779 transcript:OMO82745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine-tRNA ligase, class Ia MLAATLTLIASPASASPLLSFNRLSHSPSAVSAADLLRLSSRRLAWATKTQSVTTMANQEDEKIGSVKNQLAELFGASLRVTVPDEADVEPLVAACNNNFGDYQCNNAMSLWSKIKAKKPPFRGPPKLGEAIKDNLPASEMIESVSVAGAGFVNVVLSKNWMAKSIQKMLIEGIDTWAPKRPVKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSNVEVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDATETAIGDLQAFYKASKERFDSDPAFKERAQQAVVRLQGGEVRYRQAWAQICEISRNEFYKVYQRLGIHLEEKGESFYNPYIPGVIQALTEMGLVVESEGARVINVEGHNIPLIVVKSDGGFNYASTDLTALWYRLNEEKAEWIIYVTDVGQQQHFDMFFKAAKRAGWLPEDDSSYPKTSHVGFGLVLGEDGKRFRTRSSEVVRLVDLLDEAKTQSKEELMKRGKDKEWTEEEIESTAEAVGYGAVKYADLKNNRLTNYTFSFKQMLDDKGNTAVYLQYAHARICSIIRRSGKDIEELKKTGAVELGNDYERDLALHLLRFAEVVEEACTNLLPNVLCDYVFNLSEIFSKFYDKCKVVGTDEETSRLLLCEASGVVMRKCFDLLGITPVHRL >OMO61236 pep supercontig:CCACVL1_1.0:contig13557:127148:132648:1 gene:CCACVL1_23667 transcript:OMO61236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLARNCRPQGGTFQDDYRYPLNRNNYNNNTSNNYRNRNWNWNCNPNRNVNHSYEFMGQFGEHNRGYHNVQPNSAPSLKRRKFSAATWGDSGRHYPPPNMHYMAAPPDSSNLVPHIRSNAETSTSVSSKRDRSQLEDDDPVFMSRDEIERYSPSRRDGIDALRETHLRYSYCAFLQNLGLQLDLPQTTIGTAMVLCHRFFVRRSHACHDRFLIATAALFLAAKSEETPRPLNNVLRTSSEIFHKQDIAFLSYILPVDWFEQYRERVIEAEQMILTTLNFELNVQHPYDPLTSILNKLGLSQTFLVNLALNLVSEGHGAEILAKYSTWIGAISWLRSSLWLQFKPHHIAAGAAYLAAKFLNYDLASYHNIWQEFQTTPAILQDVSQQLMELF >OMO61238 pep supercontig:CCACVL1_1.0:contig13557:145648:152690:1 gene:CCACVL1_23669 transcript:OMO61238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core METARLVWSRLPHSEDGELDGVGILSSTSNKNSVESLDYEVIENYAYREEQAQRGKLYYGYNVAVKWFFALLIGIGTGLAAVFINISVENFAGWKFELTFNIIQKSYLAGCLVYILINLALVFSSVYIITHFAPAAAGSGIPEIKGYLNGIDVPGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSSKWLQAFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRAAMGWCKSGNCGHFGAGGFIIWDISGGQEDYSFEELLPMAVIGIIGGLLGALFNQLTIYVTRWRRNYLHKKGNKVKIYEACLISIITSIISFGLPLLRKCTPCPDSAPGSEIECPRAPGNDGNYVNFYCSKDNEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAQSLLTFLVMFYTLAVVTFGSAVPAGQFVPGIMIGSTYGRLVGIFTVNHYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRNIPLLESRPKYEMRTMTAREACGNQKVLSLPRVVKVADVVSILRSNKHNGFPVIDHTRSGEPLVIGLMLRSHLLVLLQSKIDFQHSPLPCDPRGGSRAIRPNFSEFAKPVSSKGLSIYDIHLSSDDLEMYIDLAPFLNPSPYVVPEDMSLTKVYNLFRQLGLRHIFVVPRASRVIGVITRKDLLIEEDEDSSTMELQSTSVRAQRHERRLSIGNPDAERPLLNGLLLQDQNHTN >OMO61229 pep supercontig:CCACVL1_1.0:contig13557:62504:64174:-1 gene:CCACVL1_23660 transcript:OMO61229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, ribonuclease inhibitor subtype MDSTANSQRRPFSIKLWPPSESTRQVLVQRLTNNLTSNSIFTQKYGALNKEDAEENAKKIEDVAFNTANEQYDREPDGDGGAAVQLYAKECSKLLLEVLKRGPTPKEEKKEKEEEEEEKKEEKKEEKKELVSEKDISCETLFDISKGLRSFIEAEEAESLLRPLKEPGNSYTKICFSNRSFGIGAARVAEPILASLKNQLKEVDLSDFIAGRPEEEALDVMNLFSAALEGSVLRYLNLSDNALGEKGVRAFGALLKSQNCLEELYLINDGISEEAARAVSELVPSTDKLRVLHFHNNMTGDEGAIAISEVVKRSPLLEDFRCSSTRVGSEGGVALSVALESCTHLKKLDLRDNMFGVEAGVPLSNALSKFVDLVEVYLSYLNLEDEGAIAIANALKESAPSLQVLDMAGNDITTDAAPSIAACIAAKQQLTKLNLSENELKDEGTVQVSKALEEGHEHLKEVDMSTNFIRRAGARHLAQVVIQKPEFTLLNINGNIISDDGIDEVKEIFKKCPDKLGSLDENDPEGADDDEESGEGEDNDDELESKMKNLEVSEEE >OMO61234 pep supercontig:CCACVL1_1.0:contig13557:108669:111035:-1 gene:CCACVL1_23665 transcript:OMO61234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPEFVRTVANRYASQLKLCCPPNPASFSLAKTIHAHMVTFGFRPRGHILNRLLDVYCKSSKIIYARKLFDKSPEPDIISRTSMVLAYSLSGNIKKARELFEGTPLSIRDSVFYNAMITGFSRNEDGFACFRLFRQMLRDDFRPDNFTFTCVLGGLAIIVDREMQCRQMHCAVLKSGTGFVTSVLNALVSVYVNCGLMFEARKLFDEINEKDELSWTTMVTGYVKNDELDAARELVDGMTEKLGVAWNAMISGYVHQGRYEEALDMFRKMYSMGIKMDEFTYTSIISCCTHAGLFQLGKQVHGYVLRTEGEPKPEFSLPVNNALVTLYWKCDKVDWAREVFNNMPVRDLVSWNAILSGYVNAGRINEARSFFMEMPEKNHLTWNVMISGSAQNGFGEEGLKLFNQMKSEGFEPCDYAFAGAITSSSMLGSLENGRQLHAQVVRVGFDSSLSVGNALITMYARCGVVEAANALFLTMPCVDSVSWNAMIAALGQHGHGLQALELFEKMLKEDLLPDRITFLTVLSACSHAGLVKEGQYYFNSMHRLYGITPGEDHYARLVDLLSRAGKFSEAKDVITSMPFEPGAQVWEALLAGCRTHGNIDFGIQAAERLIELMPQHDGSYVLLSNMYATAGRWDDMAKTRKLMRDRGVRKDPGRSWVAVENKVHVFLVDDTVHPEVQAVYSYLSQLVQEMKKLGYVPDTKFVLHDMEFDQKERILSAHSEKLAVAFALMKLPRGATVRVFKNLRICGDCHNAFKFMSKVVGREIVVRDAKRFHHFRDCECSCGDYW >OMO61224 pep supercontig:CCACVL1_1.0:contig13557:1518:5383:1 gene:CCACVL1_23655 transcript:OMO61224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDFVGSVRRSFVFRSSSSRDDVGGGLGGFVEKIGESIRKSRIGLFAKPPAPPALPPIKKTDAPPIRWRKGELIGSGAFGRVYMGMNLDSGELLAVKQVLIAANASKEKTQAHIRELEEEVKLLQNLSHPNIVRYLGTAREDDSLNILLEFVPGGSISSLLGKFGAFPEAVVRMYTKQLLLGLEYLHKNRIVHRDIKGANILVDNKGCIKLADFGASKKVVELATINGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQFQEVAALFHIGTTKSHPPIPEHLSFEAKDFLLKCLQKEPGFRPSASELLQHPFVTGNYQESHTVFRSSIMEPGNLVRTSGINLRSSINSEIRSTCTGLKDVCEMGSVRCSAVYPGKLPESGSYWRASSCDDDMCQIDDKDDLDFGASVKFKSLIASADLNKSFNPMSEPTEDWPCKLDGSTELRRSGVNMSLGETMEAGSPGMPGKEENAFTFPHGPPAADDDEELTESKIRAFLDEKALELKKLQSPLYEEFYNTLNGVPSTHGGTAYSENVLNLPPKSRSPKRLPSRRLSAVADATNVASPRSYLSRNASCRDRTLQEIQPPPVGEGAGFHNAQPEAISPSMSFSERQKRWKEELDQELERKRGKLFSAHYLKFI >OMO61235 pep supercontig:CCACVL1_1.0:contig13557:119570:120622:-1 gene:CCACVL1_23666 transcript:OMO61235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRREKLSAPRSQTLPPSPSHSFSSSSSSDFEFTISLSPRAKSTSNLCPADELFYKGQLLPLHLSPRLSMVRTLLLASSSTSSSSDTSSATASRDSTGSSNDSHSSFASDLVLLADCDSSRPSSVTEDDEFKRLHNGGGQIKRNKYFSLSRFSSVFKKESSKNREENMAAAAAGSNSSVRKMSTTAKEVIRKYFKKVKPLYEKLSQKQQQKMGGSIAAVSTLQSATSVPASFSIKPERSVKDIEKINTRKESNSNNGGFSHSFSGNLRYPRRKSCVSSCPSSMRSSPSHSGVLSKNGFPTTMNTGRVAGGMHFSDTSSMEELQSAIQGAIAHCKNSLLQTKPSVVSNEI >OMO61227 pep supercontig:CCACVL1_1.0:contig13557:48430:60273:1 gene:CCACVL1_23658 transcript:OMO61227 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MGKKKKRAEVETESEAETKKNESENNQTETETNLSLNGDSPKKKKKKKKAKAEEKTNSEAKADELPTVSIAIAGSIIDNAQSLELATRLASQIARAATIFRIDEVVVFDNKRNSANNGALRQNNSNEDERGAAFLVRILQYLETPQYLRKALFPKHNSLRYVGMLPPLDAPHHLRKHEWAPYREGVTLKENATSSGGTLVDVGLDKNVVVNEALEPGIRVTVAMGTDNNLNSDLPRQVVSSSKPREAAGTYWGYKVRYASNISSVFKESPYKGGYDHLIGTSEHGLIVSSSQLNLPAFRHLLIAFGGLSGLEKSVEEDHTLKGKNVRNIFDMYLNTCPHQGSRTIRTEEAILISLQYFQEPVTRALERALEHQQQKEEPCLDNLQKAKSLICALNFVSRNLPLPPDLFDVVSSICHDEQEGLGEATDDGTPGEDGSDGAGVSQIVSDDSTNSKKDDLLGDLDDALSRQRSKFMSGFGLAESKENRYQSHLHHRLNELEELPPSRGKDLQAKCLLELYGIKLAELQSKIRSQVSSEYWLQLNCAYPDKQLFDWGMARLPFTSYGIFVPYTTEADDQARKKRDYERLSRLREEEKNNLENRKKKFFSEIVNAFRDFQLQIQATLKRRKQRNDGVQAWHGRQRQRATRAEKLRFQALKSDDQEAYMRLVKESKNERLTMLLSETNKLLVNLGAAVQRQKDAKNQDGIEDLKDLDSDSPEIDALKDGTPQDSPPEEELETTDSDQNDDSSDLLEGQRQYNSAIHAIQEKVTEQPSLLQGGELRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLLENKGVTGPHLIVAPKAVLPNWINEFSTWAPSIHAVLYDGRIDERKALREEISRDANFSVLITHYDLIMRDKTFLKKIHWFYMIVDEGHRLKNHDCALARTLLSGYQIQRRLLLTGTPIQNSLQELWSLLNFLLPNIFNSVQNFEEWFNAPFADRGDVSLTDEEELLIIRRLHHVIRPFILRRKKDEVEKYLPGKSQVILKCDLSAWQKAYYQQVTEKGRVGLDNGSGKSKSLQNLTMQLRKCCNHPYLFVPHYNMWQREEIVRASGKFELLDRLLPKLHRTGHRVLLFSQMTHLMDILEIYLRLNDFMYLRLDGSTKTEERGTLLKKFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQDRKEMLEEIMRRGTRALGTDVPSEREINRLAARSDEEFRMFEQMDEARRLKENYRSRLMEEHEVPEWVYEINNDEAKAKSLENNNVELGKRKRKGGNYYSDTLSDLQFMRAVENAEDMAKKVSSSSKRKRKDHLPSEGNESVTVSNNIEEEKKVSEFKNENVLAISEGTSEDTYGSAPKRLKSNGEITEEAKYPGVEKSEHKGVGGGSWNDRIVTWNTYKKKRSSYVFPSSSSDSKQNSSGRGNGWA >OMO61231 pep supercontig:CCACVL1_1.0:contig13557:84312:89913:-1 gene:CCACVL1_23662 transcript:OMO61231 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MELQKRHDQGLQERKGQKRKLEEENQEDREISLPTGEGRRALLAEVTAQVSVLDSSFSWREADRAAAKRATHVLAELAKNEEVVNVIVEGGAVPALVKHLQPPPSDDGDRNPKPFEHEVEKGSAFALGLLAVKPEHQQLIVDSGALSHLVNLLRRHKDGSTSRAVISVIRRAADAITNLAHENSSIKTRVRIEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLAAGALQPVIGLLTSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIDMLHSPDVQLKEMSAFALGRLAQDTHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFIRVGGVQRLQDGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLMYLMRVAEKGIQRRVALALAHLCSADDQRTIFIDNNGLELLLGLLGSSNPKQQLDGAVALFKLANKATSLSPMDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMGFIYTGSVDVKLDIAQDLLRAADQYLLEGLKRLCEYTIAQDISLENVSSMYELSEAFHAISLRHTCILFILEHFDKLSSRSGHLHLIQRIIPEIRNYFAKALTKPNPHNLRL >OMO61233 pep supercontig:CCACVL1_1.0:contig13557:102747:103520:-1 gene:CCACVL1_23664 transcript:OMO61233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVHQAASRQIAEPISSPRISFSADFLDETNFISINPHSQNEEKDQKNNNDQKQMKDQKENKDHNKAAVARVAEFEFLNSSNVSSHAMLTADELFFEGKLLPFWQMQHSEKLKQISLKAKDSEEEEEEEVTKEETTRVWFVDDDPSPRPPKCTVLWKELLRLKKQRASSLSPSSSSSSTSSSSSSLADIATAEEGKEGSGNRDKHVKRIKKGLERTRSASIRIRPMINVPICTQVKSSALPPLFPLKKGRIFER >OMO61239 pep supercontig:CCACVL1_1.0:contig13557:163963:164454:-1 gene:CCACVL1_23670 transcript:OMO61239 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-binding protein MALSSMVALPSSSNPLHPGFYPGDLPGPSSVYPGGVPSTALSSMVSLPSSSNPHHPPPPMFEFYSGGHPGVYPPMGGVPSTTSSSMVVHSAINTFQDILFIMCSVWLPH >OMO61226 pep supercontig:CCACVL1_1.0:contig13557:42070:47980:1 gene:CCACVL1_23657 transcript:OMO61226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLESIVVVTLLLLMLLLIIVIILFAFKPWRFIPFSFFSSSSTRSRTIKVDDLERPLVPDNVDLARDQSHDLTRNYDLEGACYQNEALLRSPRTQGLVHKPRVLPASSHLSQGGSLILDVISDSPEDLSVGQTLRRPFVAEHIVEAQKHVKLENQIQNLKLDVDNNRLQEFVPKAINDQRSRISLEVVAGPSRGLRCSIQSTSTSRLPLTLGRVSPSDLLLKDSEVSGKHAMIHWNSNKLKWELVDMGSLNGTLLNSRPINHPDSGSRQWGDPMELASGDTITLGTTSKIYVDISSQRECLVPFGVGMTSDPMSLRRGAKKLPMEDVCYYQWPLPGVDKFGVFGICDGHGGVEAAKSASKILPEMIATILSDSVRREKVLSHHDASDILREAFAQTEACMNNYYEGCTATLLLVWADGDENFFAQCANVGDSTCLINAGGKQIKMTEEHKVSSYSERLRMEGIGEPLKDGETRLCGLNLARMLGDKFVKQQDSRFSSEPYISEVVHLNQTSGAFAILASDGFWDVVSVKKSVQLVAQMRERHSADTENLAEKIANILLNEARTLRTKDNTSIIFLDFDSRPRISCEVKS >OMO61228 pep supercontig:CCACVL1_1.0:contig13557:60862:61833:1 gene:CCACVL1_23659 transcript:OMO61228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLRGRGRLNLKLQLPNCSDECPRIIPFLPPSDTTTTAAVTAIPAAELEKLEVLGRGNGGTVYKVQHKPTSNIYALKVVHGVGDQSIYKQVLREKDILRNTDSPYIIRCYEIYEKPSGDDVALLLEYMDGGTLDTVLKNQGHFSEPEVAHITRQVLEGLHYLHSKKIMHRDIKPSNLLVNKNNMEVKIADFGVSKVSSDSLPLDPRNSYVGTCAYMSPERFDPDSNGGNYDGFLGDIWSLGVTVMELYVGHFPLLPPDQNPSWITLMCAICFGDPPSLPPGASDEFQSFLDCCLQKEPSKRWSASQLLDHPFLLKYQGIHIR >OMO61232 pep supercontig:CCACVL1_1.0:contig13557:96712:101550:1 gene:CCACVL1_23663 transcript:OMO61232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPFFFLLFLPFLSLSLSQQFPRGYLIDCGAPANSVIDGREWVPDDGFISAGTSKNLTVTVLHQTLSTVRSFPLQNNLRRKFCYVANVYRGARYLIRTTYFYGGVNGLDFPLPPVFDQIVDGTLWGVVNTTEDYQKGLSTYYEAIFEARGNTMSVCIAPNTYTKSDPFISSLEMLMLGGSLYNTTNFTTHALHLVARHSFGHSGSVIRYPDDQFDRIWEPYEENVPVIASNTTPPVSGFWNIPPSKVFEKALSTAQLEPLELRWPPGSLPNSTYYIALYFADNSDSMSLSSRMLDIHINDVKYYGNLNVTSDGAAVFATKWLLTGPTKITLSPASSSNTSPLINAGEVFNVLELKRRTHTKDVIALEQMKKSLQNPPLDWNGDPCRPVNYSWTGVTCSNSEGDTDRIRVIALNLTGMGLSGYLAPSIANLTALSGIWLGNNSLSGVIPDLSSLKQLEILHLEDNQLSGEIPSSLGDIGSLRELFLQNNNLTGRVPDNLVGRTGLTIRTTGNPFLVPPPS >OMO61237 pep supercontig:CCACVL1_1.0:contig13557:134949:142673:1 gene:CCACVL1_23668 transcript:OMO61237 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MDSSKAQRRLKAIHGHLVSSAVAVDDDSNSGVHANPTAGEFVSEQGYSVVLPEKLHTGKWNVYRSARSPLNLVTRFPDHPDIGTLHDNFVHAVDTFRDYKYLGTRIRVDGTVGEYKWMTYGEAGTARAAIGSGLIYNGIAKGSYVGLYFINRPEWIIVDHACSAYSLVSVPLYDTLGPDAVKFIVNHADVKAIFCVPQTLNSLLSYLSEIPSARLIVVVGGMDNEVPSLPSSTGVQIVTYSKLLSQGRSNLQPFCPPKPDDIATICYTSGTTGTPKGVVLTHENLISSAAGCSLGTKFYPSDVYISYLPLAHIYERGNQILIPYYGVAVGFYQGDNMKLVEDMAALRPTLFCSVPRLYNRIYAGILNAVKTPGALRERLFNAAYNSKKQALLNGKSPSPMWDRLVFKKIKARLGGRVRFMGSGASPLSPDVMDFLKICFGGRVVEGYGMTETSCVISCIDEGDTLSGHVGSPNPACEIKLVDVPEMNYTSDDQPYPRGEICVRGPIVFQGYYKDEVQTREAVDDDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAIVSVDQDALKAWAASEGIQYKDLGQLCNDPRTKAAVLADMDAVGREAQLRGFEFAKAVTLVLEPFTMENGLLTPTFKIKRPQAKEYFAKAISNMYAELASSESVQ >OMO61225 pep supercontig:CCACVL1_1.0:contig13557:27489:28526:-1 gene:CCACVL1_23656 transcript:OMO61225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIVCRQGLQSCLESQLVEPRALRLKLSSAKPHFSQPLELAIKSCFFDSNIKEVEEKCCHEEVSNKADSLHDKHTFSSPDMGGWSFLQLLANGSQSSKEVVEKESTYVHPLVKRSLSTLSEKSLELCTENLGNETGSDILEDSIFALSSSDSEGGNSPTRKQRKSSQLLGAKKANFPPPLTTISGSESLRVRPHREDGRLVIRAVKAPSVNTLFHAERSNGRLRLCLLKDSTPSFDHEEETADKESEVSSEGNKEELFENDSNSCEAEEEQEDEGEGGGGGGEEEEEEDTSAYLEEGTDGKRTDVEAEMGIKKLQRPCRGRCKGSHEHENKGLLNWEPFWVATS >OMO61240 pep supercontig:CCACVL1_1.0:contig13557:165984:166325:-1 gene:CCACVL1_23671 transcript:OMO61240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKALKFTWEAGVRILALPSSNGVEAAYNFKKSDCGNDKADGG >OMO61230 pep supercontig:CCACVL1_1.0:contig13557:72668:73102:-1 gene:CCACVL1_23661 transcript:OMO61230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S9 MAAPVESVQCFGRKKNAVAVTYCKRGRGLIKINGCPIELVEPEILRFKAYEPILLLGRQRFAGVDMRIRVKGGGHTAQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >OMO71246 pep supercontig:CCACVL1_1.0:contig11688:8362:8421:1 gene:CCACVL1_18336 transcript:OMO71246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTGSAHFSESQHTLNPDDG >OMO98616 pep supercontig:CCACVL1_1.0:contig07069:10401:10550:-1 gene:CCACVL1_04150 transcript:OMO98616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPTLSWFTSRKANEDAGSAGMRAVALLGVGISGLHSFATVASADEAEHI >OMP11708 pep supercontig:CCACVL1_1.0:contig01005:1294:3100:-1 gene:CCACVL1_00321 transcript:OMP11708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MAKDVETAEASAGEYSAKDYHDPPPAPLIDFEELTKWSFYRAVIAEFIATLLFLYVTVLTVIGYKVQTDPTKNLVDADCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLGRKVSLIRAIMYMVAQCLGAICGCGFVKAFQKHYYNHYGGGANELAAGFNKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNQDKAWDDQWIFWVGPFIGAAIAAFYHQYILRAAAIKALGSFRSNA >OMO50342 pep supercontig:CCACVL1_1.0:contig16207:23766:32230:1 gene:CCACVL1_30497 transcript:OMO50342 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate receptor, ionotropic, N-methyl D-aspartate-associated protein 1 (glutamate binding) MVSESPEAAAYARVQQGQLRPTASGDTFNIWNPTIDTNPITIVPFSQPVNTVPAPNTVPAPNTVPAPTIVPAPNTIPAPNTDFVPAVPSSAIVPLPASIPVAAPLDEPCAQPSVYADLSPAATESPSHQG >OMO70984 pep supercontig:CCACVL1_1.0:contig11759:5072:8394:-1 gene:CCACVL1_18531 transcript:OMO70984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MGLIDKQQLGYSVLDESIIKQRQEDDLTSVSTLLSVTKSESIILLRHYNWNVGEVLNQWFDGEEGIRNSLGLLKKTLVNDFERSECKEKITNCKICFESFPRQGFSSASCGHPFCFGCWGVYIGSTIKEHGRGCLSLRCPEPSCNAAVGKDMIDKLGSEEDKSRYSDYLLRSYVEDNKYIKRCPAPGCKYAINQNIDSRNLDVTCVCGHSFCWNCNQESHRPVNCEVGKLIGERMSFSWIIEHCKACPKCKKPIEKDPKGCHHMKCSPPCNFEFCWLCLVKWSNKHGGGNECRIWEKNKSLLVQLEAKRKRVMVAKLISSDRKYYWESKGTVLDVNSSGQLEREQLIKKLTNSLGIVQLHENRLKFINDAWLQIGKCRHILRCTYAYGYSIPEHENGKKQFHQYLQEEAMSMLTKLHQCADKEFKEFVDAKRTIKEFDCFTAKLIGLTRKKQNQQSITLCLVRRRELLSTDFLRYGVVDSWSQRGTLPPGISNSVHLNGAIHWLGYGNGIIGKEPRFFITAFDLTKENFFQIPIPVEVVHKYVRDFEYDLQTVGGCLCMIIRTDPYMSRSHFWVMEKYGVKESWRKISFTFAYDFLTPLYFPKNEEEEEEEALFLADGRLFLYNYRNGSQKMQRTIPAGYDMAFSIVESLVSLGMSKSREQGGISKQKRLKS >OMP07900 pep supercontig:CCACVL1_1.0:contig04138:397:549:1 gene:CCACVL1_01196 transcript:OMP07900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRDSIRMKSEFPCFQQLSMIFPRFSKKLRIRVSNFSRIVRFLVFICTG >OMO77736 pep supercontig:CCACVL1_1.0:contig10717:45700:47787:-1 gene:CCACVL1_14857 transcript:OMO77736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MGSTVIDIPDGDQTVAAAPKERKTKKFDSCCFANIINIISFLREKKNRHDIRKVIHSIKVGIALVLVSLLYLLNPLYNQVGDNAMWAIMTVVVIFEFFAGATLSKGLNRGIGTVLGGGLGCLAAAFAQAVGGIGRAIIVGISVFIFGASATYTRQVPNIKKKYDYAATIFILTFNLVVVSGLRAEEVLVLARSRLSTIVMGFAICIFISLLVFPIWASDELHDSFVSRFQDLAHSLEGFSKEYFADVTEKEKKSSANYFNSCKSILQSKPKDESLVLFARWEPWHGKFGFSYPWRKYLQIGEELRDLATIILSLKGCLKSPTQSSEALGQSIKEPCEEIVSSLAWALRELGESIKTMRNCRSEDAIVPKLKSVRLELSLIMTPSILGTNLEKADGLGLASLVYSLMEMVEKMEELAKEVEELGELGGFHKNS >OMO77731 pep supercontig:CCACVL1_1.0:contig10717:14464:18266:1 gene:CCACVL1_14852 transcript:OMO77731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVFSSEIVTPPEELVAAGNRSPSPRTSASALVKHYINSNLSSVSIQIGDQVNLAYSRQNESLLQPRISFGVKDEIFCLFEGMLGNLGSLRQEYGLAKSADEVMLVIEAYKALRDRAPYPPSHVVGHLDGNFAFVVFDKSTSTLFVASDQSGRVPLYWGITADGFVTFCDDAELLKGACGKSLASFPPGCFFSTAVGEIRSYENPKNKITAIPAAEEDMWGATFMVEGAAVLAATEFLMKLNNETVSIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVSLDHLSVRGNNIRYYILPDSINLETLLVEETPRVKPKKPTAGRPVGRGRGRGRGRGRGRGR >OMO77730 pep supercontig:CCACVL1_1.0:contig10717:10772:12091:1 gene:CCACVL1_14851 transcript:OMO77730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MASIQYFLFTSLLLYCFSPSLAQQSFRPKALVIPVSKDASTLQYVTTFNQRTPSVRINVAVDLGGRYMWVDCDQNYVSSTYRSARCGSAECSAAGSTGNGCGSCFGEPRPGCNNNTCSVTPGNPFAHLSTSGEVAADVLSLQSTDGKNPGKLVTVPKFLFGCGPTFLLPGLASGLVGVAGFGRLFKIGLPSQFAAAFSLHRKFAVCLSSSTSANGVIFFGGGPYVFLPGVDASQSLTYTPLLINPISTAPVYPLGEPSAEYFIGVKSIKVNEKAVSLNTTLLSFDSEGVGGTKISTVDPYTVLEASIFKAVTKAFIKEAAAMKITRVTGVAPFEVCFSSKNILSTRLGPAVPSIDLVLQNQNVFWRIFGANSMVQVSNDVLCLAFVNGGSNPTTSIVIGGKQIEDNLLQFDLATSRLGFSSSLLGRQTTCANFNFTSTA >OMO77737 pep supercontig:CCACVL1_1.0:contig10717:52528:55411:1 gene:CCACVL1_14858 transcript:OMO77737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPRNVKSIQTQKQGTNNLGGNHRPRLIRMAGKKVRRRRHTHSSGEWRLRGQKSCRWPKPRDITI >OMO77728 pep supercontig:CCACVL1_1.0:contig10717:3117:4430:-1 gene:CCACVL1_14849 transcript:OMO77728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MPFLFVVFLFCSFCIPSPVHAISFVAPIYKDSSTLLYSLTLYLKTPLQPTRLHLDIGASFSWVDCDTDYNSSTYQHIPCGSPLCTSVGHNLSCSNCYNPPGPSCGNDTCSLFPENSVTRKTAISAALTDSLALPTSDGSTHGPPLLVPSYIFSCSPPSLLEGLAKNVTGLAAFGRSNYSLPAQISNTFSVPRYFALCLPESTSDPGVSLVGSGGPYYFSSQKIDLSKSLIYTPLILSPVGSTVITYAGQPSDEYYLNLTSINVNGKPIQINSSLLAVDENGFGGTKLSTATPYTVLETSIYNALTDAFVNESSALNLTVTNAVKPFSVCYSAADIIVTRVGPGVPTVDLVMERDDVFWRVFGSNSMVKIAGGDGGGEVWCLGFVDGGVNPRTSVVIGGHQMEDNLLQFDLESNRLGFTSSVLLKGTACSSFNFASQP >OMO77735 pep supercontig:CCACVL1_1.0:contig10717:31826:36972:1 gene:CCACVL1_14856 transcript:OMO77735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKNTSSDFSKKSKQCNPIEPFLGILGFILATCFLVGGFFYLEYRAVLRPGVPLFGITGAPSASPLEDYEVKAAIDTAAAATTVNTNGRPGFLEEGGESCDIYDGNWVWDDDYPLYQSQDCPFVDSGFRCLENGRPDSYYTKWRWQPNACDLPRFNATLMLEKLRNRRLAFIGDSIGRNQWESMLCMLAAAVPNKDSIYEVNGSPITKHKGFLSFKFEDYNCTVEYYRSPYLVVQGTSPKGSPKGVRMTLKLNLMTWSHQQWRDADVLVFNTGHWWSYQKTINHGCYFQEGSEVKMDMDLETAFHKAIETLVHFVTTRVDPNRTQVLLRTYAPVHFRGGTWKTGGHCHQLKLPDFGPLPNKTEKLVDLVTDVLSNHPQGFEMIQMMNITPMTYRRQDGHTSLYHFGPGSGPGPLNRQDCSHWCLPGVPDSWNELIYALFLQRESSRSSTSPENSETPLMKKNNTTTQDLNTITHLDFPKKIKQFNLLEPFLAFLGFTLVACLFTACFFYLNSPAVISHGFPFVRLNAAASSSSFQAETGTNQRFGFLDEGGDGCDIYDGKWVWDDNYPLYQSPDCPFIDSGFRCSENGRPDRFYTKWRWQPKSCNLPRFNATIMLEKLRNRRIAFIGDSIGRNQWESLLCMLSSVIPNKDSIYEVNGSPITKHKGFLVFKFVDFNCTVEYYRAPFLVAQRSAPPGAPKGVKMTLRLDRMTLTRRQWADADVLVFNSGHWWTHEKTTNRGCYFQEGTQVNLKMDLATAFKKSMETLVDFVHTKVNTNKTQVLFRTYAPAHFRGGAWNNGGFCHHIKLPDFGPVPNRTEKVVDIAYDVLSKQHPGRLRVMEMMNVTPMTYTRQDGHSSLYHFGAGNESEPPGEDCSHWCLPGVPDSWNELLYAHFLKRELLHSQAPL >OMO77734 pep supercontig:CCACVL1_1.0:contig10717:23723:24844:1 gene:CCACVL1_14855 transcript:OMO77734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVASVENMASLSSDLFYDILRRLDGPSLASAACACATFCSISREEKLWENVCSAMWPSTNREDVKSLISSIGGFRKFYADCFPLIVNKEVTEYQWNHYLEYPEEWTEAEYYGDIDGLESISPLDFVSIVDIRYKDKTICSKVLWGIPNANGSNSWFYNCPFRIDLLTYAARDDDSEGEVTLSVADGLPAIISMEKERKDGKLWKELRDGLRLSWIVVNRKVKQAANLASWSPLGGQRHWPTDKDFVIRFGSVLPAKDILPCQVVECILIMKFRVMHTEGEGIETTLKLTELSMQLEDMEGAHVNGRNSLLILKEALSCRRSKNYSEVLESCHLYSKVQSELKEEKMRNESRLDRLCILSGIAAFISFWYYIL >OMO77729 pep supercontig:CCACVL1_1.0:contig10717:6256:7569:1 gene:CCACVL1_14850 transcript:OMO77729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MASIQYFLFTSLLLFCFSPSLAQQSFRPKALVIPVSKDASTLQYVTTINQRTPSVPIDVVVDLGGRYMWVDCDRNYVSSTYRPARCNSAQCSAAGANGCGDCFGGPRPGCNNNTCGVGPDNSITHLGTSGEVAEDVVSLQSTDGKNPGRVVTVPKFLFACAPTFLLQGLASGVVGMAGFGRYKIGLPSQFAAAFSFHRKFAVCLSSSTSAKGVIFFGDGPYVFLPGVDASQSLTYTPLFINPISTANSFPLGEPSAEYFIGVKSIKVNEKAVSVNTTLLSFDNSEGVGGTKISTVDPYTVLEASIFKAVTDAFVKEAAAMNITRVAAVAPFEVCFSSKNILSTRLGPAVPSIDLVLQNQNVFWRIFGANSMVQVSSDVLCLGFINGGSNPRTSIVIGGKQIEDNLLQFDQATSRLGFSSSLLGRQTTCANFNFTSTA >OMO77739 pep supercontig:CCACVL1_1.0:contig10717:67732:72301:-1 gene:CCACVL1_14860 transcript:OMO77739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xeroderma pigmentosum group D protein MKFQIEDVTVYFPYDKIYPEQYSYMIELKRALDAKGHCLLEMPTGTGKTIALLSLITSYSLSKPQTPLKLIYCTRTVHEMEKTLAELKVLYNYQVKHLGPQATILAIGLSSRKNLCVNPTVLAAENRDSVDAGCRKLTASWVRAMAAENPNVPTCEFFEKYESAASASDAMLPYGVYTLQDLRVFGKEKGWCPYFLARHMVQFAQVVVYSYQYLLDPKVAGIISKEMQKESVVVFDEAHNIDNVCIEALSVSVRIQTLEGATRNLSRIGQEIERFKATDASRLRAEYNRLVEGLAVRGNLPITDTWLSNPALPQDILKEAVPGNIRRAEHFLHVLRRLVQYLKGRLDTENVEKESPVNFVASISSHAGIDQKTLKFCYDRLHSLMMTLEITDTDEFLHIQTICDFATLIGTYARGFSIIIEPFDERMPHIPDPVLQLSCHDASLAIKPVFDRFQSVVITSGTLSPIDLYPRLLNFHPVVSRSFTMSLTRECICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGKLLLEMVSVVPDGIVCFFVSYSYMDGIINTWNDSGILKEIMQHKLVFIETQDVVETTLALDNYRRACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRDTFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPSWILSHLKDANLNLSTDMALHIAKEFLRKMAQPYDKMGGSDKKTLLSQEDLEKMGDGGMQEMLY >OMO77732 pep supercontig:CCACVL1_1.0:contig10717:18904:19164:1 gene:CCACVL1_14853 transcript:OMO77732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSTSMKQAAVVFGALAFGWLAIEMAFKPFLDKARGSMDKSDPNHDPDDTDVHKDDSAREIDDDSSSKRPTSYAEAVAKNTAPVA >OMO77733 pep supercontig:CCACVL1_1.0:contig10717:20400:20477:1 gene:CCACVL1_14854 transcript:OMO77733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLRCWSSDGQWHRNMSCRQKGVN >OMO77738 pep supercontig:CCACVL1_1.0:contig10717:62144:67068:1 gene:CCACVL1_14859 transcript:OMO77738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPSSRLQNMLQAAVQSVQWTYSLFWQLCPQQGILVWADGYYNGAIKTRKTVQPMEVTAEEASLQRSQQLRELYDSLSAGETNQPARRPCAALSPEDLTESEWFYLMCVSFSFPPGVGLPGKAYARRQHVWLTGANEVDSKTFSRAILAKTVLCIPLLDGVVEFGTTEKVQEDLGLVQHVKTFFNDQNPPPPKPALSEHSTSNPATSSDHTRFHSPSIPPMYAACAADPPPNTNQEEDDEEENEDEDEEEEEEEEEEEEHESDSGETGRNSRQVPPQNTQAVAAEPSELMQLEMSEDIRLGSPDDASNNLDSDFHMLTVSQTGNPAEQQRRAESFRAESSRRWQMVQDPMSCSFQQPSSGPATMDELSQEDTHYSQTVSTILQNQPTRWAESSSTEYSTQSAFAKWTPRSDHHIHVPVEGTSQWLLKYILFSVPFLHSKYRDENSPKSRDTEPGSRFRKGTPQDELSANHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLRKKIQELEARNRQMEVDHHNQRPKSADSLQKTSGSKDQRSGVTVPERARSLGPGPGSDKRKMRIVEGSGGGAKPKTVESLPQPTVETTVEVSIIESDALLELQCAYREGLLLDIMQMLREQLRIEITAVQSSLNNGVFAAELRAKVKDNVNGKKVSIMEVKRAINQIIPQF >OMO77740 pep supercontig:CCACVL1_1.0:contig10717:73536:75031:-1 gene:CCACVL1_14861 transcript:OMO77740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTEGEGSSEMEFTEIETTAERLDGSVIFHVVKDAIGFVLYMHQQIPSVLQDMSLEFDSMHTEYKELEMDLTKTEVKASLRLRRKHIGRMREVKQGIRRMEKFMKTVSSLQNALQLMIREISNIQEVILVLGASPIRPLHVYQMYFSHANVVPYAEADFIKGRTAEVLSRKAIRTLISKGAGSSSYPGATKLFLMVKAPASLNLPLHFLPKRDFRYSKKIVPFRLRFRCRTQGLEMDASNLGSQSGQSTGLIDSSSNDLIWYGDS >OMO84334 pep supercontig:CCACVL1_1.0:contig09753:5193:7293:1 gene:CCACVL1_10866 transcript:OMO84334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S18 MRIARVLLRSLEGGLSRPRQSLQSVRFLSIGSFPGNGFDGQDRNSNSFESADDFERRIFGGSSEDSLKAQSFYRKFDKMRGVRDRYAPAMENEDESSSTMDGLDESFTTLSDGMDWKLRNAATNFEYEFEDDSDMEEFEVEYRPDVEFIEGMTYEPKDLDLTKPGIQKPFPQDSFETSTEEVLQKADFRNVRFLANFLTDAGIIVKRSSTGISAKAQRKLAREIKTARAFGLMPFTTMGTKRFVFGRTMEDQDEDYSYESLDTNPEENDLYER >OMO84336 pep supercontig:CCACVL1_1.0:contig09753:16186:16611:1 gene:CCACVL1_10868 transcript:OMO84336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHMDCAGCESRVKSALQKLKGVDEVDIDMGMQKVTVTGYADQKKVLKTVRKTGRRAELWQLPYNPEHHSFSSHYYNQHQCNGPMTYFTPAPSSSYNYYKHGYDSTDPAYYRHPAHSTIFGNHQTGSAFSDENPHGCSIM >OMO84340 pep supercontig:CCACVL1_1.0:contig09753:47562:48654:-1 gene:CCACVL1_10872 transcript:OMO84340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNYLFPDIPNVDMFVTTADPVIEPPIITIQWKSLLVMSRTMAAPL >OMO84341 pep supercontig:CCACVL1_1.0:contig09753:64200:66808:-1 gene:CCACVL1_10873 transcript:OMO84341 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGYMWNYRLCIGLPKKLGVTEAGPPMDVKQVFMEYAEGNTYLTAEQLRRFMVEVQGEAEANSMEDAERILEEVLHRKHHHHSVKFTKQGLSLEDFQSYLFSVDFNPPLLDKVHQEMTAPLSHYFIYTGHNSYLTGNQISSDCSDVPIIKALKRGLRVVELDIWPNSSKDDVLVLHGWTLTTPVELIKCLKSIKEHAFSASPYPVIITFEDHLTPDLQAKVAQMVTETFGNMLYYPESECVKEFPSPEELKYKILISSKPPKEYLEPEASNSVKEKDSDEDVRGTVSAELTCDDEKSDCDDTSEHSQCEGDNYEPYERLLRPSGAPAYKNLISIPAGKPKGKLKEKLKVEEDKVRRLSLGEQKFEKAIASHGTDVVRFTQKNILRIYPKGTRVNSSNYNPLIGWMHGAQMVALNMQGYGKSLWLMHGMFRSNGGCGYVKKPDFLMKVGPNDQVFDPKTKLPVKTILKVKVYMGDGWHLDFKQRNFNLWSPPEFYTKVGIAGVAADKMTKKTKKRKGNWTPVWDEEFTFPLTVPEIALLRVEVHEYNMSEKDYFAGQTCLPVSELRPGIRAVPLFNRKGEKFNSLRLLMRFEFVQVDF >OMO84335 pep supercontig:CCACVL1_1.0:contig09753:8876:11305:1 gene:CCACVL1_10867 transcript:OMO84335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRPFSFTISLLLIIISFLTELAVVTALGSASTAAVTFGTATVCGIIAGEPNQRVQCYQHGLNISVLPNASFEALSGGRSFFCGLRSGGFSIYCWETRSLLHSSFSPKRIYFNYNVSLTDLAVGDDQVCAREVDSGITRCWRGGGSGGYPFPLPGNGFKFRSITAGSGFTCGILKENSRVLCWGGNKIGAEIQRQFGNLSVSNLVAGDSHACGLTMTGFLVCKGNNESGQLQVPPTSAFEFSYFALGANFSCGIKRRNGFVQCWGSGANNMSSVADVSFESIVSGMNFLCGLTTRNLTMICWGPGWSNGVNPAPATTTDLRLGMVIPGPCVQTSCNCGICGILLCRTDSSVQPTVTDANIITDVVDNNDSVAPPLRSFSIKRNSSRMLGRQRSGSSSSKHAEKTQNFSLLELSCATNNFSVENRIGSGSFGVVYKGKLADGREVAIKRGETSAKVKKFQEKETAFDSELALLSRLHHKHLVGLVGFCHENDERLLVYEYMTNGALYDHLHSKDNIEKSSSILNSWRMRIKIALDAARGIEYLHNYAVPPIIHRDIKSSNILLDANWTARVSDFGLSLMGPESDQEFMSTKAVGTVGYIDPEYYVLNVLTAKSDVYGLGVVLLELLTGKKAVFKIEEDGTGPIGVVEYASPRIMEGDLGAVVDRRVGVPEIHEAEAVELMAYTALQCVNLEGKERPNMTDIVASLEKALSLCEHSPASLSSRTISIPSD >OMO84337 pep supercontig:CCACVL1_1.0:contig09753:18408:19067:-1 gene:CCACVL1_10869 transcript:OMO84337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallothionein, family 15, plant MSCNCGSSCNCGDNCSCGKRNLEFGYLEKTTTQTIIAGVAPVKMNFESSTMSIETEHGCKCGSNCSCDPCKC >OMO84342 pep supercontig:CCACVL1_1.0:contig09753:70389:73691:-1 gene:CCACVL1_10874 transcript:OMO84342 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGSYRMCVCFTRKFKVTEAAPPPDVKDAFHKYADGGPHMTAEQLQRFLVDVQGQVGATVADAEVVVQQVLQKRHHIAKFRKHTLTLDDFHHYLFSADLNPPIGDQVHHDMKAPLSHYFIYTGHNSYLTGNQLSSDSSDVPIIKALKRGVRVVELDIWPNSTKDDVNVLHGRTLTTPVELIKCLKSIKDHAFSASPYPVVITLEDHLTPDLQAKVAQMLTQTFGDMLFRPECECLKEFPTPEDLKYRIIISTKPPKEYLEAQGSKHKMNHSQKEKDPDDDVWGKEPEELTNDQEDLEKTDSDASEKNRDDEYTDTFEPELCTSEPPVYKRLIAIHSGGPKGGLKEALKVEVDKVRRLSLSEQTLEKATVSHGTQVVRFTQKNFLRIYPKGTRFTSSNYKPLIGWMHGAQMVAFNMQGYGRALWLMHGMFRANGGCGYVKKPDFLMNVDPDGKVFDPKAKLTVKKTLKVKVYMGDGWHLDFKQTHFDLYSPPDFYTRVGIAGVPADQVMTKTKTKEDNWTPGWDEEFTFPLTVPELALLRIEVHEYDMHEKDDFAGQTCLPVSELKPGIRAVPLFNRKGEKLNNSRLLMRFELV >OMO84338 pep supercontig:CCACVL1_1.0:contig09753:21630:28189:-1 gene:CCACVL1_10870 transcript:OMO84338 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MPKENFKVCLCWRRIFKPRVLEPPLDIKNVFYRYSPGGNMTVDELLRFLIEYQGEKNATKEDAQAIFDSLKHLNIFQRRGLHLEAFFRYLLGDLNFAHSPQKVHHDMTAPLSHYFLFTGHNSYLTGNQLSSSSSIEPIKNALLRGVRVIELDLWPNSKGNDVEVRHGGTLTSPVDLQKCLQVIKEYAFNNASEYPVVITFEDHLNSHLQRRVAKMVTETFGEMLYRTEQENVEQFPSPESLKRRVLISTKPPKEYLEGQTIEVKDRQKYSKSTTAGEEASENENGTSRNDRRTNSRDEDEERAVPQYRQLIAIHAGKLKGGLDNWLSDDPRKVRRLSLSEQELESATKTHGTKIVRFTQRNLLRVYPKGTRLDSSNYDPFVGWMHGAQMVAFNMQGHGKHLWTMQGMFRANGGCGYVKKPSFLLNRDDIFNPNAELNVKTVLTVKVYLGEGWHQDFHHTAFDRYSPPDFYTKIGIAGVPADKHMDKTEIIEDEWLPVWNQEFEFQLRVPELAVLRIEVLEYDTTGRPDFGGQTCLPVSELRTGIRTVPLHDKKGNKYKHVRLLLDAPHKKTPLQETRMRDRFTLYAKGGDGGNGSISFRRSRHDRRGTADGGNGGRGGDVILECSTAVWDFSGLQNHINAGRGGHGTSKNKIGTRGEDKVLQVPIGTVIHLKKGEIPSIVEHRSSTDLDPWELPGTLATDQSEVDKKSASKNSSRPEDVKSVHAAGHFSSCTKINAEQYSLKTEITVDQSGDGPESEVLEEIRYNVVELTEQGQRMIVARGGDGGLGNVCYPNVSLKPKTKKSEVLRGPAFEAEASNDDRSSLRIGLPGSEAVLVLELKSIADVGLVGMPNAGKSTLLGAISRAKPAIGHYAFTTLRPNLGNLNFDDFSITVADIPGLIKGAHQNRGLGHAFLRHIERTKVLAYVVDLAAAIDGRKGIPPWEQLKDLVLELEHHQEGLSNRPSLVVANKIDETGAEEVYEELERRVKGVPIYPVCAVLEEGISELKAGLRMLVNGDEKLSNSLNVENINVDPAIM >OMO84339 pep supercontig:CCACVL1_1.0:contig09753:42785:46056:-1 gene:CCACVL1_10871 transcript:OMO84339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITFVFCRNPPLRRYIVAAMCMIGILQRYLVDIGIGRGSYCALSLTPSRQTVKTSLNAVDSVPANNESVSHSVSYTSSLVPARNNKVSNSLPTAIEKVQTLSEGFKQIPSPLNPSTAATLISLRVAVVCVETFQIGSSDLESSLLLS >OMO69818 pep supercontig:CCACVL1_1.0:contig11976:5799:5999:1 gene:CCACVL1_19246 transcript:OMO69818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CIKAVTMSSVRKARRVKTSRHRHQTNKFHSPDQRAATHNVAKSENSKQFQESRSRGTKFSNAVTQA >OMO64339 pep supercontig:CCACVL1_1.0:contig12838:19170:23672:-1 gene:CCACVL1_21843 transcript:OMO64339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDGEEVAANRIADESELFTRKGFSFPLKSEGQILMEPPIIYESERRKWAVNDSSGFAPPCPSTSSMNETETGVMVEELTVENYKTSSFSLGKSSNNLRQSQWLRLYGLESRSEREGFDPNVDVDALLRAKEQLARHSYEKHKSKDVEQTPGGIALHLKATDNVDISNHTLSVAANRLKTSSRPSMSQLFVKKGLKGKGIIRKDLEIGTTAENDQPCSLGLERPATEPCDNGISLREWLKPGSRKEDKGESLLIFKQIVELVDSAHSQGVVLQELRPSCFYLLPSNRIKYTGLSAKIGVESGLNNNLRRKRSLEQGMDVANCSLGAKQPKLNDNMQSLGNHTEFSFPHGSRAEMGHKIGFHASLKQDFNCYLNHPPSFRHATSVTNSVSAAIQLEERWYACPEELNGRSCTFPSNIYSLGVFLFELLCCFESLELHSAMMLNLGQRILPPNFLSENPKEAGFCLWLLHPEPLSRPTTREILQSDLFCGSPEWFCGNNLSESPDGDIAESEILVDFLIKSEEQKQKRASKLIEEIRFLEEDIKEAEKRHLLRISSVSPQIDHKLPDAGKRLLHFEGPGTSVAHNRSNLKSDVNDRWLSTNISQLEHAYFSMRSQVHSSQNAAAAAARSDLKLMKNGDRFPELQSGNGDLRMNQKSLDPLGDFFEGLCKFACHSKFEVCGTIKNVDLLNSANVICTLSFDRDEDYIATAGISKKIKIFEFDAFMNDSIDIHYPVVEMSNKSKLSCLCWNNYIKNYLASTDYDGVVQTWDAGTGQGFCQYTEHQRRAWSVDFSQADPTKFASGSDDCSVKLWSINERSSVGTIRSPANVCCVQFSTFSPHLLAFGSADYKVYCYDLRHARIPLCTLASHEKTVSYVKFLDSKTLLSASTDNTLKSWDLNKTSSDASSTYASDLTFRGHKNEKNFVGLSVLDGYIACGSETNEVYCYYRSLPMPITSYKFGSVDPVSGHQSSDDIGQFVSSVCWRQKSNMLVAANSTGESCQGRSVKMRAYALPLLQCAMNDFWFLLKVQGLFEYDVFLMREFELETNKTCP >OMO64340 pep supercontig:CCACVL1_1.0:contig12838:25594:25944:1 gene:CCACVL1_21844 transcript:OMO64340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLDKEPKHEDQLFVLGGDDHDDDGKVEDSDGEGLPEANATFLTDLNLLIVALSLCSIYNEECVVEKQTGIYEDEEATKGQACMPRLHARKRETNHVKFMRRQIQRTQTTPKDFR >OMO64338 pep supercontig:CCACVL1_1.0:contig12838:15922:18303:1 gene:CCACVL1_21842 transcript:OMO64338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFRETGEVLGSLNALMVFRDNIQINQRQCILLHDLLTFAYKSIADEIRDNLKFEERNIKWKVLEMPLKELLRVFKEGEAYIRQSLDSKDWWAKAITLYQNSDCVELHIHNLFACIPVVIEAIETAAELSGWEPDEMQKKKRVYTNKYHKEWIDPQVFRWKFGKQYLVTQDFSSRVETVWKEDRWILLNKILEKKSLGSRKQERKLADLLLRNLDSSEPLNGKLLPSSILLGSKDYQVRRRLGNGSQYKEVYWLGESFALRHFFGDVEAAAPDISSLLSLSHPNILHFLCGFTDEEKKECHLVMELMNKSLCNYIKENSGPRKRFPFSLLVSVDLMLQIARGMEYLHSNKIYHGDLNPSNIHIKSRVMSTEGYMQAKVSGFGLSSIVKTPQKNTLSNSNHNNQNETLQFIWHAPEVLEEQEQTGSKENSKYTEKADVYSFGMICFQLITGKVPFEDSHLQGDKMSRNIRAGERPLFPFQSPKCVTNLTKKCWHADPNLRPSFVYICRILRYVKRSLLMNPEYNNQSELPVPIVDYCDIDSRLQRKFPTWEASNSLPMSQIPFQMFAYRVLEKEKLGSSTLKETSESESDRTSVSGDENVNSTDDQLSSSTERRSLPSPEAIPRRLSTLKKSPDIRAKHPVTPKGRAQKPPQLRCGRSLRMSSEAHLLLTSPRLRRTASGHVSDSELS >OMO64336 pep supercontig:CCACVL1_1.0:contig12838:3996:7614:-1 gene:CCACVL1_21840 transcript:OMO64336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQTSEYRNLYNHENIFVADHGGGAGNNWASGYHQGKGVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFSQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPDHSLTAEGNASGTLDPKLAV >OMO64337 pep supercontig:CCACVL1_1.0:contig12838:10376:14870:-1 gene:CCACVL1_21841 transcript:OMO64337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter MKMKWYFVATLLTVLTSSQGILTTLSQSNGKYKYDYATVPFLAEVFKLIVSSVFLWREFKKSPPPKMTTDWKSVRLFPIPSVIYLIHNNVQFATLMYVDTSTYQIMGNLKIVTTAILFRLFLSKKLSNLQWMAIVLLAVGTTTSQVKGCGEASCDSLFSAPIQGYMLGILSACLSALAGVYTEFLMKKNNDSLYWQNVQLYTFGAIFNMARLLLDDFRGGFEKGPWWQRLFDGYTVTTWMVILNLGSTGLLVSWLMKYADNIVKVYSTSMAMLLTMVLSVFLFNFKPTVQLFLGIIVCMMSLHMYFAPPHMLVDLPSTVRSDPESLVNVPVDPEHITLKDITTNKIKWLWLMTCYFSSSSVHNTVTGGRHNESFQAVARLGNGPNGEVQARNWCGHFQMPLHYPRYTKADYDAMPEWKIDCLLKEYGLPITGDVEQKRKFAMGAFLWPR >OMO59698 pep supercontig:CCACVL1_1.0:contig13900:5822:7752:-1 gene:CCACVL1_24655 transcript:OMO59698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MASASPDQVSPPTSLVHPPRRPPAVPPSRPTAVPPSRPEEHLQPPSPTAVESNSQAASHPSSAAVEDNDGVATSRTHTRLSIDDFSEKFVTDRIKDIIQGHFDGAWISYLKIPVDYRDRYFQLFQTTFYWDKSQDKIMRTTFHTRAGIWLKGALATVRREKECPNWIKKEMYPKMVEVWDGDRFKRTSEANKKNREAGPSTVYRGGSAPMGRYKKKLSKEGKSTTILDVFKVCFRKSNGTLDNSRALQAVTNYARLRDEALKKDPNAPINEAKLWAEAVGGVKKCRLYGTGSLGRQLVEQALMPTDQNLRDEYNLLQKELQNLRDAIMEYGISIPRRDQPTSTQGSQNMENVQHPEVVIEHVDEHAGQPNTMQNLDSPASQYDPVDGTANDLTT >OMO59699 pep supercontig:CCACVL1_1.0:contig13900:16423:19951:-1 gene:CCACVL1_24656 transcript:OMO59699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MGIRLDVPVASITFDEQPTTGHMAQWNNYEHMNLEGTMEFDSAIPSFVPHWDYDPSFGAEYPSQNQDEPGPSVQPSLEEEIPNREGGDDVTPLGAQFFDLLKNADEPLYNGCENHSRLSLVSKLLSIKAENNASESAFNSFTSVLKEALPQDNTLPEDFYNCKKLIRGLGVPVVKIDACLNGCMLYWKDEASDESCKFCNLPRYKHMRGRPGNPKCKRVAQAVHRYLPLTPRLQRLYASEVTAPHMTWHATHKTDEGVMCHPSDAQAWKHFDTVHPTFASEPRNIRLGLCADGFAPYGQFGKTYSCWPVIVTPYNLPPVMGCGTAGVLGCPICMEKSKVFHLQYGRKATYFDCHRQFLPSSHQYRSNKRHFTRGKVEHDLPPPRLTGEEIFDRVRDLPTAMEEPHRMPPQYGETHKWTKQSIFWELPYWKTNMIRHNLDVMHIEKNVFDNVVNTVMDVKGKTKDNLKARKDVGIYCNRRDIAVDQDHIGRYPKALYTLTKEQNKVICEWVKSLRFPDGYTSNLGRCVDMNEYKLKGMKIHDCHVFMQRLILIAFREMLEDFIWSGLTELSLFFQTICSVVLDINKIQKLENDVAVMLCNLEKIFPPGFFDSMEHLIIHLPYEALVGGPVQYRLMYPFERFLRDLKKKVKNKANVEGSICQAYIAEEMTFFANHFFQHNNERIDEETMQGFSIFNYPGQAHGQAKIKWLTDQELHVAHTYILRNCQEVKPFYEMFVTHLQDYDAKVIDSMVDQHFRPWCKTLIIKTDPLLISLAWGPHPKVTTWSGYLVNGYNFHTLEHGEKKATMNSGVCVQGSSCDETTTDFYGLLEDIIQIQFEVVGRGTIGVVLFKCKWFDPDPVRGMKIHPLYHLVDINKKRLYKKYDPFVLAQQVTQVYYCGYPSLKRDKIDWLVVCMTKARRTVEERWHEKDGIAYQMEEADVTPIVDVRVDIPPLVDPNDVNLFVDFSGFDVTNLEQHYQVNESEGDYDSGSTGSDSSEDSEDEDDNSDEVGY >OMO59700 pep supercontig:CCACVL1_1.0:contig13900:21770:23064:1 gene:CCACVL1_24657 transcript:OMO59700 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family MASFVVTRASRSLLQTPASLRSLFPVPNVLSSSQARTRISIHPPSCNNQLWSRAIYIPRQNVPVPSSIIKTRIACMSTTVPKDDKNQKVGEVSYSSYIDYLPTPIKPYAKLARVEKPIGTWLLVWPFLWSASLAASTGSLPDLKTLAVFSCAAPLLRGATCTINDIFDRGTDRMVERTKQRPIASGVVSPLQGLCFFAFQLLLSHAILLQLPNYRSFR >OMO55609 pep supercontig:CCACVL1_1.0:contig14651:4280:6685:-1 gene:CCACVL1_27147 transcript:OMO55609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MDEALLPKKEGRIWKIITWEALVEELKKVSLVAAPFVAVAFSQYLLQVVSMMMAGHLGELALSGAAIATSFCNVTGFSLLWGFSAALETLNGQAYGAMQYEKLGSYTYCAFISTLPICLPVCILWMYMDKLLVLMGQDPQVAVVACRYSMWLIPGLFAYCILQTQIRFLQSQSLMLPLLFTSLATLCFHIPVCWVLEFRTGLGNIGAALAISISYWFNVILLGLYMRYSSSCEKTRVLCLKDVFLSVKEFFQFAIPSAVMACLEWWSYEILVLMSGLLPNSTLETSVLSICLTSAALHYQLPFGISVAASTRISNELGAGNPQAAQLSAIIVVALTLIETVIASTTLFCCRHVFGYAYSNELDVVNKVTDMIPLMCLSFIMDGLHGVACGIVRGIGWQHIGAYANLAAYYLFGIPAGIICAFVLHLRGEGLWIGMVTGSSAQGILLVLVIAFTNWKKQAIEARERIFQGAIPESGLF >OMO61263 pep supercontig:CCACVL1_1.0:contig13549:22640:23182:1 gene:CCACVL1_23634 transcript:OMO61263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVGKEWESHASLAIDKARLAKKSRLAKEASILLMVAHDGFSDAERCLHYLLASNNVDEVVLLSSLGKLSGKEMMNLIHYLGKWLKQYERFPQAIPCPKAYSSSSLGLKACDWVPKLEDVTKCLGFVLDENFSSLMMHPEFHEELKSLEGVVSSLAFEARFCSLMVNVIDKLRAGDVQS >OMO61264 pep supercontig:CCACVL1_1.0:contig13549:29961:32776:-1 gene:CCACVL1_23636 transcript:OMO61264 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear ribonuclease Z-like protein MYVATRGLYGMKPPTIIVPTAIKEDVEKLFEVHRNMDHSELKHNLIGLDVGDEFFLRRDLKVRAFRTYHVIPSQGYVVYSVKQKLKEEYLGLSGNKIKNLKSLGVEITYTITSPEVAFTGDTMSDFIVDAANSDVLRARILVVESTFVDNSVSVEHARDYGHIHLSEIVNHADKFENKAILLIHFSARYAIETIQEAVSALPSPLAGRVYALTEGF >OMP11751 pep supercontig:CCACVL1_1.0:contig00921:13850:19072:-1 gene:CCACVL1_00294 transcript:OMP11751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type METPISSSIEAGLFYVSEHWKQDSICDWMPGNQTRRMSPKCDVPSQSGYEEAEYLCPLLPRTSSEQSSMSIMSESPVPTVVYTRRKKRSGSSSSASAGIAAFCAEGPLNSKRSGDCLSVVSSDALSMAVVELNGTSQIQNENLHVGDPTMPLVCSGEPQILNYEFGNGCSGLDERGSDDLHKTVMQKTIDVDSINDSCSSSKSYVELASASIKGEVDENGECSSSSVIASEVVRQDLSEKDRCPSIHRNQGNVEEVGPSNATVDEEIGTSGGSNCSRLCKNCGHAETAEKMLICDHCEEAFHVRCCNPRIKKLPADEWYCFSCMKKKRIMLKETTARKSSSITSGIGRCRDVSSKGESSPIELMLRDAEPHRSNVRIGKGFQAEVSDWSGPIDNDADTIGEPLELDPSEFTDFHVLNCDKSSKFSSIGNWLQCREYIEGIGGSSGTICGKWRRAPLFEVQTEDWECFCAVQWDPLHADCSVPQELETEQVLKQLKYIEMLRPRLSAKRRRSDQTKNCTSQDHKDDSRNTQSNAA >OMP11750 pep supercontig:CCACVL1_1.0:contig00921:11119:13390:1 gene:CCACVL1_00293 transcript:OMP11750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MYRHKVRKTKSPARDLIQVPVTAFKNRNFQLPNDPSQLHEHEPRHYINSGKRQVHYTPNFRFLDKAAVKDGNSSKCNSHFP >OMP11749 pep supercontig:CCACVL1_1.0:contig00921:9312:10410:1 gene:CCACVL1_00292 transcript:OMP11749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKDKDSTTQDGTVDLRGRPVLASKTGKWKACAFLVGRLFFGFIGPNCSKWDIISWYFVLHKAYL >OMO59927 pep supercontig:CCACVL1_1.0:contig13844:83636:86882:1 gene:CCACVL1_24527 transcript:OMO59927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSGSSERTPDGALVTEEVLVRRRRSAKGQAPGGNNVEVEETSAANNDRDVVEVGGTDYPEVLSSDSSEEDRGNVVAEAGVEEPVQLDFSAPPVVLSEQLKVYQKYCKKKFPMVSVEPSDFQADAGNGAAYQYYICTSEVGLCTGTNGFATVNCEPGDRAFHIRKGGRVAFFYNKAFKCGFRLPAHPFIIELCNYYGVAPSQLVPNTWKILISYIIICMYKGWTLYVVVIRHLFQLQKRKGGWYAFQVRRGKKNKFPSPENNQQWQPKFIGVEAREGTEWGVPIQWWEINASKKNDPKGWVLSEEEKKHADYLQRVRHSPEKWCHRTHLYLYGLAPLPDAYDKMPTLEMGTVGPLEIDGIRIIVNACGDEGRELMMNKSEEDYVDYAVYNLVDGKLELASDAVIPEAYPDLIEEELDLGNWLVVFSRRAVACQISSFPSGGRRFLRGLTEFHSSFFLACFLPGVDRRGGRGVRRPSRLSFFGMPWYMWLSFLSINLFTCPVLSELPMLSKFKLKAAVQAGMAARNKGGQQSIGSFDSWSNFFFSDGRRCGRRIAKCSLQGYSIQNWRPRANWQGGSHGKHIVIPPRERSKSSVDFLYTLMTRSLKLGESEEIEIVESIEDNECAAIIVACTRQLSIFTQRMLRLNELKVKVTGARPDVEVVDIVQSKATAEQVFGEDVDLTDASVDQLPDTANADNDQPPSTIDVVDSAHGKSSDAQDAS >OMO59929 pep supercontig:CCACVL1_1.0:contig13844:109338:112776:1 gene:CCACVL1_24529 transcript:OMO59929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEMRGGRCFRQFNSITRLQQNPNSYPSKKTLLFQKKHNPPFPDADIKKWNVAISTHMRNAQLESALRVFNSMPRRSSVSYNAMISGLTVLEMWAESGAS >OMO59931 pep supercontig:CCACVL1_1.0:contig13844:116659:121739:-1 gene:CCACVL1_24531 transcript:OMO59931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGTSTLVDGLRRLFQRRHFSSSSSSANYSNGVSTSSSSSPPLKQHEIHEETDPIVQDFDFSCLKHIRVPKRHYFLVASMDNHRKGALETEFFTEYGEASRYQVQEVVGKGSYGVVGSAIDTQTGEKVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRSLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDILGTPPPESISRIRNEKARRYLSSMRKKQPVPFSQKFPHVDPLALRLLERLLAFDPKDRPSAEEALADPYFHGLANVDREPSTQPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYLRGGDQTSFMYPSGVDRFKRQFAHLEEHYGKGERSTPLQRQHASLPRERVPAPKDENVQDNDFERRTAAAVATSLNSPPKSRLADGSENTNAEGENGASKPNYSARSLLKSASISASKCVGVKEKKDLEDEPIAEVNDEAVDALTQKVAALNA >OMO59932 pep supercontig:CCACVL1_1.0:contig13844:133116:142021:1 gene:CCACVL1_24532 transcript:OMO59932 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MDSSLKNPLATDLSDEAIRRSKKKYKKRRSEASPDRDVGDGSDKVSSETVVPNGNPDTFPSKGASYKDRVTSNFDDKDSSWEEWTEDEEGDDSIMFDDVVSDDEDGEVPDSFVRFSAEEKRLLRKPWRKALVVKLLGKNLGFKVLSSRVKSLWQIEGKYRILDLGNDYFLFKFQNRKDYKHVLDGGPWIIGGHYLSVRQWTHNFQSSSDVIRSSVAWIRLPALPMEYYPSMALRKIAATVGRVIRIDKTTETVDRGSYARVCVELDLLTPLKSVVHIGDLVQRVEYEGLHMICFGCGQFGHRRDVCSMVSSKNSVAADCNEPSSSSAPASSPSSETIKDGFGPWMLVQRRSRRPVKETSDKGKSKVVLNKELDSRNQFQSLKGVKADSREKNKEVTREKAKAVSVEDLSNSNGVNGFGRKIWKPKKDMGKKMSSIVTGSEDLKAKKKGSASQSFIGNDSPQPYSVFENGKINGPPLGFSFKAGSSKPVNFDPKRLEDLVGSSSFKLFNSSVEPGGNGVDARQSPSCLEDSAMFVDGNHVGVEMSLSTDASSLPPQQPPQLGSNSLADVPAVLNHADNMGDGSLARDNDNLQMVSSMEEAKFKEPERLDRVFSNFEWRLRFPEANVIHFPRIHSDHCPLLLRCDQSTPVNRLKRPFRFHAMWLTYEGFKGMVSSLWCSSTGDFINKGEVLADWLQQWNRDTFGNVFEKKKKLRARINGLQRALAEHRSHQLEKLEFELVCEYNKILEQEESLWAQKSRVQWMQQGERNTRFFHLSTISRWRKNRVSMLKTDAGDWVMEGNDLRELVLNYYKGLYDVDSVERTPLALLQHPVITSNSIPALLKEITPGEVFNALFQMKPWKAPEVDGFQAGFYQECWASIGPSLTSLVQSAFETGAFNPNLNQTLLVLIPKIVRPEYVKQFRLISLCTVAYKLITKVLVNRLRPLLDDLVAPFQASFIPRRQAADNIFIAQEVIHIVRRSKSKNGLMAIKIDLEKAYDRVSWAFLRETLEVFGFPQRWISLIIFCVEGASMSILWNGEKTDEFLLRRELHKLRPELSVGHKRRVCMTIGIGATNDIGKYLGVPLIHGRVVKGTFRELVDKVTARVSGWKAKTLSLAGRATLIGPVSSSIPTYSMLTNRLPTCILDALDKVNRRFLWGGSENKRHMHLVSWDTVCKPKRLGGLGLRNMEIHNRVLMQKTAWRFLSNPDSLWVKFLKAKYYVTGDVIDFALHQHGTKAVWSHSWRGLLSALQELATGLVKRVGDGSSIRFWYDRWLDTPIIESFSSPPDYAQEETKVCDFILANGSWDSDSLFAQVPYACLVFGTCLMAIFGSLVQMVVFLRLLPILKFYWTVDRLVTNAFRASRGLAPSASCSLCGHVMEDSLHVLRDCPDARAVWELLRPACWRIWCRRCRFIFEDEFPVDTAEGLVYNIMVTAKEICSVTLKATRNLLSEKLIHWTPPPEMVVKLNTDGASRGNPGIAGAGGLIRDSTGKWFVGFAAQLGSYFNGSGLALVNTYYERATSVQIYFQRWVVSSTKSTSFFVRLPEQILRMLQADVRGVAYPRGFTLIKEYSQSDITDKSIVGSLMSELTTKKFNWSQPIHDHVTEMANLAAKLKALGMEVSESFLVQFIINSLPLDFGQFQVNYNSLKEKWNFQEIKAMLVQEEGRLKNMKEQTVHLTMHEGGSSSKAKPDDYSRYSYIYLLHEKSQSVDVLKVFIDEVERQLDRKYTMPGTPQQNGVAERRNRTLMEMVRSMLSYSNVPLSLWMHALKTAVYLLNRVPSKAVPKTPYELWTVESRNARFIENGQFSGSGEQRKVDIKEIQREIPTSNDSHQAVVPLVVSQLHNVREQRIHVTNPQVNEPQEVALRRPVRQRRPAISQDFEVYSIEHECDLSIDDDPVSFKQAMECNNSEKWLNAMKEELKSMDDNKVWELIELPEGSKQVGCKWVFKTKRDSKGNIERYMARLLAKGYTQKDGIDYEETFSPVSKKDSLRIVMVLVAHYDLELHQMDVKTAFLNGDLEEEVYMDQPEGFSTTGKEKLVCKLKKSIYGLKQASRQLYLKFNDTIKSYGFVENTVDRCIYMKVCGNKFIILVLYVDDILLVTNDAGLLHDVKKFLSNKFEMKDMGEASYVIGIEIFRDRSQGLLGLS >OMO59930 pep supercontig:CCACVL1_1.0:contig13844:114260:115802:-1 gene:CCACVL1_24530 transcript:OMO59930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein MGRNYMHFALSCFIFFSRVQFLSSFCNEVVGSVDPKESEKKGKGRQSESEMNKGGLPEHLLHKNRLQEYAQKAGLQHPVYQTSSQGFPHAPKFRASVRVNQTTYTSSLTFPHKKEAEQNVAKIALDTIKQAAIKDKPSFSTIYEDPNSCKSILLEFAVKTNHRCPRYTTIQQNKLHPVYVSSLVFNGKTYAGEVAGSKKEAEQLVARIAIESLLEILLQIINSKNRTYPEPEVRTVNESINQSNAQKPVSAGPSEQPNGGQKSSIVNLTSAVQSAIANQKNKRKLE >OMO59926 pep supercontig:CCACVL1_1.0:contig13844:57033:57530:-1 gene:CCACVL1_24526 transcript:OMO59926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHILADRKAPKPTISLSTMAKKNLLLRSLYGSKKIGLSTALSAPHCHLKFTHSLLGFLQPGKCGNHFANSSKQRARDLLRKLQEVKRNEHTSLEAYLQEVKMISNELAVINQLVDDSDKLYWSLNGHDDKYESFVTAMHVRSPSPSFDEFTNLLSEYEKRQPC >OMO59928 pep supercontig:CCACVL1_1.0:contig13844:101695:108836:1 gene:CCACVL1_24528 transcript:OMO59928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSTSLIPISINATTQLPLKLTPSNFPSCRAQFDDLLYDFDLAGYVDGTEINSDTTPFLHPPSPVVLNKSIPTTLTPTTPSTLPTVKRKRLGGMGIFEEPNRRRSKGQRRFESKKERGSRQGRFEEEERRRRG >OMO82922 pep supercontig:CCACVL1_1.0:contig09961:16489:18388:-1 gene:CCACVL1_11674 transcript:OMO82922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRIEKSFWRAVKCMVGKTLPIGHISPPSRRGYGQGPRAPDPALPGIDAVPGEDCGLWWESEAVWG >OMO82921 pep supercontig:CCACVL1_1.0:contig09961:5426:8479:-1 gene:CCACVL1_11670 transcript:OMO82921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MGIHTAAKFQTSGRGTAALPLVCVRMHESQSFGIFYGQNPLKFSFNVVLAALVLVIMITRTLRFFLKPLREPRFIAELLGGILIGPSVLGKSQTFTNLFFPLNCAFVFRNIGIMGFMLFLFISGVKMDLGLLKRSGKKHFYIGFVSVFIPLIVVTIVALLTRKSMDKELGLPSSIGGIASSLAVTTFPIHYCVLQELNLLSSEVGNMALSIALVSDALGMNFVVVFEAMKQGEIGSRDAILYMVSLIVLGAFMMTAVHRAMIWIIEKTPEGESVDQFYVVGILLAVFVMGFLTDMFGIAIANGPFWLGLLIPNGPPLGKTLVERSETIITEVIMPFSFAFIGLSTDFSAMFEAGWSNLGPLFAMVIAGYLSKFLSTLFASYTASLPLKDCLALSLVLSLRGQVELLLYVHWVDKNIIKLPAFTMLIFLTLVSTGSLSPLISIFYDPSKPYMVNKRRTIQHTAPETELRILLCIQDKESVPSLINLLEVSYPTVRSPFDVSAFHIVELVGRANPLFIDHENSELEDLSKRFPDSETIQNALKQYQERRDECVKLHLFTASTAKRTMYQDVCKLALVSKAAIIILPFHKEKVGDINTVTEHWGAGQHYLNMHVLTNAPCSVGVLIDKSNRYHLPVSRSFRGTGENFIVLFLGGADAREALAYADRMVGNPNVSLTVIRFLSSNGEGDDEREKKLDDGVVTWFWVKNETNNRVIYREVVVRNGAETVAGIQAMTEENYYHLWIMGRKQGINPRLLEGMSTWTENKEQLGIIGDYVASADFPAADSVLVVQQQILRGQGAAISTPSRSSLRRWLNNKL >OMO82923 pep supercontig:CCACVL1_1.0:contig09961:21518:24377:1 gene:CCACVL1_11675 transcript:OMO82923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCLNSFSLSPSSHPKRLTHETSLSSSSSSSSNGNLATALKPIVVHGSPPTFVSAPARRIIAVGDLHGDLDQARSALEMAGVLSSDGEDLWTGGDSVLVQLGDVLDRGDDEIAILSLLRSLDIQAKAEGGAVFQVNGNHETMNVEGDFRYVEPGAFDECADFLEYLNEYGYDWEEAFAGWCSVSRRWKDEQKMSRNNWGPWNLVKRQKGVIARSVLFRPGGPLACELARHAVVLKVNDWIFCHGGLLPRHVAYGLERMNMEVSQWMKGLIDEDTSPHMPFIATKGYDSVVWNRLYSRDISDLEDFQISQINAILKETLQAVGAKGMVVGHTPQYSGANCEYNCSIWRIDVGMSSGVLNSRPEVLEIRDDKARVIRSKRDTFSELQMVDYL >OMO99540 pep supercontig:CCACVL1_1.0:contig06867:746:2806:-1 gene:CCACVL1_03743 transcript:OMO99540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRTESPVYTRRWSSESGAGSIGGAVDSPTLSPARQQPHHSRSSSATGISSIKRTQNFAAKAAAQRLAQVMASQTTDDDDEDDGDDLGFRYSAPPPLAFSRNANAKATTGGAGNKAAVNSTRIGRSPSPALARNLAEEAPTVRSTSAGRSSMALRTGPPVPPPGKTSLRTAVSLPSEPPKSRQPEKRFTSDIGFNSKDNGDQREASALRDELDMLQEENENVLDKLRIEEERCKEVEARVRELEKQVAALGEGVSLEAKLLSRKEAALRQRE >OMP11157 pep supercontig:CCACVL1_1.0:contig01522:1377:1775:-1 gene:CCACVL1_00651 transcript:OMP11157 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxo-5-alpha-steroid 4-dehydrogenase 1-like protein FRDCETLLYCLLAEPILPTQIGTPVETPLVFLYIGFKKTLYILLDCACLRPPKFDRRINLLDLILRVFDRIFHRSLLCGQLLAFLLEIFNDFLESKYVTNMAHCWLDHVVHEHCRQHIRTKCLKETRSHSSR >OMP11158 pep supercontig:CCACVL1_1.0:contig01522:4188:4573:1 gene:CCACVL1_00652 transcript:OMP11158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQTLKIIGCSSMTSLFPLSLARNLLQLKELEIGDCNMLERLVMENDNYIEVLSNNHPHPPCFQELEKLTIWSCSKLEYVFPSSLVGNLNLPRLKFLYLKGLHELKQVIALGQGRDIGNDVCLKLPFLQ >OMP08833 pep supercontig:CCACVL1_1.0:contig03586:286:458:-1 gene:CCACVL1_01090 transcript:OMP08833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AWVAGLGFPSSSLGYHRRCLHLSYHRHLISSKPTVLFVKLLKSADPLHVLSPLSKLL >OMP01002 pep supercontig:CCACVL1_1.0:contig06566:4556:5765:-1 gene:CCACVL1_03206 transcript:OMP01002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNHSSLTLLSFVIFPIFLIPSLVSSQACQRTCGSIPIKYPFGTGPGCGDPRFQQYITCDEQQKLTLTTHTGNYPITNIDYSNQVLYISDASMSTCACSQPSKGFGLDWDAPFSFTDDNVFTLLDCSTTSSPIFRSNSYNVDNSSAVPLCDKQGAPICSYLYSCRAISMLNLPISTCCVYTPVDLGPSFELNLQKLKCSSYSAFYSFSGQESNPDNWKYGISLKYKFNVYNDYPNACADCEKSNGVCGYIGPYNSFVCNCPNGLNTTSTCFFVQSYNSGLRNFVDLFSRVGFGHVPGIGNKSVDSKDKQFQVPKTNQVGFGLAEKVEVVSLLISDKKNMLSLIKIVVIYLQMEEMCKRQ >OMP01001 pep supercontig:CCACVL1_1.0:contig06566:244:3755:1 gene:CCACVL1_03205 transcript:OMP01001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAKFDSLVSPSRLRLLQFLMGVLFLYLLFMSFEIPLVLRTGFGSGSGGFFPDTLSRPLILESEEDFTDKSAPARPLNDLDPVPQPGSRTPERKMREFNKLSGLLFNESSFDTNDSKDEFSVLHKSARHAFVVGKKLWDDLQSSLNKSDSKPEKQNHIKKNQTESCPDSISLSGSEFINRSRILVIPCGLTLGSHITVVGMPRWAHAEYDPKIAVLKEGDESVMVAQFMMELQGLKTVDGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGTALRCEGWKSRADEETVDGEVKCEKWIRDDDNGSEESKATWWLNRLIGRKKKVALDWPFPFAEGKLFVLTLRAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVHSVFAASLPTSHPSFSPQKHLERLSKWKAPPLPNGNVELFIGILSAGNHFAERMAVRKSWMQHTLIKSSKVVARFFVALNGRKEVNAELKKEAEYFGDVVIVPYMDNYDLVVLKTVAICEYGVRTVAAKYIMKCDDDTFVRVDAVIKEARKVGDKSLYIGNMNYYHKPLRNGKWAVTYEEWPEEEYPPYANGPGYIVSTDIAQFIVAEFEKHKLRLFKMEDVSMGMWVEKFNSSRAVEYQHSLKFCQFGCIEDYYTAHYQSPRQMLCMWDKLLNQGKPQCCNMR >OMO62531 pep supercontig:CCACVL1_1.0:contig13249:19768:25803:1 gene:CCACVL1_22765 transcript:OMO62531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 2, immunoglobulin-like beta-sandwich MVEIGKIVLDSEWLAARSTEVELTGTQLTTTHPPTGPTAPWMEAVVPGTVLATLVKNKHVADPFYGLNNETIFDIADSGREYYTFWFFTRFQCKLSGTQHIDLNFRAINYSGEVYLNGHKNVLPKGMFRRHSLEVTDILNPDGENLLAVLIYPPDHPGSIPPEGGQGGDHEIGKDVATQYVEGWDWIAPVRDRNTGIWDEVSISITGPVKIIDPHLVSSFYDNYSRVYLHATTELENKSAWVAECSLNIQVTTELDGSVCLMEHLQTQNVSIPAGERIQYTFPQLFFYKPNLWWPNGMGKQSLYNVSITVVVKGYGESDSWDSLFGFRKIESHIDSVTGGRLFKVNGQPIFIRGGNWILSDCLLRLSKERYKTDIKFHADMNLNMIRCWGGGLAERPEFYHYCDLYGLLVWQEFWITGDVDGRGVPVSNPNGPLDHDLFMLCARDTVKLLRNHPSLALWVGGNEQVPPPDINTALKNDLKLHPYFENQSEKVTPVKELDPSQYLDGTRIYVQGSMWDGFANGKGDFTDGPYEIQNPEDFFKDDYYQYGFNPEVGSVGMPVAATIRATMPLEGWQIPLFKKLSSGYTEEVPNPIWDYHKYIPYSKPEKVHDQIELYGIPKDLDDFCLKAQLVNYIQYRALLEGWTSRMWTKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRCAAEPVHVQLNLATYCIEAVNTKSKELSAVAIEASVWDLEGACPYYEVFDKLSLPPKKVVSISEIKYPKSENPKPVYFVLLKLYHVSDYSIISRNFYWLHLPEGDYKLLEPYRNKRIPLKMTSKTFIRDSSYDVEMHVQNTSKKPDPKTLTYKNNFASRHDDGDFDMASLEPVDNETEENEKAGIFKRLYRRFSRETDGLKVTEVNGSDAGVAFFLNFSVHASKSDRKEGEDTRILPVHYSDNYFSLLPGEEMTIKISFKVPQGVTPRVTLKGWNYPQGVDTVL >OMO62533 pep supercontig:CCACVL1_1.0:contig13249:28389:30050:1 gene:CCACVL1_22767 transcript:OMO62533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKRGSLADLTKLFDEMPMRDTISWNTMITGFTRDGDFDKGFGYFKEMRKLGVCSFDKATLTTILSVCDGVEFSHVIKMIHGSVFMHGYERETTVANSLITSYSKCGCLSSVRRVFDEMFERNVITWTAMISGLVQNELYEESLELFNKMRLGSVYPNSLTYLSSLMACSGLKALEEGRQIHGLLWKLGIQSELCIESSLMDMYSKCGSVNDAWQIFESAEDLDEVSMTVILVGLAQNGFEEEAKRIFVKMFESGVQIDPNILSAVLGVFGEDTSLGLGKQIHSLSIKRNFGFNSYVSNGLINMYSKCGDIEDSAKVFNRMPQRNSVSWNSIIAAFARHGDGCRALQLYEEMRSEGIQPTDVTFLSLLHACSHVGLVEKGMEVLKSMTEVHGILPRAEHYACVVDMLGRAGLLNEAKTFIEGLPVKPGVLVWQALLGACSIHGDSEMGKYAADQLLLETPESPVPYITMANIYSSGGKWKERATTIKRMKEMGLAKETGISWIEIEKEVHKFVVQDGLHPQAEAIYEILEELFRLMLDEGYVPDQRFQDARG >OMO62534 pep supercontig:CCACVL1_1.0:contig13249:33522:37947:-1 gene:CCACVL1_22768 transcript:OMO62534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MQSVNNKALIEELDRLLERLHVPSEYAACLTGGPFYEARMLQNVEACEWLTGALRVLEVPNLESTYANMRAVLVDFMISDKSYFSQWGQLKRPDHADLRYKCRTYARLLQHLKAREFANELRASTKASRNPTVWLEASSGGSQSGNSADTSAVSDAYAKMLTIFMPLVVDEAIVVPTLAKFYHQASEAYEQAFMRHISMIIYHQFERLLQFARKIEDLIFTLSPEEIILSRVLLLFVMAANDIKYLSIDDGDNDDSFYYDSFYYDDGDWEELFKAGSSDGEDEKELPEEEETDSVRRLRNKNYVVLTEADIRQRIKGHIDKVSTVASISKDEARILLLHFNWSVSKVHDAWFLDENAAREKAGLPVNLKPSSSLVEEFSDEEKILLYGICSETEEIKSASCGHPYCNECWGSYIKTAIADGPGCLLLRCPEPCCNAAVTKDMVDLFATEEEKTKYSNFLVTSYIEANKLIKNCPGPGCENAIELVALIVRLTETVKKWMLKKEIENENFIVAFTKPCPQCRKPIEKSNRGQYMKCPPPCNHRFCWTCLEPSENHLTCNRESREDKTKRVMAKNDLARYNHYYQGWATHKVSMKEAIAVMKRVEDEDVSILGWLQEQPRDQLRFLREAWQQIVECRKILAWTYAFGYFLKTTSYEDAAKINLFEFWQGQAESGLKKLHDCAEKELKFLLLLEHRLPAQRFFDFREKLSNLTWVTKGNFDNFVTNLEIELDIVPPSAPSASASSSASSEKITVAAATSP >OMO62530 pep supercontig:CCACVL1_1.0:contig13249:11303:17574:-1 gene:CCACVL1_22764 transcript:OMO62530 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MEMESSAQRRLQAINSHLLPSPAAEDDSVLRRNLTSSSHFFHGEKYGVVLPEKLQSGKWNVYRSVRSPMKLVSRFADHPEIGTLHDNFVHAVETYRDYKYLGTRNRVDGTVGDYKWMTYGEAATAREAIGSGLRFYGVELGARVGLYFINRPEWMVVDHACAAYSYISVPLYDTLGPDAVTYVVNHSGIQAIFCVPQTLNTLLSFISQIPSVRLIVVVGGADEHLPSLPATSGVKLISYLKLLGQGRSNLQPFCLPKPEDVATICYTSGTTGTPKGVVLTHGNLIANVAGFCLAFKFYPSDIYISYLPLAHIYERTNQIISVYNGVGVGFYQGDNLKLMDDLAALRPTIFCSVPRLYNRIYAGITNAVKSSGVLKERLFRAAYNSKKSAIMNGRKASPIWDKLVFNKIREKLGGRVRFMGSGASPLSPDVMDFLRVCFGCLVLEGYGMTETSCIITLMDEGDNLTGHVGSPNAACEIKLVDVPEMNYTSEDEPYPRGEICVRGPIVFQGYYKDEVQTREVVDDNGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFIYGDSLNSSLVAVVAVDPDVLRDWAAFEGIQYEDLRQLCNDPRARNAVLAEMDNVGREAQLRGFEFAKAVTLMPEPFTEENGLLTPTFKIKRPQAKAYFAKAISNMYAELSTSDPTPQKMS >OMO62532 pep supercontig:CCACVL1_1.0:contig13249:26393:26854:-1 gene:CCACVL1_22766 transcript:OMO62532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPHEIEVAQGIKVAQLRKLLDLYYEIRRLRDLNYDTKDKIRALKKAPTDKIEALRTKDKIKALSDLYDETEVEIKARQVEYIKCQTGIEDGLYVLSNALDVEAFARFLSLHADQYPRVRASINGGLAQDDKKRLSDFAKELGIDSFWMLVN >OMO52922 pep supercontig:CCACVL1_1.0:contig15395:2141:2344:1 gene:CCACVL1_29005 transcript:OMO52922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGYDPQVVPSVYENRLGGGDSFEFLSTHPPGKKRAKLLEEPKTMKLAKQVYEDVKAGYQITSFV >OMO52924 pep supercontig:CCACVL1_1.0:contig15395:29152:34886:1 gene:CCACVL1_29007 transcript:OMO52924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESLSGRFDRMKKAWISLLRKKATGDSAKDVGTESDDSPIHLVDYTSSQLKAIANNFEEENFVTKTHFGKLYRGEIPHGIDEDVPRRVTVKIWQHLQWGFPSFGKPNGYVVDKKSKLMNEVYFLSHPSVRNHPNLVKLIGYCCDEDEGLYAVVYDLDPLDTLHNLITKASFPWGSRIKVALELAGLLAFLHGHELPYMVRNLDAMHVMVDERYRPVLFEFGTLTGGIMGELKDILEEPNPYPLMGSQGYMDPHMAATGVFWCVQRDVYAYGVILISMLEMKVVDKDHIDDTIILLATVKADYERERECGAGKLSVAWHTQGFGVDETSILSILGNSNLEHKKSLRQGWSKFFSEDEYGFERFDPSHVKSLKLEFKRFRDAVMLSVLHPWERDARLIEKAIKKGPKHYNIIVEIACSRSSEELLGARKAYHSLFDQSMEEDIAAHVKGSERKDIALYTRQDAFQEVFII >OMO52921 pep supercontig:CCACVL1_1.0:contig15395:66:775:-1 gene:CCACVL1_29004 transcript:OMO52921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M48 MAPNPFTVKNKLYKWFLSPPWPAALLDDYHIHTVVLLKQQGGFINPIVAKSTNRRLKALSKTEEWEKFEKEHIGKPLPQSDPRVIRVQSISRNLIHGLNKGLMLKGEPRLISENSKFPSQTKEFHTSAVSAHMREGTYRQKRRWKPATNLDGKVWEIYVADKNINNAFCGLDGKIVIYAGLLEKLKSDEEIATVIAHE >OMO52925 pep supercontig:CCACVL1_1.0:contig15395:37454:38153:-1 gene:CCACVL1_29008 transcript:OMO52925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIHNDIKLDNIMLDEELHSFSFGVLMLQLIMRKIRPVSENPFTLSTIKDWARDEHAKRRHAVVKELLLDGCSKSNARSITEVAIRCTGDLKTRQRIEHIVQALETLEYAGPCGGGLLSCVPCISQARIR >OMO52923 pep supercontig:CCACVL1_1.0:contig15395:8195:8254:-1 gene:CCACVL1_29006 transcript:OMO52923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATVFGLEICYFTALQ >OMO85304 pep supercontig:CCACVL1_1.0:contig09640:3976:6230:-1 gene:CCACVL1_10287 transcript:OMO85304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWFAGKWRAISLQTPNSWRRSFRSDAALEAITRAAEEKVPNLVLYNYPSFSGAFSALFAHLFHSRLNLPYLILPFSSVEPFRVEDFYTEGLEKCYLLDFVGPKGFAEKLSNQSMCEVIAFDHRKSSLPQINCSKDLRVTFNVNLEKSSSVAVYEYFSNKLANTNSLDVKAADLLNSEDRDRIGTLLKYIEDADLHKWSLPEIKAFRIGRNEWNSKLNCITNPYMYEQLLEISSSDLVAKGNLYISSRQIAANKLLDKAFKIRLGRGFYGECLGVRADGNSDLSDEIAKQLSLKSATAGLRPIGAVIYMQRKNLKMCLRSTDSATDTSEVAKAYGGGGSPSSSSFIIRMDEYNQWRSVNM >OMO85305 pep supercontig:CCACVL1_1.0:contig09640:6996:23874:-1 gene:CCACVL1_10288 transcript:OMO85305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKPGLMIVTSSATMVLAKGGSKLEATTTILAGIPQAYGTREASEASRSSNPNYTFNNGYDLHAPVQLQLSQPQTPKNDAPAKSMKLGYKFQKWHICKQEFNGGGRRATGGGL >OMP07185 pep supercontig:CCACVL1_1.0:contig04606:26:103:-1 gene:CCACVL1_01357 transcript:OMP07185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKRMIRRMSFKRMIRRMSFSGSL >OMP07396 pep supercontig:CCACVL1_1.0:contig04469:512:730:1 gene:CCACVL1_01313 transcript:OMP07396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIRPRNARSY >OMP12226 pep supercontig:CCACVL1_1.0:contig00270:10300:14598:1 gene:CCACVL1_00071 transcript:OMP12226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVAIDSLLQNPALSFRPKAKVFLKPSRSLNCSRNRKLLFSRAACSCSFKPRRKIHVVKASSTDTALIDTSSSDDVLYKETFPVKRIEKVEGKIFIMLDQSKDQQNWQLTVGCSLPGKWILHWGVSYVGDKGSEWDQPPKDMRPPGSIPIRDYAIETPLKKLSEGDMFHEVKIDFNPSCAIAAIHFVLKDEETGAWYQHRGRDFKVPLVDYLEDDGNMVGAKRGFGIWPGALGQFSNMLLNSEASHGSSQNGSSESKDSKREKRQLEGFYEEQPIVKEVPIGNLVSVTVRKCSETAKDILCLETDIPGDVVVHWGVCRDDARTWEIPAAPYPLETTIFKSKALRTLLQPKGTGNGSTALFTLEEELVGFLFVLKLEDNTWVNFKGNDFYIPLAGATGVVSQNAKSDSVSDSVSEETSNKAYTEGIINEIRNLVSGLNSEKSQKTKTKEAQESILQEIEKLAAEAYSIFRTSVPTFSEEAVLETEAPEPAVKISSGTGSGFEILCQGFNWESNKSGRWYMELKEKASEISSLGFTVIWLPPPTESVSPEGYMPSDLYNLNSRYGTIDELKELVKSLHEVGLKVLGDAVLNHRCAQYKNQNGVWNIFGGRLNWDDRAVVGDDPHFQN >OMP12225 pep supercontig:CCACVL1_1.0:contig00270:5361:7390:-1 gene:CCACVL1_00070 transcript:OMP12225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPGLYTDIGKKARDLLYKDYQGDHKFTLTTFTANGVAITSTGTKKGELLLADVSTQLKNKNITTDVKVDTNSKVFTTVTVDEPAPGLKAIFSFVVPDQRSGKVELQYQHEYAGISTSIGLTANPLVNFSGVVGNNCVSAGTDLSFDTASGNLTKLNAGLNLIHSDLIASLTLNDKGDTLNASYYHIVSPLTNTAVGAELTHSFSSNENTLTIGTQHALDPLTKVKARVNNYGRASALLQHEWRPKSLFTISGEVDTRAIEKSAKIGLAVALKP >OMP12224 pep supercontig:CCACVL1_1.0:contig00270:2823:4326:1 gene:CCACVL1_00069 transcript:OMP12224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MGQALGCIQVDQSTVAITETFGKFDEVLEPGCHCLPWCFGKQAAGFLSLRVQQLDVKCETKTKDNVFVNVVASIQYRALAEKAQDAFYKLSNTRAQIQSYVFDVIRASVPKLELDAVFEQKNDIAKAVEDELEKAMSHYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGVARQRQAIVDGLRDSVLAFSVNVPGTTSKDVMDMVLVTQYFDTMKEIGASSKSNSVFIPHGPGAVRDITSQIRDGLLQGRTVE >OMP05872 pep supercontig:CCACVL1_1.0:contig05162:1574:1846:1 gene:CCACVL1_01802 transcript:OMP05872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCDATLLISKSYLDVKTLTSLLNSSSPFDDYKYEPQYFAISSISCFLYQVSTMRRVK >OMO92170 pep supercontig:CCACVL1_1.0:contig08254:40180:42076:1 gene:CCACVL1_06909 transcript:OMO92170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLHVVAFSNTFEEQITFTSLIASLPLRSDFQKRPTKREVLRVFSVS >OMO92168 pep supercontig:CCACVL1_1.0:contig08254:18778:21377:-1 gene:CCACVL1_06907 transcript:OMO92168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter NIPA MGMSSDNVRGLVLALSSSIFIGSSFIIKKKGLKKAGATGLRAGQGGYSYLYEPFWWAGMITMIVGEIANFAAYAYAPAILVTPLGALSIIFSAVLAHFILEEKLHIFGVLGCALCVVGSTTIVLHAPQERNIDSVTEVWGLATEPGFLVYTLLVVILVSILIFRYVPRYGQTHMIVYVGICSLMGSLTVMCVKAVGIAVKLSFSGMNQFKYYQTWVFTLVVTFCCLLQVNYLNKALDTFNTAVISPVYYVMFTTFTIIASMIMFKDWDSQGAADIATELCGFITILSGTFLLHKTIDMGKTPSVRSPVFTSPDLSPSSSSR >OMO92167 pep supercontig:CCACVL1_1.0:contig08254:13466:14806:-1 gene:CCACVL1_06906 transcript:OMO92167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIQKRKKWTEAEEKTLIDKYGEMVADGTLAKMKTREKKYKPIASYVNSVHHVRDSIAYPWQWSWKDVSTKVQNMRHQYLLVKQKIKKPDAAADNQVGGECNGEDDFDWLEGLTHWSNFLRYKEVFGDVVVLYNGNNNSNNSSDMMAVANEDRENGGVFVGGRGMEIGEFGQMGQSGDGDFAGIDGGENGVLGLGFEYDGEEGEGNYNSNDQVREEADDGLLYEEGEPNGSHLKKKRKTLKGLEKKAFGFLVNQLGQLRELEARFEQREAERERERQRKENVRLELEKEWERKLEEREKLREEREKAREKLRRQRIHEWDAMENESEERERRRKEEELIQEREWEERMSRRRSEWKKRMDEMLNQHRAEIGQMQTRILHEQQNLTNQLLGIVSQWTGHPAGLSDHTSASNHYLSQMMQNLHHVNDMVHDDGRVDGDNQDDQFIVDG >OMO92169 pep supercontig:CCACVL1_1.0:contig08254:22672:39722:-1 gene:CCACVL1_06908 transcript:OMO92169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Down-regulated-in-metastasis protein MATPAHAQAVKSLNKSPGRKRFVFKTFSQRIEDIDINVFRSLDKIKSEPSEGSSFLRDCLIEWRELNTAEDFISFYAETMPFVQTLPLVLLHKELIFTKLISRLQMKARLSLEPLLRLLAAFSRDLLEDFILFLPRIVDSLVSLLKNGADREPEIIEQIFTSWSYIMMHLQKYLRRDIIHVLKVTVRLRYYPKDYVQEFLAEATSFLLRNAPVEQLIKGIRKTMFEVVKKPLPTRKSGVSALLCYVMLGTSSRFHSGAERVLRLLVDNSIFAIGDKFPEGADAILEVVIASFQKISEELEPSELTLMWECLYQEINDSEASGSFLHLSRLLSLLISAVQVNSECNVSDYQQMLKVVGSLVQKTMLPSRKGNDSLHEVIDKVLQLMLHILDGLHGSNSLSSISGCLFQWAPVFELRDSSLLTFLRELLLKDPSVIHIFSDYILSAINDLVEYSQEEVLCLLLSFIERLQMHPQSSEFVDGISEGRLSKIRDYMQGVISNLIRLVNDIVIGTTLPTQIDEAKLAIWWGVVSCYPYMFDAKANESMLMELLDALQRLLMIEDENIAGVSKNTWESLVGAALGSYNKWHNAKDLGFGEMSKVLHLAKACKSSSQVLFAAADYLDNVNGPAFQADSGKKIYHPALKGENTVDALGHFADNLCHSDKGIRLPTLRILCHYEPLTCKISAEDLPAEKKIKTDVLQLLLSIEATPLSISSSRKVTLLISRIQMGLSAGRIAETYVPLVLRGILGIFHNRFSYLWEAASECLAVLISKHAGLVWDKFITYFEECQSVVHSSDIQLYQLNANLSNTSSDLVRQFNLFVKPESDKTPGRSVLTLLLQSLQKIPSVAESRSRQVIPLFLRFLGYDSDNLVSVQSFNSDIREGKEWKAILKEWLSLLKLMRNPRSFYRSQFLKDVLQNRLLDEIDAEIQARVLDCLLLWKDDFLIPYDQHLKNLINPKYLREELTTWNLSKESGLLEESHRVNLVPIVIHLLIPKIRNLKTLASRKHASVHLRKAVLGFIAQLDVHELPLFFALLLKPLQITSKDDLCASNLYQNLPISSIEEFHALNYLKYFTLENITALSWKKKYGFLHVVEDVLGVFDEFHVKPFLDLLMGCVVRVLASCSSSIDSARIAESSLIKNHPGVELVSDDEDSAEANHVQTGMAIKQFKDLRSLCLKIVAFVLNKYEDHDFGCQFWDLCFTSLKPLIHGFKQEGSSSEKPSSLFSCFLAMSRSHQLVPLLCRERNLVPDIFSILTVPTASEAIISCVLKFISNLLELEIELDDEKSPIKSVIYSNLEALVCSLHHLFQNDSASKRKLVRCPGETEIRIFRLLSKYIKDLLLATKFVDILLPFLSKRVQSSDICLEAIQVVRDIIPVVGTGRTTDILNAVAPLLISVKLDIRVSICDLLEVLARTDASVVVVARYVRQLNANSAFELDELDYDAIGKAYEEIGMGFFHASPVEHALLILSQCVYDMSSEELILRHHAYGLLLKFLEFSAKILDQEVTNHHETAEEMMVDEKGCWTRASIQRIINKFLLKHMGNAIIQGISARKEWIDLLREMVIKLPQLGNINLLRALCSEDADQDFFNNIIHLQKHKKAKALSRFADVISKSNMSKDIINKVFIPLFFNMLFDLQHGKDEHVRSACIRALASVSAKMEWKSYYALLLRCFREMSLKPEKQKVLKGCDSIMVAEIQTCLQKTVLPKIQDLMNSDSDNVNVTISLAALKLLKLLPGDIMESQLSSIIHRISNFLKNRLESIRDEARSALAECLKELGFEYLQFIVRVLRATLKRGFMQHVLGYTLHFILSKTISESAYGSLDYCLEDLLSVVENDILGDVAEEKEVEKIASKMKETRKCKSFETLKLIAQSVTFKMHALKLLSPVTTHLQKHLTPKVKSKLENILRHIADGIECNPSVNQTDLFVFVYGLIADGTNEENGLGVDASATEANKHGNLLKEKTVPSGHAFGTKSACSHLITVFALGVLQNRIKSMKLDKNDEELLSICLTPIVRLPLPSLESHADKLKVTLLNVAQGSVNPGNPLMQSCLKLLTVLLRSTKITLSSDQLHLLVQFPVFVDLERNPSFVALSLLKAIVNRKLVVHEIYDIVVQVAELMVTSQVEPIRKKCSQILLQFLLDYHLSEKRLQQHLDFLLANLRYEHPTGRESVLEMLHAIMKKFPKSILDEQSQTIFVHLVVCLANDQDSEVRSMTGAAIKLLIKRISQDHISQHSLNSILEYSLSWYLGKKQQLWSAGAQVLGLVIEVMKNSFQRHIISSILPVTKSILRSAIDAFTHTQMDLSDEYTIPFWKEAYYSLVMLEKMLNQFCDLSLERDLEDVWEMICELLLHPHSWLRNVSNRLLALYFTRVNEVGRGSIEKSYGTLFLITPSRLFTIAVSLCCQLKTPISDDEAASKNARLSAKKEKEKNHYYRSGLITKNLVFAICGLNSLMREWAGTENTKFWSTLEQCEQDRFLKAFQMLNSRKATGMLLSITGATHQNDTDHSEGLQYLLVSNLLKELGKLALQMEAIQMRIVFNSFQGILPEISQDDSRHYASQMILPLYKVCEGFAGKIITDDLKLLAQEVLDSIRNTLGSQDFGQVYNEIKKKLKSKRDKRKREEKRMAVINPERNAKRKLRIAAKHRANKKRKIMAMRLERWMH >OMO92166 pep supercontig:CCACVL1_1.0:contig08254:7507:9758:-1 gene:CCACVL1_06905 transcript:OMO92166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRPSNNIWIRRQQCPCGDWKCYVKYEGDDQTSVSSQLIKNETASTSSSSEAVFTPYVGQIFKSDDDAFEYYSNFARKNGFSIRKARSTESQNLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSVRCGCDAKLYLTKEIVDGVTQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKTVQENDALLTEKRENDTLELLEACKAMAERDGNFVYDYTTDENNKVENISWSYGDSVRAYTVYGDVVTFDTTYRSITYGLLLGVWFGIDNHGKPIFFGCVLLQDESSNSFAWALQTFLRFMRGRHPQTILTDIDSSLRDAIARELPNSEHVICLWHVLSKLSSWFSLPLGLQYEDFKAEFDMLCHLEGIEEFEHQWNLLVARFGLASDKHIALLFSYRTSWPLSYIRGYFLARSMTAEFSQSLDAFLKEILGGQTCLQLFFEQVGVAADLKNQSREGVQYTYMKTCLPIEESARSIFTPYAFTALQHEIVLSMQYATTEMANGSYLVRHYKKIDGEYLVIWIPQDEQIHCSCKEFEHSGILCRHCLRVLTVKNYFEIPEKYVLYRWRLESSLVPHVEDQNAQCSSDECAQAFHSLAANLLTESLLTKDRFNHVHRELSRLLDHVREMPLSDEFSLNMAANNITESN >OMO87814 pep supercontig:CCACVL1_1.0:contig09171:486:3206:1 gene:CCACVL1_08745 transcript:OMO87814 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MATSLNSHFSFPTSESKTSRFPDWYSPETGIYTSKHASVSIPTDPYLDVVSFIFSHQHQGVTAFIDSSSGYSISYSKLLPLVQSMASGLHHLGVSKGDVVLLLLPNSLHYPIIFFSVLYLGAIVTPMNPLSSMSEIKKQIADSNVCFAFTLLETVDKLEKLGVHAIGVPENMNLDSEKVDFSPFYKLMAGQSGNKAPRPVIKQQDTAAIMYSSGTTGTSKGVVLTHGNFIAMIELFVKFEASQYEYPGSENVYLAALPMFHIYGLSLFVVGLLSLGSTVVVMRKFNAGELVKVIDKYGITHFPVVPPILTALTMSAKGVYENYFKSLKQVSCGAAPTSRKSIEDFVQAFPHVDFIQGYGMTESTAVGTRGFNTGKHHKYSSIGLLAPNMQAKVVDLNSGSSMPPGDYGELWASFWDSLILYSLAIYIIAPADLEAILITHPEILDAAVTGAIDEACGEIPVAFVVRRHGCTLTHGAVMDFVAKQVAPYKKVRKVVFTNSIPRSAAGKILRRELKKFLSSRL >OMO87816 pep supercontig:CCACVL1_1.0:contig09171:11214:13151:-1 gene:CCACVL1_08747 transcript:OMO87816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase MAAVCTVYTTQSLNSSCSISTPTKTHLGFNQKQVVFYSTGKRSSKRSSGGVITCSAGDSQTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDMTTVICLDDYHSLDRNGRKEKGVTALDPRANDFDLMYEQVKAIKSGVAVEKPIYNHVTGLLDPPELIKPPKILVIEGLHPMFDERVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVRLIMKEGVKHFSPVYLFDEGSTISWIPCGRKLTCSYPGIKFAYGPDSYFGNEVSILEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQIIASKAATPLEATKA >OMO87818 pep supercontig:CCACVL1_1.0:contig09171:17513:21958:1 gene:CCACVL1_08749 transcript:OMO87818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rho guanine nucleotide exchange factor (GEF) 10-like isoform 1 MRTTNLDNNTNGTVNPPISEIPSVNSGGRADFEAEQRKWWSRSSERREERKLGKSLVKN >OMO87815 pep supercontig:CCACVL1_1.0:contig09171:4732:10348:1 gene:CCACVL1_08746 transcript:OMO87815 gene_biotype:protein_coding transcript_biotype:protein_coding description:LrgB-like protein MDSPETSSSRTISVNSSASDGSSISTSTISQKVLGVLHLAVSLGLILAMDKYLKKAFVAAAIKFPSALFGMFCIFSVLVILDTTIPAAAKGLMDFFEPALMFIQRWLPLFYVPALVVLPLSVRDIPAASGLKICFIIAGGWLASLCVAGYTAIAVRNIVKTEMTDAEPMAKPSPFSPLEFWAWGGIFVASFVSALFYPTALGTTARTCLPFLLASTVLGYMVGSGLPAGVKQILHPIICCALSADLAAVAFGYISKSGVDAVLGDYLTKVPSNPGAGDILMGFLGSVILSFAFSMFKQRKLVKRHAAEIFISIILSSLFSLYSTALIGRLVGLEPSLTISILPRCITVALALSIVSFFEGANTSLTAAAVVVTGLIGANFVQATLDKLRFRDPIARGIATASSAHGLGTAALAAKEPEALPFCAIAYGLTDVLLHNQITAMQMGSYSNKNMMPETNTGSSASPFSWFYGNYMTKPGLSSLQRAQIGHEPGLLVAPIRSISAPTTESENNDDLRSKTTKVGKQKPSVKDSNPVASKVLRPKQPKKKPSTPKKAKGPITPEAKREKRNLNVDLDGTNFDFSGVPSPFCSCTGVARVCYKWGAGGWQSSCCTTNISEHPLPMSSTRPGARMAGRKMSNGAYLKLLLRLAAEGHDLSHPVDLKHHWARHGTNKFVTIK >OMO87817 pep supercontig:CCACVL1_1.0:contig09171:16545:17221:-1 gene:CCACVL1_08748 transcript:OMO87817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDGEAVPGDGKDDGEAVVEWETDVERIVEGELVEKGPRLVIEQRRPDSELGSRNSRKSFLTRSKREKLDVCILSVEEAVPDAIAEAVKRWEGKVEICFEQSVEEKDERVSDCVESSKSDKIEKKFEI >OMP10401 pep supercontig:CCACVL1_1.0:contig02542:209:274:1 gene:CCACVL1_00977 transcript:OMP10401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVGSGTMVLVEPERPEFRRVS >OMO76718 pep supercontig:CCACVL1_1.0:contig10874:40536:65984:-1 gene:CCACVL1_15464 transcript:OMO76718 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase APK1B, chloroplastic-like protein MAKKEKEPLRSIRSLPIRKGQKAEAFDPSPSQKPKGQKAEAFDPSPSQKPKDDFTWVQRIKTALQLASLLLFLHWSTRPDKKEYVVCNIDAAHFLLDKVGTNCRENPWKDSGGN >OMO76716 pep supercontig:CCACVL1_1.0:contig10874:25065:26093:-1 gene:CCACVL1_15462 transcript:OMO76716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter protein 1 MAISSTHLFLLSFIAFSVLSVISKAESESELVADLLALQSESKSGVIHFDDRAVSRFLTSPKTPRPYSIIIFFDATQLHDKSELHLRELRNEFGLVASSFIANNNYSNTKLFFCDIEFRESQSSFQLFGVNSLPHIRLVGPSAKSLKDESEQMDQGDFSRMAESMAEFVESRTKLTVGPIHRPPILSKKQVVFIIVVLLIWSPFMVKKIFAGETLLHDPKIWLAGAVFIYFFSVSGAMHNIIRKMPMFLVDRNDPDKMVFFYQGSGMQLGAEGFAVGFLYTIVGLLLAFVTHVLVYVKDATAKRVAMLFAIFVSFWAVKKVIFLDNWKTGYGIHGFWPSSWN >OMO76713 pep supercontig:CCACVL1_1.0:contig10874:4592:6672:-1 gene:CCACVL1_15459 transcript:OMO76713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MKLSWKVMFFLFYSTNHFCLAQDYDDDTVTQEQPILPKGLENCNGIFLSYNFISRTKEYPHVKNATAQAWAFKSTVTVMNTGTYVLPAWKMFIGFQNREILVSAGGAVLTTGQDFPSPVGNGTYLSGYPQTDLETSINTAGDLSQIQAKVELSGTQFGLMPNRIPMPKTIKLVNDGYKCPKPIHQQTSMYVCCVKDPKFKAKELKSKFLPRQKGDLSISYDVTQAYGNNYMAQVTIQNSNPLGRLDHWNLTWEWMRGEFIYSMKGAYVRDVDHGGCLYGLAGQYYKEMDFSQVLNCQKKPIIFDLPAEKANDTQLGKIPFCCKNGTVLPETMDGSKSKSVFQVQVFKIPPDLNRTAIYPPQKWKIEGVLNPDYKCGAPIRVDPTQTPDSNGLQATKYAIASWQIVCNISRPTQGKFKCCVTFSAYYNKSVIPCDTCACGCPNTAKCNPDKKAMLLPPEALLLPFENRSTKARAWAYINHFPLPKPLPCSDNCGVTINWHVVSDYTNGWAARITLFNWKRVDFEDWFTAVQLKKHASRGFEKLYSFNGTLLKKVNNTIFIQGLPGLNYLIGKMNGTNPKTDPDVPGKQQSVISFKKKPSQGIDIAKGDGFPSRVFFNGKECALPTRIPIGNGGNRRSVNFVLLFLFTIAGFLLIEFSFVS >OMO76728 pep supercontig:CCACVL1_1.0:contig10874:115180:118225:1 gene:CCACVL1_15475 transcript:OMO76728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MSNNDRLPIRYTQQEHQPQTQPGPPPQQPLKRHHTARYYAHRVRESFTTRVTKIICAVFLSLLLVVGIAFFILWLSLRPHRPRFHIEEFTVPGLAQPEGFENAQITFNVTARNSNQHIGIYYDSMVGSVYYRDQQIGSRPLLDPFYQEPKTTTVVYGTFGGATLTVNSNRWKEFMNDRQQSTVLFRLEITSVITFWGLMLFLLWLILAPKQPIYKVVDVYMISHSDGSNSTVGDSKDHSTFIGGSSSNFDQNISIILNIQISNPNKKIGINHDDIKVSLYNTDNFVGNNSVAGLLALCLWLAVRPRSPNYTIQNFSVPAINDSNASDSGIIQYELNIDNPNQDSGIYYDDISLIFYHGDDIVGNSTISAFYQGRNRNRQVLDHVSVKNDLWTALRNAIVNATAELRVDLSTRVKYKTWGIKSKHHGLRREGKVPIGKDGKISNKKKKVKLRRPSKKWKLKATRKYI >OMO76721 pep supercontig:CCACVL1_1.0:contig10874:76500:78650:1 gene:CCACVL1_15468 transcript:OMO76721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVNITFTNAVQLSHNALFTRLAQIELWVFLAMVGIAILIVCGTARRRRRGTIFNFTVWAAYVLSTQILTYAIGLMHTAQFRNELFALWAIFLLILYGSSDSFSAFTLEDNEQWSKYTAEVIIQSLWVGSFVGLYAFNPQSHFKWNILVLFACLLYKVEERDQAFRMASKSSIARNTKLIADFMASEHGISIRDELDPNYMCRYNYLVAGDVPTLYTVKRPLYHHHLLITDEVITIKKIWRCQGRLLSPTGDPDNRLKDLCLSFALYRLLCRRFGGYPFAECNLEKTWNLIRYGLLSKEEDYERAFRVVEEELSFLFDLFYTKYAVIFKEGGYKLLKSRASDLAYFSIGCLVAINILRDYKPSHDDFNLFTPDGRINIDKLLTGVVIIGICIMEIIQLILIMVSNWSKVMWICRKSRKLENVSRDATQKVKTHAQHLAEGGEFITHLWALLSHAGILKQDQTQTVAA >OMO76722 pep supercontig:CCACVL1_1.0:contig10874:78999:79868:-1 gene:CCACVL1_15469 transcript:OMO76722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MDVIFDPQESGKNKRPFSIEIGYWDSVLEIKQKVQKYHGIAVPRQTLVFNGQVLQDDRDVEKCELLQNSRVKLYIAPEAPDHKPQTVVKIEQPNNNKNNNNSLTISSKKIQLKINVPSSKSSVPVEMDVNDTVLRLKEKIQEIEGVPVSKLVVQASGAELQDHRYLRDCELMENAEIDVNIKPSPTGSGTGSAGGGTTTTMGSKRLKLMVLTKCGTKKIPVEMNASDNVGELRKELQKLHQRLHFHLPQEGYFFIYKQNVMDDDRSFRWHHVAQGDTIEIFNGSVTGGS >OMO76717 pep supercontig:CCACVL1_1.0:contig10874:37816:39832:1 gene:CCACVL1_15463 transcript:OMO76717 gene_biotype:protein_coding transcript_biotype:protein_coding description:PDZ-binding protein, CRIPT MLDVKMGLEKHAWERIRIGQFHFQTKRKLQVRSSIFVRVPISKQRKRVAEQKQPTAMVCEKCQKKLSKVIVPDKWKEGASNTTESGGRKINENKLLSKKHRWTPYGNTKCMICKQQVHQDGKYCHTCAYSKGVCAMCGKQVLDTKMYKQSNV >OMO76725 pep supercontig:CCACVL1_1.0:contig10874:101501:103120:1 gene:CCACVL1_15472 transcript:OMO76725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bifunctional kinase-pyrophosphorylase MLACPTFNLRATATPANPDISEPEPKPRSRKLKASPQLSRWHRARALRSGHKLERSGNRVEAVEVNHSVQRTGESSSSESYSAVIDGGDEVEVDPGKPIYMVSDGTGWTVEHSVGAALGQFEHCLVDRVCPVNTHLFSGIDDVERLMEIIKQAAKEGAMLVYTLADPSMAESAKQACKVWGIPSTDVLGPITEAIAAHLGVSPSGLPRGASGRNIPLSDEYFRRIEAVEFTIKQDDGALPQNLCKADIVLTGVSRTGKTPLSIYLAQKGYKVANVPIVKGVALPKGLFEVDPEKVFGLTINPVVLQTIRKARAKSLGFGEETRSNYSEMDYVRRELEFARMVFSQNPVWPVIEVTGKAIEETAAVILRLYHDRKQRCSMPRISKRY >OMO76729 pep supercontig:CCACVL1_1.0:contig10874:118627:120989:-1 gene:CCACVL1_15476 transcript:OMO76729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMFLNYLPLNFALFLHALLVMTETGAEFNAHQFLKWQNGGGYFHKSACIDPTAHIEIGAIVHSKSVLGANVHVGSGTIIAPSVAIGQFTKIGYNAALSNCTVGDSCVIHNGVCIGQDGFGFFVDEDGNMVKKPQMLNARIGNHVEIGANTCIDRGSWRDTEIGDHSKIDNLVQIAHNVIIGKNCMLCGQVGIAGSVMIGDYVVLGGRVAVRDHVSITSKVRLAANSCVTKNITEPGDYGGFPAVPIHVWRRQIATQCRGSSKGKS >OMO76719 pep supercontig:CCACVL1_1.0:contig10874:67853:69986:1 gene:CCACVL1_15465 transcript:OMO76719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVNITFTNALQLSHNALVKRLALIELWVFLAMVAIAILIVCGTARRRRRVEERDQAFRMASKSSIARNTKLIADFMATEHDISIEDELDHEVITIKKIWRCKGRLLSPTGDPEHRLKDICLSFALYRLLCRRFGGYPFAECKLEKTWDLIRYGLLSKEEDYERAFRVVEEELSFLFDLFYTKYAVMFKEGGYKLLKSRAADLVFFIIGCLVAINILRDYKPSDDDFNLFTAGGRVNIDKLLTGVVIIGICIMEIIQLILIMVSNWSKVMWICRLLPSHAVVTEFIFDQEVKEARKLLEGCKSESEKYERLMNLCQGGEVIEDNSTLTERGALLAKYLIQHIEDESFTWKVLADFWAELMLFVAPSDDVTAHAQHLAKGGEFITHLWPLLSHAGILKQD >OMO76727 pep supercontig:CCACVL1_1.0:contig10874:113019:113087:-1 gene:CCACVL1_15474 transcript:OMO76727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARNIRSLLSQERASNSRYG >OMO76720 pep supercontig:CCACVL1_1.0:contig10874:71079:72275:1 gene:CCACVL1_15467 transcript:OMO76720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFSRIRSSGTAVSFHLRRFSILSQDSSTPLTSYQKSRAALSLLKSEQDPDRILEICRAASLTPASRLDRINFTVAISKLSEGNHFQAIDTFLHELRSRPDLQNERFASHTLILYGQAKMLNNAVTAFDEFYKEGLCRSSKSLNALVLAGILAKDHKEAKRIFVEFPQSEEKFEEVGKVLNLMKEYGVPIGVYTYNTRIQSLCKLKRSNEAKALLEGGDFETALSICEESMKKNWFPTFSIIKSLVNGLASISKVEEAKELIQKVKKKFSKNQDLWDEVEKGLPQ >OMO76714 pep supercontig:CCACVL1_1.0:contig10874:16394:20030:1 gene:CCACVL1_15460 transcript:OMO76714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MDCEYILRIYHGGRFVRDPDLRTLRYREMGYVYFRDPDDPDFNESMRLVWDDSSTIRMINAREKYGEIDLYVDHLDENPEVVQQVDDGLNAVGGEGLNAVGGEPGINAVGSEGLNEAPQVGVEIEVDAEVYDALGDANLGAEDEVAEAEEEVENDFYDFAEAEGDESEVQSDDEDGDKGVFKDGFSVRVRGLSDGENDEELQRALNRKLKKGKGPQIRISIPVEGVSENENDHLLHYVRLQQQKDVGEGTSHQVEDNYESDHYKEPETKDDEYPRYDPSLDLPEFETGMFFTDVYEFRAAIRNFDKRSGGFQVKDYIDNHTCCENFTNKAAKASMVAEKMYQTIRDNPKMSLKQIQAQVSTLMHVDINLRKVMRVKKKVRDEVAGNYKQEFSMLWDYANELLTKNPGSTIKLSTQRVTQYSPIHFRRLYICLDALKRGWKEGCRPILGIDGCFLKGPYKCQVITAVGKDANDQMYPVCWAVAETENREVWEWFLNLLKDDFDMCDGLQYTFISDQHKGLESAMKEVLPRAKHRNCARHVFSNWSGRLHGKTYEAMYWQIVKASTPVQWEERFDLLKLMDKTRADELKAKQKNPKLWTRAFYGEECKCDMVDNNCCEAFNSVILDARTKVIITMLEEIRVQTMTRILQKREWVHTWHDDYGPLVKEKFAKQKKEGIDWRMVSAGEFGCEIKKGRCSYIVDLKARTCTCRNWQISGIPCAHACSAIWRSGGDPDEYLHPCYHKDTYIKTYSYGLKPINGPHEWVKTGKPALLPPIIPRAPPGRPKMNRRKGKNEPKKTPTIGKLSKKGTQNSCTLCGGVGHNIRSCQLRASTNGIHAANIETRQPNPILGVAPPPNDVGPSGSKGKGPLKPKAKAPPSTKGQGRAAGIVISEGRNLQTRPRTEATTQEKGKKKVVGSKHDKGKKPVECQNEAHTFVRPCRQRKPSFKAMGLASGYSTCKTIAATKAASTMTMAASTMTRAGSTSATHSLTTNTQTKGKRKRVEDPVGTQESIKKKK >OMO76715 pep supercontig:CCACVL1_1.0:contig10874:22205:22669:-1 gene:CCACVL1_15461 transcript:OMO76715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 MEEQIDMVGKAFVDHYYHLFDNDRPSLSSLYQPSSMLTFEGQKIQGVDEIAAKLNQLPFDQCRHVISTIDSQPSSITGGIVVFVSGSLQLLGEEHLLRFSQMFHLIPMLQGNFFVQNDIFRLNYG >OMO76724 pep supercontig:CCACVL1_1.0:contig10874:98300:98730:1 gene:CCACVL1_15471 transcript:OMO76724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDPPFHETYKTLFANPMDEESRLKITLNNDLAIVEERELPLIDLSPLFLDDGEELRKEGCKEEIARAAHEWGFFQVVNHGISRDILDKMRKEQVKIFKQPFETKFFNFSAGSYRWGTPSATSIRQLSWSEAFHIPSAPSTL >OMO76730 pep supercontig:CCACVL1_1.0:contig10874:122400:134993:1 gene:CCACVL1_15477 transcript:OMO76730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSANPLSSFCSDNSTDIYTTNSTFENNLKQLLESLPSNTAATGGFYNTSVGNGADQVYGKALCRGDVNTSTCQNCIKNGSQDILGLCNKTKEAIVWYDQCQVHYSSQKFSSIDIGKYPDPDSNKLETDMSDDLDDFKDVLELLVTNITTNATSSVLKLFGFGKVQFENDEYVYGLAQCTRDISGIECTDCLSFTVEDLKSCCYSRTGGSALRRNCNVRFQMHQFDNNASDFPLIFPESEGSNWNNTMVLTLIGVIVFVLLLLIDSLVVFYRCNKGTQNETQKNKNMDHSRSSKQIIHLILLYSFLFVLDFVLADPAYQLCSNNTSNNSFQNNLNKFFSSLPSNASVSKYYNSTYGNGTDQVYVRYMCLNYVTNEICQDCISGASRAVMNLCPNRTEAVVPEEVCHLSNSKITFFGPLNVTDHIVQDKILVNVSDPIKFQSAFNSSGNMYALVQCTLDSSPDDCNKCLEAAIKEVTNGCNYLHYELTASNHANESSVSANNQGKRGAPPLETVLIEFMVKHFVENISVVYTGKFPESNKQERNLSNPQHFNDVLTFLMKNISIQAASVSELMFGFGEVKVNKKETIYGLVQCTRDISRSECRNCLDSAFGDLNGCCGFRTGGSVLSRNCNTRFQMNKFYNASSSPLIYPKSTENKWSTRMVVTVISTTALVLVFFTTSIVVYYRRKRRRENDEENRQQSMLQQLASPRGIIITQDASVSKYYNSTYGNGTNKVYAQYMCLNYITNERCRDCIASTPQAFANLCPNTTEAVVWQEFCQLRYSNINFFGRLNVSDNIGKDNVNDFSDPVRFQSVVENKLRNLTKVAAFNSANMYATGNQTFTITTTETLYALVQCTLDLSPDNCNNCLEAAIKDVSSQCYGSRGARLLSRSCYLRYELYAFYEGANESSVFTPNQVIKGGGGGKKIWLIVVLTIASAFLVMVLLAFILYRFAMREGRDEILRNHVQLFKYGGSEDTDLQNQYFE >OMO76723 pep supercontig:CCACVL1_1.0:contig10874:80646:87475:-1 gene:CCACVL1_15470 transcript:OMO76723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTMAPPAAIRWCAVVSFLVLSLLISPSIAIYCDEDDCYDLLGVSQSANSSEIKKAYYKLSLKYHPDKNPDPESRKLFVKIANAYEILKDEATREQYDYAIAHPEEVFYNTARYYRAYYGHKTDPRAVLVGLLLILSGFQYLNRWTRYTQAVDMVKKTPAYKNRLRALELERKGGTTNKKKSNKQMDKKVEEDLSKELELDIKGAEKPSIWELIGIRFILLPYTIGKKDACLTLVFKLKLVTDYISVSSAIIVVGHLVPVNKNLEEEASLPKLPLFYGPNFIGRNDVTVPDKRLSRKHIALTTSPDGSADLLVEGTNPVVVRSGELRKKLSSRENAAISNGDVIELLPGQHFFKFVSSASGDNKRNFGEANDDGESEKLTPKRMRNQEEQCVDEAIRHFRVSNDELPHTFKLLKVQGLPAWANTNSVSIGDVFQGDVLLAVLSNYMVDVDWLMSACPKLAKIPYVLVIHGEGDSRLDHMKRNKPANWILHKPPLPISFGTHHSKAMILVYPQGVRVVVHTANLIYVDWNNKSQGLWMQDFPWKDQNNLKRGCGFETDLTDYLGTLKWPEFTANLPALGNFSINSTFFKRFDYSNARVRLIASVPGYHTGPNLKKWGHMKLRTVLQECVFDKEFQRSPLVYQFSSLGSLDEKWMAELASSMSSGYSEDKTPLGLGEPLIIWPTVEDVRCSLEGYAAGSAIPSPIKNVEKAFLKKYWAKWKASHTGRCRAMPHIKTFTRYNGQRLAWFLLTSANLSKAAWGALQKNNSQLMIRSYELGVLFLPSDVKEEGGEFSCTSNGGSGKGKCGPSGNSEATKTKLVTLAWQGSKTSEVIQLPVPYELPPKPYSSEDVPWSGDRRYSKKDVYGQVWPPQL >OMO76726 pep supercontig:CCACVL1_1.0:contig10874:105035:110343:-1 gene:CCACVL1_15473 transcript:OMO76726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRAFKRVLRMLSCLLGSSTPEKTTAVAVGSTTDHGSNQQVHHQITITTGNITTAGESRFSLRRNSFRRSSPPWLFDPKRILFFFATLSSMGTILLIYFTLSISRMNGEENGLD >OMO94646 pep supercontig:CCACVL1_1.0:contig07820:18904:32639:-1 gene:CCACVL1_05891 transcript:OMO94646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSWPVTRAQTCNVLSSSQPSRFFEPPYQKPPSMLLVFTATGSKPLN >OMO94645 pep supercontig:CCACVL1_1.0:contig07820:7686:18296:-1 gene:CCACVL1_05890 transcript:OMO94645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVLTFPRLLMWLNDVCLEPIQFGHISNGLCVDLSTVHNSV >OMO94648 pep supercontig:CCACVL1_1.0:contig07820:35996:36253:1 gene:CCACVL1_05893 transcript:OMO94648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative enzyme inhibitor MRVLAARKGDRGRCLWRREAEAANLSSSLKSLNDLHSQPALKECLDLFDDASRINEINWSFFRWVCGEAKQRRRWFDEIGARVSV >OMO94647 pep supercontig:CCACVL1_1.0:contig07820:33443:35134:1 gene:CCACVL1_05892 transcript:OMO94647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGWLKTEIEGTKDSFRNLSGADLEILSSVYGRNCSDNGGSTDLTLSGCVKTGQICPHQQCVKETRSDTLT >OMO51503 pep supercontig:CCACVL1_1.0:contig15803:40498:40874:-1 gene:CCACVL1_29761 transcript:OMO51503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTPFKGSNAKPTGKCGAKGGHHDLKDLAAFAFGASLT >OMO51501 pep supercontig:CCACVL1_1.0:contig15803:30124:30231:-1 gene:CCACVL1_29759 transcript:OMO51501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAKVALDGGAEEDSYSGERNGGRKRKRGSLFNE >OMO51502 pep supercontig:CCACVL1_1.0:contig15803:31025:35349:1 gene:CCACVL1_29760 transcript:OMO51502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 10 MENTEMKSGNGNGNAENLNQKMMKLNGNPPANILVNHDFSNGLYSWHPNNCSGFVVPADSSNPSGLSEKPGGNYAVITNRTECWQGLEQDITGRIFPGSTYYVSACVGVSGALSGSTDVLATLKLENHGSATDYLFIGKTSVSKDRWEMLEGTFSLSTIPERLVFYLEGPSPGVELLVHSVVITCSTSNSTKSENSSAGCEISGDENVVINPQFEDGLNNWSGRGCKVVLHDSMADGKIVPQSGKVFAAATERTQSWNGIQQEITGRVQRKLAYNVAALVRIYGNNVINATVQATLWVQTPDRREQYIGIANVQATDKDWVQLQGKFLLNGSPSRVVIYLEGPPPGTDILLNALVVKHAEKIPPSPPPVIENPNFGVNIITNSQLSDGTNGWFPLGNCNLTVGSGSPHILPPMARASLGVHEPLSGRCILVKNRTQTWMGPAQMITDKVKLFLTYQVSAWVRIGSGANGPQNVNVALGVDSQWVNGGQVEINDDRWHEIGGSFRIERQAQKIMVYIQGPAAGVDLMVAGLQIFPVDREARFKYLRRQADKIRKRDVILKFSGADSSSLLGTFVKVVQTQNSFPIGSCFSRTNIDNEDFVDFFVKNFNWAVFGNELKWYWTEPQQGNLNYKDADDMLALCQKYNIEARGHCIFWEVQDTVQQWIQALNKNDLAAAVQNRLTSLLTRYKGKFRHYDVNNEMMHGSFYQDHLGKDIRANMFKTANQLDPSATLFVNDYHVEDGCDTRSSPEKYIEHILDLQEQGAPVGGIGIQGHIDSPVGPVVCTALDKLGILGLPIWFTELDVSSVNEYVRGEDLEVMLREAFAHPAVEGVMLWGFWELFMSRDNSHLVDAEGEINEAGKRFLALKHEWLSHARGPVDEQGQFEFRGFHGTYTVQVVTATKKVSKTFVVDKGDSPLVVSIDL >OMO51500 pep supercontig:CCACVL1_1.0:contig15803:18086:19052:1 gene:CCACVL1_29757 transcript:OMO51500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGKLGRRRQPLQSNERSRFRFASSYRRFIRSILILLAFIALLPPIYFHFRLRRFHQANRCGLKDS >OMO80476 pep supercontig:CCACVL1_1.0:contig10318:953:1748:-1 gene:CCACVL1_12934 transcript:OMO80476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAFSGVEERRGEVELNNTDELILPMGYTFAPYDDELILEYLIQSYKICRVVNEEESVDEEEPAVTSRN >OMO80479 pep supercontig:CCACVL1_1.0:contig10318:6939:10590:1 gene:CCACVL1_12937 transcript:OMO80479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPECSRRVRDVLAIVICANVAAASSVIHFLSLDFEGRFAELKMKPVPLEKKAAV >OMO80478 pep supercontig:CCACVL1_1.0:contig10318:4877:6374:1 gene:CCACVL1_12936 transcript:OMO80478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEITCFKLKMKAEAKKIQKQKTSTISMLPPVCLLTNRTMLNVALTS >OMO80477 pep supercontig:CCACVL1_1.0:contig10318:2429:4291:-1 gene:CCACVL1_12935 transcript:OMO80477 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plastid developmental protein DAG MATLLNPSSLLLPTKPFLPIRFQPTSLPILRLNSLTHHFSSTRLPPLTVKAAALDSDYSPKRSSSNEPRETIMLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVSEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCKYPTYQPKARKESKYVNKRYERRKDGPPPAGQSRPKQAASQSESSS >OMP04420 pep supercontig:CCACVL1_1.0:contig05745:1705:7939:-1 gene:CCACVL1_02164 transcript:OMP04420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSKCLVSKKGPLGAIWVAAYFFKKLKKSQIFETDIASSVDNILQDQLEILAYRVLAYLLLGVVRIYSKKVEYLSDDCEEVLKKINEFVVREKNKAKKEALRASCFSITRPVTFDLDAFDLEILEDTSRDNVVPREEITLKDVAWKNTGLMRYSLERFAALDDDFLMDYSPTEESLSCQLMDFETEAGTSHGIWNLKASREKLQCDKSFNEEVSQLKRVSEFEEEPPKLVKGYNKNDRGQAEVPDIAVLEKCMDQAASKQKCNARVLSEERENPQNEAEEDLLGSRKPLAEDQTNREEMKGPDPSPSDHELSKAMEEDLVKILEALAEVANVAGSENHMESEASWEKGNDRFSLEEGLNLHIATEEECLSPLANVAGSENHMESEASWEKGNDRFSLEEGLNLHIATEEECLSPLGEDQADEEQTNEENLKDKDLVHSENEVHQVMEEDCNLETSVEKLQAEKFPPMSLEESSSLVRPSAAKVKTGTEQVNFPATMTSEVGKLQLTAEDHPLSVTLAATPRIRSRGASGTSTQHFSPIQTPATKERARFSRKRKCFFDEMIVFPNNMVRQWINDASDLVSERRAGGRIALAARKTRWTFNLPQSFSEASIPCTSELKSLYSEKRLRVLESVKIVKPPENVDVSEPPPPVGQSFVQAENSRVAVQIHDPPDNLNVSEPPLFDGSKDQAGIAPQTPVRHSPPLAWGEQTEIAPQTPVLLSKSVRPFESPENLRCDHLDDIEPTNVDLRESAEGLSKIESVGKEPSLSKDEDLDLNPVSEEKCDDLDALEPSNMDPSESSGRLSLIESVEKEPSVSHDEDLDLNLIACFCHVRYTYRTLLLADGWSKRTRMVAKCLQKGFLNHRKRGKEEKLNLSKLLGGRTKKESARLFYEILVLKTKGLVDVRQDTAFGDILVLKASQWDQTY >OMO93227 pep supercontig:CCACVL1_1.0:contig08115:63163:69158:-1 gene:CCACVL1_06586 transcript:OMO93227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease T2-like protein MKSKFSVLINLLVLQYLVVLCVSQDFDFFYLVQQWPGSYCDSGKSSCCYPTTGKPAADFGIHGLWPNYNDGSYPQNCDPDNPFNESEISDLLTSMRKNWPTLACPSSSGETFWSHEWDKHGTCSESVLDQHSYFETTLSLKQQTSLLQSLKSAGINPDGSFYSLEEIKDAVKQGTGFTPWIECNEDSSGNSQLYQWPGAYCDSRQSCCYPKTGKPAADFGIHGLWPNYKDGGYPSNCNPDSRFDKSEISDLISTIEKEWPTLSCPTNDGIKFWTHEWVKHGTCSESELDQREYFEAALKLKKKANLLQALTNAGIKPNDEFYELEDIKDAIKEGVGFTPGIECNVDSSRNSQLYQVYLCVDTSGSEFIECPVLPRPRCGSRIQFPKF >OMO93228 pep supercontig:CCACVL1_1.0:contig08115:73205:74873:1 gene:CCACVL1_06587 transcript:OMO93228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKQRQDFQNVSQQKKIVVFQCPKEKLSGQVRRKIEDERVCASEERLFSDEGKVTEGDDNCLLMLANSAELISESEDSFGIADMNPHDSEVLDSTMEAQQLQENADNVMAADDKNCDKNNQIIPPIAHERNEKDASHQEEKVPRLSHIRRQARLTGQSIMQQGIQEEAPRCLQGSKTARLTEIRRQARLGNHVPLQGDISGCSHGKLLGGITRLSQIRRQARSKHNCSLQEGIGEHMCRQSDCQFHEDHHKEDMAAEQCAKLQSCQVVGASSFENGDKMMGEKGRLRLSQIRRKARMGNADLATQKPAGTQDNSNVCIHFLNLLMQ >OMO93217 pep supercontig:CCACVL1_1.0:contig08115:3496:6607:-1 gene:CCACVL1_06576 transcript:OMO93217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRVKLKIKKLENTNGRQATYAKRKHGIMKKANELSILCDIEIILLMFSPTGKPSLCSGKRSVEEIIAKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNVNDFLGTSTQTIEDMTNEARLLQTQLSEVHRRLSCWTNIDKNNNVEQLGQMEDTLKEYLNQIRAHKENLGKQQLLSLECTSQFQNEMHVPFRLGAEQQLQPLAWMPNNDSRHIALPEDPNLIPHRDVECSASSSFGSYSGYFGTAKSSELSSSGQENGILNDLSGSAALQLQLGGQYPFFSYNLNIVNDTKFPPVAEMNFPETPADYHVNGVLEGPRAGYDAAQGSWASTSGPCAVTMFDEPLYSRAMENLVMVYYRIH >OMO93220 pep supercontig:CCACVL1_1.0:contig08115:21381:23223:1 gene:CCACVL1_06579 transcript:OMO93220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLSLGPNFSLVASSVISSSQDSYGCNKPVGSSDILEINANERASSSPSWGFHHFMGNCNKTRSFEENHSSDNNVEEGKASSDCSDGFGDNNNNSRNINLNASLNSEENPNENVLSGKETDSSGQSKLCARGHWRPAEDTKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFTEEEEERLIQAHHLYGNKWAMIARLFPGRTDNAVKNHYHVIMARKCREQSTAYRRRKLSQSVYRRMEETPTNMKADQHQPAAAAAAAVSSPYCLNIPNRRLGIISQYQFGISFNNDVNGGVDDVSVPNNMISRREAISSNEVPLNNGFCSPFEFFPAGLKSNDMMNMLSQTSSWGTRPIDEHPQISGFFPQQQQEQNPCCSSSSSSYIMAMQQSEFFRSQSLTDSTASTITPPQVSGSEPSSSMAVMSRTAAAAASSYETIPPPFIDFLGVGAT >OMO93221 pep supercontig:CCACVL1_1.0:contig08115:25155:25481:-1 gene:CCACVL1_06580 transcript:OMO93221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MVVMERLGISYELDMNCNKVAADHEFAEIFEEEEPSLEEIKEAFDVFDENKDGFIDATELQRVLRCLGIKGNFVKLEDCTRMIKEADEDEDGRIDFNEFVKFMETCFA >OMO93218 pep supercontig:CCACVL1_1.0:contig08115:8138:8791:1 gene:CCACVL1_06577 transcript:OMO93218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MTRKKVKLAYIANDSARKATFKKRKKGLMKKMSELSTLCDIKACAIIYSPYDSQPEAWPSPAGVQHVLSKFRGLSEMEQTKKMMNQESFLRQRINKANEQLKKQCKENREKEMTQVMYQNLSGEFGLQNLMNMADLNDLGYMIDMNLKDINKRVESLAKMGSHDNSQGSPAAEPEANSGSQQFINLLQGNIGFGIGGDEMMNFFASDSNWSNGPLFP >OMO93223 pep supercontig:CCACVL1_1.0:contig08115:42151:46208:1 gene:CCACVL1_06582 transcript:OMO93223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQQNHQNMSLVLSTDAKPRLKWTPELHQRFVEAINQLGGADKATPKSLMRVMGISGLTLYHLKSHLQKFRLGKSQQTEICLSNNNKQDDSREIQSNDEDFSSDHTSDGTQKQMNESLQIAQALQMQMEVQRKLHEQIEVQRHLQLRIEAQGKYLQTVLKKAQETLAGYTSSSVGVELAKAELSQLVSMVNTGCTSSSFSELTELGGSSLKENERKPMRGTLCSMESSLTSSESSGRKEQEQIPINENSNPCVELSLMDIHPENKTFLSGSSNIQANGKKRSVSNISDGICVEQPLGKRSQNPKEETGDLLRKSGLFGLFDLNSQCQSEIDSGWKNCLLKAFIFLLIDLSSCFPSEPQPQGELPYMTSDAKEIAGKSFDYIIVGGGTAGCPLAATLSEKFSVLLIERGGSPYGNPLVVDKRFYGFSLIQTDEFSSVAHDFVSTDGVRNTRGRVLGGSTAINGGFYSRASEDFIKNAGWDKELVKDAYAWVESRIVFTPELTPWQTVVEFALLEAGILPYNGYSLDHVEGTKIGGSIFDIWGTRHTSADLLKAANPKNLVVLLNATVKNILFHSNGNETETTVKGIRFIKSDGNTDQTYSAYLNQPKNSTSVGDVILSAGAVGSPQILLLSGIGPRKHLENFDIPHVLNLKGVGKGMKDNPCISVLVDTDPQSRQPEPPQVTGIAKDYKFIVEGGIIPISFNATRMPIAAKIAFPVSEGKLRLNSTDPRRNPSVKFNYLAEEEDLNNCAKMVKLLERVAKSNSVAFYLGFKHQDKLMASNDDERKFCKENVRTYYHYHGGCIVGSVVDNDYKVYGVKGLRVVDGSTFPESPGTNPMATLLMLGRYQGLKIMKEREQSSSSSSSQQNP >OMO93226 pep supercontig:CCACVL1_1.0:contig08115:50247:54195:-1 gene:CCACVL1_06585 transcript:OMO93226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAETPHLKRMKKWYGGALVASLFMLLVLRYNVMKNPVEQSYLTNSFTINGTNPLEWVRFTGPPAVQNPDSASQVISLDTIASRLFAQRNLSKGEQHSLLTWNLLKHLINHSQALPNGVEAIKEAGSAWNNLMASVEEEKLGYAHDNSSRKAKEKQCPHFLNRMNATESDKSHYKLRVPCGLTQGSSITIIGIPDGLLGNFRIDLTGEALPGEPDPPIILHYNVRLHGDKITEDPVIVQNSWTIAHDWGEEERCPVPTDDNKKVDELDQCNKLVGKEDNRTHGSRRSSMGLGLNSRRYFPFKQGSLFVATLRVGSEGIQMTVDGKHVTSFAYRETLEPWLVSEVRISGDVKLISAVASGLPTSEDSDHTVDIEALKSLPLSRGSPVDLFIGVFSTANNLKRRMAVRRTWMQYPEVRSGTAAVRFFVGLHKNQIVNQELWNEARTYGDIQLMPFVDYYSLITWKTLAICTFGTEVVSAKFVMKTDDDAFVRVDEVLASLSRINVTHGLLHGLINSDSQPHRSTDSKWFISPEEWSEDKYPPWAHGPGYVVSRDIAKAVYERFNEGRLKMFKLEDVAMGIWIADMKKEGLEVRYEKEERIFNEGCRDGYVIAHYQGPREMMCLWQKLQETKRARCCGDP >OMO93222 pep supercontig:CCACVL1_1.0:contig08115:30071:32044:-1 gene:CCACVL1_06581 transcript:OMO93222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MAAELSDSSAIKLFGKMIPLPTLNLEEEALPDHDQLCFKEGSCDRNLVSSPDSLGGGNNSDDEVQALAPMEDKENNSSDEIKEDHLKDPTSILSDITDNGGRSQRKTSSIQTSKNEDISETTSTQQDKITLKKPDKILPCPRCNSKETKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGSGRRKTKSSNSAAAIHYHHIVISEAIRAAAGMNKNHSSENNNSHVLTFGSSDSEYNLSEKTQKNHSGETLEKEGKAELKNNYPWFSSKVPCFSSRPAWPYPWESIPAMIPPPPAVLSCHPGFPVTFHHGQPYLQGYSVPSPWNVCENSPTTLGKHSRDDQNMKIPSPSANSEKENLSKTMKIDDPGEAEKSSLLASLVLQSKKTSNPGGLFEGFKSKTNDERSNYRLEAFSMLRAANPAALSRSLNFHENT >OMO93219 pep supercontig:CCACVL1_1.0:contig08115:9776:10603:1 gene:CCACVL1_06578 transcript:OMO93219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MLSCRLQLQLPSQPLLKPPSTVTTTTKDGGLLFRQKLIYLQSLNINPHKALQLNPSLRSTPLSSLVSLEQSLSSFGLSRPSIGRILDMYPLLLTSDPLPPINFLLHEVPLPLPHLPVSLSRCPRLLLSSVTDQLRPALRFLTSLGLVVNSHTTLLLVSNVENTLKPKINFLRSLGLDEPDVNRMVVRSPGLLTLSVENNLRPKVQFFLEEMGGDVEELKRFPQYFSFSLEKKIKPRHRALVENGLKLPLPKMLKVSDGEFRVRLIEMQLQRAERL >OMO93224 pep supercontig:CCACVL1_1.0:contig08115:46546:47247:-1 gene:CCACVL1_06583 transcript:OMO93224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MASETETPEISSLFERLLRHRDLYFFLPFILGFSNSNNNSDTDNTTSRENPNDPDQETPQETTSQRERIILINPFTQGMVVIEGAANLEALLRGWANKDGQPPASKASIEAMPSLEIGESEDGECVICLEEWKHGDVAKEMPCKHKFHGECIEKWLGVHGSCPVCRYKMPVQEEDMGHKSDEERRRFEREIWVSLSVNSGSRRSEDSNQVPSTDSNDEVSSLSPSSDDHEMEG >OMO93225 pep supercontig:CCACVL1_1.0:contig08115:48392:49259:1 gene:CCACVL1_06584 transcript:OMO93225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MALAKVPTLQFKTCDIFGSHSKCQNFLPLQNRNHSAKKTRTLVIEARANTRTENAKIRNRRMRKKFNGTPTRPRLSVFCSDKQLYAMLVDDQNKKCLFYGSTLQKSIRDDPSCTTIEAAKRVGEELVKACVDLNINEISYYDRNGFARGERMQAFEIAIGHFGLLPR >OMO63594 pep supercontig:CCACVL1_1.0:contig12938:30141:33800:-1 gene:CCACVL1_22388 transcript:OMO63594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGHILVGRPWLYDHDMDHKMKPNTYSFLKDGKRFGYLKICGYPTH >OMO65347 pep supercontig:CCACVL1_1.0:contig12665:2062:2223:-1 gene:CCACVL1_21546 transcript:OMO65347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRKEMMKKMIKEGGRERLGTPSEGATPKMHSRQQSRHGSRQRRPLRWPAHP >OMO65348 pep supercontig:CCACVL1_1.0:contig12665:20635:25909:-1 gene:CCACVL1_21547 transcript:OMO65348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ionotropic glutamate receptor MILILTIFIVLDVLLNQMAEALIETSARLIVDDSGVCESPELVVRINVSLDGRVGGIGFLYSVLVFTVFVLISILRGALKRVTGRHVLRENSGGAKILAELGSKVKILIISLFLYGPSLSFSEYPYLIQIGEDDFSQARAIVTLAEQHRWRNITLIYEDNDSAREIHPKLIMSLEGSDVLLAKHIAVLPSYSDEEIIDQMKMQDEHYEMNSTSYSCSKLEVVTWPGGTLNIPKGWSLQGKKLRIGVPVRNGFRELINVNVDPQTNETTVTGFCADVFKAAIETLDYQVNYEFIPIIDMAGLYNDLFYQIYLKNYDAVVGDTTIISSRFSYVDFTLPYTDMGIGLIVPKTTSKNNIWIFLKPLAGDLWITTAAFFIFTGFVVWLIERPMNDDFQDSPLKQIGTIFWFSFSTLVFAHREKLLNNLSKFVVTIWVFVVLILTSSYTATLASMLTIQRIQLNSREDYIGYHSAFLPSATSNLNDGNPRLKPLPYQSAEDIASALTKGSKKGGVSAIIGEIPYIKLVLAKYSTSSTMIKSESILSGFGFAFAKGSPLVQDMSSAISKLREEGKLQTMENLWFNTHSTLTTSEDSTTSTNPSSLNLHSFIG >OMO49950 pep supercontig:CCACVL1_1.0:contig16351:55444:58055:-1 gene:CCACVL1_30743 transcript:OMO49950 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MASSSSRKDQEDQLESAKAEMGEVREENQRLKIYLNRIMKDYQNLQMQFYDIVRQDAKKSAAKTSNDDHQQDIEPELVSLTLGRFSSDSKKLLDDNKKKTCSQGKKDHEQRAAGSNNKEGLSLGLDYKLEAASKSDVDDDEALANPSPTNSSQEPKEEETWPPSKVLKTMTSGDDEILQQNPVKKARVCVRARCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPSCPVRKQVQRCAEDMSILITTYEGTHNHPLPMSATAMASTTCAAASMLLSGSSSSSSSTANTPTNLHGLNFYLSDNSKSKFYLPNSSLSASSSHPTITLDLTSTPSSSPTQFPFNRFSSAYPTATSRYPCTSLSFGSSDSNTLFWGNANGLLSYGNSSQSLMKNQIGTMENNIYQTLMQKNNLNPNPLPAAHQHQQPLQDTIAAATKAITADPNFQSALAAALTSIIGSGGGGNNGGEGLGQKLKWAEQPFPVTSSSGYSQTVKGNVGCASSFLNKSPSSTTSQQQGSMLFLPPSLPFSTPKSASTSPGGDSRNHSN >OMO49949 pep supercontig:CCACVL1_1.0:contig16351:210:4566:1 gene:CCACVL1_30742 transcript:OMO49949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIGADVNQKLFKGYATTVAVREGHLEILEILLKAGASQPACEEALLEASCHGQARFAELLMGSDFIRPHVAVHALVSACCRGYVEVVDTLMKCGVDATASHRQLLLSSKPALHRNIDCTALVASVVSRQVSVVHLLLQVTAKEVDPIDLKVVESSHFNSSDKAKKSTEIAL >OMO94611 pep supercontig:CCACVL1_1.0:contig07826:34709:36808:-1 gene:CCACVL1_05904 transcript:OMO94611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MVWRLNNQNSKFADICNAEVGPLSAAGARRRKSPPSSGNTTRRFYKQRRYEQLKANSKMKGHLKTTYVILQLADGSLVHPKGVLENILLKVEHLIFPIDIFVMDMEHSKDQCPLLLGRPFLRTSHTKIDVFNGSLTMEFDGEVIHPRISSQSPLKTNNFVCVVNSKWVKEASEESSSTKSDQISRGRQRILDIRQRYNENFHQFWERFKKLCVDCPHHGFMEGILIEIFYGGLGLKDRVLVDSSSVKPLHERTAENAYFALEELSQRKEEQKKEVKAPKEAIQGQNDRANRASMTRHNPAKPVPQQPACPVIARPSMPHAWIGEANATPARAPSQVTSS >OMO94612 pep supercontig:CCACVL1_1.0:contig07826:38830:40124:1 gene:CCACVL1_05905 transcript:OMO94612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKNVVADLNKGEKLDGDNYDIWRRKIQYLLDEQEVLETLDQVMAEPEEGNTAQHRCDLAAYQEWRKKDRCARFTMLSSMHNDLIGEFEQYQTAHEMWQALRNKYGVTTLSKLRKLNLKFNTYKKKPNHSMKQHLRTMSTLIRELSAAGVALTNEQQVQAVISSLPDSWEHMKANMTHNESVRTFEDIARHLELEDERLKAAKPATDGVANVAESNLRKASGLSASGLESHFARDCTEPKKKVFSKSMSCSDCFVTYDNSVAHPFPMWTVDSGATDHLARTRVGFIEFRRIPAGSRSMKMGNDSSVNVLGIGTYKLEMRGGRTLLLHDVLYAPEIRRNLFSVITMLGLGFRFAFEGNKVDIFLGTTYYGCGFVPKQWEMLDIWALHP >OMO56865 pep supercontig:CCACVL1_1.0:contig14467:1931:3022:1 gene:CCACVL1_26201 transcript:OMO56865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRFKLKICRVFQSCRSKDPSDLPSIPVPSVFRVSSSVNPNPTTTFHLPPPPPVPPTSTKPHYSSFKRHVSSAFSSIGCGLGSRPSAQYFSETDPSESPPPPTPEFHWEREDRWHVIAKAYDDDDETPRRKIYNSSENDDAFYPPPPPPNTEKKKRRYKRKKTTSKMRNSTSSADSGLFSSESFDEYDIKDEETETLVSSSRSFSTDSSSEFNSNLETICETMPTRHRKKKKSKRVKKARRNILKDHRNVRLSSSENESPARLSSFFQKMIPCTVEYGKVRESFAVVKKSEDPYEDFKRSMMEMILEKQMFEDKDLEQLLHCFLSLNARHHHGVIVQAFSEIWEALFSRRSTSFRVSCSVNQ >OMO56867 pep supercontig:CCACVL1_1.0:contig14467:10331:11560:-1 gene:CCACVL1_26203 transcript:OMO56867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIPLRHLRHLSTATSKTPTSSSSVSISQAKAKLRTEYDPDKAVEIYSSVSKNYSSPTSSRYAQDLTVRRLAKSRRFSDIESFIESHKRDPKIVQEPFLSTLIRSYGVAGMLDHAINTFDQMEQLGTPRSAISFNSLLNACIQSRQYDKVPHLFDEIPKKYPSVSPDKVSYGILIKSYCESGNPEKGIEVLREMERKGVEATAITFTTILNALYKKGNKEEGDKLWSEMMKNGCELDVASYNVRIMSVVDAEPEKVKELIEEMSTMGLKPDTISYNYLMTCYCKNGMLDEAKKVYEGLGANGCTPNAATFRTLIFHLCLKGLHEQGYKVFKESVRVHKIPEFNTLKHLATGLVQNDKIKDAKGLIRTVKKTFPPNFLNAWQKLEEELGLVSGNAAAGEAQQAKEATG >OMO56866 pep supercontig:CCACVL1_1.0:contig14467:6677:9402:-1 gene:CCACVL1_26202 transcript:OMO56866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRIAPGVGANLLGQHSAERNQDATAYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPESGNSRGFGFISYDSFEASDAAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPNATKSRPHTLFASGPPTLPSGTQANGAIGAPVPPRPFANGTVPPGPMPVVRPPPPQSLPFPPMQMQVPGQPPWQGQPQQPGTSSFTASPTNGNGCTACLAPTATSAANGWKAPNASNVDATPSSTSICKLKLRKIFVLVH >OMO56871 pep supercontig:CCACVL1_1.0:contig14467:33466:35579:1 gene:CCACVL1_26207 transcript:OMO56871 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MPAAAVFSKLNPGIYTSFRRAITGKGVHISTSSLLRQHKGFSLNSELTQFMPYSRTSISVNRVIPNQLCKAKTMAVSGSKVVFGDVYVDDLVSSCGNGLDFMKPTGVYFADRSLSACQKVSVILRKREQPNNRILCGCYVGDAIQRNTKYNSPFGPMMKSIHTSRFFCSSAGAAHDVSFDGSSKDEQIASFPEQAVPGQKILTLLSASCYLPHPAKEETGGEDAHFICANEQAIGVADGVGGWAEVGINAGEFARELMSNSVAAIQDEPEGFVDPARVLEKAHSNMKSQGSSTACIITLTDEGIHAINLGDSGFIVVRDGCTVFQSPVQQHGFNFTYQLESGDTGDLPSSGQVFRIPVLPGDVIVAGTDGLFDNLYNNEVTAVVVQAQRSGFSPEVTAKQIAALARERAMDRNRQTPFSKAAQDAGLRYYGGKLDDITVVVSYITRSTNV >OMO56869 pep supercontig:CCACVL1_1.0:contig14467:13463:17979:-1 gene:CCACVL1_26205 transcript:OMO56869 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit Rpc5 MDLDDLDELDGPRQGNTRTTKFAPKSTKFAPSRKPKSEPKAETKVETKVEKEQEDIKPVIAELKTEQSVLNGAAKMEIDAEAKEDAAKMEIVAEAKEDAAKMEIVAEAKEDVIMNDQTGEEEEQQELAVAAAEEDMVVREIDVFFTPSVDANTQLYVLQYPMRPCWRPYDLDERCEEVRVKPATGEVEVDMSVDVDSNNFDKECASKLKMNKQTLSTSWLPSRATGIAVGVLMGDKLHLNPINAVVQLRPSMEHLKPSVSKRKNTVEADAEVTVKVEERTDEKEVGSSTKQCWVPLKYHSSKSDFSAQYVQRMMAEESSPIQFAMNPYDYVDSLCPGANNNNKAQGPSKRFLLSMPLEERLKKLLLEGPPFQRFNALKHFAPDSSTEAIFEVLQKHAQLVQGLWVPKSQLLFPGDPVKALARDYVLILFSKNPIITLAHLNGLSTRHRDEVKGILKILAIERPSFKDWKLKENADESFQKLYPDIVQKQEEIWAAGEENVINHIFRAAKGGPSRTKPSIVIKPEKVVNPDKGERKVAPGAQAGRTMTNEIQEAMLKTLKKVFQTHKVCSLQLIRKGLRDLAVSLSTHPKADARKEVKAAEGANAPEHELREVVSQVAVEVHGGLFILRSSPDHPEHDPLREVVINLLRAKEKLKKADVFAAAQLSLKREINNNEYNKVMSDFCEYKGNWWVLKSGDGKPS >OMO56873 pep supercontig:CCACVL1_1.0:contig14467:42507:42683:-1 gene:CCACVL1_26209 transcript:OMO56873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHIKAQASSTVAAQSISQKQERRKELNGILVDKPSGPKNGAHKSTWNGDVIGPEEW >OMO56870 pep supercontig:CCACVL1_1.0:contig14467:23675:25991:1 gene:CCACVL1_26206 transcript:OMO56870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVDLDNSSTASGEASVSSSGNQNPPPKSTAKKKRNLPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKEVKKRVYVCPEPSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAEESARAQTQPSSQNQNQAAANPSSESDPKTQAMESSSPPAPPPAPASVSAPPPAAVQVSASTTSVISSSVLPMQSGELQENPTPILEEDPPPPPPPAPAGLNGSCSSSNSSSSNGSSSSTVFASLFASSTASASLQPPQPPAFTDVIRAMGRPERPADLAPSTSTEPISLCLSTNHGSSIFGTAGQERRQYAPAPQPAMSATALLQKAAQMGAAASNASLLRGFGIVSSSSSSAQQDNLPWGQRQVEPDNASVPAGLGLGLPIDGSSGLKELMMGTPVFGPKQTTLDFLGLGMAAGGSPNGGLSALITSIGGGLDVAAAAASFGGGDYTGKDIGRNS >OMO56874 pep supercontig:CCACVL1_1.0:contig14467:45071:46717:1 gene:CCACVL1_26210 transcript:OMO56874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MRVPVTNSPQNNNHCLSPKPNNNNNNNNVGARNITFHGLNTVPTSCYEPTSVLDLRRSPSPVTEKRPADVSNQVAPAVAPPLEWDEHVLRNMDWDSIMKDLGLDDESVPAIKPNFINPTSCENHIQNLPEFASSELTHHSTSVHSDFNNLYDFYSGLNQNPSHHQNINLDHNISNFHHHTTGNFNLGFDFIEELIRAADCFDTHELQLAQVILARLNQRLRSPSGKPLQRAAFYFKEALQSLLTGSTRPTRLSSWGEIVQTIKAYKAFSGINPIPMFNHFTTNQALLEALDGSAPLIHIIDFDIGLGGQYASLMREIAERSDHSCKFIRVTAVVPEEYAIETRLIKDNLFQFAQDLKLRFQIEFVLLRTFEMLSLKAFKFIDGEKTAILLSPSIFRCLSLNVTAFLSDLRRLNLSVVVFVDSEVWMETGTTSFRKNFVNGLEFYAMMFESLDAAVGGGEWVRKIEMLLLRPRILAAVESAARRTAPPWREVFVGAGMRAVHLSQFADFQAECLLGKVHVRGFHVAKRQAELVLCWHESALIATSAWRC >OMO56868 pep supercontig:CCACVL1_1.0:contig14467:12585:12653:-1 gene:CCACVL1_26204 transcript:OMO56868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFERRKPIRSFNGEIDKYSL >OMO56872 pep supercontig:CCACVL1_1.0:contig14467:36135:38422:-1 gene:CCACVL1_26208 transcript:OMO56872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MSKKGNLILIPFSLLSVFCIILALFSINAKASFADASALGILVPRQWRLPLVETEFGEISAVKVTDGRKGSFHLQFITLEPNSLFLPVLLHADMVFYVHTGKGTLSWSDGDNEIRNMNIRKGDIYRLPPGSVFYLQSSLEPERERLRIYSIFSNTDQDIYDPSIGAYSSINDLLFGFDPKVLQAAFKVPEEVIMEMMQATKPPAIVHAVSEKKKNLCQWQARLLKAFLGSNRDSFETINGKKKLKPYNILEAKPDFENCNGWSLTVDKHPSRLLKDTNIGVFMVNLTNGAMMGPHWNPRASEIAIVLHGQGMIRVICSSTAKESECKNLRFRVKEGDVFAVPRFHPMAQMSFNNDSFVFMGFSTSTARNHPQFLAGKNSVLQFLDKSILAVTFNVTNTTLDQLLTPQKEAVILECTSCAEQEESKMEEEIERERQEEEARKREEEEARKREEEEARKREEEEARKREEEEARKREEEEARKREEEEAREREEEEARAREEEEARRRKEEEEARRREEEEEEARRREEEEEGRRTKEEEARRQEKERQRRAEEEEEARREEEERQRRAEEEAARRQEEERQTEAEEEEEAARRQEEERQRRAEKEARRERERQEEEPEEGGYEGERRGRKKVWKI >OMO78459 pep supercontig:CCACVL1_1.0:contig10584:9777:13218:-1 gene:CCACVL1_14366 transcript:OMO78459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MEKLVSSWCSNIKALPESYIFPPETRPGNLTVPTYNSNIPVIDLSKAEGQNRTEMVEQILKASEEFGFFQVVNHGIPENLMNQAMDIFKEFFEILPAEEKAMLYSEDPKKSCRLLTSTPNYNWEKNHLWRDNLRHPCHPLEECIKDWPEKPIKYREVMAIFSVEAKKLGSRILEFIAEGLGLESGYFRADRRPGKLDFPSCNSVPVIDLGNGDDDRPEIVEQMLKACQEIGVFQVVNHGVSEEIINETRSMYKEFFEMPEEDKASLITEDHEKQCKLVTGSTRHNGNKLNLWRDNLKHPCHPLEDCMKSWPEKPTRYREVVAAYSTEIKKLGSRILELLCQGLGIENSSYFEGKLSEDLQMSVNYYPPCPDPSLTLGIPPHHDPTLITILHQGDVSGLQLLKDGEWFNVEAHPNAFVVNIGYQLQVISNNKVKSCEHRAVTNSNDTRITIVCFLYPASDSIIEPAASLVNESNPPLCRAFQSKEFMRNFYSMGGNGELALQPFK >OMO78460 pep supercontig:CCACVL1_1.0:contig10584:15944:17288:-1 gene:CCACVL1_14368 transcript:OMO78460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MEKLLDVPTCKAVPVIDLGKGLGDGNNRTDLVNQLLKASQEFGMFQVVNHGIPEELLNDTRSVCEEFCELPAEDKASLLSDDPECKLVTGITKYETDRIHFWRDYLRHPCHPSEECMKSWPQKPTRYREVVAAYSIEAKKLGLRILELLCQGLGIDTNYFQGKLSEYVQMVVNYYPPCPDPSLTIPGLPKHSDIGILTILFQGDVCGLQLFKDGEWLNADPLPNALVVNIAYLFQVISNKKLKSAEHRAVTNSSRARMSVCFFIYPSDDGIVEPAAADEINPPLFRAFQFNEFMRNFYSMGRNLELAIQPFKLET >OMO78462 pep supercontig:CCACVL1_1.0:contig10584:29077:30400:1 gene:CCACVL1_14370 transcript:OMO78462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFRARQDEDTFIALSPTMWINRGLDESVIRDIPTFQFKREGFLGRIILLKNAVTEAGDHYFHSETAKGPEMRNYVAAAAEEQRK >OMO78463 pep supercontig:CCACVL1_1.0:contig10584:31018:38880:-1 gene:CCACVL1_14371 transcript:OMO78463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 9 MMFRVQKATNALGIFKWRCGGESSLTTGLLGDVPPEIELSDYGRVPPSPGSESPSGLLHGETLSVEPIADLDLFFERLYSYYCEKGLWCIIIKWIVELLSLGFTICFSGFFLLFVDWNGLRNAKCGMDAFESGIKPCDLAKEALHEHPLTPLTLSKAIIVGYLGLFSIYWIFCFLRFFAQLKDTLGIRHFYYNSLHVTDNEIQTMPWATILERVVRLQSSQQLCVVKELSAHDVVMRLMRKENYLIGMLNKGVLAFPISPWVPGAGPKVKYGPDGRHHRLILTKTLEWTLNWCILQSMFDRNFRVRTDFISNPRTLKKRLMVVGLAMLLLCPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSKWIFREFNEVDHLFKHRINSSVMHASEYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLLWYAAVFGTITAISRAAVTDELLVLDPEGAMSMVVQHTHFMPKRWRGKENTETVRIEFETLFQYTGMMLVEEMASIFLTPFLLMFVVPKRVDDILQFIADYTVQVEGVGHVCSFSAFDFKSHGNGNYGSPYNAPRAKRSSQGKMEKSFLSFQSTYPSWEPNDHGKQFLSNIRTFREQKLQGQGARYANSQGRLWRGSPLRTYVDRNGLLSREMQQNIPGTGYNLGSLWLIDADQKNHPYLLDWYYTSRTDHVTSYGRETATRPFEPNEQQHGDFWAPTNMTHNEAREEDYWPYHYEDRSQSHLEASTSAPFFHESVIQHHDTGDLGHRTRSHWWARSGTHGAHPQSSFLEPPDFNRYSSDHHYENFSERSVEEQEQFLDWSDSRKLSRTTVLDDDLETGGGDAVSFAFCIFSVAKANSSPSSSSSSSALHSSSRRPRSCVKAHGLVLKPHQFQTNPSRTLDTLVEKPTQLSSRQRKLREKSDLDESFESAKTAEEMIGAFKKMEACFDERELGLALLKVGLRLDQDGEDPEKALSYAERALKALDQDGYLNRANRMLGRLEEEGIASVGDIRAVLHAVQVGLGNVKTAMGRREEALENLKKALEIKEIIFEKDSKELGMAYRNLAEVYVSVLNFKEALPFASKALEIHIKELGHNSVEVAHDRRVLGVIYTGMEEHEKALEQNELSQKVLRNWGLSSELLRAEIDAADMQIALGKYDEAIDTLKGVVQQTEKDSENRALVFISMGKALCNQENFADAKRCLEIACGILEKKERVSPIEVADAYLEISTQYETMNGFDTAISLLKRALSLFERQPQQQTSEGSVSARIGWLLMLKGEVPQAIPYLESAAEKMKESFGSKHFGVGYIYNNLGAAYLELERPQSAAQMFAVAKDIMDVSLGPHHADSIEACQNLAKAYSGMGSYALAIEFQQQVVDAWDGHGASAADELKEAQCFLQELKTKAHGTSTNLKPTKALPLPTNSLATRISQLGVNKNSASSTQ >OMO78464 pep supercontig:CCACVL1_1.0:contig10584:41116:44071:1 gene:CCACVL1_14372 transcript:OMO78464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSDSLESTPLKSKKSLSLASNSPVSKTPEKHGSLLPNRPRNSGVALSIKEIRQVAQARPKPPTDEIESARKQILFSPNESPPPKTSGDRPKKLTEKYEMLCEFFNSLDSALRLLKLRGSVPTFRNISSTIECLTKRRFSYGHLAQLKHILPEAIQIKKMLVLDERTSCMKPDLHIRIIVNAIECGDKSKSETKNLNLRRVFRSRLSDFVKAHPEDAEIPEEDLPEPFNHSKQKQQLNMIKAQLSSDESSTNLTREGEAAESVNEQQPVVASHLPLSFQKRFSKRVASKAQDTVQHSSNVSLQSSNVQVPELSADIFASSGKTSSAPSQSPTEVLCKPASSEMSFKTCLPATPVKEILPLKTEDGSPTKAGGTLSTPAKLASTPARLMTATPTLQPQKRCYMSPDEVSLDSSNKLLRRPPRTRSLKFDTPVKEKKVVGEDEMAIKPVDDDEDDILSVLPESLLNSIKEKERKAMEELDPAISQAKRRQRMIACLPKLFNTIHYLFQSIRRSVITKEELVHKIIAGHCDIIDRGEVEEQLKLLQELAPEWISEKRAFAGDLLVCVNKVSSAESIRVRLQEAK >OMO78458 pep supercontig:CCACVL1_1.0:contig10584:2866:3406:-1 gene:CCACVL1_14365 transcript:OMO78458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLVSSWYKDQSLPESYIFPPDVRPGKLDVPTCNKIPVIDLGNGDNNLVDQLLKASQDFGMFQVVNHGIPEEMLNDTWKVCEEFFQLPVEDKASLMSEDPESKCKLVSSSIKYSTEKVHLWRDNLKHSCHPLEECVKSWPEKPTRY >OMO78461 pep supercontig:CCACVL1_1.0:contig10584:25323:26711:-1 gene:CCACVL1_14369 transcript:OMO78461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVSSWCYDGSLPESYVMPPETRPGKSIVPLGKSIPVIDFQHQDRNEMVHQIIKAGEEYGFFQVINHGVCEDLMEETMKVAEEFHAMPAMEKEKECSKDPNGSCKLYTSSYSYPREDFHLWRDAVTHPCLPSEECIQFWPENPTKYSNGKLKGAEHRVVTNSRNARTTVSYFVYPSDECIIEPAKALVNSCNPAIYKAFKYIDFLTAFILKAENTDETVKELIDLDYY >OMO60858 pep supercontig:CCACVL1_1.0:contig13656:5445:15019:-1 gene:CCACVL1_23830 transcript:OMO60858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSSGRKLSFDILSNTSYLEEEYDRSLVYRSNSDPIQSQNGVAQPSRDSRKKRKKHKKKKDATVEFPIIREDPVAEQSGEGGVAAESNSENFGIRENGNVNRISYIGGGSVVVLEESVSQNVCGFGELRQRNVNGVVGGGGEETATATATATATAEESGVEVSSLKEPLQPSPPQPVANGNAVNRLETAESLDWKRLMAEDPNNLFTVDKSPVKYFLEEMHNGNSLRSTTTFGSEKERERVYDTIFRLPWRCEVLINVGFFVCFDSFLSLLTIMPTRILITLWRLLTTRQFKRPSAAELCDFGCFVVLACGVLLLERTDISLIYHMIRGQGTIKLYVVYNVLEAITLSTCIVAHNNALFALLVSNNFAEIKSNVFKRFSKGNIHSLVYSDSVERFHISAFLLFVLAQNILEAEGPWFESFICNALVVFFCEMLIDIIKHSFLAKFNDIKPIAYSEFLEDLCKQTLNIQTENVKKNLTFVPLAPACVVGGGLYIKRKTDWLSTILQTEFFGSCNDHQELRKNERNVFCIDCSLGCCRHCKGHGNHRSLQICKYVYQDVVRLQEIQKHLDCSKIQTYKINGEKAVHLNPRPQAKDAKPSTKIKTGASCETCRRYLQDPPNRFCSIACKVLAVDVKPKVIRSVKIELEMQEIPDLSWKDNQNSEASTEEKQSSLSSTDVSEETKTCVRCLKPRKRVHKRKGIPHRAPLI >OMO60860 pep supercontig:CCACVL1_1.0:contig13656:24988:26247:-1 gene:CCACVL1_23832 transcript:OMO60860 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box protein MAILQSSSLLTRSSSSANCFGKSRASLYNTNYVLVSKLQINAEFNPRKGFKSFKTTNPLENNKTNMEKEEVMSKPVALQQMYAIMDIAADRAQMHKNIAAQRDNWNWLLLNSVNEMTVTAATLAGIAAVGGGGGGAISLLALKLSSSLLYMAATGVLLVMNKIQPSQLAEEQRNASRLFKLLHEEMKTKVALKTPDSNDVEEAMEKVLALDKAYPLPLIGTMLDKFPSIVKPAVWWPEDETTHEELQVKVKLVGKEETNGWDENLEKDMKEIVGVLKKKDTEEYIRLCKLVLKINKVLAICGPLFTGIAAVGSALMGSSSFGSIAAIFGVVFGAMAIVVNSLQHGGQIGMVFEMYRSTAGFFSLVGETIKSNLEEKEADDRENGELLELKVALQLGRSLTELRDLSDEEDEDEFASKLF >OMO60859 pep supercontig:CCACVL1_1.0:contig13656:16030:18447:-1 gene:CCACVL1_23831 transcript:OMO60859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLLLISFFFILLLSNLSPSLSQQTHFSSSDFPWLPTQNKILLSRNKVFAAGFKQIRSSPNQYTFSVWYYNIAGNNTLVWSAETSSAIDGTSSLVITNTRQLRLINSANQTLWNTGNSNSTGLELNNEGNLVFGNWQSFDFPTDTILPNQEMSNGTAAITSMNGKFRFQDYKNLVFDSSKYPGQQKFSQYWSIDNAFLNLEETGMVKQENGASLVSSDFGESGKFRRLTLDDDGNLRIYSFDSKISDWEVVWLAVQEMCTIHGTCGPNSICMNDASNSDPTSCVCPPGFKQKVNDKSSCEVKIQFNNNPGNTQFLQLDFVNFSAGSNQTYKTVQNFSMCQSSCLADPKCLGFGFRYDGRGYCVLVNYLLYGYWSPGTELAFFLRVDKSETDKSNFTGMTSLLETTCPVNISLPFPPNESDTTTRNIVIICTIFAAELISGVFFFWGFLKKYIKYRDMARTFGLELLPAGGPKRFTYAELKAATNDFSNLIGKGGFGDVYKGELSDHRVIAVKCLKNVTGGDAEFWAEVTIIARMHHLNLVRLWGFCAEKGQRILVYEFVPNGSLDKYLFPASRVPSLDTETETEMESVGNTGSSNSKPILDWSIRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLGDDFCPKISDFGLAKLRKKEDMVSMSRIRGTRGYMAPEWVKMDPITPKADVYSFGMVLLELVSGVRNFDMQGSLMESEDWYFPRWAFDKVFKEMKVEDILDRQIKHCYDSRLHFDLVDRMVKTAIWCLQDRPEARPSMGKVAKMLEGTVEITEPKKPTIFYLVDE >OMO96477 pep supercontig:CCACVL1_1.0:contig07468:8011:9422:1 gene:CCACVL1_04941 transcript:OMO96477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVFPQDPFTYACKEFFYPAAAWSNDFCFQEEEHKALLAILDNNVNGDIEQGNTHHLHANWDSSSTSVMQPHDNHQWDPYSSPETCTVDQSLPAAGFPAAMEAPPPTAAPTTTTTTNNRRKRRRTRSSKNKEELENQRMTHITVERNRRKQMNEYLAVLRSLMPPSYVQRGDQASIIGGAINFVKELEQLLQSMESHNRNTNTTSQQQKPFADFFTFPQFSTPATQCNSNSHSPSPPPMAAEPMAAAESMADIEVTMVETHANLKILSKKQPRQLLKLVANLQSLGLTILHLNVATTADDMALYSISVKVEEGCHLNTVDEIAAAVNQMLRRIQEEAAFS >OMO96476 pep supercontig:CCACVL1_1.0:contig07468:2025:2096:1 gene:CCACVL1_04940 transcript:OMO96476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKAKMKAPGFWFGPRFRCAATGT >OMO72881 pep supercontig:CCACVL1_1.0:contig11344:17512:22262:-1 gene:CCACVL1_17543 transcript:OMO72881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prohibitin MLAKILHVSSQVAPVVDSDISTPVVAAVNHDEAKINRAQRKAKTSSKVRGKKHVNVGNVDEQKNHVNQPPLALPLVSSDEARIRRAERRMKRLHKNTHVGNSLPTPKVSVTDCCQSTLLTKHSQVQKFVHLTFNNEFQSLDYLSHDDMQSNDQSNNGFPWTVAKGQGTFTPISSVICREIWSQEAEILNRYRRAYAQPWVDFVSGSRKMNLNNVKVPKMPGGGALHALLKLGVIAGLGVYGIANSLYTVDGGHRAIVFNRIKGIKDEVYHEGTHFMVPWFERPIIHDVRARPH >OMO72880 pep supercontig:CCACVL1_1.0:contig11344:9757:12322:-1 gene:CCACVL1_17542 transcript:OMO72880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease Zc3h12a-like protein MMALNIYPNEASITSIARLAAAKGDGDYAFEMVKTSGDYQVLPRLRTYEPALFCFCQKLEAQKAYEVEEDINKVGLSFEEPQIAALLKLSAETGRGDRVYEYLQKLRRSVRCVSSVKEAVLRNGGGWHGLGWIGEGTWMVRKGNVEPNGRCCCCGEQLGRVDIDDAETERFARSVAGLAMEREVKTNFREFQDWLEECADYEAIVDGANIGLYQQNFAEGGFSVLQLDAVIKEMYNKSGNKWPLVILHNKRVRALLENPSCRKMVEEWRNNGVLYATPHGSNDDWYWLYAAVKLRCLLVTNDEMWDHIFELLGSNFFLKWKERHQVRYTFLKGTLKLQMPPAYSVVIQESEKGSWHVPIVCDGSEESARIWLCITRQSCENGNSPCGKPEMSNTVDSEILPSDNKNHASPASFRKSDGKIPSVTGKRKERSP >OMO72878 pep supercontig:CCACVL1_1.0:contig11344:1155:2196:-1 gene:CCACVL1_17540 transcript:OMO72878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKGLLQQREKNRNPEKEKIKDQMNESEAEREIYVMLVDVPEPTDEANPK >OMO72879 pep supercontig:CCACVL1_1.0:contig11344:3084:9076:1 gene:CCACVL1_17541 transcript:OMO72879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEQHADPENTKEQPLSTKNETSVSPNSSQDATAIGHTRDGAGQSGSFGSGGDRNVYSPTIYAPQAQAFYYRGYDNATGEWDEYSSYVNAEGLELGSPGVYNDNPSLVFHTGYGYNPQMPYGPYSPVTTPLPSVGGDAQLYSPQQFPFSAQPYYQQLVPPSMPYITSPSAISQPELTTLVNVDQQGDNMLFGPRPSYPTPLGSFGRGNFPGNPGTLGFNDLQQGFDGLRSGGLWSDWSKPSDRQRSLTPISPAVSPQPIGPIGPIGQNVPMASQQQRSFYRLGSGMNSYSRGYMQSGLNQGPSFESASGPSLGANSRGWLSLDSNRRRGRASGISLCGCNGALDVLSEQNRGPRASKPKNQNTGEHNSSIDDNKNIVSSAKISDETYNRPDFVTDYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYREAKDNQDSCPVFLLFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHILLENNDNKPVTNSRDTQEVKLEQGIEMLNIFKSYETDMSILDDFDFYEERQKAMQEHKARQQASLMSVGVVGESEHRNTVTLSNDFIKQMSKSFAQVVCLDDGNKEGAGIERTSSASDVITASVSSAQAS >OMO90092 pep supercontig:CCACVL1_1.0:contig08530:6888:8245:1 gene:CCACVL1_07510 transcript:OMO90092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGWEKAMKEVLALKQQLDAATKKHAAVEDRVGHLRGALKKCVRQLKQA >OMO90094 pep supercontig:CCACVL1_1.0:contig08530:29273:49542:1 gene:CCACVL1_07512 transcript:OMO90094 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde oxidase 2-like protein MGCKVVVGAPPCLFFSRSFIRHNWYIARISSLKSISLSRVAVKETKQQPIEWNGQLNDFDSTFQLEVPAIMPAVGKMLMDFISGTIRQSLQEQGR >OMO90093 pep supercontig:CCACVL1_1.0:contig08530:24513:24816:-1 gene:CCACVL1_07511 transcript:OMO90093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERFAFWARATTAAKKCQYLGNGFVEVYQFQ >OMO90095 pep supercontig:CCACVL1_1.0:contig08530:57156:58594:-1 gene:CCACVL1_07513 transcript:OMO90095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNIENIGDEYKNYWETKYFLENEEYSSWAIDEFSGYYDSSSPDGAASSAASKNIVSERNRRKKLNERLFALRAVVPNISKMDKASIIKDAIDYIQELHEQESRIQAEIMELETGKLKKNPGFEYEQELPVLLKSKKSKLDNIFDSGGSPTSPIEVLELKVTHMAEKIVVVSITCSKRTDTMVKLCEVFESLKLKIITANITAVSGRLLKTVFIERT >OMO57623 pep supercontig:CCACVL1_1.0:contig14347:10214:10541:-1 gene:CCACVL1_25727 transcript:OMO57623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DAAFLSVTILKKKLRGHIFLGCDNHPLSRQEIMNLVDKSGKFNKKFEGFT >OMO94554 pep supercontig:CCACVL1_1.0:contig07842:4762:4854:1 gene:CCACVL1_05928 transcript:OMO94554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NYEEYLSVLSNARELARKKDVAALRILSQAQ >OMO94881 pep supercontig:CCACVL1_1.0:contig07788:1637:2688:1 gene:CCACVL1_05724 transcript:OMO94881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MAPAMLTARFKQSVTPLALRAYLAEFISTFFYVFAVVGSTMASRKLMSDAATDPSSLILVAVANTFALSSSIYIAANISGGHVNPAVTFGMAVGGHISVPTAMFYWISQMLASVMACLILKVTTVSQHVTTYAIANEMTGFGASMVEGVLAFALVYTVYAAGDPRSGPLGAIGPLAIGMMGGAMVLAAGPFSGGSMNPALAFGSAVIAGRFKNQAVYWVGPLIGAAVAGLLYDNVVFPGQAPPALARSNSDGIGA >OMO94883 pep supercontig:CCACVL1_1.0:contig07788:21271:22386:1 gene:CCACVL1_05726 transcript:OMO94883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MWRQKKLRQTCADHNIHVSAYSPLGGPGNAWGSTVVVENPIIKSIALKHKATPAQETMFFILHYLRQFARMSP >OMO94882 pep supercontig:CCACVL1_1.0:contig07788:3688:20385:1 gene:CCACVL1_05725 transcript:OMO94882 gene_biotype:protein_coding transcript_biotype:protein_coding description:RecF/RecN/SMC MALKTLSTFLSPLSLPNNHNLTKFSPLLTVNTAVACCYGCGAPLQTTELDAPGYVDIDTYELKKKHRQLRTILCGRCRLLSHGHMITAVGGNGGYPGGKQFVSADELREKLSHLRHEKALIVKLVDIVDFNGSFLSRVRDIAGANPIILVVTKVDLLPKGTDFNCVGDWVVEATTKKKLNVLSVHLTSSKSLVGIAGVASEIQKEKKGSANVGKSAFISALLNMMAERDPAAAAAQKYKPIQSAVPGTTLGPIQINAFLGGGKLYDTPGVHLHHRQAAVVHSEDLPVLAPRSRLRGQSFPAASKNGMAGTFNSNGLKGFSIFWGGLVRIDVLEALPETCLTFYGPKGLRIHVIPTDEADEFYKKELGVLLTPPTGKDKADEWRGLETAEQLKIKFEDAERPASDVAISGLGWITIEPKRKSLGISESTIADTINELHLAVHVPKPVEVFVRPPIPVGKAGAEWYQYRAMHIKEICLEGFKSYATRTVVPGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRAANLQELVYKQGQAGITKATVSVIFDNSDRSRSPLGYEDHSEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKEFALKTLEKKQSKVDEINKLLDQEILPALEKLRKERTQYMQWANGNAELDRLKRFCIAYEYVQAERIRDSAVGEVERVKAKIMEIDNDTERTMVEIQDMETNIAKLTAEREATMGGEVKTLSDEVDLLSKSIVQEVSVLHSKEDTLKGERENAEKIVQNIEDLRQSIEEKGIAVQKSEEGAADLKKRVEDLSKSLEENEREYQAVQAGKNSGNEEKCLEDQLVDAKVAVGTAETELKQLKTKITHCEKELGEKTRQLMSKREEAVSVENELNSRQNDVERIKTELESLPYKEGQMEALQKDRASELEMIQKLKDGVRDLSARLSNVQFTYRDPEKNFDRSRVKGVVAKLIKVKDSSTMTALEVTAGGKLYNVVVDTENTGKQLLQKGDLRRRVTIIPLNKIQPNTVPPRVQQDAIRLVGKENAKLALSLVGYDKELESAMEYVFGATFVCKTTDAAKEVAFSREIRTPSVTLEGDIFQPSGLLTGGSRRGGGDLLRQLHDMAECESKLSVHQKRLSEIEAKIAELLPLQKRFMDLKAQLELKMHDLSLFQSRAEKNEHHKLGEMVKSIEKDLEEAKSAIKEKQSLYEKHVSKVLELEKSIREHDNSREGRLKDLERKIKATKSQMQSDSKDLKRHENERERLVMEREAVVQEQASLESQLASLRTQINNLNLEVDEQLAKVNSIKKNRDQLQSQLDSIRLKMKECDSQISSILKEQQKLQHKLSETKLQRKKLENEVKQMEMEQKDSSTKVDRLIEKHAWIATEKQLFGRSGTDYDFASRDPRKAREELDKLQAEQSSLEKRVNKKVMAMFEKAEDEYNDLMSKKNTVENDKSKIKKTIEELDEKKKETLKVTWVKVNNDFGSIFSTLLPGTMAKLEPPEGCSFLDGLEVRVAFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVAAKPSRETTQQAIHMALKMGYRHFDTAKIYGSEPAVGNALRMAIEDGTIQREDVFVTSKLWCADHHDPVSALNQTLR >OMO94884 pep supercontig:CCACVL1_1.0:contig07788:23429:29939:-1 gene:CCACVL1_05727 transcript:OMO94884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHEEMMNNNKGKAISTVRTRASQNHSGEDEDDDKFSTRAFRPAKSSPRNASSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEVNLFKLMERRGYGEEYISRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLASTPVWARDFSSSEELITEFCRECRIVRKGLNGDLKKAMKDGKPIIIEGTHLDPSIYLMDDEHKTPKAVPEGNLASPLSMEPDDKSAAQMEISSTATCGSQIENSHDCPVQSSDEGAPADQMNKVSESLGSIALATASDKRGETVKGPKVNGSTVGKENSGPEPIIIPIVLKMAEFDHKALLEEWISTRTFSGKCLVQDTDKLITNLKTLQDYLCSFKSRGLLDF >OMO59452 pep supercontig:CCACVL1_1.0:contig13962:5699:16336:-1 gene:CCACVL1_24822 transcript:OMO59452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ia MSAVCTLKASETLSVDNYCTLVTEIVLKTCHPIEDLTRDRLLAVAVNAKLQYAVVEVKSFSEDVLMSAGNKKKRLGAVMNEPKKPFFIVAYDLVPTIETKWGVKLIVKKTLFGSDLENCRYVHPINNRECPVVIGGDYITTESGTGLVHTAPGHGQEDYMTGLKYGLPIYSPVDDDGKFTEEAGQFSGLDVLGDGNIAVVKYLDEKMSIVMEESYEHKYPYDWRTKKPTIFRATEQWFASVEGFRQAALEAIGNVKWIPAQAENRISAMTSSRSDWCISRQRTWGLPIPVFYHVSSKEPLMNKETIDHIKSIIAQKGSDAWWYMRVEDLLPDKYRSKASEYEKGTDTMDVWFDSGSSWAAVLGKRDGLSFPADLYLEGTDQHRGWFQSSLLTSIATKGKAPYSSVVTHGFVLDEKGSKMSKSLGNVVDPRNVIAGGQNQKEAPAYGADILRLWVSSVDYTGDVMIGPQILRQMSDIYRKLRGTLRYLLGNLHDWKVENAVSYHELPMIDQHALFQLENVVRNIREGYESYQFFKIFQIIQRFVIVDLSNFYFDVAKDRLYVGGTASFTRRSCQTVLAAHLLSLARVISPILPHLAEDVWQNLPFKYTMEDGSIAEFVFEAKWPASNEKWLTFPVEEIDFWGKILELRTEVNKVLEVARTGKLIGSSLEAKVFLHASDATLASRLLEMCSASNDADTLHRIFLTSQVEVVPSLGNEVVQNIQYTGEYLVQEDRVWIGVSRAEGSKCERCWNYSHQVGSFMEHPTLCGRCFNVVGTQATPVMAAVN >OMO88341 pep supercontig:CCACVL1_1.0:contig09033:7996:8646:-1 gene:CCACVL1_08460 transcript:OMO88341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYKLAAVKRSIAWFGEDHRQVQEFNEIVAKIRNLPCSSMCDAYRGLEHPDHKFLAWIMAINGLFLFDYLFQTNKDHEDDDPNPNMTPDQPKPKPKAEAEAVTTKHS >OMO88340 pep supercontig:CCACVL1_1.0:contig09033:454:2208:-1 gene:CCACVL1_08459 transcript:OMO88340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEFSTDAIINITSLIESHRKTTPDCEQKVATIGFSKKKKGKNNWYKAIQKALIRNKEENEMGVCIFRVPDHIAVVKPEAYIPQLIGLGPYHHCQPEQYEMEDYKLAAVKRAIAWFGEDHRQVQEFNEIVAKIRNLPCSSMCDAYRGLEHPDHKFLAWIMAIDGLFLFDYLLIQTNKGEIPTPTPTPAQPDPHAILKDVLKLENQIPMTVFKAINSKVMEKKNRPDVDSKKLALQLRGMLVTFCNDVSPIDLDWEYSLPRNLAKSLDLPHFLDLLYHYITYNDNPNPNPNMTPDQPKAMAKAKAEAVTNSDPNGDSFSKFLKKLATDYPKVGKLVNHLQDLFSSILFFVPVSSLASWKEKKVLIPSVSELHAAGVKFEPIEHGGTQKVEFHSETKTFRLPVVILKPTTEVVLRNLVAYETMAKSKSSNFKRYTELMSAIVDTVEDVKLLMDADVLVFRKKDVVISNDGAQAASISKGNNKECLSEVEIADMFNGMTKTIESKDRVIDEAIKKANKCYNDTRKVKAYRTMRKYVYSSWKMLTLFASLLLLLLTALQTFCDLYDCPAIFNNQGQGNMINSNWSVV >OMO88342 pep supercontig:CCACVL1_1.0:contig09033:27852:38889:-1 gene:CCACVL1_08461 transcript:OMO88342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKTWVIRSWTLGLCALICILGLPFTVETQNQPTTHPAEVRALNSIFQKWRISSAYQNLWNTSGEPCSGTAIDDSTDILDDSYNPFIKCDCSFDNNSTCHITKLKVRLLNVSGVIPDELWTFDFSFPSGADEKSLDRDIGHNALSGEVPKELGLLTDLRGLAIGTNNFSGPLPAELGNCLKLELLYIDSSGVSGQIPSTFANLQNMKIMYASNTELTGKMDFIGNWSKLENLRLQGNSFQGLIPSSFANLTSMKELRINGLSYGGSLAFIKNMKSLEVLDLRYNNISDTMPSTIGEYKNLTWLDLSFNSITGQIPDSLFRLSSLVYLSLGNNKLNGSLPSEKSPSLQNINLVANNFTIGQNNSVLPSGLKCLQRDFPCSRGTGIYSNFAINCGGAPFTSFDRTLFEWDDKTLGSASYYVTDTERWAVSNVGNFTGTNPQYKISSSSQFTNTKDQELFQTARTSASSLRYYGLGLQNGNYTVRLQFAETQITNTNTSTWESLGRRVFDIYIQGNRELEDFDIKKEAGGISNYAVIREFKAQVSENYLEIHLFWAGKGTCCIPLEAIYGPSISAISATLDLPTSKKSRVGLIVGIVVGVGVLGLVSVAAFWILRRRRTHMKDDQELLGIDAAHTFSYGELKAATEGFSPANKLGEGGFGPVYKGKLGDGRAIAVKQLSVASQQGNTQFVTEIATISAVQHRNLVKLYGCCIEANQRLLVYEFLENKSLDQILFGKKLNLSWSTRYDICLGVARGLAYLHEESRVRIVHRDVKASNILLDSDLIPKISDFGLAKLYDDKKTHISTRIAGTIGYLAPEYAMRGHLTEKTDVFAFGVVALEIVSGRLNSDLSLEEEQIYLLEWAWQLHENKQEVELVDSSLSEFNAEEVKRVIGIALLCTQTSPTQRPSMSHVVAMLSRDTEVSKVVAKPGYLTDWKFDDSSFMTSLASSYISNDTLTSTSTVADAEKLSENATKPLLVPTDGANS >OMO68990 pep supercontig:CCACVL1_1.0:contig12143:3355:5762:-1 gene:CCACVL1_19718 transcript:OMO68990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISAATFTKSGSNKLDIESPEPSDITEAIKVKEHELRNRVRIQKEEEKKREREREKEQIRAGKELLEAKRIAEENECLLALRKAVKEEEKRAREKVLNKLKVDETSLPVKSIKKDECMRECLISLNYTYKASIEPDVCSRDIIKGKAIATSSAEHPWLESAHLDDGVGDKSSAEPLEGSATF >OMO68992 pep supercontig:CCACVL1_1.0:contig12143:11211:12011:-1 gene:CCACVL1_19720 transcript:OMO68992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSCFEILQLKSVRFFVWNISLPDPQPAGAGQFLFSIKSQILRSPPASSNIEGFTTPINHFVRFDLESLFSKNDVEQQVHDAILATEQFLKQRNVTALAKILSEFLVKNYGLGLGLGLGSPKPNSPIPVVVVEVNKTWVINPSGDYGGYMMDEVGMFDGCDHEAANEESIHLSLRNLFAVSVFSVLKPIDGSEPLGLRKVPRLDSFQQFESAAAADPDDDTSCAICLEGFSGNPCFKLPCSHVFHGECVAQWLWRKRSCPLCRFQLS >OMO68991 pep supercontig:CCACVL1_1.0:contig12143:9292:9923:1 gene:CCACVL1_19719 transcript:OMO68991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 11 MEYVNKEKISFSRLQVHEIAAFVLNSAGHYEAITRNCSNYYLSAESVALFTDHLPSQPNYIVGQIVHIERQTVKPLPPSTTRPEHGRADSVDQLTSDTGTERLTLNSGSSLNPYGLPIGCEYFIVTVAMLPDTTIHSPPPS >OMO68993 pep supercontig:CCACVL1_1.0:contig12143:13171:14747:-1 gene:CCACVL1_19721 transcript:OMO68993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLSNLCSKMSLQEEGIPEKVIIDQDWIEEPEGALSWFSVIGKLLSKKRPNLEAMKTVLSKAWRVESGFQVKEVGNRLFVFQFEDELEKDRAMVNQPWSFNKALLTLNDYDGYQSPESVIFEAVGPVLEFDEDWGRYLRIRIQMNLSQSLKAGTIVSAPSDELYVDFRYEKLPDYCWICGLLDHIDNDCPVAVSLRKNHLPVKKKYTCSLKAEFPPLIPGKEAGSSQRRGGSGTSLSPVLKGCRDVNLPGASGSRSVPSKSIPGGGVPERNHVDNLALYGNRTARALQFDEPSCEIISRMNGNPVRHPPILKGSYGNNGQRGGGENNFSVEPVRRGILRNLGAHLQEQLIVEGGGENRGVEENDVESSNNPDDFIPLNTNLGRRSATRLNFMGAKSIPGVGLVSTDPGDIAGGSRGMGMQNNFQRDSVSKAASTGLDYRTKSVAAEESDESYDPDSPFVFGAGVSGARKIRKWKKTAHSIPSGSADLLCHETLGSVG >OMP10808 pep supercontig:CCACVL1_1.0:contig01899:268:408:-1 gene:CCACVL1_00783 transcript:OMP10808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTEPVNAEGSIEMKTNPNDLSGRMAEQTRDHFISFYSDSDSERS >OMO72830 pep supercontig:CCACVL1_1.0:contig11354:14872:15516:-1 gene:CCACVL1_17572 transcript:OMO72830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGYNQHIQHQNVYKATFLPMLCSKPSIKDVTLPKWKDRSASFSDDPLSPKISCMGQVKRNNRIVGFPAAASHKLALTCTKNNNGCSNHSSIKYSKLKKLFSGKNLIGSPAANAPATTTANTTISYRRKEAFLVNGKTSRPAKNSDNGKENNSTSNSINIETMDPPLPVIKRVPKQGSDNGDTLWERRSRGVSLKSLQLQQIQLERHQEPTTV >OMO72828 pep supercontig:CCACVL1_1.0:contig11354:3367:7804:1 gene:CCACVL1_17570 transcript:OMO72828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIDTPTITENGSTQSHAAAPAADGDQKPHASANGAPVEMAPESEATKRRRASMLPLEVGTRVMCRWRDAKYHPVKVIERRKVPYGGANDYEYYVHYTEFNRRLDEWVKLEQLDLDSVETVVDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYSDCLKLYFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILTTLQSLDLIQYRKGQHVICADPKLLDRHLKAAGRGGLEVDVSKLIWTPYKEQS >OMO72832 pep supercontig:CCACVL1_1.0:contig11354:24682:33530:-1 gene:CCACVL1_17574 transcript:OMO72832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MKQRSPKKMSLKWVPLLCISCFTLGILFSNRLWVPPESNGQIISQHRREQELQVVSEDCNTKKKPAEDSAVMGEVLKTHEAIQSLDKSVAMLQMQLAASRSSQEMENLDASSAVSTLGHDGPPRKKVFIVIGINTAFSSRRRRDSVRETWMPQGEKLVQLEREKGIVIRFMIGHSATSNSILDRAIDSEDAQHKDFLRLEHVEGYHELSAKTKTFFSTAVAKWDAEFYVKVDDDVHVNLGMLAATLARHRSKPRVYIGCMKSGPVLSQKTVKYHEPEFWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGSWFIGLEVEHIDDRNMCCGTPPDCEWKAQAGNVCVASFDWSCSGICKSVEKIKIVHERCGEGEGATVVGGGMENADVFLGLQDFLERMRQPSAADFVKSIKSFIVSFSNNAPDPERDSAAVQGFLANMEAAFRAHPLWAGCSEEELDSAGEGLEKYVMTKLFTRVFASVPDDVKHDEQLSEKMALIQQFVRPENLDIKPTFQNETSWLEGHEGGASGTKSKNPRPGRKLAQKELQKINMYKAPRDKLVCILNCCKVINNLLLNASIASNENPPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRGQSRLVGEAAYFFTNMLSAESFISEIDAKALSLEETEFEKNMEFARALLSGISADLDGLSSQIDQISGIDSREPIVTRHKTPKEDHGVRAKSSDIKPSNKEDKPSIPKIPSISELENKGAAMLLKEDQTSKVFREFPYFFAHAGDLTISDVEDLLNNYKQLVFKHVCLLKGSGGGSATNPLSGPQAQAQLHVETVKESENNSVIEQNLGFQKDNEKMDDGSNRVSDEENIESNFSKDEAVAHPKESHEDISEQ >OMO72829 pep supercontig:CCACVL1_1.0:contig11354:10003:12757:1 gene:CCACVL1_17571 transcript:OMO72829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF6 MATRLQFENSCEVGVFSKLTNAYCLVAIGGSENFYSAFEAELADVIPVIKTSIGGTRIIGRLCAGNKNGLLVPHTTTDQELQHLRNSLPDQVVVQRIDEKLSALGNCIACNDHVALTHTDLDRETEEIIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTINRGSEVIAAGMTVNDWTAFCGSDTTATELSVIENVFKLREAQPTAIVDEMRKSLIDTYV >OMO72831 pep supercontig:CCACVL1_1.0:contig11354:22644:24114:1 gene:CCACVL1_17573 transcript:OMO72831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKLDWAMLNAADPTFERGKASDRAIFGKGRAGRAMNEREADKRTTP >OMP11377 pep supercontig:CCACVL1_1.0:contig01348:4272:4340:1 gene:CCACVL1_00556 transcript:OMP11377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNQEWDVDVDVQATILAAVE >OMO59233 pep supercontig:CCACVL1_1.0:contig14026:37047:39225:1 gene:CCACVL1_24978 transcript:OMO59233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSILGVSVAFGAVYCFPRCVYAMDGLDILVDDSRMESFDAPEGEDDQLKLWISGIDICAKSLIFTDLSDNYFSMQPVYASKVDVQDYKLFCLARVEIEDQKLRLIGILGGWWTLPSSLGIYFIRQEQCSDLQPAVDNLHQLDFNQKNMDCKACLLIEEGDWKDVPSDHQAHERKAYCC >OMO59230 pep supercontig:CCACVL1_1.0:contig14026:18953:30280:-1 gene:CCACVL1_24975 transcript:OMO59230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQVEKASLELDILKGNLKMKMIKVTLRCTASKEHCGSGY >OMO59229 pep supercontig:CCACVL1_1.0:contig14026:11241:15428:-1 gene:CCACVL1_24974 transcript:OMO59229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MSVMKRKAVLQKSQIRWKRKVLVALLAAFCLASLALMETQYSRVVSLASLRHRFVLKPKIAFLFIARNRLPLDMVWDAFLKVDWGEASMIEAERILLKHALTDPLNERFVFVSDSCIPLYNFSYTYDYIMSTSTSFVDSFADTKEGRYNPKMDPTIPVYNWRKGSQWVVLTRKHAEVIVNDTTVFPMFQQHCKRRSLPEFWRDRPFPVDPAKEHNCIPDEHYVQTLLAQEGLEGELTRRSLTYSAWDLSASKDRERRGWHPVTYKFSDATPELIQSIKDIDNIHYETEDRREWCSSKGKPSPCFLFARKFTRPAAIHLLNLSILGARPGAKSES >OMO59232 pep supercontig:CCACVL1_1.0:contig14026:34517:35843:-1 gene:CCACVL1_24977 transcript:OMO59232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASGIPIYQFSVPKSCNIYGNFNSYKLNSRWRQKWTEIRCCKQKVGEKARTKKNYYELLGVSADSNLQEIKEAYRKLQKKYHPDIAGQEGHEYTLMLNEAYEVLIKDDLRRNYDASMGPMRGKFGKTLSGYSSWKGPLRPHALFVDANACIGCRECVHHASNTFVMDEALGCARVRVQFGDDDQKIDVSVESCPVNCIHWVDREELAVLEFLVQPQLKEGYGVFGGGWERPANVFMAAKTLSKQLKEQAEAESRQRNGRVKVEEETPAQAEARANASMKIQMERFGRMWNNLKPLFGSNIWDQK >OMO59237 pep supercontig:CCACVL1_1.0:contig14026:90349:96389:-1 gene:CCACVL1_24982 transcript:OMO59237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MFRYVSSRIKDAIRQRHKHRHKYDNYDPVVKKVVDRLELLWEDIIYLRATPKGLRKEEEDYEAYLEPYGEAIEHLTTALTEFATTSRLIFEAEVGFYDEDSARRVLGPLARKLDAWHNLCIKVIDDFRDGDPKGEYSLTIIKTIRAVARLSLTDKQTPTDPIFENIELLMKDALKKKHNPTPKTFDEYGISIIRHQYSRTPMVNVDLDFHFDVQYRGSNKPSVSFFYAWYKEKTPNSLPIVWDTKVASINRSFKPSERDKGKRLKLECFVMVGVDGEILPTNVTITNKVEPFYTDHLRRWKTRGDTGTFNVLSYNILADLHEHCFTSRYHAWEYRREALLLELNRYDVDILCLQEVQDNHFGEFLEPQLRRWGYLVQYKTKNHKVTSKGKEVKDGCATFYRGRRFKKIRHYEVNYEEKAELELEDYSGDKTELIREELVKDNIALILELEDSKNDSLICVVDTLARELQDFTKSKKTAIIICGDFNSYQKSPPYILLVEGSLSPFSELVRDIDEHGFFQNAKNAKLTHELVLLIYAHSKKVVLRTVQESAYAPGELPFTKKYNEILDYIFYSISSISVQFPPNTGTPLDRTVGVSPIEPRQLENLKDSVVYNYGRIEVKISGSLGIAWKSSPPLRVAASNGHDVNVIREIIRHCPDAAELLDSCGRNAFHAAILSGKDDSSYHAMCKPRRREDAVFQANGTDSFNGSLNVLKQQFRLLSFSLKADV >OMO59238 pep supercontig:CCACVL1_1.0:contig14026:103108:104221:-1 gene:CCACVL1_24983 transcript:OMO59238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKIVAPNLGDSPCQNAWESSYRKAEVAKKKVSWQGEG >OMO59235 pep supercontig:CCACVL1_1.0:contig14026:79734:80952:-1 gene:CCACVL1_24980 transcript:OMO59235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRYVSSRIKEVIRLRHKHRHKYDNYDPVVKKVVDRLELLWEDKILLRATLKGLRKEEEDYDGNAAYLKAYGEAIEHLTTAVREFATTSGLIFEAEIGFYDEDSARQVLGSLIPELDAWHNLCIKVIDELKLDKGDPTNKFDSRGIIEAIHEVALKVTDKQREEKQTPTDPIFAKIEELMKKALLEKYGQIPNSESEFSKTLHDKVKDFHFDTLARELQDSTEPKKIPIIICGDFNSQPGRLDVAMISDVGKVQHPKRASDHIPTAARSNPI >OMO59231 pep supercontig:CCACVL1_1.0:contig14026:32437:33898:1 gene:CCACVL1_24976 transcript:OMO59231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cobalamin (vitamin B12) biosynthesis CbiX MSIESLSIPQTFILKSSSVNEPARKSIGAPLKFSNFRTQRNILARSNMSFENGGFKQFPTGVGEKDGVIIVDHGSRRRESNLMLNDFVAMFREKTRYPIVEPAHMELAEPSIKDAFGLCVQQGANRVIVSPFFLFPGRHWYQDIPALTADAAKYHPGISYLITAPLGLHELLVDVVNDRIKHCLSHIAGDADECAACAGTSKCKLY >OMO59234 pep supercontig:CCACVL1_1.0:contig14026:50687:50749:-1 gene:CCACVL1_24979 transcript:OMO59234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMNVEVGNESILVDEES >OMO59236 pep supercontig:CCACVL1_1.0:contig14026:81342:81446:1 gene:CCACVL1_24981 transcript:OMO59236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLLRRARTESKEQPPSLNQKVKNQNYIYTHD >OMP09090 pep supercontig:CCACVL1_1.0:contig03389:69:1163:1 gene:CCACVL1_01075 transcript:OMP09090 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase, alpha subunit MCQEKLVQEAVDTLLDNGIRGQPMRDGHNKVYKSFSDVIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHRCGLPREIAIELFQTFVIRGLIRQHLAPNIGVAKSQIREKGPIVWEILQEVMQGHPVLLNRAPTLHRLGIQAFQPILVEGRAICLHPLVCKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHMNLLSPAIGDPVSLPTQDMLIGLYVLTSGNRRGICANRYNPWNRKNYQNKRIADNNYKYTKEPFFCNSYDAIGAYRQKRINLDSPLWLRWRLDQCVIAAREAPIEVHYESLGTYHEIYGHYLIVRSVKKESLCIYIRTTVGHISLYREIEEAIQGFFRACSYDTQSYDI >OMO52025 pep supercontig:CCACVL1_1.0:contig15641:26353:31296:1 gene:CCACVL1_29417 transcript:OMO52025 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MEPNLANFKAHFVAEIPSFQTNSDIWVFHARVPNGRNVVGIFGGGKKLNRLFPGYSTRLKTKPTGLSKFRTSTFASAALGEKAGGALPEISQADKTPRIYSWPHSKRPRICILGGGFGGLYTALRLESLVWPDDKKPQVLLVDQSERFVFKPMLYELLSGEVDAWEIAPRFSELLASTGVQFFQDRVKLLHPSDHWGTSGPKQSSCGGTVLLESGLLIEYDWLVLALGAESKLDIVPGAVEYALPFSTLEDACKVDKKLRALERRNFGKDSLIRVAVVGCGYSGVELAATVSERLQDRGIVQAINVETTICPTAPAGNREAALKVLSSRKVELLLGYFVRCIQRVSDIEASADVTGIREGQDNAECKYEKYILELQPAEKGLQSQTLESDLVLWTVGSKALLPELEPCDKPHDLPLNARGQAETDETLRVKGHPRIFALGDSSALRDSAGRLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMILGRNDAAISPSFIEGLTLEGPVGHAARKIAYLIRLPTDEHRFKVGLSWFAKSAIDSVASVQSTLTKVLSGS >OMO52023 pep supercontig:CCACVL1_1.0:contig15641:17772:21213:1 gene:CCACVL1_29415 transcript:OMO52023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISVSKLRDNSSPLLRLYRERKESPLLRLCRERKELIEAAADCSGVNKLDDPYDEKATRVDPASSTSGLRNVSDVWDPLNPFKLIDDDMNYNFYSRNCYFSEFNSDEYYRELKRREGIPDLEDENMPSSVQEYSKGESSGTSGSSTSQTPMSQEKQKSDPITDEEHIGHGLDALALGIVVKDQNTGTATKDGETETETETDTDTDTDTETSSLSSLDDCDSKNINQIVKKIRDTFETAFNCGKEVAVFLEAGKLPPQQPMSAKLKAKLNDVASRVRVFGFTLFQSHQLAYKTMLGKIMNGDSEDMSRKFGDLSSTLEQLCAWEKKLYAEVMEEEKLRALYDKNYNQQKVLDHQGAEYSEIDQVHVSLGRVLSNINVAIRAVDATSRRIHNLRDEELLAQLRELIEGLIRMWDFMVKCHQKQFRIIIGTKAYAHMANADSRKRSKMKATLRLANKILNWSTRFRDYVNTQKNFMKFLNEWLLRCIYHQPEATSDGMLGFSPFSIGAPPIFTICSDWYYAINKISDSEVYEAIHKFSLSMHQLWEKQNEEKHVRKKAKQLSKEFDKQLRIFQEERGLHWHRDALLNRLDASEFDANGEEADEDDSVDDLVMMNKRLEEQKARHRAIVRQLNDAASNCLQVGLVPIFETLEKFCLENLKAYKQIRFLNKKDNMGVKFLLTN >OMO52027 pep supercontig:CCACVL1_1.0:contig15641:42476:45847:-1 gene:CCACVL1_29420 transcript:OMO52027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSWLWRRKSTEKSPGETDSSAGSISPYYERFFDEQASTTHSSQSLEITSKAVPIDEEENDNVKSLTEKLSAAIMNISVKEDLVKQHAKVAEEAVSGWEKAEKEVLALKQQLDAATKKNAALEDRVGHLDGALKECVRQLRQAREEQDRKINEAVSKKCNELESSKSELESQILDLKAQLETIKKETTSSVDPDLHSKLEAFEKENSTLKHQLLSRDEEIELRIMERDLSTQAAESASKQHLESIKKLAKLEAECRKLKAIARKASPANDHKSYTASSICVESFTDSQSDSGERLLAVETDMRKMGGLEMNECETSHRSDAWASALITELDQFRKQKAVGRNIMAPSVEINLMDDFLEMERLAALPDTESGSGFNEADPVSDQTSPVESALKAELETFIHRISELEEKLSMTEGEKSELKMAFDESQKQIQTLQNQLGEVMTELADLKTQLALAEKEVKAANQNREVAESRLRDAEIERNILLSKITSLEEEVEKGQSLSEETMNKCKELEDELSKLKNEAKLRNDAELQRVATYNEELKVQQDKEIAIAAGKLAECQKTIASLGQQLKSLATLEDFLIDYDKPLDDVVNGELQLPITGDEEQQLGSNDMDNISKRSSETSKIVEYVKYSENGNGVGSALPVKPVIASDKSRSGFGRMFPRSRSGKHV >OMO52024 pep supercontig:CCACVL1_1.0:contig15641:22187:26036:-1 gene:CCACVL1_29416 transcript:OMO52024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLTKIPLFVPRMDENPGNAKYLEKPYDICDPYSNPQSQELVQILPHPEWAVHGYPEKKGDGWIGDSRTWELDVGALSSRLYFYQDPGTKPARRIWCSLNVGTEIYVSRAGETAEWTVSDFDVLVPEAKLKVCNTATITKMSELPSSASYMASAIQDSSAPQSETFKTFFECWIGEQNQYLEELVTASDQQQGTRDEESLRRLVERVTEHYELYYKAKARWGKLDVLAMLSPSWTSKFEDAFLWIGGWRPSMAFHLVYSKSGLQLEDQFAQLLRGLGRGDLGDLSPGQFSRINELQAKTIKEEKKISEKMAKLQETVADSAMVELSHLASEMMRRRGSAAGEVDGGEEREKERVNAAVESKEEGLQKVLQKADNLRLKTLKAVIEILSPIQAVHFLIAAAELHLRIHEWGKQRDADKKQHLLETASAQTQERCKNQS >OMO52026 pep supercontig:CCACVL1_1.0:contig15641:35677:40995:1 gene:CCACVL1_29418 transcript:OMO52026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MGLFKATGVVYKSVQNIDLGPSSNEVYLKANVKAPRMAGFLVKIFAWFLESRIFGTLLFYILKRNNLIHKLVSNSTVEEPPMFVPLHPFVDLNEQEVKHIDSEATPAERVQHAVDCLPLQVMNSPDKFQSSYFRRWTIMDYSRAYSSGELTPRAVAERFIVAVGESSSLPLPMCFFIDYDSEDILRQATESTLRYQRGQPISALDGVPIAIKDEIDCSPYPTTGGTKWLHKVRPCTGDACCVMRLRACGAIIVGKTNMHELGAGTSGINPHYGSARNPYDPNKISGGSSSGSAAVVSAGLCPAALGVDGGGSVRMPASLCGVVGLKPTFGRIPHSGVLPLNWTVGMVGVLAGTLEDALIVYAAISGQLPSHQPTTIPPKIYLPLLNTPNPISEIKLARYGEWFNDCSDEIRLCCSNALQLLCEHYKWKTVEVTIPEIETMRLAHYLTIGSECNASLSSYLEKLDFSESGWDVRVALSVYGAFDSKEYLKAQKIRNRQMQIHNNIFSKADVIVAPTTGVTAYTIFDDVKETGELDYINGAALVRYQIAGNFLGLPAVTVPVGYDTAGMPIGLQFIGKPWSEPTLMHIAFAMQALCNSACRKPQIFYDLLNKN >OMO52021 pep supercontig:CCACVL1_1.0:contig15641:9099:10046:1 gene:CCACVL1_29413 transcript:OMO52021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLKLVREAISQHPLLLSQKSQHHHHHHRHNGKFNLEEQPEDYEDDDDVSNSKPSFYNFYYYSSKSTKPKTPKSKTKTKTPLLLFLPTREIIGDTFRLATIAREMGMDLYPTPSLSHIIFSYSSPSSSSSSSSSSASSSSASSSALSLSSSFSMPLPNGAVLVSFPSLSSSSLSHLRSFVSISKGLFKLVFITTSSDFDAEFDDSRNWDCCSISLFSRLTGYRIDSMEAFSRALAGMGWTLFKTRENPSLDSASSTTKSVYLFRKVDSGRIRGGRGHGECRIRELRLPSLDFRNAPLKILQYIVLMTDDVFCLG >OMO52028 pep supercontig:CCACVL1_1.0:contig15641:50711:57725:1 gene:CCACVL1_29421 transcript:OMO52028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MSSQAVPAPPPSRKTSSKRGPSAPKKSHTSDNAKPTSPSSIGGERTVKKLRLSKALTIPEGTTVSEACRRMAARRVDAVLLTDANALLSGIITDKDIATRVIAEGLRPEQTVVSKVMTRSPIFVTSDSLAIEALQKMVQGKFRHLPVVENGEVIALLDITKCLYDAISRMEKAAEQGSAIAAAVEGVERQWGDKFAAPYAFIETLRERMFKPSLSTIIAENSKVAIVSSSDPVYVAAKKMREFRVNSVVIATGNKIQGILTSKDILMRVVALNLSPELTLVEKVMTPNPECASVDTTILDALHIMHDGKFLHLPVLDKEGAVAACIDVLQITHAAISMVENSSGAVNEMANTMMQKFWDSTLNLEPADDYDTQSEMSAMMASDGAENGKLSSYPSLGLGNSFAFKFEDLKGRVHRFNCGTENLEELLSAVLKRINSDNDHGRPQLLYEDDEGDKVLLTTDSDLIVAVNHARSQGLKVLRLHVDFPDSGTQITPQLSTTTKRTGWAFHTGLLAGAVVVTSVGVLVYLKRTKL >OMO52020 pep supercontig:CCACVL1_1.0:contig15641:943:6670:-1 gene:CCACVL1_29412 transcript:OMO52020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase NAD(P)-dependent MEETKQKFDVDSAAILVKDLRRTFNSGKTKSYDWRISQLESISKMIEEKERDIFKALKEDLAKPEFEAFAAEISMTKSSCKLALQELKQWMMPKKVETSMTTYPSSAEIVSEPLGVVLVISTWNYPFLLSLDPVIGAIAAGNAVVLKPSEIAPATSSLLAKLLGQYADKSAIRVVEGAVPETSALLEQKWDKIFFTGGARVGRIVMAAAAKHLTPVTLELGGKCPAVVDPNVDLEVAARRIVAGKWVANNGQACIGVDYVITTKEFAPKLIDALRSVLEEFFGKDPMKSKDRARIINSFHFKRLVNLMDDNKVFDKIVVGGGRDESKLQIAPTILLDVPEDSSIMQEEIFGPLLPIMTVEKVEDSFDMINAKPKALAAYLFSDDEQIKTKFVQNVSAGGMVINDTILHVTVSTLPFGGVGESGMGSYHGEYSFDCFSHKKSVLYRSFAGESPTRYPPYTPQKQKQLKAFMSGDIFNIVLALLGWVEKDRERAQARSGNKGKNVKDDGLTPEQRRERDAKALQEKAAKKAAQAASGGNNAGGGGKDSKNKK >OMO52022 pep supercontig:CCACVL1_1.0:contig15641:12301:14598:-1 gene:CCACVL1_29414 transcript:OMO52022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MMGKENLPQAVDGGVSNESVTNADSDNSGTYSRCSLDKEAGLPTCRVCQCAESDKRGDAALGFLGITPPLPEAVKSNNEAKPNNKGIPKDAESDESHAQSVGKESGFIEFISPEGEVFICSTDLEMGSCHHQDALIELGCSCKNDLALVHYACALKWFVSHGSTICEICGNLAKNVRTSDFKKVLVSLKDYEALRERTASGDPNPAQVHSTSDVDPDAVAAIRRQRLSEISLWFSTHNNNHNNNSSSAVSQVVSEQPLNTVTEEVAPTENPATKWAVEGTGILLATGLLTVTLAWLIAPRVGKKTAKSGLHILLGGICALTVVVFFRFIVLTRIKYGPARYWAILFVFWFLVFGIWASRTHGAHTT >OMP11615 pep supercontig:CCACVL1_1.0:contig01095:10950:12266:1 gene:CCACVL1_00398 transcript:OMP11615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDHSKESIPSNLVTHNREVLELDLSIPNEDLAWIYRSAVAELRGISYFKSVQDVCDMVGITCYVRPIGGMTVLLTFEDKETMEFFLKEQEAWFFVWFVSINPCEGSTCCNECFVWRTLENIPLQLWHHNFFSIIDNLWGSFVTVDDSTFKKHSLDIARMLVSIKRSIKIPSMIEFDYNDSRYAITIHAEASRDFLSFMTDRKLPINGGPVKDVSGATCEDSSSTNEMNELDSYMRGDGAIIEDGNGQSDLNEVEAHLISLFQEDVGAVNEAHDFASQVFGEQVQESELEVSLLNDQVVVVVEHEKEREVNGSILEEGTTLSKEEHAGAGINCVYEGGLTPMARKNVEVEVDFVPESNQMVVGQVNGPKEIVQSWANEHDVEVSSGQKHTGNEELGSCSHEFNKEWTLFKRGLSKKKVNRNQKKKIKKVQESGSRHSW >OMP11614 pep supercontig:CCACVL1_1.0:contig01095:3728:3949:1 gene:CCACVL1_00397 transcript:OMP11614 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin-containing monooxygenase 1-like protein VYQVDFVVLALGRYSGIPKFLLGKGPEVFHGEVIHFKDYAAMDYEVATKYIKGKRIVVVGAKRSALDIAMECC >OMO82245 pep supercontig:CCACVL1_1.0:contig10059:6815:7990:-1 gene:CCACVL1_12011 transcript:OMO82245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGCVSSKLVKKEIKRDILLNNGGDYINHVVSLKSSTYGVLKLDNELQQQQQQQQKTEEEEIVVSETKKVQNSPSREEPEVINAWELMEDLEEDGFVKRSPKSRGLFKGEKEVRSPLKFLNQIGSPLKMKRFGGGKENKGRVNNGKSDFSPKSILRVNNSLKLSYPVKSTRALGFEGGDSGFSSRRRSFSPLFDPELVALYEKELSEEEEQIKRIISPEPEIRKSKKSHESTAILQLFQEKCPPGGENAVVIYTTTLRGIRKTFEECNSVRSIIESYHIHILERDISMDSGYKEELRKLTETKEVKVPLVFVKGRSIGGVEEIVKLEEEGKLEFLFDGIPRAIRVCQGCGGVRFVMCKECNGSCKVLDGTQKKKMRCGECNENGLIQCPICC >OMO82246 pep supercontig:CCACVL1_1.0:contig10059:21423:22274:1 gene:CCACVL1_12012 transcript:OMO82246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGNLETLVSACAGGSCDNKIVCETLATTDADANNRLQTTEAPDEEEIPPDFPPESFWLSKDAELDWFDRNAFYERKDSQKGNSVSNSTNLNPNINSNSNSQRFSLRKSKASIIGLPKPQKSCFVETKNRKNSKPGNTRLFPKRSGSVKSDPPLVEPSSPKVSCMGRVRSRRDRNRRLKKNRQNQKPTEVETIKEKTTRKRSGFFSSFRAIFGSSGKARDQHALPVAPSPPRNSDIRMRLPPDDRDAIAIAQEIAEDKPVAEPVGLGGMKRFASGRRSEPLI >OMO82244 pep supercontig:CCACVL1_1.0:contig10059:4611:5798:1 gene:CCACVL1_12010 transcript:OMO82244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paired amphipathic helix MEGFCQKLREKVSYSLFDRFLQYLNCYGDGRINTPCLNNKVAELFKSYPEFVREFLHLFYVDSEGSSIETIDEDDNNKEAIGNNKVTIESMLANCTLSNPESDEILDEIKKKKKVSDPESDEILDEIKKKVSDFCGRVRKEFNLEEFKELLLCLLDYGDGKISKVDMKIKVNDMFPDIITNQFIEALNLIDTDTSTDQASSSSSSSSDTIIINDWLDDIELTPSYNLLSKKVSDQISRSNDPGDSEVLNFCLYSKPVACCEGNKENKYENKDNLSSFEDELFEKDMAVSRFHSTGRKLKELYRVIREGEIKNPNIADVVNSYLTATNCRTIEFIWGDHGLDMVENLRHWPEVVLPILCRRFDQIMEGNGSCSCKDTASDLTNLQHDCFPSHGEEE >OMO67107 pep supercontig:CCACVL1_1.0:contig12473:6275:7795:1 gene:CCACVL1_20792 transcript:OMO67107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MDKGVSLQSKFAAFHISSNKFKKKIVNGSEKFYCPYSDCSTLLINDPLEVLTELPCPACKRHFCVQCKVAWHSGVDMHSVTIVEHLQPVLVIVAQSVITNQ >OMO67108 pep supercontig:CCACVL1_1.0:contig12473:10214:17574:1 gene:CCACVL1_20793 transcript:OMO67108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MEKPNFPRQVEIVDLESDGFDFFPDKGGTTKGNAISVEDYIEQRDIELAIKASINTATSDNNNYIDLDSYDDDLILLTFEPPNTHFGKKRENGVKPFSDHSVTEPGESSNSKASKDPHFICDFCVEPKEENESFSIKGCSHFYCTDCMIKYVASKLQDKISSITCPVPNCKGTLEPEYCRNLLPREVFERWGDALCEAMILGSERFYCPYKDCSMVLIDDGGQAVKESECPNCRRLFSAQCKVPWHPDIDCKEFQRLHKDEREREDIMLMKLAKDKKWARCPRCRFVVERTAGCRYIMCRCGTAFCYDCGSTRVNAHHYCLACKRKMDFVFESTLNIRRRVDTMILEEIMLMDDYLDQYFSSSSWSDMNVKERSSWVHSEPDHPDTLLSGLLGVMYNNQDDNNKNSSPVRMLGSNHAIGSLPAQDISPSLAPSAESGCGVDHGNLLPGDQGDHGQICSGNSSKEMMIDENLGFGNMGLKFHSSASLSCSHEKDLSVVGDMIPSLPFNGETSEFRRSLTATGLETLSPISQSWDPQTYDGVSSFPSLVGQTRIQRSCLPGENGTLDNEKSINNRFVEIDKIFRPENLSVSINAKGKQDALYSSFPSEPQITKTMIGLPSLLQGPSATANNGFNNGIGKPRVRARRGQATDPHSIAERLRREKIAERMKNLQELVPNSNKTDKASMLDEIIEYVKFLQLQVKVLSMSRLGAAGAVVPLITDIQAEGSNGLSLSPLAGQGVDFSPSPDQVVFEQEVVKLMESNVKLAMQYLQSKGLCLMPIALAAAISSGKASSSSSSSSGQASEERKKFGYNNSLVNNDSVIVCNSRSSHCSSSSSSNGSLPGVGIHHIPSDGNFMIGKLSGSLMAKACNGTFKQEEVNALCTAK >OMO75017 pep supercontig:CCACVL1_1.0:contig11068:13565:15323:1 gene:CCACVL1_16359 transcript:OMO75017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MTTIAESETRNWLELPLDVTASILCRLGAIEILNSAQTVCSQWRNICKDPLMWRSIDMHNMGELWAMDYDLEKMCLHAVERSCGNLLDINVEYFCTDELLIHIAERSGHLKRLRLVSCYNISDEGLIEAASKLPFLEELELSYCSISKDALEAVGRCCPLLRSLKYNMQGCRRYHSGSDDEAVAIAQTMPKLSHLQLFGNQLTNEGLQAILSGCPCLESLDMRQCFNVNWGTNLEKACEHIKHLRRPDDSTLDYEFDAELHDNWSSDEDYPSGISDIDLMSDDYFEFSGASDLSDYDDEYLIFD >OMO75015 pep supercontig:CCACVL1_1.0:contig11068:6668:10600:1 gene:CCACVL1_16357 transcript:OMO75015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVMLMNEIEATAARLGIDLDNIDYSSIQLPPGENFGIISDDEDVYNDDQQEMDSGFGNIIVVDNLPVVPREKFEKLEGVIRKIYSQIGVIKEDGLWMPLDPETKKTLGYCFIEYNTPQEAELAKEKTNLYKLDRAHIFAVNMFDDFDKFMKVPDEWAPPEIKPYTPGENLQKWLTDEKARDQFVIRAGNETEILWNDARQSKAELVYQRPYWTDSYVQWSPLGTYLATVHRQGAAVWGGADSFNRLMRYSHPQVKLIDFSPGEKYLVTYSSHEPSNPRDANRVVINIFDVRTGKSRRDFKGSADDFAIGGTGGVAGVSWPIFRWGGGREDKYFAKLGKNMISVYETETFSLIDKKSLKVENVVDFSWSPTDPIIALFVPELGGGNQPARVSLVQIPSKEELRQKNLFSVSDCKMYWQSNGDYLAVKADRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDNPRPDISFYSMRTAHNTGRVSKLTTLKGKQANALFWSPAGRFIVLAGLKGFNGQLEFFNVDELETMATAEHFMATDIEWDPTGRYVATAVTSVHEMENGFNIWSFNGKLLYRILKDHFFQFLWRPRPPSFLTPEKEEEIARNLKKYSKKYEAEDQDVSMLLSEQDREKRRMLKEEWEKWVSEWKRAHEEEKMERQKLRDGEASDEEEEYEAKEVEVEELLDVSEEVLYEE >OMO75014 pep supercontig:CCACVL1_1.0:contig11068:5070:5964:-1 gene:CCACVL1_16356 transcript:OMO75014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaG/PsaK protein MAATAMTTLPQFSGLRANISAAPVRSLAAVQPMRRKGNGALGVRCDFIGSPTNLIMVTTTSLMLFAGRFGLAPSANKKATAGLKLEVRDSGLQTGDPAGFTLADTLACGVVGHILGVGIVLGLKNIGSL >OMO75013 pep supercontig:CCACVL1_1.0:contig11068:59:2237:-1 gene:CCACVL1_16355 transcript:OMO75013 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA damage-binding protein 1-like protein MSVWNYVVTAHKPTNVTHSCVGNFTSPQELNLIIAKCTRIEIHLLTPQGLQPMLDVPIYGRIATLELFRPHGEAQDFLFIATERYKFCVLQWDAETSELITRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCPKPTIVVLYQ >OMO75016 pep supercontig:CCACVL1_1.0:contig11068:11354:12575:1 gene:CCACVL1_16358 transcript:OMO75016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDTESQSTEVESGTETETESDWETTESESESPRVPCLRNWLELPRDVTASILLKLGAIEIIESAQKVCTLWRNICKDPSMWRFIDMQNTGDRDMPYCLEKMCRHAIDRSSGGLVAINIEYFGTDDLLAYIAERASQLRRLQLATCYDISDEGLNEAASKLPLLEEFEIYVGSTSKDAIEAVGRCCPLLKTLKYNQQGIRDVFFRDDEEALAIAQNMRG >OMO75018 pep supercontig:CCACVL1_1.0:contig11068:25294:25854:-1 gene:CCACVL1_16360 transcript:OMO75018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEYNRKSESELSSETRNSSSQSSMSSESCSSFSRLSFELPTSRSSPENLSLKPHRSSDFAYSAIRSATFARKTGLTFRDFRLLRRIGAGDIGTVYLCHLANVDEKCYYAMKVVDKEALEMKKKVQRAEMEKKILKMLDHPFLPTLYAEFEASHFSCIVMEYCSGGDLHSLRHKQPHKRFPLNSAR >OMP10433 pep supercontig:CCACVL1_1.0:contig02522:333:638:-1 gene:CCACVL1_00971 transcript:OMP10433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSLGSGSTPSHTSLNGFSAKHFTTGALRAHTPASAKSDDWWLTTVWITRTPCLLARQGRLWFRGRPERRPARIRGACSRRISFDESITRIAALVPKPMR >OMO53756 pep supercontig:CCACVL1_1.0:contig15160:28489:28650:1 gene:CCACVL1_28375 transcript:OMO53756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATWENKVARAGKGTQRVEGLRGKGGAWGMEGGRSLSKEMKRRCDDMSTLLQ >OMO53758 pep supercontig:CCACVL1_1.0:contig15160:35530:37755:-1 gene:CCACVL1_28377 transcript:OMO53758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVDPAGEPIPTSSVLMSAAKHIEIKCRSENVEFLRCKRNDPNPEKCLEKGRQATRCTLGVLNRSALQLPDEALISVLFNREDRGDVGGRRDFLS >OMO53753 pep supercontig:CCACVL1_1.0:contig15160:10547:10971:-1 gene:CCACVL1_28372 transcript:OMO53753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTARITFRIVILVFLLLALFYVGRPLYWKISATIHDIRHNKKTVQQGKYPSPSKNPLFKKLSFSCLSSIMHEAQKSVGWYHDESDSGIRDDRSKQASRRLLFK >OMO53757 pep supercontig:CCACVL1_1.0:contig15160:31996:35033:1 gene:CCACVL1_28376 transcript:OMO53757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYRSRSRSYSPRRRSRTPTRSRKRYDDEPRDRHRSHRDRRSPAPSGLLIRNLPLDARPEDLRVPFERYGPVKDVYLPKNYYTGEPRGFGFVKFRYAEDAAEAKQRMNHQVIGGREIRIVFAEENRKTPVEMRTSSRVSGRYGGSRRTPPRSPRRRYRSYSRSPSPARHDSRDQGLRDDYRSPRRSRSISKDRRIREDYRSPSRSRSISRDRGAKDDHRSARRSRSVSRSLSPHSPHGDREYKSKRSPSPRENGRSPLDETEHARIRSRSPKRDSPSRSRSRSFSPR >OMO53761 pep supercontig:CCACVL1_1.0:contig15160:47157:48403:-1 gene:CCACVL1_28380 transcript:OMO53761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDKKKEEEKVIVAEFKVSMHCNACERSVAKAISKFKGVEKFTTDMNKHQVTITGMLNEGSQSNISNKRTQPNI >OMO53754 pep supercontig:CCACVL1_1.0:contig15160:11940:19364:-1 gene:CCACVL1_28373 transcript:OMO53754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGEFKDVENGEINGLEELEKPLIAEQEQSRVVYEADKNGGGENGSSNWMVLLCTFVAVCGSFEFGSCVGYSAPTQSAISEDLNLSLAEAMRLSSVFCIAGWLAVYFSKLMIVTGSSVAFLIGTIIPWRALALTAGTSFYLKDHNLFPELVPILAVGGVLIFPINVKGVAGSLVVLVNWLGAWAVSYTLLKAGKAGKAGKACKEEDALSSSSGREGCVENSDHLKHDIAQITNLRSGPHDLLSRGVPGRKKLPVSTLKMLVAREGNYTGRGRFSSADSCHILSRYLPVNGPWWVDRMCSRAYLSQFSADGSLFVAGFQRGHIRIYNVERGWKVQKEIMARSLSWTTTDACLSPDQRFLVYSTLAPVVHIVNVQSATTESVGNITEIHDGLDFSGESYDYHDDELGIFSVKFSTDGRELVAGGSNKCIYVYDLETNRPSLRIRAHKSDVNTVCFADETGHLIFSGSDDTLCKVWDRRCFVPGGKAAGVLMGHLEGITFIDSRGDGRYLISNGKDQSTKLWDIRKMSTKVKNTPRQRDPGWDYRWMEYPNDAKALKHPQDQSLATYRGHSVLHTLIRCYFSPSYSTGQKYIYTGSAEGSVYIYDLVSGAQVARLEHHGAPVRDLSWHPVYPMMMTSSWDGVIARWEFPGGNEEPTQWEPRPGSPVYA >OMO53762 pep supercontig:CCACVL1_1.0:contig15160:51091:52677:-1 gene:CCACVL1_28381 transcript:OMO53762 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase, plant/fungal/bacterial MKSGFNIFLVVSLVLFGIVEVCNAGLVTRSGLRYNYYKKSCRPAETIVQNIIRDRVRANPGLAAKLIRLHFHDCFVRFKKPLWDVPLGRRDGRVSLATEINGNIPGPFQNFSSLLQNFNKKGLTVDDLVILSGAHTIGVSHCGVFSRRLYNFTGKGDADPSLDKDYADILRKQCPNPASPTITVDMDPGSALSFDPHYYEILLQNKGLFTSDAELLTDRYSRRKVIQLQRPRAFFPSFAASMVKMGAIEVLTGNAGEIRQNCRVVNP >OMO53755 pep supercontig:CCACVL1_1.0:contig15160:23768:28212:-1 gene:CCACVL1_28374 transcript:OMO53755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MEQAPSPPTQPPRGSHRSKDPQMDVHFPVPEADPTSSVWDWGDILDFTVDENFSLSFDDENLAPSPLEVPPLDPGAVPGPDRVRKRDPRLTCSNFLAGRVPCACPELDEQIEKLEEEEAGAPGKKRARTGRVGSGTSRCQVPGCEADISELKGYHRRHRVCLRCANSSTVLIDGETKRYCQQCGKFHLLSDFDEGKRSCRRKLERHNNRRRRKPAGSKTAGNKESQEAVQSEDIPCDSEAGKDDLSLSGQVAEEEPTFESEDGRVSTHCSVPAVQSVNNDSVVTFIDAETDGGKDDSKFSLSTSYCDNKTAYSSMCPTGRISFKLYDWNPAEFPRRLRHQIFQWLANMPVELEGYIRPGCTILTVFISMPKNMWAKVSENPMTYIHDFVFTPGRMLYGRGFMTIYLNNMIFRTMKDGTSMVKIDVEMKAPKLHYVHPACFEAGKPMEFVACGSNLLQAKFRFLVSFAGRYLSYDYFVASSNVQHEEDSPGCDHRLFKIRVPQTEPDIFGPAFIEVENQSGLSNFIPVLIGDKEICSEMKSIQQRLDVSLFGERSKFSATVSLLEACKASSLRQKAYSELLLDIAWLLREPKLENIQETMASSQIQRFNCLLSFLIDNKLTVILKKVLKNLKIVVEKIGFNGTNDSDIRLLQKHMEYARDILSNEVQEGESPDLDERTNCKLQTTIASTTDCETVPLLKSEIIMNVNLSKECPRKSCSPIFVTPALRSRPAVFLIATAAVCLGMCAVIFHPNKVGEFAITIRRCLFDRVLDVE >OMO53759 pep supercontig:CCACVL1_1.0:contig15160:38894:41052:1 gene:CCACVL1_28378 transcript:OMO53759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L33 MGDKKKKTFMFIRLVSAAGTGFFYVKRKSAKKVAEKLEFRKYDPRIWEI >OMO53752 pep supercontig:CCACVL1_1.0:contig15160:7545:7670:1 gene:CCACVL1_28371 transcript:OMO53752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PDNKTLTHYKITSPSRFLDLSSWPGCCCGINTRGGLSCWGL >OMO53760 pep supercontig:CCACVL1_1.0:contig15160:42265:45814:1 gene:CCACVL1_28379 transcript:OMO53760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCAFSFPAHISRTAASSDAQKSTPFTSHLLGGTDLLFQPLNKLNQVRKRPSGIYASLSERPEYHSQRPETPLLDTINYPIHMKNLSVKELKQLADELRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNAPKDKILWDVGHQSYPHKILTGRRDKMHTIRQTNGLAGFTKRAESEYDCFGTGHSSTTISAGLGMAVGRDLKGERNNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPIPPVGALSSALSRLQSNRPLRELREVAKGVTKQIGGPMHELAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLVSILKEVKSTKTTGPVLIHVVTEKGRGYPYAERADDKYHGVAKFDPATGKQFKGSSPTQSYTTYFAEALIAEAEADKNVVAIHAAMGGGTGLNLFQRRFPQRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFMQRAYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTFMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGVGVQLPPGNKGVPLEIGKGRVLLGGERVALLGYGTAVQSCLGAASLLQSHGLQITVADARFCKPLDHTLIRELAKSHEVLITVEEGSIGGFGSHVAQFLALDGLLDGKVKWRPLVLPDRYIDHGAPAYQITEAGLTPSHIAATVFNVLGQKRVALEIMSSRN >OMP06183 pep supercontig:CCACVL1_1.0:contig05035:567:626:-1 gene:CCACVL1_01686 transcript:OMP06183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAEFRKPTIAKPLYNL >OMO60121 pep supercontig:CCACVL1_1.0:contig13782:22417:28204:-1 gene:CCACVL1_24385 transcript:OMO60121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reduced growth phenotype protein 1 MLASRFSFFGGGGSRKVDNQVERDQSELNPTLKLQTDTDVYRPGDTVYITIEICNPLASGNTGSTVQSLLIERLGFEIKGIEKLDTQWFATQKPLAGTKQRRGEHVFLDCSTAAMVSNQIVPSGSAKTYVVRAVLPSIIPPSYKGATVRYLYYIKSTLSVRWLIVENGHFGEESVKDLTEVEARVPLQVWVTQKRNGLVMEDGQSDGIVPSTTFQADIYWKEMDGDSEWTRVSEMYDGVEEGYDSSRDEMSSVSSYNPSKENLYKTFGSSLSLQSSAARSSIRDGPYPDADRMSLSSNAGLPRLSVAEVLYDSTADMSSAVLSPSQQQTPRRSLALDDTTGVSSAPSPRPTESVASEGFIRGRSYNIRMDDQVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGARRCLEVSVTLETSETINRRFVHPSRRNSPTITKVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTPHVSVQWSLRFEFFTTPKNVDWTRYEHPLLIEGRDKSEWVLPITVHAPPPGTPAARTRNEKPFSLEPLWVDTACNSYDELCVTKQCPAPPPFQ >OMO60122 pep supercontig:CCACVL1_1.0:contig13782:32235:32297:1 gene:CCACVL1_24386 transcript:OMO60122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVQNKPVRLGTLQIVPPW >OMO72376 pep supercontig:CCACVL1_1.0:contig11455:10265:18716:1 gene:CCACVL1_17835 transcript:OMO72376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKFDLRGVNDVWILAYTAKKWRDHKIKLKTEYFNIDLTPNMVKGKFPEEKPGMSIEQEGRETGRAT >OMO77172 pep supercontig:CCACVL1_1.0:contig10806:1971:5445:-1 gene:CCACVL1_15179 transcript:OMO77172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMEKIKKAKKNHGEDEMAEMDRLTDLPDGILLHILSFLMDFSYRRCVQTTLLSKRWNNLWTSVPDLICHRGESKNVPSFKKFVRHVFSRRQNLPLNKLSLDYYGKDKSFITTIINYAISHNVQHFSLYAQLASLASLLPLFNTSGSLKTLKLKSFSDLYLLEGFTLPNLTSLCLDQCSFWNSVDCEPTSIDPFVGLFNLKSLQLLRFSAGRRVTNFKISGPQLHSLTITGHYQYKLEIIAPKLQFLGLESFHLSEFSDLDLPLLEIAELLEIGVALRLPHPRPPIDMFRRLYNAEALVLSSDMIMLRRLKAGETEKVPVLFVSSSNLPVREMSTGTMDRAIINLSFHCHVTLLRSHLDSYSSIRFHADVKCISFVIEKAIGSVDLLRGELQQLRNVMIISVLLQFEFVFWITLPSWKSQNSPLLEIAELAELTKPTEFYDYPSPYPRPLMDVFRGLYNAEALVLSSDVIQLLMEYPAYVLEDQSSPFVRLTTMKVKCAARFKDFRLKRNLLVYRLYQLTRATHFMQSLMILMKDSPRRPEVMEKASAIIGMVLTFQVLGVVCLWSFVTFLLRLFPSRPVAENY >OMP12374 pep supercontig:CCACVL1_1.0:contig00056:109:216:-1 gene:CCACVL1_00018 transcript:OMP12374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGIILGPMVFKNHNSSLITMSNESVKTLGTIASFGY >OMO81364 pep supercontig:CCACVL1_1.0:contig10191:2833:6062:1 gene:CCACVL1_12449 transcript:OMO81364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MARAATSFTCFFLISSLLFPALFFSISEADNSAAPIVNGLSWSFYKSSCPKVESIIRSQLKKVFKKDIGQAAGLLRLHFHDCFVQSGGPDYDVPLGRRDGLTFATRNVTLQNLPPPTANASVILASLATKNLDATDVVALSGGHSIGISHCTSFTNRLYPTQDPVMDKTFANNLKGVCPTANSTNTTVLDIRSPDKFDNKYYVNLMNRQGLFTSDQDLYTDSRTKSIVTSFAINETLFFEKFALSMIKMGQLSVLTGKNGEIRANCSVRNPDNKSYLAMKVDDEVEEDRAELR >OMO81365 pep supercontig:CCACVL1_1.0:contig10191:6685:6837:-1 gene:CCACVL1_12450 transcript:OMO81365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSATGAGGRFLCANGRGPPTKMLGGDGLCSNFVKLESIFFPTPRLAAS >OMO99649 pep supercontig:CCACVL1_1.0:contig06839:17010:17972:-1 gene:CCACVL1_03693 transcript:OMO99649 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA isopentenyltransferase MDSSAPRHVSSDNNTKLIVIMGATGTGKSRLSIDLSGHFPNSQIINSDKMQLYKGLDITTNKIPLQERKGVQHFLLGEFDSIDADVAPSQFRSAAGLTIHNIASRGNLPFLVGGSNSLIHALLVETYDPEVDVFSGSGSGSVSHVLRYDCCFLWVDVAWSVLCDYLCKRVDEMLDSGMFQELAQFYDPANEGVRVGLRKAIGVPEFDRYFKRYPPWVSEENGVVPEQGCDPVRREAYEEAVREIKDNTCRLAKRQIGKILRLRRGGWDLRRLDATATFGAVMRKNKCSSSSSSDLEEWREIWEREVVEPSVKIVKRFLEK >OMP04902 pep supercontig:CCACVL1_1.0:contig05573:4561:5916:1 gene:CCACVL1_02107 transcript:OMP04902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin LKPEEEVKPNYGANPGTYKSKPGEKSTYETKEYNYNKPKPEEKPEQNYESKPEGEAKPNYGAKPDDYKSKLEGEAKPAYGAKPDSYKPKPEGEAKPDAYKSKSEEKPSTYETKEYNYKPKSEEKPSYDTKPEVEEQNYKQKPQEEVKPNYDAKPDTYKPKLEEKSTTYKTKDYKPKPEEKPEEKPTTYESKDYKPKSEEKPEQNYMPKSEEKSGYEGKPEEDYNYKPKPEGESKPSYSTKPAEKPVYDNKSGDEYNYKPKPEENGKLLSISVGGTVLCKSGSNYKPIQGALVKVTCQVVDGIGLEKTLPICSVPTDSNGYFFETLSSLTHLLGTTLKLKGCKAFLQGSPLETCNVPTDVNNGISGAPFTNYHILNEKQIMLYNMGPFFYTSAPQSVPTNNGY >OMP04903 pep supercontig:CCACVL1_1.0:contig05573:7019:7333:1 gene:CCACVL1_02108 transcript:OMP04903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MKENIIRIPCPQSGCGRLIIPNTCFSLLPAAVIRRWDIELYYSETPPVRVFDCPYCSAPLSDDDLEEEKDGDGLKHYDCPRCSQSICASCFSFEHGRLSCLRFY >OMP04901 pep supercontig:CCACVL1_1.0:contig05573:1908:3159:1 gene:CCACVL1_02106 transcript:OMP04901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PDAQPNKACPRPSEAPQPCYPPEKLAEMNAQPPPAGYPTVDGTAEYPHAPPVYTQSKGDDFWKGW >OMO94012 pep supercontig:CCACVL1_1.0:contig08008:50:690:1 gene:CCACVL1_06226 transcript:OMO94012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase PRMT5 PLMDNLEAQTYETFEKDSVKYIQYQRAICKALLDRVPDADISAVTTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVVTLH >OMO61429 pep supercontig:CCACVL1_1.0:contig13487:13659:13913:1 gene:CCACVL1_23518 transcript:OMO61429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTVATKKLRKKKPRTNMKQDFSPADGRNRGASL >OMO92569 pep supercontig:CCACVL1_1.0:contig08197:7628:7690:1 gene:CCACVL1_06820 transcript:OMO92569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKMKKKTGAANERWPTAG >OMO92568 pep supercontig:CCACVL1_1.0:contig08197:1582:2909:1 gene:CCACVL1_06819 transcript:OMO92568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDPEWVFLQPDNGFLEINQDGEKKGNSDTKIIFLPHYFDKEQPPPPSGNSRKIPQKVVPVSFPLEPKIFKAPGNDFGKEAAQRVFSDFTSNPSMIPDEKIIEADKEVKTQVSFRKPTYNNESVDMTQKMDSPKSTTRGSVIPQIDATGSFSFDDSSENLENKISSPRKKDLVEKKVENWEEDSGGLNFWKWGLTGIGAICSFGVAAATFCIIILGSQQRHRQQQKNQKLLFQRYTDDKRMKQVVHHATKLNEAISAARGVPITRAQITFGGYYDGI >OMO59830 pep supercontig:CCACVL1_1.0:contig13866:2966:3445:1 gene:CCACVL1_24587 transcript:OMO59830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANSFRFLTLAIMVIFGTLILENSRVSADCQIDIPGLIAQCKDYVKIKGPKVPPSEGCCGVVKKLDIPCVCKLVTPEVEKIVSMDKVVYVARTCGLTVPAGLKCGSYVVPPRA >OMO59831 pep supercontig:CCACVL1_1.0:contig13866:5296:6007:1 gene:CCACVL1_24588 transcript:OMO59831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTIIKLMALIMAMEVLMLGGGGGSKMVSVSGQEEECGNLVGLGVLLGCQSFIEKDKPMVAPSAECCGAINSIGMNCTCKIITKEIEKIISMEKLVIVAATCGQPLPKGTQCGSYKVPPMA >OMO59832 pep supercontig:CCACVL1_1.0:contig13866:7557:8969:-1 gene:CCACVL1_24589 transcript:OMO59832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MFQEESSSVTSSPLQVFSMMSLSPNLGSPYPWLRELKSEERGLYLIHLLLTCANHVATGSLENANIALEQISQLASPDGDTMQRMAAYFTEALADRILKAWPGLHKALNSTRISLVSEEILVRKLFFEMFPFLKVAFVLTNQAIIEAMEGEKMVHIIDLNAAEPAQWIALIQALSARPEGPPHLRITGINQQKEVLDQLAHRLTEEAEKLDIPFQFNPIVSKLENLDIEKLRVKTGEALAISSVLQLHTLLAADDELLRKKSPLASKNLNGIQLQRALQMNQSTLGELLEKDMVNGYSPSPDSTSSSPLSSSASAKVDSFLNALWGLSPKLMVVTEQDSNHNGSTLMERLLESLYSYAALFDCLESTVSRASLERLKVEKMLFGEEIKNIIACEGAERKERHEKLDKWIQRLDLASFGNVPLSYYGMLQARRLLQGYNCDGYKMKEENGCIVICWQDRPLFSVSAWRCRK >OMO56601 pep supercontig:CCACVL1_1.0:contig14500:10261:12721:-1 gene:CCACVL1_26420 transcript:OMO56601 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MVIQLHLEINQEIGLTVKEFSVPHSDFSGPTSGCMACVPIIKNNQLVANAGDFCCVTSSKGQAYYLSRDHKPDLEAEK >OMO56605 pep supercontig:CCACVL1_1.0:contig14500:30744:30872:1 gene:CCACVL1_26424 transcript:OMO56605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTMKRQQQQPFHQCLISGLYPLKKSLSRLAMTMQLVHGQS >OMO56610 pep supercontig:CCACVL1_1.0:contig14500:39368:43490:1 gene:CCACVL1_26429 transcript:OMO56610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSESVDSSSQMPAKVSDVETRAEAIDDKPQAITNDTKHQAEPDNVEPPAKAHDVEPPKAADNPPSVTVQTSSSQNDSPSPSVLSPNGITSWARNLKFPQPTAPSQDSQAGNATSAFARFTSGLGLRLQSMTLPADNNSAEHNSNATQAVLESFKKGIVDSSRSAVKAVQNKARHIVSQNKRRYQEGEFDLDMTYITENIIAMGFPAGDLSSGLLGFFEGLYRNHMEEVIKFFETHHKGRYKVYNLCSERLYDASLFQGKVASFPFDDHNCPPLQLIKSFCLSAYSWLKEDIENVVVVHCKAGMGRTGLMICSLLLSLKFFPTAVEAIDYFNQKRCIDGKALVLPSQIRYVKYFERILTQFNGEIQPGRRCMLRGFRLHKCPYWIRPSITISNHSGTLFSTRKHPKTKDLMPEDFWIKAPKKGIVVFALPGQPGLTELAGDFKVHFHDRQGDFYCWLNTTMIENRTMLEASDLDGFDKRNLPYPGFKVELVMIDYDGRLATNSKATNSANKGTEGNSDYAKDGATANSKQNKVSGNEDNDDVFSDSDGEESGTPRSRQTQATAGVRAAASSNLSNNATRQVGMSTPETHQRSVKNEEPASNNASKDNESTGLAVPNLNSMGASDIKAMAADASVFSFGDDEDYESE >OMO56603 pep supercontig:CCACVL1_1.0:contig14500:15469:17232:-1 gene:CCACVL1_26422 transcript:OMO56603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYVVSGAGEAEVLLFNLSRLSGRGLNDGAISPSVQYQCHTRRVKKLAVEVGNPNVVWSATEGGTLRQHDFREGTSCPPGGSSPRNCHNGLLDLRCGAKRSLADPPRYTLALKSWDISSTRPHLLLAGGNDAFSRLYDRRMLPPLTSVAIALKNAPELNGGKI >OMO56607 pep supercontig:CCACVL1_1.0:contig14500:33128:33214:1 gene:CCACVL1_26426 transcript:OMO56607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVEGINKIVSDEVVDSTTLDANGGGN >OMO56609 pep supercontig:CCACVL1_1.0:contig14500:36390:37919:-1 gene:CCACVL1_26428 transcript:OMO56609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrieval of early ER protein Rer1 MESGPSGGALGGDDLTPSSPATVISRWTFEVSRRYQHVLDKTVPHILNRWIGCLVVALIYAVRVYFVQGFYIITYGLGIYLLNLLMGFLSPQVDPELQDGPSLPTRGSDEFRPFVRRLPEFKFWYSITKAFCIAFMMTFFSVFDVPVFWPILLFYWFMLFILTMRRQILHMIKYKYVPFSFGKQRYGKKASSTESLNLPKD >OMO56611 pep supercontig:CCACVL1_1.0:contig14500:43824:47235:-1 gene:CCACVL1_26430 transcript:OMO56611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease MEKKLETADQKLFDRKLRGLLNRSIVEQLSGSPEQSLVSLTLGDSRYPRDYTFPTSDEGWTVTELSTDSQRMMRSSTMLAVDCEMVLCEDGTEALVKVCVVDRDLQVKINEYVNPYKTVADYRTEITGIDAESLEGVTRSLADIQKSMKKLLSDGTILVGHSLHNDLQVESFMDFFPYSLYWDMKFESQVPEAKMEKLYLHKIPNNVPKKEISRIIPGEVAIEIKSAKKAQGRYYTAYAVFNSAEEANQAFENIEGTEERDSSGLPQKLVTFQFGKGATASLYVRKMARDDLQCQVPSKKRVFQSEEKFSESKKLKTDQKPVKETTTANFNQVDDQLKEIERLKQELEQKDSQIVTQDKILAKREKKIDELKQELENLQKKLDKLKRKKEKKGSLIN >OMO56604 pep supercontig:CCACVL1_1.0:contig14500:22704:25124:1 gene:CCACVL1_26423 transcript:OMO56604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLFKSPMAITISTLLFFFFFTLASASDHISMVTNLNQNQPSSRSDDEKNAKVVTIDGYEDVIPFDEMSLKKAVAHQPVSVAIEAGGRAFQLYESFD >OMO56606 pep supercontig:CCACVL1_1.0:contig14500:31447:31524:-1 gene:CCACVL1_26425 transcript:OMO56606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFDLLGTGLGPKRRRRLLKTSRQ >OMO56608 pep supercontig:CCACVL1_1.0:contig14500:33932:34917:1 gene:CCACVL1_26427 transcript:OMO56608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVFNKELLSWYLITLKLRETVESGIPRTSTGTNKSLDLTEDQSDHHQLHKQQHLPSDSLQKKSSSLRP >OMO56602 pep supercontig:CCACVL1_1.0:contig14500:13500:15043:1 gene:CCACVL1_26421 transcript:OMO56602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing Prp18-interacting factor MVGFKSRVEKRKQEELEEARKAGLEPAEVDEDGKEINPHIPQYMVQAPWYINPAGPSLKHQKNWKSDPNYTKSWYDRGAKTYQANKYRKGACENCGAMTHNAKSCMERPRQKGAKFTNKNIAPDEKIEVEKRVRTTGGGSTGTVRNLRIREDVAKYLLNLDPNSAYYDPKTRSMREDPLPDADPNEKFYGGDNQCRVSGQALEFKQLNVHAWEAFKKGEDVHMQAAPSQAELLFRNFKVSKEKLKSKTKDLIMEKYGNVASEEEMPKELLLGQSERQVEYDRAGRVIKGLMEILIPKSKYEEDVFLNNHTSVWGSWWNIDAHQWGYQCCKQLIRNSYCTGLAGIEASEAAIDLMKANIARKATSEESPAPAQGKQLAIWGTDVPDDLVLDEKLLSMALKKEDERRREEKDERKRKFNVSWNDEVTAEDMEAYRVRKIHHDGPMKDFIS >OMO52112 pep supercontig:CCACVL1_1.0:contig15601:2580:2953:1 gene:CCACVL1_29351 transcript:OMO52112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DARFKVRARVINIDTTNGWYYTACSDESWSSAMAGVKAEGMPIIRNTSSVVDLRKKAEDILNMEYSTYS >OMO52113 pep supercontig:CCACVL1_1.0:contig15601:3968:14136:-1 gene:CCACVL1_29352 transcript:OMO52113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAARAAFIAWYIWKGRNDVYFNNSEPDASGTNIKVEKTWQEYQSANTVESRVGRYYNSQVMGCLVSTPKDSGGNRRRPGNIGEVSVYVPGLRIPKPVDFFQSLGGHVSKTLVERLTALRTRIVVMAGQEAPTITRTRRKTATQHGGSTLADLHQALEDYLPVLLGLVKDGSQLQYKVLFIWVNQEDDAEETAMFNAWYEVLSVLHLMAMLSLSQANLLLLPRTSADGYQPKVSEESRRASVDIFLRAAGYLDFAVRHVLPQLPSELRRNLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLSNGWGEKHRLFVKWKYVEAKAAAYYYHGLILDEGNTEKYHGMAVAALQAADEYFRESKKAYEVFNAAHPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFALALKPDEYQLPAVDPLWNESVQLSHIGSNQVKCDKR >OMO52114 pep supercontig:CCACVL1_1.0:contig15601:32720:37393:-1 gene:CCACVL1_29353 transcript:OMO52114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIIHTYCCASGQQPHLLPQLFWKRLNLSRRLLKSLPLPPLLLFFVLHCRRHWCHRWPILKPFLWLPLLFHLFFSFIHSNSKPSLSHSKPALPPQGFTINVVTGPQRFSTLFANSKSEASANQTQNPKPNSLRSTLPESNPTFGYAAGSFLIPIRLNPSHFLLQNLQINFPKIFPR >OMO52115 pep supercontig:CCACVL1_1.0:contig15601:38158:39072:-1 gene:CCACVL1_29354 transcript:OMO52115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MTSEEKVGGLPLERRRVLAFHALIFYCRLMDMGFKEQRFTWEIRRENNIKERLDRAFTNTKWRVAFNIAQCINLPAVGSDHSPLFIALDTGEKKSERIFRFETPGQHQRDARKLLNSNGRVRKEIDSLMKDLTQIQDSSHSEGDKQTTEIIIAKLEAIWATEEMFWHQRSRVKLVKYGDQNTKFFHLNTIQRRSANKILRLKNSVGNWVDKEDDIERILLNHYAKLFRSNGARDWSEALDHVERVVTVEMNDELIREATNDEVKAAAFDMGA >OMO69188 pep supercontig:CCACVL1_1.0:contig12095:7002:8262:-1 gene:CCACVL1_19610 transcript:OMO69188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAATRLLLVESSGDTNRAYQPCSDTKVQISDGFSFGIAFSSRQSFFFNSTLQLSPCDRRLSLSNSNSRVAVFRPKVDEISLLTINTSSFSPDQVGGYMVAFAGRKYAARSLPAFVSNSSFTVTSFTLVLEFKKGRLQNLYWKRDGCAKCSGQSSFVCLNKQDCAIGTNSCKNHGGSVDCSLGIQLAFSGTDKHLSALNSWYEVKNLRQYSLYGLYSNLRDSLSSQYSKIF >OMO69189 pep supercontig:CCACVL1_1.0:contig12095:9006:9886:-1 gene:CCACVL1_19611 transcript:OMO69189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSPPFSQASTKHQRSKTTLAIPHRLFDIQIAKPKPMTHLQPSTVVEFPGHSIEPSGTKLGFSNCPIVQSGLIFPSILSQFNVVQGSSAAEHDGGWVCVLGGS >OMO69187 pep supercontig:CCACVL1_1.0:contig12095:4832:5680:1 gene:CCACVL1_19609 transcript:OMO69187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFSKILSQTDVTIFSKILSQTDVTRRCSVPMKYFKLRSFPKSPFVVEDDSGCLWRLCCYIRSKNHPKPALVSGWVPFVKSMKLRVGDRVLIYAEQDETGSTRYKIKVQKQTFPSKVRGSHTRKNHDRMKVSPSQSDNGSTQTTIQPSGYPFPCVLNHGHGRTTAMTSSHTNKEQTPTKHSTSQGISCHKTEGPSPSLSLESTQKATMTGRTAAATSSSTDKKHMTTPHSSRRNMALYKTEIPSPNLSLELSLKPTLTECQQHAYMQKTIPKTIDFLAGFS >OMO69191 pep supercontig:CCACVL1_1.0:contig12095:20838:25500:1 gene:CCACVL1_19613 transcript:OMO69191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MEMIQYNRFIAPLLLLSLCLLVSSAVAEDVKYCDKTDYAVKVQGVEISPNPIARGKAANFSIAATSGAAISGGQLVIEVSYFGWHIHSETHDLCDETSCPVSAGDFVVSHSQVLPGYTPPGFVLQLNQNCHGHGISVFKDQDCKTNIINLPEPVKDEEEKAALEFFDVVEEEILPDEEEHPCLTDFEEKCPPGGEDSVIFYTTSMRGIRKTFEDCSSVRFLLESFKIKVHERDVAMDMEFREELWRVMGGNRVIPPKLFIKGRYIGGADEVVGLHEQGKLKKLLEGIPSNLPNCPCSGCGNMRFLVCSNCNGSRKVYNSAEKEGDNEMFIKCHDCNENGLVKCPVCC >OMO69190 pep supercontig:CCACVL1_1.0:contig12095:16651:17175:1 gene:CCACVL1_19612 transcript:OMO69190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MNTTSDSGGFLGSNNIGGFGYGIGVSVGILLLITTITLASYFCTRSNTQQPHGGGARSRARREAATTIHDSESFVVDVGLDEETIKSYPKLLYSEAKLQKKDSTASCCSICLADYKSSDTLRVLPDCNHLFHLKCVDPWLRLHPTCPVCRTSPIPTPLSTPLAEVVPLASRQGG >OMO69192 pep supercontig:CCACVL1_1.0:contig12095:38386:42093:-1 gene:CCACVL1_19615 transcript:OMO69192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKMMRWRPWPPLVSKKYEVKLIVRRLEGLDLAGEGLQKPEKLTVEIRWKGPKASLSSLRRTVKRNFTKESEGVDENGVVLWDEEFQTLCSLSAYKENVFHPWEIAFSVLNGLNQGAKNKVPVVGTASLNLAEYASAAEQKEFELNIPLTLSTGAAEPGPQLLISLGLLEIRTAQETTEPVQRALVPVTSPSRPGETVSMEKDELSAIKAGLRKVKIFTEYVSTRRAKKACREDEGSEGRCSARSDDGEYPLDTDSLDDFEEGESDEGKDESAVRKSFSYGTLAYANYAGGSIYSSMRINEEGEDWVYYSNRKSDVGCSIVEDSAASVSEPSLSQSSKRSILPWRKRKLSFRSPKAKGEPLLKKGNGDEGGDDIDFDRRQLSSDESNALGWHKTDEDSSANRSSVSEFGDDNFAIGSWEQKEVVSRDGHMKLQAQVFFASIDQRSERAAGESACTALVAVIADWFQNNHDLMPIKSQFDSLIREGSLEWRNLCENETYRERFPDKHFDLDTVLQAKIRPLSVVPRKSFIGFFHPEGMDEGRFDFLHGAMSFDNIWDEISHAAAECSDSSEPQVFIVSWNDHFFVLKVEPEAYYIIDTLGERLYEGCNQAYILKFDRNTVIHKLPNAAQSSEEKPSGDQQIAAAASESKNSQVQPVNVKDGSVAGAIVTKLDESTKSEESEEVVCQGKESCKEYIKSFLAAIPIRELQADIKKGLMASTPLHHRLQIEFHYTEFLQQQPETSATPMATVTETPMTNGIETPMTTGTEITALSVEVPLTEVAA >OMP04008 pep supercontig:CCACVL1_1.0:contig05866:536:604:1 gene:CCACVL1_02214 transcript:OMP04008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMWLRPRSKLRDFLMAKEPK >OMO73697 pep supercontig:CCACVL1_1.0:contig11190:9092:10908:1 gene:CCACVL1_17178 transcript:OMO73697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MLLLILLPLLVLTLYLIVRPRPVIIPIKDSHVFITGGSSGIGLALAHQAAFEGARVSLLARSLKKLEEAKESIRISSGVEVSIFAADVRDFDAVQKAVKNAGPIDVLVVNQGIFVPQELEKQELDEIKFMIDVNLMGSFNVIKAALPLMKERKDRGPNSIALMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVISDNIHVSLIYPPDTDTPGFEQENKTRPELTKILAASSGSMKADKVAKIALKGIRSGTFIVPCNLEGHALAIATAGLSPQRSFLMASVEVLCIGFLRIVALYFQWNWYKDIEKWHEMKKVSEN >OMO71608 pep supercontig:CCACVL1_1.0:contig11590:15258:27743:-1 gene:CCACVL1_18133 transcript:OMO71608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRERPRRGTLPPVQENIDKLENVINEGNFYGAQQMYKSISARYVSAQRYSEALDLLHSGACLQLKHGQSCMQMYREVAPACLKFGLRGWLDTSPHGFYFKSGGKIYKAFPQLPVPSNMADCDDVQEITEAVGAAKTRVEGCTSFLRAAIKWSAESGAYKYGDPQLHTMLAEYIYSQCPELDMARVSFHFVRGNNPKKLASTLVNFMGKCYPGEDDIAIARAVLLYTSMGNLRDANFLLDEIKRQVASQNVDFPQSHLIQFITFLLLTLERDALPLFNTLRQNYKSSIDRDPTLNEFLDDTAEKFYGVQRRNPLQGMFGDLFKFRLLSDDMMNNKIATAQISRFAVRDLECRNRTWKTQQGKRSRRGNHNSADRLNESNQEAGDSQSVEMGVEGLNHINQDQEEADHQDNDSFEILNQTPPESKSENKCLRRSNRIAVDGKEWNARYFAYKTNNKYSRAMISNGWHVFVRDNELKLGDACLFELTDQFNQSTEYDITLNIVIYCANEDSNCSSSSGKEPTTRRGYEFALAKSRL >OMO71609 pep supercontig:CCACVL1_1.0:contig11590:33659:34373:1 gene:CCACVL1_18134 transcript:OMO71609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S23, eukaryotic/archaeal MGAGRKLRNHRRRQRWADKQYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >OMO71607 pep supercontig:CCACVL1_1.0:contig11590:3083:6512:1 gene:CCACVL1_18132 transcript:OMO71607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNHPNTGPALSPILEHEKDQIKTLILSLMLSASPCIQSRLSEVLAVIGKHHFPKSCPSKGLTPKRFFFWRPFQPPSLAKHIKALLVKRLCGEPKVGLLSEDSGSEPEEALDKSFGFGNNFRAKYKLVKKLGRGAKGHVFSGRGRKGELKDQLVAVKIIPKAKMKTSFCIEEVQREVKILKALSGHKHLLKFYEACEDDNNVYIVTELCEGGDLWDRILPRGGMCSEEEAKAIVKQILSVVSFCHLQGIMHRDIKPENILFTSGGEDAEIKLIDFGASDLIRQDDQRFSDIVGTYIYRAPEVLRESYSVEADLWSIGVITYHLLGGDWPFWAPTRSGTFKLVRRCDPNFDDEPWPSVSPEANDFFKRLLNKIEHKRMTAAGALAHRWLQDESHPDPVPLDYLIYRLVNSYLHSNPLRCAAQKALSKALTEDQLVYLKAQFRLLEPNRDGSVSLENFKMALARNTTNIIDESWVSNIPTEMGSLADRKMYFEEFCSAVIHIRHLEADEGWEQIVSTAFEHFQQEGNRVISHQEFCQELDIRGPKALSSVQNCIRNSDGKLNLTGFIKLLHGRIRKSKHYNIKRH >OMO71610 pep supercontig:CCACVL1_1.0:contig11590:35087:37758:1 gene:CCACVL1_18135 transcript:OMO71610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASLPGPGKAVWVPKRRTQEKQDEWQKVSYEKSQHGDKSSECSNSTADKFQALSDDQESAILSVENVLEAKLDQETDNVADNECTDPQRMPPRKSMSAVAEVTDMMKQITTNNGKPKWKKKGAVTKHIGPS >OMP00232 pep supercontig:CCACVL1_1.0:contig06711:2360:18389:-1 gene:CCACVL1_03439 transcript:OMP00232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWPGEVDTHHQFICLFLATEAKECFAELVDWTNEIVCFGFGRPITLKHHRIDRKTETNGKNGN >OMO51576 pep supercontig:CCACVL1_1.0:contig15785:7746:7859:1 gene:CCACVL1_29708 transcript:OMO51576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERSKEPQRQKQYSMKIAAVMMTPTMKRVAKVAEGE >OMO51577 pep supercontig:CCACVL1_1.0:contig15785:10567:11130:-1 gene:CCACVL1_29709 transcript:OMO51577 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MPKDDARQHGTPILEIKGGVICKYCGFVMRSGGVTRLKWHLAGIDPKKNVKACEVVTDDVRDLMQHSLCTFAKSKEKRRTHMDDIRAELATDVMGQEDNVEDEDEDTVAYPPDVSADKMHAYKKAFHASKQFEWEWEQRMRYSSGPGDSSGQSSKSGNMLQRSHTVREKGDPVTKAASVQKSGQSTH >OMO51575 pep supercontig:CCACVL1_1.0:contig15785:882:1489:1 gene:CCACVL1_29707 transcript:OMO51575 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin-4-like protein MAAEATLSFSVASVVEDVLRKRFSCFNCRRSSRRYEAAGWLRKMVGVVAAKDLPAEPSEE >OMO51578 pep supercontig:CCACVL1_1.0:contig15785:25119:28931:1 gene:CCACVL1_29710 transcript:OMO51578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPGLGNRNNGRRLGITEPISLGGPTEYDVIKTRELEKYLQDVGLYESREEAVGREEVLGRLDQIVKTWVKAISRSKGLNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRYATREEDFFGELYKMLSEMPEVSELHPVPDAHVPVMGFKFKGVSIDLLYAKLSLWVIPEDLDISQDSILQNTDEQTVRSLNGCRVTDQILRLVPNIQNFMTTLRCMRFWAKRRGVYSNVTGFLGGINWALLVARICQLYPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEEGSLGLQVWDPRKYPKDRYHLMPIITPAYPCMNSSYNVSASTLRIMTDEFQRGSEICEVEDLPILILALTGKKEKGEGLGEDSFIYIFVF >OMO50616 pep supercontig:CCACVL1_1.0:contig16111:73636:74089:-1 gene:CCACVL1_30349 transcript:OMO50616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKVYLVGRHGPVQAACTVKELRKVLGNPLLFLITMS >OMO50619 pep supercontig:CCACVL1_1.0:contig16111:157958:163564:-1 gene:CCACVL1_30353 transcript:OMO50619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbP, oxygen evolving complex EGRLFVIVAPVLRFADNLGDNATIEKIGPPEKVINAFGPEVIGENVEGKVVSMNTAEHDGRMYYQFELEPPHVLITATAAGNRLYLFSVTGNGLQWKRHYKDLKRIAESFRVFLIHGLQDNTLVLPKLITVSSEVGSLDHALKILESSQNPSRVSYNTMIRCFIGKSHKATFHVYNQMKALKIGPNSYTFSFLLRCFESFESLKDGMVIHGDILKTGLGSSVFVENVLLDFYAKYGGSLVFALRVFEEMPEKDVVSWNSMIGAYMARGEIESAIGLFEFMPERNVVTWNSVLSGLSKAGNMSLAHSVFERMPERNEIAWNSMISGYVKMGNLEAARLIFNQMPQKSVASWTALISGYVTVGDIESARSVFDQMPVKNVVSWNAMISGYVHSHMFNEALSIFHEMLIDAKYKPDQTTLISVLSACSHLGSLEHGKWIDSYIKKNNLFLSVPLGNALIDMFAKCGDVENAKAVFSMMANKCIITWTTMVSGLAVNGRCREALNLFDRMCLENVKPDDIFFINVLSACTHGGLVEEGKRVFNQMVVQFDIKPRIEHYGCMVDLLGRAGRLEEAVSFIESMHLKPNDVILASLLSSCHIHGKGDLLESLSKKILDEEPSNPGYITLLSNFSASMRQWADFSSFRASMRQQGIEKVPGCSSIQVGNIVHEFLARDTRHEQRKDIYSILYSLNGHLKTAFDSPMCCENFSDLTTAF >OMO50618 pep supercontig:CCACVL1_1.0:contig16111:140076:140405:1 gene:CCACVL1_30352 transcript:OMO50618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPTNRDPHIEQTRPRSTNQQLQASAGGHTILLTPREQRAPPRPMQHAKRHNLSHRIESMSNAVQNTLSTAGTITHGGQPPTDGDVEQQMRPDTHHRPENSIQPGTAD >OMO50613 pep supercontig:CCACVL1_1.0:contig16111:166:243:-1 gene:CCACVL1_30345 transcript:OMO50613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWVYRLNRPLPKQPARQGSVVRGSS >OMO50617 pep supercontig:CCACVL1_1.0:contig16111:85040:86942:-1 gene:CCACVL1_30350 transcript:OMO50617 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MPPLCSSSCLQRAAIESIYVLIFIFYAPKKEKAKILGLFTCVLTVFSAVALISLFALHGNARKLFCGLAATIFSIIMYASPLSIMRLVIKTKSVEFMPFFLSLFVFLCGTSWFVYGLLGRDAFVAIPNGFGCGLGAMQLILYFIYRNNKGSASQETMKPTANRNASLEMGPDFQKPHQANGNKIPHDDQV >OMO50615 pep supercontig:CCACVL1_1.0:contig16111:47556:52848:-1 gene:CCACVL1_30348 transcript:OMO50615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGQVGDGEDDGGDAPVAGDGGVASGVFDGDETMPLVVKRLVSDWKPEKKMMGFY >OMO50614 pep supercontig:CCACVL1_1.0:contig16111:801:7386:-1 gene:CCACVL1_30346 transcript:OMO50614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRRKPLILSSTKILVHSLLSSTRLDETVPTNLSGDGLRLKAGILRLPKDKDDILDPKFASLDDSALLGLSTSVLKRLGVTSGSLVLVRNIETKIQRIAQVVVLDPPNTHVDTSQIKEPVSHLSHTMLMFPSYSFPQNDSVFLDCDVAYLSPLLAFNLNMHISCLRSLVHEGKETLASLFETKEDDKACREDTGASFISLWLEPLGKLPKYASHLRVSFVKIPECGSLESLRRVSSIEAEDRQEMIDSALHKYFEVDRYLSRGDVFSILLNWNCNSAICIPCSLRLHNGSDNIIYFKVVAMEPSDEAVLRVNRTQTALVLGGSAPSAVPPDLLIDQTKSFAPLQGDTVKILASILTPPLCPSPLSLKFRVSVLLHGPPGCGKKTVVRHVAKRLGLHVVEYSCHSLTASSEKKTSAALTQAFNSAQRYSPTILLLRHFDVFRTLASHEGSPSDQIGLSSEVASVIREFTEPVGGEEDGYHEDKSNGDFPVGDSGNVGRHQVLLVVAVDSSEGLPPAIRRCFSHEVSMSALTEEQRAQMLSQSLQGVSDLLSDTCLEDFVKDIVGQTSGFMPRDLRALIADAGANLIPRNNFQTDLESNVHPRVKAAKDTSSNTAAPPMAKEDLEKALERSKKRNASALGAPKVPNVKWEDVGGLEDVKKSILDTVQLPLLHKDLFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARSARPCVIFFDELDSLAPARGSSGDSGGVMDRVVSQMLAEIDGLNDSTQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSDASYRERVLKALTRKFRLHEDVSLYFIAKRCPPNFTGADMYALCADAWFHAAKRKALSSDSESSSTDQADSIIIEYDDFMKVLKELSPSLSVAELKKYEMLRDQFEDPSN >OMP06012 pep supercontig:CCACVL1_1.0:contig05090:9947:14199:1 gene:CCACVL1_01755 transcript:OMP06012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASSSSFSSSSPPRPDPTLFPSNLEYSKNVINPTTIILSIIKKANHFHSFTYNPMAVGATTPFFTAMFAYLMTFKREAWVTYGALVPVVTGVVIASGGEPGFHLFGFIMCISATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPIAVLVLVPTALIMEPNVLEATLSLGRQHKYMWLLLLVNSTMAYSANLSNFLVTKHTSALTLQVLGNAKGAVAVVISILLFRNPVTVVGIAGYTMTVLGVVAYGEAKRRFR >OMP06013 pep supercontig:CCACVL1_1.0:contig05090:15549:24280:-1 gene:CCACVL1_01756 transcript:OMP06013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MDKLLNLDEFEVEHKNPSVEALRRWRKLVTVVRNRRRRFRMIANLEKRSEAEQQKRKIKEKIRIALIVQKAALQFIDDKHTYEDDLTDEVREANFGIGPNELSSVVEKHDIKRLKLHGGVEGIARKVSVSLDEGVQSENISTRHRIYGFNRFTEKPPRSFWMFVWDALQDLTLIILMACAVLSIGVGLGTEGWPKGMYDGLGILLSIILVVMVTAISDYRQSLQFRELDREKKKIFVQVTRDGRRQQVSIYDLVVGDIVHLSIGDQVPADGLFISGYSLQIDESSLSGEADPVDIYEQHPFLLSGTKVRDGSAKMLVTAVGMRTEWGQLMETLNEGGEDETPLQVKLNGVATIIGKIGLAFAVLTFVVLTVRFLVEKALRNEFTNWSSTDALTLLDYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKQLMDEKALVRHLSACETMGSASCICTDKTGTLTTNHMVVNKLWMCEKIEAISGNDSKNLDKLGISERVFSILLGAIFLNCSAEVVKDEKGKNSILGTPTEAALLEFGLLLGGDHDAQRRQTKILKVEPFNSDKKKMSVLVDLPEDGIRAFCKGAPEIVLRMCDKVVDYSGEIVHLSEEQVRNITDVINGFASEALRTLLLAFKDVDNSNPENSIPNSGYTLIAVVGIKDPVRPGVKEAVQTCLAAGITVRMVTGDNINTAKAIAKECGILTADGIAIEGPEFSNKSLDERRAIIPNIQVMARSKPLDKLNLEFFNFYICLLNMLMIDSSTFAKWFLEPSFGGKQLLGLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDALMKRTPVPRGASFITKPMWRNVIGQSIYQLIVLGVLNFGGKQLLGLTGSDATSVQNTVIFNSFVFCQVFNEINSREIEKINIFRGIFSSWIFIAVMVSTVVFQVVIVEFLGTFASTVPLSWQLWVLCILIGSVSMIVAVVLKCIPVETAPAKPKQHDGYDALPSGPDLA >OMP06011 pep supercontig:CCACVL1_1.0:contig05090:142:567:1 gene:CCACVL1_01754 transcript:OMP06011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAASMLASPAAAAAEAADAKGEIALSPQEIIKVTIPPEPQPGLYRFLEECTKKLSRKCGEMVVTSILEGSATTAACCREAVGIGKNCHDDMIKFFVSLPELQLKGSDIYPKSDRVWNRCVKAAASKPPRSERYINPNIID >OMO71228 pep supercontig:CCACVL1_1.0:contig11701:26779:31373:1 gene:CCACVL1_18346 transcript:OMO71228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome B561-related protein MAQPVRTMETAAGQDRASPPSKPSKFSVYQNPTFSAALTATSLQPSKSTLLCIFVLSSASAFALLSMASRGNGLADKLKFGTFSNEVANVFAKAIQTALGLVFIGTISALFKAISLHRASSTGSVPVLSPSKGTKDQPGLSKRQLGLLGIKPKFEQVVPESSKKPPKSKPPVTSSPSDVLVPLHPPVNGSDRKSRVSSSKSSNSGGKKMTSFATPSRSQGSPSSLYLVPASTSALSSLQTSPGQELVVKTPWSAKRTSFTKEITTEEQLEQFLADVDEKITESAGKLATPPPTISGFGITSPNTVASSANTSGTTRSTPLRPVRMSPGSQKFTTPPKKGEGDLPSPMSMEESIDAFEHLGIYPQIEQWRDRLRQWFSSVLLNPLLNKIETSHIQVMQAAAKLGISVTISQVGSDLPPNRSPATLSPPDGMKEWQPAFTLEEDALLHQLRATLVQTLDASMSKPIAYQQQSPQQNPLIPVMQECVDAITEHQRLHALMKGEWMKGLLPQSTVRADYTVQRIRELAEGTCLKNYEYLGSGEVYDKKNKKWTRELPTDSHLLLYLFCAFLEHPKWMLHVDPTSYAGAQSSKNPLFLGVLPPKDRFPEKYIAIISGVPSTLHPGACVMAVGKQSLPIFALYWDKKLQLSLQGRTALWDSILLMCHRIKVGYGGIVRGMHLGSSALNILPVLDPENED >OMO71225 pep supercontig:CCACVL1_1.0:contig11701:12390:18085:1 gene:CCACVL1_18343 transcript:OMO71225 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MEIDLNHAVNEVEKTAVCNGDCDKASACVYCLSSSSSSCSSNSASPPSSSSIYLELWHACAGPLTSLPKKGNVVVYFPQGHLEQVASASPFSPLEIPTFDLPPQIFCKVVNVQLLANKENDEVYTQVTLLPQPELGGPNLEAKQLDELGLDEGGGVSPTKSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYKQTRPSQELVAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFVSQKNLVAGDAVLFLRGEDGELRLGIRRAVRPRNGLPDSVMAKQNSYPNVLSPVANALSTKSMFHVFYSPRASHAEFVIPFQKYIKSITNPLCAGTRFKMRFEMDDSPERRCSGIVRGLGDSDPYRWPNSKWRCLMVRWDEDIVSDHQERVSPWEIDPSVSLPPLSIQSSPRLKKLRTGLQAAPPDTPITGGGGFLDFEESVRSSKVLQGQENVGFISPIYGCDVVNRPLDFEMQSSAHQSLASTDIGKTNISELMRAHSTAYTGFAESNRFPEVLQGQEICPLRSLTQKADLNLGVWAKTKLGCSSYNMHQAPKSSCYPLASEGLRHMYFPYGDFYKTGQDPAMNSYASIIPRGNIPFNASSIKTGVIVNGVRKPNSLNEHKALENISSTAFRKTVGNQQEDCFKENVTGCKLFGFSLTAESPTPNSQNSGKRSCTKVHKQGSLVGRAIDLSRLNGYDDLMTELERLFSMEGLLRDPDKGWRVLYTDSENDVMVVGDDPWHEFCDVVSKIHIYTQEEVEKMTVGMASEDTQSCLDQAPVIMEGSKSSSVGQPDSSPTVIRV >OMO71229 pep supercontig:CCACVL1_1.0:contig11701:33292:37076:1 gene:CCACVL1_18347 transcript:OMO71229 gene_biotype:protein_coding transcript_biotype:protein_coding description:von Willebrand factor, type A MNNGGPTRINGGAEEDDDDDDMNADLDAWERTYTDERSWEALQEDESGLLRPIDNSALFHSQYRRRLRSLSSTATAARIQKGLIRYLYVVIDLSRAASEMDFRPSRIAVFAKHVEAFIREFFDQNPLSQVGLVTIKDGVAQCLTDLGGSPESHIKALMNKLECSGDSSLQNALDLVDGYLNQIPSYGHREVLILYSALTTCDPGDIMETIKKCKKSKIRCSVIGLAAEMFICKHLCQETGGTYSVALDESHFKELILEHAPPPPAIAEFAIANLISMGFPQRVAEGSISICSCHKEAKVGAGYTCPRCKARLCELPTECRICGLTLVSSPHLARSYHHLFPIAPFDEVPPSLLNDPIHKLQRNCFGCQQSLINPVGEFSFQQLSLNPFDKAQSFIQHPIVQPECQSVFFKRDQQISPSDALFSQKVRKAWTVTLGKQLNYSESESIERYNLGLTERFCRGNKKGLSDLCLLDFSDLLSFIPSRREAAKKKMLGTFPPHPSPVLQKPPKPNKGVKQDTEQQLSQ >OMO71232 pep supercontig:CCACVL1_1.0:contig11701:62683:68864:1 gene:CCACVL1_18351 transcript:OMO71232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISDQDGTSSNMAAELHALRMGLTVAWQEGFRQVERDIDAEVILELLDSKREFVLKLSEGRWMPKPRFSPFRSLSVQGCRVYQSGEGNPPRGKGTNFQLIRYWDTKKLREKKIVPEKSFRIDFKRESKTDLEIMMRFGVGSRFRRGGLRWLTTEEKKSQLVFLWAYRDLKEHIGAKEDQGDVIDASLEEHGDGSTSDRPHDLEMTDHHRLRDSEMPGHHRPRDMDMSGHNRPSLGAPTGHNRPSIGPSGQDRPFDPLAIPQGPMTRARAKRFKEALLGFVRSHLGG >OMO71226 pep supercontig:CCACVL1_1.0:contig11701:19298:23417:-1 gene:CCACVL1_18344 transcript:OMO71226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA oxidase/dehydrogenase, type 1 MQRIIARSLCGQILRKERQRAFSSSSSLLFDDTQLQFKESVRQFAQDNIAPHASKIDQSNTFPKEVNLWKLMGDFNLHGITAPEEYGGLGLGYLYHCIALEELSRASGSVGLSYGAHSNLCINQLVRNGSPAQKEKYLPKLISGEHVGALAMSEPNAGSDVVSMKCKADRVDGGYVLNGNKMWCTNGPVAQTLVVYAKTDVTAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGQEGKGVYVLMSGLDLERLVLAAGPLGIMQACLDVVLPYIRERSQFGRPIGEFQFIQGKVADMYTALQSSRSYVYSVARDCDNGRVDPKDCAGVILCSAERATQVALQAIQCLGGNGYVNEYTTGRLLRDAKLYEIGAGTSEIRRMVIGRELFKQQ >OMO71227 pep supercontig:CCACVL1_1.0:contig11701:25888:25974:1 gene:CCACVL1_18345 transcript:OMO71227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGNVLVELVPRWPVLGKNIRIVAIGY >OMO71230 pep supercontig:CCACVL1_1.0:contig11701:37796:45499:-1 gene:CCACVL1_18348 transcript:OMO71230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MDLVASCKDKLAYFRIKELKDVLTQLGLSKQGKKQDLVERILGALSDDQVAKMWNKRTPVGKEDVAKLVDDIYRKMQVSGATELASKGQGVSDSSNVKIKGEIDDPFQSDMKVRCPCGSSLETENIIKCEGPRCHVWQHISCVIIPEKPMEGNPPVPDIFYCEICRLSRADPFWISIAHPLYPVKLAVTNFPTDGTNPMQSVEKTFHITRADKDLLTKQEYDVQAWCMLLNDKVPFRMQWPQYAELQVNGVPVRAINRPGSQLLGANGRDDGPIITPCTKDGINKITLTGCDARVFCFGVRIVKRRTVQQVLNMIPKETDGERFEDALARVCRCVGGGNATDNGDSDSDLEVVADFIGVNLRCPMSGSRMKVAGRFKPCVHMGCFDLEVFVELNQRSRKWQCPVCLKNYSLENIIIDPYFNRITSKMRNCGEDITEIEVKPDGSWRARAKSESERRELGDLAQWHSPDGTLCGSGSAEVKSKAETSKQIKHEGTSEGHTGLKLGIRKNRNGFWEVSKHEDVNTSSGSRLQEHHEQKIIPMSSSGTGSGKDGEDASVNQDGGGTYDFTGNGIELDSMPLNIDSAYEFTDRNPSVAAGNAEVIVLSDSDEDNDILISSTTAYKDDQNDAGGLNFPVANHGISHSYADDPALGPASNLGLFTPNDEFDMPLWQLPPGTQEGSGFQLFSTDPDVSDPLVDLQRNALNCPSSMNGYTLAPETTIGSANIVPSSSIGQSDTDINGGLVDNTLFGGDDPSLQIFLPTRPSDASAQSDLRDQADVSNGIRTDDWISLRLGGGASGGHGDSANVNRLNSRQQIPSRERAIDSLADSETG >OMO71231 pep supercontig:CCACVL1_1.0:contig11701:56740:58074:-1 gene:CCACVL1_18350 transcript:OMO71231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle CX2CX4HX4C MNSLNSPSLLAPPSEPSGEEADLLQRSTKRIKETVDAMEYDEDASVESASHAEDQNPMDFADNGAVKQGASVSYKDKLLLRIDANIMCATRGRYARICIQVDLDTPLIHSVKIGKYRQPVLYESLAGLCFKCGCIGHNEKACPSFISVSSKVNIPESNPLNFGAGTSSMEDDNTPTEEDNGNSKSQEPTSQYGPWMVVSRRRQRPVAKKHQTEEEPPSSNGRPKQFANGPNASFKKADNNRPKKDAPTAITQRPNYQKPLETNTQQTMTKPNIQKKNH >OMP10606 pep supercontig:CCACVL1_1.0:contig02118:532:633:-1 gene:CCACVL1_00851 transcript:OMP10606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSEIFDLTRAESRSVGFEPTTLGFGDPRSTELN >OMO53391 pep supercontig:CCACVL1_1.0:contig15209:5441:8053:-1 gene:CCACVL1_28665 transcript:OMO53391 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant snare MAASELQMSPQLEQIHGEIRDNFRALANGFQRLDKIKDSNRQSKQLEELTAKMRECKRLIKEFDREIKDEESRNPPEVNKQLNDEKQSMIKELNSYVALRKTYMNTLGNKKIELFDMGAGVSEPTADDNVKVVEQTIEVGTQTAGTLKGQTEQMGRIVNELDTIQFSIKKASQLVKEIGRQVATDKCIMLFLFLIVCGVIAIIVVKIVNPNNKDIRDIPGLAPPAPSRRLLYLRDLQ >OMO53390 pep supercontig:CCACVL1_1.0:contig15209:1474:4576:1 gene:CCACVL1_28664 transcript:OMO53390 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sugar phosphate/phosphate translocator MINKPLVLTYFYLLIYILLSSGVILYNKWVLSPRYFNFPFPITLTMIHMAFSGLVAFFLIRVFKVVAPVKMTFEIYATCVIPISAFFASSLWFGNTAYLHISVAFIQMLKALMPVATFFMGVMCGTDKPRCDVFLNMVLVSIGVVISSYGEIHFNIVGTVYQVTGIVAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWCLLEKPGMAVSQIQFNFWIFFSNALCALALNLSTFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNIIGYAIALCGVVMYNYIKVKDGRASQLPSDSIPDRITKDWKFEKKSSDIFNPNSSSDTNGGSGGNFDASELNDEESPLLSSRLSHIGRSQLSNHTA >OMO53396 pep supercontig:CCACVL1_1.0:contig15209:29934:32581:1 gene:CCACVL1_28670 transcript:OMO53396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MVAMRWTATELLIPNSKLRLGLGECRSKSQLLSSLPKQQQPPPRAFICRASAAESNGTALEQTGGKMVVELVGAFNQLTQNINVMSSSSSRLLFKTLKLSIPILQALPLAPDGRPPLSKALSLALLLAHLQMDAEVISAGLLTQALEAGAISIYQVRDRIGTGTAHLLHESLRLKNIPSKVEVLDDDSAAALRKFCLTYYDIRALILDLALKLDMMRHLDYLPRYQQQMLSLEVLKIYAPLAHAVGTNYLSLELEDLSFRYLFPYSYIYVDTWLRSHETGNKPLIDIYKEKLLQSLKLDPILADMVVDVSVKGRYKSRYSTMKKLLRDGRKPEEVNDVLGLRVILNPRSGIDASQIGERACYRTREIIQSLWKEVPHRTKDYIARPKANGYKSLHMAVDVCDHGMTRPLMEIQIRTTEMDKLATGGTASHSLYKAGLTNPEEAKRLKAIMIAAAELAALRLKDFPSMNHKGLEFGQRDEVFRLLDKNGDGKISIEELMEVMEELGAPGEDAREMMQLLDSNSDGSLSSDEFDLFQQQVEFMRNLEDKDVQYKTMLNDKLQIADNNGLIQVYSKEFGERLAN >OMO53398 pep supercontig:CCACVL1_1.0:contig15209:36733:37913:-1 gene:CCACVL1_28672 transcript:OMO53398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-3 MASKYCRRSFLFLGAALLILILSAGSTSVDHMPSSEEEVADFAELKSKNEQGKDEVKCNAQEGANKVKCKTQEAKDTASQVGKEAKESTESWTEWAKEKISEGLGFKQDDDAKDSFPTKTKDRLQDLASGAGEYTADKARDMKDRAREKGRDITEAAKEKGGQMKDAAAEKGSELGNVAKEKASTAWEAAKDKVGNMVGSTNKAAERAAEKAEEARESAAEKAKDETEKKTAETEEKAKQGYEAARSKGEETLESAKDETKKKTAETEENLRWAKDKAKQGYNAAKGKGEETLESAKDETKKKTAEAEENLRWAKDKAKQGYDAAKSKGEETLESAKGTYEAAKQNSQQLKDNISGRPRDEEL >OMO53393 pep supercontig:CCACVL1_1.0:contig15209:19460:20895:-1 gene:CCACVL1_28667 transcript:OMO53393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fcf2 pre-rRNA processing MPETKKAAIGLTWEPKLPDFSFQSKAKSASSNQPQSSLLWQPNQNLVDGLYLPPSQPSKLTKLLRKQVKDTAGAAWFDMPAPTLTDELKNDLKLLKLRGAIDPKRHYKKDSKSKALPKYFQVGTVVESVTDYYSGRMTKKERKPTLADELLSDPTVRQYRKRKVQEIEQQNRPAGNEKWKNKGRQTFKRAKQRRQY >OMO53399 pep supercontig:CCACVL1_1.0:contig15209:38834:45474:-1 gene:CCACVL1_28673 transcript:OMO53399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MAIGKLIVVCLSGGKFTTNADGTLSYSGGDAHATSLTLDTKFDDFKSEIADMWKYDLHSLTIRYFLPNNKRTLITISSDKDIRRFLEFHEDSASADVYVITPTPPPPSDATSMPCSRSSHTMPNEPVSPVDAPSGFVPDEPASPVDAPLDSHPDAEDTMQHNTPGISSWMNCITGIGQTFNTRRELHDALDKFSLAHGFLYTLKNSDGRRFCARCKADGCPWFFIAPKLSTTKLFRIKKMNDTHTCGVGSKRRHASRKLVASIVKEKLRDTPTYKPQEMIDDIRRDLGIELNYAQAYGGIAAALEELQGSHRKAYNQLPLLCEKILETNPGSAAVLNTKEDSSFHRIFVAFRASLHGFQNGCRPLLFLDCVPLKSKYREELFTAAALDGNDGIFLVAFAIVDVLSDDNWHWFLEQLKTVLSTSLEITFVAGMNKELSESLGSIFPNCFHGYCLHQLTESLKNKFKGSFTQEVVRVLISEFHGATHAPTAEGFKKCIETIKNISHEAYEWVLQNEPEHWANAFFKGLRYNHLKSSVAESFYEWVSDLPAMPITQVIETTRRKMMEFIYTCKMDSDRWSSRLTPKAEENLQRSLVNSRSLEVIFSPGSIFKVRDNLGIINIVSLDNWDCSCREWQINGLPCSHAAAAIELVGKNVYDYCYRYFTTEAFRVTYSESINPIPALDVSIQRESSSVHVHPPCIPRLNSSSLLRSRQLLNSFSLLWHEHDELCLYTAMAAKKIIAICQSGGDFVTNKDGSLSYNGGEAYAIDIDHQTRLSDFKSEIAEMFNFSSDNMSIKYFLPGNKKTLITISKDKDLQRMLNFLSDSATVDVFIISEEAAARNVSNLPASRSSRTTVSEAAVPMVAPVGAAVEMTNAIDQVDMDIPDDFPLDCTPISIVDEKNHHRAAQQWENTITGVDQRFSSFSEFREALHKYSIAHGFAYRDLKGQFSHEARRFMINDFYAAAHAPKLEGFQRSAENIKGISPEAYNWVIQSEPEHWANAFFGGARYNHMTSNFGQPFYSWVSEAHELPITQMIDVLRGKMMESIYKHRVDSNQWMTRLTPCNEEKLQKETEMARSLQVLLTHGSIFEVRGESIDIVDIDHWDCSCKGWQLTGLPCCHAIAVFECIGRSPYDYCSRYFTTESFRLTYAESIHPVPNVDKPAQDESPEAAVTVTPPPTKRPPGRPKMKQAESVDIIKRQLQCSKCKGLGHNKKTCKEL >OMO53397 pep supercontig:CCACVL1_1.0:contig15209:33073:35786:-1 gene:CCACVL1_28671 transcript:OMO53397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGNYELGRTLGEGNFAKVKLANNIHSGKPFAIKILDKTKIIQLNITDLIKREIATLNLLKHPNVVRLHEEDGLLHTTCGSPNYVAPEILAKKGYDGASADIWSCGVILYVLLTGYLPFDDRNLAVLYQKIFKGDTHQLPKWLSSGASTMIRRILDPNPDTRIKISAIKQDEWFRQDYTPAVANDEEEDAYIDNEAFSMYQASESDGTRSPESPTVINAFQLIGMSSCLDLSGLFEEEDVSERNIRFTSNHSAKDLLARIQDTAIDMGFRVQINNGRLKATQEHRGQKCLGSLPVAAEVFEISPSLYVVELRKSNGDSAAYRQLCKKLSNDLGVPQGKGLVSAEAW >OMO53395 pep supercontig:CCACVL1_1.0:contig15209:25894:29206:-1 gene:CCACVL1_28669 transcript:OMO53395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L3 MNRSAMSALSKGLISRLLSCSFSSATPPPFHPLTRRFFNSDASLIDGSQAVQQQRIIQAAPAMMTPTSRRTGLIAIKCGMTALWDKWGARLPITILWVDHNIVSQVKTVEKEGIFSLQIGCSHKKAKHLTKPEVGHFRAQGVPLKRKLKEFPVTEDALLPVGTSLGVRHFVPGQYVDVTGISRGKGFQGGMKRWGFKGMPASHGASLSHRSLGSTGQRDAPGKVFKGKKMPGRMGGKQRTVKNVWVYKIDPARDLMWVKGQVPGAEGDFVFIKDAIYKKPDISVLPFPTYFAAGDEDATTLEPLEADLGETDPFMVAD >OMO53392 pep supercontig:CCACVL1_1.0:contig15209:14957:18471:1 gene:CCACVL1_28666 transcript:OMO53392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKACHSFSKTFIEEVQKWGCMKQTGVSLRYMMEFGSKPTDRNLLISAQFLHKELPIRIARRAIELETLPYGLSHKPAVLKVRDWYLDSFRDLRSFPEIKDVNDEREFTQMIKAIKVRHNNVVPMMALGVQQLKKGMDPKIVYEDLDEIHQFLDRFYMSRIGIRMLIGQHVELHNPNPPPHCVGYIHTKMSPVEVAQNASEDARSICLREYGSAPEINIYGDPNFTFPYVPTHLHLMVFELVKNSLRAVQERFMDSDKVAPPIRIIVAEGIEDVTIKVSDEGGGIPRSGLPKIFTYLYSTARNPLDEYSDLGTTDAVTMAGYGYGLPISRLYARYFGGDLQMISMEGYGTDAYLHLSRLGDSQEPLP >OMO53394 pep supercontig:CCACVL1_1.0:contig15209:24325:25024:-1 gene:CCACVL1_28668 transcript:OMO53394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGMRRAGAVAPPQRPSQSQLPTAVHQLHPEEPPGPILVEAERKVKEATLAKKRAKEALERLAFLARKENDRLKPSLATVPKSKSTSAVDTKTNAEKASNNGLYPVSAANAPIPIPKLQRQHSANGPN >OMO85847 pep supercontig:CCACVL1_1.0:contig09568:4780:8832:1 gene:CCACVL1_09967 transcript:OMO85847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTLPRSLPLTFPPFHFFSPRRTTRPSFVCSLSSGTGPTKSGSAILWFKHDLRLDDHPALAAAAETHRSVLPLYVFDHRILARYSDEILELVLFALDDLRNSLKEHGSNLMIRFGSAENVITELVKEVKATDVFVEEEIEYEMRQMIGVVKDTLEMSSSVDGNPDIVMWRTPFYDVKNLKDLPATYNDFKKLKLPPTSPLPPATLPAVETELNWGPLPTFSDLKEFIREKPGISNESWSLMKEMPAEAILKEKLSKPSGTSRNSLDVKRIEQRKLDKSVFVTQKGDIVGGGTSSVLNALAAYLRYLEGTARDDWQEVHERLRTAETRDGASFTSLFGSALCLGIISRRRAHFEAITYEKERNGGFLSPFGYSAATVAAAADAVCSMEWFWLLSLKSQLSNEGLYSVRIWRWRGHLIQYTVVGNEGPATLLVHGFGAFLEHYRDNINSIAESGNRVWAITMLGFGKSEKPNVMYTELLWAELLRDFIIEVVGEPVHLVGNSIGGYFVAIVSSIWPTLVKSVILINSAGNVIRGYSSQLISNERRTSGAARLGAQLLLFYLRLNIRNIVKNFYPTKTKRADDWLINEMLRASYDPGVSVVLESIFSFDLSIPLNYLLEGCEEKVLIIQGMKDPLLDSKAKLAMLKEHCAGLAIRELDAGHCPHDEQPEKVNSIICEWIVTVERKVPAKSHL >OMO85846 pep supercontig:CCACVL1_1.0:contig09568:447:4293:-1 gene:CCACVL1_09966 transcript:OMO85846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQIHNPSTFTNELYHRHVIFPKPKFSIRSQKPTVDAEPTPEPTSSTKKPAAPGQGFGSPSSSSSPSAKSSVSSSSSKKKQKGRRERASIIRRSPVEKPAFAVSKEEEAKAEEQRKNESAFLLAWLGLGGIILVQGIVLAASGFLPEEWDKFFVKYLYPVFTPTVLLFLAGTVAYGVLKYLQNESLKDQKCIIQESENSSTNTGPKFRSWFAFAYGSIKGS >OMO61825 pep supercontig:CCACVL1_1.0:contig13406:34454:34600:1 gene:CCACVL1_23227 transcript:OMO61825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEITEIEQWPMGGTGGNRARIGFQIEKGMGVLKKTRGEIWLDNFE >OMO61824 pep supercontig:CCACVL1_1.0:contig13406:16385:31621:-1 gene:CCACVL1_23226 transcript:OMO61824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGKKLTIRNREDAGSGVCCFVLLKCGFCYSLFFVCCFLRLISLPASLMLHSASFFVEAVTIKEYFASVQVCVPNCCYILLHSLSRCLFCEHGLSFVFGNLAKILTGIDVTNLTVSEKLNTTKIPAVVNRIFDKQFDHNPPPIKIKETAQSSADAPHGTQAEINVTPNAEGGIDAADDATPSTTPSESLDDVVSKSSQRSSKRGLTPCQKKNYACKMLYIYMLGYDVDFGHMEAVSLISAPTYPEKQVGYFVTSCLLNENHDFLRLAINTVRDDIIGQNETCQCLALTMVGNIVVREFAESLAPDFQKLLLSSSCRPVVRKKAALCLLQLYRKNPDIVNVDGWADRMAQLLDERDLGVLMSSMSLLVALVSNNHEAYWACLPKCVKILELLVRDQDVLQEYTYYDIPSPLLQVKTMRVLKYFPAIKEPNTRRTLFEVLQRILMGTDVVKNVNKNALHAVHFEAIALVMHLDAEKEMMPQCVALLGKFIAAREPNIRYLGFENMTRMLMVTEVQDIIKRHHQAQIFASLKDPDISICRRALDLLYGMCDVNNAKDIVEKILQHLSTADFAMRKELSLKAAILAEKFSPNLSWYVDVILQLIDKAGDFISDDVWFRVVKFVTNNEDLQPYAATRVKEYLEKPAVHETMVKVSAYILGEYSHLLSEQPGCSLKEIFRILHEKLPTVSTSTIPILLSAYAKILMHTQPPDQELKNQICSIFNKFRYESYIDLEIQQRAVEYFALSEKGAALMDILAKMPKFPERQFASIRKAEDEIDTEEQSAIKLRAQQQTLNALVVTDSKPANTVSGLEEEEREPMEEDTESEEEKQHMKEDKNLIENLPSLSASFERFRDLCVEGRGDIYVDDIIRIYFVANWQYHWGYLHLFFENKYTDDLVSVQVRTLPSSNLTMVVHEPVPSTIPYAKEEKCQLQVINFWPSNSEAVLDISFNVGDKMVHIKPRLPIAVNSFLQPTPVSTSEMSHLRNSVTEPPLKLVEIVDLKRPISFSDMVHLLKRLQIEVYEELDPSRSHFYASTFFYSYDRKPMPCLIRVDITKVDINPVYATELCMTVISNDERLTFEYVAQLHTKQIGLLLRIVIVNSFQDIASTRPSFLHFDDIGTICTIVLTSNACLQAKELHKLGALNDHLLPLQNVLEEEILPVSSDCESSEGPRSTHYRKCQERFSLERQLESLGDAFLMFVVGRHLFLLHGDHGERGTDKEKVSKGTPQWLRAWDFLWEVPVRNLGWAGWDYEKGDDYLLLCSPFQYHVHE >OMO61823 pep supercontig:CCACVL1_1.0:contig13406:2640:8384:1 gene:CCACVL1_23225 transcript:OMO61823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance, DNA-dependent ATPase MVVDRRKRTTSPNKMPAQAMPTVKCKVTGDDSLSALDDSSSNSEVFEPVIGIDMKLTAEDIELGLVKPNASIAQLHIKLLKVIPPVSKLLNSSDAWVTALCKKLAMWWPWVAEGEIPITAHNGLQGMMEMQIFGYRLYREINRTESQTKAKGKACSKVPTFCSHWETLAVDHEEFRGVADELLASKIASEVFIGQTINSDAIPDVKKFQQKKERALKQKKMQEMILKGLRSSSGAGVTCSCRILRPISYTFAIKEQLRLISGWFKLERQMKPVPEGLPLSHKRFTSSLCYEFDHFKNLPDGVRLRGDINVLLLGDPSTAKSQFLKLVEKTAPIGVYTCGKGSSAAGLTTSVIRDRDSSSREFHLESEAMVLADGGVVCVDKCDKMRPEDREIIKVHASAETASMDTKEENWLKSCWTCGSTPREFQTLKVQFQAAIQRGKVTPLLVALKMTSSNKLVVTVLRIGDDNDGNDADFSADVEEDLGCERHV >OMO85243 pep supercontig:CCACVL1_1.0:contig09648:67:1287:-1 gene:CCACVL1_10328 transcript:OMO85243 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP dissociation inhibitor MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLTQFMMANGSLVRILIHTDVTKYLNFKAVDGSFVYNKGKIYKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYEDNDPKSHEGLDLNKVTARELI >OMO85244 pep supercontig:CCACVL1_1.0:contig09648:4046:4446:-1 gene:CCACVL1_10329 transcript:OMO85244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DERFLKEISTLKRKGAELMEENQQLKQQMEKISVNKEVVAEQSRPPESSSARAWSSATDPPPAAYNSSDISLRLG >OMO72814 pep supercontig:CCACVL1_1.0:contig11364:102567:109129:-1 gene:CCACVL1_17581 transcript:OMO72814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MKAGQAWRLGGMGDMQILPGSRHRPPLKRPIWIIFLVSFVSLFLVCAYVYPPHGNAACYLFSSRGCKALADWLPPSPTRELTDEEIASRVVIRDILNMPSVESKNSKIAFMFLSPSSLPFEKLWDMFFRGHEGKFSVYVHASKEKPVHVSRYFLNRDIRSDEVVWGKISMVDAERRLLAHALKDPDNQHFVLLSDSCVPLHNFDYIYNYLMHANMSFVDSFVDPGPHGNGRYSEHMLPEVEKKDFRKGAQWFSMRRQHALIVMADSLYYSRFRDYCKPGLDGKNCIADEHYLPTFFNMVDPGGIANWSVTHVDWSERKWHPKSYRAQDVTDDLLKNITSIDISVHVTSDEKSEEQSMDSSSSFALLSLLIFSAFPTGTTRVASTGKPRRLCTELIHHTSIISPSYNHNDSVAEHAIRITKNSAARLAYLQSKAKTNLGISNDIQAHLDTNTRTFLVRFSIGQPPTPQLAMMDTGSYLIWVQCQPCISISNTSNCFDSSQSSSYAATSCHDANCYHLRRKSCDASNRCKFSVTYENLITAEGILATEQFTFETFDEGRFTVPNVSFGCSTNGADDSLSQMRFNGIFGLGDSPLSLVKKMGNKFSYCIGNIDDSEYRYNRLVIGEWADIEGYSTPLETYKGFYYVSLEGISIGEVTLDIDPTVFKRTPEGDGGVIIDSGAELSYLPEFEYNELCKHVDILLDGILQQVIYKEEPWLMCYNGSVSRDLVGFPAVTFHLAEGADLVLDTGSLFYHKEPQVFCLAIHPVRSFEENPEPDSLSVIGVMAQQNYNVAYDLTNKMLYLQRIDCQLLDD >OMO72812 pep supercontig:CCACVL1_1.0:contig11364:5807:6337:-1 gene:CCACVL1_17578 transcript:OMO72812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRFSSRSSCRVKNTIRVVHLSGYIEDFEYPVSVSQVTGGSRGGGGGGRPPKHFLCTPTQLISNGCKGLEADTILEAGHIYFLLPYSTLQAEVSPLDLASIAKKLTAKAKSTKCNKPNSLSASSMNSLATSPNRFIIKSSDSQRPTRRLQAWRPILDTIREKSFNRRSESDLQET >OMO72813 pep supercontig:CCACVL1_1.0:contig11364:98004:99835:1 gene:CCACVL1_17580 transcript:OMO72813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERGIKPDTFSYTILIDGFSKQGNVEKAVGFLKNMLKGGVLPNVVTYTAIISGFGKKGKLEEAFTLFKEVKNIGIEVDEFMYATLIHGACVKRDFDCVFHLLDEMENKGIKPSIVTYNIVINGLCKVGRTSEADNIFKQLDGDIVTYSTLLHWYTEEGNVEGIFEIKGKLEEAGLCMDVVACNILIKALFSIGAFEDARALYQAMPGMGLSADSVTYCTIIDGYCKIGKIEEALEEG >OMO69956 pep supercontig:CCACVL1_1.0:contig11924:165:4848:1 gene:CCACVL1_19180 transcript:OMO69956 gene_biotype:protein_coding transcript_biotype:protein_coding description:extracellular calcium-sensing receptor MAGERTESLRIGLAVTVAVAMTAGHAEAFAFTLAGPDPAVDPVADDEAAPFVALFMAAGR >OMO96753 pep supercontig:CCACVL1_1.0:contig07384:3761:6481:-1 gene:CCACVL1_04790 transcript:OMO96753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase MSSQDNLPLIDTHAPPTRHPSSPTETSATLGGDSGFLSNPDSEPETRRKKPRFEPEYRKDREEWSDTAIACLLEAYTEKFNQLNRGNLRGRDWEEVAEAVSERCGGGGGGGGGGGEKQKMWKSVEQCKNKIDNLKKRYKVELQRISSGGGSSSWHWFKQIEAVMGNSGSSSIGKALEGEDRNGGSSLGKQANKRYVPNNVASGSNLKSKSIPSVKWRRVVFKISGTALSGNCQSIDPKIAMQIAREIATACRLGVQVAIVVGGRNFFCGDSWVSATGFDRPTAYQIGMMASVMNSMLIQSALEKLGVQTRMQSAFVLPEVAEPYSRPRAIRHLEKGKVVIFGGIGAGTGNPLFTTDTAAALRATEINADVLLKGTNVDGVYDCHSDNGSATLDHISFREVGSIGITSMDTMAITYCEENTIPVVVFNLLEPGNISKALCGERVGTLIDQEGRIS >OMP01627 pep supercontig:CCACVL1_1.0:contig06405:7841:8159:-1 gene:CCACVL1_03051 transcript:OMP01627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKRPIERDEIVRFGRTFFQYSNYLK >OMO58126 pep supercontig:CCACVL1_1.0:contig14270:3592:4017:1 gene:CCACVL1_25573 transcript:OMO58126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLCNGLLVFIATSSGLITTCSVEKTESKAEKSIKSKESSSEKKGSIAKVKQVAAEVDQETQDSKTESNLAMAQGPEAVALVAEDENEEEQRNEAVVVVEEDEEGFGSMSDEELNRKCEDFIRKMKEGIKFEARQMIMVQ >OMO58128 pep supercontig:CCACVL1_1.0:contig14270:17894:23094:-1 gene:CCACVL1_25575 transcript:OMO58128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKSTNKTNCSRSSSARSKQNARVVAQTPIDAKLHVEFEESNSKRLFDYSTSIDFNISSSTSNVPSSTVSAYLQKMQRGSLIQPFGCLIAVDEQNFTVLAYSENAPEMLDLAPHAVPSIEQQDALTFGSDVRTLFKSPGAAALQKAASFGEVNLLNPILVHCKTSGKPFYAILHRIDAGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNISLLCDVLVKEVSDLTGYDRVMVYKFHEDEHGEVVAESRKPDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDCFAQPVKVIQDKRLAQPLSLCGSTLRSPHGCHAQYMANMGAIASLVMSVTINEDDNEMDSEPEKGRKLWGLVVCHHTSPRFVPFPLRYACEFLIQVFGVQINKEVELAAQLREKHILRTQTMLCDMLLRDSPVGIITQSPNVMDLVKCDGAALYYRRKFWLLGVTPMEAQIRDIAEWLLEYHNSSTGLSTDSLMEAGYPGASVLGEAVCGMAAVRITAKDFLFWFRSHTAKEIKWGGAKHDPDDKDDGRKMHPRSSFKAFLEVVKWRSLPWEDVEMDAVHSLQLILRGSLQDEIADDSKMIVKVPSVDDRIQRVDELRIVTNEMVRLIETAAVPIFAVDSSGNINGWNSKAAELTGLSVEQAIGMPFADLVEEDSKDIVKNMLSLALEGIEEQSVEIKLKTSRCQENNGPIILVVNACCSRDTKENVVGVCFVGQDLTGQKLVMNKYARIQGDFVGIVRSPSALIPPIFMIDEIGRCLEWNDAMQKLSGMKREEAIDRILVGEVFTLNSFGCRVKDHDTLTKLRILLNGITAGEDADKLLFGFFDRQGKFIEALLSANRRTDAEGRITGVLCFLHVPSPELQYALQVQRMSEQAAASSLNKLAYIRQEVRKPLKGIVLMQDLMGASDLSREQRQLLRTGVMCQEQLTKIVDDTDIESIEECYLEMNSGEFNLGEALEAVLNQVMIMSQERKVQVIQDLPAEVSSMHLYGDNLRLQQVLSDFLTNALLFTPAFEESSVTFRVISQKKRIGTKIHIVHLEFRITHPAPGIPEDLIQEMFHHSQGVSREGLGLYISQKLVKIMNGTVQYLREAERSSFIILVEFPLARYVDHH >OMO58125 pep supercontig:CCACVL1_1.0:contig14270:89:499:1 gene:CCACVL1_25572 transcript:OMO58125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geminivirus AL2 coat protein, MSV type MTGPRRTSSTSPSKIHFTSPPIKTLHRASKKVVRRRRIDLQCGCSIYVGIACRNDGFTHRGAHHCISGREFRFYLGGSKSPAFQDNVCNRSRCETPARDADTVQPQPQESSSTAQVLPEFQGLDDLQMEDFEFLSN >OMO58127 pep supercontig:CCACVL1_1.0:contig14270:7647:10889:-1 gene:CCACVL1_25574 transcript:OMO58127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSFLLSTHSRRNRRVTYNSNLAFTSLAPSPFTPNAANPLLCKPRNLNSRIDPSPPSPVSLEHLVNLYKSSQSQPSSWPFSPSKFDLYESLVIRYRDSRNLRDAKEFHLQCFKFGFDGDLFLSNTLINVYVRAGNLMSARKLLDEMPQRNTVTWSCLISGYNQNGMPNDACRVFKEMVSSDFLPTHYAIGSALRACQELGSYGLQFGLQIHGLISKSQYSFDGVVCNVLMSMYWSCLGCIIDARRVFDEIQVKNSIAWNSIISVYSQSGDAASTFELFSRMQSESTGFSTKPNEYTFGSLITAACSSTGFGFCLLEQMLCRITKSGFLSDLYVGSALVSGFSRIGLTNYALKIFGQMSQRNAVSVNGLMVGLVRQKRGEEAAKVFMEMANLVDKNFDSYVILLSSFAEFSELEQGQRKGREVHAHLIRRGLTDTVSAFGNGLINMYAKCGDIAASRSVFRLMVNKDSISWNTMISGLDQNECFEDALTSFCAMRKTGLLPSNYAVISALSSCASLGWSILGQQIHGEGLKLGLDLDVSVSNALLALYASVGCLSECKNIFSLMLDHDQVSWNSVIGALAVSGSSVSEAVIYFSDMMRTGCDPNRITFINILAAVASLSLRNLGHQIHTLVIKYHLANDSSIENALLACYGKCGEMDECEKIFSRMSERRDDGSWNSMISGYIHNELSHKAVNLVWFMMQRGQKLDGFTFATVLSACASVATLERGMEVHACAVRACLESDVVVGSAIVDMYSKCGRIDYASRFFNLMPIRNVYSWNSMISGYARHGHGDKALELFKLMNLNGQLPDHVTFVGVLSACSHVGLVEEGFTHFNSMTEVYGLAPKMEHFSCMVDLLGRAGELDKIDNFINTMPMKPNVLIWRTVLGACCRANGEKTELGRKAAQMLFDLEPQNAVNYVLLANMYASGGKWEGVAEARVAMRRAAAKKEVGCSWVTMKDGVHVFVAGDKSHPDNDLIYEKLKELNKKMRDAGYVPQTRYALYDLEQESKEELLSYHSEKLAVAFVLTRNSGLPIRIMKNLRVCGDCHMAFKYISKIVGRLIVLRDSNRFHHFNDGKCSCGDYW >OMO57431 pep supercontig:CCACVL1_1.0:contig14376:55530:56557:-1 gene:CCACVL1_25765 transcript:OMO57431 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like protein MGSERSKILIFGATGYLGKYMVKASVSMGHFTYAYTRPLKPNNKDNSKLELLNEFQSMGVCIIQGELDEHEKLVSLLRKVDIVISTLAVPQYLEQLKIISAMKDAGTIKRFIPSEFGNEVDRVKALPPLEAVFSNKRIIRRAIEAAGIPYTFVSANSLGAYFVNYFSSSK >OMO88786 pep supercontig:CCACVL1_1.0:contig08933:5837:8125:-1 gene:CCACVL1_08201 transcript:OMO88786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADISLLSSVPLRIAATQNKIPSIKPASFAQIPSWVSLKSGSSSLKIPQNQQGQVENLHLVSLSKQGKLKEARDFLEQMEDAGVPVNPHSYTSLIQSCSKMRSLSDGILIHKHLRRTMNNPSGFLENCLLQMYCDCDSLRDAEKLFVEMSERNLVSWITLISAYSEQGNLKKSFGLFSKMVESGIRPNSTIFTSLLKSLSDPSVLEIGRQVHSLVIRTGLTTNVSIITVVSNMYVKCGWLEGAKLVFDQMVEKNAVAWTGLIMGYTQADQRKDALKLFVTMVNEGFELDEYVFSVVLKACAGLEDLNLGRQIHGYIVKLGLESDVFVGTPVVDLYVKCACFNSACRAFERISEPNDVSWSAIITGCCQAGQFEKSLELFKSLRMKDAVLNSFVYTSIFQACSALADFNVGAQVHADAIKRGLVSYLYGESAMITMYSKCGRLDYAKRAFESIDEPDTVAWTAIVCGHAYHGNASEALKLFRRMKDCVVRPNEVTFVGVLTACSHAGLVTEAKLYLESMSPEYGVEPTIDHYNCMIDIYSRAGLLQEAYKLIKGMAFEPDLMSWKCLLGGCWIHRNLELGKIAAENVLQLDPTDTSGYVLMFNLYALFGKWEEAAHVRSMMGARKLKKELSCSWITVKGKVHRFVVADKYHPQTEEIYEKLKEFNHSIMKDESELLTEEDVSFDLPERKQQLMDHSERLAIAFGLISLPANAPIIVFKNLRACKDCHDFAKHVSMVTGRKITIRDSCRFHHFHSGQCSCNDYW >OMO88788 pep supercontig:CCACVL1_1.0:contig08933:15274:16647:1 gene:CCACVL1_08203 transcript:OMO88788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRFFFMRQSDVQHQKQESDSISLLSGPPSCGKTSLLFQFAINSALECSSSNSEVVFICNRRRIETKPPYLSQGIDSSSDIFQRIKMKYVEDDDGIKKYFAAFHLHNKFPAAVIVDDFGEFFNERGCQERYGNPRGRDLAMVRTLALCHNAIIHAKLCLGCAAGDGAGSFLLKHNNYSENGSSKNTKIAKYSIAFQYLFLERISNAVE >OMO88789 pep supercontig:CCACVL1_1.0:contig08933:25991:27799:-1 gene:CCACVL1_08204 transcript:OMO88789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRRCTLSLLFLLLLCFHQTTVHCKERLIRHLSSQPPSPSKPQEFKIGLKRIILSIVLGILTGLAGALVVALFIKFAVQYMNQTPILKGPVIFSPKISAKTLQSALANENQLLGSSSNGKYYKTVLDNGLTVAVKVLEPFDNGSPERQSKSVKRRIQQELEVLASLRHRHLMSLRAYVRESDRFSLVYDYMPTGSLEDAMNRVRGNQLQLGWDVRLRIAVGVIKGLQYLHFTCIPQILHYNLKPTNIMLDAEFEPRLADCGLAKLMPNIDRATSGYSAPECFQNCRYTDKSDIFSFGMILGVLLTGRDPADPFFGEAASGGSLGQWLRHLQQAGEAREALDKSILGEEVEEDEMLMAVRIAVVCLSDLPADRPSSDELVPMLTQLHSF >OMO88787 pep supercontig:CCACVL1_1.0:contig08933:8557:12090:1 gene:CCACVL1_08202 transcript:OMO88787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase MAASLQLCGAKTPTHSRFLNTRSLSLSSARIRFVASACGRRRELRVRYQGGIRAVLEEKRKEDEDADKESSDENVKQFTCVMKFGGSSVASAERMKEIAHLIQSFDDERPIVVLSAMGKTTNKLLLAGEKAVSCGVSNAAEIEELHFIKDLHIRTINELGVDHSVISTHLEELEQLLKGVAMMKELTPRTRDYLVSFGECMSTRIFAAYLNKIGIKAHQYDAFEIGFITTDDFTNADILEATYPAVAKRLYSDWVDDPSIPIITGFLGKGCKTCAITTLGRGGSDLTATTIGKALGLKEIQVWKDVDGVLTCDPTIYPRARPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKARDMRKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDNVVEELEKIAVVNLLQHRAIISLIGNVQRSSLILEKVFHVLRTNGVNVQMISQGASKVNISLIVNDSEAEKCVEALHQSFFESGDLSELVPDGSFGNGSASLLQIESQ >OMO88790 pep supercontig:CCACVL1_1.0:contig08933:28883:29724:1 gene:CCACVL1_08205 transcript:OMO88790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSDTWEEASLSRGRKRDIELCVRMRKRGNYDRWMEMGEKIRGVRIRREGACEGTTRA >OMO88791 pep supercontig:CCACVL1_1.0:contig08933:31176:38047:-1 gene:CCACVL1_08206 transcript:OMO88791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MEENAESLRERSLKFYKGCRKYTEGLGEGYDGDIAFASALEMFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVETELNDRLLQFVNIDLLEVKEARKRFDKASLIYDQAREKFLSLRKSTKSDVASVLEEELHNARSTFEQARFNLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYARQSRERSNYEQAALNERMQEYKRQVDRESRWSSNGSNGSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQCSKSSGSGSQLSGQRNSSELGSGLLGRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQAPERCLPTSPMGSGHHRSASESSSFESSDFDHTAVEEYTSERNLGNAHNERQLRSSQHQRSCLKNEKPIDVLRRVCGNDKCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVISLFQSLGNTFANSVWEELLHSRSAFHVDLTLTGFYKSDRPQLLLTGKPCHSDSISVKEKFIHAKYAEKLFVRKSKDKQHPHSVAQQIWEGVRANDKKAVYRYIVNCEADVNAVYEQSSASSLTLAKVMLLQEHATVDNHSSYITGDSSDRSSASSFNLVGTSEGQTMEDLDGCTLLHLACETADIGMLELLLQYGANINATDSRGQTPLHRCILKGKAALAKLLLTRGADPQALNSEGKTPLELAVESDFDDSEVEASGKGLLSNSTLNPTNGVIRKVTI >OMP06718 pep supercontig:CCACVL1_1.0:contig04828:795:857:-1 gene:CCACVL1_01456 transcript:OMP06718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDVRDRNQDVTFHVLLQSF >OMO88186 pep supercontig:CCACVL1_1.0:contig09090:8947:9006:1 gene:CCACVL1_08539 transcript:OMO88186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIQNGTNGPRKAQIKKCSK >OMO55727 pep supercontig:CCACVL1_1.0:contig14597:8520:15504:1 gene:CCACVL1_27058 transcript:OMO55727 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MEDLLLQHHCSATLSPVDLLERAAKLYADNISIVYGTLTLSWTQLHQRCLKLASALAHLGLSPGQTVAALAPNVPALYELHFAVPMAGALISALNVRLDAATLAVTLEKLEPQFMFVDYQYAHTVRKALEILVSQNNTKQPVLVLIPELADDQGQPTPPNYTDHDAATAFHYNDLLGMGTTDFETVKPMDDGDPISVNFTSGSTGEPKGVIYSHRAAYLNSLASILRYDMRVSSSVFLWTVDMFRCNGWCCTWAMAAIGGTNICLRHVSAKFIFDSILLHSVTHMCGAPAILNMIADHAPTCEQIRPLLLARKDKVHLIIAGVLSASQVVKVQALGFTEKLDNLVIAEVDVKEAETMRSVAHDGKTIGEVMFKGNTVMSGYLKDLKGTKEAFKDGWYRTRDLGVVHPNGAIQLKDRAKDIIVSAGGEIISTLEVEAVLLSHPKVLEVAVVGKRDDYLNQKPCAFVRLKEGSYATASDCQQEIVKFCEEQLPHFMVPRDVVFGELPVNSTGKVQKFILRDKANASHASIMSLTIVVVYQLESFDPAPMPLHELSPPQPAANLLRNQRMLRGAEFLGVGKLQGPEDIAYDRREEIIYTGCEDGWIKRVWLKLKDSATNHTLVEDWVNTRGRPLGIVLGPNNEVIVADSYKGLLNISREGEVELLTDEAEGLKFKLTDGVDVAEDGMIYFTDASSKYNVAEAGTDIMEGRPNGRLLSFDPFSKRTQVLLSHLYFANGVAISPHQDFLVFCETAMRRCRKYYFQGKKKGSVEKFIDNLPGMPDNIRYDGEGHFWIALITENTIFWDAAFKYPMVRKVAIIIERMIGRRVSMEKNSGVLAVDLEGKAVAQYQDVHLSLITGGIKINNYLYCGSLSYPYLIRLNLHQFPAQP >OMO55725 pep supercontig:CCACVL1_1.0:contig14597:4280:5511:-1 gene:CCACVL1_27056 transcript:OMO55725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 19, catalytic MKLYAVLTLCSLAILLLGVSAQQCGNQAGGALCANGLCCSQYGYCGTTPDYCGTGCQSQCGSGSTQRCGSQAGGALCANGLCCSQYGYCGNTPDYCGTGCQSQCGGSPPSGGGSPPTGGGSGVSSIITRSLFDQMLKYRNDPRCPSNGFYTYDGFIAAAGSFNGFGTTGDITTQKRELAAFLAQTSHETTGGWPTAPDGPYAWGYCFINERGNPGDYCVASQQWPCAPGKKYYGRGPIQISYNYNYGPAGNAIGANLLNNPDLVATDPTISFKTAIWFWMTPQGNKPSSHNVIIGQWTPSAADTAAGRVPGYGVITNIINGGLECGHGPDDRVADRIGFYKRYCDILGVSYGSNLDCYNQRPFA >OMO55726 pep supercontig:CCACVL1_1.0:contig14597:7088:7321:-1 gene:CCACVL1_27057 transcript:OMO55726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site MTASGEGAEERPLPRFGEWDVNDPATAEGFTVIFAKASDEKKAAKASRNTPSKKTFHHKQINPDHYKRKWLCCVFTT >OMO55724 pep supercontig:CCACVL1_1.0:contig14597:1247:2298:-1 gene:CCACVL1_27055 transcript:OMO55724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 19, catalytic MDGVAPHSITAELAARASVVAAADNSAETKQEVLYVQMGYAGANIVIVAPHPITAELAARVSLLVAQPLVVVALIGFGTTGDLTTRKRELAAFLTQTSHETTGGWPSAPDGPYAWGYCFINKQGNPGDYCVASQQWPCASGKKYFGRGPIQISYTKPSCHDVITGQWTPSAADTAAGRLPGYGVITNIINGGIECGKGSNAQSLDRIGFYKRYCDILQVSYGNNLDCDNQSPFA >OMP10876 pep supercontig:CCACVL1_1.0:contig01823:1632:1781:-1 gene:CCACVL1_00765 transcript:OMP10876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 EVAKKIGEFIAKSCLEKGITKVAFDRGGYPYHGRIEAIAASARENGLQF >OMO78041 pep supercontig:CCACVL1_1.0:contig10646:9129:9702:-1 gene:CCACVL1_14686 transcript:OMO78041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCVKVFHVDGFKYSVVIAEGVLGNDKIFENNGIAHFDLSKPSLPQDGFG >OMO88473 pep supercontig:CCACVL1_1.0:contig09002:7454:8515:1 gene:CCACVL1_08364 transcript:OMO88473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMARYRFSMLTRQFPNMSDSRRLKSQSVDIVSFPQSQPIRLLSTKIPNPKLHISCAAGGGDGHDGAGRSGGGGGGGGDGKSNSNSSDKSWEGFGILGLFLSGWRDRVAADPQFPFKVIMEELVGVSACVLGDMASRPNFGLNELDFVFSTLIVGSILNFTLMYLLAPTASSASSSLPSIFASCPQSHMFEPGAYTLMNRLGTFVFKGTVFAAVGFAAGLVGTAISNGLIKMRKKMDPTFETPNKAPPTLLNAVTWAIHMGVSSNLRYQTLNGVEFLLAKGVPPLVFKSSVVVLRCLNNILGGMSFVILARMTGSQSVGEKPERVEVAVAAEKDKLLDGGDHLDTNQSTFK >OMO88472 pep supercontig:CCACVL1_1.0:contig09002:256:2984:1 gene:CCACVL1_08362 transcript:OMO88472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNLMSNVSGTGLGGWNSLPQDRLSGPPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNDPLHILIEADLPANIVDIRLRQAQEIIEELLKPVDESQDFIKRQQLRELAMLNSNFREESPGPSGSVSPFNSSGMKRPKTGR >OMO88475 pep supercontig:CCACVL1_1.0:contig09002:9373:12629:-1 gene:CCACVL1_08366 transcript:OMO88475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MQFRFKHLLLFLFFIVAVLQCVTADGESEISHKIRAPHKNVGNNVIDGTGTEKVISFEDNNNVMSDWKGNSRRVSVSTVALFTLAMAAATGLGAVPFFFVELDPQWAGICNGMAAGVMLAASFDLIQEGQEHGAGSWVVIGIVAGGFFILLCKKFLERYGEVSMLDIKGADATKVVLVVGIMTLHSFGEGSGVGVSFAGSKGFTQGLLVTLAIAVHNIPEGLAVSMLLASKGVSPQNAMLWSVITSLPQPIVAVPSFICADAFNKFLPFCTGFAAGCMIWMVVAEVLPDAFKEASPTPVASAATLSVAFMEALSVLFQNFSHDYNSEDASGFFVSLLFGLGPLLGGLVLVAFALAFRLQHALLMGAASGIAFILGAWRPLQLLLSSKMGFFPLMLLLTLGAAFVHVSSSSILKIVCSKKTSSNNLPSVTGLPVSVLTLQSFLACGAVAFHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGATDSWHGSLAAAALIGFVGPISAIGAILAGIDYSGLDHVMVLACGGLLPCFFKIVQRAVRLDTRKSSFGLALGVGFATLCLTCTKLVCLHTPYCNSAPEAVR >OMO88476 pep supercontig:CCACVL1_1.0:contig09002:41494:49564:-1 gene:CCACVL1_08367 transcript:OMO88476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAWRKIDKLKLAGNDESERMLIGPVAMVLLSLASCSCRCYTSFRRGDPCTSNWTGVLCWDDLGDDGYLHVRELLLLNKNLSGTLAPELGQLSHLKTLDFMWNELTGSIPKEIGYISTLRLLLLNGNKLSGSLPDELGFLSTLKRFQIDENNISGQIPKTFANLSSVRHLHFNNNSLSGQIPPELSQLPTLLHILFDNNNLSGYLPQEFSNLPDLRILQLDNNNFNGSQIPASYANFSKIAKLSLRNCSLHGAVPDLSRIPSLSYLDLSQNHLTGPIPSNKLSDNMTTIDLSDNQLNGSIPGSFSDLPSLQTLSLENNFLKGPVPTNIWQNISFSTSARLELDFRNNSFSSIQGPLNPPKNVTLRLEGNPVCKNANLLNISLFCGAESGEDKMVANINSSTEICRIQSCPTDNFYEYVPASPVPCSCAAPIRIGYRLKSPSFSYFPPYIRPFELYVTSSLNLSLYQLSIDTYAWEEGPRLRMYLKLFPSVNSSTFDDSEVKRIRGIFTSWTFPGSDLFGPYELLNFTLLGPYADINFENKSKGISKGIFIAAVVGSISCAVALSVIVTVIITRRRARNQRAISRRRLSSRASMTIDGVKDFTFKEMALATDNFNSSTQVGRGGYGKVYKGTLTDKTIVAIKRAEEGSLQGQKEFLTEIKLLSRLHHRNLVSLVGYCDEEGEQMLVYEFMPNGTLRDWLSAKAKGSLPFGMRLSIALGSAKGILYLHTEANPPVFHRDIKASNILLDSKLNAKVADFGLSRLAPALEGEGTVPDHVSTVVRGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVKMGHESGMMFSIIDSRMGSYPSECIERFITLALDCCHDKPEKRPSMLDVVRQLEFILKMMPETDSDSLHSSNGKSLSSSSSYSTRDPYVSSSNVSGSDLVSGVIPSITPR >OMO88477 pep supercontig:CCACVL1_1.0:contig09002:54424:55942:1 gene:CCACVL1_08368 transcript:OMO88477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVYTRESTAFSNPIVLSRYLNLIGILRFKLKSKIKDFQVEEPL >OMO88474 pep supercontig:CCACVL1_1.0:contig09002:8856:8945:1 gene:CCACVL1_08365 transcript:OMO88474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAGRRFSLLLTSVVTSSPNIAREIAHG >OMO88478 pep supercontig:CCACVL1_1.0:contig09002:66065:77184:-1 gene:CCACVL1_08369 transcript:OMO88478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITYKVAAPSSLCPILSDDCHCQVFSQLLFSSQSKFWDLERILKNNTTQIIEPPSTLEEEEELVPEEFVLVEKAQPDGEVEQIIFSSGGDVDIYELQALCDKCTWGSYLTEGNEQKKLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKAMIEKLIRTLLLRDIGNITLFADRQDFRGPRDNRGGNLYMEKAIPVLVLVLVSCCFALLASGQLTYPPDTDALKAIRRKLNDPENHLRNWTGSNPCATNWTGVICTPDQPDGYRHVQELRMLNLNLTGKLAPELGQFSNLYSLNFMWNNITGSIPKEIGNLKFLQYLLLSGNQLSGSLPDELGNLSSVVVFQVDLNQISGSLPASFRNLTKCKHLHLNNNSISGQLPPEISTMPALLHILLDNNNLSGHLPPEYSQMPNLTIIQLDNNNFGGTEIPPSYSNIPTLVKISLRNCNLTGAVPDLSSTRVRYIDLSHNQLTGEIPTNKLPDNITTIKLSYNSLSGSIPSNFSGLPKLQKLSLQNNTLTGEVPSTIWQRENSSDNAALIIDFRNNSLSNISGSDAPSSNVTVRLDGNPICTSANLLNKTLFCTINEAAGSVDDLTPGSSSNSNGNCPSCFTADFYELVPDAPVSCWCAVPLQVVLRLRSPTISDFVPYINYYRGYVTEKLGLITKQLFVRSYEWEPGPRLRLYIKIFPQYSNYTGRFNQSEVIRITGLIATFQIPTNDIYGPHEVIGFPFGPYTIGDLPTSSSGISKGALIGIILGSISLAVSLSLVSIKVLAIYKRRKKSEQEVPRKQTISLVPIRHESIKEFSFSELEAATNGFSDTAQIGQGGYGKVYRGILANGTVVAIKRARQGSMQGQIEFITEIEMLSRLHHRNLVSLVGYCGEQGEQMLVYEFMPNGSLHDLLSNRHRHSFAFPLRMRIALGAAKGILYLHTEADPPIIHRDIKANNILLDSRFTPKVSDFGISRLAPVPDAEGASAHISTVVKGTPGYLDPEYFLTHKLTEKSDVYSLGIVFLELLTGMMPISHGRNIVREVQGACQAGLMNSIIDRSMGSYSFESINKFMALALKCCQEDPKDRPTMLEAVRELENICSMLPESGVIPTESDNSSTSGRQALSSGRNSQVLTTEVLGSELISGVMPTIRPR >OMO76986 pep supercontig:CCACVL1_1.0:contig10856:9966:13199:1 gene:CCACVL1_15245 transcript:OMO76986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVSQEAIVQLQALMDRVDEPLNKTFENVHQGYRAETLARFLKAREWSVVKAHKMLMDCLLWRVQNDIDHILAKPIVPTELYRAIRDSQLIGMSGYSREGLPVFAIGVGLSTFDKASVHYYVQSHIQINEYRDRVILPSASKKHGRPITTCIKVLDMTGLKLSALSQIKLLTIISTIDDLNYPEKTNTYYIVNAPYIFSACWKVVKPLLQERTRKKIQVLPGCGRDELLKLYNYIKQQSVINEPAQPIKQGSFHVDLPEPAAEGTEIVKTLESELHKFENGNGLPGAIDGIKINGD >OMO76988 pep supercontig:CCACVL1_1.0:contig10856:16617:20916:-1 gene:CCACVL1_15247 transcript:OMO76988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiolase MAPPPSFSSSDSMIKPRDVCIVGVARTPMGAFLGSLSSFSATQLGSIAIQSALKRANLDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGMKAVMLASQSIQLGINDVVVAGGMESMSNAPKYVAEARKGSRLGHDTIVDGMLKDGLWDVYNDFGMGVCAEICADQHAITREEQDSYAIQSFERGIIAQNKGLLAWEIVPVAVSEGRGKKTTVVDKDEGLGKFDAAKLRKLGPSFKGDGGSVTAGNASSISDGAAALVLVSGEKAIKLGLQVIAKIRGYADAAQAPELFTTAPALAIPKAISAAGLEAPQIDYYEINEAFSVVALANQKLLGLNPEYVNVHGGAVSLGHPLGCSGARILVTLLGVLRQKNGRYGVAGICNGGGGASALVVELMPVAVAKVGPSLL >OMO76987 pep supercontig:CCACVL1_1.0:contig10856:13882:15633:-1 gene:CCACVL1_15246 transcript:OMO76987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIRRVNSERLPKSVGSPRAEVGEIDTRAPFQSVKAAVSLFGEVAVSTRERRTPRKSRLSSSENVIDKETQLLLAQKEINSVKQKLESAESTKAKADGDLETAKITLQDLATKLEALTQSKESAIEAREAVKEQARQLELQTSQNHQDFKERTMELDCATEQYMDVATQLDAAKQELNKIRQDFDAALEVKLAAFQQAAEAHRSAKLHSERATELSKEIVAMKEAIQQLKFATQHVYQEQANILAEKDALQTSYKTAKEEAHHKLLSLRKAYDPDLTKYLEEKLIETTAEVEALQEAMKKAHALEMDSVRVVTTELNEATTTLQRVSDEECALRKLVSSLRLQLEEVKREQDAQLQLQLQIAGANQNENEHHHLITLEHLTSEIESATRETQEMKKPIQRLQMEAENARIAQEEVKQKLERALEQAQEAKAAEKRVLNQMQDFSANLEATGRIKISKEECDSLKSKVGEFENMADMKIAAAMTELEAINATKNETDKKLEATLKALEEIKVATELADKSTTAAEAAQSVLESELRRRRQQEEITELEF >OMO76990 pep supercontig:CCACVL1_1.0:contig10856:27747:39579:-1 gene:CCACVL1_15249 transcript:OMO76990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MESMQPFLNAIVKPKLLKHPDKDAKLLVATCICEITRITAPEAPYSDDVLKDIFYLIVSTFNGLNDTSGPSFGRRVVILETLAKYRSCVVMLDLECDDLVNEMFRTFFAVVRDDHPESVLSSMQTIMTVVLEESEDVRDDLILIILSALGRNKSDVTPAARKLAMNVIEQCSGKLEAGIKQFLISLMSGDNQLVNSEIDYHEVIYDVYRCAPQILSGVAPYLIGELLTDQLDTRLRAVRLVGDLFALSSPTICEAFQPIFSEFLKRLTDRNVGVRMSVLEHVKSCLLLDSSRPEAPQIISALCDRLLDYDENVRKQVVAVICDVACHSLVSIPVETVKLVAERLRDKSLLVKKYTMERLAEIFRVYCASGSDGSTDTNEFDWIPGKILRCFYDKDFRSDTIESVLCGFLFPTGFSVKDKVKCWIRVFSGFDKIEVKALERILEQKQRLQQEMQRYLSFRQMHQDSEAPELQKKVLFGFRIMSRSFSDPVKAEESFQILDQLKDANIWKILMNLLDPNTTFQQASSGRDDLLKILGEKHRLYDFLSTLSLKCAYLLFNKEHVKEILLEAAVQKSAGNTQYTQSCMNILVILARFSPFLLGGAEEELVNFLKDDNEIIKEGILHVLAKAGGTIREQLAVSSSSIDLILERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKMHLPAVLQSLGCIAQTAMPVFETRESEIEEFIKTKILRCSNKADGSAKECWDDKSELCLLKVFGVKTLVKSYLPVKDAHLRPGIDDLLGLLKNILMFGEVSEDIESSSVDKAHLRLAAAKAVLRLSRNWDHKIPLDIFHLTLRIPEISFPEARKQFLSKVYQYIKDRLLDAKYACAFLFSVTGSKLLESDEEKQNLADIFQMYQQAKARQVAIQSDTNSSTAYPEYILPYLVHALAHHSCPNPDECKDVKAFELIYRKLYLIISMLVNKDEDTKSEAGASKEKESISVIFSIFRTIKHSEDIVDATKSKNSHAICDLGLSIMKRLAYKEEDLQGQIQSVSLPPVLYRPYEKKEGEDLPAGEGQTWLADENVLSHFDSLKLECDGTVQTETHEDEALQDSDIDGNEVPLRKMIKQLKSKGAKDVRAKKNKSPSGAAKDVENDVDILKMVREINLDNLGVPGKFESSNGHKHSPAKKTKLEQEHQKAKKRKMSAAVSSPVPKRRRSSSAHSGFKISISASKAASRDSGDDWHQVKDSSFQSTEMDIDELNDSNDKIPGHQLLNENTEVDYLVSSIRKKRSTSSKGKGKGYDLSHSDVANEDEADGENPETTRSSKKPKRRSISGLAKCSNKEDAIDIEDLIGYRIKVWWPMDKKFYEGTVKSYDPIKRKHVVLYDDGDVEVLRLERECWELVDPGRKSGKKANSLKGSKGPPKEEASPGQKSKSSGGSRQNKSSVKIVKGKRTPKKNLKHSQKSELKSNYSKADDNEENIDVSKSRPTTGNRNHKMNSDENLTDREGSEKEGDTVSHGRDSEDTKGSPNHAEESHEVKSDADGNLSEDIDSISGDAQKEDNKEEKSELEEKVDESSDGEREDTSKATESEAKETEENDDIESESPVLKKSRKGSLLPSDTVDSEISDDEPLVITPSLVLVIVKVEFDAFHYKFIYDFFFFFLESHAEQVEA >OMO76989 pep supercontig:CCACVL1_1.0:contig10856:21945:25928:-1 gene:CCACVL1_15248 transcript:OMO76989 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGEILGLLKVVVVQGKRLVIRDFKSSDPYVVLKLGDQVAKTKVINSCLNPVWNEELTFSLADPVGVLNLEVFDKDRFKADDEMGHANLNLQPLVSAARLSHVLRVSSGEMTLRKVVPDSENCLVRESCISSVNGEVVQSVWLRLRGVESGEIELKQRDTCYIASAGLPDLVYSGYKPHAYSWNEFPPLRDILDAVHKELPGSIFNSLLLNRYKGGNDYVSWHADDEKLYGSTPEIASVTFGCEREFLLKKKPHKSSQERCDEKPPPLKRFKRSSSHSDQHSFTLKHGSLLVMRGNTQRDWLHSVPKRAKAETTRINLTFRRVV >OMO76984 pep supercontig:CCACVL1_1.0:contig10856:4081:5051:1 gene:CCACVL1_15243 transcript:OMO76984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSGTKITSIKQHKTCGVQRLEADEQKSLPSKVSKTNKSELVTPEQLLRLVETAPKQAVAECVQKASNEVDCSIHETRDSQTISIDEQKKTSEHGSVKNSSVSAKVSDGTNSLAKTSESAKISDRLDYVESGKSSMCRGSTSSDVSDESTCNSFSSSISKPHKANDLRWEAIQAVRARDGVLGLSQFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRVQTEREILQSFDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQKQPGKHFPEQAVK >OMO76983 pep supercontig:CCACVL1_1.0:contig10856:708:892:-1 gene:CCACVL1_15242 transcript:OMO76983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAAGPTAIAEELAKRPRAREGGPLTKWPPPLPLPPPPPAFAAIADVTAKAIITMMTNTK >OMO76991 pep supercontig:CCACVL1_1.0:contig10856:40949:41113:-1 gene:CCACVL1_15250 transcript:OMO76991 gene_biotype:protein_coding transcript_biotype:protein_coding description:sister chromatid cohesion protein PDS5 B-like protein MAQKVEQQLKELGSKLDSPPSSKDALLKLLKARILLFSFPWNLAFPFLILSQSI >OMO76985 pep supercontig:CCACVL1_1.0:contig10856:7173:8270:-1 gene:CCACVL1_15244 transcript:OMO76985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich MMSTGNWTDPVSEIEEEEEKFSIKFKEEETIESESESSLFSFDVNNMNMTKGNGNAEESVYVAVGKSDSSMDALCWTLSHFFNNNNTSSILYLIHVFPHIRYIPSPLGMLPKSQVSPIQLETYMSQERDKRRQLLQKFIDICSASKVKVETMLIESDMVAKAVLDLIPILNITKLVVGTTNPNPIPRKVKSRKCSGIADEIFQNAPITCEVKVVRGGKELTIGSPSPSPSPSPSLRPNLQHNFKDNSFSCMCFRPKF >OMO82933 pep supercontig:CCACVL1_1.0:contig09960:12267:12899:1 gene:CCACVL1_11665 transcript:OMO82933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MSTMLPVSDSNAESRPSTLTPNLRAILTRISGSARQALSRCRPWTELIDRSAFAKPVSFADATSRVRKNFSYFRANYLTVLAGVLAISLISHPFSLIILLLLISAWLFLYALRPSDQPVVIWGRTYSDMETLAILVILTVVVIFFSSVGSLLISGTMMGVAIVCAHGAFRMPEDLFLDEQEQLGSGLFSFVDRAASSAVAAAAPAIASRV >OMO82934 pep supercontig:CCACVL1_1.0:contig09960:13505:16497:-1 gene:CCACVL1_11666 transcript:OMO82934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSENQGFEEAHLFASREEMENLVLDEPLSNHTNNHQNNNSYSNYRSATSSLSDTTHHPLSPPILATPAESDPLLSPPLYRNPGASDNHSYIEPPSYADVIFSPFDENSVKEINGLDSPSQSSESSLSLSRSPSSSSDYIKITVSNPKKEQDTTNSLVPGGNTYYTYLITTRTNIPEFGSSEFSVRRRFRDVVTLSDRLAEAYRGFFIPPRPDKNVVESQVMQKQEFVEQRRVALEKYLRRLAEHPVIRLSDELKVFLQVDGRLPLPTSTDVASRMLDGAVKLPKQLFGEGNAVVAPQEVVQPAKGGRDLLRLFKELRQSVANDWGGTKPPVVEEDKLFMEKKEWINDLEQQLSNASQQAEGLVKAQQDMGETMGELGLAFIKLTKFENEEAMFNSQRARATDMKCLATAAVKASRFYRELNAQTVKHLDTLHEYLGLMLAVHGAFSDRSSALLTVQTLLSELSTLHSRAEKLEAASSKIFGGDKSRIRKIDELKETIRATEDAKNVAIREYERIKENNKCELERLDKERRADFLNMLKGFVVNQVGYAEKISNVWAKVAEETSGYAKDSS >OMO82930 pep supercontig:CCACVL1_1.0:contig09960:1090:4425:1 gene:CCACVL1_11662 transcript:OMO82930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MMSTTMPKFRAILIFGDSTVDTGNNNYISTLFKADRPPYGKNFPGHIPTGRFSDGKLVPDFVASFLGLKEIVPPFLQPNLSEDELCSGVSFASAGSGYDELTTAFSNVIPVSKQVHLFKNYILKLKEIVGEEEAKNIISQSLVIISAGTNDFIFNFFDIPTRRLEFNITGYQQLLLHKLEKFVKELYDLGCRKMVVAGLPPIGCLPIQMSAKFEILNDRRCLEDQNSDAQSYNKKLSKLLPQIQAMLPKSKIVYADVYEPLVDMMNYPHGYGFAETKLGCCGTGLLEASFLCNPLTPICKKPAEFLFFDSIHPTQQAYLYLAKYLEMEVLPHLFKNHSSYFESQTSEEEAKNIINEALVMISAGTNDLVFNFFDLPIRRFEFNITEYQHFLLQRLENFVKELYELGCRKMIVAGIPPIGCLPIQMSAKFKFLKDRKCLEDQNSDTQSYNQKLKKLLLEIEAMLPRSKIAYADMYETVMDMIKHPQKYGFAETKIGCCGSGLVEVSFLCNPLSPICGNSSEFLFWDSVHPSQQVYRYLAKYIHKEVVPHYF >OMO82936 pep supercontig:CCACVL1_1.0:contig09960:18830:32015:1 gene:CCACVL1_11668 transcript:OMO82936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MASKFGLAGGIPERRVRPIWDAIDSRQFKNALKITTTLLSKHPHSPYVLALKALILERMGKSDEALSVCLNAKELLYKNESLLMDDLTLSTLQIVFQRLDHLDLATSCYEHACGKFPNNLELMMGLFNCYVREYSFVKQQQTAIKMYKLVGEERFLLWAVCSIQLQVLCGNGGEKLLLLAEGLLKKHVASHSLHEPEALVVYISILEQQAKFSDALEILAGKLGSLLMIEVDKLRIKGKLLARAGDYAAATNVYKEILELCADDWEAFLDYLGCLLEDDSRWSNETINSAIHPPKIVECKLKHLTDEVFDSRVSNAAAFVQKLQAEGSNSSLRNPYLAHLEIERRKFLFGKNNDDDLIEALLQYYSRFGHLACFTSDIEGFLQVLSPEKKMEFLDKLMKNSNSLSVEPTKALGQSITLMKAQELIGNMFRLSVGELEGYALKMTDLYCKNLPLSKDLDPQESMHGEELLSIVCNVLVQLFWRTRNLGYFVEAIMVLEFGLTIRRHVGQYKILLLHLYSHFGALPLAYERYKSLDVKNILMETVSHHILPQMLVSPLWVDLSNLLKDYLKFMDDHFRESADLTFLAYRHRNYSKVIEFVQFKERLQHSNQYLVARIEAPILQLKQSAHNIEEEESILESLKCGIDFVELSNEIGSKSLTFNEDFQSRPWWTPTTEKNYLLGPFEGISYCPKESSTKEREANVRGIIEKKSLLPRLIYLSIQSASILHKDNSESNGSIPDPKTSSELKTLLECYAKLLGFSLNDAIDVVVGVSRGLKSYQAFGADVVDWLNFAVFLNAWNLSSHELGQYGGECTPGSWHLVNSLLENFILGKVRSMESLIQSPQGDFPMLVQLVTEPLAWHGLVIQSCVRLCLPSGKKKKKSGSIDQSISLLCNATRDAIQSLCGILEEVAKWSQDQINSPEDKKVDTLVSSLQRNGQDEGPGQVFNILETLTSTPNETELGNRIYQALRSWSPADVARKIVTGQCTVLSEFCRICESKIKSLNSLKQQISQIFMPDKILVFVIDRPFHSFGIPSSFADAETHYHEFVIEARPVKRLCRTHSSILVNGQFPGPTLEVRDGDTLVIKAINRARYNISLHWHGIRQLRNPWADGPEYVTQCPIPPGGTYTYRFTIQDQEGTLWWHAHSRWLRATVYGALIIYPKLGSPYPFPMPKKEVPVLLGEWWDRNPMDVLQQALFTGAAPNVSDAYTINAQPGDLYRCSSKETAKFLVEAGETILLRIINSALNQELFYAVANHKLTVVAVDASYVKPFTTNFIMIAPGQTTDVLITADQKPGHYYMAAHAYNSANAPFDNTTTTAILEYTSCSAKKGQSMKPIFPWLPGFNDTGSATAFTSQLRSPSKVEVPTEIDESLFFTVGLGLINCRNPNSPRCQGPNGTRFAASINNVSFVFPRRNSLMQAYYQGQPGVFTTDFPPVPPIQFDYTGNVSRGLWQPVKATKLFKLKFGSTVQIVFQDTSIVTVENHPMHLHGYEFYVIGSGFGNFDPRNDPAKFNLVDPPRRNTIGTPPGGWVAIRFKADNPGIWLLHCHIDTHLPWGLAMALLVENGVGKLQAVQAPPLDLPRC >OMO82932 pep supercontig:CCACVL1_1.0:contig09960:7959:9872:-1 gene:CCACVL1_11664 transcript:OMO82932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex protein Exo70 MAENGEEKLLAVARHIAKTLGQNDTMADDILQIFSNFDGRFSREKLSEKMVDEDPRGCAALERTLNSLDRQISQYVAIDHPIWADSADSSAFLDAIDELIATIRDWSPMASEKSVGACLVRADDLMQQAMFRFEDEFRSLMEQGAESFDLSRSNHESAGNLSFDSDDENEDNEGLLQNGADLDHQIPVAQPLTDYDIVIDALPSGTINALHEIAKRMVAAGFLKECSHVYSTCRREFLEESISRLGLQKLSIDEVQKMPWQELEDEIERWIKAANLALRILFPSERRLCDRVFFGFSSAADLSFMEVCRGCTIHLLNFADAISIGSRSPERLFKVLDVFETLRDLMPEFDSVFADQYCLVLRNEAITIWKRLGEAIRGIFMELENLIRRDPAKAAVPGGGLHPITRYVMNYLRAACRSRQTLEQVFDESNVGVPPNKLDDRGSSSSSMSVQMAWIMELLESNLEMKSKIYRDSALCSVFMMNNGRYIVQKVKDSELGSLLGDDWIRKHSTKVRQYSTNYQRISWNKILGTLKLDNNSLASNGAAKSMKEKIKSFNSQFEEVCKIQSTWVVFDEQLREEMKIAVTRLLLPAYRNFIGRFQCIPEIGRNADRLIRYSADDVEAKINELFEGSSGGRKSR >OMO82937 pep supercontig:CCACVL1_1.0:contig09960:32976:36810:-1 gene:CCACVL1_11669 transcript:OMO82937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, HIT-type MKIMTKNSFSFQIITLTIFFVITTVAYPVNAFEPSSSRKLDESIVSGDQGGVKCTPTCVQSHPPPPPPPPLRCPPPPPPPRALPPPPSLKKPPPPPQSPPPPSQKKAPSSKYYPPPPPQAPSPPSHYFKVTGVYAVDDIAAMDDDNSNQFRRMSSRTRKVAPKMAAALASSDNRTQAALARLEALENDNAAIEPAEMNDDDEASLDDDDQAYMQKKAKGTKRKTRQAKALENARRAPRTFLELLHEANLESLPPHVPSYLRAAVGPPSSSSRRHFCTVCGFTATYTCVTCGMRFCSYRCQNIHNDTRCMKFVA >OMO82931 pep supercontig:CCACVL1_1.0:contig09960:5320:6721:1 gene:CCACVL1_11663 transcript:OMO82931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAPRLFFFVSLLIIINACNLTSASAAKFPNISSILIFGDSTVDTGNNNYIPTLFKGDHLPYGQNFPGHVPTGRFSNGKLIPDFLASYLGIKETVPPFLDPNLSDSELRTGVSFASAGSGYDDLTTVTTAAIPVARQIDLFRNYKARLISVVGEKEAMKTLRKSLVVISAGTNDFGFNYYLLPVRGLQFDVKGYQDFLQTQIEDLVKTLYNEGVRRIAIAGLPPMGCLPLLITVRLKPLFDRTCLVDENRDARAYNQKLVRLIPRLEKSLPGSKIAYADVYTTMMDMMQHPRKYGFTTTSRGCCGSGILEASYLCTPFTRACENPSEFMFWDSIHPSEAAYKALAQDLEKKLTETLQ >OMO82935 pep supercontig:CCACVL1_1.0:contig09960:17685:17834:1 gene:CCACVL1_11667 transcript:OMO82935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLPPLNLIKLVALICNWMPLLHEYSSNDEVGGIHVDLIWFGVFRQDK >OMO59178 pep supercontig:CCACVL1_1.0:contig14039:3712:5839:1 gene:CCACVL1_25019 transcript:OMO59178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MVAARRQAAAVDGSSSSTFNVLDYGAKGDGRTDDTKAFEAAWAAACKVEGSTMVIPSASVFLVGPISFSGPNCQPNIVFQLDGKIIAPTSSGAWGKGLLQWIEFTKLKGITIRGKGTIDGQGSVWWNDSPTFDPTAQDSTTNDMINGELKGSMPSTKPTALRFYGSNGVTVSGITIQNSQQTHLKFDSCTSVQVAGFSASSPGDSPNTDGIHLQNSQDVVISSTTLACGDDCVSIQTGCSNVYIHDVNCGPGHGISIGGLGKDNTKACVSNITVRDVTMQNTLTGVRIKTWQGGSGAVQQVMFSNIQVSEVETPIMIDQFYCDKSSCKNQSSAVAVSGINYMNIQGTYTVKPVHFACSDSQPCSGVSLSTIKLKPVQEKHSLNGPFCWEAYGELKTATEPPIDCLETGKPAKAATQSNFESC >OMO59181 pep supercontig:CCACVL1_1.0:contig14039:34556:38120:-1 gene:CCACVL1_25022 transcript:OMO59181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MPKTRSNSTEGLEFNLEPERTLFQKKKKTQSRLQQKEETSDSSSRSSSPRSAVSTSSEDMTEEEQPKTLRELAAPKVNTKRLAIRYPDTNGNFEIKSGFIQILPKFHGLPGEDPHRHLTDFQIACASTSIQGIPEDQFMLRTFLFSLMDRAKDWFYLLPTGSITSWTSLKKLFLEKYFPAHKASSIRKEICGIKQRHGETMHEYWERFKTLCASCPNHQINEQLLIQYFYEGLLPFDRSSIDSASGGAFIDKTHAEAWSLAENMAANTQQFGSREDYAREGPIRRINEVSTYSTSLEQQLQETNQQIALLTDLFHANFSSVPRPEPYWRPEQPSQQYGNQGNFQGRPNFQRPNFQSTQAIELQQIKEAMEMMRKQISQLASDLSDLKTQGQQRIPSQSKVLPRENVSAISLRSGKELQDPYPNHAAHQQEEGEPNYTKEDLQLPAKIKIEDEPKNKGAAPIEDSNKDDAVIQPKGKGVCNSNKPKTDSNLIPFQSRLKKSKKEDDDQDILEIFRKIEINIPLLDAIQQIPNYAKFLKQLCTNKKRLQGKVSAGANVSAVLQKSLPPKCKDPGTFSITCSIGRSIIENAMLDLGASLSVMPYSFYKSLNLGPLKNTDVILQLADGSLVYPKGVLENILIKVEHLIFPIDIFIMDMEYSKDQCPLLLGRPFLKTSHTKIDVFNGSLTMEFDGEVIHPRISSQVPLKSNNFVYVISSNKKPLHERTPEKAFNVLEELSRRTKFSTSHEKKDRAKEAKKEPEVNKEVQPPLKIDQPSNSTKKQDIDLEHRAKVASILQRKLENFPQFWQRFKKLCAAYPHHGYDQRRLVQIFYQGLRASDRLSVDTLVYIPLFDHSTADIYEIMENFAQMITNTSKEAAQGLSLAKPAPHKPVCPAVARPPTSTPAKPSSLAAPSPATLEPHVRTPPASAVPPARPCQATVAPLAPPARLCSAISAPAAQPPKLRPALSAPSAHPSALCHALSAPAAPPARFVPTMQASHRDVTKPCPAMSAPPARPCPALAKTSARPTEPSRATAAPCRVTTMPSPNALIFSSLDKIQLETIKIIEESRFIEDLLKDYTQNSLTLEE >OMO59180 pep supercontig:CCACVL1_1.0:contig14039:30514:32586:1 gene:CCACVL1_25021 transcript:OMO59180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MDTCLSSSSNIPSKMKAWVYAHHGNPVDVLKLESDVDVPQVKDYQVLIKVVAAGLNPVGFKRILGLFIEADSPLPTVPGYDVAGVVVKVGSQVTLFKEGDEVYGNINEKGLDHPKRYGTLAEYTAAEEKLLAPKPKNLSFVEAASIPLAIGTAYEGLEKTALSVSKSILVLGGAGGVGTLVIQEVAIYNGEIGDFDFSFGLEDGRSGLFTSNESW >OMO59179 pep supercontig:CCACVL1_1.0:contig14039:8497:10302:1 gene:CCACVL1_25020 transcript:OMO59179 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MAIPSKGGKRILMGQLEEAKYRRFRPTDVELLDDFLKRRVVQGRKFATKSIIELDIYKHAPWKLPLTSEDFMWYFFCPAVMKKHGTGLRSSNRASKFGYWKGSGKDRAIKCMGKTMGVMKTLVFYRGKAPNGERTNWVVHEYRLDDEDSYVICVVFQKDNIGPTRGFQYAAQSPDSSCAPVLDADVPSPMSVAFMDDKIVPESTGAPQLASQVQESSFDSSDSYLQYLEGYIETESLNDGKDEEASHQLAMVQELNHYIQSPPLQRDDGECFMYDCQGNDGELALVPQVQEANSCVQSPLQLFDRDHHTFMYDGQGNENGEAPHQLTLLPQVQMSNHNFPSQDVTLVPRVQISNHNFPSQDVVPQVQISNNNFPSSQDVTLVPQVQISNHYFPSPLESTFINEGQGNYGNFPIYDGNEMLVSYESQRNNNGLDSNRLNQDVTRGSSWQHQTQYGHGNNGGSSNFNFQGDYGNFPVYDGDDNSLLLPSYQSQNSWNNNVLDSNRLNQDVTTSSSLQHQTQYGHGNYGGSSNFQGNFPVYDGDDYSLLFPSYQPQNSWNNNDQLDKFNLLDQDVTASSISQPETQGQDNYGGSSNFIQGNCTF >OMO74472 pep supercontig:CCACVL1_1.0:contig11123:19805:19906:-1 gene:CCACVL1_16690 transcript:OMO74472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKPKPKAEGVFDVYHVESVSRDHAFAVASD >OMO74473 pep supercontig:CCACVL1_1.0:contig11123:20664:24695:1 gene:CCACVL1_16691 transcript:OMO74473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the outer envelope membrane of chloroplast MENGVGVVDDSIIIDNTVLEEKVVNEKAEERVVGGSDEAKDVEDEVFEEAIGTQEQLPEQTENSGVEDSSVVADANGHDETIDNVGSVEVQGNLNLETEAETFEEAVGVPSGVEPLEDVVPAEEDVAVPVDEQKVEEPSSGESVGGIVVSDKIDEGGTETGTGTDELNGGNEVPENGGSGEAKVLSDEDEGNAKSDTVVEKPVNGDADKVYLEGTLADQELEALEGDNVDVEMESKLEVLPQEVNGEESRENELAADYQDKKVEESADTSSGVTARRQEDEVEALNDKLEVLPQEVNGEELRENALAADYQDKKVEESADTSSGVTTRLQEDEVEALNDKSANVDTGDQGKESSEVKVTTADLNSVDEGEQQSKTLAAVETEGNSYGEVKDLSDAQDIEYNAVIHEPKAMFSELSTSVEGTVAPENGNLSSVETFADDRSEKVEAGKTDLGTEVHDSSQPELPKEMVDAVRDIHPVTEEPEKKLEKDQVDKQSTQVTVRDIHSVTEEAEKKVENEQVDKQSNQVTLEHGVQPASGSSLSAKAEESEKKANTDQELKQKTPVIRERESLPALVPSSSIKSTNTANPPSRPAGLGRAAPLLEPAPRVVQQPRVNGTVSQAQAQQIEDTTNGEAEESDETREKLQLIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVGAFSFDRASAMAEQLEAAGNEPLDFSCTIMVLGKTGVGKSATINSIFDEIKFGTDAFQTGTKKVQDVMGTVHGIRVRVIDTPGLLPSWSDQRQNEKILHSVKRFIKKTPPDIVLYLDRLDMQSRDFGDMPLLRTITEIFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANTLLKLQDTPPGKPFATRTRTPPLPFLLSSLLQSRPQVKLPEEQYGDEDGVDDDLDDSSDSEDESEYDELPPFKRLTKAQLAKLSKAQKKAYFDELEYRENLFMKKQLKEEKRRRKMMKKMAAAAKDLPSEYTENAEEESSGASSVPVPMPDLALPTSFDSDNPTHRYRYLDSSNQWLVRPVLDTHGWDHDVGYEGINVERLFVAKEKVPISFSGQITKDKKDANVQMEVSSSLKHGEGKATSLGFDMQTVGKDLAYTLRSETRFSNFRKNKATAGISVTLLGDSLSAGMKVEDKLIANKRLQVVMTGGAMTGRGDLAYGGSLEAQLRDKDYPLGRSLSTLGLSVMDWHGDLAIGCNVQSQVPVGRSTNLVARANLNNRGAGQVSIRINSSEQIQIALVALLPLLKKLLEFPQQMQYGQ >OMO74470 pep supercontig:CCACVL1_1.0:contig11123:13239:14171:-1 gene:CCACVL1_16688 transcript:OMO74470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRKAISTEKKTLSELHASCGETYPGCDYRPADRKNWMAGLGPEKVHINKIVWPGTHDSATNKIGIPLITRPFAQCQSLSIYQQLVLGTRVLDIRVNENRRVCHGILSTYNVDVVINDVKKFLSETQSEIIILEIRTEYGHEDPPDFEKYLEEQLGEFLIHQDDHVFGKTIAELLPKRIICVWKPRKSPAPKAGSAFWSSNYLKDNWIDTDLPSTKFDSNMKHLGEQQPVSSRKFFYRVENTVTPQADNPILCVKPVTGRIHDYARLFITQCFSKNCADRLQILSTDFIDGDFVDACVGLTQARVEGKC >OMO74468 pep supercontig:CCACVL1_1.0:contig11123:5316:5687:-1 gene:CCACVL1_16686 transcript:OMO74468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLYEVLGIPMSANGNEIKAAYRKLARTCHPDVVSINQKEMSANQFMKIHAAYSTLSDPDKRATYDRDLYKRDRPFHSSALRSTTMSAAAAAAAAAATSSSSGFSGYSSGRRSWETDQCW >OMO74471 pep supercontig:CCACVL1_1.0:contig11123:18262:18351:-1 gene:CCACVL1_16689 transcript:OMO74471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLFSSDRATTTIHPPQVAMPPSELS >OMO74469 pep supercontig:CCACVL1_1.0:contig11123:9612:12306:1 gene:CCACVL1_16687 transcript:OMO74469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA large subunit methyltransferase F-like protein MPSKKRRRKEERPKIHPKNKYSDNPPDFALLASLYPSFKPFVFYSREGRPKIDWTDFNATRELTRVLLLHDHGLDWWIPDGQLCPTVPNRSNYIHWIEDLLSSDIIPKTNSNGDNVRGFDIGTGANCIYPLLGASLLGWSFVASDLTDVALEWAERNVKNNQHVSELIEIRKVKCSQNTHTPEVLNNTESACSEEGEGLPSSSFHLPESKDKSYHGPPILVNVVRDGEKFDFCMCNPPFFESIEEAGLNPKTSCGGTHEEMVCPGGEKAFITRIIEDSAVLKQSFRWYTSMVGRKVNLKFLIAKLREVGVTIVKTTEFVQGQTCRWGLAWSFVPPTKKIISPHVTEKNIISFMLEGLQRQFGAIQVLQSVESFFHAVGASCKLNASTFTVDITASTDHCNALLRNEVKHHDEVASCSNVQEASPNLCFRVSVFQQIPGTLLIKGSLQHRDSPLSGLFSSIIQQLEDALRSKFCREKANF >OMO74474 pep supercontig:CCACVL1_1.0:contig11123:59509:66543:-1 gene:CCACVL1_16692 transcript:OMO74474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYYQDLTETATAIGEMAFEGVWAGHQPKRPKR >OMP05462 pep supercontig:CCACVL1_1.0:contig05375:241:8917:1 gene:CCACVL1_01893 transcript:OMP05462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNADEDQEVGKFAIRLANAVILPMVLKSALELNVIDTIFAAGDGAFLSPSEIAGTSPVFNKVVIFYELLFLRLDERVPEKEKRGGVGDPLSVVIRFKHEHARAVTHERF >OMO90374 pep supercontig:CCACVL1_1.0:contig08483:1037:3335:1 gene:CCACVL1_07384 transcript:OMO90374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein, Got1/SFT2-like protein MEKMNHAFEKVKMLVGMEVDDDNEQPALEEGNSFAFMDDFNRQCTLTTKQRFYGFAICLVAGLSCTILSILVFFHPIKFGITFTFGNLLALGSTAFLIGPKRQVSMMLDPVRIYATAIYLASMIIALFCAFYVHNKLLTLLAIILEFGALIWYSLSYIPFARSMVSKIVYACFDTEF >OMO90375 pep supercontig:CCACVL1_1.0:contig08483:17139:17856:-1 gene:CCACVL1_07385 transcript:OMO90375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFTIDLTDNKEGKRSEYGWKAVGGLIVERSVDRGGAMSIPMKLWSEADDLIIDLVLSTIR >OMP07317 pep supercontig:CCACVL1_1.0:contig04526:588:653:-1 gene:CCACVL1_01329 transcript:OMP07317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRVRRSLKVLEPLSLHYKQ >OMO79786 pep supercontig:CCACVL1_1.0:contig10377:6331:7686:1 gene:CCACVL1_13423 transcript:OMO79786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSLETLQHSFGNLRVNHGNMPSSSLPTGVLPSLESSYRVGSTLGSSNTSSLLPSFDFTEILRRGYGFGEPDPYFLAPPTWKDPLLNHASFLNHASFFSEDLLGNGFNMGNDESYVGFLQSNKEKIITMAMLEESSKQLQGFILMKDTNITNLIFEGVIDNIFQLMTSQYGRYLFQKLVELKDENMLQMIVEKLTKSDRNNIYNASIDRYGSYSVKKLIKVLQKSPLVSEVVKALANSFWDLMIYQTGHYVILECLDIVDSQRNDLLYIEAIDKCLKLATDERGCAALNSFIERIKGPRRDQLLEEICEQVVFLSQHLEGNFVVQCILGLQNPAFIQKICYKLRGYYVKLSMQKRGSHLVEKCLKSSGMVHVVQEFLQSNQLVQLAKDRYGNYVLQTALKEVKKVSCPLHESLVMKLRSCHNLRDLNHHGYGRNILSLIAAPMVPLNRA >OMO79789 pep supercontig:CCACVL1_1.0:contig10377:20356:26184:-1 gene:CCACVL1_13426 transcript:OMO79789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M41 MTTIDTLISARVHLPKPYAIHAKSTPKRINTSNLTRKFQSRTSFLHRSFTVLCELQSSRSGDTSKPEGDDFVTRVLKENPSQVEPRYLVGDKTYTLKEKEDLSKGANLGLFEFLKKKLNSKAKSKYESNESKRETEIGSEYDNVHLKDILREYKGKLYVPEQIFGAELTEEEEFEKNLEELPKMSLEDFKKAMQTDKVKLLTSKDVSGVSYVGGYWDFVVDLKEIPGDKRLQRTKWAMRLDEFDAQALLREYTGQRYEIERHMTSWVGKVPEYPHPVASSISSRMMVELGMVTAVMAAATVVVGGFLAAAVFAVTSFAFVTTVYVVWPVAKPFVKLFFGIIFSILERLWDNLVDVFSEGGIFFKLSEFYTFGGVSASLEMLKPITVVLLTMVLLVRFTLSRRPKNFRKWDLWQGIDFSRSKAEARVDGSTGVKFCDVAGIDEAVEELQELVRYLKKPELFDEIGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKINKPSVIFIDEIDALATRRAGMLKEKKDRLYNAATQERETTLNQLLIELDGFDTGKGVIFLAATNRRDLLDPALLRPGRFDRKIKIRPPNAKGRLQILKIHASKVKMSESVDLASYANNLPVQEAALVAVRKKHDSILQSDMDDAVDRLTVGPKRVGFDLSHQGQCRRAATEVGVALTSHLLRRYENAEVEFCDRISIVPRGQKMAQLVFNRLDDESYMFERRPQLLHRLQVFLAGRAAEEVIYGRDTSKASLYYLTHASWLARKILTIWNLEDPMVIHGEPPPWRNKVKFVGPRLDFEGSLYHHYGLIEPPLNFNLDDDTARRSEELLHDMYGRTVSLLRRNYTALLKTVKVLLNQKEISGEEIEFILDKYPPQTPLSLLLNEENPGSLPFIKQEQEGDVEPLLLTHSTSETV >OMO79785 pep supercontig:CCACVL1_1.0:contig10377:4313:5832:-1 gene:CCACVL1_13422 transcript:OMO79785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHVTKQAKYKTRTKDPGTPGQKHTKEPPRLNLTNNQNENFIFEFENFSDLQDCRDFIGKLHPDEQPSAADLELRIKLLLEDSELQKLHKQFALSGVLTEAEFWATRKKLLNREANKKRKQRPGFKSAMLEFDRTNKKTFNLTPETILQIFSEKPAIHRAFLTYVPKKMSEEDFWTKYFKAEYILSTRNSHVAAAEAAEDEELAVLLKQDDDIVASEARQKMRRIDPTLNLEADEWDDYTHLPRDGIKEIMPTTDSPYRRSIAKEINRHSAAVLEGRVVDVLELKDTKAKAIAEALARSKQESSTKGEANGYTSLSRMIEIEDLQGPNNLPPPLLGINDARDYFDSNASGAEQMKCSLSMQEAYGSLRDSISMIKAKGLNLIKDPIVNPQVANQVFDDLTHSITTTTKHHNIGKNPLLDSWSRGVFSSSFQSHIQDSEGQQATKIIYAITSNSFAMFPKNIITKKMDKMDVVV >OMO79788 pep supercontig:CCACVL1_1.0:contig10377:16115:19608:-1 gene:CCACVL1_13425 transcript:OMO79788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPRNGTSIVYVTCRSNCKASRNDETKTNKTIVKNSTNNINRNNGKNFYEVSLSVIISLWCLVFLFYSRLGLSHENGENLHAENRSTSSTTDSVCDDKLCSDAYSGVANGGDNCSNGTLLELNKSVICSNSTIYQEFVKYEYSVRESKTLEEVVLRVLGYRAFICDLHEQEGQNRGEKGERPHSKSSTTYLNLDEFRNITKQARTDDPPPGKLINITHRLESDGSEYNYASAMKGAKVVTHNKEAKGANNILGKDHDKYLRNPCSVEGKFVVIELAEETLVDAVKIANFEHYSSNFKEFELSGSLNYPTETWFPLGKFVAANVKQIQTFQLSEPKWLRYLKLNLLSHYGSEFYCTLSVVEVYGVDAIERMLEDLFVPSEQHVLAKLADSNSTGPSAKPDVHSSDGKRNDEAQNGLQTASSGAENAEDVQKLNETVTKTPVTTSRIPDPLTDIKQLPVGRIPGDTVLKILMQKVRSLDLNLSVLEEYIKELNRRQGDVLPEISKELSRISLLLEKSKTEVKEITEWKEMTESRFEDLESWKAVVSSSVEALVRENSMLRLDVEKIVRDQASLESKELAVLAIDCFPAVREKGERMKRF >OMO79787 pep supercontig:CCACVL1_1.0:contig10377:8722:15001:-1 gene:CCACVL1_13424 transcript:OMO79787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAYSLSIALQSHQPLIIATIVTLLSLIFAVRSFFKRPHKSNALGPATSTGDKEAREATSSSHRNCFCSCNGTSDSPAVLNGGSTVPEEKDMVTADVSTVVAERQSGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTLEQDSVTPVNGWKAYYAATRAIMNVNTEFFNIIRDGSLSAMGRFWLNADYVKCIHASGELFSGYNAVMQSWHLAFNWEQGVDFQVRDVRARVLTDMAWVTMKTYFDMDNGAFNMTNVFEFHNGRCENSNSTPEGMAMALLSAPPPSSPQTSFFQRPYSATFGSLTFIKYPSKPSIVPLSTASPSSSTSTAEDEPPALVDEPPFRGCKACGREELERGCNGEGRIQGGIATVPGFGWWPIKAYRPCPGFVASGGRYRRRGQSMDEVAGFGRVGSSSPVTPVVTGDDEAESRVIHGPF >OMO78492 pep supercontig:CCACVL1_1.0:contig10577:26627:28265:1 gene:CCACVL1_14348 transcript:OMO78492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGGESFSELNGAEGLLQPLLIASNSSSLKEALEILIKDSRTAVGRAELALRNILPTVIKLVEFFYNTSSREYLMDSLKLLRNLCAGEVANQNAFLEHDGVEVVSTVLQSAALLSDPDFAVIRICLQVLANVALAGVDHQQAIWLQFFPDVFLMLARVRSQETSDPLCMILYTCFDGTPELVAELCKDIGLPIVAGIVGTVASVGFQEDWFKLLLSRLCLDDTYFPPLFDRLREESSFENRGNTDSGDNFFSSEQAFLLKIISEIVNERLEELTFSSEFAWFVFEIFKRSVTAANFVSRGMSGLPTGSASIDVMGYSLTILRDICARESVQDLEKDSLDAVYVLLTYELIDILLSLLCDLEPPAMIRKVQKESETQGPSSSSSKLWLCPYKGFRRDVVAVIGNCAYRRKNVQDDIRQKNGILLLLQQCVSDDENPYLREWGIWCVRNLLEGNAENQEAVAQLELQGSVDTPELARLGLRVEVDQMTGRAKLVNIPGSLPKPNE >OMO78490 pep supercontig:CCACVL1_1.0:contig10577:10567:13341:-1 gene:CCACVL1_14346 transcript:OMO78490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFEAPSFSLGLDLDLDHDADREPRSPSGNSLDPIFAPDASATFNLLQDDADGLATQQVMDSDPDTRPDPPRVLKRLRRAADRSSATKKTLEKPLFRNDEDDEIEEFPSSPEKKCSKIPLKGLGVLTTQSSGQWNSRKKEQASDASASASLEAKHNGVSFPKLTISPLRRFQLIDSDSDDPTDLTDTVKGANKIDLWSKDQQPTASDKKRNTSVGTPQNNDLWKDFCVTSSSSIPTPAFDDICKEYFQSVKDNNAVQKLGCLNCEQLLDLDDSLPPAHRYFFHADPRIQKLVHGRLPFFSPLGMVNNRGNQQPNASIIDYLSQFNNGESSKKRGPQRSSGGKNSSASRRNKSKKSNVEVEGWIDPKSSAAIPKNAGKRRVNATGQPAGHWYTSPEGRKVYVSRSGQELSGQMAYRHYRKEIGAGFRKSKKKGNAKKKKDEIKWLHLCNVFSTWFILCVL >OMO78491 pep supercontig:CCACVL1_1.0:contig10577:15112:25377:-1 gene:CCACVL1_14347 transcript:OMO78491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MAEHEIQILRGHELRLLRCTLRPPPSNSSPSFQSLDSTASPSPLHALISNILTSIESGDFFGALSSDAARLVLASPDSDLSSDSPDRVYSDLLDRVESFINEPSIDDAEKACRVVLVLCVAVAAFFWFTRCNLTGPVEKLPNRPFPMKAWWEGSETVEWESWARNQLMSAGSDLLGKFSYLQYIVFAKMLLLKTRDLLFEASISSTFGIRSISWWLFRILLTHQRILDEHCSSLFDLLQVFIGENLSHFGSLEKVTSYWNAQLQDGEASTIVAMVHLEAGIVEYIYGRTDPCRLHLESAEVAAGLQLSVTGILGLRTVHQVEPTAQRVLVANTDSKSESGDICTSIGSGIQLSGQSNSEASDILMTPKLVENGYDIGKNACVGANATLTEVQQAVILAQCLLIEKSTAQGELQAWDMAPYIEAIDSQQSSNFILKCFCNILRIRWESTRSRTKQRALLMMESLVESIHKASHEVPLRIPFCYAVYLPTIPAFQKQYGEILVSCGLVGEALKIFEDLELWDNLIYCYCQLEKKAAAVEVIKMRLDKTPNDPKLWCSLGYITDSDACYEKALEVSNNRSARAKRLLARSAYQRGDYEKSKILWESAMALNSLYPNGWFALGAAALKARDVEKALDGFTREVQLDPENGEAWNNIACLHMIKKKSKESFTAFKEALKYKRDSWQMWENYGHVAFDVGNISQALEAIKMVVNMTKNKRIDVELLERVMQYLEERASVRRSPVTNDDECSNQTSPDSLLYSVDKSANAEQTAGKLRENEHFVELLGKILQQILRTESRADVWGLYARCHRIKGDLTMCCEALLKQVRSYQGSNLWKDIDSFKRFAQASLELCQVYMDISSSTGSRRELLTAEMHLKNTLKQAGIFSETEEFRNLEACLDKVKMKQQQSESTPI >OMO78493 pep supercontig:CCACVL1_1.0:contig10577:28792:48338:-1 gene:CCACVL1_14349 transcript:OMO78493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide, MLP1/MLP2-like protein MQTFCVTVLLKECRDIQLHCGSIGHDLSGDYTIAAAARDVEPNTDKLVSELAFKDINGLVEQNVQLRSLVRDLSDQIDSKEMEFKEKLEIELKKQTDEAASKVAAVLQRAEEQRCMIESLHASVAMYRKLYEEEHKLHLSCSPAPEAAPDAGRKDLLLLLEGSQEASKKALEKAAGRVRCLEEDLAKTRVEIISLRSERDKLALEANVAREKLEGVMKEAEHQRAEKNGVLARNVEFSQLIVDYQRKLRASSESLNAAEEHSRKLTMEMSILKHEKEMLANAEKRACDEVRSLSERVHRLQASLDTIQSAQEVREEARGMDKRRQEEYVKQIEKEWAEAKKQLQEERNNAHGLMSDREQTLKNAMKQVDEMGKELANALRACAAAEARAAVSEARLSDLEKKLKSSDVKMLEIDGGGGPSSTSTNEIVELPLTKEQIDALKEEAKANRDHMLEYKNIAEMHEAALKQMELAHESFKIEADNVKRSLEAELGSLKEKVSQLENETSLRSEEVASATAGKEEALLSALAEIKILKEETAVKSSQIVALEIQISSIKENLEKEHEKWRAAQANYERQVILQSETIQELTRTSQALALLQREASELRELADTHKRETAELKGKWEVERSTLEESRKNAEKRYDELNEQNKILHNRIETLHIQLAEKDCGSSILVSGGTVSDPLGDSGLQNVVSYLRRTKEIAETEISLLKQEKLRLQSQLENALKTAETARATLTDERANSRAVLMTKEEIKSLQHQVMEMNILRESNMQLREESKRNFEECQKLREIAQKNRIEYEIVEHQLMERKIELEASKKEIEIHRTERDLLEKRVSELLERLRHIDVEDYNRLKNEVRQKEEKLKEKDAQIEEIMNLLSKKEDTISKLEQDLGNIKLELNEKDKKFNDNFQLEADLKSSMEKQKKLVLQFKRRAESLAKEKEQINQENQALSKQLEELKQSRRPISDITGDQVMKEKEEKDTRIQILEKTVERQREELKKEKDEHQNEKAKRIKCERIIMEAVRRTEKEKTTVLGELEKYQQALKRLSEELEKLKHAESSLPEGTSVVQLLSGSISDDHASTYLSAAEDFERVACSILNDLRTGSISGDVPSVDSSALTSTGAVVLDQGPAISASTAPTTSHPQKSQPPKALVERRSVLPKTTIETRKTGRKLVRPRFVKPEEPQSDVDMSEATSHDADAQGTHTQQNEPVRKRLASTTSELHEDSPVPGETSADVTEPLLKKSKGSDSPPETSEGQVAAVSEATSHDADAQGTQTQQNEPVRKRLASTTSELHENSPVPGETSTDVAEPLLKKSKGSDPPAETSEGQVAAVSENLTGQQAVALSEEASDTVDNIAQGSKEDVDVEKEEAETMEEKVEEPKETQVDGTNEIELLENKNNMLDESLDKPGQTEMVIDDVPTNPAEEDSQQPLLETESGMEEGELAPEVAEDIEGADVHDNVGSSEIGDGQPSIPPMASPSTINDEAPVTPALEGNNSRDVNDEKNDEGEIVEEAVAEAFDRSKDADQQTTMETDQTPEVALATAEPASTSLSQDTEVSTHNGSSVAPEAEDVKQASPVSTTTLTNLQEQARETTVSTSGTAEPATSRVPTNTEASEHIGSSATSEAEDSKQTPSVSTTTPTLVNLQERARQRAMLRQAGVVASPSRGRGRPPLRGRVARGRTGRGRAQNPETQ >OMP10626 pep supercontig:CCACVL1_1.0:contig02096:1718:1804:1 gene:CCACVL1_00842 transcript:OMP10626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLKIELTPRCNHFPLLSHHLLKYTR >OMP10787 pep supercontig:CCACVL1_1.0:contig01929:87:580:1 gene:CCACVL1_00792 transcript:OMP10787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MAHQLNDQHSVIKGAPVVKPNDDFFWFSHPKFVLTLLHYTLFMNAFEAAFFVWVTWQYGISSCYHEHTEIIVIRVAL >OMO81484 pep supercontig:CCACVL1_1.0:contig10159:1253:2811:-1 gene:CCACVL1_12387 transcript:OMO81484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNNVNGGAVQASKPDRKTIERNRRIQMKSLCFKLASLIPHHRFKSSKEIVSQQDQLDLAAVYIKYMRETIEKLKEMKEQLIKSMEGSNCIMNKNGTAAVGLSFPVVELRDLGSSIEVILISGLNKNFMLYEAISILEQEGAEVVSASFSTVGGKIFHTLHAQ >OMP05229 pep supercontig:CCACVL1_1.0:contig05474:1380:5208:1 gene:CCACVL1_01990 transcript:OMP05229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYRRYFVSSPTDIAVRLNLIHKVHGIEHAENFFHSVSKNLKNYIVYGALLNSYVQEKSIEKAEYIMETMEEMGMATTSFPYNVLMNLYSQTGAYDRIDMLVQEMDWKGIPQDRYTMRNRMTAAVAVSDISKMESILNQMEDNSNFVVDWNEYSVAASGYLKVGMMDKALRMLKKIETMIQLDRRTEAFEFLLTLYAKTNNKDELYRVWNTYKPSVTIRDTSYCHMITALADLDDLHGAEKMFEELESQCTMYDFRVLNRLLVAYCKRGLFDKAESVVNKAVEGRTLFASTWQVLATGFMKHNQMPKAVEMLKKATSIGREGWRPTSTVFSACLDYLEEQGDVKGVEEMINSYKNSEPLTRNMHHRLLRCRIKAGETVSEVVDQMKRDGFDADKETISTLRLPQIERFSSPVPNMKLIGLNPLINSGISRVLKVLSYSTKIPRPISSPANAVDSLHSRVYRTGNPKASVIPILNQWLEEGKPIKHSNLQSLIKVLRSYGRCKHALEISEWMSDEMSHHISTGDIAIRLDLIGKVRGKYDKLDVLMQEMRVKGIECDTYTYNIRLNAYASSSDTELMENVLSNMESDARISIDWHSYVVAANGYLKAGLIEKVSQMLNKAEQIVPVYDARVPNLIISAYCKCGLIAKAEAYTSSLIVRGVIKGPDAFTWNSLASGYQIDGRMVKAVEAMKNAILGSTAAWKPNNITLAACIDYLKSENNTEAAEELLQLLRDRGLLSTDVHDRLAIYIRDRNLDASALDLIKGCNQTLDEEVQGANECQI >OMP05230 pep supercontig:CCACVL1_1.0:contig05474:10884:12360:1 gene:CCACVL1_01991 transcript:OMP05230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMKQFAGILRVFSSSLSIRTLSTDSSSNARNTVQLLLQRVGNRKDTLVPVLDGWLQEGKTLTPTILEDLTRTLRSQNQFEQALQVSDWMTQQRNLQLSITDIYFRMDSISKVHGLEEAEKYFESLPDSMKDKQVYTALLKCYSQFRCLEKAEATLQRMRELGFATEALSYNIMLKLYAKLGKHEKVDPLLQEMQEKGIDSDSFTVHTRFNAYVDASDMEGMQKYLMKMEANPCFSVDWYTYIGAARLYEKAKLHEQAAQMLKRAEELITDEKKRKSYEIFLALYTDIGSKEDIFRNWELYKDMGNQFYVMTISSLVKLDDLDGAGKIAEEWESRYASVGVPRLMISAYCKRGLLEKAETYANRLTQNGKVVDAFTWSRLAIAFKKADQMEKAVEYMNKTVLAIQQAKTKRNHKTILGCLHYLREEEGIEAAEEFVELLRIKGPYSMDVCDGLLSKVKR >OMP11329 pep supercontig:CCACVL1_1.0:contig01383:1202:1261:-1 gene:CCACVL1_00574 transcript:OMP11329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFLRAVSYRKRAIALNLIN >OMO58596 pep supercontig:CCACVL1_1.0:contig14201:9763:11580:-1 gene:CCACVL1_25429 transcript:OMO58596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 2 MQLTHCLSTKNLQWTPRVHRHRLPPRTQSISAVAAAPPKARKTHSMPPEKQEVFKSLENWASKSLLPMLKPVKDCWQPQTFLPEPALPVEEFNEQVKALRERTAELPDEYFVVLVGDMITEDALPTYQTMINTLDGVRDETGASLSPWATWTRAWTAEENRHGDLLRTYLYLSGKVDMLKVETTVQYLIGAGMDPGTENNPYLGFVYTSFQERATFVSHGNTARLAKEGGDPVLARICGTIAADEKRHELAYAKIVEKLLEIDTTGAMLAISDMMQKRITMPAHLMFDGQDPQLFEHFSAVAQRMGVYTADDYADILEALIQRWGLEKLEGLTGEGRRAQDFVCGLAPRIRKLQERAEERAKKMEPHGVKFSWIFNKEIKL >OMO58595 pep supercontig:CCACVL1_1.0:contig14201:7502:7867:1 gene:CCACVL1_25428 transcript:OMO58595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGNEEINIEAVVFGNVAQKLTGFELTKMTLIKGVDNDKLPDTPKKIYDEEYSFTVGINDQSSDRRLLSYKIYAFTIIEHATSPKGKVPIASASNEIEEFVEKCQETPQGQIQLSTQMFAS >OMO89576 pep supercontig:CCACVL1_1.0:contig08671:524:625:-1 gene:CCACVL1_07761 transcript:OMO89576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNMKTHSPLPKPLYLPLYSISPTTANTPSSD >OMO72159 pep supercontig:CCACVL1_1.0:contig11498:10310:13592:1 gene:CCACVL1_17912 transcript:OMO72159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, clan GH-D MGKRCFAMAFAVIMLIIGLLASPTLATIRRSMLTNGLGLTPPMGWNSWNHFGCDIEEKMIRETADAIVSTGLSKLGYEYVNLDDCWAEISRDEKGYLMPKNSTFPSGIKALADYVHSKGLKLGIYSDAGYFTCSKRMPGSLGHEEQDAKTFAEWGIDYLKYDNCHNDGSKPTVRYPVMTRALRKAGRPIFFSLCEWGDLHPAEWGYHVGNSWRTTNDIRDTWDSMISRADQNEIYASYARPGGWNDPDMLEIGNGGMTKDEYIVHFSLWAISKAPLLLGCDIRNMTKDTVEIITNKEVIAVNQDSYGVQAKKVRSQGDEETWAAPLSGDRIAVILLNKGPVRTSVTAHWDDLGLHPSTLVEARDLWEHKTLKTQFMSNITATLSSHACKFYVLKPVA >OMO88877 pep supercontig:CCACVL1_1.0:contig08900:7560:7778:1 gene:CCACVL1_08147 transcript:OMO88877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAGYAKPPHLLNNHYNSSSRQLQDKGDASQSVAAGIFKIRTAILGFDRLVNSLGTPKDTPELRDKL >OMO95960 pep supercontig:CCACVL1_1.0:contig07567:23247:26143:1 gene:CCACVL1_05146 transcript:OMO95960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSYEPLLQFDKSSINADQAVPQHFDFAKDPNKGSLPKLLFAEWLSLDQEGGNFANSGKAVASSDGFNQDHQKKKRILERKVIKADGHFGRRRSDSGEPIKASF >OMO95958 pep supercontig:CCACVL1_1.0:contig07567:1616:8274:-1 gene:CCACVL1_05144 transcript:OMO95958 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MutS, core MGKQKQQVISRFFAPKPKTPSAPIPLSNPCSSSSSPPPPPPISSPNVKATVSFSPLKRKLLSDHLASTSKKPKVTLSPHTHNPVPPPQSNPSLHQRFLQKLLEPSTPPLLEPSVEGLDSDRKKYTPLEQQVVDLKNKYPDVLLMVEVGYRFRFFGKDAEIAAKVLGIYAHVDRNFLTASVPTFRLNVHVRRLVNAGYKVGVVKQTETAAIKAHGSNKLGPFCRGLSALYTKATLEAAEDVGGKEEECGGESNYLVCVVDKCVENSDCGGIEVRVGVVAVEISTGDVVYGEFGDGVMRSGLEAVVLSLSPAELLLGQPLSRQTEKFLLAYAGPALNVRVEHASRDCFEGGGALAEVMSVYEKMVEDNIADNVNQLAEAPEQGKPHSSVQRVMNIPDLALEALALTIRHLKQFGFEGIICLGASFRSLSSSLEMNLSANTLQQLEIVRNNSDGSESGSLLQIMNHTLTIFGSRLLRHWVTHPLCDRNMISARLDAVSEIALSMGSYRFSQSTVEIDGEDSDVTNIKTEFYSVLSSVLTFLGRSPDIQRGITRIFHRTATPAEFIAVIQAILSAGKQLQRLYIVEECEENGGKKVPSGVVQSGLLKRLILTASSPNVLGNAAKLLSTLYKEAADKGDLTNLIIISKDQFPELAKARKAVQLARQKLDNLIGLYRKQLGKCNLEFISVSGTTHLIELPIDAKAPSNWIKVNSTKRTIRYHPPEVLAALDQLALVNEELTVIGKAAWDSFLREFGEYYAEFQAAVQALATLDCLHSLATLSRNKNYVRPTFLDDNEPVQIEIHSGRHPVLETILQDGFVPNDTTLHADREYCQIVTGPNMGGKSCYIRQVALIALMAQVGSFVPAAAAKLHVLDAIYTRMGASDSIQQGRSTFLEELSEASQILTNCTGRSLVIIDELGRGTSTHDGVAIAYATLHHLLEHKKCMVLFVTHYPKIADIKAEFPRSVEVYHVSYLTAQNEEGTLDEKSNHDVTYLYKLVPGVSARSFGFKVAQLAQLPSSCISQAIIMASRLEAIESSRVRKKSGQRQWLVASPSDQELERQENIPKSIDSFCSKNPKDSKEFASAFNAFLLNLKSATTHDDLSRSFQLLKELRSSAKQLLNG >OMO95959 pep supercontig:CCACVL1_1.0:contig07567:8774:12674:1 gene:CCACVL1_05145 transcript:OMO95959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSPVVNTYPLSSYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLTSKLGANSPALVPDWQIGECVAIWWRPNFETIMYPYCPPHITKPKECKKLFLVHLSEKEYFAVPKNLKLLAVPLFELYDNVQSVGSVRLVTPNSFDTNSVPFSTLIRRETTFFSGI >OMP06370 pep supercontig:CCACVL1_1.0:contig04955:3398:16745:-1 gene:CCACVL1_01602 transcript:OMP06370 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-Cys peroxiredoxin BAS1-like, chloroplastic-like protein MARRSFSDEKREDVTVKEWWEDSTDEEDVEPSIPDLDEEFADDEEEVAEGIENEYWDCQLLIRTKKGVTSKLPLVGNVAPDFEAVAVFDQSSLRHCHERKLPVLFLKAMAQAKATRANVPQKRSQKLTITNKKRLE >OMP06371 pep supercontig:CCACVL1_1.0:contig04955:17576:19005:-1 gene:CCACVL1_01603 transcript:OMP06371 gene_biotype:protein_coding transcript_biotype:protein_coding description:exportin-T-like protein EIRELQELQKTLYTFLHVITTHDLSSVFLSPKSRGYLNSIMQLLLHTSCHHKDILTRKACVQIFIRLIKDWSASPFGEEKVPGFRSFMIETFATNCCLYSVLDKSFEFTDANTLILFGEIVLAQKVMYEKFGDDFLVHFVSKGFPSAHCPQNLAEQYCQKLK >OMP03110 pep supercontig:CCACVL1_1.0:contig06148:5562:6488:1 gene:CCACVL1_02557 transcript:OMP03110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNPTPPKDRLPLPAKKLIETLESQLSIAYPDTGLEESQEVRQKPILRSVVGLIVASAQQIPHLGRPIQAILRNWLHLNHTDIPRGQAAARLLEDRAILKQLYSRGLANKYPPVLCLQTTDQDIKMMEAGHGITEVVVEGIKDYIGLLDTVSTSKVWLSANFHVGRASAYASAHIIQVMPDNSGVNFPNIECLDYAQFCLQQRPSPLHPLRVLILNARGANNPEFILTFVELTYDYNPDVFIVTEKRPTGEENLRARNSMGYTGYVSVDAIGYFGGLWFLWDQRKLRFRLISRSRNSITLDITFLNRT >OMP03109 pep supercontig:CCACVL1_1.0:contig06148:660:2813:1 gene:CCACVL1_02555 transcript:OMP03109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLQDVLETSFYCYKHKEQTPQITRTWEVSFEESELNFKVFKYTSVQGLGDAIKEVGNKSMVGESSQVALETTIEDLALQTPLKAPTTAAAVQDPAASPDESTASPMPTNDNEPCSVSEKEISSTEKTPAKRNKGSPAKKLKSRHNGQT >OMO70269 pep supercontig:CCACVL1_1.0:contig11848:28417:33280:-1 gene:CCACVL1_19034 transcript:OMO70269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGRGRRMAEISLCRVYKRAGVEDHPSLPRCLPTRPSARGGQQSDKKYNPQEAAHQQAMERTFQAFGGQSSSHSQQMEIEKLSHETTDGSSSTSTSDVTTALGLSKQNNPQTVYRPMAPPPINTTLGLPIMEEDQSMFLNQSKQALVPPNCTTLFSIGSSSVSSNVVDDLHRLQLSLNMLPGSLPTAFSDRLWEWNPIPEANREFNNPFK >OMO70266 pep supercontig:CCACVL1_1.0:contig11848:11751:16241:1 gene:CCACVL1_19031 transcript:OMO70266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSHLLESFTLPAILYVLVLPLVSCSSHGEISEGSSHKNIKENVHKLSPQMTNYVAIHGLLLWVSMGFLMPVGILTIRMANKEEGGRRVKVLFYLHAILQILAVLLATVGAVMSIKNFENSFNNNHQRLGLALYGAIWMQALIGFFRPPSKTFADCSQMASHDHHHHDHDHDHDHDHHHHHHDHDHDHSHNTSATSWVGADGRVYHSHDGLAPHSHEPIYSPGFFSRRAQPLVNRDFNERAFTVGIGGPVGTGKTALMLGLCQLLREKYSLAAVTNDIFTKEDGEFLVKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSRLYKTDILLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLGVMERDALRMRDGGPFVFAQVKHGHGVEEIVNHIIQAWEAATGNKRK >OMO70268 pep supercontig:CCACVL1_1.0:contig11848:22943:24390:1 gene:CCACVL1_19033 transcript:OMO70268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTALGVPRSWRHLNLLPTLMIIGRPNVGKSALFNRRREALVFNTPDDHVPRDIREGLAKLGALWFKVLDSAGFETEATSGSILSRTASMTANVLARTQFAIFLIDVRGSYSKTSSRRKPLSGCDCEQDGSSEGEKKFCNILKVQGSSSSRNSDGYAPGVWYTCCVHFSTRGKGPDSCYASSQRYIREKWCLRLSTARLNRWLRKLCDE >OMO70267 pep supercontig:CCACVL1_1.0:contig11848:17233:20792:-1 gene:CCACVL1_19032 transcript:OMO70267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MEGIPHPIPRTVEEVFNDFKGRRAGLIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFHQINELPTIFEVVTGIAKQPKDHSANHNSSGKSKSNSKSRQSEPPSKMVKMSPPAKEEEESGEDDEEDDEQGATCGACGESYGADEFWICCDICERWFHGKCVKITPAKAEHIKQYKCPSCSSKRARV >OMO70265 pep supercontig:CCACVL1_1.0:contig11848:6564:8122:1 gene:CCACVL1_19030 transcript:OMO70265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18a MVTFRFHQYQVVGRALPTENDDHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATIPAKLCKRDSTKQFHNSKIKFPLVFKKVRPPTRKLKTTFKATRPNLFM >OMP12278 pep supercontig:CCACVL1_1.0:contig00187:942:1022:1 gene:CCACVL1_00056 transcript:OMP12278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NTLLLHSNSAAYAFALQITQAMIIHS >OMO99231 pep supercontig:CCACVL1_1.0:contig06929:24867:26427:-1 gene:CCACVL1_03882 transcript:OMO99231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein, MAP65/Ase1/PRC1 DENRYNAGRGVHRSMKRAEKINILVSKLPSIFESLIAKVKPWELQKGNPFLYDKVS >OMO83098 pep supercontig:CCACVL1_1.0:contig09942:12099:14205:-1 gene:CCACVL1_11565 transcript:OMO83098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MLGQEFTVDLDKPLVFQVGYLGETYQEWVHQPIASKEGPRFFESDFCEFLTRTVWWAIPTIWLPVVFWCISMSVRMGHTLPEIALMVSFGIFVWTLLEYILHRFLFHIKTTSYWGNTIHYLLHGYHHKHPMDGLRLVFPPAATAVLCIPFWNLSKFLNTPSTAPALFGGGLLGYVMYDVTHYYLHHGQPTKSVPKSLKKYHLNHHFRIQNKGFGVTSLFWDRVFGTLPQTKAAEMTR >OMO83096 pep supercontig:CCACVL1_1.0:contig09942:1168:3207:-1 gene:CCACVL1_11563 transcript:OMO83096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MVAQEFTVDLDKPLVFQVGHLGEAYQEWVHQPIVTKEGPRFFESDFWEFLTLTAWWAIPTIWLPVVFWCISMSVRMGHTLPEIALMVGFGIFVWTLLEYTLHRFLFHIKTKSYWGNTAHYLLHGCHHKHPMDSLRLVFPPAATAVLCIPFWNLIKFLATPSTTPALFGGGLLGYVMYDVTHYYLHHAQPTKSVPKSLKYVALDGSLVGIASHGYGLLIYGLSCLCK >OMO83097 pep supercontig:CCACVL1_1.0:contig09942:10434:11090:1 gene:CCACVL1_11564 transcript:OMO83097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSDYEASCDVWAIDSQGDDDESVPNPLFEIDITVRLTLAFEEEDNDEQPFFIHPETVVVEKTERVRVDQLLDNDNDNGKNSVIREMLLSMGVPVQDFMVERILGCSHDMATDKLYMNRKVLRMGVQIDAIVDEIPEEEDEEDDDDESSSSEKGAMVVDLMNKVVIEKPNVGCSICLEDFVVGTEAIVMRCSHRFHHVCIIPWITKKTLCPLCRSEFTA >OMO83099 pep supercontig:CCACVL1_1.0:contig09942:15427:15800:-1 gene:CCACVL1_11566 transcript:OMO83099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASPSPSKQNKVSNWLHMFASSIFASIAKVSINS >OMO64244 pep supercontig:CCACVL1_1.0:contig12845:109609:110076:-1 gene:CCACVL1_21915 transcript:OMO64244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MSVRYRAVTSAYYRGALGAMVVYDVTKRQSFDHVARWVEELRAHADNSIVIMLIGNKADLVELRAVPTEDALEFAEDQGLFFAETSALNGDNVDKAFFQLLDEIYGVICKKTLECRNGKSNGVDHARSLKGSKIDVIGGSDLEISEMKKLSTCSC >OMO64241 pep supercontig:CCACVL1_1.0:contig12845:99816:100001:-1 gene:CCACVL1_21912 transcript:OMO64241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAQNMVLLCCCYLHLVAQPTFKFQPKRWRVGEHIESYELSSIVIAMSITREEYKTVGP >OMO64253 pep supercontig:CCACVL1_1.0:contig12845:151868:154851:-1 gene:CCACVL1_21924 transcript:OMO64253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLRDRAVFGVMNCELGSLPRNDAVVVAVLECRFRSRLAFDSFSIASEAFFEQYHHQQQRRRMNSGSGLVMPANDRTLQPSAAGHDYLERDPTGRYVRLDEILGRGAFKTVYRGFDEVDGIEVAWNQVKIDDVLRSAEDLEKLYSEVHLLRSLKNENIIKFYNSWVDDKNKTINMITELFTSGSLRIYRKKHKNVDMKAIKNWARQILRGLVYLHSHVPPIIHRDLKCDNVFVNGNHGEIKIGDLGLATVMQQPTARSVIGTPEFMAPELYEEEYNELIDVYSFGMCMLEMVTLEYPYSECKNPAQIYKKVVSGIKPASLAMVSDPETREFIEKCLVPASERLTAKELLEDPFLKVENPKERIRAPLQIPIPSSKSMNVPLNSPRSGPLSMDIDSDYKQLPISTCMESNSENPEHPVLEFQRMHKNNEFRLRGKKDDDNSVSLTLRISDSSCRVRNIHFLFYLDADTALSVAAEMVEQLELTDHDVAFIAKFIDYLIMRLLPGWTPSSDYPSSGTASPCGEFSVSGNCETAMVRSWEALMTSDPPVGFSNDTGPTTSLQGGVVQSQDGPGYEYHFSLEDQESQASAISDILVDETSTKNARSSEPADSNINGISKDLGGCISEQDLQDTYHNDCNSRNDDSGAKECTPVDEFLKTSGFSFPNLIQVSTPMSLPSSCSSLPAVDKDLDAELKLELDAIEAQYQHWFEELSRMREEALDTTKKRWMAKKNVGQ >OMO64246 pep supercontig:CCACVL1_1.0:contig12845:115597:117222:1 gene:CCACVL1_21917 transcript:OMO64246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHRTQLHHRLGIGILKPIITVRFLSTVDLSNVDPSSPLLKYYTVTPPIKPWPHRLYPKRLVSMITRQQNLDLALQIFLYAGKFHPNFSHNYDTYHSIIHKLSRARAFEPMESLLSQLQHSQIKCGENLFISVIRNYGLASRPKLAVKTFLRMEDFNVQRSVRSLNTLLNALVQNKRYDLVHIMFKDSRTKYNVVPNVFTGNILIKALCQKNDVETAYKVLDEMPSMGMVPNVVTYTTILGGYASRGDMGNARRVFGELLDRGWVPDAMTYTVLMDGYCRLGKLNEAVKVMDEMEENGVDPNEVTYGVMIEAFCKEKKSGEALNLFDDMLGRKYIPSSTLCCKVIDVLCEEGKVEEGCYLWKKMLKNDCLPDNAILSTLIHWLCKKGEVLEARKLFDEFEKGSVPSLLTYNTLIHGLCEKGELSEAGKFWDNMVENGCNPNVFTYNILIKGFCKIGNAIEGIRILEEMLDKGCFPNIVTYTVLTEGLQAMGKEGEVLKVVSMAISSGRVDRSSWDLFLTKFVGKLDNGVDVLDQLLLESAT >OMO64251 pep supercontig:CCACVL1_1.0:contig12845:148377:148830:-1 gene:CCACVL1_21922 transcript:OMO64251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee-like protein MEEWVIGPRLYNCYKCGNMICRHDDIVSKTFQEDRRLITGLHTVADVYCCDCGERLGWRYIKAYEEMYKYKEGKIVLEKFKLANENNW >OMO64247 pep supercontig:CCACVL1_1.0:contig12845:120792:125561:1 gene:CCACVL1_21918 transcript:OMO64247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAIDILTSASFVPASEILSQTVEAILETVYATNNVLIKKDSFKELSTYLERIVPVLKELNKNYSSNSKSLNNVIEILYREIKAAKQLTLECSTKSKVYLLMNSRGIVRRLENATTEISRALSLLPLTSLELSSSIVAEIGNLCESMQQAEFKSAVAEEEILQKIETGIQERNADRSYANNLLVLIAEAVGIPTERSTLKREFEDFKNEIENVRLRKDKAEAIQMDQIIALLGRADAASSPKEKEIKYFTKRKSLGSQPLEPLQSFYCPITRDVMEDPVETSSGQTFERGAIEKWFTEGNNLCPLTMTPLDTSILRPNKTLRQSIEEWKDRNTMITIASIKPNLSSEDEEEVLHCLGQLKDLCERRDLHREWVILENYIPVLIQLLGGKNRDIRNRVLVLLYILTKDSDDAKDRVAKVDNAIEFIVRSLGRRIDERRLAVTLLLELSKYDLLRDSIGKVQGCILLLVTMANGDDNQAARDAEEILENLSFSDQNIIQMARANYFKHLLQRLSAGPEDAKLIMATTVAEMELTDHNKVVLLEGGVLDPLLHCVSHAGIPMKSIAVKALRNLSSVPKNGLQMIKKGAARPLLDLLLLRLSSPALREQVAATIMHLAESTISQESSEMSVSLLESDEDIFMLFSLINLTGPEVQQYILRIFQALCLSPSATHIKTKLAQCSAIQVLVQLCERDVENVRPNAVKLFCCLVYDGDEATILEHVNQKCLETLLGIIQSSNDEEEVASAVGIISGLPENAQITQWLVDAGAIPIIFNFLGKGRHTDSHRSQLVENAVGAICRFTSPTNLEWQKRAAEAGVIPVLVHLLDSGTTITKNHAATSLSRFSSSSVKLSRPIPKRKGFWCLSAPPETGCPVHGGICSIESSFCLVEADAVRPLVRVLEETGPGVCEASLDALLTLIEAERLQSGSKVLAEANAITPMIKFLSSPSLRLQEKALHALERIFRLPEFKQKYGPSAQMPLVDLTQRGNSSMKSLSARILAHLNVLHDQSSYF >OMO64249 pep supercontig:CCACVL1_1.0:contig12845:142063:144412:1 gene:CCACVL1_21920 transcript:OMO64249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIFVGNFEYETRQSELERLFSKYGRIERVDMKSGFAFVYFEDDRDAQDAIRGLDNMPFGYDRRRLSVEWAKGERGRHRDDSKSTTNQRPTKTLFVINFDPSRTRERDILRHFEPYGKVLHVRIRRNFAFVQFATQDDAAKALEATQRSKLLDRVVSVEYALRDDDERDDRRDSPRRGGYGRGGDSPYGRSPSPGFRRRPSPDYGRARSPAYDRYNGAAYERRRSPDYGRHRSPEHGRYRSRSPARRSRT >OMO64260 pep supercontig:CCACVL1_1.0:contig12845:205508:208430:1 gene:CCACVL1_21931 transcript:OMO64260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxamine 5'-phosphate oxidase MGTATAPWKQLLLNALESNSRLKHSSYFQLATVGSNGRPSNRTVVFRGFIENSDKLQINTDNRTHKIEELKHCPFAEICWYFTDSWEQFRISGKIDVIDGSNPDTSKLQQREKSWFASSVRSRLQYLGPDPGLPCISEHPNQDIALDPSTGPVAAFCLLVLDPDQVVNLFVSDFPLEGSSENDAAVC >OMO64242 pep supercontig:CCACVL1_1.0:contig12845:105316:106752:1 gene:CCACVL1_21913 transcript:OMO64242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILYNPFFLLLIAFVSLFLFRVVLIKTGLIHIVKKKWRFIEDCFHVYQFFKVPEFNESMQRNQLYQKVFVYLNSLTSIEDSDFTNLFTGKKPNEIVLRLDPNQVLEDDFLGAKIFWINEDKTLVLKIRKADKRRVLRPYLQHIHTVFDELNEKKRDLKLYMNVLDDHDGQNGRWRSVPFTHPSTFETIAMESDLKNKVKSDLDSFLKAKQYYNRLGRVWKRSYLLYGPSGTGKSSFVAAMANFLNYDVYDIDLSKVSDDSELKFLLLQSTAKSVIVIEDLDRYLSEKSTAVSLSGILNFMDGILSSCCGEERVMVFTMNGKDHVDPAILRPGRIDVHIHFPLCDFTAFKTLANSYLGLKDHKLFPQVEEIFQNGASLSPAEIGELMIANRNSPSRALKSVINALHTDGDGRGALNIGRRLSDNGSRKSVEEIGEPSGVFCKEGGHAVKEFKKLYGLLRIKSNRKSPSFDLSSGQKDS >OMO64232 pep supercontig:CCACVL1_1.0:contig12845:29064:36755:-1 gene:CCACVL1_21903 transcript:OMO64232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGSGEKSLRNFHLHLPHLHGHHHHHHSHHHGKKQAKDVPKGCLAIKVGSRGEEQQRFVVPVIYFNHPLFMQLLKEAEEEYGFDQKGTITIPCHVEEFRNVRGLIDREKSLHNHHHSDRKKEKKPVEDEPVEEKPAEQPPPAQPPQEFNREGKEPRRQGEKGQTQKRKSQRRQKEAKRQWWPAAAALSGY >OMO64245 pep supercontig:CCACVL1_1.0:contig12845:113901:114638:1 gene:CCACVL1_21916 transcript:OMO64245 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, OSCP/delta subunit MDTLSSSVSTLKVPNFNSTTRDFFGHLKTPTGHRHLPSLSPRHSFSGAAKTTNLSSNKPANSFTAHPKDLTTNSPFFCFPQASPVNAPYPYAHRKPASGYAAALLDIAQSKSSLDSVQKDVRKLSKLLRNDQIQAFLSDPFVGDKEKGKAIKELARKGELNKHVVILMKMLIERNRLEMVSEVLEEFKRIYEELSETEEVWISSEKKIGEDRLLRIASRVQQLSGAVKVKIRNLVVKDKLPAFVV >OMO64264 pep supercontig:CCACVL1_1.0:contig12845:225546:227595:1 gene:CCACVL1_21936 transcript:OMO64264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MPGLVSVKTPPNATPMRILITGTENGSGSSSKRTPSPSPSVLRPKLSRRTPDKTQNDESSLDNPDLGPFLLKLARDTISSGDNPNKALDYAIRASKSFERCSGPGLELAMSLHVLAAIYSSLGRYEESLPVLERSIEVTNVGSEADHALAKFSGYMQLGDTYSMMGQLDQSIKCYQSGLRIQIEALGDSDRRVAETCRYLAEAYVQAMQFDEAEKLCKKTLEIHREQSAPASLEEAADRRLMALVCEAKGDYDSALEHLVLASMSMIANGQENEVAAIDVSIGNIYLSICRFDEAIFAYQKALTVFKSIKGENHPSVASVFIRLADLYYKIGKLRESKSYCENALRIYAKPVPGTTSEEIASGLTEVSAIYEALDEPEEALKLLQKAIRLLEDTPGNRSTIAGIEAQRGVMFYMVGRYGEARSCFESAVLKLRASGESKSGFFGIVLNQMGLASLQLYRIDEAVELFEEARKILEQECGSCHLETLGVYSNLAATYDAMGRAEDAIEILEYILKMREEKLGTANPEVDDERKRLAELLKEAGRKRNKQGKSLENLLGSNSYNRPKKESAKRRSTFGFRY >OMO64254 pep supercontig:CCACVL1_1.0:contig12845:156013:160302:1 gene:CCACVL1_21925 transcript:OMO64254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKRESKFSRTKRKADLTTILRKSWYHLRLSVRHPTRVPTWDAIVLTAASPEQAQLYDWQLKRAKRMGRIASSTVTLAVPDPHGQRIGSGAATLNAILALAQHYEKVAPFQNGASGINGNDVVSLMGNKHLLLLHAGGDSKRVPWANPMGKVFLPLPYLAADDPDGPVPLLFDHILAIASCARQAFKNEGGLFTMTGDVLPCFDASTLILPQDASSIITVPITLDIAANHGVIVASKTEIVGESYTVSLVDNLLQKPSVEELVKNQAILDDGRALLDTGIIAVRGNAWVELARLACSCQPLISELLNSKKEMSLYEDLVAAWVPAKHDWLRQRPLGEALVSKLGKQKMFSYCAYDLLFLHFGTSSEVLDHLSAADSALVGRRHLCSIPATTVSDIAASAVVLSSKIQDGVSIGEDSLIYDSNISGGIQIGSQSIVVGINIPEDSNRMTDNSVKFMLPDRHCLWEVPLVGRTERVIVFCGIHDNPKNSLAKDGTFCGKPWEKVMHDLGIQENDLWSSTANQEKCLWNAKLFPILSYFEMLSLGMWLMGLSDGKNQDFLPLWRDSQRVSLEELHRSIDFSKMCIGSSNHQAELAAGIAKACINYGMLGRNLSQLCEEILQKEISGVKTCKDFLALCPSLIQQNSKILPKSRAYQVQVDLLRVCGEETKASELEHKVWAAVADETASAVRYGFGEHLLDSSVGKSALACGNNNHEGSMDLSFCPRMVKVELPVRVDFVGGWSDTPPWSLERAGCVLNMAVSLGGSLPIGTIVETTNSSGVFITDDSGYELHIEDLNSITPPFDGNDPFRLVKSALLVTGIIHNNILVSNGLRIRTWANVPRGSGLGTSSILAAAVVKGILQIIDGDDSNENVARLVLVLEQLMGTGGGWQDQIGGLYPGIKFTASYPGIPLRLQVFPLKASPQLISELEQRLLVVFTGQVRLAHQVLEKVVLRYLRRDNLLVSSIKRLTELAKIGREALMNFDVDKLGEIMLEAWRLHQELDPYCSNEYVDKLFAFADPYCSGYKLVGAGGGGFALLLAKDGTCAKELRNKLEENPDFDSVIYNWSVY >OMO64237 pep supercontig:CCACVL1_1.0:contig12845:85968:88478:-1 gene:CCACVL1_21908 transcript:OMO64237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFNWVQRRFHNNVLKDGLARNVKKTDSIAIDTNTKALLEQVALVDVLDNWRDGILTIGTFGFDPLKSFNEKKDDESMESEKEEDDEEEEQYSVNNEEDDDDNSSSDEEVNPLMFSTLEHSFEMDFDSEIGKLTDVIMMDDGVAEGSIHDNEIKFDFDATDQGHSGKLRRRTTLADLFSEDSDIKKKPCSDTLEFHSKFSCKKPSLRTKNGLSFAKKLIPQVGEDSRPMKKLHQMMRRMLKRKIHPELEGKSNKFEGQLQAKSSLKDVLSASKKYEASESVSLLQTQIPDATSV >OMO64235 pep supercontig:CCACVL1_1.0:contig12845:69286:71176:-1 gene:CCACVL1_21906 transcript:OMO64235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MRSDIESVWVFALASKCRAFSQENIACSIFIIALAWFLMTLLYWAHPGGPAWGKYRFKNGSLFCVSKKQIPGPRGLPLLGSMNLMASSLAHHRIAAAAETCRAKRLMAFSLGDTRVIVTCNPDVAREILNSSVFADRPVKESAYSLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQIKGAEDQRRAIAGEMVTLFGHHNNHQSFRVREVLKRASLNNMMCSIFGQKYNLDSINEEVEELRALVDEGYDLLGTLNWSDHLGWLADFDPQKIRVRCSKLVPKVNRFVSQIISQHRAQTNIKTHDFVDVLLSLQGADKLSDSDMIAVLWEMIFRGTDTVAVLIEWILARIVLHPDVQSRVHDELDKVVGRSRAVDESDLMNMVYLPALIKEVLRLHPPGPLLSWARLAITDTTIDGYHVPKGTTAMVNMWAISRDPQEWADPLEFMPDRFVTKEGEVEFSVLGSDLRLAPFGSGRRTCPGKNLGLTTVSFWVATLLHEFEWLPSDQNTVDLSEVLRLSCEMANPLCVKVRPRLTIGLSH >OMO64243 pep supercontig:CCACVL1_1.0:contig12845:107217:107324:-1 gene:CCACVL1_21914 transcript:OMO64243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDEDSEQLSKKMVAKANSSEGWKQGKFWDKIKL >OMO64261 pep supercontig:CCACVL1_1.0:contig12845:215498:215650:1 gene:CCACVL1_21932 transcript:OMO64261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGCLAFPVRKQGKVQFPRNGILKEKRARLYIIQRCVLMLICWRDPEDK >OMO64259 pep supercontig:CCACVL1_1.0:contig12845:190352:194672:1 gene:CCACVL1_21930 transcript:OMO64259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSLSEEDDQFFDTLEDITSVSDSGSDCPENSDSEYVASGNSVPANIGFEIWIKNLSSIRERRDKFLKWMGLSVDQTVREGPSNNCCDEIEVETDRIMEKSGAVLGSSSFDDGFSSSQSSMSRWSDDARELLDGVLDDNFLCRIKNLDDGTEFIVDELSQDGMLRRLREVGSNHLLTVDEFERRLGMSPLVQKAMRREVKEVSISGMERKRGKGGWLRRLGAVACIVDRQVEAARMVSNNCSPNAEARIKMVRVRSYKKRSKEFSALYMGQDIQAHEGSILTMKFSPDGQYLASAGEDGIVRVWQVVESERSGSSDIHDVNPSHVYFTVNNFSELVPLHLDKEKKGKSKSVKKLSDSTCVIFPQKVFRILDKPIHEFHGHSGEVLDLSWSKNKHILSSSVDKTVRLWQVGFDECLKVFSHNNYVTCVQFNPMDDDYFISGSIDGKVRIWAIPGCQVVDWTDVTDIVTAVCYRPDGKGAIVGSMNGICRFYDASENRLQLTAQICLRGKKKSPCSRITGFQFSPGDPDKLMVTSADSQVRILHGVDVVCKFRGLRNAGSQISASFTSDGMHIVSASEDSNVYVWNYISQDGPVPLSKNSWSCERFFSNNASVAIPWCGMTSRNSIFSNMSGTSLSSKVSPSTWSCNEDTGVMQSELGESSQQKLPFSSSECFSLGQGFFSDSLPKGTATWPEEKLPPPNSLVVSSAMCKSQYKLLKTSCQGALGSSHAWGLVIVTAGWDGWIRSFQNYGLPIHL >OMO64239 pep supercontig:CCACVL1_1.0:contig12845:93994:95334:-1 gene:CCACVL1_21910 transcript:OMO64239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQPAPARPWFRLASIARPVPPQAPAPTPEPAPAPPRPAAAVRPTFRPGVQPGAAAPPAGAGVSSVPTSPVSTVGRASQPSSPATVGRASQPSSPADKKPTTSSVPNSPARTVQTTSPPKTGTTASLPSSPARTTGSVPSSPVRTAVATTASLPSSPAKPAVVTTASLPTSPAKTTSFAIPPTQTPAAAVTTATTRVRSPTASVTTVKPAAQTPIQSPKTKPPTAPPPSPLLLPPSQLKAQAEVEPKIPAEAEQKTVLVQTRLDKPKPEPRLLHAQKDYGHDALKHGKTSSKEGETKEKGHHGKKIFSDSEDSGMRVITIAGENKGAVMELISSPQRNGFQGGGNLREQRRANFARTGSDASDYHSYSSSSSSEEGRDRKSRDKSKTSKTMPMNAFMNSNVQGVNNSIVFNSSCTHHDPGVHLSLHRKPFGGGFHVKERTNGYSS >OMO64262 pep supercontig:CCACVL1_1.0:contig12845:217355:217636:-1 gene:CCACVL1_21933 transcript:OMO64262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSCSFISCDKLDRMATWVGTNMASAFFASLERCSCINLNTIDFDDEEEAKDRPLMLTKPVVHDEPSLNELITEPAAQTQTQTHPTANNLDA >OMO64248 pep supercontig:CCACVL1_1.0:contig12845:128478:132304:-1 gene:CCACVL1_21919 transcript:OMO64248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MEERPFPAWSRSVEECLKEYNVELDYGLCSHEVAKMRERYGWNELVKEKGKPLWRLVLEQFDDMLVKILLVAAFISFILAYMHGSDSEESGLEAYVEPFVIVLILVLNAIVGVWQETNAEKALEALKEMQCESGKVLRDGVLEPNLPARELVPGDIVELQVGDKVPADMRIAALKTSTLRLEQSALTGEAMPVLKCASSVFPEECELQAKENMVFAGTTAVNGSCVCIVVCTGMNTEIGKIQKQIHEASLEESDTPLKKKLDEFGSRLTTAIGLICLIVWLINYKNFLSWDMVDGRPANIRFSFEKCTYYFKIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAEFFTLGGKTTTSRIFRVEGTTYDPKDGGIVDWNCYNMDPNLEVLAEICAVCNDAGIYCDGRLFRATGLPTEAALKVLVEKMGVPDAKMRTKIRDTQLIANYLVDGSTAKLGCCEWWTKRTKRVATLEFDRVRKSMSIIAREPTGNNRLLVKGALENLLERSARVQLADGSLVPMDEPCKQLLLSTLSDMSSKGLRCLGLAYKDELGEFSDYDSESHPAHKKLLDPDCYSSIESDLIFVGVVGIRDPPRDEVHTAIEDCKGAGIKVTVITGDNKSTAEAICREIKLFSDKEDLRGKSFTGKEFMALSPSQQIETLSKPGGKVAKEASDMVLADDNFSTIVSAVAEGRSIYNNMKAFIRYMISSNVGEVISIFLTAALGLPECMIPVQLLWVNLVTDGPPATALGFNPPDVGIMRKPPRRSDDALINSWVLFRYLIIGSYVGIATVGIFILWYTQASFMGINLVSDGHTLVELSQLRNWGECSTWSNFSVAPYRVGGGHLITFSDPCDYFTIGKVKAMTLSLSVLVAIEMFNSLNALSEDSSLLTMPPWRNPWLLVAMSVSFGLHCLILYVPFLADMFGVVPLSLNEWVLVILVSLPVILIDEILKFVGRSQRYRVKKEKTA >OMO64252 pep supercontig:CCACVL1_1.0:contig12845:149804:151199:1 gene:CCACVL1_21923 transcript:OMO64252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, TFIIS-type MEFCPTCGNMLQYELPHMGRPSRFFCPSCPYVCHLESKVKIKRRQRLVKKEIEPVFNKDDMKIGGSETDATCPSCGHGRALFTQVQIRSADEPATTFYQCMKCERMWRED >OMO64255 pep supercontig:CCACVL1_1.0:contig12845:161110:163441:1 gene:CCACVL1_21926 transcript:OMO64255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLTNRVERNEIKPGDHIYTYRAVFTYSHHGIFVGGSKVVHFRPERNLNSSSETSSDLYDTTSLGSCPIFPDCGFRQPNSGVVLSCLDCFLRNGSLYCFEYGVTPSVFLAKVRGGTCTTAVSDPPEAVIHRAMYLLQNGFGNYDIFQNNCEDFAMYCKTGLLIMDKQGIGRSGQASSAIGAPLAALISSPLKLLMPSPIGVATVTAGMYCMSRYATDIGVRSDVIKVAVEDLAVNLGWAGRHDQAAEENEASNRQLVTL >OMO64265 pep supercontig:CCACVL1_1.0:contig12845:228855:230094:1 gene:CCACVL1_21937 transcript:OMO64265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEAQARSRTHQPCAACRMLRRRCDSNCVLAPYFPGDEIDKFAGVHKVFGASNVIKMIQMVEEKNREDAVKALVYEATARIRDPVYGSSRAIFQLQKMVQELRMQLESTKAQVLELQQQKDQLLGILLNVNHLDLLSPVDGASLSLDYDDSMANDPIKFPVQYGLTILAHEAAYGVAIYSDPSERIAHMIEEDWIGIDILRL >OMO64238 pep supercontig:CCACVL1_1.0:contig12845:91037:91929:1 gene:CCACVL1_21909 transcript:OMO64238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCRHTALRRKENQKLKRVCTVK >OMO64233 pep supercontig:CCACVL1_1.0:contig12845:53492:54267:1 gene:CCACVL1_21904 transcript:OMO64233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPFSSMNEVTTKKKKNKNKRRFSDEQIKSLESMFESESRLEPRKKLQVARELGLQPRQVAIWFQNKRARWKSKQLERDYSILQSNYNNLASKFESLKKEKQALVIQLQKLNDMLKKPKEEGECCGQVTATNSIEGDSEKGEGVNKSDSMERSEHALGVLSDDDSGIKTDYFGLEEEPNLITSMVDVEPADGSLTSSEDWHGFDSDGLFDHSSSDYQWWDFWS >OMO64230 pep supercontig:CCACVL1_1.0:contig12845:1404:5114:1 gene:CCACVL1_21901 transcript:OMO64230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTPRRCYWRPFQPPSLAKHIKALLKQLCGEPKLGSISKDGGSELLEALDKNFGYRKNFRAKYRLVQKLGIGYSGHVFSARGKKGELKDQPVAVKIISKSRLKFATHIEDLQLEVKILKSVSGHKHLLRFYDAFEDDKNVYIVMELCEGGDLSDRIYERGGSFTEEETKFIVKQMLSVVSFCHLQGIVHCDLKPENIMFTSGGDDAEVKLIDFGVSGIIKLDTGSGICELVKRSDPKFDDEPWPSVSPEAKDFVKRLLCKNEYKRMTAAEALGHPWLSDESQPVPLDFLIYKFVGIYLTSTPLRCAAQKALSKVLTEDELVYLRAQFRLLEPNQDGSVSLENFEMAVARYATDIMDDESWAPKILSMMGSLADRKMYFEEFCSAAIHIIHLEADEGWEQTVSTAFEHFEQEGNCVISYEEFCKELYISGPEALSSVSKIVSETLMASSI >OMO64231 pep supercontig:CCACVL1_1.0:contig12845:28461:28577:-1 gene:CCACVL1_21902 transcript:OMO64231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVRGGGSRVETRDGNVGGLSASTSTELPINVSVAAA >OMO64257 pep supercontig:CCACVL1_1.0:contig12845:170800:172976:1 gene:CCACVL1_21928 transcript:OMO64257 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORMDL family protein MANYYVKAVPPADLNRNTEWFMYPGVWTTYILILFFSWLIVLSIFGCSPGMAWTIVNLSHFLVTYHFFHWKKGTPFAEDQGIYNGLTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYQHPMLFLNTLAVIVLVIAKFPNMHKVRIFGINADK >OMO64234 pep supercontig:CCACVL1_1.0:contig12845:57200:62372:1 gene:CCACVL1_21905 transcript:OMO64234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLSNAAVKEQQSGFSCKPIPSLALYILLPLFFIGLSVSIFILVAVHNAAFLLCFLLLSALVASFLAWNALNWRHHNKAAFTYFLNSFPDSDLRLAREGQLVKITGVASCGSLSLETSYERVGRCIYTSTLLYEYGQFGLKPVDVNRSWYQWNLAYCERLSTDFYITDQKSGIRAVVKAGSGCEVIPLIIESKLVTTTKQCKTLSPHFTNWLKDRNLSAEARLLRLEEGYLQEGSTVTVIGMLHKSNDILMIVQPPEVYDVTPFLEDHPGGDEVLLAAAGKDATDDFEDVGHSFDARAIMEKYLVGEIDSSTVPEVKKFKQQQASTVTSYKGDEPSLLIKILQFLVPLLILGFAFGLQYLGKKEKAEE >OMO64240 pep supercontig:CCACVL1_1.0:contig12845:97480:99040:-1 gene:CCACVL1_21911 transcript:OMO64240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLSLLKLPILPQKPHHTQSKLPIPTKQIFSNDSINPPKLFSETIHHLKSASLPLTTLALPFFLDTKDALAVNGEFGILEGRSFALIHPIVMGGLFLYTLWAGYLGWQWRRVRTIQNEINELKKQVKPAAVTPEGKPVEAPPSPVELKIQQLSEERKELLKGSFRDRHFNAGSILLGFGVLEAIGGGVNTWFRTGKLFPGPHLFAGAGITVLWAAAAALVPAMQKGNETARSLHIALNTINVLLFIWQIPTGIDIVFKVFEFTKWP >OMO64258 pep supercontig:CCACVL1_1.0:contig12845:176314:177760:-1 gene:CCACVL1_21929 transcript:OMO64258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MDAAKWSQGFQDIGLVKPMEEMVSNTCTARPMLERKTRPPEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSFSSSSSSSSSSAQAKVPDLNPKSSHKGQDLNLAFPVAMQDHQSHHGLSQFIQMPKMENNNNNNIDQQQNPNYIASNSCNNNNTCSTPPLSALELLRTGMASRGLNSFINPAPSSVPESAALYSTGFSMQDYKPATLSFSIDGMGNRGIHGVQENNGGRLFFPFGEMKPISSSNEVDHQNNKGSQQGNSAGYWNNNGVLGGGSW >OMO64236 pep supercontig:CCACVL1_1.0:contig12845:78079:84201:-1 gene:CCACVL1_21907 transcript:OMO64236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVGQKQRNGNGKCNPSDCNMA >OMO64256 pep supercontig:CCACVL1_1.0:contig12845:164289:166238:-1 gene:CCACVL1_21927 transcript:OMO64256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MAKEEASGKLEWRINVPDGTWKNLEPESGIVVKFWLRFKCLILGGLISRVVGFWEKVWDIGVGEPRKVIHCIKVGLALCIVSFFYYMRPLYDDFGGNAMWAVMTVVVVFEYTVGATLSKCINRAVATFLAGALGVGIHWVADHSGNEMKPIILGISVFLFASAATFSRFIPTVKARFDYGALIFILTFSLVSVSGFRVVELLELAQQRLSTIAIGTSLCIFVTMLFCPVWAGCELHRLIHQNMEKLADSLDACVVEYFKDSGNLSEEDLNKKIQGYKCVLNSKATEESLSNFARWEPTHGRFNFGHPWKQYLKLGAALRTCAYCIETLNSCIGSEIQAPPCIRENLGDKCLKVSSYSTKVLKELAITIKKMQKSSKTDFRVAEMNFAVKELRDALKSLPSHVIAPLSIPTIEQPPEGKAGPISIATVPPIMKVLPLVTAVSLLIEIAARIGGIVDAVEELASLAEFEPAKEQKPKQNQPTNVSTPKV >OMO64250 pep supercontig:CCACVL1_1.0:contig12845:145055:145417:-1 gene:CCACVL1_21921 transcript:OMO64250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKGNNKARSEYEDLLPVMAEKLDVEAFVSELCGGFRLLADQETGLITPESLRRNSALLGMEGMEKEEAEGMVREGDLDGDGALNQTEFCILMVRLSPGMMEDAETWLQKAIDQELRKS >OMO64263 pep supercontig:CCACVL1_1.0:contig12845:222849:224434:-1 gene:CCACVL1_21935 transcript:OMO64263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETDALLLKNAQSHTLRKIIVFASLGIRHGCEDMYELDFNHFSILRKGDRYVRQRMGRNKLKSFGPLMCQMAMLVHVRSWSFFFKALGITLLFMAGFPDNFVQRETKYRNLDLLQKYHRTDEDAEGEELFLSHPIDFNTKLTGKTTSTKPKGKKRTNSSSKSQDSPNASVEQSAPSGSRNATQFGLMGLHLNTNSCNGSGSVQKTIADSSRHCTTLKPNGEECW >OMO50229 pep supercontig:CCACVL1_1.0:contig16252:10592:19714:1 gene:CCACVL1_30564 transcript:OMO50229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dak kinase MASQGKKLINNPNDVVTEFIEGLVETYPGLQYLDGFPEVKVVIRADVSGATYDKVAVISGGGSGHEPAHAGFVGEGMLTAAICGDVFASPPVDSILAGIRAVTGPMGCLLIVTNYTGDRLNFGLAAEQARSEGYKIETVIVGDDCALPPLRGIAGRRGLAGTILVNKVAGAAAAAGFSLTDVASEVKRASEMVGTMGVALSVCTLPGQVTSDRLGPGKMELGLGIHGEPGAAVADIQPVDVVVSHVLKQILSSETNYVPITRGNRVVLMINGLGGTPVMELVIAAGKTVPKLQLEFGLAVERVYTGSFMTSLDMAGFSISIMKADQTLLQRLDAPTKAPNWPVCSAGNRPPAKIPVPLPPARSTKSEESLSRPLQLNEQGLILEVAIEAAANAVMDMRDSLNDWDSKVGDGDCGSTMYRGAAAILDDMKKYYPLNDAAETVNEIGSSVRRAMGGTSGVLYTIFCKAAYAQLKANSDSTVTAKQWTEAFEAAISAVSKYGGASAGYRTLLDALIPALAVIKERLNAGDDPSTAFILSSEAALAGAESTKDMQAQAGRSSYVSAAILATVPDPGAMAAAAWYRAAALAVKDKYQAA >OMO84126 pep supercontig:CCACVL1_1.0:contig09789:29813:31271:-1 gene:CCACVL1_10986 transcript:OMO84126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESPIVNATTPTNSSNKTTQELAAEGLKHLEETIEAAFQILSSMNDELCNPALWSTTPSSTNPSTASTPNAAASNGPSPLSNGAVLQNGDSASDCGHHLEMGGIGGSGNGALDEARLRYKNSVASLRAVLAAIPNSQKAKAFETGSAASSPMEEADIDKLEDQASDLRKEVADKNEHLKLLIDQLRELITDISTWQSPCSI >OMO84127 pep supercontig:CCACVL1_1.0:contig09789:31720:32793:1 gene:CCACVL1_10987 transcript:OMO84127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTLIAIPSLNSLQPKSSSPQLPLTTPFTQSKFLDLGLEDGKIRPCPSTNPGCVSTNAKSSSFAFPWIIPENSTQNAVQELQEAILKTQKNAKIVVVEDTPTGKYLQAEVDGGFGRDVVEFLVKGDVVTYRSMAMKVTYIYPFTTAIGDSKGQKERLKKIIDQLGWFVPSLESME >OMO84125 pep supercontig:CCACVL1_1.0:contig09789:26369:28488:1 gene:CCACVL1_10985 transcript:OMO84125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoesterase MGRSKTNIFSFSFIFLLFLSVSCLFTPLGAQQQSPIKTIVVLVMENRSFDHMLGWMKKSINPAINGVTGDECNPVSTTNPHPESICFTDDAEFVDPDPGHSFEAVEQQVFGSASFPSMSGFVEQALSMSQNLSETVMKGFRPEAVPVYAALVKEFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKKQLAQGYPQKTIFDSLHENDKDFGIYFQNIPTTLFYRNMRKLKYIFKFHQFDLKFKKDARDGKLPSLTVIEPRYFDLKGLPANDDHPSHDVANGQKLVKEVYETLRASPQWNETLLVITYDEHGGFFDHVKTPYVNVPSPDGNTGPAPSFFKFDRLGVRVPTIMVSPWIKKGTVISGPKGPTPDSEFEHSSIPATIKKMFNLSSNFLTHRDAWAGTFEEVVGELTSPRTDCPVTLPDVAPLRTSEAKENAGLSEFQGEVVQLAAVLNGDHFLSSFPDEMSKKMSVKEAHEYVRGSVSRFIKASKEAIKLGAHESAIVDMRSSLTTRSSTHN >OMO84128 pep supercontig:CCACVL1_1.0:contig09789:34119:36880:1 gene:CCACVL1_10988 transcript:OMO84128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MWVQSCAGVGYLFGSISPVIKSVMGYNQRQIAILGVAKDLGDSIGFVAGSLFGPAIVVTALMFIIRPVGGHKQIRPSDGSSFLFTYSICLILAAYLLGVLVLEDLVGINQTLITVLGVVLIVLILIPVIIPILLVFTAKPISPPAEENLLPKSEIQEASSSEQDGNEVIILSEVEDEKPQELDSLPASERQRRISQLQAKLFQAAADGAVRVKRRRGPRRGEDFTLLQALIKADFWLMFISLVLAAGSGLTVIDNLGQICQSLGYSNTNIFVSMVSIFNFLGRVGGGYFSETIIRNYAFPRPVAMAIFQVVMAFGLLYYALGWPGQIYVTTVLTGVGYGAHWAIVPAAASELFGLKSFGALYNFLTLASPAGSLIFSEAIASGIYDYYAEKQASLR >OMO67795 pep supercontig:CCACVL1_1.0:contig12388:6034:10146:-1 gene:CCACVL1_20309 transcript:OMO67795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEDRYSDHFARIEEQCEPVLGQLQEILDIIGDDEKRTTVLSELEQEHLKVYTRKVDEAKECRTKLQGDIAIAKEEISNICASIGENQVHHDLEPGGNLKEELETIKTLLDDMRKRKVDRISEFAGVLDQIQKISNEIMGLKEQNGNKGLVDETNLSLSSLEESQRELSKLQDEKINGLKQVQGHLNTINSLCTVLGMDFKEKVCGIHPTLDDPNGAKDVSDNTIERLADQIESLEELKIKRMQKIQDLATALLELWHLMDTPVDEQEMFQNVEEEVSRLEQLKTSRMKEIVLNKKISKVRGSKEILEVEKWLAACKEESWLEEYNRDDIRYNAGRRAHLSLKRAARVVVNICTKLVTYAKEILEKVEKWLAACEEESWLEEYNRDDNRYNAGRGAHLSLKRVEKARVAVDKIPAMVEALTLKITAWEERGSEFLYDGVRLLSVLKAYNNQRLEKELERQRQIEIPGDVLMDILAKLPVKSLKRFSCVCKSWSSSFQTSYFVTQHYQNNLQNNNLNFLVHFYDNKSSSENSSPLSTEKVKDFSVEEKISDFRVIGFCNGIFCLRDFSRQGRDGLWNPSTREFKLIPPSTVESHSPRVVRSNWVGFGFDSVGDDYKVLRYVTSKHPLMVQFELYSLRGDSWREVASVPRLDARSLDLYHPEWGRCPFSILRCWCYVNGFHYWMVESLSDILSFDISNEKFSRLPWPMTFGVFERLQLLDFNGSLGLLVSTFLTELSFDLWVWNGSWSSFSGKRR >OMO67796 pep supercontig:CCACVL1_1.0:contig12388:15830:15952:1 gene:CCACVL1_20310 transcript:OMO67796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAKGVSGGDEVVGPGGVSSGKRLSEKESEGLRWRQRLG >OMO77458 pep supercontig:CCACVL1_1.0:contig10754:21706:22131:1 gene:CCACVL1_15006 transcript:OMO77458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTDFSDNNSGSRSLTTSFLLSSTSSDHQAKESSSNSSNISSYTTTAAGFQMNSNNNNNNSNMVENGGFSWDADSMFHQFHHDQVNGIIKSEELKPTCSWQEAAASGQLHNHNSVDFSSYPLTSLSEDLTGANFDVFHQI >OMO77459 pep supercontig:CCACVL1_1.0:contig10754:22982:23170:-1 gene:CCACVL1_15007 transcript:OMO77459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDGRGDSKFSPLPSLAMSLSNNREASKPICAFWRDSDECMGGLWEEPGQLQELGIIYIYS >OMO99273 pep supercontig:CCACVL1_1.0:contig06920:10877:12299:-1 gene:CCACVL1_03873 transcript:OMO99273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVNFNCESKRQKLPVVRQIVKKQDDTKPWGQDAKAK >OMO62123 pep supercontig:CCACVL1_1.0:contig13344:42179:43327:1 gene:CCACVL1_23012 transcript:OMO62123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIALHRFLTSPQNLLSSRLPAVFSLYLKHPSLCHRSFSIPPEPPPTPASPLVRPRSRTPLETQFETWIQKLKPGFTSADVDSALRAQRDADLALDIFRWTALQRGYKHNDTTYLTIIKLLISAKRYRHAETLIEEVIAGACPISIPLYNTIIRFCCGPKQMKAVGVIPDTMVLNMIIKAYSKCLAVDEAIRVFREMGLYGCEPNAYTYGYICKGLCEKGRVAQGFGLFKEMRDKGLVPKASAYMILICSLAMEQRVEDAMDVVSDMLGNSMAPDLLTYKTVLEELCRRGRSNDAFELLEEWKKRDLSMGQKNYRILVNALRFDNGE >OMO62126 pep supercontig:CCACVL1_1.0:contig13344:54166:55851:-1 gene:CCACVL1_23015 transcript:OMO62126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLHQLQGLSLGFNRARYHFLSAFSLSTSTIYALSDNDSSITQPLLNQSNVDERRVLSELSDLFQFSYSNSNATIPNLYKETYPPKQLQSRTVDEFLLPEEKLRGVFLQKLSVLVMEEALQKGFFPSRFICSKLNNKLLSSNEVEKAYKLMLKIKAARRDENAQKYWRSNGWHF >OMO62127 pep supercontig:CCACVL1_1.0:contig13344:56284:56989:1 gene:CCACVL1_23016 transcript:OMO62127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MEIGSMVCSLSHPSLQLKGPKSSLSLSLAWSSSLPKLHLSPTVPPPPIRHRHKDFRVLAAAWTRRSRGELAKKPNRKSWKQRTDMYMRPFLLNVFFSKRFIHAKVMHRNTSKVISVASTNNKDLRFTLPSLTDDNACRVVGNLIAQRSMEADVYAMSFEPQKNQRIEGKLGILLDTIKESGIIFYDY >OMO62122 pep supercontig:CCACVL1_1.0:contig13344:9952:41266:-1 gene:CCACVL1_23011 transcript:OMO62122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPQPCSKGAELLLNIQPTSSVSVRYHPLFGPHDNLILLELDEKLLPDILQEKVTLRGQPDEEAVLCTKSKTYSVKFVGTSNSMFLAPPANYSTLCENSEDRDDEQQVGASVIKVAPGTMELVEVAPRLDKLKSILSENLYSSDEGLAMEDVECPESSMGSLYTWDDLTNKVQASDDELRTGLEALSAVEIDGHWRIVDEKYMDIILRMLLHNSVLNDWSLDRLVEDEVVSVLVSDGFPRKLAYHCLHVYGSRVEEAMDRGVWRLDARRVCVHYARQILREGKRKMEDFVDEWMRNIPEEMHASFDLLEGEVLTEKLGVDTWVHAFSVSSLPSTPAERFTILFKERPKWMWKDLEPYIRDLNVPGLSTEALLLKYTRRTQPTIDAEPVFSARYSGSAHPRQNLKPFHNLSSHIFPFPTRPYTCLLQHKYRAWLIRVNSLAKLCYGERVGLLGPNARHHLNNTVFYVFFPALISCSLANTMTVENLSTLWFMPVNIFISCIIGSALGWILVKITRTPRHLQGLVIACSSAANLGNMLLIILPALCEETNSPFGDSSTCSAYGEAYASLSLAIQAIYVWSILYFIMRKSATFAEEIKADDCTIIVKTSGETTEIQSDTCTEPLLPSNGLSGDNIYQVDFLQSRDEGIEKISTFGKFKECFITVITSKSLKMIFAPATIAAIVGFVIGIVSPFRKALVGDSAPLHVIYSSIELIGEAGIPSLTLIVGANLLKGLKSGSEVGISLIVGIVVIRNIVLPLCGILVVKAAGYAGMVELDPFYEFTLLLQYAIPPAMNIAVAVKQKQLEAAVVMKMPPKSRSRKKLKSSSSSSNSNSKKPNASNQPSQPSKFGIQHFFQRHTQSQNALLASQSHPDHSSPPPNAPNSSIAPPLLDAAPTPLQLQNPKSDNPPPPELSPSLSKSTPLKRFNFSPEMLIKQSQDDGGDEVTWKISPVNQRLQALTKLLPVLADSSKHNSFTINQCSQNKVCVDPFSPYTIKCFSFLFFPFCLLDNIAMPYTLQSLNTAAKVDKWLSSPSPKADKTSLVSANRVGLKRVNPFQDTEVCERMVDENACLASRQSPFCTPPSLPFCPNKLANIVASDQLGSRQHKKALLELLDQVEDVISVDDFASTKSEPYPSKVQDGQCNEMSVIADSIVKGVGISPQKVGGTSSNRCFLVLEVSEKRNFPESVGSQCPYKVLRLVDEKSGEERAVYLWEEWFYSVIAPGDTVNVIGEFDDEGKCNVDHENNFLIVHPDILVSGTRVAASFSCPRRTVLDERLRCNEYSIAALIGTLLHQIFQAGLVKETPTVQFLEEYARIVLHKNIESLYACGVNETEIYKTLSEAFPKLVNWIAIFKDSQDPKVPTVDFGSDNGPKKVNLLEVIDIEEMAWAPNYGLKGMIDVSVRVKVESGGKEDNERIMPLEFKTGKVPNGQSSMEHTAQVILYTLLMSERYLKHIDSGLLYYLQSDQTQGIMVRRSDLVGLIMRRNELANDILKALTTQQLPPMLKAFGGNTESSGLGDIFDLHVHHFSNAHGVFLRHWGRLIDLEAKEMQLLKKETRHSCNLKGEDSTGSLSYLVLDELPHQKSHKENRFIYHFVRRDLPASNLSGSDQHPITAASSLTKNLDCMLKSGDYVTLSTESGHQIVASGLIVEISPIRVSVSFSKRLRLPGGNPSSLTEQLYQEFWRIDKDEIMTSFSIMRFNLIQLFLQNEQSSHLRKMIVDLAAPRFDSGFIFSQDPAISYVWSEKSLNDDQRRAILKILTAKDYALILGMPGTGKTSTMVHAVKALLMRGASILLTSYTNSAVDNLLIKLKSQGIDFLRIGRHESVHDEVKGHCFSGMNLSSVEEIKLKFDQVKVVAVTCLGITSPFLSGKKFDICIIDEAGQTTLPVSLGPLMFASTFVLVGDHYQLPPLVQSAEARENGMGISLFCRLSEAHPQAISPLQSQYRMCQSIMELSNALIYGDRLRCGSPEVANAKLNFPRPSSCSSWLKAVLNPSRPVIFVNTDMLPAFEVRDRKTVNNPLEAYIIAEITAGLVNNGIEGNDIGIITPYNSQVNLLRHACLASVEIHTIDKYQGRDKDCILLSFVRSNENPRNCISSLLADWHRINVALTRAKKKLIMVGSCRTLSKVPTLKLLIDKVDEQSEICSGGLPGLDSKVKKLKPKVAAACRDSEELGNPIMILFTSFIATKLKFLQFPVIWMQLKTLCFWEEVSLIMQLGFIGITLLYFLQKRVVLMCKHKRKVADQTANNYPSGAKLSLCYRASIVCSTLMLSIHFLNLLMLLKFTNQNHCNSTFQAYSSEIMHVISWAVTLIAVCKILKQKHIRFPWILRAWWICSFLLSIIRTVVDTYSTTAEDGHLKILDYADFISLLPSFLLLVLSIRGQTDLVFIDSNDIAEPLLSEKSDKNVKRKRESQYGRATLVQLITFSWLNPLFSVGYKKPLEQDEIPDVDIKDSAEFDSFAFDQNLKQIREKDGMTNPSIYKAIFLFIRKKAAINALFAVISAGASYVGPYLIDDFVSFLAEKNTRNLESGYLLALAFLGAKMIETIAQRQWIFGARQLGLRLRAALIAHIYRKGLVLSSQSRQSHTSGEIINYMSVDIQRITDFIWYLNIIWMLPIQISLAIYILHTSLGLGSLAALAATSAVMACNIPLTRIQKRYQSKIMEAKDDRMKATSEVLRNMKTIKLQAWDSQFLHKLESLRKIEYKWLWKSLRLGAISSFIFWGSPTFISVVTFGACMLLGIQLTAGRVLSALATFRMLQDPIFNLPDLLNVIAQGKVSADRVASYLQEEEIQQDVIEYIPTDQTEYGVEIENGKFTWDPESSNPTLDGVHLKVKRGMKDCLMGILKDKTILYVTHQVEFLPAADIILVMQNGKVAQAGTFEELLKENIGFEVLVGAHSKALESVLTVENSSRTSHNSASDCESNTDLTSNAQLVLTQQGSEHDLPVEISENGGKLVQDEEREKGSIGKEVYWSYITIVKGGVLIPIILLAQSSFQILQIASNYWMAWSSPPTSQTEPRLGMNYILLVYSLLAVGSSLCVLLRAMLVAVAGLWTAQKLFINMLRSILRAPMAFFDSTPAGRILNRASTDQSVLDLEMANKLGWCAFSIIQILGTIAVMSQVAWEVFVIFIPVTAICIWYQQYYIPTARELARLAGIQRAPILHHFAESLAGAATIRAFDQENRFIDTNLGLIDNHSRPWFHNVAAMEWLSFRLNLLSNFVFAFSLVVLVTLPEGIINPSLAGLAVTYGINLNVLQASVIWNICNAENKMISVERILQYSNLASEAALEIEECRPPKNWPDVGTICFRNLQALDKCQLGELVRGKEEKLDSRGRVAEFDTPAKLLEREDSFFSKLIKEYSLRSKTFNINLA >OMO62125 pep supercontig:CCACVL1_1.0:contig13344:52942:53767:-1 gene:CCACVL1_23014 transcript:OMO62125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGNPTKIHSSLSASKNGDVRQRITYGVEIKVKAALDTTSCAAIGE >OMO62124 pep supercontig:CCACVL1_1.0:contig13344:47463:51900:1 gene:CCACVL1_23013 transcript:OMO62124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MASKPGILTDWPWTPLGSFKHIILVPWISESIYWFVVDKERCDLTRLSILPLMLWRMVHNQVWISLSRYRTAKGANRIVDKGIEFDQVDRERNWDDQILFNAILFYLGNKYLPGGSHMPFWRLDGLVITMLIHAGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYYALFSIPLLTMALTGTGSIAAFLIYITYIDLMNNMGHCNFELIPTRLFSLFPPLKYLLYTPSYHSLHHTQFRTNYSLFMPFYDYIYGTVDKSTDTLYENSLRRQEESPNVVHLTHLTTPHSIYHLRLGFAALASKPYSSTWYLWLLSPLTLWSMVLTWIYGHTFVVERNRFDKFVLQTWALPKYKIQYHLKWQKESINDLIEEAILEAEGKGARVLSLGLMNQGEELNRYGGVYVQKHPQLKVKLVDGSSLATAAVLNSIPKGTTQVLLRGNLTKVAYAVAFALCQKGIQVAVLREGEYEKLKQSIGTKCEGNLVMISKTYSYKTWLVGDDLSEEEQSKASKGTVFIPFSQFPPKKLRKDCFYHTTPAMQAPMCLENVDSCENWLPRRVMSVWRIAGLVHALEGWEEHECGYTVSNIDKVWEATLKHGFQPLRLPTQSNS >OMO85195 pep supercontig:CCACVL1_1.0:contig09654:11171:13011:-1 gene:CCACVL1_10367 transcript:OMO85195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MAATSLSDSLPKQKSIKAVATELHGLTSIPPGYNFQTISNIVDDEALSVSEANESIPTIDFSLLTSSNPEERSKVIQDLAKACQDWGFFMVTNHGVPESMMKAMIEACAAFFELPEEEKQEFEGKHVLDPIRCGTSFNVSVDKVLFWRDFLKIFLHPHFHAPTKPSRFSEIALEFSKRVQQVAKEILRGISESLGLELDYIDKAMNLENGLQLMAANLYPPCPQPELAMGLPPHSDYGLVILLIQNGIGGLQVKHKGKWINVNPIPNSIIANIGDQIEVLSNGKYKSVLHRAVVNNKDTRISIAMSHGPALDAVVSPASELLDSVSNPPAYMPMKFKEYIEDQQSRSLDGKSALERIRLATS >OMO81623 pep supercontig:CCACVL1_1.0:contig10138:20095:21134:1 gene:CCACVL1_12338 transcript:OMO81623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIWPHLAAYAVALFLIIKNVAADDEPYYKWPPYVYKSPPPPPYKYESPPPPPYYYKSPPPPPYYYKSPPPPPYVYKSPPPPPYVYKSPPPPPYVYKSPPPPPYVYKSPPPPPYVYKSPPPPPYVYKSPPPPPYIYKSPPPPPYVYKSPPPYVYKSPPPPPYVYKSPLPPPYVYKSPPPPPPYVYKSPPPPPYIYKSPPPPPYVYKSPPPPPYVYKSPPPPPYVYNSPPPPPYIYKSPPPPPYVYNHHHLHHMSTAPLPLHHMSTSHPHLHHTSTSHHLLLHTFTSTTAIRLQVTTTSTICL >OMO86685 pep supercontig:CCACVL1_1.0:contig09436:5254:11853:1 gene:CCACVL1_09529 transcript:OMO86685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKQAVSVTPAFDHSGALRDNAVGAGSVGTNSGRSRVGFSELEKKRSSVGGGSKKKKKNSTSGGVSDLGGGGSFGLSGSELGESGRASSRSDSLSLRLGNLQKYVEGEHVTAGWPAWLSAVAGEAIHGWVPLKVDSYQKLEKIGQGTYSTVFRAREVESGKIVALKKVRFDNFEPESVRFMAREILILRRLDHPNIIKLDGIITSRMACNIYLVFEYMEHDITGLLSCPDIKFSESQIKCYVKQLLSGLDHCHSRGVMHRDIKGSNLLVNNEGILKMADFGLANFFGSGHRQPLTSRVVTLWYRPPELLLGSTDYTAAVDLWSVGCVFAELLLGKPILQGRTEVEQLHKIFKLCGSPPDDYWKKSRLPHATLFKPQQPYDSCLRETFKDLPPSAVNLIETLLSVEPYKRGTASSALASEYFTTKPYACDPSSLPVYPPSKEIDAKHREEAKRKKISGRGRGPETRKAVRKPYGINKLAPVEDTAAQTRGSQKINDNHIHNSKQRNATTIDGVSNPSTDALEEAAHAKHASQGDIPFSGPLQVSTSSGFAWATRRKDDSSIRSHCRSISRGHIYNSLEPSAQLHSRNNFDSKRHENGDAKHGGRTDSRGRDAYEAAKRAMQKQWSQFERPDSFDASDGYHSQELSLALYQREEMAAKRNNLDYQDEGDKVEFSGPLLSQSHRVDELLERHERQIRQAIRKSWFQRGKKHGK >OMP11725 pep supercontig:CCACVL1_1.0:contig00954:200:549:-1 gene:CCACVL1_00310 transcript:OMP11725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEIVKDIGSGNFGVAKLVRDKWTRELFAVKFIERGQKIDEHVQREIMNHRSLKHPNIVRFKEV >OMP11727 pep supercontig:CCACVL1_1.0:contig00954:6435:7523:1 gene:CCACVL1_00312 transcript:OMP11727 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 protein MAATPSSREDYVYMAKLAEQAERYEEMVEFMEKVSASADNEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVAVIRDYRSKIENELSGICDGILKLLDTRLIPSASAGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLTAYKAAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADEIKEAAKPAEEQQPKQ >OMP11726 pep supercontig:CCACVL1_1.0:contig00954:2180:3059:-1 gene:CCACVL1_00311 transcript:OMP11726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGLVSGQAVSEITYIHIHECDHFSIGIFCFPAGRTFPLHDHPGMTVLSKLLYGSLYVKAYDWVRDENSSPRTNGLAGTVIDGIFNAPCEPTVLFPRSGGNIHSFTALAPCAILDVLSPPYSDDYGRPSTYFLDHPIPSLPGYALLEEREIKLQYDLVVTGAPYLGPPLDIAEEEEDVC >OMP04880 pep supercontig:CCACVL1_1.0:contig05587:740:823:1 gene:CCACVL1_02113 transcript:OMP04880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGHPLVVVVCHVGLFANFVCFSSFLLH >OMP04881 pep supercontig:CCACVL1_1.0:contig05587:13478:15162:1 gene:CCACVL1_02114 transcript:OMP04881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHSHHHHHDQHHHNHESSHARSLSTDLYKDIRKAYKSIVHRWNPDHKSNHVGKKERNDKSIDLDEGYRTIYSMEQEEKSRRGDKPSKNDKHNRQSMDDSAFHSHSSSFLSKNSSRRCTTPSPRPTYLSSPSKKLNFSLSRTFSRRNNRAKAETSPSLSKSISRNSPSPLSRSVSRNAPSPLSRSVSRNCSSSSENEMPNISRSTSKRSTTPIIFSQSTARRKPAPVEKVLECTLEELCHGGLKNIKVIRDVISDEGIIVQEEETLTINLKPGWKKGTKVTFEGKGDEKPGYLPADINFVIQEKRHPLFKRQDDDLEIAVEIPLVKALTGCSLSVPLLGGETMSIHIDDIIYPGYEKVIQGQGMPNAKGGMRGDLRITFFIKFPTQLTDQQRSKASSILLEDCSL >OMO91601 pep supercontig:CCACVL1_1.0:contig08320:73680:76185:-1 gene:CCACVL1_07066 transcript:OMO91601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLVDEGEKNKKKISSAPQSLVEHFGLILERKTGYARGLGVKGVTSKSQEKSEIVAEAEAAKKRANDLLDVIANLNEVTSNQAEQIQAQKVALEKQAMELKQATTITSAIVAHLAASGTMSSMLVNALASTDSPAQGTIPSVVVNASASIDSPSAPSTSKFLVVCCSRSSIYGLGRKSPIPQSETLVLRIRLDKVVSAVVSEESAIGFISSGTDVFKLT >OMO91602 pep supercontig:CCACVL1_1.0:contig08320:79020:80805:1 gene:CCACVL1_07067 transcript:OMO91602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRPELHATAIGDGRYVFPPACYTLSKDEKRVFCQFLNDLKVPDGYSSNFSRCVSLTECKVSGMKCHDCHVLLHRYLPLAIQRLEKSNSVTLCKLEKIFPVRPSFFDIMVHLTIHLASEAKVAGPVQFRWMYFVECYLRRLKSYVRNKARPEGSIAEAYIVQECMHFCSRYLHGTETRLNHAGRNDGRNGVHSHSGLQVFSQVGEPLLGDKYEELSLTEWVEARMYVLQNCDEITEFVDMHKEKLTREDPRNVEGRHRDEFYEWFKTYVNKLHNEESEMVNDELRDLANGPDSRVHRYRSFLINGWRFNTKDRDLQLKTQNSGIFVRASQARQVYYVEDTKEPNWQVVVKTKPRDLYDLLDDDEPCQENEDFGFTPHESTAEDDNDVTISLDRSDFEPATVKGNSSNIDVMGLEEDETDCDEDSFVNDDDD >OMO91599 pep supercontig:CCACVL1_1.0:contig08320:31778:37974:1 gene:CCACVL1_07064 transcript:OMO91599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MLYWGDRVDQESCHVCGSSRWLKPNSGDAFNEDGGQGMVKLRPAKVLRYFPLIPRLQRLFMSSKTLESMTWHADGRTDDRDLRHPTDSLALKDFDSRYSNFASETRNVLLGLATDGFNPFKTMSTTYSHTFRHQKRTFDNTVELREAPTITPSSEILEMLRSLQFSYGKKKKKAPKRRKNVEDVELEDDDEDGPNEAAMWKKMSIFFELVYWEHNLLRHNLDVMHIEKNVCDNILRTILGIDGKSKDNLNSRLDLVEMGIRHDLHPQLAPNGKTIIPPACYAMSKKEKDVFCGVLKNINVPDGYASNISRCVNVTDQKLYNLKPHDCHILLHDLLPIALRSSMQKKETKAIIELCNIFKALCAKVLKLDELDKLQDRAALALCNLGKIFPSSFFTIMVHLFIHLPLQAKLGGPVFARWMYPIERFLGKLGSYVLNKWFPEGSIAEGYLAKECMTFYSRYLEDIETRFNRPSRNPGCPENDSCSAILKIFTETFHEWFRDKVLGGLRVFEEVGNLARGPKDTVKRYKTYCINGYRFKVRELDSGRTQNSRQKLDMNRKGMKEKNAKISISKGASKRKRQDQSAVGGNSQTNSAGNRNSQHGSIGSVQLPPPPPPPSGPIEVGAASTPQSQNPSPSPSLPADFHPPNSNPTTAASVPAVSHPPEGDVPNDSQPITVEGALNLDDDAVKVDVNGERRLAKITLGKLWNAIPPHRVVVESNEFGQPIGNEAKLLGGYLGKFSRQYTTLPVIPDSWKKLPMDRKTAAMDLVKIKKKEDAERIAMYIKTHTRKNEQALNSETQAVMDKAKELKAAASVEDGHNLDQNELESRIMVEVCGPERHGRMRGHGFGVTQTVVFNCRANLFTYSKTGRSSEVQQLREENQQKELERKQELGKIAEERKQELARLEEQRQKELAEINARMDAERRENARTKCRLDNLMRLVAAKFPDAVLDDPSESHQQYGSQNRTASGNGGNLFVNTSISSAQSHCGTKLDNY >OMO91600 pep supercontig:CCACVL1_1.0:contig08320:44878:44946:-1 gene:CCACVL1_07065 transcript:OMO91600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRRERRLGARSISSKRTGGL >OMO91598 pep supercontig:CCACVL1_1.0:contig08320:18945:20515:-1 gene:CCACVL1_07063 transcript:OMO91598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRGRKKAAVHQRLKQLRSVTNSTAVNKTSIIVDASRYIEELKEKVERLNQEIGTSSQNNPLPMVTVETLEKGFLINVFLEKNCPGLLVSILETFEELGLDVLDARVSCEENFQLEAIGGENQGNAEGIDAQMVKQAVLQAISKWSGNSGQN >OMO91597 pep supercontig:CCACVL1_1.0:contig08320:10593:13283:-1 gene:CCACVL1_07062 transcript:OMO91597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHFPVFFGELLFCLHHGNIWGSRSPVRRLEFFFLWWISSRFPQGTGPRIS >OMP12046 pep supercontig:CCACVL1_1.0:contig00520:791:1774:1 gene:CCACVL1_00162 transcript:OMP12046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVIFFFLSLFIQFNLTSEGAPISLYQDCTNTTPFNPNTTFQRNLKSLLSSLSSNSTRETGFYNLSVGTDSDSDNIAYGLFLCRGNAPKQECQDCVGTAVKDIVHNCPNDRAAIIVYEECMLRYSNENFFGKVELKPALQQSNVLNVSQQEEFRELLAKTTRNIAAKIANDGSGRRFATQESPSDFSSNATLTIYALGQCTQDLSVAACNQCLEAAFAYFLPGCCGVREGARIIFPSCNFRYELYPFYNVTWVPHFHSPPRQGKLSSGKIVAIVAAVVSLVGLLVAAFASYGGKENRETSV >OMO74857 pep supercontig:CCACVL1_1.0:contig11076:45388:49243:1 gene:CCACVL1_16428 transcript:OMO74857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAMAAKGRFLPQLVSDGIDANHSSNFLKEMVEDSSSSSSSENCEQMYGFLPCSNSIYGHLFLILVYEYLLFRGESYLAHGGEQIFKILGPGFFGASAFQVICALPESLILLASGLLSTKEDAQEYAYTGVGLLAGSSILLLTIVWGTCLIVARQNLHHGAEYSILDANANSASSFSFQKLLHYSKRNGITTDQETTYTARIMVFSVIPFIIIQVLDFFTSSSAEQPVILITLTISVVFLLSYFFYQCFQPWIQQRKLEFVKHEYLVLKVLQHVQKRALTRILTYNGAPNINAIRRLFEKIDSDGDNWISQQELREVIMDVKFGKVPWNADEVVAKVIEELDARGDKRIDEEEFINGISRWLKTSQNEVPPSPTPSIETEDDIFQKTWEETDKLVDEGSINGGVVASEWTWIKAIMKMGIGFIILAVLAEPLIYSVHSFSKAANLPSFFISFILVPLTTNARAAISAIKAAWHKKPRTTSLTFSEIYGGVFMNNILGFAVLLALVYMRGLTWEFSGEVLVLLIVCGVMGVIASIRSTFPLWMSFLAFLLYPLSLLLVYAVDFIL >OMO74859 pep supercontig:CCACVL1_1.0:contig11076:60171:62272:-1 gene:CCACVL1_16430 transcript:OMO74859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEDQQQRCSNNSNTSSGTCGGSGNNNFVRSSKKQKAKKVPQRGLGVAQLERIRLEEQQKKDNSSSVVSGSAAILPSPPPPPPPPPPTHHHHHHHHHHQKPSFLPLTIPSNFHPSNQPCSSSSSSASIPLIPADIISPVPNSIFRPPNNFSVQNVDVNISANSTVPLTSGNNNKLWSSSCEYNYNFEKECSGLDPGLAFRTNLSLPYESEPIWPLPSLMQRAQAQPFQQPSSSMVNLSSRTSSTSVLNFQMEPPSNQSYYGNCTPLLPEEDKMVGMKRSYPFSLDNAPGPPLHSKFPHIVHPIRGQVETASSSNNGSNFMNFEPGTPNFREGPSCSTSNLESKSKKSIKQNGVFDGDFLTLAPPTTTSMCSSSKSKHPSSNLIYYNCELPDLDSLASYQGSFEDSIIRQGATGLNQYRPYYSFFPPAMAQMDRATTITMAKCSGGEVGGHVDLNLKL >OMO74856 pep supercontig:CCACVL1_1.0:contig11076:40388:43826:1 gene:CCACVL1_16427 transcript:OMO74856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSSRRKKRCSPRNISEEEIAEYIAKKAQKKAIRAANKLKLEAPTVSGYSNDSNPFGDSNLNQKFVWRKKIERDVSQGMPLDAFSVKAEKKRQRERMAEIEKVKKRREERALEKAQHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLHEGRTKPIDILSKHLNGSHDLDIELHEPYMVFKGLVVKEMKELRDDIKMHLDMDRATPTHIQYWEALMVVCDWELAEARKKDELDRARVRGEQPPAELLEEERGLHSSIEADVKNILEGKSHHELEALQSQIDSQMRSGTAKVVEYWEAVLKRLHIFKAKACLKEIHAKMLRSQLQCLEQPREGEDKLESDHGLEEEDSDHYVKDAETYSPEPILQEEEAGSFSPQLLHGDDNEEAIDPEEDRALLGRKRMAVRDEQKRRLQEDMESSKVKAMKAMGAMEEGDMVFGSGAEVNLESQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKFVQGYKFNIFYPDLVDKEKTPECVVEKDGNSEETCIIRFTAGPPYEDIAFRIVNKEWEKSPKKGYKYAFERGILHLYFNFKRYRYRR >OMO74855 pep supercontig:CCACVL1_1.0:contig11076:36114:38066:1 gene:CCACVL1_16426 transcript:OMO74855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLANFSTSSTTTTTTSSTTMNGSSNHHHHHPSWVDEFLDFSSARRGAHRRSISDSIAFLEQPLVEECRDNANAMMTETSNVFDRLDDEQLMSMFSDDVAVTVAAAAPTLSSSNPSSPSDQNSNNDEKLAPSLDLQQPKHEPGEVESSCKSETQATQPLPTSSNSDSIVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSALSPRVAFLDHQRLILNVDNSALKQRIAALAQDKIFKDAHQEALKKEIERLRQVYQQQQNLKKMNNTAQQQPCTTGTTTTTVDTAVAASEH >OMO74858 pep supercontig:CCACVL1_1.0:contig11076:53451:57733:1 gene:CCACVL1_16429 transcript:OMO74858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MPSKYLLLLFFSLFCVSAHGRFITARPSPTDLVSDGISAVKNPPYLLLKPQFSAEESCEQTYGFLPCTTTALGNLFLIIVYGYFMYLAATYLSNGSELLLEILGPGIVGGLFLPILGALPDAMLILVSGLSGTTEVAQSQVSVGMGLLAGSTVMLLTVIWGSCVVVGRSDLRDSVAVDGRLTKGFSLTGTGISTDIWTCYAARIMAISVIPFIIVQLPQLLNSTSGRHLAVLIALIVSLSMLVAYCLYQVFQPWIQRRRLAYAKHKHVISGILKHLKMSALGKLLNDDGEPNTEVIRKLFATIDENGDGGLSPSELKAFIIGIRFEEIDLDKDDAVTKVMADFDTSRDSLVQEDEFVRGISKWIIEAKQTGGAHLESNAGTFKFIDHFHQQTKREHDLLGSEEQSDEVVEGVENPKKITIKAVLMLLLGTIIAAAFADPLVDAVDNFSDATSIPSFFISFIALPLATNSSEAVSAIIFASRKNKRTSSLTFSELYGAVTMNNVLCLSVFLALVFVRGLTWDFSSEVLVILIVCLVMGAFASFRTTFPLWTCSVAYILYPFSLALVYVLDYVFGWS >OMO74851 pep supercontig:CCACVL1_1.0:contig11076:3616:4995:-1 gene:CCACVL1_16422 transcript:OMO74851 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MMKNLDSNSSKLLDIAMFPWFAFGHFIPFLHLSNKLAEKGHKISFLLPKGIQPKLEQINQYPNLIQFFPLLVPHVEGLPPAAESTAGVPPRLQKYLAMAFDGTHQQVEAILSFIKPDLVFYDFGHWVPALARQLGFKSVFYGVVSTMSLSIITKKVTKEMTVEELLELPPAYPCPAVNYKSAEADRLLFKAEPFGDGLTFQERITTSMKQSDALAFRTYREIEGPFCDYLSKQHHGKSVLLTGPCLPETKTKQLEEKWVDWLSKFEPKSVVFCSFGSQSILQKDEFQELLLGFELSGLPFLVALTPPQGCTTVEEALPQGFEERVGGSGLVYGGWVPQELLLKHPSIGCFVNHCGYGTMWEFLLSDCQIVLIPEIPDQMLNTRLMVNELKVAVEVERGENKQISKEDLSQAIKLVMDEDSEIARVLKSNRAKLKETLAKGDLQEQYIDNFIQGLQDLIK >OMO74853 pep supercontig:CCACVL1_1.0:contig11076:21189:25291:-1 gene:CCACVL1_16424 transcript:OMO74853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYEASLREPKTLKRKFDKRLILPLTKPSYLLRVGRLHIRWENRQRLCHLLEKLVDQHNWAEASGILSLLLKGTSRDRFSDLNRLKYSVSINLLKHIEGDYVDIRRIRDIYEIWTSRIRSKTVQVEERIAVHLEFIFFCLKNGNHGWAHQAALSLMKEHQLSSHPMSNLVLGLTFCQLWCTNLPKEVTLRDSNQDYIPQLSDASGSNFSNQIVNSEGNYAAYTHNAVTSHNVSETSVMNDKIKTPVVDSQLRREVTVQNDVNQMNSNFLQEVHPLSSNENSSEDEAGFYNDSGYTCDPSVFSALEGLESWLMPLQLPHSSENFVDLHRKMLNGHYHDALKYLRLALHSAPPLSGALLPLVQLLLIGGQVNEALSEVEKFCNNSNVPFPFRLRASLLGCLYSNDSALLSTCFEEILKKDPTCCDSLARLVSMHQNGYYSLESLVEMIALHLEATNAESNTWREFASCFLKLHQCEEDRLSACLNGNEGEKKPIPSVYYSGLPKIFTEGKSRKAWRLRCKWWLKRHFGSRMLASEINSGALELLSYKAACASHLYGKEFDYVVKVYTHLEEQNERDLLEFLQMHMENSIRLNVKFQEKLNKI >OMO74854 pep supercontig:CCACVL1_1.0:contig11076:27234:30592:1 gene:CCACVL1_16425 transcript:OMO74854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homogentisate 1,2-dioxygenase MERPAAEVEKGDGLVGFSDNLEYQSGFGNHFSSEAIAGALPRGQNSPLICPFGLYAEQISGTSFTSPRKLNQRSWLYRIKPSVTHEPFWPRTPTHKKLVSEFDGSNTVANPTQLRWKPVEIPDSPTDFIDGLYTICGAGSSFLRHGYAIHMYTANKSMENCAFCNADGDFLIVPQQGRLWITTECGRLQVSPGEIVVLPQGFRFVVDLPDGPSRGYVAEVFGTHFQLPDLGPIGANGLAAARDFLVPTAWFEEGSRPGFTIVQKFGGELFNARQDFSPFNVVAWHGNYVPYKYDLSKFCPYNTVLVDHGDPSINTVLTAPTDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIYGGYEAKADGFLPGGASLHSCMTPHGPDTKTYEATIARGNDAGPHKITDTMAFMFESFLMPKTCPWALDSPYIDRDYYQCWIGLKSHFSHEKPNAESEVQNGLK >OMO74860 pep supercontig:CCACVL1_1.0:contig11076:67131:69300:1 gene:CCACVL1_16431 transcript:OMO74860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNWFRAFICIKKSKSSKSKQAKVHSANGKSNGSEASNNINVAEDQAIRSPATTAGSQSSPIILAGILPVEEIAARRIQKAFRAHRSQIKARRICMVTEGRLKQKRIENQLKLEAKLQELEVEWCGGSETMEEILSRIQQREEAAVKRERAMAYAFSHQWRANASQYLGQASYGLGRENWGWSWMERWIAARPWEVRVHSQPIHQRKANHARQGSKSEKEMKAPGSVKPALPNGKVTPSPRVKKVAPTDG >OMO74861 pep supercontig:CCACVL1_1.0:contig11076:71744:73087:-1 gene:CCACVL1_16432 transcript:OMO74861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MGDSHHQAATSSRLGIRHTGGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKPAIDELAELPPWHPETLTTTISTSKPDNNPEDQNATPTANNDKPYQFNIHPSVENLAAGSTGTTRRRTATIMGNEVQTLQQQEMGENPTHTSGFLPPSLVSDEIADTIKSFFPLGASSEAPSSSIQFQNYPPDLLSRTSSQNQDLRLSLQSFPEPILLHHHHHNQAATAQAHHSEPVLFPGTSPLGGFDGSSAGWEQHHHHPSEIGRFQRLVAWNSSGGGGAADTGSGGGGGTGGGGGGVGGFIFGTPQPQPLPPAFGQNSQLFSQRGPLQSSNMPFVRAWIDQPISTTDEHQHHNHHHHQHHQIPQNIHHQAALSGIGFTTSGVFSGFRIPARIQGEEEEHDSIANKLSSASSDSHH >OMO74852 pep supercontig:CCACVL1_1.0:contig11076:11052:11540:-1 gene:CCACVL1_16423 transcript:OMO74852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MDVFISFSYVNLYFNFFQRYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVSTEDATAFAERENTFFMETSALESLNVENAFTEVLTQIYRVVSRKALEVGDDPAALPKGQTINVGNKDDVSAVKKVGCCSA >OMO49982 pep supercontig:CCACVL1_1.0:contig16330:16169:19418:-1 gene:CCACVL1_30729 transcript:OMO49982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MTDPKVFVFGSFTEDETKSLLLKQSPKNANKPVPKKDLQFGSLNFATPGSLGSANGDLSNKPSSTKGPAGFPSSTSPIEDGKSRKTAIDHSLNTLQTKENGITKSSSNSSTSSLVNGVKQLNAKGTDATSPHSSLNDCSLSNDFQSLKFNVLQSECIDGGDQNGMIDTSLPCAFQGDIPKAAKEPITVVKNFIPRGLINSGNLCFLNATLQALLSCSPFVQLLQQLRLRNIPQVGYPTLTAFSEFISDFDVPPSNSNVKKKDTTVLEIGRPFSPAMFEAVLKSFTPDVPNSISGRPRQEDAQEFLSFIMDQMHDELIKLEGQSNSSNGVGSSLVSSVEDDEWETVGPKNKSAVTRTQSFLPSQLHDIFGGQLRSEVKAKGNKPSATVQPYLLLHLDIHPEAVRTIEDALHLFSAPEYLEGYRASTAGKAGVVTARKSVKIQTLSKIMILHLMRFSYGSQGSTKLHKPVHFPLELVLGRELLVSPSTEGRKYELVATITHHGREPSKGHYTADARYSNGQWLRFDDASVTAIGPSKVLHDQAYVLFYQQT >OMO49980 pep supercontig:CCACVL1_1.0:contig16330:9558:10162:1 gene:CCACVL1_30727 transcript:OMO49980 gene_biotype:protein_coding transcript_biotype:protein_coding description:polyadenylate-binding protein-interacting protein 2 MAAVAMSSSTMLNPNAPIFVPAAFRQVEDFSPEWWELVKTSAWFREYWLSEHGEESFAADDEYDDLSPEFFDLGFDEEFADLDVQFQELPESKSREKKYGNGVGNGNNGKDAISALMKNLSMGNPKANQRSRKSRLGGGRRSYFEKAAAAPAEKKVNVSLRRIQQPR >OMO49981 pep supercontig:CCACVL1_1.0:contig16330:12773:14235:1 gene:CCACVL1_30728 transcript:OMO49981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLTMAPSMQEAGMKTPLLSSSATTSSSSSSISSTSSEADESSPAASNRFEIQDVTRYNYEFPSKPLSGFQSCKSLAVLSGHVGSVSCLALCGEFILSASQGKDIIVWQQPDLRQFTKFGQGDGSVKALVTFGNKVFTAHQDSRIRVWKVSRSSENVFKLVDTLPTTKDYLGKFMKQSNYVQTRRHHKRLWIEHADSISCLTICNGLIYSGSWDKTLKVWRISDLKCLESIKAHDDAINSLVACKGIVYSASADGKVKAWGKGGGKEGKKSQSHSLQGILEGHKDISLNSVVVSEDGKWVYGGGSDGFIMGWEGKSDFTSWKLVSETKAHHMAVLCMCLMGEFLCSGSADKSIVIWKREAYGKIGKVGVINGHEGPVKCLQASPCNVGFRS >OMO49979 pep supercontig:CCACVL1_1.0:contig16330:3924:8901:-1 gene:CCACVL1_30726 transcript:OMO49979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNGRVMCPENEELANYLLQKRQELAEKPKGIKENADMTLSKAYTNICKAPHPIKTLKDLNAVKGVGKWILVLMRGYFESGSGSSEPEEITGKGKKTKESRRYLPQKNSVAYALLITLYRETADGKEYMHKQDLIDAAEASGLSRVPIGPEKGKGRPSQFGSSPRDWYSGWSCMSKLITKGFVVKSSCPAKYMLTPEGKEVARECLMKSKMEDPIEDLVNVEDLSEPDMQNTYVQQFAHSDLGREEANTKAASCQKKSIDVPLDSIERCTRMGYSKDQVLRAFAKVSETSKDKEISSLWPAVLCYLREEVVYGQEDHVEFQSTRVDLSKDGGDIPTLFTLRACSSSPSSDGLEANMNILSIPPLSFGERFEDVYEVILILDNREQFTRQGARSQKMIGKICSDFKIKIEVRQLPIGDGIWIARHKHLYTEYVLDFIVERKNVDDLRHSIRDNRYKDQKLRLLRSGLKKLIYLVEGDPNSSAAAESIKTACFTTEILEGFDVQRSSGLHDTLRKYGYLTQAITRYYKWHQPEEQSRCTGVCPPFNEFMKKCQELDKVTVPQVTEEVALAVVDLYPTLLSLACAYSLLEDDVCAQEEMLTKQSNNVVSSVASKNIFRFVWAD >OMO49978 pep supercontig:CCACVL1_1.0:contig16330:1011:3528:1 gene:CCACVL1_30725 transcript:OMO49978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNVQAKYVRSDGEYLSCRKRECKKYNKKGICEVTTCSGSIDFHVINIRSDIEFVMFTGGFLTPCLLKRTNHSIPFSNPNAPLYGHLSTIYSSATSMRLTWISGDNKTQQVKYGNGKVQTSQVQTFSQNDMCSTNLIPSPAKDFGWHDPGYIHTAVMTGLQPSSTFYYQYGSDEVGWSDKIEFRTPPAGGSDEVKFLVFGDMGKAPLDASAEHYIQPGSLSVVQGMVEELKNKSVDSIFHIGDISYATGFLVEWEFFLHLITPLASKVSYMTAIGNHERDYPGSRSFYPGPDSGGECGVPYETYFPMPTAAKDKPWYSMEQGSVHFTVFSTEHDWTANSEQYNWMKKDMASVDRSKTPWLIVMGHRPMYTSCIGLGNSDDKFRKQVEPLLLDNKVDLVFFGHVHNYERTCSVYNSKCLAMPSKDENGTDTYDNSNYEAPVHAVVGMAGFSLDNFSLITAGWSLTRISEFGFVRVHATKDELMVEFVKSNTTQVQDRFRMIKKQL >OMO49983 pep supercontig:CCACVL1_1.0:contig16330:21769:25719:1 gene:CCACVL1_30730 transcript:OMO49983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHHHHRSTPLLSSATSSTSASQSFTSKLLLLLTILPLSLAALAFVLQWRGGGLPDPTVATSSVTSRWAPSGSHNEVFPGMETFSSLSPKSHSSSDCLNLGRSSSPSFPYYGDWKFSVEANLKPKICITTSTSAGLEQILPWMFYHKVIGVTTFFLFVEGHAASPNVSRVLESIPGVKVIYRTKELEEQQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWILHLDTDELIHPAGASEYSLRQLLLDVPSNVDMVIFPNYESSVERVDIKDPFSEVSMFKKNYDHLPKDTYFGMYKESTRGNPNYFLTYGNGKSAARVQDHLRPNGAHRWHNYMKSPNEIKLDEAAVLHYTYAKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRAVSLPPSVILALFLLCGCFGVNSVVYVVGLLSDAFIIASTATEEEMLNWYREHVVWGEKDVRLKLLRKGILTRIYAPMAIIQGLRESGVFTSVIAAAPTTLSRDKFLASIESSNSSRAVPSDSFASRKIGRRREHQASARMVLEIEGSTVHRAAIPPLSPPSMDSDHHSQA >OMO57660 pep supercontig:CCACVL1_1.0:contig14342:6751:10572:1 gene:CCACVL1_25716 transcript:OMO57660 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA isopentenyltransferase MEESGESPAARTLNPNNEEEKPKVIVIMGPTGSGKSRLAIDLATHFPIEIINADSMQVYQGLDVLTNKVPLHEQKGVPHHLLGTVSSNVEFTAKKFRDSAIPIISDILSRNRLPVIVGGTNYYIQALVSSFLLDDSAEDMDKICSSDLPGNEQIDHRPDFKGDNCNYSYDLLEELDPVAANRIHPNNHRKINQFLSVYARSGVLPSKLFQGKAAENWGRVDNSRYSCCFISVDAAMPVLDRYVEHRVDCMIDAGLLDEVYDIYNPSADYTLGLRQSIGVREFEDFLGVYLSDSRDCETDDSSDRPSLKPSTRMDDKLLKENIRALLNSSSDNPKKFLLEEAIDKVKANTRRLVRRQKRRINQLQTLFGWNINYVDATESILGKSDECWAVQVVGPAVKIIRSFLSKEDGTVSTMGAESITVPDTVQRDLWTQYICKACGDRVLRGAHEWEQHKQGRGHRKRISRLRKSQSFSLVEQQEKSS >OMO57661 pep supercontig:CCACVL1_1.0:contig14342:16663:20077:-1 gene:CCACVL1_25717 transcript:OMO57661 gene_biotype:protein_coding transcript_biotype:protein_coding description:cyclin-D4-1-like protein MKDDSPAAKKLKSRIDFLEFKPSKIAAVVAISVAVETKPVDTERAISALTQHV >OMO57659 pep supercontig:CCACVL1_1.0:contig14342:4571:5119:-1 gene:CCACVL1_25715 transcript:OMO57659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELTLGYNLISKINFIWPPSGPIVATISPLFSKP >OMO57663 pep supercontig:CCACVL1_1.0:contig14342:32704:33632:1 gene:CCACVL1_25719 transcript:OMO57663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRFARCRMGQYIGRKSSAPRSVPRSVPR >OMO57662 pep supercontig:CCACVL1_1.0:contig14342:31009:31761:1 gene:CCACVL1_25718 transcript:OMO57662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLELDNSSSSSSCSSSCKPCSPDSESVDQALTVPVSHKRKAGRKKFKETRHPVYRGVRQRKGNKWVCEVREPYKKSRIWLGTFTCPEMAARAYDVAALALRGKSAALNFPDSASILPRAKSCSPKDIQSAALEAAEALKTSTAAPIDIFSSQSTNIQFGCSSSQDHHKLFFVDEPIDQMNEENQLVVNHFSKDDHNPSFMDEEAIFNMPGLLDSMAEGLLLAPPTIQREVDREDDTAYYTTSIDLWEF >OMP03972 pep supercontig:CCACVL1_1.0:contig05884:216:479:-1 gene:CCACVL1_02221 transcript:OMP03972 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock 70 kDa protein 4 MAPTKYATTWLLLNMIYMMLMNDRTEYEPYDADDRTDYELYDLVDLIEYELYADDATENDIYDAGEPTKYELYDAGDPTEYELYDYG >OMO49514 pep supercontig:CCACVL1_1.0:contig16485:19535:27631:-1 gene:CCACVL1_30963 transcript:OMO49514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSGNNLSRGNAGLSSDMPPLTQCLPLEPITLGLQKYTRSGEISRVLGVPLRSSTSEDHTFGVAHPKPSPPVATEELKNFKESVQDASRKARDRVKKLRESISKLERYKEALSSKKRQRSDMSSERTVGVNIAKMGGQIHRNPHDIMTPRLEDRPKGMPLNKRVRTSVADLRADNRAAVNIRQQGIMEKDGDVLSAGNGGPARIEEKIRRLPGEGWETKMKRKRSVAAVGNRVTGGDRDMKRSMQPKLSSESKLRSCDTQGFRSKSSPGVSGINRSDGSFEAAGSDASTVLRNELESTSIPRERAATVEQRVVVKANNKASLQDDNQASGPSTMLKGKVSRAPRTGSIMVLDSSSKVNLSPGALQGWEHPNLNKMQPLSAASNQKRPMSAGSSSHAMAQWGGQRPHKNSRTRRANLVSPVSNSEAQVSSPGCTTPDFGARGSVGSGGSLLGSGLDNATPKIKREPENVSSPFGLSESEESGAGDSKSKEKGLDSSEVTLPASQKAGAFLLPTRKNKMSTNETGDGVRKQGRGGSSAPPLTKPGIVHPMREKLENLPTTKPIQTARSASDKNRSKTGRPPSKKLKDRKASTRVGSMLNNISSDFTGESDDDREELFAAASSARNSSSLACSGPFWKKMGSIFNSVSSEDTSYLTQQLSLAEELDESLSQMFGDGYNSANIDMILPFSQGVVVQKDSPNSVEEMAKSNASSGRFDIKKLDKVTPLYQRVLSALIEEDESEEVYHHIEAKNMSLHYASDDSHCGSCNQMDVESKDRDRMESEVESNADFQCQKNSLLDRHSCDVSVASNTFRNSSMSNSLHSSERWLGDDDFSHSDMGPVSEICSTDLGQLQPKENVSGISSPDCQYQFMAMDDKLLLELHSIGLYPETLPDLAEGEEAISQNVVELNERLYQQIRRKKKKLGKINKAIQSGRDSERRNIERVAMDQLIQMAYKKRLACRGSNSKSAVRKVSKQVALAFVKRTLDRCRKYEETGTSCFSEPALQDVMFSSPPCSNEAKSVDCIGSGTASNTCNETSNHQAEARGSGAVSSTFERYDTSDALPSVHSSEHAVSKYGSMLNKGRKREVLIDDVVASASSRVTTTLDGTVGGMRGKRSERDRDQSRDNLRNGSASVAGRTSLDGSKGDRKTKAKPKQKNSHLSTAANGFSGRLSDPLLPGRGSSQALANAGNMTEREVRLSSPNNIHRDSTKEADEPIDFANLQLNELDPMEELGVSNDLAGPQDLSSWLNFDEDGLQDHDSIGLEIPMDDLSDLKFAF >OMO49515 pep supercontig:CCACVL1_1.0:contig16485:30668:32296:-1 gene:CCACVL1_30964 transcript:OMO49515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISESESVAYGGGKTGSPPVQAPQLPHDESAQDHSLASSNKQNSIFSLTLDEIQLKSGKAFGSMNMDEFLANLWNVEDNQVPSQLNQNDQPVVDKCIATSSQPTLARQGSFSIPTPLCKKTVDEVWFEIQKELPQQRKLSSMTDHEPPQRQPTFGEMTLEDFLIKAGVVQEPASSSQQQQKKVMPVQPNGTSLEPNYGMGHVMGSSQQKMVTTMQNNNATLDPNFGMGHVMGLAFPTHQIVPNNMAPAGSGYAAAYPIFTQSKTVMGDSSNNAENGNNTNNLLEPAMLHNKKRIIDGPPEVVVERRQRRMIKNRESAARSRARKQAYTVELELELNQLKQENAKLKQLVEENERKRKQEVMKRKQSSTAAQRKVDKMKTLRRTVSLGW >OMO49516 pep supercontig:CCACVL1_1.0:contig16485:32944:33426:-1 gene:CCACVL1_30965 transcript:OMO49516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTVPFRPSPSVPPSQSQTPNPLLPLHRFSPFSFGFLWTNKENKAKPNRISGFLLPQTQITHSDY >OMO49513 pep supercontig:CCACVL1_1.0:contig16485:8104:14550:1 gene:CCACVL1_30962 transcript:OMO49513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHRVGETGLTDSGPSNHHHHIPYAHVLHGMNAPTSFLHQEGAAFDFGELEEAIVLQGVKFRNDNEAKPPLFTTPRPAATLDMFPSWPMRFHQTPRQGSSKSGDEISTEDSESAVNTLSSNKTENNQLEPESPISKKASSFDHKPLQQQQQQHLQHLQQLQQQQIDQMASDNSRTGTTSQQQNQSASAAKTTPQEKRRGSTSEKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQELQRARSQGLFLGGCGGAAGNISSGAAIFDMEYSRWLEDDQRHMSELRTGLHAHLSDSDLRVIVDGYISHYDEIFRLKGVAAKSDVFHLITGMWTTPAERCFLWMGGFRPSELIKMLISQLDPLTEQQVMGIYSLQHSSTQAEEALSQGLEQLQQSLIDTIAGGPVIDGMQQMAVALAKLANLESFIRQADNLRQQTLHQLPRILTVRQAARCFLVIGEYYARLRALSSLWSSRPRE >OMO49517 pep supercontig:CCACVL1_1.0:contig16485:34866:36659:-1 gene:CCACVL1_30966 transcript:OMO49517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHQATQVVAPVEIDDGSPEDPPVRSPRVKLSDGRHLAYRERGVPKAKSNCNIILVHGFGSSKEMNFQVPQELIEELGIYFLLYDRAGYGESDPNPRRSVKSEAFDIQELADQLQIGPKFYVIGVSMGSYPIWSCLKYIPERLAGVAMIVPVINYRWPSFPNSLIREDYRRTIVKLAYWIAKYTPGLLHWWVTQKWFPSPSSMEDKPVFFNKRDMEALKKSDGFPMLTKERLRDQSVYDTLRTDFLVCYGHWDFDPMELCNPLPQNESCVHIWQGYEDKIVPFELQRCISKKLPWIQYHEVSDGGHFLVHYNGLCEAILRSLLLGEEHHLYRPSADKIVP >OMO94544 pep supercontig:CCACVL1_1.0:contig07843:33460:33831:-1 gene:CCACVL1_05930 transcript:OMO94544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MAAPNLSLKLVCVVAMLCMLIVYPRATAALSCGVVQSKLFPCLEYLDSSGEDNKDECCGGVRTLNNMARNPADRRDACNCIKRELSRLGVADDSTKTKLAQALPKTCNVNIPYKISLDFDCNK >OMO94543 pep supercontig:CCACVL1_1.0:contig07843:29098:29566:-1 gene:CCACVL1_05929 transcript:OMO94543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MASAIKIACVVVVCMALVSAPVAQGAISCGQVAKALGPCIPYLTGKGPLAPGCCSGVKSLNGAAKTTPDRQAACNCLKSNAGSISGINYGLAAGLPGKCGVSIPYQISPSTDCSKVK >OMP11073 pep supercontig:CCACVL1_1.0:contig01589:523:588:-1 gene:CCACVL1_00684 transcript:OMP11073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQMLVTIWVSTKRVWSLVKQQ >OMP00158 pep supercontig:CCACVL1_1.0:contig06718:7695:7760:-1 gene:CCACVL1_03453 transcript:OMP00158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKMARQWHSKNIATKGMLQP >OMO57697 pep supercontig:CCACVL1_1.0:contig14333:5635:5736:-1 gene:CCACVL1_25698 transcript:OMO57697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NICCLIFRIDDELILTAGIVVSMSCLIPSWPLN >OMO91372 pep supercontig:CCACVL1_1.0:contig08353:5729:5815:-1 gene:CCACVL1_07132 transcript:OMO91372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARYHDSPLRVVAKPKAIVASMGILI >OMO89612 pep supercontig:CCACVL1_1.0:contig08662:17210:17454:1 gene:CCACVL1_07739 transcript:OMO89612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIREKSENLIQESVPRERIE >OMO89611 pep supercontig:CCACVL1_1.0:contig08662:10120:11341:1 gene:CCACVL1_07738 transcript:OMO89611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLQELFLKDCPSAYYVHCLAHRVQLALVAASRELQDAKAIEIANMLAIGELETGKGLNKISTVKRAGETRWSSHFSNICSVINMFAPVKEIMGTVDILCQYLQQKSQDIVIAMHLVSSTKALIQKLRDDGWDKLLGEVIAFCGKHDIDVPNMNSQYVVKRIRPQQDGFNMEHHYRVDIFNVAIDSQLSELNSRFNDQAIDLLTLSSGLVDMQMLEVYFLADILIRLLLTLPLSTTTTERAFSTMKIVKIRLRNKMEDGFLVDNLVVYIEREIAEDFDLLCTY >OMO90996 pep supercontig:CCACVL1_1.0:contig08397:10606:10973:-1 gene:CCACVL1_07237 transcript:OMO90996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIMSSRSCCENIKRAWRRRKYKRLHGAKRKLKIVRLGNKETRRPDCESKRKLSLKMATPTKIFRKVHEAYVEMTTRLVNNMGKANEGGLLFRGKKVAKDRHVSAVSGGDEIDTRLLLQIYK >OMO58844 pep supercontig:CCACVL1_1.0:contig14104:17612:18773:1 gene:CCACVL1_25315 transcript:OMO58844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSSSPPKTAALDAALNLFGFELQDLSPTKVTGRLQVSENCCQPFKVLHGGVSALIAEALASIGAHMASGFQRVAGIHLSINHLKPAQLGDLIFAEAIPVNLGKTIQVWEVRLWKTEDSKSESRSLVSSSRVTLLCNMTVPPNAKDAGENLKKYAKL >OMO58846 pep supercontig:CCACVL1_1.0:contig14104:35122:36840:1 gene:CCACVL1_25317 transcript:OMO58846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNYRRREPSWTESSESDSDDSVNQVERQAIINAYRPRAWRGPILQAYESEITDSRILWGHCILAYMFDIRVFSVNYLQTLVRREWHSTGAIQVVGRQGKLYTIFVENEADRDRIVQRGPYAFQGAFFAVDWWRTNSVLRQILPDRVPVWLHLLDLPLEYQVPSIAQRMVSLAGEVIELDWMNVLPRNIRYMRVRIWIDPHKPLVSGTMLQMDDGRLTKITFSYERVCKICLNCGIIGHTTPHCPYDNMDIERMLNELMSKIERRRGTPIFYDTQEVRFTDNIMAYHRRVNRHTTRMTFSRMNDDDQVVPDQELRNHFIPVPMEQPDDDDFHISDVQIPQDSEDSDRPQQFHNHAFSPPPFEVHSAPTRSVMLVEEYCEWRDLVTGRNLLPMDFGTPNPDIAESSQMGALRAAAFTNQVPSSVINTPASDREVIPPLDVSFNVSTNNSLISEVAPDLNAPAALIPTDRTGTLQDIITVHARPVPPTLDEWLLCREKLDENNPIHLQLSAADDIHASSPLSPLLLTTGVNTPLQPTTVVSPQPEPLPHLNQPLNPPLSPTTELLPTSSQPLI >OMO58845 pep supercontig:CCACVL1_1.0:contig14104:18901:21556:-1 gene:CCACVL1_25316 transcript:OMO58845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MGIKLILTAICICNWMISVLTTSCDGLARISLKKQSLDLKRIYVARVELQSNVTNVVYLKNYLDTQYYGEIGIGSPSQTFSLVFDTATSNLWVPSSKCLFSIACHLHSKFRARLSTTYSKIGVPCKIHYVSGSISGFFSLDHVKVGDITVKDQEFIEITKEGFLPFLVAEYDGILGLGFPEISLEYATPLWLNMLQQGYVSQKFFSLWLNQDLTSELGGEVIFGGLDWRHFKGDHTYVPVTKTGYWQIEIEDILVENNSTGLCKNGCGAILDSGTSFNAGPTEIVAQINRAIGAEGILSMECKKVVYKYGYSLWDSLISGIQPEIVCVDIGLCTYNGSHHKTRQEYSPMGETGLCTFCEMIVFWIEVQLKEQKTKDKVFKHVTQLCEKIPNPIGKYFVNCEDLETLPDITFTIGNKPFPLTPQQYIIRVAESCSTVCISSFIAFDVPPPRGPLWILGTTFLRAYHTVFDLGSLRVGFAKAATGIFKADIINKVSQRKTIVYDEGIVSKAEDWDIK >OMO82337 pep supercontig:CCACVL1_1.0:contig10051:45351:49149:-1 gene:CCACVL1_11985 transcript:OMO82337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MASADNVTPNYDRIKDLEQFDHNKNGVKGLKDSGMASIPKFFIQPPEVLSTLKPPPQTNYTGDIPIIDLENINSQTHHPEIVKQIKEAAKEWGFFQVINHGIPISILEKTIQAVKSFHEQPDEVKTKYYGREMKSGFAYSSNVDLYNAKTATWHDYLHHWMAPEELAAKLEDIPDIMREEAVAWDVFAKRLAEDVMELLCEGIGLESSKFKELSCSCQRLFVGGYYPYCPQPDLTLGLAPHTDSGTITVLLTNQISGLQIKHEEEWVDVKPLPEAVIINIADLLQIISNGEYNSVEHRVRANTCQEARISVTEFFNLTKWKEYGSFGPLPELVSKEKPALYRQFTEHDYYSNLHLNGLECKSMVWLPFPNSLSTLKPPSQTNTGDIPVIDLENINSEPHRPKIVEQIKEAAKEWGFFQVINHGIPISVMEETIQAVKSFHEQPDKVKAKYYNRAGGVAYSSNIDLYRAKAATCHDYLHLWMAPEERAAKVEEIPEIVRKEAVAWDGFATKLAEDVMELLSEGLGLESSRFKELSCSGLKFILGLQVKHGQEWVDVKPLPGAIVINIADLLQIISNGEYNSVQHRVRANSCQEARISVAEFFGLSKWKEYGTFGPLPELVSAEKPALYRQFREDDFYSNLHINGLESKTMVEKFKL >OMO82331 pep supercontig:CCACVL1_1.0:contig10051:21710:22226:1 gene:CCACVL1_11979 transcript:OMO82331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFHHLLHPPTTSSPPSFRTLVSINGKPKFFSSHKRYYLNSPFLCFSSKTPSPSADNNPSNFCIIEGPETVQDFEQMQLQLMII >OMO82333 pep supercontig:CCACVL1_1.0:contig10051:32570:34297:1 gene:CCACVL1_11981 transcript:OMO82333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLIKNRQVISNNLFTRFLNSPNSLSTHPYNISQRSLQTLAYEEIQANPNKPHTHTAFVLHGLLGSARNWRSFSRSLASSLSSSPSSEWRMVLVDLRNHGKSAEIEGLDPPHNLVNAAKDLGNLVNSKGWDWPDVVIGHSMGGKVAMQFAQSCSNKDYGENVKLPKQLWVLDSVPGEVTSDNSDGEVEKVLQTLQSLPSTVPSRKWLVNHMLELGFSKSLSEWIGTNLKKSGDQETWAFNLDGAVQMFQSYREMSYWSLLEHPPKGLEIAIVRAEKSDRWDADVVDRLERLASQEGNGSAGKVSVHVLPNSGHWVHVDNPKGLLEIVAPKLKSLS >OMO82336 pep supercontig:CCACVL1_1.0:contig10051:38032:39152:1 gene:CCACVL1_11984 transcript:OMO82336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MGGGSRRKVREPKEENTNLGPAVREGEYVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >OMO82328 pep supercontig:CCACVL1_1.0:contig10051:1025:4412:1 gene:CCACVL1_11976 transcript:OMO82328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRSLSGSGQSPRSPSSQPYLSVSVTDPVKLGNGVQAYISYRVITKTNFPDYQGPEKIVIRRYSDFVWLRDRLFEKYKGVFIPPLPEKSAVEKFRFSAEFIEMRRQALDVFVNRIASHSELQQSDELRTFLQADEDTMERMRSQETGIFKKKPADLMQIFKDVQSRVSDVVLGKEKPVEESNPEYEKLKHYIFELENHLAEAQKHAYRLVKRHRELGQSLSDFGKAAKLLGACEGQDLGKAFSELGAKSETLSIRLQKEAHQLLMNFEEPLKDYVRAVQSIKATIGERANAFRQQCELAETMKLKEINLDKLMLTRSDRVGEAEHEYKELKAASEEATRRFETIVRLMNEEIVRFQEQKTQDMGLAFYEFAKGQARLANSIADAWRSLLPKLEACSAA >OMO82330 pep supercontig:CCACVL1_1.0:contig10051:8120:20908:1 gene:CCACVL1_11978 transcript:OMO82330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKGEDGYDAVVVGSGYGGSVAACRLSMAGVKVCLVEKGRKWEAKDFPTDSFKLISALRMENQNLGVSFGPKDALFQVYEQNDSLAAVACGLGGGSLVNAGVMLPTPVRTRRNSKWPKEWEWDWDSCEASAATMLRIQSVPVQFPVAKIMKEIDVGEMEEMVQDSLKLSMNFDLEEPPSRLLKHQNQGSCIACGNCLSGCPYDAKNSTDKNYLALAIQAGCIVKTECEVQCVVKNPFETCQEGEIGGKRRWIVYLNEIDYIKADFVILSAGVFGTTGILLKSEMRGLKLSEALGTGFSCNGNNVAYLAGSSGPLNAYGLNRKQLSKTPFEGRPGPSISSSYSSSLGFTIQSAVIPAAYPSILFKGIVTFGWPTGYWFFHGIIDKLKCLVGSNSTQAMILNAMGLDKSDGKITLEKETEKICFNPPHDPLLPRKIEAFQKITKKLGGILFMSKYRSTSVHQLGGCNASSDFSDGVCNPNGQVFDPEAPSQVHKGLYVCDASLIPCSVGVNPSLTIAAAAEHVSRHLVKDVLKYKSKNCTDFASKEVDRNSYTEEMHDNIKARDTSYVLIKETLRGYVGGMPCTATLKMRLDLQSQNNCDDWNWVMRKFHPTLKGKVGGYVVLRAIEKDKLHVLDGEVDMCEVDYKTPYTQYMRYRLLLAAASGSRYILEGKKIMNPYLFALHSWTETTTLHVTFKRIAGNFSGDVGLNLKGELRISMIALLKSLLSLEGNGRARFIYLFSLNLLRTYILQIPQENHEYSVTDSENKSYPASTFHEIKTEDGYLISCRQWNCGQNRWKLRGEMQPNPILLLNGHSTESFCLPTEPNDLIRTLLDEGYEIWLLQSRLHLLNPPKNFTIEDIASYDIPAALNKITEFHGPSMKVHVVAHCVGGLSIHMAVMGGYISATQIASLSCTNSSMFFKLNMLATVKMWLPLVPMSMALLGDNNTLPLLETSKASFRHRLLMSIARWIPRYERCTCKECEVFSGIFGNAFWHQNLSHTMHHWLNKQSTRILPMAAFPHLRKICKSGFIVDSKGNNSYLIHPERMALSTLYISGGRSLLVTPETSFLANKYMKLHQPGFRHERVVVDGFGHSDLLIGEESSKEVFPHIISHIRLAEEGKNVVMISKGKSCSKAALEWAADPYQGYGGCETWFSPLINKISGGARLGFITIGDGKTEVLVYIDCLVFPATDASGPIFRAIRNGPLKDQFPPVSKILEKPADFRKMSCDGEDGYDAVVVGSGYGGSVAACRLSMAGVKVCLVEKGRKWEAKDFPTDSFKIISALRMENQNLGVSFGPKDALFQVYEQNDSLAAMACGLGGGSLVNAGVMLPTPVRTRRNSKWPKEWEWDWDSCEASAATMLRIQSVPVQFPVAKIMKEIDVGEMEEMVQDSLKLSMNFDLEEPPSTLLKHQNQGSCIACGNCLSGCPYDAKNSTDKNYLASAIQAGCIVKTECEVQYVVKNPFETCQEGEIGGKRRWIVYLNEFDYIKADFVVLSAGVFGTTGILLKSQMRGLKLSEALGTLFSCNGNNVAYLAGSSGPLNAYGLNRKQLSKTPFEGRPGPSISSSYSSSLGFTIQSVVIPAAYPSILFKGIVTFGWPTGYWFFHGIIDKLKLLIGSKSTQAMVLNAMGHDKSDGKITLEKETEKICFDPPQDPLLPRKIEAFQKITKKLGGILFMSKYRSTSVHLLGGCNASSDISDGVCDSNGQVFDPEDPGQVHKGLYVCDASLIPCAVGVNPSLTIAAAAEHVSKHLVKDVMKHKSCTDFVSKGIDQNPSTEMHDKLKTRDTSYVLIKETLRGYLGGMPCTATLKMRLKLQSQNNCDDWNWVMRKSRPTLKGKVGGYVVLRTIERDKLHILDGEVDMCEVDYRTPYTQYMRYRLLLAATSGSRYILEGKKIMNPYLFALCSWTETTTLHVRFKRIADNFSGDAGLNLKGELKISMIALLKSLLSLEGNGRGRFIYLFSLNLLRTYILQIPQENHEYSVTDSDNKSYLASTLHEIKTEDGFLISCRQWNCSQNRWKLMGEMKPNPVLLLNGYSTESFCLPTEPNDLIRTLLEEGYEIWLLQSRLHVLNPSKNFTIEDIARYDIPSAIEKMIEFHGPSMKVHIVAHCVGGLSIHMALMGGYVSATQIASLSCTNSSMFFKLSTLAIVKMWLPLIPHVGFLAMRDVLIKNVRSSLAYLATYSGIKT >OMO82329 pep supercontig:CCACVL1_1.0:contig10051:5058:7443:1 gene:CCACVL1_11977 transcript:OMO82329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALRQPLHATGGVVPLVQHPYSRVVSSLPVVSIKDKKRPRLSKLCGYYGHRNLIAKLVGKASEGNDDIVAAGDDPEDGIQLGTMKLPSNTDIQRFETLLFQWANSLCQGANIPLPVPLKIDKIPGGARLGFITIGDGKTEVMVYIDCLVFPATDASGPIFRAIRNGPSKDQSPPGEPRIMRSLLQALQKSVEIARV >OMO82334 pep supercontig:CCACVL1_1.0:contig10051:35485:35820:-1 gene:CCACVL1_11982 transcript:OMO82334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSNPFSFSSVELLETPDLEEDILDAWMEEHFIAISDNEDIHEDQFEHFLDITDDGDIPEAKVVEHFAFGANREIIPLSEASFVNQVVLVPTQQQPYEHSSTQKVKQKYY >OMO82332 pep supercontig:CCACVL1_1.0:contig10051:24196:31753:1 gene:CCACVL1_11980 transcript:OMO82332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDGYDAVVVGSGYGGSVAACQLSMAGVKVCLVEKGRKWEAKDFPTDSFKMISALRMENQNLGVSFGPKDALFQVYEQNDSLAAMACGLGGGSLVNAGVMLPTPVRTRRNSKWPKEWEQDWDSCETSAATMLRIQSVPVQFPVAKIMKEIDVGEMEEMVQDSLKLSMNFDLEEPPSRLLKHQNQGSCIACGNCLSGCPYDAKNSTDKNYLASAIQAGCIVKTECEVQCVVKNPFQTCQEGEIGGERRWIVYLNEIDYIKADFVILSAGVFGTTGILMKSQMRGLKLSEALGTGLSCNGNNVAYLAGSSGPLNAYGLNRKQLSKTPFEGRPGPSISSCYSSSLGFTIQSAVIPAAYPCILFKGIVTFGWPTGYWFFHGIIDKLKCLIGSKSTQAMVLNAMGHDKSDGKITLARETEKICFNPPHDPLLPRKIEAFQKITKKLGGILFMSKYRSTSVHQLGGCNASSDFSDGVCNPNGQVFDPEGEAPSQVHKGLYVCDASLIPCSVGVNPSLTIAAAAEHVSKHLVKDVLKYKSKNCTDFASKGIDRNPYTEKMHDNIKASPRDTYDVLINEWFRGHVGGMPCTVALRMRLDLQSQNNNICDDWNWVMRKSTHATLKGKIGGYVVLRAIEKDKLHILDGEVDMCELDYRTPYTQYMRYRLLLAAASGSRYILEGKKIMNPYLFALYSWRETTTLHVTFKRITGNFAAGDAGLNFKGELRITMIALLKNLLSLEGNRRARFIYLFSLNLLRTYILQLPRENHKYSVTDSDNKSYPASTLHEIKTEDGYLISCRQWNCGQNRWKLRGEMQPNPVLLLNGYCTESFCLPTEPNDLIRTLLEDGYEIWLLQSRLDMLNPPKNFTIEDIASYDIPAAINKISEFHGPSMKVHVVAHCVGGLSIHMAVMGGYISATQIASFSCTNSSMFFKLNTLATVKMWLPLVPMSMALLGNNNTLPLFETSKASLRHRLLMSIARWIPRYERCTSKECEVFSGIFGNTFWHQNLSHIMHHWLNKQSTRILPMAAFPHLRKICKSGFIVDSKGNNSYLIHPERMALSTLYISGGRSLLVTPETSFLANKYMKLHQPGFRHERVVVDGFGHSDLLIGEDSSKEVFPHILSHIRLAEEGKNIVMISKGKTCSKVALELAADPYQEYGGWETWFSPLVLVLLLLCLVFIMLFINFCIIEGPETVQDFEQMQLQEIDDNIRSRRNKIFLLMEEVRRLRIQQRIKNKVKVINENGVEETDEMPNIPSSLPFLSYVTPKTMKQLYFTSVGLISGIIVFGGLIAPTLELKLGLGGTSYEDFIRHLHLPLQLSQVDPIVASFSGGAVGVISALMLIEANNVEQQEKKRCKYCHGNGYLACAKCSASGVCLNIDPISISSASGQPLKVPTTRRCTNCSGSGKVMCPTCLCTGMLMASEHDPRIDPFD >OMO82335 pep supercontig:CCACVL1_1.0:contig10051:37546:37674:-1 gene:CCACVL1_11983 transcript:OMO82335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGKRKRDRKLGFLRSAVAVAVASDSSFQREAEKSVATFYS >OMO55227 pep supercontig:CCACVL1_1.0:contig14762:20147:23258:1 gene:CCACVL1_27345 transcript:OMO55227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESLMMITINSHPGEVAKIVVCGEESNVATQQAM >OMO55226 pep supercontig:CCACVL1_1.0:contig14762:13160:13240:1 gene:CCACVL1_27342 transcript:OMO55226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKNLTTNNRLRHVESMAPLPSGA >OMP12191 pep supercontig:CCACVL1_1.0:contig00299:67:150:-1 gene:CCACVL1_00083 transcript:OMP12191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTDFFELPQEEKAPFYSTDPTKQVK >OMP03802 pep supercontig:CCACVL1_1.0:contig05970:6295:6456:-1 gene:CCACVL1_02258 transcript:OMP03802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPTSIEPGDTSKGVGVDSEDRVKEDMMDDDSHLSSSPIEIVSTAELGADDMQS >OMP03801 pep supercontig:CCACVL1_1.0:contig05970:253:654:1 gene:CCACVL1_02257 transcript:OMP03801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTAKGFLDEEIGVTNGYGCGNRRKSGTVGYLLNQSSDEPSTTTTGGAKLLRQLRFLVASFWRLASQVGGLVSKLPSASVKSLSIIGRNVGEGGPPYFNGKGGIAVSQPLNYLSPTMITKKTENCVDRRTNRAS >OMO59503 pep supercontig:CCACVL1_1.0:contig13935:48974:49177:1 gene:CCACVL1_24784 transcript:OMO59503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENYPRQNCSGLGNLPSNGGCASNEYHGVFFFKENHPLAKEVRRLALAAAGKALSFSWPRFAWLLS >OMO59500 pep supercontig:CCACVL1_1.0:contig13935:26967:30662:-1 gene:CCACVL1_24781 transcript:OMO59500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHQVSSSGFRENLIRLMEGSQYQYLIPAYCRIGIKKSSESIGL >OMO59499 pep supercontig:CCACVL1_1.0:contig13935:1467:2099:1 gene:CCACVL1_24780 transcript:OMO59499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSATQRGRDQMAPKECPATKKGCSSGRTPSFSSSSSSSSLGSCYNFPDDDSPLSPATPLRFSGVPFSWEHLPGIPKKLQISHKKKESIKLLPLPPPATPPTTSKKFNSEDISISYLSRKKAAAAESFRKDPFFAALVECSKDDHDHDQESASSSFWTGAKVTRSISDRFGFINLYTSCKRTCAVSESIVYLPRSSRTANYGLINRPSR >OMO59502 pep supercontig:CCACVL1_1.0:contig13935:42581:44171:1 gene:CCACVL1_24783 transcript:OMO59502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGCKEDNSCCYFHPKEVVIGVCPLCLNERLLILASKQGQRSSSSSRGSHRSNFQGSKKLPPINLPNIFSFGSVLLNRLEFKHWKSENHDHDHDASTSQEDSFISIKFEENGVASWEKGKVSKVSLEHCSMSWNPTLTKDMISKESSTKSVIEHSKPRGGTLRWRKRIGHLFQLIRLKRSSKGSVCHMGSNKVEGVKVMRKGWIRSLTKRTKE >OMO59501 pep supercontig:CCACVL1_1.0:contig13935:33450:38868:1 gene:CCACVL1_24782 transcript:OMO59501 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MSVYIVGAIVPIVFTLLLRNTKNVKKRGVPVDAGGDPGYTIRNSRFPSPVETAWEDVSTLAELFEQACKEHYDKPLLGTRKEISREVEVTDDGRSFEKLHMGDYEWLTYGKAFEAVCNFASGLVHLGHGKEERVAIFADTREEWFLALQACFRRNVTVVTIYASLGEEALCHSLNETEVTTVICGNKELKKLVNISGQLDTVKRVICMDDEIPTSASGRWTITSFADVERAGRENTIAADLPLSADVAVIMYTSGSTGLPKGVMMTHGNVLATVSAVMTIVPGLGSKDVYLAYLPLAHILELAAENLIPAVGGIIGYGTPLTLTDTSSKIKAGTKGDATVLSPTVMAAVPAILDRVRDGVRKKVDAKGGLAKKLFDLAYARRLSAINGSWFGAWGLEKFLWDVIVFKKVRAILGGRIRFLLSGGAPLSADTQRFINICLGAPIGQGYGLTETCAGATFSEVDDTSVGRVGSPLPCSFVKLIDWPEGGYLISDSPMPRGEIVVGGPSVTLGYFKNEEKTKEVYKVDERGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALSVSPYVDNIMLHADPFHSYCVALVVASQPAVEEWASKRGVAFTDFADLCEKEETIKEVHSSLVQVAKKSRLEKFEIPAKIKLLSTPWTPESGLVTAALKIKREAIRKAFSEDLANFYAS >OMO59504 pep supercontig:CCACVL1_1.0:contig13935:49494:49589:-1 gene:CCACVL1_24785 transcript:OMO59504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKKKKNLHLPAISLQTTSDQKLGNPPRRN >OMO68049 pep supercontig:CCACVL1_1.0:contig12293:11984:12193:-1 gene:CCACVL1_20104 transcript:OMO68049 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine/threonine-protein phosphatase PP2A-2 catalytic subunit-like protein MAKKRVILTSRSRFIKNVVTVFGATNYCYRSGNMAAILEIWENMDQNFFSLVQHLGKLSLTPHASHLIFL >OMO78278 pep supercontig:CCACVL1_1.0:contig10608:35908:36600:1 gene:CCACVL1_14529 transcript:OMO78278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S27a MKHEETIIGRSGSLLMGPIPTPSEGSHIRNSITTHKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >OMO78276 pep supercontig:CCACVL1_1.0:contig10608:18619:22146:-1 gene:CCACVL1_14527 transcript:OMO78276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISGASGSNILSFLTGSSAHTWQPVMTADTATLSYWLTWRFLICALFILTAIVVSAIIIWKYEGRKKSERQEREDQSEPPGFLYEDEAWSTCLKSIHPAWLLSFRVFAFIMLLALLLANVVIDGGGIFYFYTQWTFTFVTIYFGLGSAISIYGCRKRWGKADSDGADHSSLDSEQGTYIPPTLEDTEDASNHSKHLDSHEAPYHPSRAGPWIYAFQIVYQTCAGAVMLTDSVFWFILYPFLSKDYELNFLLVCMHSINVVFLLGDTILNSMHFPLYRMAYFVLWTGTFVVFQWIIHACVNLWWPYPFLDLSSSYAPLWYMGVGLMHVPCFGLYALIVKLKDYSLSKSFPDSYRKWR >OMO78275 pep supercontig:CCACVL1_1.0:contig10608:10303:13329:-1 gene:CCACVL1_14526 transcript:OMO78275 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp, succinyl-CoA synthetase-type MARKKIREYDSKRLLKQHFNRLAGRDLPIKSAQITESTDFNELVEKEPWLSSQKLVVKPDMLFGKRGKSGLVGLNLDFAQVIAFVKERLGKEVEMGGCKGPVTTFIVEPFIPHNEEFYLNIVSERLGCSISFSECGGIEIEENWDKVKTIFVPTGTSFTSESCAPLVATLPLEFKGEIEEFIKSVFALFQDIDFTFLEMNPFTLVDGKPYPLDMRGELDDTATFKNFKKWGNIEFPMPFGRVMSATESYIHGLDEKTSASLKFTVLNPQGRIWTMVAGGGASVIYADTVGDLGFASELGNYAEYSGAPNEEEVLQYARVVIDCATANPDGRGRALVIGGGIANFTDVGATFNGIIRALKEKEAKLKAARMHIYVRRGGPNYQRGLAKMRALGEEIGIPIEVYGPEATMTGICQQAIQYITAAA >OMO78277 pep supercontig:CCACVL1_1.0:contig10608:23998:28733:-1 gene:CCACVL1_14528 transcript:OMO78277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIIASLPGINLFSSLPSNPPPNDAASSTPPPTPPIPIPKYPPPRKRNPQTVQPRSNPALSFPHRRSHYRKPVRKGVISTDGDRSVVIGENGVSYQLPGAPFEFQYSYSETPKAEPIAIREPAFFPFEPPTMSRPWTGKAPLKKSKKKIPLFDSFNPPPPGKKGVKHVQMPGPFPFGKYPKDGKTREEILGEPLKKWEIKMLVKPLLSDNRQVNLGRDGLTHNMLDLVHTHWKRRRVCKIKCKGVPTVDMDNVCRHIEEKTGGKIIHRVGGVVYLFRGRNYNYRTRPQYPLMLWKPAAPVYPKLIQEAPEGLTKAEADELRKKGKSLLPICKLAKNGVYVSLVKDVRDAFEGSPLVKIDCKGMHASDYKKIGSKLKELVPCVLLSFDDEQILMWRGHDWKSMYPEGPLTLVPGKADISSGLDDSANSADDCPTPKTKKVISSRKMISLWKRAIESNKALLLDEIDLGPDALLEKVKEFEGISLAIEHSSEAIILSNESSSGSSMTDLEDGSNMEDFGGENDIYSDDDIIDDEDYDSDPLEEMDPSIPLGSLPVDRIAERLRSERKRNEGKNIN >OMO78274 pep supercontig:CCACVL1_1.0:contig10608:3724:4911:-1 gene:CCACVL1_14525 transcript:OMO78274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEQKLRKGLENGFGEEEDGVVTEIRGDILESILCHVPLIHLLSASHVSKSWNHAVYSSLRHFNKPKPWLIVHSQSSRPPYPTTALAYDPRSNLWLRLTQTKPLEDVSTLRSSNSALLYMLSLSKFSFSFDPLHLTWNHVDPPLVWRIDPIVALVGRHVVVAGGACDFEDDPLSVEIYNLDSRRWSTCESMPAILKDSAASTWLSVAADTKQLFAMDQVSGITYSFDPDSKIWSGPFDLRQDQNIYFSVIGIFGDSMIMVGLIGTSENVKDVKVWELKGESLELRLEIGVMPAELVKKLKGEQASLNSVRISLMGEFLYIYNPGEPEELAVCEIDGKGVCRWRSLKNAAASDRSKVADRMVLTCAEVGLADLGKAVGSGKGSFSVVDNGNFQQF >OMO78279 pep supercontig:CCACVL1_1.0:contig10608:39260:41473:1 gene:CCACVL1_14530 transcript:OMO78279 gene_biotype:protein_coding transcript_biotype:protein_coding description:YABBY protein MSTLNQLFDLPEQICYVQCGFCTTILLVSVPCSSLSMVVTVRCGHCTSLLSVNMMKASFVPLHLLASLDQDEEPKGALCEEKAAPRKTAAVDRRSPSIMTSSDNEEEDVVLMNPTVNKPPEKRQRAPSAYNRFIKEEIRRLKAQNPNIPHKEAFSTAAKNWAHFPPMDNIDGESCCGQDEGDASWNSDAAEVHIEGNDFRERKAARNSIWAKTPFE >OMO94985 pep supercontig:CCACVL1_1.0:contig07759:24945:25664:-1 gene:CCACVL1_05664 transcript:OMO94985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETSAGPNEHASSDQNGVLTTITAKGLKERESSYKGTNDYTKPSAFSGSK >OMO94984 pep supercontig:CCACVL1_1.0:contig07759:1331:3040:-1 gene:CCACVL1_05661 transcript:OMO94984 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein MALE MEIOCYTE DEATH 1-like protein MYLSDALLFVSSRFHLVANEPYMRKVGEAWRILHQGEEAIFLLEEQLDDEGLLQQADHCQHCNTIGWGHHLVSRTDYRVLVLVEDKRDSDLVTRSELLDADSCIFHGVIHGDGYAHLIFVDAMHEKARDIMCSWHQLCHSLCVRQISVEDETNWKGMQLRLLHGVAFERGWFGRNTWNYSHPSPDSDVTPFLSNLCSVDINSTLEQLSQSQSEHYARIADIINYCRDEYQGDLLTLSDLFKFMLVTVGVAHDKESKTKSNLSKYTKRRVEKANALLIKILRENANGWMYRDDLRTAASAKNREKGDRIGDTGFLDAVLTQKVISSGGYAARRSTDQQNNDKLLFTIEQNPQGGAEQNQGGEQGGAEHNNTQRAEDVLFLYQHLVLADPLAEKVLERNTFIKTLKHGITPHADGSLAELFYQVEGWEDASQGILEVARSAKGVQLKEVIQKGLRNTFCALANLVVTDLKPAYLNDENSLEKNPLEEGNLVTTPVVVSGTGYIVQKTCFECQAHIGSDNQGYCFHCLQKVEQQPGAPEESEESASLLVEGPSPAWYPEPESQLLSKDKEHH >OMP11489 pep supercontig:CCACVL1_1.0:contig01240:3428:4167:1 gene:CCACVL1_00494 transcript:OMP11489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FKLLNVLCDHESFVHNSSACDKILDVASEELGNIDPYSIYTLPCSANVSQSKGLLKRRLKAGQLSEKYDPCTERHSDLHLPK >OMO69080 pep supercontig:CCACVL1_1.0:contig12116:11460:11582:1 gene:CCACVL1_19665 transcript:OMO69080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLVIANSSLPPLFFNQNELRYSGATKEQRRRCKVREFES >OMO70847 pep supercontig:CCACVL1_1.0:contig11780:19968:20090:-1 gene:CCACVL1_18628 transcript:OMO70847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTGSRSKSKAPAYGLRQSRHNGLGKFNLGHLTNFPSHL >OMO70848 pep supercontig:CCACVL1_1.0:contig11780:28619:36122:-1 gene:CCACVL1_18629 transcript:OMO70848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclases/protein prenyltransferase alpha-alpha toroid MKVQSTYGSQTWDAAFTVQALLACDMVDEIGPTLNKAHDYIKKSQVRDNPPGDFKQHFRHISKGAWTFADQDHGWQVSDCTVESLKLEFYLFARQRYWGEPIPVIFLDDSGESIPVPETELPLTLPELDDFTPSGTGEPPLSKAVSWIPHLGNQPLEKRTLCHNGLVLAGVHRFLGRVWRLIIGPPLHHGAFRDGTVVTDEEPTMEQLRALHRCIAKKLMWRLRNGEAENDPYLFSTNNFLGRLVWEFDRNAGSEEEQAEVEEARQNFYNNRFRYKPSADLVWRIQFLREKKFKQVIPQVKVKDGEEIKCEIVTAAIRRSAHLLAALQADHGHWPAENSGPMFYHPPMNEDGGWGLHIESQSTMFGTVLNYICLRLLGEEADGGQNDACARGRKWILDHGGATAIPSWGKFWLAVLGVYDWDGVNPMPPEFWSFPKFLPIHPEDPNGESFKKHLARFPDYFWLAEDGMKVQSLTGCQTWDIGFTVQALLACDMVDEIGPTLKKAHDFIKNSQVRDNLPGDFKQHFRHISKGAWTFANQDHGWQVSDCTAESLKCCLLFSLLPPEIVGEQLEPHRLFDAVNILLSLQTKRGGVTGWEPLHAYPWLEVRNYDVKVNFERIKFL >OMO53436 pep supercontig:CCACVL1_1.0:contig15200:23644:24995:1 gene:CCACVL1_28643 transcript:OMO53436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MSPSAEYDINETSLVEVPPKGAAKRAYVTFLAGNGDYVKGVVGLAKGLRKAESLYPLVVAILPDVPEEHREILRSQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYEKMVYLDGDIQVFDNIDHLFELADGYFYAVMDCFCEQTWSTSPQYKIGYCQQCPDKVKWPAELGSPPPLYFNAGMFVYQPNLLTYHDLLDTLKVTPPTPFAEQDFLNMYFREIYKPIPPIYNLVLALMWRHPENVDLDKVKVVHYCAAGSKPWRFTGKEENMDREDIKLLVKKWWDIYDDESLDFKNAMAQEPAKLGSFISSFTTDTQDAVVHQRISAPSAA >OMO53433 pep supercontig:CCACVL1_1.0:contig15200:1320:9751:1 gene:CCACVL1_28640 transcript:OMO53433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase 7 MFFSGDPTTRKRVDLGGRSSKERDRQKLLEQTRLERNRRLWLRQQNSAALKIEVLFPLERIDHHEYLALLQKCFRGRKVAEAERAKVREQFHLTYGKHCQNVDRHCFGPDSEFLRQLIFFFNPRNVNDFSVLVETFRLLQQFVQDSGDVVGLFAGMDYSSNHSLVAYRVKRLSFACIQAIHQNRNQLKEQLSMSLEESSSPTSILLQGLVLLLDPKLPWACKTVGYLMQRNVFSLFREVVLTAKENISARDSSGKVSALECVLALMISHVGQNPSICSNIDPQWSFSSQILTIPFLWQLFPYLKEVFASQSLSQYYSNQMALCVQNHTNVLPTDMSNKFPGYACLLGNVLETAAAALSQPDCSFEMAIDLAAVITFLLEALPHIKSSSRESSTMGDDDMTIGDEVVEIVLDGNLEQQITNAIDSRFLLQLTNVLFGGISTVRDSHTEGPDEKEVAAVGAACAFLHVTFDRLPLERIMTVLAYRTELVPVLWNFMKRCHQNQKWSSLPEQFSYLLGDAPGWLLPLHMLMIVDNEEFYEQEKPLSLKDVRCLIVILRQALWQLLWVNPSAHPGLGKSVSNTSSHRRHPVEAIQNRVGTVAAELLSQLQDWNNRRQFTPPSDFHADGVNDYFISQAVMEGTKAHDILKQAPFLIPFTSRVKIFTSQLALVRQRQGAHGVFTRNRFRIRRDHILEDAYNQMSALSEEDLRGLIRVTFVNEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETSDHLLYPNPGSGLTHEQHLQFFHFLGTLLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYKGNISDLELYFVIVNNEYGEQTEEELLPGGKNLRVTNENVITFIHLVSNHRLNFQIRLQSSHFLRGFQQLIQKDWIDMFNEHELQLLISGSLESLDVDDLRRHTNYAGGYHGEHYVIEMFWEVLKSFSLENQKKFLKAGGAASEEALDRLPTSATCMNLLKLPPYRSKEQLETKLLYAINADAGFDLS >OMO53434 pep supercontig:CCACVL1_1.0:contig15200:10849:16688:1 gene:CCACVL1_28641 transcript:OMO53434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MVKVRMNTADVAAEVKCLRRLIGMRCSNVYDLTPKTYIFKLMNSSGITVSGESEKVLLLMESGVRLHTTAYVRDKSNTPSGFTLKLRKHIRTRRLEDVRQLGYDRIILFQFGLGANAHYVILELYAQGNIILTDSEFTVMTLLRSHRDDDKGVAIMSRHRYPIEICRRFEQTTNSKLQAILTSSSEPVENEAAKVSEASNTLPDTPKEKEKEKQGRHKGGKPSESNKNAGDSTRAKQATLKNVLGEGLGYGPALAEHIILDAGLVPTTKVTQDSKFDDDKIQVLSQAVAKFEDWLQDVISGSKIPEGYILMQKKNPEKDGPPSEGTNGQVVMYDEFCPLLLNQFKSREYVNFETFDAALDEFYSKIESQRAEQQQKTKENSAVQKLNKIRLDQENRVHMLRREVDNSVRMAELIEYNLEDVDAAILAVRIALAKGMNWEDLARMIKEEKKSGNPVASLIDKLYLERNCMTLLLSNNLDEMDDEEKTNPADKVEVDLALSAHANARRWYEMKKKQESKQEKTITAHDKAFKAAERKTRLQLSQEKTVATISHMRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYVHADLHGASSTIIKNHRPEQPVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLIMGFGLLFRLDESSLGSHLNERRVRGEEEGSNDVEESGAPLDNSESESEKGAEAIDVAELAAEDSTGVNDVGNANISENVDSGVASASPQLEDILDRTLVLGSAASLGKNSAPETPQLDLVEEDNGEEKKVIVRDKPYISKSERRKLKKGPRGDAANANVEKAKENANIVSKPENNEQSKKPVGGKISRGQKGKLKKIKEKYADQDEEERSIRMALLASRGKGNKNDGGSDDENASTGNSQKPAATTDAPKICYKCKKAGHLSRDCPEHPDDTRPGHSNGVGDDRQAGSDEKNELDRVAMEEDDIHEIGEEEKGRLNDVDYLTGNPLPSDILLYAVPVCGPYSAVQSYKYRVKIIPGSAKRGKAAKTGMNLFSHMAEASNREKELMKACTDPELVAAIIGNVKITAAGLTQLKQKQKKSKKSNK >OMO53435 pep supercontig:CCACVL1_1.0:contig15200:17898:20271:-1 gene:CCACVL1_28642 transcript:OMO53435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S1 MASLAQQFTGLRCPPLSSSRFSIRPKQTQKVGAIASPIVSSIALANAQTKDRLELKKMFEDAYERCRTAPMEGVAFTLEDFQNALEKYDFESELGTKIVGTVFFTDANGALIDVTAKSSAYLPVQEASIFNIKHVEEAGIVPGLREEFMIIGENEADDSLILSLKSVQYELAWERCRQLQAEDVVVKGKVVGANKGGVVALVEGLRGFVPFSQISSKSTAEELLEKELPLKFVEVDEEQSRLVLSNRKAMADSQAQLGIGSVVLGTVQSLKPYGAFIDIGGINGLLHVSQISHDRVADIATVLQPGDSLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPTLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSSDGILGPLTSDLPAEGLDLSDVPEADD >OMO78950 pep supercontig:CCACVL1_1.0:contig10525:8570:8848:-1 gene:CCACVL1_13987 transcript:OMO78950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSFIVPTISAAQENKKELRNVFEQYGRKEGGETRLYHDNLKNAFEYLGALMPGYKAASALRYIDSDKSGYIRGDELQALVEYAYSSGYR >OMO78953 pep supercontig:CCACVL1_1.0:contig10525:16257:16580:-1 gene:CCACVL1_13990 transcript:OMO78953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSANVPKGPHPSCRRSYYKGAAAPRWPLTEAELKAIFKHADENKDKRLSKEEVKKAFDYLGSRLPFWRAGKGLHQADGNQDGYVSDDELDDLVKYALEWLRQKHT >OMO78949 pep supercontig:CCACVL1_1.0:contig10525:7241:7531:-1 gene:CCACVL1_13986 transcript:OMO78949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSYSVVPKGADPLSYRASKQQLRKVFLDCDVDDDKVLTKEEVKVAFDRFGALFPGFRAWKALRRVDKNRDGFISMDELDSLIEYAYQRGYINVN >OMO78951 pep supercontig:CCACVL1_1.0:contig10525:10405:10710:-1 gene:CCACVL1_13988 transcript:OMO78951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MRFLCGNMVNERKKLGKQRSGGPEALTEAQLRSIFKRADEDRDGRLDKHELRNAFVSLGSHLPCWRAGQGLRRADGNRDGYISEDEMDDLIQYALKIGYTM >OMO78948 pep supercontig:CCACVL1_1.0:contig10525:5840:6124:-1 gene:CCACVL1_13985 transcript:OMO78948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MTLTNKSFPQTFISKQQLRKLFLDCDSNNDGFLTKDEIKKAFQKLGAVIPGYRAWEGMKRADANRDGCVSMDELEDLIDYANKLQYSPSTPTPY >OMO78952 pep supercontig:CCACVL1_1.0:contig10525:14716:15033:-1 gene:CCACVL1_13989 transcript:OMO78952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MPCVLGKLLHQRTNEKEMPGGSGTQLPLTKAQVIAIFERADKNRDGRLDKHELRKAFGSLGSHLPSWRAGKILRRADVNGDGYISEDEIDDLIQFALEWLRQKHS >OMO78955 pep supercontig:CCACVL1_1.0:contig10525:20595:20894:-1 gene:CCACVL1_13992 transcript:OMO78955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYCDCKKSRTNSFTVRCAPVPLTKVQVKGILQRFHTNGDRGLSRQEVQNAFNYLGSHFPNWRACRAFHHADANGDGYISEGEMDDLVEYVWQCGYTIN >OMO78954 pep supercontig:CCACVL1_1.0:contig10525:18288:18353:-1 gene:CCACVL1_13991 transcript:OMO78954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAELDELVKYMPWTVQRQT >OMO78957 pep supercontig:CCACVL1_1.0:contig10525:26177:26503:-1 gene:CCACVL1_13994 transcript:OMO78957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MNRVLFVPKNVDKACQRPANNEWSLPAHSNSWRPAVVEKKRAAAVPVNEDFVRDVFRYYDADKDGRLSKKELQNAFSTMGSRFPFLRALLALRHADSNGDGYISEEEF >OMO78956 pep supercontig:CCACVL1_1.0:contig10525:23452:23697:-1 gene:CCACVL1_13993 transcript:OMO78956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MTLPFTEEQLKNKFKGFDTNKDGRLSRKELQDAFNSLPAGEPVTHSAMLTEMEMDTSTRTIELDYLVKYAARLGCTVKYST >OMP11942 pep supercontig:CCACVL1_1.0:contig00673:704:772:-1 gene:CCACVL1_00203 transcript:OMP11942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAINLRNFSLDLLSLLQNELET >OMO75261 pep supercontig:CCACVL1_1.0:contig11058:2768:2830:1 gene:CCACVL1_16262 transcript:OMO75261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRGVERDPLMVCSKSIDRRA >OMO82698 pep supercontig:CCACVL1_1.0:contig09985:12584:13648:1 gene:CCACVL1_11800 transcript:OMO82698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAVIALVLGVLLGAFLLIPRHGKSAHMNKVQSNGNNSKGSKSYSKAEVALHNKRTDCWIIIKDKVYDVTSYVEEHPGGDAILAHAGDDSTEGFYGPQHATRVFDMIDDFYIGDLQK >OMO82697 pep supercontig:CCACVL1_1.0:contig09985:9178:9695:1 gene:CCACVL1_11799 transcript:OMO82697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTILVAAQGVHELPPINGSEDLNKASRKFASIPSTSTIRAVEVLWTPQDENDTLSERELLEDYPLLRPL >OMO59681 pep supercontig:CCACVL1_1.0:contig13906:2862:3269:1 gene:CCACVL1_24668 transcript:OMO59681 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 14 kDa proline-rich protein DC2.15 precursor MASSSKASTPIAFLLALNLLFFTLVSSQTPPPPPATCPNGSLTFNACVELISDVVLGVNIGSGTAQNTTCCRSLLSVGANNASTCICSTLRRIINSDTVPLPVVLGFGANLTITTVLGACNITPVAGNVSATCIL >OMP05207 pep supercontig:CCACVL1_1.0:contig05486:29544:30033:-1 gene:CCACVL1_02012 transcript:OMP05207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLCYSSYVVLVVHQVCPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGLCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >OMP05202 pep supercontig:CCACVL1_1.0:contig05486:910:1710:-1 gene:CCACVL1_02006 transcript:OMP05202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADVEFRCFVGGLAWATDDRALESAFSQYGEIVESKIINDRETGRSRGFGFVTFRDEKSMRDAIEGMNGQNLDGRNITVNEAQSRRSGGGGGGFGGGNGGGYSRGGGGGGYGGGRREGGGYGGGRREGGYGNGGGYGSGGGGGYGGGRREGGYGGGDGGSRYSRGGGASEGNWRS >OMP05204 pep supercontig:CCACVL1_1.0:contig05486:19830:20174:-1 gene:CCACVL1_02008 transcript:OMP05204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVCGRKRSFLESSPASVSYKKLRRCSPAAHCFEAKVKKPCQQNTVLKRAVVIQHERQKESEDKNNELQHLKQSVSQYQEQLRTLEVKNYALTMHLKQAQQSNPIPGSFHPDVF >OMP05203 pep supercontig:CCACVL1_1.0:contig05486:14395:18741:1 gene:CCACVL1_02007 transcript:OMP05203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQRSIGVALLYCLLLLLFESAFGQQVQQQQQRLSSGIELTALFELRSSLGLRSKDWPRKVDPCSNWTGISCANGSVIRINISGFRRTRLGRLNPQFAVNSLANFTNLISFNASKFLLPGSIPDWFGQRLLTLQVLDLSSCSVIGVIPLSIGNMTNLTSLYLSNNRLTGQIPATLGQLLSLSVLDLSSNSLAGSIPSSFGSLRNLTSLDISSNNLTGPIPLGIGVLSKLQSLNLSSNSLTSSIPAQLGDLRSLVDLDLSSNGLSGSVPQDLRGLRNLQRMKFENNSLGGSLPVNLFPSPSQLQVIVLRNNNFSGDLPEVLWSIPGLNVLDISHNNFTGKLPSNSASNGSATAAELDISQNKFYGGLTSVLSSFTSTDLSGNYFEGRVPDYVNENASLSRNCLQNVTDQRTLTDCSSFYSARGLIFDNFGRPNSTEPPVPESGKSNRNTIILAAVLGGVGLIVVLIVLLLLVSCARRRSTTNHRGIGVGPVPAGEGTPSPGVAINFSSLGDLFTYQQLLQATGDFSDANLIKHGHSGDLFRGVLEGGLPIVVKRIDLQSIKKEADVQASSILLDDKFEVRLGSLSEVCAQEGDGHQNRITRLLRLPQTSEQGSSGSSTALCAYDVYCFGKVLLGLVTGKLDTSSDTETKEWFEQTLPYISIYDKELVTKILDPSLMVDEDLLEEVWAMAIVARSCLNPKPSRRPLMRYILKALENPLRVVREDNSGSARLRTTSSRGSWNAALFGSWRQSSSDVAVIPAASTTKAEGGSSFKHSGTTGSHNGSGDHSSSRRRHSREICPEPPETQDIERQDRD >OMP05205 pep supercontig:CCACVL1_1.0:contig05486:20626:23509:1 gene:CCACVL1_02010 transcript:OMP05205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MLSCPPPSLHLHLHLHRHLHSTTVCSSLNHQSAPPSTATPDPLLRTHNSKSTSLLHHHHPSTTKTKNPPQEDSNDNRKHQNSAQTSEKKPLIPQHIIPAQEKLNILEMSLVSKRAPQFPGSIYTSSLPSLQSVLRTQNDPQHLENGNDDDDEEEEEEMIMRALEIRRKVTTEIFMAAMKKGKFGITYSTNLANRLSGFIDHVMIKAAALKKLPEFQYSTFNVRAKLVIDDSNIVPLIRWLKHNDLSYPKIAKLVCMSQGNLDSIRKLVEWLKTVHVKGEFIGATLLNSGDGILQRSLEELDEIVDYLESNGVKMDWMGYVISRCPKLLSYSMEVVKMRSEFYLNMSMSVNDFGTMVFDYPGVLGQFTLEVMNEKVNYLKEFGLSTEEVGKLLAFRPQLMGCSIEERWKPLVKYLYYLGISRDGMRRMLTIKPMVFCFNVETTIAPKVQFFRDLGVQDEAIGDMLVKFPPLLTYSLHKKIRPVVIFLMTKAGVSEKEIGKVIALAPELLGCNICKRLEVSVKYYLSLGIRYRQLGQMIADFPKLLRYKVHLLYPKYRYLRRTMVHPLEDVIEFPRFFSYSLEERIIPRHKIMVENRVNFKLRFMLACTDEEFNQRVADKVERRRRFESGLVDDDLANSQTA >OMP05206 pep supercontig:CCACVL1_1.0:contig05486:24267:28503:-1 gene:CCACVL1_02011 transcript:OMP05206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanyl-tRNA synthetase MAEDAILGYLATHDEISDSGIFASQHGLQHNDVVNVIKSLHGFRYIDAEDIKRESWVLTDEGKKYAAEGSPEVQLFLAVPPEGSISKDELQKKLDPSVFKIGCSQAGKNKWVDMGKQVSRKVQHVEDKVKDLLIRIQDGQELRKEDLTSLKARKLIVAQTWKGYSVRKGPNYAPNRKKVATDLTRENLQKGDWKDLEFKEYNFNAKGPPAEAGHVHPLLKVKQQFRNIFVQMGFEEMPTNNYVESSFWNFDALFQPQQHPARDSHDTFFLEAPSTTKQLPEDYVELVKNVHKDGGYGSRGYNYDWKREEADKNLLRTHTTAVSTRMLYALAKESFAPKRYFSIDRVFRNESVDRTHLAEFHQIEGLVCDRGLTLGDLIGVLDDFFSRLGMSKLKFKPAYNPYTEPSMEIFSYHEGLGKWVEIGNSGMFRPEMLLPMGFPEDVCVIAWGLSLERPTMILNGIDNIRDLFGHKVDLSLIKRNPICRIGL >OMO70535 pep supercontig:CCACVL1_1.0:contig11813:71013:71685:-1 gene:CCACVL1_18825 transcript:OMO70535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKLRSPFKVEAGDTEENQLSTSSRKSSSSVVVGLRIITQNSKGKSNVVVNSALKINLPTSRKHRHGHSGGGGSPADDHQSCFLKSCHLCNKNLSLDKEVYMYRGDQGFCSIECRNRQIVLDEMRELEQSTKQMLASYRHCNTSGRRETRRILEDLRRRNKTPHQNQNHWAIVS >OMO70531 pep supercontig:CCACVL1_1.0:contig11813:50419:50484:-1 gene:CCACVL1_18821 transcript:OMO70531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTGLSRPKKDAKLTSPIL >OMO70538 pep supercontig:CCACVL1_1.0:contig11813:86733:86819:-1 gene:CCACVL1_18828 transcript:OMO70538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVANYTIGNKNTASKDKKNDETMVKGD >OMO70532 pep supercontig:CCACVL1_1.0:contig11813:51778:54102:-1 gene:CCACVL1_18822 transcript:OMO70532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFFLSVFLSLLSLASSASRDDQKTFIVRIQHDAKPSIFTTHKHWYESSLSSILSASTPTQILHVYENVFHGFSAKFSPAEALKLQTLPHVIGVVPEQVRHLHTTRSPHFLGLKTTDSAGLLKESDFGSDLVIGVIDTGIWPERQSFNDRDLGSIPSKWKGQCVTTKDFSSSSCNKKLIGARFFCSGYEATNGKMNETSEFRSPRDSDGHGTHTASIAAGRYVFPASTLGYAKGVAAGMAPKARLAAYKVCWNAGCYDSDILAAFDAAVADGVDVISLSVGGVVVPYYLDAIAIGAFGAADKGIFVSASAGNGGPGGLTVTNVAPWVATIGAGTIDRDFPADVKLGNGKVIPGVSVYNGPSLTPGRMYPLVYAGSGGGDGYSSSLCLEGSLDPEFVKGKIVLCDRGINSRAAKGEVVKKAGGIGMILANGVFDGEGLVADCHVLPATAVGAANGDEIRRYIDSSSKTKSQATATIVFKGTRLGVKPAPVVASFSARGPNPETPEILKPDVIAPGLNILAAWPDKVGPSGVPSDKRTTEFNILSGTSMACPHVSGLAALLKAAHPEWSPAAIKSALMTTAYTVDNSGETMIDESSGNTSTVLDFGSGHVHPTKAMDPGLVYDITSMDYVDFLCNSNYTINNIQVITRKKADCSGAKRAGHIGNLNYPSFSAVFQQFGKHKMSTHFIRQVTNVGDPNSVYKVTIKPPSGAVVTVEPDRLVFRRVGQKLNFLVRVQATEVKLSPGGTSMKTGSIVWSDGKHNVTSPLIVTMQQPL >OMO70533 pep supercontig:CCACVL1_1.0:contig11813:64388:64900:1 gene:CCACVL1_18823 transcript:OMO70533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MEDAESNPPDAREKTKSRGRQRIEIKKLEENNKSQVAFYKRRTGLFKKAEEISTLCGAEIAVIALSKTGRVYTSNNAEAVVDRFLGESSDHGDIVNDEEIDEEEQDSGGGFSLDQTEEDLSLIELLDYGMALEDLRDTAATRLEEINIMNSGSLLQWVTDQDVKKAEFLN >OMO70551 pep supercontig:CCACVL1_1.0:contig11813:155364:156215:-1 gene:CCACVL1_18842 transcript:OMO70551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MDKPGFDESTAVAITGKIMVIAIIVLFLVVVFVLFLHLYAKWFWWRIEEPPAPPSRRNRRRFVFAPGQDTAHPSRASKGLDSTILASLPVLMFRQEEFKDGLECAVCLSELTEGEKARLLPKCNHGFHLECIDMWFQSHSTCPLCRNAVAAAESENSGSVLSGNEDNGHVQSPQDSLASGNSSDSPSFPTNVLFWGNQTQVSSRGPCLEEGSSGSGSASFASSSGSGRQEGMLVIDVPMNVNENFPEEESKSPMPTRLRSLKRLLSREKRVAPSSSGSSSVDV >OMO70537 pep supercontig:CCACVL1_1.0:contig11813:75628:78713:-1 gene:CCACVL1_18827 transcript:OMO70537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor 2B-related protein MWWRSPSFILDRQQQQEQNDSVPPESLFLTSAAPSMADSLQNPKSNISAYYQTRAAHHGVVTSDWLAQAQAAVGRHSDDDDSSESDGRAVPTVGGDGVSGKAFSVIEEFNNWRKQPDLAEAVAAIRALAAVIRASQATTMMELEIELKKASDSLKSWDTTSISLTAGCDLFMRYVTRTSALEYEDFNSAKSRLIERAEKFGEISCKARRIIAMLSQDFIFDGCTILVHGFSRVVLEVLKTAAQNKKLFRVFCTEGRPDRTGLRLSNELAKLDVPVKLLIDSAVAYTMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHGMNKPVYVAAESYKFARLYPLDQKDMAPALRPIDFGVPIPSKVEVETSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >OMO70534 pep supercontig:CCACVL1_1.0:contig11813:66420:69471:-1 gene:CCACVL1_18824 transcript:OMO70534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MASDHISLLVDEFYFSALFDDEEQFPISDEKYAMELHLQEALMSSATSSRVRIGLSTQLQISQNLNSSRKGKEKETGESSNSNQTDDWNSLCRICMDVKPIGEMFRSNTCSHLFCTDCIGKYIAAKLQENISMIECPEVNCKVALEPQFCRSIVPGEVFDRWENALCESVILASQKFYCPFKDCSAMLVDDGVNNVVEAECPNCHRMFCAQCKVPWHGGISCDEFRNLGVDFTFAMVVDKDIVTLMHAGEHSKSFKKPIMEEPTSKADETLFDDEEQFPISDEKYAEELQFQEALMSSSRVRIGSTEMKISDQNSNSSRKRKWKEKETGESSNSNQTDDEWNRLCGICMDVKPIGEMFGSNTCAHLFCTDCIGKYIAAKLQENISMIECPEVNCKAALEPQFCKSIVPRQVFDRWENALCESLILASQKFYCPFKDCSAKWLNPSVRIAIDCSVHNARSHGMVEFHAPSFKIWVRTKDQEKISCCGNLPGTKGGEDAPTVEFMSRKFMAAPTCYAGVDAALIIIIEDKALRSGTY >OMO70547 pep supercontig:CCACVL1_1.0:contig11813:140425:140670:1 gene:CCACVL1_18838 transcript:OMO70547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLRLTGPDSVNKTQKTNDIVSLAEFKNFRCPLLEIAATRSQNRKTDKTPLFFCLFVILISAGFLPLGLSSRTLASSASL >OMO70540 pep supercontig:CCACVL1_1.0:contig11813:102685:105863:1 gene:CCACVL1_18831 transcript:OMO70540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLASPKYFQAPSLFPSNGEPVLDSNGSIYGQSKDNPFADTFPDPLCKLNLKETSEFVKSLPMAAAGNNNNAGGGGGGGFLDVSIQKRREGVNSVTTQRRVLEAPPTPGRPVFSFSGGAGGNLARKNFPSKWDNAEKWLVSSSCHESPAHPIKPPPPPESSKMTKQCDNFKQQSEVFAEKSRVVEENVTKVVTSLKGPVYNHNPSRGFTGIPGSTDVLLKDKFTDEVESILPNFRYSEPSREGFLFRNSVCETMKDAGTGVIHEVKHKDVGTEMTPLGSSTTSRCHTPFKSSSPARHNTPANRSGPLASANSNSSSSTIDISQLQECHLAKLQLGTQYDSITSNWSSREEEEEEVSKSLRHFETGNACRKSVSDSRAAAWEEEERTKCCMRYQREEAKIQAWVNLQNAKAEAQSRKLEVKIQKMRSNLEEKLMKRMAVVHRKAEEWRVTAQQEHAEHMRKATDQAKKIMNRNNSHMSGHSSCGCFPCNNSH >OMO70536 pep supercontig:CCACVL1_1.0:contig11813:74901:75101:1 gene:CCACVL1_18826 transcript:OMO70536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-5 MMKVQPAVASSVMSKATDMKGEVSAGEKETFWMRDPKTGNWIPENHFGDIDVAELREKLLSKKQLN >OMO70542 pep supercontig:CCACVL1_1.0:contig11813:111954:116612:-1 gene:CCACVL1_18833 transcript:OMO70542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MRRFVIGRVRNLLETSRQNHQFKRPLLSSSQSPYRFLSSTTLADSPSSSSSSPSTMAPPVTVDTINPKVLECEYAVRGEIVTLAQNLQQEIQKKPDAHPFEEILYCNIGNPQSLGQQPITFFREVLALCDHPSILDKSETQGLFSADSIERAWQILDQIPGRATGAYSHSQGIKGLRDAIAAGIEARDGFPADPNDIFLTDGASPAVHMMMQLLIRSEKDGILCPIPQYPLYSASIALHGGTLVPYYLDEATGWGLEVSELKKQLETAKSKGITVRALVVINPGNPTGQVLAEENQRGIVEFCKQEGLVLLADEVYQENVYVPEKKFHSFKKVSRSMGYGEKDISLVSFQSVSKGYYGECGKRGGYMEVTGFGADVREQIYKVASVNLCSNISGQILASLVMSPPKVGDESYESYSAERDGILSSLARRAKTLEDAFNKLEGVTCNKAEGAMYLFPRICLPEKAIKAAEAAKTAPDAFYCRRLLTATGIVFVPGSGFGQVPGTWHFRCTILPQEDKIPAIVTRLTEFHQSFMDEYRD >OMO70546 pep supercontig:CCACVL1_1.0:contig11813:134336:135403:-1 gene:CCACVL1_18837 transcript:OMO70546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MTRIKEVLTKVSDSTKRISSSKKQKKIILAVFASLVIVAAIIGIAAGVNSKNNNSDEADTSHHPIVKSACSITRFPEFCFSEVTKVGTPKKKVTSQKDVIELSLNITVNAVEHNFFKIKKLLNRKGLTEREKTALHDCLETIDETLDELHQAVEDLHEYPNKKTLVQHADDLKTLMSAAMTNQETCLDGFSHDDADKKIRKVLISGEKYVEKMCSNALAMIKNMTDTDVANDKTLTNRKLKEEENDIAWPEWLSAGDRRLLQSSTVTPDVVVAADGSGDFKTVSEAVAKAPEKSSKRYVIRIKAGVYKENVEVPKKKTNIMFVGDGRTTTIITGSRNVVDGSTTFHSATVGKSIF >OMO70539 pep supercontig:CCACVL1_1.0:contig11813:89528:97527:1 gene:CCACVL1_18830 transcript:OMO70539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE-type MDTSNKTFSELVTLVKSWLPWRSEPDNVSRDFWMPDHSCRVCYDCDSQFTLFNRRHHCRLCGRIFCARCTGNSVPAPSNDPRIPQGERDRIRVCNYCFKQWDQGTTATDDGVPAPNLDFSTSPSATSFLSTTSGTVNSSAFTLGSVPYPARQYQRPRPILSPNQLSAINKNMDRPGDRAPERSNDLIMDPEDPSSNQYRFSLIRSDDEYDDYSLYYSDSETKNYAQDDDGYFSPIDFDEMSTDDRSHKFHPDRENIDFKSSSSSPIFHSFQSPGLEGIPQLVNKDGREVGDECEASSSLYVAEDVDTEPVDFENNGILWLPPEPENEEDEREAALFDDDDDGDAAGEWGCLRNSSSFGSGEYRRVRSNEEQKKAMKNVVDGHFRALVAQLLQVENLPLGDENDKENWLDIITALSWEAATLLKPDTSRGGGMDPGGYVKVKCIASGRRCESMVIKGIVCKKNVAHRRMTSKMEKPRLLILGGALEYQREMDHLKMAVAKIHAHHPNILLVEKSVSRFAQEYLLEKDISLVLNIKRPLLERIARCTGAQIIPSIDRISSQKMGYCEKIHIERFSEDLGSAGQGGKKLVKTLMYFEGCPKPLGCTILLRGASGDELKKVKHVVQYGIFAAYHLALETSFLADEGASLPEFPLNSPLTVALLDKPSSIARSISTVPGFTIPANEKSQEPQHGSQIRRANTIPTLDMLSSIINESLQKVEETPPSSLPNGTSLWSAESTFIEPNAPLSSAPGGVISDAVSMKTCPDDSSIVEAFVEKSESTVMNNTLASSGDGPPESVGQFSMAHFEQDNDSKSIEIQPGGLEVSSVLQDSKNHTDHSEEPKPLKEEFPPSPSDNQSILVSLSSRSVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQSYRCDSCDMPSEAHVHCYTHRQGTLTISVKKLPEIFLPGEREGKIWMWHRCLRCPRINGFPPATQRIVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASVDVHSVYLPPPQLDFDYENQEWIQKEADKVVERAEHLFSEVLNSLSQIAERRIGIGAPNNVKTPELRHQITELEGILQKEKLEFEESLQKALKREVRKGQPAIDILEINRLRRQLLFQSYMWDHRLIFAANSENHSLRDDLSNSISGHEEKPLTGSEKLKDIDMVEPGRGSPCCDSTLVDSKLDTGSDQRELNGNYHSDATHQKSDMNETYGNLSASQSMYDRYNSEKPMVNVRRVLSDGQFPFLENLSDTLDAAWTGEIQRATVIPKSISCSLSDSAALDIAAIGAVTEGLDSEMRFEEKLRPKVHSLSPALSSKGSENMEEAVSWLRMPFLSFYRSLNKNFLGSASKLDTFSEYDPVYVSSFRQLELQSGGRLLLPVGVNDAVIPVYDDEPTSLIAYALASPQYHIQLSDDGDRPKDSGDLVASLSLPESVNFQSFQSADEINFDIHRSFSSVDEITGSRSSLMDPLSYTKALHVRVSFGDDGSFDKVKYTVTCYFAKRFEALRRICCPSELDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPGYFKYLSESISSRSPTCLAKILGIYQVTAKHLKGGKESRTDVLVMENLLFRRSVTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIESMPTCPIFVSNKAKRLLERAVWNDTAFLASSDVMDYSLLVGVDEEKHELVVGIIDFMRQYTWDKHLETWVKASGILGGPKNEAPTVISPKQYKKRFRKAMTTYFLMIPDQWSPPIVSSKSQSDVGEESGGPK >OMO70552 pep supercontig:CCACVL1_1.0:contig11813:158205:158267:1 gene:CCACVL1_18843 transcript:OMO70552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIKSGEEGRRPNLVYTNH >OMO70550 pep supercontig:CCACVL1_1.0:contig11813:149223:153841:1 gene:CCACVL1_18841 transcript:OMO70550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MAEKACVKRLQKEYRALCKEPVSHVVARPSPNDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGITMTTPNGRFAPQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVNTTAAEKQRLAKASLAHNCKIPTFKKLFPEFVDMYNKQQQQLASEHLSAESPQDGNTKPEAEKLVNSSGEDGKQTMSKSPGHPKYETTDYACYEFDPQVNFSQFLEEARQHARDMNIQRSASCSEGMGKIRLGEEKKSKKSWKNSLFSWWKIDRKSKLRPNPVDGPNSNVSKPTKSHVSGPLCGTSRAVDTRRRRPSSGPVSLLFNPTRKMENEVPYMSLDQPNDPYQMKAYGPVYLVT >OMO70544 pep supercontig:CCACVL1_1.0:contig11813:122855:124326:1 gene:CCACVL1_18835 transcript:OMO70544 gene_biotype:protein_coding transcript_biotype:protein_coding description:28 kDa heat-and acid-stable phosphoprotein isoform 1 MARGKTKPKRTGQRTFSSIEEILAGTSSDRPSTFTQREAKYNEEEKSKRDFRQESEEESDNETEQKHKGVQERLALIRQEREEAAKKREEEKAVKEQRKAEARK >OMO70545 pep supercontig:CCACVL1_1.0:contig11813:124772:127778:-1 gene:CCACVL1_18836 transcript:OMO70545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MDSINSFKGYGKVDEAEQAAFKRKTRKRLIIVGVSIVVLLAIVIGAVTAVLIHRKSDSSPNNVPPTQVTPAASLRTVCRVTQYPNSCYSSISSMANSNTTDPEILFKLSLQVAIDELSKLSEYPRKLQAEANNTQLKSALEVCVNLFEDALDRLNDSATTLEVGQGENLLTTSKIDDLETWLSSTLTDQETCVDALQELNETEHFNATVFEEVKAAMQNSTEYASNSLAIVTKILSLLTGFKIPIHRRLLGFPRADSDSEFPGWVSPTERRLLQESKPTPNVVVAKDGSGQFLTINDAVKLIGKKNLTRFVIYVKAGTYVENVILDKNKWNVMIYGDGKDKTIISGSLNFIDGTPTFATATFAVAGKGFIAKDIGFVNSAGAAKHQAVAMRSGSDQSVFYRCKFDAFQDTLYAHSNRQFYRECDILGTIDFIFGNAAVVFQNCNIMPRQPLPNQFNTITAQGKKDPNQNTGISIQKCSITAFGNLTANTYLGRPWKDFSTTVIMQSSIGAFLNPVGWREWVTNVDPPSSIFYGEYLNTGPGSTVDKRVKWAGYRSTLSDVEAGKFTVETFLQGQDWLPEATVSYEPTL >OMO70543 pep supercontig:CCACVL1_1.0:contig11813:119212:121292:-1 gene:CCACVL1_18834 transcript:OMO70543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MDSNSNNSFKGYGKVDEAEQAAAFKRKSRTRIIIIGISMVVLLAIVGAVAGALIHRRSNSSPSTQATPAAASLKTVCSVTQYPKSCYSSISSMANSNSTDPEILFKLSLQVAIDKVSKLCEHPKKLQAEANDTQWKSALGICVYLFEDALDQLNDSVATLEVGKGENNLLTTSKIDDLRTWLSSTSTDLETCVDTLLELNETEHFNATVFKQLKAAMQNSMEYASNSLAIVTKVLKSLTDTGFKIPIHRRLLGFPRRPDSNSEFPNWVERRLLQEESKPVPNVVVAKDGSGQFLTINDAVKLIGKKNQSRFVIYVKEGTYVENVFLDKSMWNVMIYGDGKDKTIISGSLNSVDGIRTFATATFEWVDNVEPPSSIFYGEYLNTGPGSNVDQRVKWAGYRPALSDVEAGKFTVETFLKGREWLPDATVSYKPTL >OMO70528 pep supercontig:CCACVL1_1.0:contig11813:11783:12704:1 gene:CCACVL1_18817 transcript:OMO70528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIKNEKDQNIQGFDLNKLLEDAEAFERVFFPPDDQSPDNHYVNQYCIDFNELSQILNEGNSCDHGQIDNNGGCHGNIMQQPVDQIANGLQGNVKQSGRGRRCKQFCPVAAHLRKLKNREAARRSFDQKKAYIQQLELEVQELRKQNANLMKSFLVYASASSSGKGQKYLRRTASGPV >OMO70530 pep supercontig:CCACVL1_1.0:contig11813:34228:36665:-1 gene:CCACVL1_18820 transcript:OMO70530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISDFIPPTTRSSRRLTADFLWPDLSKSGSKKKSGKRYSKPVIDLDDDFEADFQEFKDEESDVDFDDVDVDDVLADIKPFAFSATKKSDSAASRVYEGSNSVKAVEFNGQAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPDEAPRTSPKRAAKANSQKPISRSRPVQPNLNQNFNYVNKQEQEYYDTMGFVEEKPLMDQFAYMDPVPASADVGLKPSVQSENAQLYFNSDEGSNSLDCSDFGWGEQGSKTPEISSVLEASIEVDDFLEDAYPSKKLKSNSDNVMPAEDNSAKSLSDELLAMDNQMKYFQMPYEGSWDASIDAFLNGDATQDSVNPMDLWSFDDFPSMEGAVF >OMO70529 pep supercontig:CCACVL1_1.0:contig11813:31239:32874:-1 gene:CCACVL1_18819 transcript:OMO70529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MGHLPIPLYALTTDPCQGHSDPKISTIGLVGLLVVFVLKPQKPVFSIQSVRVDAYKLNVYSNSTLFASSVATLVLNASNPNKIGLEYSPSRLQLNLEGLPLAVIRVPKFFQPPHSKNVSLTTRVLIPCVNVTQVMGGEYWLQDQKGNNIVPMKLLGDIKVSLHFFHITLPKIKVALDCDINFDYRGLAFINEAYSNKATAENLIASLSNDSKSFVKKCTVAIYV >OMO70541 pep supercontig:CCACVL1_1.0:contig11813:108220:110979:1 gene:CCACVL1_18832 transcript:OMO70541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGIKTKDGVVLAVEKRITSPLLEPSSVEKIMEIDNHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKELTLPEAETIALSILKQVMEEKVTPNNVDIAKVAPTYHLYTPSEVEAVISHL >OMO70548 pep supercontig:CCACVL1_1.0:contig11813:141027:145639:1 gene:CCACVL1_18839 transcript:OMO70548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKFKKGDGGFDGDGYKKKVQKQVNDGTGKMNKSFKHQKNSDSQTLVIRKQVEPETAKYFSEIANLFESQGVDVDEQSVISGNALEEAKGKELELATDYIISHTLQTLLEGCDVNHLCSFLQGCADVFPAIAMDRSGSHVAETALKSLARHIQETEDHALIEETLGMLCKVIAVNPIEFMCNCYGSHVLRSLLCICKGVPLDSSEFRGAKPSKVLAERLNFKASQSDGNDLQHLQQGFPNLLKSLVSGMVNCSKEDVGILYDQYSSLVLQTALKLLAGDDQELLQIVPILLGCNKQDLVEGKFIDKSIVGEIVESMKEAAFSHLMEVILEVAPESLYNEMFSKLFKNSLFELSSHHCGNFVVQALISHARTKDQIELIWEELGLRFEDLLGLGRSGVIASLIAACQRLQTHEYKCCQALAAAVASKNEPPKCIVPRILFLDSYFSCEDKSSWNWASGVKIHVMGSLILQTIFKFQSEWIQPYVTSIASMEAEHVLEVAKEVGGARVIEAFLASDASTKPKRRLVVKLQGHFGELAVHPSGSFTVEKCFHAGSLSLREGIASELLAVRAELSKTKQGPHLLRTLDIDRYATRPDQWRSRQASKESTYNEFCVAFGSSEAKSSRKNRYLSDDPMQTSEAEEFKSTMEGIDDALTSTSMLDDTSGEKKKRRKKRKRDAGDDPKKAAIENAVENFLSNDKRRQKDKRSQQKLRI >OMO70549 pep supercontig:CCACVL1_1.0:contig11813:146171:146428:-1 gene:CCACVL1_18840 transcript:OMO70549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAYTLALTVLTLLLSVLLSTWANKAQADARPIRQAHSSSVASSKGSSSSQTLRDLQADKKNPKTQVDSSFRRIPPSNANPIQNK >OMP10996 pep supercontig:CCACVL1_1.0:contig01730:1805:2878:-1 gene:CCACVL1_00738 transcript:OMP10996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MFPSNSNGNNDPIAYSDHSSSILQRQHFLNDIITPFSSKQELDFPLSCFQYFPLSPYYNQCELELEEAYDVFQQQHHDLLQTLTADNSVSETVINNMPDSSKNEEATVNCTTKSSHNNNNATDHQQIIRKRSSKRDRHSKIYTAKGPRDRRMRLSLEVAREFFGLQDMLGYDKASKTVEWLLKQAKPEIKKLVRSQMNHIHGCSVFEAAKSPSSTSEGEVVSAIDDKAAAAAAANGKPSKKEKKVRPPRKTTFRPLARDLREKARARAKARTKAKSMSRNNDLNITTPWSSFETGEESGTHQDHTNSLQQSVVASSHTSDHTRIHGDHMSDDSLAIMGKWSPNSIFNCLQNTGGINQE >OMO68942 pep supercontig:CCACVL1_1.0:contig12152:39803:39955:1 gene:CCACVL1_19747 transcript:OMO68942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAKRRAGKMMRKMMNRVPLVGHVGIAMALMCYGFTVISAKDGSMANV >OMO68941 pep supercontig:CCACVL1_1.0:contig12152:10888:11539:-1 gene:CCACVL1_19746 transcript:OMO68941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEIRLVINDSGTLDMINVWEKYGDLNLYVKHDVNYHEFAPLYLAYGENAENPPVDGEGPEIVENAEDRETAEVLDGNENADGTSGVGENDINAADVEELIADGLELEDEVSRRKRAAEFSESSSTDSDDYPLDVQGDQMSTHGAREFRGRNDDDEYDSDDHGNVEEDNDGKVYLRNCGNVQYNSKE >OMO68943 pep supercontig:CCACVL1_1.0:contig12152:42271:46463:1 gene:CCACVL1_19748 transcript:OMO68943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGKVVNTKCNVGANRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGQDARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKNALDGRIIPRYLLSENISPCTLRITYSAHTDLSVKFQSHRSRDYTNPYLPVAPSAIDGSGQFSLGLDGKKLEPESNVLLASIENMQYAVTLDVLQMVFSAFGPVQKIAMFDKNGGLQALIQYPDVQTAIVAKEALEGHCIYDGGFCKLHISYSRHTDLSIKVNNDRSRDYTIPNPALVNPQPSILGQQPVQTMGPPAAHQYNGTQYAPGSEQGMMPPPQHSAGWASAVPPMPQSMPVQMTNHPYMPTPTSMPQMAPTGMMQMPGQGGIPPAGTVPPYTPNQM >OMO68945 pep supercontig:CCACVL1_1.0:contig12152:57223:59372:-1 gene:CCACVL1_19750 transcript:OMO68945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MGIAMDLGVGRPPVWSLVRRFDQPQKYKPFISRCVVQGNLEIGSLREVDVKSGLPATTSTERLELLDDDEHILSIRIIGGDHRLKNYSSIISLHPEIIEGRPGTLVIESFVVDVPEGNTKDETCYFVEALIKCNLKSLADVSEGLAVQDRTEPIDL >OMO68944 pep supercontig:CCACVL1_1.0:contig12152:50332:55889:1 gene:CCACVL1_19749 transcript:OMO68944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M41 MTLSLQASLVCNPCPCPLIFLPKPKPLFHPSPFLSSNPSSLPTLSRPSSALLISRFYSRPFSIPCTLHPDNVSSGSKIDSHVEDSKSLVSGFEGRPAIDGFQNESEAIEVNGEIENVVESEGQDDKLVDKEAPKSKIPAIVFLMGVWAMIKNGMERLAALDWFSWWPFLRLEKRLDRLIAEADANPKDAAKQSALLAELNKHSPEAVIQRFEQRDHAVDSKGVAEYLRALVVTNAIAEYLPDEQTGKPSSLPTLLQELKQRASGNIDESFLSPGISEKQPLHVVMVDPKVSNKSRFAQELISTILFTVAVGLVWLMGAAALQKYVGGLGGIGASGVGSSSSYAPKELNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPLSDGVDVKAIARGTPGFNGADLANLVNIAAIKAAVEGADKLTAAQLEYAKDRILMGTERKTMFLSEDSKKLTAYHESGHAIVAFNTEGAHPIHKATIMPRGSALGMVTQLPSTDETSISKKQLLARLDVCMGGRVAEELIFGQDHITTGASSDLNTATELAQYMVSSCGMSDAIGPIHIKERPSSEMQSRIDAEVVTLLREAYDRVKALLKKHEKALHALANALLEYETLSAEEIKRILLPYREGGLPEQQEQQEEGELVLA >OMO89921 pep supercontig:CCACVL1_1.0:contig08581:6409:6519:-1 gene:CCACVL1_07566 transcript:OMO89921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIALSAKAPTMETLSMSPAVSLLVVLMKDELKRMP >OMO66092 pep supercontig:CCACVL1_1.0:contig12589:7380:10315:1 gene:CCACVL1_21304 transcript:OMO66092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan glycosyltransferase 6-like protein MSREPNYEFQQWWNKHREKNGELFMNDNSQNPNNGFLTVEISSPVPDRSAEKDRARSARQLSWVCLLKFQQLANSLAWITNASILLLRTANRRISSPDSPSDSSASRLYRIIKFFLFLVVLLLCIELLAYFKGWHFSPPSVASAEAAVELVYAKWLEIRADYLAPPLQSLANLCIVLFLIQSVDRVVLMLGCFWIKLRRVKPTATMEYPVGRVEGENVEDYPMVLVQIPMCNEREVYQQSIGAVCILDWPKERMLVQVLDDSDDTDVQMLIKAEVHKWQQRGVHILYRHRLIRTGYKAGNLKSAMSCEYVKKYEFVAIFDADFQPAPDFLKKTIPHFKGHDDLALVQTRWAFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDCGGWLERTTVEDMDVAVRAHLCGWKFIYLDDVKCLCELPESYEAYKKQQHRWHSGPMQLFRLCFLDILRSKVWQFL >OMO89312 pep supercontig:CCACVL1_1.0:contig08778:2785:3819:-1 gene:CCACVL1_07923 transcript:OMO89312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLPSIADPTSSLLARHESLKRLISKAVPSPYRSLRDSEIPSETALKDALGTLYEFLSDANLALDGKNLDSIYATAYVWSLSPGIIEDTARFWYYFPQSFQDTVAKLNRLSSDLDQAEEKRSEFEKHQKDIDDENYKAFEVAIPTDEEFQVDRKITEVERKITDLQGELTSLKAHCAALVTKREKDTRERMDHLEIQTKELMARRPEIDEIDHRRAYLQFQIYQLLVDVEAWNDFLPPRIEAENGGDKDGDGESKENS >OMO73875 pep supercontig:CCACVL1_1.0:contig11176:24182:24487:1 gene:CCACVL1_17088 transcript:OMO73875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAHVVLLFWKPPSSKGVIGAPNEQLVGFERVEVKRGKTQNVTLSLDVCKELTLVDAEGNRKLIIGQHTLFAGSNSEHRIRHHFVVRQAGNANVGSSSSM >OMO73879 pep supercontig:CCACVL1_1.0:contig11176:40947:42868:-1 gene:CCACVL1_17092 transcript:OMO73879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRREGRDSDSRRHRSRFDREPSPKRSRRDGKPETERLVTNADVGDREPQDEKQRRRLQDALPLEAPTAPDSSKMENGTLNKESDRKNNGLFEGTSNKHSSDPTQVPRSRSYFQHDERGNAAQAGRDFGRRAATERGWWRDAKDEHNEREAKTHDTRRRDEKPQSKGDSNGDWRHDKFSEMEANPPTPTRKRPAFSEKKITAETQSTDNTIKEPEKASHSGHHVVGSERRVDRDRNPRHRDRQDRFTSKDQDPNRREAPRGGFSSFERRGGGAGNFRGRDRFNGRQGNHSGGTHGDKWTHDLFEDSNKSPPRKNEEDQIAKLESLLAS >OMO73884 pep supercontig:CCACVL1_1.0:contig11176:73018:74988:1 gene:CCACVL1_17098 transcript:OMO73884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, Rab type MELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADYDNLPVSRRELHDLLSKSSLNGIPLLVLGNKIDKPQALSKEDLTEQMGLKSITDREVCCFLISCKNSTNIDTVIDWLVKHSKSKN >OMO73872 pep supercontig:CCACVL1_1.0:contig11176:6304:7405:1 gene:CCACVL1_17085 transcript:OMO73872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAEQQQQTGKTQNLEPKRESEIPKPEVPIAADNKITSNLSETSKKRSRSSGDSTTRKNARSKKNQISVSTTNNNDEDGGLNGQNSASCSSEDESNASQDLNGGVSSSSKGQSALNSNGKTRASRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVEYVKFLQLQIKLLSSDDLWMYAPIAYNGMDIGLDLKVGATPKRS >OMO73876 pep supercontig:CCACVL1_1.0:contig11176:24864:26391:-1 gene:CCACVL1_17089 transcript:OMO73876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFQAVNFFKVYYSRYTSETMCIPPNTVVLPKGSMCATATLRNSKNKCWHVEVKEFAGKMYFERGWTKFVDENPIDDGDILVFTYVGSSLFDVTVMGPNGCMKSVEEVEEEEEEEEDDNDDGDYCEIEGDDSELKSEEEEEIEVLGTSNKKGKNKGCREETSSKEVEDVEFYIARNVNQLNPCFVVKGRKNRNNELYVPTDVTKNYNLVLEDEEEITFIDPLGREMIGKVFKWKDGRICIHGWKSVCMINRVNLHRDVCICEFLLEEQDDRGHTNRIKVHIRRGNYTSSNEARPRKKKT >OMO73883 pep supercontig:CCACVL1_1.0:contig11176:68947:69114:1 gene:CCACVL1_17097 transcript:OMO73883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANRISCKSQRVETMKRTPSIIRNKTAIIPLRLANKRPTNNTLHLKTLYMYGEK >OMO73880 pep supercontig:CCACVL1_1.0:contig11176:43756:45461:1 gene:CCACVL1_17093 transcript:OMO73880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSHRRKKKRKKSSKAHQQEPGPRFFSDFGKIAHDVLSKGYSQDKRLTISSRSINGMILTSTATKHGRRSTAQTAASYKYGNAAIDVNFDTKSSFSTTLSLGRQLLPSTHIQASLKLPDYNSSKLNLKFQHILRYAAVYVSVGLNQSPVVTLSATTGTRSIAFGLEAKYKAVSHSFTQWDAGISVTYPSFDASIILADRGDLLRLAYVHHFGRSRKISAVAEVTRRLSKNKNTLAVGGSCILDHQTTVKAKLNHCGNLHALLCYKMNPNFCLNISTELDIKDRNKIPKIGLAVALTL >OMO73877 pep supercontig:CCACVL1_1.0:contig11176:35572:35925:1 gene:CCACVL1_17090 transcript:OMO73877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPFLIHAMRKQKPHHHYRSISVGSSTSRSYHLLMGQSQAESVEGSSHRRTRSEFQPPTAEFLEQRTSGVDFRSPRGYNNGASMSGGSNVVVGSYAHQQASKVTSIHVSDVRRR >OMO73885 pep supercontig:CCACVL1_1.0:contig11176:75988:78862:1 gene:CCACVL1_17099 transcript:OMO73885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALPENNLSKSRIMFPLSTYSRSVVISLYFKSSKRTANSRKLTGNRIGDFLSTRLGKYSMSYTSVDALAFVSFALFFDQG >OMO73873 pep supercontig:CCACVL1_1.0:contig11176:10011:14688:-1 gene:CCACVL1_17086 transcript:OMO73873 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding protein MELRRLLVVGFVLLSVIGDCYARFVVEKNSLTVTSPEKIKGTHDSAIGNFGIPQYGGSMAGAVVYPKENQKGCKGFDEFGISFQSKPGSLPTFVLLDRGDCFFALKVWNAQKAGASAVLVADDIEEALITMDTPEEDISSAKYIENITIPSALIEKSFGETLKKAFSGGDMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMEFVKDFKGAAQILEKGGYTQFTPHYITWYCPQAFTLSRQCKSQCINHGRYCAPDPEQDFSSGYEGKDVVLENLRQLCVFRVANETNKPWLWWDYVTDFQIRCPMKEKKYNKECADEVIKSLGLDSKKIEKCMGDPTADEDNAVLKEEQDAQVGKGSRGDVTILPTLVVNNRQYRGKLAKGAVLKAICAGFEETTEPAVCLSGDVETNECLENNGGCWQDKIANITACKDTFRGRVCECPLVDGVQFKGDGYSHCEVSGPGKCKLNNGGCWHESRDGHTYSACLDHADGKCQCPPGFKGDGVKSCEDVDECKEKKACQCPECSCKNTWGSYECSCSGDLLYIRDHDTCISKRGTEVRSAWAAVWVIVIGLAMAAGGAYLVYKYKLRRYMDSEIRAIMAQYMPLDSQAEVPNHVNDERA >OMO73878 pep supercontig:CCACVL1_1.0:contig11176:37774:40484:-1 gene:CCACVL1_17091 transcript:OMO73878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA large subunit methyltransferase RlmN MATPRSVFDAAELRAHFNDAGINPQFLPSIWKYVLQNPGCELDDIPDLPSAAYPLLRSKFKALTSTVHSVFHSTDGVTTKLLIRLQNGALVEAVIMTYDTRLGKYGGKPRPGGPRSTLCISSQVGCKMGCKFCATGTMGFKSNLTSGEIVEQLVHASRLSNIRNVVFMGMGEPLNNYTALVEAVRVMTGSPFQLSTKKITVSTVGIIHAINKLHSDLPGLNLAVSLHAPVQDIRCQIMPSARAFPLEKLMTALQLYQKNSQQKIFIEYIMLDGVNDEEQHAHQLGKLLETFQVVVNLIPFNPIGSFSQFRTSSEQKVSEFQKILRGTYNIRTTVRKQMGQDISGACGQLVVNQPDKRSHVNTSLLPDIEDLHI >OMO73881 pep supercontig:CCACVL1_1.0:contig11176:45935:52596:-1 gene:CCACVL1_17094 transcript:OMO73881 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein, UmuC-like protein MEESESTSDNQRPWQSYHTVYTNAKAGMDGVDKEKVQRVVYEMSKGSKYFENEERKESFIRQKIEHMRARAAKLSAADLSHYQKVVDKRILELEASRELSRIWLHVDMDAFYAAVETLSDPSLKGKPMAVGSMSMISTANYEARKFGVRAAMPGFIARKLCPELIFVPTDFKKYTHYSDLTRKVFQNYDPNFMLASLDEAYLDITEVSKERGITGGEIAEELRSRVHEETGLTCSAGVAPNRLLAKVCSDINKPNGQFVLPNDCIAVMTFISSLPIRKIGGIGKVTENILRGVFGINTCEEMLQKGSFLCALFSPSTADFFLSVGLGLGGTDKPEARFRKSISSERTFSATQDATLLYQKLADIAEMLSADMQKEGLLGRTLTLKLKTASFEITNVEVGTTKCPKEEVLWVPQEDSSVQREPEDRNLDSNKEASTSRNEEFFSSNQMELLYWVDDYKCSLCGAELPSSFVEERQEHSDFHLAERLQKEESGADSRAMMPRQRIYPKDPLASQKLSRICYPRIPSVPVSQLSVSPLQSSSNRIPPKAHLNSLQKGTKTKPYNETTSKDMEEAISTIPSMSDILASSRAQNLDLQLQTVGPFFRITAKSLESNRELGRAEGLIRVWFGGKILHLDSIRLKRDTLSMERSIFGIGLFIGAVAIRYGYDCGCRTAELLAINDSDLYHSKLVRFYQRIGFKTVYEVTGSTIGDMAHMLMWGGIGTRMDASIEELLVKWCRRFKSQD >OMO73882 pep supercontig:CCACVL1_1.0:contig11176:61754:68469:1 gene:CCACVL1_17096 transcript:OMO73882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 10 MPYHMITQQALRQVSMMNCLENPHKPLYGGGIISNPELNAGMEGWHEFGEAKIEHREISGNKFIVAHGRNLPTDSISQKVYLQNQMLYSFSAWVQVSEGNEPVTAVFKTETGFKHAAAVVAESKCWSMLKGGFTSDASGPAELYFESKNTSVEIWVDSISLQPFTQEEWTSHQDQSIQKIRKANVRIQAVDKQGNPLPNATVSMGLRRPGFPIGCAINKNILTNPAYQNWFTSRFTVTTFEDEMKWYSTEGSPGHEDYSSADALLNFAKKHGIAVRGHNVVWDDPRYQPGWIYSLTPTPLRKAVVKRINSVMSRYRGQLMAWDVVNENLHFSFFESKIGKQASAWFYKLAYETDRNVPLFMNDFNTIEDSRDGASTPAKYLKKLREIQAFRGNGYAKMGIGLEAHFSYVPNLPYMRASIDTLAATGLPIWLTELDVQSNLDQAKYLEQIMLEAHSHPKVNGIVIWAAWKPQGCYRMCLTDENFRNLPTGDVVDRLLHQWGSKAALIGSTDSDGYFEASLFHGDYEVNITHPSSLADHSFTVVSINESQQFPLILQVSCLAAPERAHYGGGILVNPEFNQGIEGWTFSGKAAITEGFSEGGNRFIVVNNRTHSLDSLSQTVQLQKGNFYTFSAWIQLSKASEKIAVVFKTSDGELIRGGETIAKQGCWSLLKGGIVAKFSTPVEILLESNNTSVEIWVDNISLQPFTAKQWRSHQEKGIEMVRKSKVKFQVKYANKTAADGALISIEQIMPDFPFGCGMNHHILTSTGYQDWFASRFKVTSFTNEMKWYSTEKKQGEENYTIADSMVNFCKQNGISIRGHNILWDNPKMQPQWVIRDLSSPDELRKAATQRLNSVVSRYAGQLTGWDVMNENLHFRFFEDQLGENATSVFYSMAYFLDPEATLFMNEYNTIENSQEQVANAANYKKKLEEILSFPGNEGVKAAIGLEGHFRSAQPNIAYMRSTLDVLGTMGLPIWLTEVDVDKGPYQAEYLEDILREGFSHPAVEGIIVFGGPEIAGFDVTLADSEFRPTPIGEVVDKLINEWNTGTRQVRADSRGFSEASLFHGDYKAKIYHPVTNSSTSISFKVAKETKHTTVLLQIDD >OMO73886 pep supercontig:CCACVL1_1.0:contig11176:92526:93051:1 gene:CCACVL1_17100 transcript:OMO73886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MATFAGTQQKCMACDKTVYLVDKLTADNRVFHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHYDQLFKRTGSLDKSFE >OMO73874 pep supercontig:CCACVL1_1.0:contig11176:16991:19779:-1 gene:CCACVL1_17087 transcript:OMO73874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLQSGVILKLLQDMSIEEKTVIADSDDDDDRKKPALLQIRSIIPVLEEGDLWPKQGFFMEVADSTHAIYVSLSQEEDEMVLCNKLQLGQLIYVEKLEVAYPLPILKGINPIPGRQPCDGNPKDLVGIDIMEKVCGKSKSLMQDRNRTGLKGKGRARSISPCKAPSGFDRRRASIGGLNCGIRARCVEKDGAVRSYSKNRSFNDGLTMTGSRSSESKLMSRNRCGNIARTRSWKIADSVIVKHEINNPVRHCPPNSCVSPACSPIYNANSIDGNSKTKARKKETISQSPKPVESPRSGRNSSLTRTCKEPLTEAAAWQCALGNNKKLAETAVLWDSLPFSLVKLGKEVVRQRDAALLAAVEALQEAAASERLLSCLSSFSELHLAKEGDQNPSINKFFQLQDYMAHCRQIIQSLTNINDLNSPSSIKEAGKLAVDRKKNATSWVKAAVESDLSPFSACNTKNVSPEVNSEVKTRKKPFQVTKLKGTCTPRKQRNMGDFCEKENLPDWVKGSSLTAAGKLANSLQEECKAWILAYIENYLDEFSKECVSNVPDSQVAESMCQIKRLNDCLEMMEQKDGSSENHSLESCEAYGRVKKKIYEVVLKHVERTAMVWENMNATTSEGS >OMO51004 pep supercontig:CCACVL1_1.0:contig16001:1680:2648:-1 gene:CCACVL1_30073 transcript:OMO51004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MATENETLPSADPFKLLQITLNPDGTLTRHINLGKTSPNPDNSNIAVLSKDITINPSNKTWVRIFLPIPKPSTRLPVFLYFHGGGFIIGSPDDTAFHEFCSTMATELHVIIVSASYRLGPEHRLPAAYDDAMEALYWIKTSNEIWLENYADFSNVFVMGISAGGNVAFQLGLRAAEQVDDLLPLKIKGLILHHPFIGGVERTESELRSDAPLFLPCVSDLIWELSLPVGVDRDHEYCNPMVGSSKSSSAFEKIKRLGWRVFVAGCHGDQLIDRQIELVKIMEEAEIQVVSRFVGGSHGLELFDHSKAKELFVALKDFMLSSI >OMP08000 pep supercontig:CCACVL1_1.0:contig04080:118:702:-1 gene:CCACVL1_01179 transcript:OMP08000 gene_biotype:protein_coding transcript_biotype:protein_coding description:peptidyl-prolyl cis-trans isomerase D MSFLDNAKEVLTEEEFTKLQELQTKSSDFEATPDEEKNLLELKNSVREKIAQRDKAKNLSFLNGKVYTIAEIITAGGYSNEEIKKYYSEKFPRGANTEVRQYATIKFKDKDGKEVEEAIKTGERISKGAKEAIKKMGVAKFVELITDKAYFIDHVSTPTVGIMANKKVYKHINEQAKRLEFDVEKFKQALGIKA >OMO52684 pep supercontig:CCACVL1_1.0:contig15479:1212:1313:1 gene:CCACVL1_29115 transcript:OMO52684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQVQSTMTSTFERDCEQACSSEIQFHRNKVGSP >OMO98026 pep supercontig:CCACVL1_1.0:contig07172:34562:34714:1 gene:CCACVL1_04367 transcript:OMO98026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPATSRLSGALVQATRFISVLFDSRLLHHRSSRCRCAYTYTYRLAVVAN >OMO98029 pep supercontig:CCACVL1_1.0:contig07172:49177:49737:-1 gene:CCACVL1_04370 transcript:OMO98029 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MAGRRRDPAWEYGDLFPGKGQHVVCKFCGHVMWGIKRLKEHLAAKPGHVRKCEECPPDVRREMRERLMMFHTEEEHAEGLRARRLSLGREVGESSSTQTKTPAEIEDDEINQAIHKSLETFNEEQKCRNLLKEFGPNQDDPVANMSEEEFEKYLEENFPDNPLEKDFPEYFDDDVDFCDGDAADDE >OMO98027 pep supercontig:CCACVL1_1.0:contig07172:35954:42026:1 gene:CCACVL1_04368 transcript:OMO98027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVIGLCLRVKLMRSLPSRYEVLD >OMO98030 pep supercontig:CCACVL1_1.0:contig07172:52066:52341:-1 gene:CCACVL1_04371 transcript:OMO98030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLDSSSPISDLGQNQNPLVLAVEDAPKEEETQTDVAGSLAVVRGTYKYLMRSQLETMLITPPEVQPSVILNSTILDALARVPAKPTARP >OMO98033 pep supercontig:CCACVL1_1.0:contig07172:64405:65001:-1 gene:CCACVL1_04374 transcript:OMO98033 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MSGAKQNPAWDHGTLIRGTKGHVRCNHCRQTMKGIRQLKDHLAAEKNARGSCSRCPSSVRVAMLKNMIDMQAINEQKAKERRLSLERRKDLAESSTGNDFAQPGDVRYLAQFVIEDEEANRDLLLSLSEGETDSDYKYYLEEIRRIKEGGQVPGGKSDLDDDLTFPYYDVGEGSTVCNDGDEDATDDTDEDWNPGPNQ >OMO98023 pep supercontig:CCACVL1_1.0:contig07172:26414:27031:1 gene:CCACVL1_04364 transcript:OMO98023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide-N4-(N-acetyl-beta-glucosaminyl)asparagine amidase A MECYMHVKEGNLTGSLGFGYLGLSYSVSGAAVLSLELLGLFGVFKRISPVNPFKGKVEDLGSGADLIIPFSRNLPLNDGLLYEIQNAADVKEQEFEIPQNVYRAVLQIYVSFHENDEFWYGNPPNDYITANNNLTGTPGNGPFRELVVSLDGEVVILTSHFP >OMO98028 pep supercontig:CCACVL1_1.0:contig07172:46057:48667:1 gene:CCACVL1_04369 transcript:OMO98028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 VEVNECQCKDYDINDDGMKNSWTVNSPALDEQENSVKMELDGEDHDEEVPQVKEKIHGWKELEALKCDVIATNEKVDLVQGLLNSFGDEIGWIKRQLMLLVEQRNSSERMTMGGMTRSVGDECEEGGNGFKGGLGSTARARGCEKRKQCFMEKSIDINAIPKSTCNGLGSYDVGDTDLGVKSFRGFKSAEKRSSSVRRHQDCRDRCRKNGTPGSKSSNMKGSTSKASTGRQFSDGSAQNPFIVDPDIHNKDSTDGEIDSPRSGGSIQFNHVFRSPKPKKVKSRVGLKVDPAEGKMDQSYSTILEDPYPSTQKCYEYKLGKILLGKSFKLISLRPKVWVVDQSKIVDSTNFYELKTWVIRSYMDNTPFLTTLDHCEEVAIPICLFKHWFLMVIDMKSQSILVFDSQRIGDIDGHRLAAVDKVRNFCSILFDDPVFGMGRNKVIGLRQWPIRHSEGVPQQTNGDDCGVFTMKFMMARNGLPYMDVRCGNAERYRLAGMLANSRLNLVRHDVMGVLSSAGLI >OMO98032 pep supercontig:CCACVL1_1.0:contig07172:58500:59312:1 gene:CCACVL1_04373 transcript:OMO98032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MEVALQHLTGNPSGEEQTSIHDIALAWNQALYRLQHDHLFDVPIDLDSIKIALDASYLGWVTVHQHFPRAWPTYLPLVNDEPAILSTIKATLFKHSQPLRQLVHTRPSTKEFSWVVKTSCGRNDLGYEYDILLIPHVHAHPIGIHRDGSLSPDIGSYFLGPDPHYAPITILNWNVDGVVPDAFESLFLEIVRNYHPSVVIIYETKLGRHAATEVLSQLRVGYNDAICCPAKNNKGGIWILSKPDEVEADVIKKGNHKTHIGFKVKQSTQS >OMO98022 pep supercontig:CCACVL1_1.0:contig07172:1590:9694:-1 gene:CCACVL1_04363 transcript:OMO98022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPHCQATAGTLWKPPAAGHGPSSPEISQIHQMLPFSILNPNLALKSPKTSPNPLDLRCPNPYHPLRFDFVFDIAGLRSLEDDIPDLNLVDTFWRTTKVSDEGFEGGYLFCTGFGYLRWYGSMFEERKFKIRKVVLTIVKKGLK >OMO98031 pep supercontig:CCACVL1_1.0:contig07172:54356:56544:1 gene:CCACVL1_04372 transcript:OMO98031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMMLHTIINNNKINGHYENPGPNFLQSVPMHTPQECAFRKRGRPGYIWTVNLELRGNLLVFRSGWPAFARAMRLKIGDLCTFTFIEREDDGCVVIDAERTRKSDLKKDDSPPPNITKFVMWGEGDNEHLLKIIN >OMO98024 pep supercontig:CCACVL1_1.0:contig07172:32740:32898:-1 gene:CCACVL1_04365 transcript:OMO98024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPATSGYREPPPSHSLHLCLIRLTTAASKFIVVVDIIEHLDVTVPTPTPTG >OMO98025 pep supercontig:CCACVL1_1.0:contig07172:33336:34218:-1 gene:CCACVL1_04366 transcript:OMO98025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKPDYPHKSYAIFDDKLYISCCGIFCFDLVTNQWSEQPRSLGQAFVSEGKCFYKRVTRLFTPLV >OMO73369 pep supercontig:CCACVL1_1.0:contig11245:83109:84795:1 gene:CCACVL1_17302 transcript:OMO73369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGGEEERREEGEDSRILLNLLFHFSYLLERENKDLYRESGRGSRAVSNSRENVKAAD >OMO73370 pep supercontig:CCACVL1_1.0:contig11245:85626:88042:1 gene:CCACVL1_17303 transcript:OMO73370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKKYMWWVGHHSSKRSDMFN >OMO77049 pep supercontig:CCACVL1_1.0:contig10836:3194:3268:-1 gene:CCACVL1_15221 transcript:OMO77049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGLKGEWKGLWASELENGLKKI >OMO71857 pep supercontig:CCACVL1_1.0:contig11533:1840:1944:-1 gene:CCACVL1_18038 transcript:OMO71857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNQNSDIAAHTPHLYIICNIQAPELIKGNHQQVK >OMO99896 pep supercontig:CCACVL1_1.0:contig06779:71469:73734:1 gene:CCACVL1_03558 transcript:OMO99896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKARYQRARPVIINPGLYHPKKSGAFFLGTYQVRTASKEQVRAL >OMO64589 pep supercontig:CCACVL1_1.0:contig12790:1500:6498:1 gene:CCACVL1_21655 transcript:OMO64589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PEPPPEAEANSVFIEQSKAVRKDEDIFCKEPNGFPATCSFDSTLTPRRVHSPAPVTHRHRARPFEFTLARGLAFLFQRVDTCARRIPLDGVDRQRTNFS >OMO91045 pep supercontig:CCACVL1_1.0:contig08388:4691:11050:1 gene:CCACVL1_07229 transcript:OMO91045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MNVSPDLDILELSAEDEVNLKVKRHSLVWKAITEKTIKLGPLRAILSKTWPLNEAMEVHELERNIFLFVFKSESDKVRVIQQGPWSVMESHLLLKEWPEEAVIDEIDFTLSEFWVQTHNLPLAYMTKANAERIADMFPSLVELDLDPDEIVHWNGVLRMKVKINVEDPLKTGFYLQRKDKPSSWVSFKYERIPDFCYHCGRLGHLAKNCTFRHDGRKKGNYGQWLKATHLKQKTTIKGYSLKNNSFQRRKEGVDEAARSTHIEERRVQDLTSSKEHRPTISDRALNDEHASASCTALECSNLDVHLMLSGPNGKSFEAGNQLVNVGKLTRMDVEINQGPRPQEESYAGPSQPMEVVLTSPLGKRTKENDVVPDNEPFLGLGKRMRSEVKAQIDNWVYEYFPGMWDLLEVGPAKNNNLLCDLYGHKKGELKSDLIGSWEVALKCKSKGNRRLLQIKKAARKKVKMEFSRQQNEISERRSKLGRLRNRLGFTGSFYVDPRGRSGGLALWWHNDFNLEVLVGSKNFIDVKTDLGGKGDFNVVCSQNEKSGGLPVDRLQADLFLNFLHQCNLLEVEVQGASFSWSNNREGEDNILEKLDKVYASVRWSNLFSKALGWYEANLASDHLPLFLCLEKIKKNYRRDFKFESKWLLDQECAQVVEDGWRASESGSRMFRLSRKLVNTRNKLKTWSKAKFQNPKGKIESRLKRIKEIQMLPLTKELKEELAMLKKEVDEKWQMEERFWHQRSRLNWIMYGDRNTRFFHSTTVQRRRRNSISRIKNNDGVWLTEKDDIRGFIVESYKELFKAGELEDVEEVLVSIETVVTPEMNEALGRQISREEIEKTMIVFSSRWLMNRIVRRIIKEKFGVQDLHRIANISAFQRCGGGAKEQLLVSSLTKLDQKSKARLATLKDQGGLGFRDFTKFNIALLAKQAWRLLTCPNSLCSKIYREGPMIVKDLINFERRCWKENVIRAFFNQTDIKTIKKIPIGSEQIDDRIIWHFTRDGNYSVKSGYKLLLSKTSSIDDNGQSSSGVQQAGYWRKIWNLNVAPKIQNFIWRACKNVIPTKENLFKRRCGLNPDCNRCGEEVESLEHILFFCPFAQAVWRASFFSYSPRREGFTAVDISSGDTGIAVVCRNHNGDLIDGASFITHVDSVDVAEALAVRLATCLACNRGWRNVIFESDNKDLIRRLNSTNRKDRWDSRAIELDIAFLTSFF >OMO55307 pep supercontig:CCACVL1_1.0:contig14728:240:299:1 gene:CCACVL1_27316 transcript:OMO55307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDALQDNGIDISPYIKEQ >OMO79254 pep supercontig:CCACVL1_1.0:contig10457:14893:22779:-1 gene:CCACVL1_13805 transcript:OMO79254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKWRAIQHRHRYTYSAVVFPPSFIDSLNQSSLSASSPAFYNELQHLISLNSTYSQVNHVRKVASSFNELLLNEGGKNEALVSTAATFYLEVLFLENSMPLHKTLLSVLAKTKDVFQPVIGDCFRLLCNEYGTMTNKKKRFSVSRVALSVMGMPKLGFLVDVVEDCAVLVCWDIVLGLKSVVLETEVGGRPSPIVMEQSQDALSCLYYLFQKFPGKFKDLGSGDSNVMEMALGVLISVLKSTAFSRDCFVAAGVSFSSALQVSLSDQELGLFIIEGIFDKIVSSSEGSLSNVISKIPYKSDICVDIHNISDLNRLCLIRGILTAVPRVVLNTHFVASRDTFKDIQAHGNVSCAVKTILYDAILPELCNYCENPTDSHFNFHALTVMQICLQQIKTSMLANLTIASENYNPLPEDMETRMLRIIWNNLEDPLSQTVKQVHLIFDLFLDIQSSLCGTEGSEQIKFFLRKIASDLLRLGSRCKGRYVPLALLSKRFGARTMLDMSPDLLFETVQGYTDDDVCCAATSFLKCFLEYLRDECWSSDGIEGGYALYRRHCLPPLLHGLASGISKLRTNLNTYALPVLLEVDVDGIFPLLACISIRPSGVENEYLYAGLDCTDMKLRVEQKVAILVSLLKVSRSLALIEGDIDFCDDSKMSETDGMVETKGFSPYALICIKGIEVRIPVRWLVLALTHIDESLRVDAAESLFLNPKTSSLPSHLELSLMKKAVPLNMRSSSTGFQMKWSSLFRKFFSRVRTALERQVKQGNWQPHMNHENSELCLHKGAEESVVGRSVELFNFMRWLSCFLFLSCYPSAPYKRKIMAMDLMLVMINFWSVIPSSHESTASISPESSLSPYNVGITSPDSTFLLVGSIIDSWDRLRESSFRILLHYPTPLPGISNEDMVQKVIAWAKKLVCSPRVRESDAGALTLRLLFRKYVLDLGWRLRASVNVVCSHSQYASNGDYEKCKSSHPVIEYLQSVIHWLDVAVEEGEKDLAEACKSSFVHGVLLTLRYTFEELDWNSDAVLCSISEIRHALEKLLELVVRITSLALWVVSADAWYLPEDMDELADGDTFLLDEPDEVDVAVPLTEQEDKISKSIRDARPSDQVVMVGCWLAMKELSLLLGTITRKIPLPSDSCSGSLEPGHPSSDSSDASAAPISEGMLDLKQLEKIGNHFLEVLLKMKHNGAIDKTRAGFTALCNRLLCSNDPVLCKLTESWMEQLMERTVAKGQTVDDLLRRSAGIPAAFTALFLAEPNNTPKKLLLRALRWLIDVANGSLLGASVTNGTSIACQISSMESGQQADSALVNGMLGTERTSKIRDEGVVPTVHAFNVLRAAFNDANLASDTSGFAAEALIVSIRSFSSPYWEVRNSACLAYTSLVRRMIGFLNVHKRESARRALTGLEFFHRYPLLHPFLSNELKVATELLGDALSGRSESNLAKVVHPSLCPMLILLSRLKPSTIASETGDNLDPFLLMPFIRKCSIQSNLRVRILASRALTGLVSNEKLPTILFNIASELPQAEYQIMGASAALISLDLANGAHHTSFNLLHGLLLQLGSLVHINCRNLADFSKKDQILGNLIEVLATRTWIARPKRCACPILNCSFLQVLDHMLSVARSCHVSQNLFAIRNLLMELSAECLDVEDAYRLPFYDPTIAELRQQAARSYFSCLFHAPDEVGEEILQIPQKSPPNSMLMQSSEVENDGFLERLTRSLSDSSYEVRLVTLKWLLKFLKSGESGSEINYLSSSEIRIIKNWTKANLHALLMKLLELEKNHRCTCYILRIIFTWNLLKFQELSEGKSDGTLYVGALDCDSVFQLWDRLISFYKLTRHAKTQEALICCLAICVKQFARLFSSFILTDKGQNTSGYDATDLAERSARFYECIAFFVNLIKQHGSSSEPVNMRRAAADSMLASGLLEQAEVIASSVFNQQISSKASFSCFNQQDAVNYYANQILEMWFTCIKLLEDEDDGIRQRLAIDIQKCLSPRSFGTMSNICGAPTQVEKVIELSFNHLSSIFGHWIVYFDYLLQCVLDAANYVISQGDLVRQVFDKEIDNHHEENLLISQICCSHLEKLPIMKSWAGELDKEEVKNYLLDWRMRFLDQLINFAKDHIRKLGIDWLGGVGNHKDAFLPLYANLLGFYALSNCISNLEGKDGMPLLSDVELGKAIDPFLRNPLISNLYLLIIRSHKKNFGATTDSLDTSFRDCIWDDFDPYFLIR >OMO79253 pep supercontig:CCACVL1_1.0:contig10457:9322:13540:1 gene:CCACVL1_13804 transcript:OMO79253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVKGGKDIVDFVKYIDHVGVGENRGENKAIVDSGEVELERGGDIENKAIVDFMKDMDLDGENENRGDGAIDDFDENAMCDSEIDELECESDDVGNERTMCGEQVDPVELYRELRNRKRGVKMGRADWEILQEVFSYFSKSGWAVNQSLAIYIGRAFFPTSVHQFRNFFFKKCSAAVAKHVVSLGPSDAALKFLFPIFVEFCIEEFPDEIKRFRGMIQSADLTKPHTWFPFARAMKRKIIYHCGPTNSGKTYNALQRFMEAKKGLYCSPLRLLAMEVFDKVNAQGVYCSLHTGQEKKFVPFSNHVACTVEMVSTEELYDVAVIDEIQVMADPYRGFAWTRALLGLKADEIHLCGDPSVLNIVRKICSETGDELHERHYGRFKPLVVEAKTLLGDLKNVRSGDCIVAFSRREIFEVKMAIEKHTGHRCCVIYGALPPETRRQQANLFNDQDNEFDVLVASDAVGMGLNLNIRRVVFYSLSKYNGDKIVPVPASQVKQIAGRAGRRGSRYPDGLTTTLHLDDLGYLIECLKQPFDEVKKVGLFPFFEQVELFAGQLPNVTFCQLLEKFGESCRLDGSYFLCRHDHIKKVANMLEKVRGLTLEDRFNFCFAPVNVRDPRAMYHLLRFASAYSRSVPVNIALGIPKGSAKNDAELLDLETKHQVLSMYLWLSHHFNEETFPYVKKAEEMAMGVADLLGKSLVNACWKPESRQPRRPKPQEKEEEYERPRSLVKLQQKPLEAISDLGFINLEKAAKPDNKLYFSTGRSKSADVATDSNSKEALKGKGTSVASEKAGGSLRASAHIRVTVRFDYQPDICKDYKETGYCGYVDSCMIVEITSLDGN >OMO67889 pep supercontig:CCACVL1_1.0:contig12357:6955:7071:1 gene:CCACVL1_20230 transcript:OMO67889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QEDCAEVVAAEIASPQHVAASSSRPQRIKRCSTLLEGFE >OMO61280 pep supercontig:CCACVL1_1.0:contig13544:12576:12854:-1 gene:CCACVL1_23626 transcript:OMO61280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSYSIGNNLSSKAGLWYDAQQPSFKNEGFLAP >OMO61279 pep supercontig:CCACVL1_1.0:contig13544:11935:12021:1 gene:CCACVL1_23625 transcript:OMO61279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSREKMTLPLESSRPGFGICNGEASN >OMO61281 pep supercontig:CCACVL1_1.0:contig13544:21960:24419:1 gene:CCACVL1_23627 transcript:OMO61281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRLYEAARNDAVEALSTLLREDPFILERGLLEAVPETCLHIAALAGSLNFVKEMMRLKPGFATKLNKDGFSPIHMASANGYVDIVKALLPTDTNLGRQISADGRTALHFATATGSVAVLRELAKSCPECLVDLTERQESALHLALKNNQVEAFKLLLEIMREINTLEMKSLVNVQDYDGNTVLHIATARRQLQAVKRLVELKVEVNIMNKCGSSALDMLCDTNRNVNEAHDKEMLEMLQQAGAVSSSGQNVVSIPNSSPQNHNQLQNLVTTPNNNNNNSTTDVQALQYQSRFMNIILRPYHICAKLWTTMIHEVESSSKETQSALMVVAVLIATITYQSVLSPVGGFIQIPANQKLKHLNGITVLATDVKMFLFIAFFSSIGFALSVLIIWMLTSRFPLKVLLRLAVITMSANFACMTFYISPLQFNAIFIIMALVFAAVVFNIIYFVIAWIFCKLWTSSRRLIQA >OMO61278 pep supercontig:CCACVL1_1.0:contig13544:4631:8706:1 gene:CCACVL1_23624 transcript:OMO61278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRFFKFDVGSATANQAMVVYAKPDKMEIEAEIVLHIGHLGAMTIATNMAGHETDIIVGGDAEFMPRLKLRKMLMPR >OMO53233 pep supercontig:CCACVL1_1.0:contig15268:83994:86797:1 gene:CCACVL1_28792 transcript:OMO53233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, ALG6/ALG8 MVKAQLLWFLGIATTIKLLLIPSYHSTDFEVHRHWLAITNSLPLSQWYSDETSQWTLDYPPFFAYFERFLSVFARLVDPQIVDLHHGLNYKANSAIYFQRISVIVSDLFLFYGVYRLTSNLASSKQNLVWVLVLWSPGLMIVDHMHFQYNGFLFGWLLLSISFLAQKRDLMGGFLFAVLLCFKHLFAVAAPVYFVYLLRHYCWGGLVRGFVRLSVMGAVVVAVFAAAYGPFVYHGQIQQVIRRMFPFGRGLCHAYWAPNFWVFYIMLDKGIAFLLRKFGFNIPVPAASFTGGLVGDTSPFCILPQITPVTTFIMVLLALSPCLIKAWRDPRPLMIARLVAYAYTCGFLFGWHVHEKASLHFVIPLAVVAVESLEDAKHYFLLSVVSCYSLFPLLYEAQEYPIKVLLLLLHSILMWFCFSAQFSNNIATKVTLQADKKTSQSLVKKKKASQSELKGSSRTTAEKGGFVLGWVAKSYLFGILVVEIWGRFFHPYLLGDKFPFVPLMLMSEYCALGISYSWIWQLKQILLLSP >OMO53234 pep supercontig:CCACVL1_1.0:contig15268:88316:89818:-1 gene:CCACVL1_28793 transcript:OMO53234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFQKHVIRSRSFSGCRTFMDGAAANKFVRDRGLDHAVEREKNLRSLLGVKNLIKSEPSKSLPITIISQHRDSLKIPCRPIEFIRKYPSVFQEFLPGGIGIHPHIKLTPEVLDIDAEEHLVYQSDSYKQLVADRLLKLLMISKMNKIPIGILDLLKWDLGLPQNYLKTLVPNFPDYFRLVGAEDSGQLELVCWSDKLAVSVLEKKAMEETESRFSKGMPIAFPVKFSKGFEMDKKLKKWWDDWQKLPYVSPYENAVHLLPKSDESDKWAAAVLHEILNLFVAKKAARDDVLCLGEYLGIRSRFKRVVLHHPYIFYISSKNTTYTVVLKEAYKRGLLTESNPLMVIRNRYIHLMHTTVRENGKDISIPSGSNQEKEEGGGGAAADDSEEENDLSSSDSNDLSSSDSDADSNDEDDGYQGGHETVAAVSRRRTNKRTNVNLKAPETGGGGVSRRRTNTRTNADFKSHSGNVKGESPPHGSRNVHRKSQQKRRSTLRDRSTRS >OMO53228 pep supercontig:CCACVL1_1.0:contig15268:13709:19334:1 gene:CCACVL1_28787 transcript:OMO53228 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome complex ubiquitin receptor, subunit Rpn13 MGSSSAEAFPAMQETLLEFRAGKMLLEGKRVLPDSRKGLVRIARGEEGLLHFLWLDRTQNVVEDDQIIFPDEAVFEKVNQASGRVFILKFNNDDRKFFFWMQEPKAEDDSLLCTAVNNLINQPLEFLGEEEPDASAPLQVSEDMVEDDISSRAGNLTVPNLGAEAISDVTSSSGPVKLEDLQRILSSIGSGGLGLGDILKPELIMPLIETLQLEEQLASYLPEGQWIPEDMLDLLQSPPFRQQVDSFTYVLRTGQIDLSQFGIDPSKYKFTVLSFLEALEDSVSKMSESGESRQDDKDLRPQSCNQNDPMDEGK >OMO53229 pep supercontig:CCACVL1_1.0:contig15268:20930:22091:1 gene:CCACVL1_28788 transcript:OMO53229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALKVALGENNKAPAEADRPNVAK >OMO53230 pep supercontig:CCACVL1_1.0:contig15268:37121:45370:1 gene:CCACVL1_28789 transcript:OMO53230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIMLEILAEEAQKGNKPSNFFKPTSFHRVTTTISERFDVVCESDHVENQLKTFECTWQLITTIRGSNGFGWDDKLKMIVAAKKPYEEALEAHPKWEYLNKKLEMYDEMSLTAWIPTLEFDFTTSADR >OMO53231 pep supercontig:CCACVL1_1.0:contig15268:80852:81190:-1 gene:CCACVL1_28790 transcript:OMO53231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQNAYSFWLWNQKIANRNEARQREHATSLMIGKFSQLHAKAESLPTMTEKKKTSIVEEEEKQEERTQDQKQSSPLSEFLESNTEAIDVLKSSWNRSSARTFERESCCEKE >OMO53232 pep supercontig:CCACVL1_1.0:contig15268:82450:82581:1 gene:CCACVL1_28791 transcript:OMO53232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTATAAANLPPSSPATAKALSADSWTPQPHRRANGERLLRLD >OMO91686 pep supercontig:CCACVL1_1.0:contig08314:7064:7213:1 gene:CCACVL1_07050 transcript:OMO91686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMVELLTTLMQRVLTLLSEWEDHPAGLQKVLNVIEMLLAISLEHPAS >OMO91687 pep supercontig:CCACVL1_1.0:contig08314:27228:35119:-1 gene:CCACVL1_07051 transcript:OMO91687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMSTLEYINQMFPTEASLSGVEPLMQKIQSEIRRVDAGILAAVRQQSNSGTKAKEDLAAATHAVEELTYKIREIKTKAEQSEMMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCRHFEAYRDIPKITELREKFKNIKQILKSHVFSDFSSLGTGKETEETNLLQHLSDACLVVDALEPSVREELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRMRTNEEIWKIFPASWLVPYRLCIQFCKKTRKQLEGILDNIKEKPDVATLLLALQRTLEFEDELAEKFGGTTQSREIGNDIEEIGRQNNTQTASDIRKKYEKKLAAHQGSENEEKDGSKDLSAPAAGFNFHGIISSCFEPHLTVYIELEEKTLMENLEKLVQEETWDIEEGNQNNVLSSSMQLFLIIKRSLKRCSALTKSQTLYNLFKVFQRVLKAYAAKLFARLPKGGTGIVAAATGMDGQIKTSDRDERVICYIVNSAEYCHKTSGELAESVSKIIDSQFADRVDMSEVQDEFSAVITKSLVTLVHGLETKFDAEMAAMTRVPWGTLESVGDQSGYVNGINMILTSSIPVLGSLLSPIYFQFFLDKLASSLGPRFYMNIFKCKQISETGAQQMLLDTQAVKTILLEIPSLGRQTSGAAGYTKFVSREMSKAEALLKVILSPIDSVADTYRALLPEGTPMEFQRILELKGLKKSDQQSILDDFNKGAPSISQPTSVVTVSQATPPAPVATTVPAISNPASVGFIASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >OMO91688 pep supercontig:CCACVL1_1.0:contig08314:43164:53900:1 gene:CCACVL1_07052 transcript:OMO91688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNVNVGQHVAIVAGSVVIYAANLSIGPDSAVNTTALAGLPPAQTSGTPVGNDGAGGGHGGRGASCLKSNKTTFWGGDVYAWSTLSEPWSYGSKGGGTSDEYRFGGKGGGRVKLIVEDMLYLNGSITAEGGNGGLTGGGGSGGSIYIRAVKLKGDGIISAAGGMGSGGGGGGRISLDCYSIQEDVKVSVHGGVSFGCPGNSGAAGTYFNADLLSLRVGNDNVTTETETPLLDFPTSPLWSNVFVENNAKVLVPLLWTRVQVRGQISLYRGGGIAFGLSEYPVSEFELVAEELLMSDSIIKVFGAFRVSVKMLLMWNSQIQIDGGGNTVVTASILEARNLVVLRENSVISSNTNLGLYGQGLLMLTGHGDAIKGQRLSLSLFYNITVGPGSLLQAPLDDDASRSVVTNSLCESQSCPMDLTTPPDDCHVNYTLTFSLQICRVEDLLINGIIKGSIIHIHRARTVTIDADGLMTASELGCSKGIGKGNYLDGAGSGAGHGGRGGAGYFNGRVSNGGHEYGNADLPCELGSGSEGPNQSIGHVYGGGMIVMGSTQWPLSSLIIYGSLRADGQSFGKAATNGNGSLIGGLGGGSGGTILLFLQELMLAENSSLSAIGGNGGPLGGGGGGGGRLHFHWSKIGTGDEYVPVATINGFINSSGGAGDNGGYFGDEGTVTGKKCPKGLYGTFCRECPVGTYKDSEGSDEDLCTPCPLELLPNRANYIYVRGGVRERHCPYKCISEKYRMPNCYTPLEELMYTFGGPWPFALLLSGILVLLAVLLSTLRIKLVESTSYGANIQHQSSHRFPHLLSLSEVRGTRAEETQSHVYRMYFMGPNTFREPWHLPYSPPDAIIEIVYEDAFNRFIDEINSVAAYDWWEGSVHSILSVFAYPCAWSWKQWRRRKKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMVAYIDFFLGGDEKRVDMVSIIQKRFPMCIIFGGDGSYMSPYNLHSDTLLTNLLGQHIPPTVWNRLVAGLNAQLRTVRHGSIRSALVPVMDWISSHGNPQLEFHGVKIELGWFQATASGYYQLGILVVVGDFTFHNLHQPDLFDKRNDTYPRKDAASAGKSLKQLQQSWPYPTHALSRKKITGGINGGLINDATLRSLEYKKDFLFPFSLLLHNTRPVGRQDSLQLLITTMLLADLSVTLLTLLQFYWVSLGIFLAVLLILPLALLSPFPAGLNALFSKEPRRASLARIYSLWNATSLSNIAVAFICGIVHYGFSAFHSPDNESWNTRREDDKWWLLPTILLLFKSIQARFVDWHIANLEIQDFSLFCPNPDAFWAHEPTS >OMO91689 pep supercontig:CCACVL1_1.0:contig08314:55042:56751:1 gene:CCACVL1_07054 transcript:OMO91689 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, delta/epsilon subunit MFRQASRLLARTTTTAPWRRSRAFSTEVPATAVEDSSFIESWKKVIPNIDPPKTPSAFMTPRPATPTSIPSKITVNFVLPYASELSTKEVDMVIVPATTGQMGVLPGHVPTIAELKPGILSVHEGNDVTKYFVSSGFAFVHANSVADIIAVEAVPVDRIDPALVQKGLAEFTQKLGSATTDLEKAEAQIGVDVHSALNSAITG >OMO57178 pep supercontig:CCACVL1_1.0:contig14432:19851:33437:1 gene:CCACVL1_25939 transcript:OMO57178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 48 MTNPESAGHSSSGPPGLTRRPSRSAATTTFSMEVFDNEVVPSSLSSIAPILRIAKEIEHERPRVAYLCRFYAFEKAHRLDPSSSGRGVRQFKTGLLQRLERDNASSLASRVKKTDAREIESYYQQYYEHYVRALDQGDQADRAQLGKAYQTAGVLFEVLCAVNKTEKVEEVAPEIIAAARDVQEKKEIYTPYNILPLDAAGASQSIMQLEEVKAAVGALGNNRGLNWPAAFEPQRQKSGDLDILDWLRAMFGFQRDNVRNMREHLILLLANNHIRLHPKPEPLNKLDERAIDAVMSKLFKNYKTWCKFLGRKHSLRLPQGSQEIQQRKILYMGLYLLIWGEAANVRFLPECLCYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGDDEAFLRKVITPLYGVIAKEAEKCQNGKASHSEWCNYDDLNEYFWSPECFSLGWPMRDDGDFFKSTIDKGKKTSQRKSGSTGKSNFVEIRSFWHLFRSFDRLWTFYVLALQVLIILAWTEASIKEIFQKKTLYYVSSIFITAAILRFLQSVLDLVLNFPGYHRWKFTDVLRNILKIIVSLAWAIILPLFYVHALSFAPDKLKDVLSFLHQVKGISPLYILAVALYLLPNLLAAALFIFPMLRRWIENSDWLIIRFLLWWSQPRIYVGRGMHDSQFALIKYTLFWILLLCAKFAFSYFVQIKPLVKPTKAIMGIRHITYAWHEFFPNAEHNYGAVITLWAPVITIYFMDTQIWYSIFSTICGGISGAFDRLGEIRTLGMLRSRFQSLPGAFNACLVPSDKSRKRGFSLSKRFAEVTASRRSEAAKFAQLWNEVISSFREEDLISDREMDLLLVPYTSDPSLKIIQWPPFLLASKIPIALDMAVQFRSKDADLWKRICADEYMKCAVIECYESFKMVLNTLVVGENEKRTIGIIIKEIESNIAKNTLLANFRMVSLPVLCKKFVDFVGILKDGDPSKQNAVVFLLQDMLEVVTRDMMVTEIRELVELGQSNKESGRQLFAGTGEKPAIVFPPVLRAQWEEQVYIIINLIIYIDKNPNFKESATDVPTNLEARRRIAFFANSLFMDMPRAPRVRNMLSFSVLTPYYSEETVYAKSDLEMENEDGVSILYYLQKIYPDEWNNFMERINCEKDDEIWDKDEDILQLRHWVSLRGQTLCRTVRGMMYYRRALKVQAFLDMATEKEILAGYKSICTPSDEDKKSQRSLYAQLEAVADLKFTYVATCQNYGNQKRSGDRHATNILNLLVNNPSLRVAYIDEVEEREGGRSQKVYYSVLVKGVDHLDQEIYRIKLPGTAKLGEGKPENQNHAIVFTRGEALQTIDMNQDNYLEEAFKMRNLLEEFNEDHGVRPPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHITRGGISKASRGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQILSRDIYRLGHRFDFFRMLSCYFTTVGFYVSSMMVVITVYLFLYGRLYLSLSGLEESIVKFASSKGETALKSAMASQTVVQLGLLTALPMVMEIGLERGFRTALGDIIIMQLQLAAVFFTFSLGTRTHYFGRTVLHGGAKYRATGRGFVVRHEKFAENYRMYSRSHFTKALEILILLICYRIYGSAATDNVSFALLSFSMWFLVVSWLFAPFLLNPSGFEWQKIVEDWDDWAKWISSRGGIGVPSNKSWESWWNEEQEHLQHTGLMGRLVEILLSLRFFIYQYGIVYHLNMTEKSRPGLRHSLVVYGLSWLVIVAVMVVLKIVSMGRKTFSADFQLMFRILKLFLFIGFVVLIAMLFYFLDFTVGDIFQSLLAFMPTGWALLQISQAIQPIVKGIGMWGSVKALARGYEYMMGAILFGPIAILAWFPFVSEFQSRLLFNQAFSRGLQIQRILAGTKKNT >OMO57181 pep supercontig:CCACVL1_1.0:contig14432:52244:52531:-1 gene:CCACVL1_25942 transcript:OMO57181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLESRSPLSLKVSTLIRFQSVCIYLLIPFLAPGIFFHLLASIFFACPIGLIWSWIRTASNRDNTVGNDGGVLSIAIALAEDATLYSPTLESSLSA >OMO57180 pep supercontig:CCACVL1_1.0:contig14432:46179:49607:-1 gene:CCACVL1_25941 transcript:OMO57180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPTRRRHGCRRRSWCCTFNVPPSSPENPHLSQHCRNNSSNCKTKLGPQKTDSLSKLTTSNSVPNSPQSSKSGLSIVGRIDPRRILSPGRVSPIDHTDSFGEGRLSSHATPSATAAVDDSVPRSRSQSFRAKIESLDAHLSSDLGRVEGVRGEGFDARLNLKGKNGGVLVLELSSSVLASNSEVFAGLIAGSLGRKVYRIEVPDVENLGVFRETIELMFEEDIAKKLVKIGVYRAIDILEAMSNNHQEYGPKPLVILFCLVSAGIMFKRGVSSCLKYLEAVPWTEEEEEKLRSLFTRFKFDDATSRDILARLYSLESTDTHQNLARHLVSSITTCSDANARNELKSLVKGLLCKSSVYEKEQPDVNKEDFYAVCQSCLSSLVNLFEEASDAIPHESMVKKEMGKPLIERISRQVDNINWLLEILLDRQMAEEFVDLWAHQGHLLKLHEKASPMVRYELSRVSALLFIAMGTRKLHCRSDARSGLLQAWFGPMLLDFGWLQRCKKGLDMKALEEAMGQTLLTLPLKQQYVLFMEWFGCFSKHGTECPNLSKAFQIWWRRSFLRGSETHAIESR >OMO57177 pep supercontig:CCACVL1_1.0:contig14432:12506:16272:-1 gene:CCACVL1_25938 transcript:OMO57177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAISWVPKGASKPEPAVAELPSKDEIDEMIKTGVLERNEDDDTEEENEDMDAEAEKQPSEVAQALAVADALGKSGTQMDDLTDGLKELDMEHYDDEDDGIELFSKGLGDLYYPSNEMDPYLKDQDDDDSEEIEDMTIRPVDSVIVCARNEDDVSHLEVWIYEDTDDGDSNMFVHHDIIISEFPLSTAWLDCPLKGGEKGNFLAVGSMDPAIEIWDLDTIDEVQPCVVLGGKVDKKKTKKGKKKSKYKEGSHTGPVLGLAWNKEYRNILASCSADKQVKIWDVAAGKCNITMEHHEDKVQAVAWNHHAPQVLLSGSFDHSVVMKDGRVPSHSGFKWSVTAEVECLAWDPHTEYSFVVSLEDGTIRGYDIRAAKSDPSSESKPSFTLHAHDKAACTLSYNPAAPNLLATGSMDKMVKLWDLSNNQPSCVSSRNPKAGAVFSISFSQDNPFLLAIGGSKGKLGVWDTLTDAGVSAKFGQYNQPNKPKS >OMO57176 pep supercontig:CCACVL1_1.0:contig14432:10232:11642:-1 gene:CCACVL1_25937 transcript:OMO57176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLIRTILNEMIPQQMQNFISSKFFDLASRCLSSDFTFIIEERWQAVNNETFRAVEAYLPTRIGPSTDSLLIGSHEPLNPKSPPKQSIPVDCKIIDEFQGMRLEWTLRSIESKNYFPREKRYFRLSCKKSNRDRVMQSYFPHITRTAESILNKRDTLNIYTYDQESSMWESTVFKHPATFETLAMEPELKQSVMEDLDSFVTRKDFFEGVGRAWKRGYLLYGPPGTGKSSLVAAIANYLRYNIYDLQVQSARSDSDLRHILTSTTNRSILLIEDIDCSTKVAHDRAKIQDDQEDDDDQQPNRLPSTDPGVTLSGLLNFLDGLWSSCGDERIIIFTTNHKEKLDPALLRPGRMDVHVYMGYCSAAGFRKLAANYLGIKDHSSFATIENLLENVTVTPAEVAQQLMKSDEPEAVLQSFTQFLHMKRNEIQKQDGKQES >OMO57179 pep supercontig:CCACVL1_1.0:contig14432:34206:41029:-1 gene:CCACVL1_25940 transcript:OMO57179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Transcriptional corepressor SEUSS MMPSGPSTPIGGAQSVSPALMRSNSAILGSQGGSMPQQASFSSLVSPRGQYNMNLLGSTANISSLLNQTFGNGGSNSGLSGMSGFQRGAFDATAESDPLTAVANEIGFNIPPSSFTPSNVANSGSSGQLQNHQISNSSGNPALLDQQQAQVQQFEPQKFQQGQQSLQQFPLPQNQSQQQQQQFQSIRGGLGAPGAVKLEPQTMNDQVGPQQQLQSFRNLGPVKLEPQQNQIGRGIGPVKLERQQSDQAMLLQQQQQQFLQLSRQSSQAAIAQMNLLQQQRILQMQQQQQYLKSLPQQRPQLQPQFQQQNLPVRSAVRPIYEPGTCARRLTQYIYQQQRRPEDNNIEFWRKFVAEFFAPNAKKRWCVSLYGNSRQTNGVFPQDLWHCEICNRKPGRGFETTVEVLPRLFKIKYDSGTLEELLYVDMPREYHNANGQIVLDYAKAIQESVFEHLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLIIPQVSQLGAAAQKYQASAQNASSNLSATELQSNCNMFVASARQLAKALDVPLVNDLGYTKRYVRCLQISEVVNSMKDLVDYSRETGMGPMESLAKFPRRNDASSSRHNSAQQPEEQQQITGENANNNPHSVQSSVVQPSTSNGVASVNNSQAATSTSTSATTIVGLLRQNSMNSRVENQMNNPNSPYAGTPVQIPSAGSSTTLPPAQPNPSSPFSSSTPSSSNLPPQSSHSTLAPSATAKHVNSSNSSAPIPPQQLSHSTEVDPNESQSSVEKIIQEIMISSQFSEAGSMVSGSIGNNLKNNNGVPQPPVSGSSLMANGFINNNSSIGAGGFGNMSGGMGISHNAAAMRSIMGNNSMSFNGRVNMPLIPQDVMNHQQQELANRLLNGLGAVNGFNNLQFDWKSP >OMP04187 pep supercontig:CCACVL1_1.0:contig05781:286:351:-1 gene:CCACVL1_02180 transcript:OMP04187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPKKARGKEANWQKTLKAA >OMO90199 pep supercontig:CCACVL1_1.0:contig08510:35227:41146:1 gene:CCACVL1_07475 transcript:OMO90199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MGVSPARRSSIAADKNSQAQDPFWQFAALPFMDDDDDDGLLMSHLLSQAQHQTHAPAEINPASPCPCPWPVKQSKKRKASATSNGGAKAKQPRKRAGKKDRHSKIYTAHGPRDRRMRLSLQIARKFFDLQDMLGFDKASKTIEWLFSKSKAAIEELTDNLPGGGKSASSTSESVSEVVSGSAAKENIIGVGSVASLKAKERKSRVARESRDKARARARERTIEKLKMKKASDPNESKPNDQLEPLQDAEDQPHPHPHPHQMDQDSAVSIIEKFLGITRSSMFNYSHNIADSSGENSEETNCPGNWGINKIRYGYYTDIKESKGKQNPSGNGQDQNPSSNLMMASSDPGSPSPTSIFSCAPPTWLCIHK >OMO90197 pep supercontig:CCACVL1_1.0:contig08510:8439:13850:-1 gene:CCACVL1_07473 transcript:OMO90197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type AMLVERRRVMSWRRVGKSLHALVAHALLFTFTLLLALKLHHAVSYSWWVVYSPLWFFHAVVARGRFSLPAPAMPHDRHWAPFHAVMATPLLVAFELLLCIRLDNSYVVDLKIVFLPLLAFEIAILIDNIRMCRALMPGDEESMSDEVIWETLPHFWVAISMVFFIAATTFTLLKLCGDVAALGWWDLFINFGIAQCFAFLVCTKWFNPAIHRNSYIGESSSSSMTLRYLDWNRGLVISSDEDRHQNRICNPQDIGGHVMKIPFICFQILLFMHLEGTPPSARNISPPVLFSPILLLQGAGVIIAAYRLIEKIVILVHSGAGSGRYFDISSKVLEYFGFLHHGSRLLGWWSIDEGSREEQARLYCSEASGYNTFSPDIVKKMPKSDLVEEIWRLQAALSEQTEITKFSQQEFERLQNEKILCRVCFEEQINVVLLPCRHHVLCSTCCEKCKRCPICRVSIEERLPVYDV >OMO90195 pep supercontig:CCACVL1_1.0:contig08510:4226:5636:1 gene:CCACVL1_07471 transcript:OMO90195 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like protein MTVSIASGRVLIVGATGFIGRFVAEASLDAGRPTYVLLRPTSSKSKVAKALQDRGATLVHGLANDKEAMEKLLKEQQIEIVISAVGGGQILEQLSLIEAIKAAGTVKRFLPSEFGHDVDRADPVEPGLSMYKEKREVRRLIEKLAIPFTYICCNSIASWPYCDNTHPSHLPPPLDHFQIYGHGTVKAYFVAGSDIGKFTMKTVDDIRALNKSVHFRPPSNCLNMNQLASLWETKTGRTLPRVTVTENHLLSLAAENRIPQSIVASFTHDIFIKGCQVNFSIDGQNEVEVSCLYPNDPFRTLDDCFNDFLLMMNKDDDQTIKLKQISNDHEIPTPNKPVVEAMA >OMO90198 pep supercontig:CCACVL1_1.0:contig08510:19441:23315:-1 gene:CCACVL1_07474 transcript:OMO90198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQGAYMCGKKEEDQQGQGECSEAIQFQNIQQELLIQQHQQIQMQQHNNDLFGATRGGGGGLMFPHEVSPIQLPWSLPLPPVHSFNPTHTHTHFNGNPARDHDPNFLVPPPPSSYGALFNRRAPPALQFAYDHGPSVPDHLRILSETLGPVVQPGSAPFGLQAELGKMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSLIAETSPVPTEMDELTVDTSDEDGKFVIKASLCCEDRSDLLPDLIKTLKALRLKTLKAEITTLGGRVKNVLFITGEEDSTAGGGDQQQYSITSIQEALKAVMDKTSGDDSSSGSVKRQRTNITILEHRSLN >OMO90196 pep supercontig:CCACVL1_1.0:contig08510:6351:7328:1 gene:CCACVL1_07472 transcript:OMO90196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQRSLHVASALVFFYVSFGKIFDARLLFDEMPKKDAVLWTAMLDGYAKHEEPQLGLELFKQMVDAGITPDWVVMLSLLLAEDFIQQMPMEPDAAILGAILAGCRVHNNVEVGERIAKKLISLEPEKAGYYVLLSNIYAAAGKFDEAEKVRECMKEKNVSKVPGCSSIPIESDSWLLQ >OMO78617 pep supercontig:CCACVL1_1.0:contig10559:19510:23655:1 gene:CCACVL1_14264 transcript:OMO78617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEEEKPRASISSKPSPSPKDDNLSQSASPQPKQNATSPAPPSPRNFIVSVATNIAAQPLPTYDPNVWGVLTAISNNARKRSQGMNMLLTADEHYIGRLVEDMSLRIDSNSVSAKHCKIYRKTVTNGDTQQSSSNYASVFLKDTSTNGTFHNWQRLRKDSPESKIEHGDIISFSAPPQHELAFAFVYREVAPPTEGACTKRKAEELASENKRLKGIGIGAPEGPISLDDFRSLQRSNRELRKQLEDQVLTIDTLRNENRATIERHENAIKEMKESVESSYRDQLRELKNLLDIKEKEIQEVSRISAEQKHSIEDLNERLSASIQSCTEANELMMSQKASIAELKVQLDEERDQRREEREKAAIDLKAAVQRAQLEAQEELQRLSNDALKREKEQQEVINKLEESLRKSSSQVEGLVSKLEETRQKLVYSDNKVRQLETQLSEAQLASGNARKKVEELEHELKELRKELETEKAAREEAWAKVSALELEISAALRDLGYERRRLKGARERIMLRETQLRAFYSTTEEISKLFAKQQEQLKSMQRTLEDEENYDNTSIDIDLNVPIGNLNGVAIREKATTGYHGNGNKKAGSTTSVQRVDISSDEASVTEKHDCDMRSQEVGENTQEAEFTSADHCVKGAFGSDIDGVGTAPGLGDPIGTERVLETESLGIEVERNIDLNNCETLGGDTMQFEYETNGNAHESNEQIRSPFPDLNSQSNKPPETQNLVEDSEAGGTIRTADLLASEVFGSWANSSAPSVHGEYESPKIGDNDGDDHAMAMHDSSGVVPESESMPSSEAAAARRNDERQALSEMIGIVAPGLKEQFGGDRDDDSDQQREKQSVSTDSDSEDGIDSDGDNNNVAARKGSISDSETEGDQDDEDEKQKDVINEDDDTSGDDSE >OMO78618 pep supercontig:CCACVL1_1.0:contig10559:28067:28337:1 gene:CCACVL1_14265 transcript:OMO78618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIINSSKLIIPSTSFYSLCRQRPSKRHNIRVPRLNRLVEKVIKEGNCGQNKEDGCGD >OMO78620 pep supercontig:CCACVL1_1.0:contig10559:33731:40850:1 gene:CCACVL1_14267 transcript:OMO78620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDGGFSVELSLRRFLARCPKVGSVPRFESLAKKGQLVTEEEVVNCLAELLLHPRYTIPLIGCFRPITQKVVNKAVTLLRLVPNLRFNSDLAVTESGYLDDDEVINVIEFHIQHGRGLDLHELACLAFCRALDLVPFLLGSILNYFKFAPPPFERILMKGSVIELSGKVITYYLHVVRTSYRLLIAGTEVFSKYWDWSCFLDLVKKVVNIDEGSDVKFQKDIMDIRWCGIQILSVVLKMNDRTVAKFGVVADEAHSCSLRWEQFCQDIAVEMAGAYIGTLENIRLDPENRELAFSQENCLQSFGLCSFTSSRSHGNEPPLRSRRLVEWDDKSAGNPFVMTSTLKRSFEMVLLAVSQKWPVLLHGPAGAGKSALISKMARDSGNQVLSLHMDDQIDGRTLIGSYVCTEQPGEFRWQPGFLTQAVLNGYWVVFEDIDKAPSDVLSMMLPLLEGSSLFVTGFGEEIRVAESFRLFSTISTSKSDISRGLEGGNLNGGLWRKVMIEPPTNQDLQKIVKAWYSSLEPLAEKLAETLEGVNRLHQLVGFQPGNSVSLSAFSKFSLRDLLKWCSRIACLGFGSTRNDLTASECCAIYQEAVEVFAAFSTSIGNRLTIMKEIAQKWAVPISRAESLYPHDEPIIQDLRSELRIGRVILQRTEATLYDERRFVKIHSSLHILERIACSVKFNEPVLLVGETGTGKTTLVQNLAARLGQKLTVLNLSQQSDTADLLGGFKPMDSKSICIPLYNEFKFLFSKTSSIERNPQYFALLKEALDSKNWEKLLRGIRHGVEFFEKKRVKDVKLGFDKK >OMO78614 pep supercontig:CCACVL1_1.0:contig10559:11362:14368:1 gene:CCACVL1_14261 transcript:OMO78614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSVASIFDLRADFFDSCRLLSPSSTTAFESLSISENDSLENQNDGDGREENSSSNGVAVPRCTCNTCKAEFDSLQEQRSHFKSDIHRLNVKLKIAGKDIVKEEDFDEELNADFVKDYDVSSISGSEDEADKVANPRNNAHKRQKLFIRLKSGERVSIWKSLIMNESESVSYENKEVWNDNGGNLTEVEVIERLKTSVQEEYKGAWYDNDNRRLRIVLLSAGGHFAGCVFDGNSVVDHKTFHRYVVRAKAGKKQSSKDGKGKAAHSAGAALRRHNELALKKEVQELLAAWKHYFDASSCVFIHAPSSNRHMLFNGDKPHFSHKFCSVRNVPLTVRRPTLKEAKRVYSLLTEVVFEVEESDIPIDTEEDLLLSSSTIGTGNQDPPEEEAGHNLNCRDASENPSINGKSAVIPSESESDGEVVCTSTPLHEAAQSGDAQRVLELLEQGLDPSVKDERGRTPYMLAKEKEVRNTFRRFMASNPNNWDWNAAKVPSALTKEMEESQAAKQAEKDAKRKARAKELKKLRRAKEKKAQAEAAQSQNTAAISHNQATVPSVTKGQSQPVGGSKIFKEEELKRAQAAEREKRAAAAERRIAEAAAASTGVAGDINCSCCNTSLAGKVPFHRYNYKYCSTSCMHVHREILEEG >OMO78613 pep supercontig:CCACVL1_1.0:contig10559:7639:8910:1 gene:CCACVL1_14260 transcript:OMO78613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALHPLNICLLTLSLVAISANAHNITAILEGFPEYSSYNSFLSQTKLADEINSRQTITCLVLDNGAMSALTAKHPLSVIKNILSLHVLLDYYDPQKLHQISKGTTLTTTLYQTTGNAPGNLGFVNITDLQGGKVAFGPAAPGSKLDSSYTKSVKHIPYNISIIAISAPIIAPGILAAPAPSASDVNITGLLEKAGCKTFASLLISSGVLKTYESALDKGLTIFAPSDEAFKAEGVPDLSKLTNAEQVSLLEYHASPEYKPKGTLKQTTDPVTTLATSGAGKFDLTVTTAGDSVTLHTGVGSSRVAEAVFDSPPFVLFTVDNVLLPSELFGKSPSPAPAPEPVSAPTPSVSPSPAPVSEAPSPLAASPPAPPTDTPAGSPADAPAGSSENSTSGNGAGHVSVPLLRSVIITVFATVVSSVLLS >OMO78612 pep supercontig:CCACVL1_1.0:contig10559:438:4654:-1 gene:CCACVL1_14259 transcript:OMO78612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M48 MAARAVQCPTLPGECGAQERGATVEKEEKAEEEEGSPSIKLGLGVDGKVSEKEKKGGVIVLTRGKCEFTKAQLRELRSQGVIYEHIARGSPVPLHLVMPIWKSVASSFDSAQGSIYEHYPSFVGVSPQGFDYSNMMDPEPGRCRRTDGKKWRCSKNVIPDQKYCEQHMHRGRHCSRKPVESPQITLPDENVSDNSNKESENSNKLSAPVSVPCMNQPSCNSSPSKVVTATTAIEDGCKVDSKRKIISTPATTVTATKHDKENDCKRSKNLPNASDKGEEKLSIGDNNITQRSSKSGTAVFSAPVSVPCMKQSSCNSSPSKVVTAATAMEDGCKVHSKRKIISPPATTISTTIITATKHDKKNDCKRSKNLPNASDKGEEKLSTGDNNITQRSSKSGTAVGNRPSSGVDISPKSVLQVTGSNSSSVDKNEIELEPGRCRRTDGKKWRCSRAVIPDQKYCARHMNRGARKPVEVSQPVVDSSTSRLKKANKVVCAAATAVTTNLSISIPSQELSTHDESRTMVGAARRLQYPRGFLQGTRRFYHSNPNNLPLPRTLTSLVQVSTNSLTTIRASRDWRAVLFTVAAGSCLLFMFGVRIERVPYSNRFHFTLSNRLTNKLGSYKFGKLLANEHEFEHTDSVTVHSSDPCAIRVQSIARKLLEGMHKGLMLQNQPVIVAPKSQVNHHHKLWCKRKSHQYHLEAAAKSGESEGLVAANQKGQNCKRKLLWKPSAKHLEGKAWQVYVTDSYSGTALCLLNGKVVIGTRLLEDLKSDDEVAAVIAHEIAHTVARHLGE >OMO78615 pep supercontig:CCACVL1_1.0:contig10559:14968:16150:-1 gene:CCACVL1_14262 transcript:OMO78615 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family MEINNVEYERITEEEEEDAYNNGHEHGFGSHEVSKSESPSNGKSWKNLLSLIAIFVLLAILAILAIHYLGPLLLKRVIVPLIRWESDKFDTLERVIVIFASLAIFPALCLPSTPSLWVAGMTYGYVNGYLLVMAGISVGVSLPYFLGSIFRSKIQALLEKHPKQASVLRLAGEGNWLHQFEAVTLIRISPLPYIIVNYAVVATNVNYSPYLLGTWVGMVPEVFVALYSGILIRSFAVASKDKKTISVKQIVFSVAGFCASVVATILIGIHTKKLLDKKRKEVIHTSGRKYEEPIQTQAT >OMO78619 pep supercontig:CCACVL1_1.0:contig10559:29629:31727:-1 gene:CCACVL1_14266 transcript:OMO78619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrodipicolinate synthetase-like protein MAILKSCGICLRESTVLLPRPNGGESYKRRNLRWRSPQAAVIPNFHLPMRSFEVKNRTSSDDIKSLRLITAIKTPYLPDGRFDLEAYDDLVNMQIEDGAEGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGGSIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSLEGLVSHFESVLPMGPTIIYNVPSRTGQDIPPRVINTIAQSPNLAGVKECVGNDRIEQYTNNKIVVWSGNDDQCHDARWSHGATGVISVTSNLVPGLMRELMFGGTSPSLNAKLLPLIDWLFQEPNPIGLNTALAQLGVVRPVLRLPYVPLPLAKRVEFVNLVKEIGREHFVGEKDVQVLDDDDFILVGRY >OMO78616 pep supercontig:CCACVL1_1.0:contig10559:16993:18834:1 gene:CCACVL1_14263 transcript:OMO78616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTLHTNLGDIKCEIFCDEVPKAAENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFGDEIRESLKHNARGILSMANSGPNTNGSQFFITYGKQPHLNGLYTVFGKVIHGFEVLDIMEKTQTGPGDRPLAEIRLNRVTMHANPLAG >OMO78167 pep supercontig:CCACVL1_1.0:contig10627:10491:14268:-1 gene:CCACVL1_14610 transcript:OMO78167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRQNMAVASGDGKLNVEESPSLGSRTLDCFQKLEQIGQGAYVQVFMAREIKTGEIVALKKIRIDDDSEVGFPITAIREIKILKKLNHENVIKLKEIVTSPDDDNNIYKGGVYMVFEYMDHDLAGLASRPEIRFSVPQIKCYMRQLLTGLHYCHANQILHRDMKGANLLVDNEGNLKLGDFGLACAFLNDHSRNTAYCVVTLWYRSPELLLGAEKYGPAIDMWSVGCIFAELLLGKPLFPGKDIPKQLNKIFELCGAPDEVNWPGVSKIPLYNDFKPRKPKNRRLQEVFRHFDHHALELLERMLTLDPSQRISAKDALNAEYFWTDPLPCDPKSLPKYQLSHEFQTKKRRQQQREHEESAKRKKLHPPQPHARLLPIQQSGQAYAQMRHGPKPPRHCSQSQSLADVGHSHHHGEPHGPAVVPGRYSPSIANRGYNQPNRGAEGGGDGSYINGRYSPQGRGPPYGSSGMPGTDPRGGGGSLYRVGASKYSHGGPSGSYSSVRGSNMIGRNHSHR >OMO78168 pep supercontig:CCACVL1_1.0:contig10627:19824:22156:1 gene:CCACVL1_14611 transcript:OMO78168 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein-related protein MAHPRDEAYLNQVIDKRIRIFQEIQAQQKSQLQSLPHDPIKITLPNGSVKEGKKWVSTPMDIAQGISKSLANNALISSVNGVLWDMNRPLEGDCEIQIFTFEDNEGRDTFWHSSAHILGQSLENIYGCKLCIGPCTTRGEGFYYDAFYGDLGLNEDHFKQIDAGASRAVAEKQPFERIEVTRDQALEIFSENNFKVELINELSADKTITVYRCGPLVDLCRGPHIPSTSFVKAFACLKASSAYWRGNKDRESLQRVYGISFPDQKRLKEHIQRIEEAKKYDHRLLGTKQELFFCHPL >OMO51161 pep supercontig:CCACVL1_1.0:contig15951:11277:14652:1 gene:CCACVL1_29967 transcript:OMO51161 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-diphosphocytidyl-2C-methyl-D-erythritol kinase MAASHLLCNHNFFFPPSSKNSHLSVTPQTKLQFQRTPSIKASKKQLEIVYDPDERLNKLADEVDKEAPFSRLTLFSPCKVNVFLRITNKREDGYHDLASLFHTVSLGDIIKFSLSPSKSKDRLSTNVSGVPLDDRNLIIKALNLYRKKTGSDNFFWVHLDKKVPTGAGLGGGSSNAATALWAANQFNGRVATERELQEWSSEIGSDIPFFFSHGAAYCTGRGEVVQDILPPLALDIPMVLIKPKEASSTAEVYKRLQLDQTSKFDPLTLLEKISSNGISQDVCINDLEPPAFEVLPCLKRLKQRVTAAGRGQYDAVFMSGSGSTIVGIGSPDPPQFIYDDDEYQDVFLSGNCYLTSDFIRENQLHELMEVSVLNAEANFISREENEWYKELISTSACEPQEASRTFT >OMO51162 pep supercontig:CCACVL1_1.0:contig15951:14798:14896:1 gene:CCACVL1_29968 transcript:OMO51162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPFEDGYFHLGSKSRCELKFYARGKVLW >OMO51160 pep supercontig:CCACVL1_1.0:contig15951:6131:10254:-1 gene:CCACVL1_29966 transcript:OMO51160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease MLVSNIPLQTPTYFLSSHRTRPHQNFFRNRNHHFNRRHLLTATPLRTFKCSSQRQSSADDQVQTQTQTQEYEFERLFSNLNQATLKREPGSLSSAIFLVAGTTVGAGILAIPAVTQESGFLASAVACILCWIFMVATGLLIAEVNVNTMCELGSGGVSLVSMARRTLGSVGVQIACWSYIFIHYALLVAYVARSSDILTNYLGIPLWESAALFSLVLGGICYFGSQRFIGAVNGILVFGIITSFAALVAVASGGLEWDALLKANFEAVPMSIPIIALSFVYQNVVPVLCTNLEGNMSKVRTAIVLGTAIPLGLFLVWNAVVLGSIPNLEMSADKMIDPLQQLRSSNGIVGPIVEVFSLLAIATSYIGFVLGLSDFLADLLNFPTGENRPQPYLLTLVPPLGLALLDPEIFFKALDFAGTYGVLVLFGILPAAMSWSDRYSRTSSTSFRLPELLPGGKLTLTLLMGCSGWVILSQILENFGHP >OMO51163 pep supercontig:CCACVL1_1.0:contig15951:15372:17333:-1 gene:CCACVL1_29969 transcript:OMO51163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPASKSKSKDKKAGKEAQKASSKPTGPANAGSGVPASAYNPLLGTFHTIETVPSSSASSLQTNGRFRNIDETDEHLGGSVGAGVEYDSVSNNGSWSGESEDHKEKPSNPPARLEVIPGADNDKREKIRQKNERKHQRQKERRAQELHERCSGYLMSRKLEALAQQLVAMGFSHDRATMALILNEGKVEESVAWLFEGGEEAVKHKDSTIGGGNLKIDISEELARIADMEIRNKCSKQEVERAIVAAEGDLEKAAEALRTSKQDPPSDPPRVEETCDPLTGCSNKVSVAASQNLSVRPQPKPNLSPASQQRRDDKDFNYTKAAVPVGGSTEALNKSFQPLKRIQPKLDWAKPQPSAVPADKRWPGTGSNPSVSYSLASPLQAPPPPAKTETRYVAVGSDFKNLQPSVREPVIMMQRPQSVNTKQVPATSISSSPPGTTSFMYPTSSVEITKSNGFMPHLPSARSHSSNNLNSNQMHHQLYYPQQQHFTSSSGPGDSPGTSRGNGVWSRTGASPALAAASSLGLFTGLGSTSSSGASSPVDWSSGSSMAQLDYNNIDWSLDRGLSSSPRPGGIWLGPTSPMKSSHMYYPNTNGLSAKPAMRLTPNGNGVPIPGLQDGGVAPAESSTPGSQEWTSPFEGKDLFSLPRQFVSSPSL >OMO92990 pep supercontig:CCACVL1_1.0:contig08145:20473:23207:-1 gene:CCACVL1_06692 transcript:OMO92990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MAHSNDSFVDMTGIEGKVRQEHICIEFPSKVSSPGLASFYTNNIKLDAFMDYSGPRLYLQMVASFVLTQSIHTMALKYLGFPMLISQVLAGVILGPMLFHGHNSLITMSESSIEVLGTIGSLGFVFYLFLSGVKMDTSMTRTAGWKAISIGSLTVLVPFVFCLITDVILNGGHRDMIKNKNFFLAMTYSTTSFPVIHSLLNDLKILNSELGRLGLAAALVSDLLSMAILNIGKYFKTYVEKEIDVVLREIGLNVAFVIIVFYVMRPTMNWMVKRTPDGGKIKDVFVYAVIFMLMMIPTVTGMTHLFVLVGPFMLGLAVPDGPPLGSALVEKLEPFVSGLLLPFFASACGMRFNVDDIINISPYAKSQSIAAVVTLFVKFLVSLALPLLCKMPTSDSLALALIMTAKGLFEIGSYSILFDVGMISADIFSTNFVIIVLLASVTPFLVKKFYDPSRKYIGYQKRSILHAKVNENLKIIGCIHVPGNVNSIINLLDSLYLTKETPITLDVLHLVKLTGRATPLLITHSKNSKTMPTKSYSENVILAFNQFERNNWGALFVNIFIAISPSNLMYEDVCNLAMKQLTSFIILPFHRRWYIDGSIESENVSIRNLNQKMLERAPCSVGILVEGRQTTKCSNSNSIEISSSLPSIDKIAVIFLGGKDDREALALAKRMSQDQEVNITVIHLKIENDYAATLVDSEKMLDDETLRFMNQTTYVTYIEHYVNEGTETSDYLKSIVDKFQLIIVGRRYKKDDNRTSGLEQWSEFQEIGVLGDLLASSDFSANFSLLIVQQQLRIYK >OMO92992 pep supercontig:CCACVL1_1.0:contig08145:31452:34478:1 gene:CCACVL1_06694 transcript:OMO92992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQASGSNTGKKLIRIDVSSDSVCPWCFVGKKNLDKAIAASKDQFDFEIKWHPFFLNPSAPKEGVNKRQFYESKFGSRAQGILSRMTEIFRGLGMEYDLSGLTGNTLDSHRLIYFAGTQGLDKQHNLVEELFLGYFTQGKYIGDREFLVECAKKVGVEGAAEFLENPDNGLKEVYEDLQKYSANISGVPNYIVELKIEDSDLQSERELKIKVDVDLIMSKDASIEPNIEPIVSATGEFGNSIITIATGESGDRDEADVQKIVLLMTKQVPLMLMTNQVPVL >OMO92991 pep supercontig:CCACVL1_1.0:contig08145:24109:24270:-1 gene:CCACVL1_06693 transcript:OMO92991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSISTLVAMFPCNLKLKKYRHSFSLKHIIHPFIATYPPMIISPAAFLISN >OMO52105 pep supercontig:CCACVL1_1.0:contig15604:22549:28256:1 gene:CCACVL1_29359 transcript:OMO52105 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MVLLQEAIAPEEGPDDHLLEDLDILELSTEDEVNTKIKKHSLVGKTITERTIKIGPLRAILSKAWPLHDAMEIHELERNIFLFVFKSESDKVRVTQQSPWSVMENHLMLKDWSEDAVLEEIDFTLSEFWVQVHNLPLSYMTRANAEKIAAMFPGMVELDFDSDEDVRWNGVLRMKVRLNVEDPLKTGFYLQRKDKPPLWVAFKYERIPYFCYHCGRLGHVAKDCIYRNDGRKKGSYGQWMKATQLKYKTVTKGGPSSNQSSWKNNEGGREAARPSYADKERVQDVAPTKELTPAISDTTFNVKHASAVPTAQGSSSLKEHLMLPGPSSGSIEAGNELGSLEKMEGKLIGPTEKTISSPNQPMEVSLVSTLGKRTRDVNMDQAGDFNLNQGKRLKSELKAQISNWVYEYYTGMWDVLQVGLAVDYDLLSELFGHKEGETKSNIIESWEKSAKGLGQPSAIRALKGLIHAHRPSIVFLSETKQRRSKLESLRNRLGFAGSHYVDRRGRSGGLALWWNSDFNLEVIADSRNFIDVGTDLGEQGSWFCTFIYGDPRREGRRAVWEQISRLRGNSEERWCCLGGFNAVGSQSEKSGGHPIDRTQAEIFTNFLQDCNLMEIEIHGANFSWSNNREGEDNILEKLDKAYATVDWSNLFTGAIGWYEADVASDHCPLLITLEKLKKNRRRDFKFESKWLLDQEFAEVIDDGWRTSEMGSRMFRLSRKLANTRNKLRSWSKDKFQNPKVEVELRLKRIKEIQMLPLTKELKEELAILKREVDELWQMEERFWHQRSRLNWINYGDRNTHFFHSTTVQRRRRNSITRIMNNDGVWLTEEEEIRNFILNSYKELFRAGELEDVEEVLDSVERVITPEMNEALSKQVSRDEIEEAVFSLGALKAPGRLIQDNIIISHEAFHALNNRRTGRRGFMALKLDLSKAYDRVNWSFLKLLLDKMGFCEFWTTRVMECITSTQFSIVLNGERGDSFTPERGLRQGDPLSPYLFILITEVLSQLITKVIASGDWQGFRINRRCPLLSHLLFADDCLLFSVADERNCDTILNVLTKFRNATGQVINFTKSAVFFSSNTSSECRRLIRDQLGVQDLQMDNKYLGLPTMWGRGKKAALSFIADRIKSKIQGWKSKLLSMAGREVPDLPSFRITSTPSQIGDPMVVKDLINFDRKCWKDNLLRMVFNQTEVNAVKKIPIGSESTPDRLIWHFTRDGNYSVKSRYRLLTSEAISFGNNGQSSSSMQQSRTWRNLWNLKVAPKVKNFLWRSCRNIVPTKENLVKRHCSLFSQCDRCGAEVESLEHILFFCPFAQAVWRASHFSYSPRSEGFVSFLKWWEESANTIVSFGSLNVVELIRYLCWNVWKARNSFVFEGREGNPIEVWNHAVAEFVEYNESLLNANRIHGMGPTQQVWQPPQRDFIKLNCDAAFDMASGDAGIAVVCRDHDGSLIDGASFFTKAGSIDAAEAMALRLAVQLARDREWRNVIFESDNKGLIRRLNCHNQRDRWNTLTIELDTINMAIYFDSFSFSFVPRNCNRAADWVARKTKKRCCPIDWMYSPPTDLVVFLNS >OMO52104 pep supercontig:CCACVL1_1.0:contig15604:3704:17605:-1 gene:CCACVL1_29358 transcript:OMO52104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDTGISVLADRNISAANDEAYGT >OMO86580 pep supercontig:CCACVL1_1.0:contig09454:32961:33029:-1 gene:CCACVL1_09549 transcript:OMO86580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGSIWVRFFGLGQFRVKKF >OMO94497 pep supercontig:CCACVL1_1.0:contig07862:38091:42395:1 gene:CCACVL1_05970 transcript:OMO94497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MRELRVACERENGERRVPEYVLWEPSLTVKLVESSMREVRELKSLELGYTVLKEADIKQRQEDDISKVSTVLSISRVDATILLRNYNWNVSKVHDEWFADEEGVRQSVGLLERPVVQVSDARELTCGICFDSLPRETITSAACGHPFCRACWRGYISTTINDGPGCLSLRCPDPSCNAAVGEDMIDKLATAEEKKKYSRYLLRSYIEDNKMTKWCPAPGCEYAVEFASGSGNFDVSCICSHSFCWNCTEEAHRPVDCATVAKWILKNSAESENMNW >OMO94496 pep supercontig:CCACVL1_1.0:contig07862:2211:3374:1 gene:CCACVL1_05969 transcript:OMO94496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAIASTIDDPVSNFASVLSLKEERGVPDLLHQLQQYPYGTQDLDTSISTCRHPAVVVNGVCYDCRRKIENEDTYGLQFSYLHMGLKLSRSEIDRLRCLESKKRLSQKKLHLVLDIDSTLLQSFPSKQASELVLSKNDKDTKDLGWGVVKLRPMVSEFLEKASAMFEMYLYTLGTRSYANKIAKILDPPGVCFNNRIISRDDNPYGVKSKTLDLVLGEESNTLILDDNPEVWPDHLRNLILIKEFVFTGTETDETEMNTPILGEILKVLQTIHSLFFQQEVGCRDVRKLARKVRSNVLRGCNLYMKKVENSELWDAAKAMGATCCSELNSSVTHLVSCMRGSKDYNWGVENKKFLVHESWIVEAYYLWQRLPEENYSYIIDQSTEF >OMO83907 pep supercontig:CCACVL1_1.0:contig09835:36634:54264:1 gene:CCACVL1_11094 transcript:OMO83907 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MIHDAPRAYHGLEEHIGANKDQGDVIDASLEEHGVGSTCDWPLLGEAAQHRPHDRPSHGATGHKRPSLGAPTGHNRPSFGPSGQDRPFDPLAIPQGPMTRARAKKFKEALLGFVRSHLGGTKEMYCAISYPCKHVMYYLGDHGNMTTKFNMMVRQTNILMCGKKPFTFIPLSPQEALKDQLKLKEEFTKMESDYRAREKNKHAKLDVNCDLVEKHASSKKVVKEYVFPEEIRSGLPPIRGIEHKIDFIPGAQIPNKPAYRTNPEETKELEKQVGELLQKGFVRESLSPCAVPVLLVPKKDGTWRMCVDCRAINNITVKYRHPIPRLDDTLDELHGACLFSKIDLKSGYHQIRMKEGDEWKTAFKTKLGLYECRNLDEHVRHLRCVLDVLRVEKLYANIKKCTFCTNKLVFLGFVVSSQGIEVDEEKIKAIKEWPTPTNVGQGKLKVLWIRVGWTRIGVVMLIRLGYKQFLNVAFEKTSRDNEILCPCCKCANELWRVRAVVEEHLVVFGFVKGYKNFCWHGDDWPQSTSSMPTCRGSSMETVGGDILRDDVDGLLRDALNIHDRQSFHESELESDANVGDGVEDIRDREPTAEASNFYKLLESMNEPLYLDSQYSKLSFSIKVLQLKCLSGFRGFSKSITWHADGRTNDGKLRHPADSLAWKDFDSRYPDFASENRNVRLGLGADGFSSFKTMSTTHSTWPVVLIAYNQAPWIGMKQSSFILAMIIPGEKAPRNDIDVYLQPLIEELKQLWDGVITYDAERKENFNLRTALLWTINDFPAYANLSRWSTRGYFACPCCTAETCSTWLKNGEEFSYMGHRMWLKADHPFRSQKRAFDNTGKKKDLKRRRNVEDGDLEDDDGDGPNDANLWKKRSIFFDLIYWEHNLLPHNLDVMHIEKNEKEVFCQVLKNIKVSDGYASNISRCVNVSERKVYNLKSHDCHILLHDLLPVALQSSLPKRVTAALIELCNIFKALCAKVLKLDELDQLQDRAALALCNLEKIFPPSFFTIMVHLVIHLPLQAKLGGPVSPRWMYPVKRFLGKLGSYVLNKRFPEGSIAEGYLAEECMTFCSREYKRSLVMNSRSRRPNQLDVDKLFTETFPEWLREKHILLPFSDLGAIMKRKAQREANAAKISNSKRAIQQSCRDERGQIAATNSIPNVLGNSVPSGPTARDAAGASVMGPRNPTPTPSDAANVSGPHGPCASNGAGESAPDSNHSEEINGDSLTTVWVDDNGQRKQAKTSLNRLWKAIPPCRVIVSGNEIGQPIGNEAKLLGGFIGKLSWQQPLLPINPESWKKYPMGKKIAAIKYIETRFRLDIPHSWVFKNMGKKWKDFKHDLIKLCFNRDDGWDVTISLRPLDIDIDAYDEMARFWYSTKGEENEKIGIESRKQQKFSHCAGSKSFARVREELQRAMELQATAAAGDTENLTPNELEYIIMAEVLGPERNGRVRCFGFGATPTNAKGITTATRACKFQEERQAEIARFQELEQQNVVMSAKLSQLMKFVAEKFPGEELGQPNNSPQGTQNGSGSDDGGGDADAQNLFVNNTSISSAQSHCGLIEDLRPTNEVNCLSEDYRFTHLEELVFQVDFGGYSKGRFDALANDVQQVREGQNQQAQSPQQRGNAANNNERVQPPPPRQVVPRLDPMERLRKQELGGQAINDNLRPRRGVEREESKDNIKYKIPKFNGRGSPADYLERESKLDMYFDYHPYAESKKMQIAILEFAENVLNWWNQLVQSRRRNLEKPIETWLELKSFMMKRFVPSFYVNSLYQSLQSLRQGTRSVDEYYSEMMLLMSRAEVDEAPQATMARFVAGLNRDIHDIVEMQQHYDVEELLQHALKAESQVKRNGAKKSFASSSSSSKIPIKKDEKTFNKEKEVAQKGVSPKSDSKSSSSSSSKNHVKCFKCQGYGSSGDGDDDDDGVTPALLNLLARRTLSAYVKGDKGDVHNQRENLFHTRMYPCGKPSSVITDGGSFTNIASVYLLKELSLPTTKHPKAYSLGWFNDREEIKVNKQVLVSLSLARYKDEVLCDVLPMQACHVLLGRPWQYDKKVHHDGETNKYSFVCGKHPITLIPLSPQEVLKDQLKLKEDFAKLESEYRTQEKAKNVSFSANCVDNKYVLVDKHAISKKVVKECMLVTKSEIKEALNDNSILILLLLKNTLVATNNLERELPSNIVSLLSDYVDVFPKEIPSGLPPIRGIEHPNDLIPRAQIPNKPTYRTNPEETKDLEKQVGKLLQKGFVRESLSPCAVPVLLVPKKDGTWRMCVDCRAINNIIVKYRHPIPRLDDMLHELHGACLFSKIDLKSENHQIRMKEGDEWKTAFKTKQGLYEWLVMPFGLTNSPSTFMRLMNHVLRAYIGKFLVVYFDYILVYSRNLDYHIEHLRCVLDVLRVEKLYANLKKCTFCTNKLVFLGFVVSSQGIEVDEEKIKAIKEWPTPTNVGEVRSFHGLDGFYRRFVKDFSTLAAPITSVMKKNAPFKWGKEQQEAFETLKEKLTNAPLLVLPNFNNTFEIECDASGDGIGAVLVQGGKPVVYLSEKLNESALKYPTYDKELYALVRALQTWQHYLWPKEFVIHIDHESLKYLRGQQKLNKRHAKWSEFIESFPYVVHYKQGKENVVADALSRRYVLLSMLDSKFLGFEFIKELYASDVYFGEIFKACENSRFGKYYKHDGFFFKESRLCVPSCSLRYVLVRESHEGGLMGHFGVDRTYEILHEHFFWPKMQYDVGKHDSSCIVCLQAKSISKPHGLYTPLPIPNEPWTHISMDFVLGLPRSKRGKDFIFVVVDRFSKMAHFIPCTKTDDAINVRVQIEKKTQHYMKVGNKGHKEIIFEPGDWVWLHLRKERFPEKRKSKLLARGDGPFQVLERINNNAHKLDLPSEYGNMPLGHGDASKMAFDPLRMPLGPITRAIAKKFKDALTSFVQTHLEDLKSIEDQLGSIGVDIIKNIPNDSKLCTLLEITEP >OMO83905 pep supercontig:CCACVL1_1.0:contig09835:2440:2778:1 gene:CCACVL1_11092 transcript:OMO83905 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide repeat-containing protein MEGTFLPNKPVYLVPIKRPSQSNNKPLKFSSTTFPLPPQSQSPPSLPIDSLLQHLHQLSSPPNTTQTSKSIKPPKTNNPQFPSLHISSDIYSKASPAGPFEETHFSFCSSIG >OMO83906 pep supercontig:CCACVL1_1.0:contig09835:7259:9799:1 gene:CCACVL1_11093 transcript:OMO83906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTFLPNKPVFPVPIKRPSQSNNKPLKFSSTTLPLPPQSQSPPSLPIDSLLQHLHQLSSPPNTTQTSKSIKPPKTNNPQFPSLHISSDSTQKLHQQVHSKKPTSVSVLQLDNSKEEGQSGNGSLEFLSKRGSDDVKLDNQVVELMVRILGRESQHEIALKLFDLIPIEESSLDVRACTTILHAYSRSGKYKRAISMFETMKETGLSPTLVTYNVMLDVYGKMGRSWSKILGLLDEMKSKGLKFDEFTCSTVISACGREGLLNEAKEFFAGLKSQGYVPGTVTYNALLQVFGKAGVYTEALSILKEMEDNNCPADSVTYNELVAAYVRAGFCVEGAAVIDTMTKKGVMPNAVTYTTVINAYGKAGEEDKALALFHRMKESGCVPNVCTYNSVLGMLGKKSRSEDMIKILCDMKASGCSPNRVTWNTMLALCGNTGMHKYVNRVFQEMKSCGFEPDRDTFNTLISAYGRCGSEIDATKMYKEMIRVGFTPCVTTYNALLNALARRGDWKAAESVILDMKSKGFRPSETSYSLMLQSYAKGGNVKGIEKIEKEIYDGHIYPSWMLLRSLILAYFKCRALEGMERAFQELQKNGYKPDLVLFNSMLSIFSKNNMYDQAHEMLHLIRESGLTPDLVTYNSLMDMYARAGECWKAEEILKGLQKSGGKPDIVSYNTVIKGFCRKGLIEEALRIFSKMTTRGIRPCIFTYNTFVAGYAAKGMFTEIDDVIGYMIQHNCRPNELTYKIVVDGYCKARRYKEAMDFVSNIKEIDNSFDDQSVERLAFRVRENLES >OMO83908 pep supercontig:CCACVL1_1.0:contig09835:70911:72974:1 gene:CCACVL1_11095 transcript:OMO83908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESRSLRELNFNFEMKPLCIDYPKMDISYELKPGVIHTLPIFHGEPHQDPYEHLQNFFIKCLLITGMPEEQMKLRAFPSTLEGKARDRTHIDGASGGSLTLKTLEEERRLLKTMAENIFQFNMGNEYSSPKPSEQESVCEMREHMNHLDSIISTLGEQNVNLAKMIINLMSVFTSHSMQPSSNLAQGGTNEMRYANGSNNRQGFVINSIGMEKKLKPKKEMETTHYPPPYPQRLYERKRGCYEESQLDSLRLGPMVKTSLTIQLADRTNVYPEGLVEDVLVQVGKLIFPADFYILRMNDEDFDLPHLPLLLGRPFLRTARTKIDVHNGTLSMEFDGEVIKFNIHKAMKFPVEEHSVCATDEIDFLVAQVNSLNHEDDLEMVIMNSLDKEEVKKAEVKLLDTVEDTIHDLESLPEVEEKALVSYVRLEPKPMPLPSIQQPPEVKLKPIPKDLKYAFLGDKKTLPVIISSKLTGLQEEKLIRVLKKYKEALGWMIADICRHPFFTRIL >OMO94127 pep supercontig:CCACVL1_1.0:contig07961:30294:30362:1 gene:CCACVL1_06158 transcript:OMO94127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSLDDFDDGAELEVSGELLA >OMO94123 pep supercontig:CCACVL1_1.0:contig07961:510:1437:1 gene:CCACVL1_06154 transcript:OMO94123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMALISSFYSSLDEGLKGENDWKPQAYEAAKEHINTTLRLNLTKENVRNRYKTMKKHYGYVNEIQTVESGLLWDNEKKMVLVTSDTLHIWENYLKVQPLAAPYKNKMIEFWDDIVVLCASEKATGQHAENFEDAIDSMAAETEDEMNSPPTQIPAQQDSIEGSSQRKKRKKDPLVEIVGEMATSLKEYVEMKKNQERPKPTGEEIYGVVSKIVGLNRNDIFGAVNRLMNGCPEQFYLLKSLPDDEKIDYVLYLLQPYVCMVKK >OMO94125 pep supercontig:CCACVL1_1.0:contig07961:27845:28375:1 gene:CCACVL1_06156 transcript:OMO94125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar (H+)-ATPase G subunit MDSFRGQGGIQMLLTAEQEARHIVSSARSLKMARLKQAKEEAEKDVALFRSHMESEYQKQLSETSGSSGNSVKQLDEDTQMKIKSLEESTSRVSNEIVDMLLKYITTVKT >OMO94128 pep supercontig:CCACVL1_1.0:contig07961:31907:37720:-1 gene:CCACVL1_06159 transcript:OMO94128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHLPQSNWYPPMLIMMPTWTGDRGLADKANGGGRDGWGGS >OMO94124 pep supercontig:CCACVL1_1.0:contig07961:16386:25274:-1 gene:CCACVL1_06155 transcript:OMO94124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEYLFMIEKMRSNRLPKLIVAETAAKIDLAILFTYAL >OMO94126 pep supercontig:CCACVL1_1.0:contig07961:29598:29708:-1 gene:CCACVL1_06157 transcript:OMO94126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGQPMQETHLAIPSTEYRSKPSDGWQDKHNQELT >OMO54681 pep supercontig:CCACVL1_1.0:contig14914:32521:43222:1 gene:CCACVL1_27668 transcript:OMO54681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILVRVPCRFRAELKCVNKFLRSIMDKPGFEREIVDKINKSVDKDSSLGAPGLRLGRQKRNPPIALHFGHGRGEEDEHTVKTSTVFDLVFNGAVAERINGRLAMIGFVAAMAVEFRDENYKADACALKRAAQLYPDKGLDLVILETDSNELL >OMO54686 pep supercontig:CCACVL1_1.0:contig14914:66532:68926:-1 gene:CCACVL1_27673 transcript:OMO54686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acireductone dioxygenase ARD family MGASDKAAIEEVIQAWYMDDSDEDQRLPHHREPKEFVSLDQLAELGVLSWRLDADNYENDEELKKIREERGYSYMDFCEVCPEKLPNYEEKIKNFYEEHLHTDEEIRYCVAGSGYFDVRDHNERWIRVWVKKGAMIVLPAGIYHRFTLDSDNYIKAMRLFVGDPVWTPYNRPHDHLPARKEYIENFLQKESGGKAVDAAA >OMO54679 pep supercontig:CCACVL1_1.0:contig14914:5303:19914:1 gene:CCACVL1_27666 transcript:OMO54679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MHPRFSGENLEKNKIIYNRLSNLAAKHACTVPQLALAWLLHQGNDMIPIPGTTKVKNIKDNIGSLALKLTQEDLKEICNAVPIEDANHPRFTGENLDKNKIIYTRLSDLAIKHGCSVPQLALAWLLHQGDDIIPIPGTTKVKNIVDNIGSLALKLRQEDLKEISDAVPLDEVSGQRTLGVSVIAHSFHAHNWAGKISMEKKGTTKVKNLENNIGSLKVKLTEDDLKEICDIVPIEEEEMQNIKMRKSGNHLWLKVPGLAERRPSLVHGDYVFAMLASEDTTVYESIIRSSKAVSSLCEIKTRPESVPKKETSEGPDTRGGSRRIELSGSSTLNMMKLKSAAKRTSMFPWCCTAFSSAFETGDGYCFRYILRKPLILGFPLNQSRVASLSSFCTVKNGTSLYSLCSSEKHESFFTSDEEFSRF >OMO54682 pep supercontig:CCACVL1_1.0:contig14914:44307:45491:1 gene:CCACVL1_27669 transcript:OMO54682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPQPEIEEARRLSWSDLPGEIIMEILVRVPGRFRTQLKCVNKSLLSLMEKPGFAREILDKINNSVDDKDKYRGLVLSEENNNGGYNRVRSVGLSRGGDVSLGEFGLPAEDNPLRRKTLHLFNSCHGLVCVAYQGLLYVWNPTTRECREVTPVRAPRCLHLVANLHVFAIGYDPVSDDYKIVEAPRMYYKCPNKQLLKVFSLKNNCWRHVKEFPDRSFPYTISYGTHATTINEIAHWDATEKISMIQRKKRIVYFDFTKEEMGTLPLPYDEDDDYDDCMMTSLAAFSGCLSMIHYNGVTHDLWIMEEYGVKESWMKLFTIDNLFVEDLRHALIPLCWMGDEIVLGVEGEPFLIYDPIERTHRRLELCGVNPRSTIIPLLDTLISPNATTTTAN >OMO54683 pep supercontig:CCACVL1_1.0:contig14914:46529:47235:1 gene:CCACVL1_27670 transcript:OMO54683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MAASTMQSFLVASPVTGLAANRSKVIQYFPVKSMPRFHRNVNLQVRCMTEENQKEEQAATTTPVTSSAPEVPKPTPPPAAAPKKVSTKFSDVLAFSGPAPERINGRLAMVGFVAALAVELSKGQDVFTQISDGGVQLFLGTSILLSLASLIPLFKGVTVESKSQGFMTSDAELWNGRFAMLGLIALAFTEYVKGGTLV >OMO54684 pep supercontig:CCACVL1_1.0:contig14914:48779:53563:-1 gene:CCACVL1_27671 transcript:OMO54684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dymeclin MGAVPSTPRHASTATETAEYLIGTFVGEKSFPLASDFWQKLLELPLTLRWAPDRVSQACQLFAQNNRHTRHLAKILIHLSWCLQEAIQTSGAPSPVYMKAVNAVYVSSVFLKYIIENSQSDTIEELCLSLHENEPVPKGFVADQSIENLVMYNVLTFLGSVDVSPTTSLLHQELLNFMLVAMSTQLLSGPSPGPKDVHPFIDAAMSQESSLVSMVVRRLLLNYITRPRIPSSSAASYSIFSEESQPGVLQRVGSAAASFVLLPFNYLVSSNGEGSRSQLADWSLHVLLILIHYHKCVVSDESITDRSDDSAISDSVSKVNTYFTVNPYSKALENARDVEFDRLDVEGNAQNGPVVRLPFASLFDTLGMCLADETAVLLLYSLVQGNSDFLEYVLVRTDLDTLLVPILETLYNASRRTSNQIYMLLIILLILSQDSSFNASIHKMILPGVPWYKEHLLHQTSLGSLMVIILIRTVQYNLSKLRDVYLHTTCLATLANMAPHVHRLSGYASQRLVSLFYMLSRKYNKLVELRDDKVQIKAISTGDGLVEDMSAELQIYTDFLRIVLEILNAILTYALPRNPEVVYAIMHRQEVFEPFKNHPRFTELLENIYNVLDFFNSRMDAQRLEGEWSVEKVLQFIINNCRSWRGEGMKVEFIDSIKLNLGIAKF >OMO54685 pep supercontig:CCACVL1_1.0:contig14914:60858:62658:-1 gene:CCACVL1_27672 transcript:OMO54685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding protein MNPYGRVKEEFPGASSSSYPAAAMPSMGIPQVQPMEGLHESGPPPFLTKTYDIIDDSSTNHIISWSRGNNSFIVWDPQAFAMSLLPRFFKHNNFSSFVRQLNTYGFRKVDPDRWEFANERFLRGQRHLLKNIRRRKTHQTQPSQYGLDPCVEVGRFGLDGEMDRLRRDKQVLMVELVKLRQQQQNTRTCLQMMEERLRKTETKQHQMMSFLAKAMQNPSFVQQLVQQKDRRKELEEEITKKRSRRRINQIRSSSNVETIELDQDEGGMGCFVKTEPEDYGDLADEFGVSELDQLAMDMQQGPISGNINLDHDHQHVINDQIRQDQDGIIDKLHLDEGFWDDLLNDDNIEQEISVLDVDQDHEDEEDVDVLVEQLGYLGSSPK >OMO54678 pep supercontig:CCACVL1_1.0:contig14914:670:3131:-1 gene:CCACVL1_27665 transcript:OMO54678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein MENRWGKMLALMGLLLLNWVSNVSSLSVTVNEVECVYEYVLYEGDTVSGNFVVVDHDIFWSSDHPGIDFDVTSPGGNTVHSLKGTSGDKFEFKAPRSGMYKFCFHNPYSTPETVSFYIHVGHIPTEHDLAKDEHLDPINVKIAELREALESVTAEQKYLKARDARHRHTNESTRKRVIGYTVAEYIMLALASALQVVYIRRLFSKSVAYNRV >OMO54680 pep supercontig:CCACVL1_1.0:contig14914:21434:21993:1 gene:CCACVL1_27667 transcript:OMO54680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MGLFLEQVNHPRFGRENLDKNKILYTRLSDLAKKHGCSVPQLALAWLLHQGDDIIPIPGTTKVKNMVDNNGSLALKLRQEDLKEISDAVPLDEASGQRTLGVIAQYVWKLANTPLLK >OMO72729 pep supercontig:CCACVL1_1.0:contig11414:22345:27731:-1 gene:CCACVL1_17628 transcript:OMO72729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLTPDQFRKVGMGGGVTPSPTPFLTPRPERRRADSRGSDWSSNRHDRDKEVNVQVLLRCRPLTEDEQRINVPRVISCNEHKREVTVMQNVANKQVDRVFTFDKVFGPKAQQRTIYDQAIVPIVNEVLDGFNCTVFAYGQTGTGKTYTMEGGMMRNKGGDLPAEAGVIPRAVRQIFDTLEAQSADYSMKVTFLELYNEEITDLLAPEDNSRFGEDRQKKPISLMEDGKGCVVVRGLEEEAVYSANEIYTLLECGAAKRRTADTLLNKRSSRSHSVFSITVYIKETTVGDEDLIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSAHIPYRDSKLTRILRDSLGGKTKTCIIATISPSAHSLEETLSTLDYAYRAKNIKNKPEANQKMSKTVLLKDLYLEIERMKEDVRAARDQNGVYIPHERFSQEEAEKKARMEKIEQLENDLNLSEKQVDKYRELYLTEQEQRLDIESDLKDCNINLEKSRKEFLDLQENHRAALLTLKEKEFIISKLLCSENSLIERAKELRTDLQHASEDINSLFAKLDNKDKMEAENRSIVLTFGSQLDQHLKNLHKTILGSISQQHQQLRCMEEQARSFLASKCDATQALESRIQNMTETYATGVATMKELANTMQKKASSDLEQMDSAVSSQISATEQFLVTAVLEAKEVIEGLQNSLNEQKELLFFSARQQEEGLQRNLISVQEISKATVDFMSDINNQASKLMTTLEETQTKKSQQLTSFEDRFKEEAARDEKQALEKIAAILATLTSNRTAMVTEASRSMKESGLQDNRILQQQVSVLQQVAADAGKEMNKYTAKMERHFMEDTFLVAESRGIMEDGLKECLKMVNDSKKQWENAKSYINDLNTSSLAEINSIVKENIKGNHTVHEEFLSAFSSMDEEFGARTEDMMAAINDSLLCDRETKKEMDSLTKLCLEQLETVQENHCESISNIRREAEKCLIKDYLVDHHTDTTPKKRDIVVPSEASIEDMRTPSIKNLKEEENNSVNSQKLGYSEGKTQQQIASMAFSPNRTPFADVN >OMO72730 pep supercontig:CCACVL1_1.0:contig11414:28647:31088:-1 gene:CCACVL1_17629 transcript:OMO72730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diaminopimelate epimerase, DapF MAIAAAISLSLPLQTRRFSVASAAPLRSTSSLHFDSLRSNLSLKNPNFRVSATSMSIGTADKISAASFLDRRESGILHFVKYHGLGNDFILVDNRDSSEPRVTPEQAVKLCDRNFGIGADGVIFAMPGINGTDYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLHGKQSFTVHTGAGLIVPEIQDDGKVKVDMGEPILKASDVPTKLPANKDQAVVKSDLDVDGVTWSVTCVSMGNPHCVTFGTKGSQNLTVDELNLAAIGPKFEHHEMFPARTNTEFVEVFSRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAARSCTVDLPGGPLEIEWREDDNHIYMTGPAEVVFYGSVPL >OMO72733 pep supercontig:CCACVL1_1.0:contig11414:51699:58004:1 gene:CCACVL1_17632 transcript:OMO72733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRPRKRPRLTWDMPPPLPPPKVLPAIYCSQEFGNGGIPNFSYPNNLLYRGIPRNGSPPWRPDDKDGHYVFAVGESLTPRYRILSKMGEGTFGQVLECFDNGKQEVVAIKIVRSIHKYREAAMIEIDVLQRLARHDVGGTRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVRELGRQILESVAFMHELRLIHTDLKPENILLVSSEYVKVPDYKFLSRSTKDGSYFKNLPKSSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMVVRADRRAEKYFRRGSRLDWPDGATSRESLRAVWKLPRLPNLIMQHVDHSAGDLIDLLQGLLRFQGIIHSALQLGSSAAPPNMEGMEGSHVSRGGWHSGHVHHLIEECLIFNMNKEECMEALSKHANIKPVITSTVWNELEKENKEFFEAYTKGRESRATEIEKRQRIQSRLNASLRNDDQNHE >OMO72732 pep supercontig:CCACVL1_1.0:contig11414:47339:48049:1 gene:CCACVL1_17631 transcript:OMO72732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQELLGEIGTTNVLYQLLSDACQERDEAREQLKRSVSEIIELKKLLNKLSNNSAETSSAVSHFQPNGTQKQEILKGIGSDSMSEPYNNCSDDSSATNSMSRTMFNPNLSDSSGKMLKKSLVQDSGGRFMRMGKFPSKELEVDRASKVIEKLVKGKSLPEKGRLLEAVLDTQPLLETLMITGQLPKWRNPPPLPPSFIANESLVNSKSATSSSFKKRSIGVNIESMEAAGIKKRFH >OMO72728 pep supercontig:CCACVL1_1.0:contig11414:8284:8820:-1 gene:CCACVL1_17627 transcript:OMO72728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MSMKRGRESVEIQGFDIAKCLMLLSQGLTETKPKSQNLLLDQSEVFECKTCHRRFSSFQALGGHRASHKKPRLMNDQKANETTPFLTLSTTTKSKTHECSICGQEFSMGQALGGHMRRHRAAMNDGFSAAFPIVSAVPVLKRSNSSKRVVCMDLNLTPLENDLKILFGTKAPKVDLCI >OMO72731 pep supercontig:CCACVL1_1.0:contig11414:34154:43337:-1 gene:CCACVL1_17630 transcript:OMO72731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRISVSATNLRTFWYQGKLPDQFQLKNTSNLVEVLLDLWNCKEFDCEDVLSLLASIKYIEILRLSGWLLEWLCSAGVIFRMLDFEFEKLKELKWMDSVMNKNKRDSMACFLNICPALEKLVIEIDPKRGSLACPYFHHYWHEPHLWMDNSAMKSNTSKLENLKVVELMGFRNEEDEFLLIDLLLNKANLVKSVSVTPEHHSWQVAKIPDDEQGEMEDEGHDFISNLPQDILKRILSLLPLEEAVRTTAFSNAWRTLWLPDLVTCHGFEAEEQLKQIIALLCKSYGTHKIWKLCSSYQMGNNNEVIALATKGVNQELHLDFSKKEKESMNLVLKLNPTCPVSHATSFPNLKMLHFRSINNFGKHLVSALFSNSMFLENLELQNCSGLQSLDIEANDSLESLKLLNCPDLVNISVSARNLRSFWYQGVLPNYVQLQNSSDLVEVMFDLRLGFARSDFDFEDVISFLISIKEIEILTISSWLIEIDPGVNSVEFPISNLYWHEPHLWLDDETVKSNTFQLENLKIVEFWGYRSEEDELILIKLLLDKANMLESVTVTSPDNHSWEVAKIPQPRQKQILKTNNTSISNLLQKQNAVFSLFRTSFLRFCGEINVVLCPDQKGNPYHKKKMEEERRDFLSNLPLDILKKILSLLPLEEAVRTTAFSNSWRTLWLPDLVAFHGLEAEEELKQIITLLSKSYDCHQIWKLLLCSSHQDEEVIALATKGVDQELRIEFPRKEKLEFLNFHLKLKPTSPVSFSTLKILRIRSVTSLGKNLISALFSSSKFLEILELENCSGLLSLEIEAYENLQSLKLLNCPDLVNITVSGKNLRKFWYQGVLPNNIQLQNCLELVEVMFDLRKGFRVGEFDCEDVINFLNSIKEIEILTISSWLLEIDPGLDSVECPFFHQYWHDFHLWMDDETVKSNTCQLENLKIVEFWGYKSEEDEFLLIKLLLDKANMVQSVTVTSPDNHSWEIARIPQHPPQLITKTNKKISNLRQKKNDVFDLFKGFFLELLKEINYVDFCPQKAGFDMNFWF >OMO72734 pep supercontig:CCACVL1_1.0:contig11414:59812:60837:1 gene:CCACVL1_17633 transcript:OMO72734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MESFAKVHCRHQPPLLSFNQHRPSYPKPISSLSFRTSSSSSPFKFSSIRASSSSSSPPYQTPKPSLLQTLNPLLKTTCITITAAAALFFTRFHQNPAFAAVPTATPMVEPASSESDMSLEDQERTIEEHLSQNPDDTEALRSLMEVRVKAKKLQQAIEVIDRLIELEPEDTEWPMLKAQIRSFAGDFEQAKKEFEEILAKDPVRVEAFHGLVMAYSDSGQKLIELEKRIEGAMNKCKKERKNKDFRDFKLLIAQIRVIEGNHSEALKRKKDEAEKYFEKFRNLVPKNHPYREYFVDNMIATKLFGEKAEREAAKS >OMO65686 pep supercontig:CCACVL1_1.0:contig12628:5036:14038:-1 gene:CCACVL1_21438 transcript:OMO65686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKGKGKAKEVYSGKRKTTGGAGESHRKRKKPGVLQFFEEAAEVDDNESSDDSDWENIPYLSRISLAVVLILNFMDKEPDLNVNKQTGKTYNLPFVLKEEVIEEEFDKMMEERYKDGAGFVTYAEDSYEDKESIDKNSDVPSSKDPTIWKVKCVVGRERHSAFCLMQKFIDLKSLGNKLQIISAFSVDHIKGFFYIEAEKQCDINEACKGLTYIYWSRVMPVPSNEVYQLLSVRTKRTEITEGTWARIKNGNYKGDLCQVVAVNNERKRATVKLIPRIDLQAMAAKFGGGVSLKKTVIPAPKLISSSELEEFQPLIQIRRDRDTGLYFQVLDGMMLKDGYLYKRVSVDSINCWGVMPTDEELVKFSHSDNNESGDMEWLAQLYGEQKRKKTVKTDKGGEKGGGEKGEGSSGSGMENGFDLHDLVCFGRKDFGLIVGMEKDDRYKILKEAPEGPVVVTVEQRELKSGPLDTKFTALDQHSKTISINDTVKVLEGQHEGKQGIVKQIYRGTIFLYDENETDNGGFFCCKSQNCEKIKQFFDVCKDKGGEPDTSGFEDFPSSPKSPLSPEKSQQERGTRSDFNRGNREGMFSIGQTLRIRVGPLKGYLCRVLAVHYSDVTVKLDSKQKVLTVKNEHLAEVQGKSYAANTSEHDGSSSFKPFDLVAEGSSGDWLDRSGASADGGGWNAERSSWPSFSAGTSNQVEPNNSNACGAWDTVKKDGADTAWGCKSTSNQNSSWGAAVASGDNDNKTDGATTSWETKVTTNENSAWVTGGSDQAGAWGKAITSTEDPSGASEDVGGSWGQAKLNIGNPAESWDKGKNVTETSSGAWGNAAAKENQASLWGKDKAVVEDGSLETKENENVSEGHWGSNALGSNQQDSWGKKKDASGSEDNSWGKAAEKWGNNNSSGGSKGGWGSAASVPENATGGWGNAGGCSSKPEAGNNDESSGWKKANDFRENQTTNWNRNNNTEGGAGLTRGGSWNQSGGSSWGKGDGGSSWSKGGGSSGGEQSLGNAENDSKGWKNQNDGWNKPKSSGSDQGPSGWNKQKMESEDGTAPKDSNWNSGPGDASQNSGWGKKSNWNSGSANQDPGCGKKNDSNFGSDNATEDSSWGRKNDWKSGSADANQNSNWGKKSSWGAGTGDSGQDSGGKKGNWNSGSGGAGHDSGWGKKSDWKSEAGDASQGSGWGQKSNWNLGSANQDQGWAKKNNSDFGSDDATKDSSWGKKNDSDSGTGDANQNSNWGTKSSWGAGTSDSGQDSWGKKGNWNSGSGGAGQDSGWGKKSDWNSGPGGASQGSGWGQKSNWNSGSGNEDQSETFGNRGSWRGGSGGRDGGGFRGRGRSERGGYRGRGRSDGGGFGGRDGDGESYGGRGGDGESYGGRGGYRGRGGDRGGFRGRGGDRGGFGGRGGDRGGFGGRGGDGGGFGGRGRGRRDDGTGSNNEAGGSQDNSWKLSNSSSWTKSSSWNQPTGNNDFKERKDQGQSSGGGWGSQGGQSSGGGWGSQGGQSSGGGWGSQGSGDQGEETRGNQDAWGKPASSSWGQGSGGSKGGW >OMO65685 pep supercontig:CCACVL1_1.0:contig12628:1779:2760:1 gene:CCACVL1_21437 transcript:OMO65685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLVVVFLEEVDDEIFRKRQGATLIQDTPAVGPAAPAPPTPVMQGPVVMVTTSE >OMO65688 pep supercontig:CCACVL1_1.0:contig12628:19192:29342:-1 gene:CCACVL1_21440 transcript:OMO65688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M10, metallopeptidase MESAPLASPGTTDLSDEAIRRSKKKYKKRRADDSPEVVVGEEFATDGGEEKGPDFPRPSAPLESVKVGVTTYANKVWKPKKDSGIIIKPKSSGKEKSKAKPKSTASSSRVIADIPRAYSVFGLNKVDGPPPGFSFKAYIVKPITFDPKRLENLVGNNLNRPVVEDTHMEFLTTSKTNVVALDFMGTDQVTHRSASTFLESQPIDFILVCKIQSKPTTQTLKIVQTLEGCQKGQNVEGLFEFKQYLKKLGYLNHVLDHNKFDDHLESAIKAYQINYQLNATGILDADTVKQMVKPRCGFSDLMINSNNSRYINGIGASHYEFFLGNLRWPHSKTHLTYNFRSSVEVPLEEDIRSVCMRAFERWANVSRFTFEEVEDDDVADIEIGFHSGDHGDGNPFDGPQGTLAHASPPTGGKLHYDADEYWSTDPGPDEIDLESVTVHEIGHLLGLQHSLEPGAVMYAYFDSGIMKRKLSRDDVHGIRALYGLL >OMO65687 pep supercontig:CCACVL1_1.0:contig12628:15184:17861:-1 gene:CCACVL1_21439 transcript:OMO65687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MASSFIGLSAPHFLNRTSPTLPPRFISSSSSPHFKEQPIRRLLVVPLKCSSSGPSQDTEQSEPETTSSQSSSVSLASTSNSADSWRAGIGAIGLAETAYLTYLKLSGSDAFCPLGGGSCGDVLNSDYALIFGVPLPLIGLLAYGFVTTLSLQLSAKRLPFGISETYGRLVVLGTTTSMAAASAYFLYTLSTKFPGTSCSYCLVSALLSFSLFFISIKDVGMEEVQKVVGLQVCIASLVVASLSASYSTSPSIPSNPGTIDLPYFTTEITTESSPFALALAKHLHSIGAKVYGAFWCSHCLEQKQMFGREASKLLDFVECFPDGFKTGTKMIKECADANLEGFPTWVINGEVLSGEKELEELAELSGFKFNE >OMO65689 pep supercontig:CCACVL1_1.0:contig12628:30735:35179:-1 gene:CCACVL1_21441 transcript:OMO65689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MELSRLFITSSIPVLKVLLVIAVGLLLATKRVDLLGADARNHLNNVVLPVNIFISCIIGSAFGWILVKITKTPKQLHGLVIACSSAANLGNMLLIILPALCEEKDSPFAHSSSCSAYGEAYASLSLAIQAIYVWSILYSIMGISAKHAVKEINRDDSKLTVTTLPSSNDGSKDCLHECDLPQTNNNGKEEISISRKVKQSLMMVAGSKSLKKIFSPPTIAAIIGFIIGIASPIRKALIGDSAPLHVIYSSAELIGEAGLPSLTLIVGANLLKGLKGSGVSPSLVIGILVIKTILLPGSGILVVKVAKHWGLVNSDPFYQFTLLLQYAIPTAMNIGTISQMLGNGESEFSVLMLWNYLVSPFSLALWTAFYMWLLT >OMO65558 pep supercontig:CCACVL1_1.0:contig12641:23924:24748:1 gene:CCACVL1_21495 transcript:OMO65558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFSTVDGFVEITESLSEMIKYMANEPSVGLFYVQQHAQNAIPNVVNLNNHVVEKSREAALHTEDLEDSLTMVRSMKECGFPIADDMIKDIKNSLTIMSTKQPKRGLISSPASSFQMRRSSSWGPIAWGQGSGKAEQDGSNYFSTVIKSARQKASNFKWPQLEPKEQIQTEPQKPPPYPAPPLSVASASTSSSVIDTEADELPLSNQMADELHEEQEKEETEDDDKPVDHKLSLLSENYEDFKADKEAKLEQWLDGTEGKVDKNGGESDTAGL >OMO65565 pep supercontig:CCACVL1_1.0:contig12641:71330:82212:-1 gene:CCACVL1_21503 transcript:OMO65565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MATTSSGLRPAQRAERSRITQQQNQQGLSYAGNSLTRSLNNGQQSLSRSKEAKPPSSSSRRSVTPTSRSHSKDFDGDNDPGRVRVAVRLRPRNAEDLISDADFADCVELQPELKRLKLRKNNWSSESYRFDEVFTETASQKRVYEVVAKPVVESVLSGYNGTVMAYGQTGTGKTFTLGRLGKDDASERGIMVRALEDVMANISIASDTVEVSYLQLYMESIQDLLAPEKTNIPINEDPRTGEVSLPGAVRVKIRDLDHFLELLQIGEANRHAANTKLNTESSRSHAILMVYIRRSVPEKVEDDISSQEKDTKSSLPVVRKSKLLIVDLAGSERLDKSGSEGLLLEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRLLRDSFGGSARTSLIITIGPSSRHHAETTSTIMFGQRAMKIVNVVKLKEEFDYESLCRKLETQVDHLTAEIDRQQKLRERDKYELEKQLQECQDSFYETRMNLVTRSEFLEKENTRLEMDMKDILAELNCQKDQNSLMRDKTAELEIRLKQSKQNQLENSTYQKVLADTTQMYEKKIAELIKQLDLERTRSESAEEQLEAIKKQSGDYQQLIQQHEMENSKYQEALADTNQMYEKKIMELMKELADEHARFEGAQEQLDLANQLLKDYQNSMQEQEEISELTLKLQEMHQLQKSTLNELQSLKAELEDQIREKETISEELNAVREQLSAEEKRRKTIEQELVKLKKSAPEGDKDFEDKKSYMKENIRGASVFGTSASLAKSGPLRETQSAQRATIAKIFGLEKIIQLLTSEDPDVQIHAVKVIANLAAEDINQEKIVEEGGLDALLTMLKSSQNATILRVASGAIANLAMNEMNQGLIMSRGGAQLLAKTASKTDDPQTLRMVAGALANLCGNEKLHTMLKEDGGIKALLGMVRSGNSDVVAQVARGMANFAKCESRAIIQGHRKGHSLLMEDGALEWLIANCNTASASTRRHVELALCHLAQNEANAKDFISTGGLQELQRISMESSRDDIRNLAKKMLKSNTMFQTDVRSGWQ >OMO65559 pep supercontig:CCACVL1_1.0:contig12641:27343:30780:-1 gene:CCACVL1_21496 transcript:OMO65559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MNPPNQTPDQFSQFPLPHFTLTPPLPDPTPNPNFSPIPAPAPTPTPILNPNANATPPLNDYLLSFPVPKKRRRGRPQRSASTFLTFSNGSFNPNFPNSHPNHNSISSSSTATTQAIPPRIADEIIVINKESTAEALTALSAGFPADSLTEEEIDFGVVSSVGGIEQVNYILIRNHIIAKWRENISNWVTKEMFVDSIPKHCSSLLDSAYNYLVTYGYINFGVAPAIKEKIPAEPSKGSVVIIGAGLAGLAAARQLMKFGFKVTVLEGRKRAGGRVYTKKMEGGNRLSAAADLGGSVLTGTLGNPLGIVAKQLGASLFKVRDKCPLYRMDGRPVDPDMDTKVEKAFNRLLDKAGRLRQLMGEVAMDVSLGAALETFRQVYGDAVTEEEMNLFNWHLANLEYANAELLSKLSLAFWDQDDPYDMGGDHCFLAGGNGRLVQALAENVPILYEKTVHTIRYGSDGVQVMAGSQVFEGDMALCTVPLGVLKSGSIKFIPELPQRKLDGIKRLGFGLLNKVGMLFPYVFWGTDLETFGHVTEDPSRRGEFFLFYSYATVAGGPLLLALVAGEAAHRFETMHSTDAVTQVLQILKGIYEPQGITVPDPLQTVCTRWGGDPFSLGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFLSGLREAANMAKYDKARAVKRKIARSPSNNVHSCASLLTDLFREPDLEFGSFSVIFGRKNADPQSPAILRVTFSEPQKKKQEGLKTDQQHSNKVLFHQLQSHFNQQQQLHVYTLLSRQQALELREVRGGDETRLNYLCEKMGVKLVGRKGLGPTVDSVIASIKAQRGLRKPSSNPLALKSGMSKLKTGTLKQKFVRRAKIVRNSKGLIPPQVSSAVNGSMPEEIKVINQAPPDSFSTGMSDGCLLA >OMO65556 pep supercontig:CCACVL1_1.0:contig12641:7258:8040:-1 gene:CCACVL1_21493 transcript:OMO65556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKRKSTNNSDSEEDETFYYRYSSAVAPPSSSSFQPRNSNQTTSKSTGSGGGSGGLAPSKSTLYVSNLDYSLTNSDLHTLFSTFGKIARVTVLKDRVTRTSRGVAFIQFVSRDEALSAASVMHGKILNGRTLSASIAADNGRAPEFIKKRVYKDKSRCYECGVTGHLSYECPKNQLGPRERPVPKKGRRGGGGEDRKEVGDDWADDESDNGEGFEEENWASVVDGAAEERLRKADMVEEKKKKVARKASYFSDESDEED >OMO65567 pep supercontig:CCACVL1_1.0:contig12641:110804:112939:-1 gene:CCACVL1_21505 transcript:OMO65567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MNLRAMVKDAMGFFSGPVGGDNLGSTNFDDAFDGQNSDEDEQQERGGWTEKSFTMMLQLLGQAFPKDSSWPKSSSEAKKIIKALGLHYEKIHEKFEFPHTENTVDWILKNLNKRWRDWKGSLKASYYIPKGKQRVLSKPPKSVLEEQWPGLVRQWYDPRKEEKTHTKKDGSYVSEEAQKKLEEAHKLQSNSDLSSTSNQRDINEMIFRKVYGLGPTPSRVFGVKSNFVYTSEASSNVGQETELVMVKDELAEIKVRYAKLSDDFDMKEAVKLLMAERATGQYATQDQVGDAASIESHSHVNQQKL >OMO65566 pep supercontig:CCACVL1_1.0:contig12641:87196:87291:1 gene:CCACVL1_21504 transcript:OMO65566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSGARFYDYTHDRSNSAFPNAISKECSAE >OMO65568 pep supercontig:CCACVL1_1.0:contig12641:117440:119750:-1 gene:CCACVL1_21506 transcript:OMO65568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MENRKAFCYCHIDGEIVLDSNRLQSYDGGRTVGVMLDENMTLSEFVKQFEQKAGTEIGNKRLMYVLPFDNSQLLDLINDDSLKDMISFGDQCVHVYAVSIATGQPSIPLNSSTNARNTKAFISVDCSISGCEWHLTAYRVGGTNFMRVGTFNNQYFHSAQDLVSIDPCHRGKLTSHLVADKLRLLPNTTPNQIRSAIETEHGILLNYKQSWRCREQSLVDINGRPEDSYRLIPWICKRLMETDQRTIAKWTSSGGMRFAQLFIAYGSSIVGFVHGCQPILFIDGCHLSGPYRGTLLSACAIDADNQIFPLAYAVVSSECYKDCYWFLKNVKEIIGSVKVTIVSDRNHNIRASVAEHYGHEYHAYCYRHIKENFGDEVRKLFPRVKQAKENALKLMDAIAYARIEKDYDVALENLRLFKQELASWVLANEPEHWVMSKFCHPRWNHITSNIAESFNSWIRIERHHSIFALINEHRDKLATKLFDAKATISSWKGEVGPNIEDKLQENIARGEFLHVVPYGYHLARVNTGKVDLAVDLATMSCICGEWAMTGIPCPHAAAVIRMKKLNIYDFVQHWYKKSNQELIYHNSIKPVEMHDVPTLESMDRSFIDSDETFLLPPITKVPVGRPQKKRIESQFNKKRDYTCTRCHVVGHSRRSCKNPNPS >OMO65563 pep supercontig:CCACVL1_1.0:contig12641:66923:67708:1 gene:CCACVL1_21501 transcript:OMO65563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAPDQNLRPIQTQTQSLDCSRKRKRRDGLTIADTLRMLSQDEEAKKARKAPAKGSKKGCMKGKGGPQNQKCNYRGVRQRTWGKWVAEIRAPNRGKRLWLGTFPTASEAAFAYDEAAKAMYGDKAILNMPHVLDSDSVASTSHAVSDSITASTSNSEICVNDGDGEVVEPTRKVKLELPPPVDCSEAPSASGTMNLTADDENQDAKDKDVKDDCFSWLDGVDLSVEIPRDIWDTASYFTSYNFSDEEVFSLEDLLSAPPI >OMO65557 pep supercontig:CCACVL1_1.0:contig12641:18190:18555:-1 gene:CCACVL1_21494 transcript:OMO65557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYALKKKSAGRKRKRNDDVVMEEKLVATLGDIKSAMKTVGETLKVIVDFLEKEREIEERRKNFFAEILKFEGLSMEEKFRAGLSIIKDKSKIDFFISLPDQEFKRIYIMDHLEAVPKPEN >OMO65562 pep supercontig:CCACVL1_1.0:contig12641:61700:64618:1 gene:CCACVL1_21500 transcript:OMO65562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSAFFSANQSPFFSPRSSTCQLSESARSDAQCDSINLSADPPSSSSGVQDPECLANVRFALSEMSLKPAACDFQKVDHVSSTMLVSNGILSSYGNVGDNFYSDLVEKHRKHMRSQDMSYSPVPISLSSNRNRSYDIYIGLHGCKPSLLRFANWLRAELEVQGMSCFVSDRARFRNSRKHGIIERAMDVSSFGVVILTRKSFRNPYTIEELRFFSSKKNLVPIYFDLSPGDCLVRDIVEKRGDLWEKHGGELWVFYGGLEKEWKEAVSGLFRVDEWKLQAQDGNWRDCIFRVVTILAMKLGRRSVVERLTKWREKVDKEEFPFPRNENFIGRKKELSELEFILFGDISGESERDYFELKARPKRRNLTIGWSKSTSVEERRRERLREDGSRKGKEPVVWKESEKEIEMQSTERQHYQRPRGGRNSQRKRSSKVVYGKGIACVTGESGLGKTELLLEFAYRYHQRYKMVLWIGGESRYIRQNYLNLWSFLEVDVGVENCTDKSRMKSFEEQEEAAISRVRKELMRNIPFLVVIDNLESEKDWWDRKLVMDLLPRFGGETHILISTRLPRVMNLEPLKLSYLSGVEAMSLMQGSGKDYPIAEIDALRVIEEKVGRLTLGLAIVGAILSELPINPSRLLDTINRMPLRDFSWSGKEAYSLRKNSFLLQLFEVCFSIFDHAEGPRSLATRMVQVSGWFAPAAIPVSLLGMAAHKIPEKHKRTRFWRRLLRSLTCGLSSSYSKRSETEASSMLLRFNIARSSTRQGYVHFNELIKVYSRKRGVAGVAHAMVQAVVSRGSILDHSEHMWAACFLLFGFGSDPTAVELKVSDLLYLVKEVVLPLAIRTFITFSRCSAALELLRLCTNALEAADQAFVTPVEKWLDKSLCWRPIQTNAQLNPYLWQELALSRATVLETRAKLMLRGGQFDIGDDLIRKAIFIRTSICGEDHPDTIYARETLSKLTRLLANVQTHTSP >OMO65564 pep supercontig:CCACVL1_1.0:contig12641:69376:70110:1 gene:CCACVL1_21502 transcript:OMO65564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIQTQTQSLDSSRKRKRRDGLTVAHTLKLLGQDEDEEAKKARKAPAKGSKKGCMKGKGGPQNQKCNYRGVRQRTWGKWVAEIRAPNRGKRLWLGTFPTASEAAFAYDEAAKAMYGDKAILNTPHVLDSDSVASTSNSEICVNDGDVEVVESSSMVVKLLDQLPPLVDCSEVPSTSSAMNLTADENQDTKDQYLKEDCFSWLNDVDLSEEMTQDVSTAISYFTSHSSEDEVLSLEDLLSAPPI >OMO65561 pep supercontig:CCACVL1_1.0:contig12641:56796:56879:-1 gene:CCACVL1_21498 transcript:OMO65561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVWGRRPEMGFGGGVEMVAFGLRPVA >OMO65560 pep supercontig:CCACVL1_1.0:contig12641:34225:50281:1 gene:CCACVL1_21497 transcript:OMO65560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFDGFPIPPDKEYLRDELARIDENWAAARFDSLPHVVRILTSKDREGELRILKDQSDVVEDVVDEVVHAYHGGFNKAIQNYSQILRLFSESAESIGDLKVDLAEAKRRLGARNKQLHQLWYRSVTLRHIISLLDQIEGISKVPARIEKLISEKQYYAAAQLHVQSALMLEREGLQTVGALQDVRSELTKLRGVLFFKVLEDLHAHLYNKGEYSSVSSSMHDNDDEVPTTTAVAFAANSSQPVSRRTRSVKGDHGLVDGSYRPGSIDGGSSFDGPDDDGSLDDNALDGHAVRLNGGDGKDAKIVSCQIPLWLMNSTPDEFVETIKKSDAPLHVKYLQTMVECLCLLNKVAAAGAMICQRLRPTIHEIITSRIKVHSEFINSSRSGIDKATRTGTSSLHFVKGQLESFQLPKQKRQNGISLAGALLAVSPVSPVMAPKGKAQAAAKELLDSILDCVVRIFENHVVVGELIESKSSLQSDTNTPKSMSIDVNLDSEASQVTGGYSIGFSLTVLQSECQQLICEILRATPEAASADAAVQTARLASKVPTKEKRDESEDGLTFAFRFTDASISVPNQGVDLIRQGWSRKGPNVSQEGYGSAAVLPEQGLYLAASIYRPVLEFTDKVASMLPKKYAQLGNDGLQAFVENFVKDHLLPTMFVDYRKGVQQAISSPAAFRPRAHASVSYTSSIEKGRPVLQGLLAIDFLAKEVLGWAQAMPKFAADLVKYVQTFLERTYERCRTSYMEAVLEKQSYMLMGRHDIEKLMRLDPASVCLPSSLGQSNAKSIASDAESIEVESELSELLLNLRPIRQENLIRDDNKLVLLASLSDSLEYVADSIERLVQATPQASNHVESGKPNHTRTSSSPARDLASFADEYRKLAIDCLKVLRVEMQLETIFHMQEMTVREYLENQDAEEPDDFVISLTAQITRRDEEMAPFVAGVKRNYIFGGICGIAANASIKALANMKSINLFGVQQICRNSIALEQALAAIPSIDSEAVQQRLDHVRTYYELLNMPYEALLAFITEHEHLFTAAEYVNLLKVQVPGREIPPDAQDRVSEILSHR >OMO89975 pep supercontig:CCACVL1_1.0:contig08570:3373:4447:1 gene:CCACVL1_07554 transcript:OMO89975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCGKALRNEYEVTILSMLCESNSKFNTYYKKLNHSMKQHLSLMSVLIHKLRAAEVALTNEQQIQAVISSLLDSWEQIKAKMTHNESVKTFEVIPRHLKMENEHLKAGKPATDGVANVAESNSRKWQVMAPRAYHGHEEHNGDHGEVDQGLEGSMDKLEEGGGITNPNSSSMGIANPNSNDKEKLNRPFDPLVMPLWHIAYEKLTPFLRIVIFLFSLRLKLCLVFLPYSPKASGSAGSVLCSHHKTCNTDLPKRLTAFRLFGSRMPLGPMTRARAKRFKEALLAFVRTHLEGLKSIEDQLKCIEDHKPMNIPNDSKLCTLLEIVEP >OMO54273 pep supercontig:CCACVL1_1.0:contig15019:7948:11297:1 gene:CCACVL1_27932 transcript:OMO54273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPKSDGNAAEPSFPVSSLPAQSSRTSRYTPRLSESSFPATPPVFGSPGLDLQQGTRFLKRKHLSVDKVFVEIFNLRLLSTKKAMRVLTVKLLQTLKRETYIDESEGCKRCNCKRSKCLKLYCECFAAGIYCVDSCACENCYNKPEYEDTVSDVREQIESRNPLAFAPTVVNQANTSPNVADDQNWISPSSARHKRGCKCKRSKCLKKYCECYRAKVGCSDGCRCECCDNYFGKKSAYDMKGYGSSNKLKDNSNPINTLKAHSPKQKRISPPQHQSDRSDSRSKPGLQSGPKFILQAVASSPPLTPYCNTEDRMNQMEIDSQGSSHDFKCQE >OMO54274 pep supercontig:CCACVL1_1.0:contig15019:12084:15154:-1 gene:CCACVL1_27933 transcript:OMO54274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase/carboxylesterase/thioesterase MSYSHHTMGSGSRTARRTFEFGRTHVVRPKGKHQATIVWLHGLGDNGSSWSQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFDVGELSEDGPDDWEGLDASAAHIANLLSTEPSDVKVGVGGFSMGAAMALYSATSFALGRYGNGNPYPINLRAVVGLSGWLPGSRGLRNKIEVSHEAARRAASLPILLSHGTCDDVVPCKYGEKSAHSLSVAGFRYLTFKTYEGIGHYTVPKEMDEVCNWLTARLGLEGSRLM >OMO54272 pep supercontig:CCACVL1_1.0:contig15019:2994:7524:-1 gene:CCACVL1_27931 transcript:OMO54272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYRFQNLLGAPYRGGNVVVTQNSNLISPIGNRVSVTDLVKSQTVTLPIQSSSNIRRIAASPDGVFLLTVDDNNRCLFINIPRRVVLHRITFKHPVNAVKFSPNGKYIAVAAGKLLQIWKSPGFRREVFGFELVRTFADCNDKILAINWDNESKYLIVGSKDLTGRLFSVDKIKGSYKKPFLFLGHRDSVVGCFFGVDKKSNKANRAYTLARDGYIFSWGYTGDNDGKVHDLGDENLEDEGPPSPGTPERDVETGEVTVKKRKEFDGKESGFGEVEGYLSGGKWELLRKDCFMQAPAKLTACDYHKGLDLVVVGFSNGVFGLYQMPDFVCIHLLSISREKITTAVFNDLGNWLTFGCAKLGQLLVWEWRSESYIMKQQGHYFDVNTLAYSPDSQLLATGADDNKVKVWTISSGFCFVTFTEHTNAVTALHFMANNHCLLSASLDGTVRAWDLIRYRNFRTFTTPSSRQFVSLAADQSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPTNAILASSSWDKTVRLWDVFGKGAVETFPHTHDVLTVTYRPDGKQLACSTLDGQIQFWDPIDGVLMYTIDGRRDVAGGRLMTDRRSAANSTSGKYFTTLCYSADGTYILAGGSSRYICMYDVADQVLLRRFQITHNLSLDGVLDFLNSKNMTEAGPLSLIDDDNSDTEEGIDKQTRGDMGYNLPGSMPNQGRPIIRTKCLKIAPTGRSFSAATTEGVLFYSVDDSFIFDPTDLDIDVTPEAIDAALNEDQPSRALILSLRLNEDTIIKKCIFSVRPVDVPAVASSIPYRYLQRLIDALADLLERCPHLEFMLCWCQELCKVHGNYIQQNSRNLLPSLKSLQMAITRIHRDLADTCSSNEYMLRYLCSIELSIAIPGSSQHSLKFESILGNHPEASPE >OMO54271 pep supercontig:CCACVL1_1.0:contig15019:249:2418:1 gene:CCACVL1_27930 transcript:OMO54271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MAKLLSNAVLKGITSFPAARSSRNVLGSVYQNGLKYSTTVPSDPDTHEDFKPTNKVQGSAASLKDVVEQDVKENPVMIYMKGVPDFPQCGFSSLAVRVLKHYNAPISARNILEDPELKSAVKAFSHWPTFPQIFIKGEFIGGSDIILNMHQSGELKEKLKDISASPKSE >OMO68242 pep supercontig:CCACVL1_1.0:contig12244:196445:197467:-1 gene:CCACVL1_20028 transcript:OMO68242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHCPVPSIKYTEHLNQTKLLSPLFENHPETKPPRVVRISVTDADATDSSSDEEEEQTTRFRSRNRVRKFVNEITIESSCPTENDAVSRSKSSSLSKGSRKRPAAAVAEVKVKTPAKVPASKKFRGVRQRPWGKWAAEIRDPLRRVRLWLGTYDTAEEAAMVYDNAAIQLRGPDALTNFTTPLQKSLEDKSSQKPLSSSDYNFDEESHNTNLSSPTSVLRCPSLSAEEVGSQSATESREIRSEPRDVIYESCCISGENFSDFSEYSSFLPSDIFSSVPDLFEDNTSLHEDLFKDDFCNGFLTSGGDFDFGFGGFSSWQHADDHFQDIGDIFGSDPLVAIS >OMO68238 pep supercontig:CCACVL1_1.0:contig12244:136802:137454:-1 gene:CCACVL1_20024 transcript:OMO68238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine alpha-hairpin motif superfamily MEEARAQAVCGQEALDLLNCVTQNPFDQDKCIRLLHSLRDCVLTKKVNKFSLDKQEHKDTDSVSKKN >OMO68233 pep supercontig:CCACVL1_1.0:contig12244:69489:71177:1 gene:CCACVL1_20019 transcript:OMO68233 gene_biotype:protein_coding transcript_biotype:protein_coding description:interferon-induced GTP-binding protein Mx-like protein MAPINEKKATAKQTEPRPVTKNEKERRRELYREKRAALRIEEAKYMAEEDPEIEGTVEEEMPETQADKETATEKDTANSVAYLRDAEIRFLHMVVGNIIDCRNSSVSSVTHKDIWIMHLAMTGQKFNTAKYIIAKMKLIAKNADSTKHPPAYGNIIIALFEEKGLWNGRYKDSCKFVKVVPLDTTSLKRMHYAPGGPTWKKKNGKEARENDADMWINMLEETMQTLTKRLEVVEEEVIVLKKIVKGKEAENNENGEEAAGETEHADGHVEVAGKDRALVVYTPPTAGNRIEEIDEEDAAASLRSMAHSTAKTKTKVTKPHQRTKKINPTSSNTTTKQQNNCVYKRRYTLSRRLLETPPQMSLKWPS >OMO68231 pep supercontig:CCACVL1_1.0:contig12244:16914:17630:-1 gene:CCACVL1_20017 transcript:OMO68231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKLVARAKSGRNVGASQASRRQLAFFAGGNHLELLFVLLRKLLYILKIVRTLFGAT >OMO68241 pep supercontig:CCACVL1_1.0:contig12244:188155:188520:-1 gene:CCACVL1_20027 transcript:OMO68241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLALCTMAARLASEVESSQQRRSSRSGTRPIPNWTPSPSGRVKVNVDASFRAAPHEIGLGVAIRDSVGTVLIPAVSRINRVFDSLFTEAYAIRFGLLLALQFGFVSCEVESDSLLWRIHA >OMO68235 pep supercontig:CCACVL1_1.0:contig12244:101314:101379:-1 gene:CCACVL1_20021 transcript:OMO68235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENKAITSKAENKAITSKVK >OMO68232 pep supercontig:CCACVL1_1.0:contig12244:43377:56464:1 gene:CCACVL1_20018 transcript:OMO68232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRNKGESNIRSGRPKPAVGEAISTDVSVQSVSVSLTEYSLGSRSVVGTSFFLGSSFLCGFLQIVSANFKD >OMO68240 pep supercontig:CCACVL1_1.0:contig12244:159686:167921:-1 gene:CCACVL1_20026 transcript:OMO68240 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAEANLLDSLLRNPSPCRETESPLPSRELPSPTLSYKQALQTFLPIQNESFAAQEVSNDEDEDEDDILCITPSREEKQRMRAPWNNSVLIKVTGRPLPLNIVNERLKVLWKPTGKLQLIDIDGGCFLVRLECRDDHLKILQGGPWFMGAKCVFVRKWKANFNPFLWDEHRWSIVWVRLPNLPYEYYDKPFISKVGSALGKLLKVDFHTNDGSKGRFARLCLQVDLTKPLRQKFKIVKWVQHIQYEDIKFCFHCGFVGHKNDSCPNSSTLEGSETDVHAVSKFGPWVVIRKDKKKMHAVKDRGRSFFRKVGQSSGPIDNKAQADYAHVDNRPVNPNNLAYHLKGKARVLEHHLSPSHSSQTRNPYPQPSLPDNGSTASVAVFQLQHYHGPNPVDSEDPGNDGRVNYLSREHAQNLMNWLDFKEFVMEPEEDEAPRAYHGLEEHNGANGDHTLDKLGDVSDIQEAAMVDPGQGVGNFLVPSLRRCSIPGLIEDLGPTNEESLLCEGLLDLSGTRALKAYPGTMEGEQDQANLATLLQTLMQRLGTMDTKFDALANDVQQVRQERNQQAQQGANAVNNNERVQPPPRQVVPRMDPMERLRQEELGGSKFMKLQRATIARFLAGLNREIHDIVEMQQHYDVEELLQHALKAESQVKRKNKSFASSSSSWKTPIKKDEKSSNKEKELAQKGASPKTDPKPSSGSSSKNHVKCFKCQGYGHYAKDCVNKKVMYTNDYGEIFSEDEEFPLGSSGDDKRGLSYEDEDDDGSTPALLNLVARRTLSAYVKGDVHNQRENLFHTRIYDDKVLCDVLPMQAYHVLLGRPWQYDNKVHHDGETNKYSFMCGKRPITLIRLSPQEALKDQIKVRDEFAKLESEFRTKEKGKLVSTSMNCVDSKSDLVDKHASSKKVNKECMLATKSEIKEALNDNSVLILLLLKYTLVSTNHLEKELPSNIVSLLSDYVNVFPEEIPNGLPPIRGIEHQIDFIPGAQIPNKPAYRTNPEETKELEKQVGELLQKGFVRESLSPCAVLVLLVPKKDGPWRMCVDCRAINNITVNYRHPIPRLDDMLDELHVMPFGLTNAPSTFIRLMNHVLRAFIGKFVVVYFDDILVYSRNLDEHVKHLRCVLDVLRVEKLYVNLKKCTFCTNKLVFLDFVVSSQGIGVDEEKIKAIKDWPTPTNVGQVRSFHGLAGFYRRFVKDFSTLATHITSVMKKNAPFKGGKKQQEAFETLKEKLTNAPLLVLPNFNNTFEIECDTSGVGIGAVLMQSGKPVAYFSEKLNGVALNYPTYDKELYALVRALQTWQHYLWPKEFVIHTDHESLKYLRSQQKLNKLHAKWSEFIESFPYVYIKEFYASDVYFGENFKACENSGFGKYYKHDGFLFKESRLCVSSCSLRILLMRESHEGSLMGHFGVDRTYDILHEHFFWPKMRHDVGKYVASCIVCLQAKSTSKPHGLYTPLPIPHEPWTHISMDFVLGLPRSRRGKDSIFVVVDRFSKMAHFTACTKTDDAINVANLFFKEIVRLHGMPRTIVSDSDAEFLSHFWRTLLAKLGTKLLFSTTCHPQTDGQKEVVNRTLSTLLRALIKKNLRTWEDCLPHVEFAYNKSIHSTTGYSPFETVYGFNPLTPLDFLSLPLSVQVDMDGQRKGDFVRELHARVRAQIEKKTQHYMKVANKGRKEIIFEPGDWVWLHLRKERFPEKRKYKLLPRGDDLSLFDSDVDLRTNPFQGRGDDAPRAYHGLEEHNGANGDHTLDKLGDVSDILEAAMVDPGLKGSMEEHGEDAIVQDRPLHGGIAHDRPWHGPIAQDRAQPAI >OMO68237 pep supercontig:CCACVL1_1.0:contig12244:135288:135356:1 gene:CCACVL1_20023 transcript:OMO68237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQVAIDFVAAIMSRSPVFDMT >OMO68230 pep supercontig:CCACVL1_1.0:contig12244:2714:7852:1 gene:CCACVL1_20016 transcript:OMO68230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEQVGSGEKEVEKEQNEDEMEVERVQTIASSVLSKDNVSTEFKVNGNNESD >OMO68239 pep supercontig:CCACVL1_1.0:contig12244:139951:140082:1 gene:CCACVL1_20025 transcript:OMO68239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELEIQSGWGPIGEGLVSLWDWGRPPQSPVARLALNEEMDDR >OMO68234 pep supercontig:CCACVL1_1.0:contig12244:74770:74956:1 gene:CCACVL1_20020 transcript:OMO68234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLNNKRRHSGGVLLIQMPWLYIFSGVGYEKTQG >OMO68236 pep supercontig:CCACVL1_1.0:contig12244:132262:134983:1 gene:CCACVL1_20022 transcript:OMO68236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MATKPGVLTDWPWKPIGNFKYAVLAPWVVHSTYSVVTQKGEKGADLFYLLIFPSLLARVVHNQIWISVSRYRTAKGNNRIVDKGIDFDQVDRESNWDDQIILNGLLFYMANMIIPGASDLPLWRLDGVIITILLHMGPVEFLYYWFHGALHHHYLYSRYHSHHHSSIATEPITSVTHPFAEEFAYFLLFAIPLITMALTGTGSLVAAFGYITYIDLMNNMGHCNFQLVPKWIFSAFPLVKYLMYTPSYHSLHHTQFQTNYSLFMPFYDYIYGTLDESSDDLYQTSLKRQADSLDVVHLTHLTTSDSIFHLRPAFASLASNPYASKWCLSLIATPWALVLTWFCCERTFISERNVFSHKLKLQSWVVPRFTMHYLSKGTQKGHLNKLIEDAILEADKKGAKVVSLGLLNQDEELNGNGELYVQRHPTLKTKIVDGSSLAAAIVVNSIPKETTQVLLTGKLSKVGHAIALALCQKGVQVATMNEDVYHKLKQGIDTQFGNNLLLAQNYDQKIWLVGEGWSQIEELKARKGTLFLPFSQFPPKKNVRKDCYYHTTPAMVVPKSLDNLHSCENWLPRRVMSAWRVAGIIHGVEGWNVNECGESMFSMDKVWKATLHHGFRPFSLPTFPYLS >OMO68244 pep supercontig:CCACVL1_1.0:contig12244:221119:221223:-1 gene:CCACVL1_20030 transcript:OMO68244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPPPSQQPPCFAAPELRATANGARKLQIPSPPLP >OMO68243 pep supercontig:CCACVL1_1.0:contig12244:198719:213303:-1 gene:CCACVL1_20029 transcript:OMO68243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGPLTTCQHLRSSSYAAPRKISPPCEYPRGSSSGLGSWPPPFPAQTTTSRLSNAAGHHPKPPGNPKIHLSIPFSLLNPNLTLDSPKSKYSTKPSRSALDRTKTPPPISLKFPSKQSRLKLCHSPLPLKP >OMO68229 pep supercontig:CCACVL1_1.0:contig12244:205:543:-1 gene:CCACVL1_20015 transcript:OMO68229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQYNFFPTDFYYPRLQSTVPAADTGRAAAVIVKTQTPNKEVGDELEWPKSLGFRVRQAGSSKQQAGVSMSIQHQNQGKPYVENQGKLVKYSSNQVSWFTLIPEELSDFS >OMO71048 pep supercontig:CCACVL1_1.0:contig11736:26217:26528:-1 gene:CCACVL1_18484 transcript:OMO71048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein AGGEGSLKPEQCQGACDVRCSATSHKSECQFFCNYCCQKCLCVPSGTYGHKEECPCYNNLKTQEGKP >OMO71047 pep supercontig:CCACVL1_1.0:contig11736:13130:14587:1 gene:CCACVL1_18483 transcript:OMO71047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDTSHATSRYNKRSSNIYVMLIPCPKIIGSIAIVKVFNNPSKISDTRCCK >OMO71046 pep supercontig:CCACVL1_1.0:contig11736:45:5835:-1 gene:CCACVL1_18482 transcript:OMO71046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSWVSIAFFLILALSFATEITNAGKEGSVRREDESYQFRTSIDRFDHTVSFRLARKDQFALKTVRRPVMFGVRKLIIGSHACSSATIAARGACAFLLVLMVEGRNVHATTRSKLGKANGSLSIGFSPHLEI >OMO67412 pep supercontig:CCACVL1_1.0:contig12437:3103:6415:-1 gene:CCACVL1_20531 transcript:OMO67412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGNSRSVRFQDDLELAKLPTINGDGMNMIKLKYNIDGTKIAESSSRTRRNNDKELPSTRTGRSLLKARVLSRVFSEDFERVKKKILDPRGPIIRRWNKIFLIACLVSLFVDPLFFYLPVVWREVCIDIAIPLEVILTIVRSMADAFYIIQIFIRFRTAYVAPPSRVFGRGELVIDSKKIASRYLQKGFWIDLIAALPLPQALIWVIIPNLNGSTMTNTKNVLRFIIIFQYLPRLFLIFPLSSQIVKATGVVTETAWAGAAYNLILYMLASHVLGACWYLLSIERQEACWRSACDLEASFCLYKYFDCRRIKDPGRDTWFRSSNITDLCSPNSSFYQFGMYGDALTFDVTTAPFFNKYFYCLWWGLRNLRRSDSGGKNANLELKLLHINSIALSLNCSSLGQNLSTSTYVGEIMFAIILATLGLVLFALLIGNMQRYLQSTTVRLEEWRIKRTDTEQWMRHRQLPPELRQSVRKYDQYKWLATRGVDEETLLKGLPLDLRRDIKRHLCLDLVRRVPLFDQMDERMLDAICERLKPALCTEGTFLVREGDPVNEMLFIIRGHLDSYTTNGGRTGFFNSCRIGPGDFCGEELLTWALDPRPSVILPCSTRTVKAISEVEAFALRAEDLKFVASQFRRLHSKQLRHKFRFYSHQWRTWAACFIQAAWRRFKKRKEAAELRAKENPTAAVETETTVTAAPPGSLAMYAASRRLAASTRRGVNMHSGSDSGVVSSLQKPAEPDFSVDED >OMO67413 pep supercontig:CCACVL1_1.0:contig12437:12475:15472:-1 gene:CCACVL1_20532 transcript:OMO67413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKPGSTPTTYSPTVTRKTRPPIFKDNDLDWVRPDGRGFHQCRPAFFRTGAVNSASGSAYAEFGNTKVIVSVFGPRESKKAMMYSDIGRLNCNVSFTTFSTPVRGQGSDHKEYSSMLHKALEGAIMLETFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIRMYDLVAAVSVSCLGKNLVIDPILEEESYQDGSLILTCMPSRYEVTQLTFTGEWSTPDINEAMQLCLDACGKLGKVMRSCLKEAASGSQD >OMP12319 pep supercontig:CCACVL1_1.0:contig00135:5940:7319:-1 gene:CCACVL1_00043 transcript:OMP12319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFKVKTNVDVINRQKVDGGVKNQGANDILAWKNPIQVGSYTPSPSESERSKQEFQLHNTTETLLASDAPELVVFIQESDYQSVKDIFIDREDPSRSGLSYMNDDHHEEPEKTLGIMSSISNEIEQDFQNYVRKQHALENLMKKSEEEYSDGRDDHLLDKPVKKMMPEKQLVKEVHIEDDASLNPLESSASESIEDSSHLSEASSNSEAESGSTIRHLSDSSLTTMSSLEEFLEGPEYQQPPKTDNLFRTEDTMSRSVTGPSLSFINQLGSFAVSPLSVSGPIPCSGNISLHSTSSNASSKSFAFPM >OMP12318 pep supercontig:CCACVL1_1.0:contig00135:934:1929:1 gene:CCACVL1_00042 transcript:OMP12318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MQDIHSIGGGRIFSGGGGGGSGDRRLRPHHHQTHQALKCPRCDSLNTKFCYYNNYNLSQPRHFCKGCRRYWTKGGVLPNSNVAVTNNNNSSQNNNNSNSASGTAEAVSAVTSHSNLINVSESKFYGNPNNLGFESGLLEQGSDCGIFSEIGSFTNLINSSNNETMSFGFSTVLNGQGMEQGQWQQQHQKTMSMGGEDINGGLLDQTVELSNLHNRSEGGGFGPLDWQGSGDQGLFDLPNAVDQTYWSQSQWTDQDHPSLYMP >OMO85466 pep supercontig:CCACVL1_1.0:contig09617:62272:64331:-1 gene:CCACVL1_10167 transcript:OMO85466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase MALKAVHVSDVPNLDQVQENASLSLYSTRFNSKGLELSRTTSFRIPKFLVIGHRGHGMNILQSSDSRMKAIKENSILSFNSAAKFPIDFIEFDVQVTKDDCPVIFHDDVILSEENGTIFEKRVTELCLAEFLCYGPQKETGKEGKFLWRKTKDGKIVKWNVEIDAPLCTLEEAFQKVEPSLGFNIELKFDDHIVYQQEHLIHALQAILQVVFEFAKDRPIIFSSFHPDAAQLVRKLQNNYPVLFLTNGGNEIYYDVRRNSLEEALKVCLEGGLQGIVSEVKGIFRNPSAVPKIKESKLSLLTYGKLNNVPEAVYMQHLMGIEGVIVDLVHEISKAVGDMIKPANPIVAEEGLSSEVNGESNEANSKLQFSQQELSFLLKLIPELIQH >OMO85459 pep supercontig:CCACVL1_1.0:contig09617:10705:13726:-1 gene:CCACVL1_10159 transcript:OMO85459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSDDSAEEGEAASMGAFLQSPPRFAGVSTCDLDGMQRGGLSQTMGDSLCSSLGDFQRKTSLELSKYSDDSFKFGGKMNASSNVHGLKIGSANAVGSFATARSGQKVQNPVSRIVGFESQGTSKVVEGVSSDHVQSSPIGVRANETEASGSLVRKRLLSPLNNMHFRDPFNGDPLDISCRGTQINSSSLADKHKLSAPQDNKKANVGSKANFTASAWSLSSCLEHGNVSFDKAGTASILITDGPLLENKEPHLSQKFLYSPKFDRFHESSKVRSQSGVISISPQLATSPPVSLSPLGPKFSERITAGGCRSLKKDLDYCSSNVKNIEQSVERFDTDNIFSHEEEEFEITSRSFEDIDFLHKEFRPSSLETEVRLSWPLCQESAPTSPRLRFVKGLSGLPIRRSLVGSFEESLISGRFFSGKLSQRIDGFLAVLSITGGTFSPQSQKLPFSVTSVDGDCFLLYYASIELSRNSLSNKCRDQKLKRGLSNDESQPVRSRLRIPMKGRIQLVLSNPEKTPLHTFLCNYDLSDMPAGTKTFMRQKVTLASSVPNSTELKRGPLNFDTKAQDQVKPTSQKKSPLCDNRDRRDSSEVKGSEGSDLPESIQMTGCNNEECKDYTCFDSCHDNNQKLSNGRGCAKINENNNGAGSLRYALHLRFICPSPKKGSKSFQRCKSDPGSVPQKSGSDKDGDRRFYLYNDLRVVFPQRHSDADEGKLNVEYHYPEDPRYFDICN >OMO85469 pep supercontig:CCACVL1_1.0:contig09617:82044:84993:-1 gene:CCACVL1_10172 transcript:OMO85469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYCPCFGGRKGKKLKSGDDREVNEKCKPQLSPSGVGLVGSKSGSGTKPEFVAPKDEPNAGSRAQTFTYRQLATATKNFRKESLIGQGGFGAVYRGQLESTNQIVAVKKLDKTGGQGEKEFLVEVLMLSLLRHPNLVNLIGYCAEGDQRLLVYEYMQQGSLEDHLHYLIPGQEPLDWNTRMAIAAGAAKGLEHLHTGAEPPVIYRDLKSSNILLGEGYHPKLSDFGLAKFGPSGDKSHVSTRIMGTHGYCAPEYLTSGKLTMKSDIFSFGVVLLELITGRKALDDKRPRDERFLVDWALPLLKDGKNILNLADPLLRGQFSRSTLKRALEVAAMCIQENANSRPSISDLVLALDYLTSHPYNPNETKRVSVKGPENNDSPKETKRILDKDFDRERAVAEAKLWGESWRDKRRQNEQVAPDGSNRYAFIFFQLVAQSSGRIISLKKFTEDPLPVDNGRAKLLFHGSENEVMAESLAAFRTCLLAEVNHQLIRMEFRKMKEL >OMO85463 pep supercontig:CCACVL1_1.0:contig09617:41261:42242:-1 gene:CCACVL1_10164 transcript:OMO85463 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MESQNLVENGGIKLPIGFRFHPTDEELVVHYLKRKALCLPLPASVIPELDVFQAEPWSLPGDLKDKRYFFSYRYGNESNNKKCKIAAGSGYWKPIGKEKPVMAAGSNQVVGMKRTLIFCQRNRSNETNSRWHLHQFRLVGPLATLYSTQMSKWGLFGEWLVFRVFQKKMKGKKNGAIGNKIQTTIDFTVEDCSAFGPPQPSSPSSSEITEVSNSSNGLDQEETSANFITCYSNCWVRKQ >OMO85461 pep supercontig:CCACVL1_1.0:contig09617:20865:25612:-1 gene:CCACVL1_10161 transcript:OMO85461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSFGKLRRALHKNDAKDKLDFIPSAHLDELAQAAQDMQDMRNCYDSLLSAAAATANSAYEFSESLQEMGSCLREKRVLPDDEESRKILLMLGDLQFELQKLVDKYRSHVLLTITNPSESLLNELRTVEDMKRQCDEKRKVYDYMVTQQKEKGRSKAGKGETFTLQQLQMARDEYDEVATLCVFRLKSLKQGQSRSLLTQAARHHAAQLNFFRKGFKSLEAIEPHVRQVTEQQHIDYQFSGLEDDDGDDGEIAYDPNEDGELSFDYRVNEKALDVASASRNSMEVDEVGLSFPQTLKMENAEVNLEKSYGEIHIPSREHRVGSHSAPIFPERKLDPAEKVKQLLQSTARKSSAYVLPTPNDSKSAVSSKTNSSVSYTRPNNVAGRPHNFWHSSPLEQKKHEKDSSDGNLSEFTVLKSESVLRDTDSIIGSTQLPPPLSEGPIPSQLDASSEAKKIKRKAVSGPLTSKLLSTKPISTTGPITSAELPHLSSAVISHLPIPQPLSPPKVSPSASPPLVSSPRINELHELPRPPGSSAAKPGKSSALVGHSAPLVSRNQEASTSNVPSLASSGASPLPAPPLIVPRSFSIPSRGQRAMAIHVSRLLEGPADKDGEVASPPLTPISLVNMKQIPRVSEVTSHSGQIRGGS >OMO85464 pep supercontig:CCACVL1_1.0:contig09617:49029:54032:-1 gene:CCACVL1_10165 transcript:OMO85464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLLASRTLNSSRILYSQYSRLRPIVTTTQLQSADASAAAAAQPEPSPPPPRKPVAGARVHFTNPDDAIEVFVDGFPVKIPKGMTVLQACEIAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPLAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGTQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRIDSRGPEVMRILPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGADGRFKAVSWRDALAVVAEVALQVKPEEIVGVAGKLSDAESMMALKDMLNNMGSNNVWCEGTGTSPNADLRYRYLMNSTIAGLEKADLFLLVGTQPRVEAAMVNARIRKTVRASNAKVAYIGPPTDFNYDCEHLGTGPQTLSEIAEGRHPFCSAISNAKNPAIIVGAGLFEREDKDAIFSIVETIGKNGNVIRPDWNGLNVLLLSAAQAAALDLGLVPESKNCIESAKFVYLMGADDVNLNKVPEDAFVVYQGHHGDRSVYRANVILPSAAFSEKEGTYENTEGCTQQTLPAVPTVGDARDDWKIIRALSEVAGIRLPYDTLGALRSRMRTVAPNLLQMDEIEPAAFGPSLSPDVKQEISSTPFKPAIDNFYMTDSITRASKIMAQCSAMLLKK >OMO85472 pep supercontig:CCACVL1_1.0:contig09617:99455:102464:1 gene:CCACVL1_10175 transcript:OMO85472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKARQVVETWARQFHCSPREQRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALRDVIDHGDEFGRNAALRLISIWEERKVFGSRGQLLKEELVGRQSENNNRNGRHLGLKLKHPVGGTVDKIVSGYQVVYESHMDEDVIFNKCRNAISCIEKVDKEIGSDVNSGQFHGSALVEEVQGQHAVLRDCIEQLTAVASSRASLISHLREALQEQEFKLEQVRTQLQAAQAQSEQAGNICRQLLNGDNPHLVAEQSSKEPHTSIAPQSFMPAASEQSAPVMYARQVSFPDNSGHIDEDPRKSAAAAVAAKLTASTSSAEMLSYVLSSLASEGVIGNPMNEPSGDYPSEKRPKLENDQPYIPSQNPHQASIQPFEHPESHQHSVTTTSQQLAPNEVPPPPSSSPPPLPPLPPVPPYSVAQYMPTAGSMNGVSFSYGVTQSQQASGLPGYPMVGTAMTGISPFATPTTNSYQSFQGSEGFYNQPSMPTAPVSRQ >OMO85468 pep supercontig:CCACVL1_1.0:contig09617:69898:76972:-1 gene:CCACVL1_10169 transcript:OMO85468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein MYVVPPPQRSDPGSAGELSDLRVYQIWKGSNIFLFKGRFIFGPDVRSLGLTILLIVAPVSIFCVFVARKLMDDFSHHLGISIMVVAVVFTVYDLVLLLLTSGRDPGIIPRNAHPPEPEGFDGNTDVGAGQTPQLRLPRIKEVEVNGMTVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFAFCWVYIRRIMVSEETTIWRAMIKTPASIVLIVYTFISMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPYNKGVVENFKEIFCSSIPSSKNNFRAMVPREPALPTRPGGFMSPTLGKAVDDIEMGRKTVWSDMGAGADHCEGQLTSDRVNVKEGELGELSPDIRTTVDESGDRGGIHPRRSSWGRKSGSWEMSPEVLALAARVGEPNRTVGSSSSSLTTENRQTEGPDHAPRFKASVNFNGEIFESPSYCPTLRQAEHAAAEVALNKLSSKGPSRSLTARVLDETGIYKNLLQETAHRAGLNLPVYTTVRSGPGHVPIFTCTVELAGMNFTGEPAKTKKQAEKNAAIAAWSALKRMPRLDSLTNKESDNKEDQDQAVVSRVLSSFKPKDVETRQQQFRRRDFNFNHHLAKRRMVKNNRDIASSPSSSSTSGNGNSLFHQQWRLMDLLMDSAMDGSSSTQKQNSFVSLLPPPPPRTASKILPPSNRPIPIQIRGKSEVKLPPPPPPPPPPPAVLEEHLKDEEEWLGVKSIEKEGSLGSKSNVFGGSSSSSSSCSIYRPAAIPISSGNGKLLNNCMLDNATRIEANQIISRLIGSPNPSQMAQNMYNCGGFISPHRMAPAVKIRSVIPVCAAPPPPAAAAAPTTRPPQESTTAAENSEQLSTELMNLKL >OMO85462 pep supercontig:CCACVL1_1.0:contig09617:29568:37769:-1 gene:CCACVL1_10163 transcript:OMO85462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSRLIICLTLPYDRVRSMVALVPFAWFSSFMGCGVSKFETSPIHERSGGAKGGGRGGGEHAKLDPNGKKKVNSGGNGENREDEGHDCKENNIEGHDRDDSIACPGSPSFRDYCISSHSDDDNSDDHNSEGESNAGELIKEKQEEHSLPSKKKKSIVKSHKTFKSIVNSHKRKSILKGARRATSWVYNMNIEKLKHSLNVDELFFFVFKAVFCLISLVFCLYKRKRARLLDDENPLHSFSRRSDSPRHPESGGSGSSMSQVQLRPLAAASPRHSESGRLGYSPVKFAYEELKKATNEFSDDNILGEGGCAYVYKGRLGDGREVAVKVLKGGGRQAARQFKAEVESISRLNHTNLISLVGAFVLGWDDRYKIALGVARGIAYLHQYCRPPIIHRDIKSSNILLENNFEPRSGKLSEKADTYSFGVVLLELITGREAVDKSDPENWKSLVNQARPLLKNAPEKKLLVDLVDPKLGNRYNEKQVLQMIRLANACVQLEEKSRPTMGEVVHFLESEYGSERFMSAVQSFSDQRNSPDNSTLAELETIEE >OMO85471 pep supercontig:CCACVL1_1.0:contig09617:94553:96781:1 gene:CCACVL1_10174 transcript:OMO85471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex protein Exo70 MEKNHHLPEKSFSFRKGIDDDEETNSAADASEDIDRFLSNLSSVPKQDKEGGDDHNEEQDKEHVPVVTGVEIPGCLEKYLDFVEEEFSKHETPESKVKGYQPTEDDATYLKSVERISKLYSNLSGAFKLDSDQGPLINRIGRIQQRVMSYIEDEFRNLLEDSKVVIEPDHQKQDHQGSSDHCELPQSGDQSNPNPENDFPGYPQEVLTLLTKIAKEMISGGFESECCEVYMITRRNVIEESLKQIGFEKISIDDVHKMHWESLEREIPSWIKAFKQCARVYFPGERKLVDAIFSGFPSLSDSLFSNLTRGVVIQLLNFAEAVAISKRSQEKLFKFLDIYETLRDDLPAIDSLFPEECANELRAETTTARCRIGETAICIFCDLENSIKSDTSKTPVPGGAVHPLTRYTMNYLKLTCEYKDTVEQVFKDHSKIERADSTSRPRNYEGGGDSQNYNNNDENQSPFAAQLMRVMALLDANLEAKSKLYKDISLSCIFMMNNGRYMLQKIKGSAEIHQVMGDTWCRKRSSDLRNYHKNYQRETWTKLLGCLNHEGLMVNGKVVKPVLKERFKSFNAMFDEIHRTQGAWVVSDEQLQSELRVSISAVVIPAYRSFMGRFSQYLDPGRQTEKYIKFQSEDIETYIDELFDGNPTSMARRR >OMO85460 pep supercontig:CCACVL1_1.0:contig09617:17611:19682:-1 gene:CCACVL1_10160 transcript:OMO85460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate kinase METTTIINSFLTNLPIHAPLFRSKLPCSFSATIHHPTSHVPAQSLTISRPPPRRSLSSNCSLSQDTDATSISATKVATVDSSLALKKKAMDVSPDLRGTSIFLVGLNNSLKSSMGKLLADLLRYYYFDSDGLVSEAAGGVSAAIALKESDEKGFRESETEVLKQLSSMGRLVVCAGDGAVQSSTNLALLRHGISIWIDVPLDMIAKGIIEDKSQLLSSEIANAGSYSEVLSQIAALYENLRTGYATADATVSIQKIVNQLGYEDMDAVSTEDITMEVLKEIEKLTRVKRMMEEAARPF >OMO85473 pep supercontig:CCACVL1_1.0:contig09617:104675:104827:-1 gene:CCACVL1_10176 transcript:OMO85473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAKSKACSNQRAKLSFESPTMRGLCRLKSEYLPSQIQAFTTLSNPASKSV >OMO85457 pep supercontig:CCACVL1_1.0:contig09617:520:645:1 gene:CCACVL1_10157 transcript:OMO85457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSDRQQYQFRYSARRTDTAELIAHNKEIEIRSLKSLKSFNK >OMO85465 pep supercontig:CCACVL1_1.0:contig09617:60424:60498:-1 gene:CCACVL1_10166 transcript:OMO85465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGQTRFMTSARRSDEIPCGGVS >OMO85467 pep supercontig:CCACVL1_1.0:contig09617:67758:67883:1 gene:CCACVL1_10168 transcript:OMO85467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLMTIYRSHQLRAHARMGTCPHGVITKIMTYQKLSTTGT >OMO85458 pep supercontig:CCACVL1_1.0:contig09617:6280:6879:-1 gene:CCACVL1_10158 transcript:OMO85458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSMYNFFNHGHHHHQASSASPSSASSKPIPMNIKKNSSSAPTRLFQCLYCPRKFYTSQALGGHQNAHKRERAAARRNNLPAIDHHHQPPATHHHQYNMIHGHQQPSYPFPASFRPPHHEPAAMVMDHQYNSGAPYWVEPSFHSQIPSSSAPGFVPYHHHQGFIHGFPSPQTDQTFSPNSTDLNDDDSENLDLTLRL >OMO85470 pep supercontig:CCACVL1_1.0:contig09617:87453:90113:-1 gene:CCACVL1_10173 transcript:OMO85470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYGGSRKKRALVRWFLILCAVFTCISWLMLLTLTSDDRPPNTAGKVAVVDFPGQLELHDVERDVVLSSTETPKQAPAKAPKKTPAKSCATVEEMGKAFKGRILKETLAVRRIIQRHFSINGASRIRELPPEQFCSHGFVIGKASEAGFGNEMYKILTAAALSVMLNRSLIIGQTRGKYPFGDYILYSNVTFTLREVKHLWRKNDCVKKYGRHLVMRTDDFEKPTKTNALCSNWRKWHQPIIWYQATTDAVAAQFFLKNIHPDMTNAATELFGKPENLQSRPNVFGELMRILISPSRDVEEAVNWVIGGGGRDPDITLHMRMLMNRSLRAAQAALNCVRRATRNLPQGSKPRVVVISDSPSFVKFITPNISEFAEVLHFDYKLFSGNVSHIMKSSSTLEFRVKDWGPAPRWVAFVDFFLAARAKHAVVSGAHRRVGTTYAQLIAALAAANSIGENSTASSFSFLSSFQGNLLAEGLKLQIGWGHAWNRFAGPLSCRGQPNQCALTPLLPPAWWDSLWQSPIPRDINRLEQYGVQLTGFGTTDENQIRSFCSTRKTIVKTISYI >OMO60364 pep supercontig:CCACVL1_1.0:contig13732:13708:15201:1 gene:CCACVL1_24207 transcript:OMO60364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MEPGLQWQPELFDDGQSNEVMGLDLNLPGFQISPLSCPIYRDWPIFVETRNHKRLKLEDSTDESIGRNSSKTSLPKLQFRDHIWAYTQRYLAIEAMEEATVNALAGTEQENEIKAEEGTGVGEEMKLVQQLISCAEAVACRDKIHASALLSELRANALVFGTSFQRVASCFVQGLADRLALVQPLGAVGVIGLTAKAMAVSLEKDEALSLVYELCPQIQFGHFVANASILEAFEGESFVHVVDLGMTLGLSRGHQWLNLMKGLVHRSGQPPKRLRITGVGTCPERLQAIGDDLEQFMEALHYYSAIFDSLDTMLPKYDTRRAKMEQFYFAEEIKNIVSCEGPARIERHERVDQWRRRMSRAGFQPAPIKMVMQAKKWLGKFKVCEGYTIVEDKGCLVLGWKSKPIIAASCWK >OMO60366 pep supercontig:CCACVL1_1.0:contig13732:20247:21158:-1 gene:CCACVL1_24209 transcript:OMO60366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDHFTLQILFLSLLLFLTIICFIFYRRKWQSQPVTPPSPPRLPIIGHLHLLTDMPHHTFSELSQKLGPIIYLRLGQVPTVVVSSAQLARLILKTHDHVFSTRPQLISAQYLSFNCSDVTFSPYGPYWRQARKICVTELLSSKRVSSFQLIRNEEVNRLLTALSTRSGSEVNVSELFFSLANDILCRVAFGRRFTEGREGAAEGQKRHLARVLTETQDLFAGFCVGDFYPEWKWVHSVSGYKKRLMKNLEELRKVCEEIIEEHSHPRDENKEDFVDVLLRVQKRDDLEVPITDDNLKALVLVSY >OMO60368 pep supercontig:CCACVL1_1.0:contig13732:28389:31903:-1 gene:CCACVL1_24211 transcript:OMO60368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESGFSSPRHDAFPAGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCGLAREALTLLRERKDGYDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKKIHEVRDIESLEGIEHYHMTRSGSDMVDDGHLLGGEDTTSVRKRKDAESKHDDKDLNDPSSTKKARVVWTVDLHQKFVKAVNQIGFDKVGPKKILDLMNVPWLTRENVASHLQKYRLYLSRLQKESDTKNSFVGMKNMDPPSKDSTTSFGLHNSVNMIKDDASNGSYSFSVKNSIVQNVDIKGYEGDRKGITSVAGPNSKGALSIDIPNPRKAKSSQISFDHSLASVDSGVNFPSFNSSNPDRYSWSEIPEIQFKQECEPLPLENGFGQLPLPVPQHHIQADYIKPAPSISSAPSITEKEATSSSIEVKPLYEEYRSNHVKQLSPTEAVDFLPDQSKSQTLNNQVFEPISATTSSMRSQGISLNCLTDLEFAQRNLNEDLQICWLQAQGDCYATNIGLQDLQAIEYNDPAPIYEIPFHLYDAPRFDHEHLFDTTEYSAIDQGLFA >OMO60370 pep supercontig:CCACVL1_1.0:contig13732:42376:42843:1 gene:CCACVL1_24213 transcript:OMO60370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGYEEGRDQKYRPPMAPLPLPAHTRAGLKA >OMO60362 pep supercontig:CCACVL1_1.0:contig13732:2681:6014:1 gene:CCACVL1_24205 transcript:OMO60362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAESVVSNVATRLGDLVRKEAIFLWEVEEQVNRLRRELSWMKSFLRDADSRQAEDERLRQWVAEIRDIAYDAEDVIETYALKMASKRKGGLLNCMKWSACCLRECWRLHKVRSEIEEITTQITVLIQRLQAYGIKEISSSDGAGAASSSSSSSRKKQLRQTYPHTVESFVVGFENDIKQLASVLVDEETHCGRVASICGIGGLGKTTLARKVFHHSQVRNHFNFFVWAFVSQQFQRKIVWKAILSGLGVNIDERAGPAGILNLDEQVLAGDLYSFLKENKCLVVLDDIWSAEDWDLLRDAFPMEDTTSKILLTSRNMDVALHADSRGYLHQLQCLTDEDGWKLLQHVAFPTSDSDSADYAIEEKMEELGKDMVKQCFGLPLAIVVLGGVLAKMHSLNDWQNVHENVKSYLKRGRGLGTYDVLTLSYDNLPAYLKPCFLYLSVFPEDYEIRVDKLIQLWVAEDIVSVAENEGDGEEMAEDVAERYLNELVERYMVLVGKRDASLKIKTCRMHDLVRDLCLSKAKQENFTCIGVNNINSSSAAGKVRRLAMHDSFVMINHFKIPHLRSALFFDDLSEILVELFISDWLCKFLFKYWSDEDNYDREATTWQIIFMHLAMHKIQGFIRYICMNFKLLRVLHFEGVPLSGCKLGGDISSLIHLRYLSVGEGFFSFCLSSSISKLRCLQTLDLSGYTTLYVPDVFGRMERLRHLYLPSDFLTKTKLKLDNLRSMQTLINFNTKDCNLGDLSCMKNLRRLGIITPFIVENFREGLNLNPPIITSKHLRSLSIKTNTELQHDRSIDPRRLTYLLSSCVNIYELELCVKINKLPEPQHISSNIASICLSLSELDEDPLPTLEKFPKLRVLQLSDQAFTGAVMVCFAQGFPRLVSLSITCLENLEEVKVNEGAMPNLQNLTIAKCRKLKMLPVELKFITTLKELVMEEMPKAFKDKLVQAEEDYYKVQHVPSVIFQNCDD >OMO60363 pep supercontig:CCACVL1_1.0:contig13732:10123:13217:1 gene:CCACVL1_24206 transcript:OMO60363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MLSFTAKLYGIFLKFQQRYNLYNLTQSALHGHDVDPFGITSRHEEPTAPSNPSFHDGVATKDIHIDPFSSLCVRIFLPDTVVNSNLTTITKENGGLGYGGYAPSTGKRHKKLPVMLQFHGGAFVGGSNDSVGNDAFCRRIAKLCDVIVVAVGYRLAPESRYPAAFEDGLKVLNWLGKQANLAECGQWTGNGRRKMDSHVFDGFGSSMAEPWLAAHGDPSRCVLLGISCGANIADYVARKAVEAGKLLDPVKVVAQVLMYPFFIGSNPMNSEIKLANSYFYDKSTCMLAWKLFLPEEEFNLDHPAANPLIPGRQPPLKFMPPTLTVVAEQDWMRDRAIAYSEELRKVKIDAPVLDYKDAVHEFATLNMFLDTPEAQACAEDIAIWVKNSRLQGSNSKVGGLMIRVPVRLSQSLSLPPSNMNRTGLDVNEF >OMO60369 pep supercontig:CCACVL1_1.0:contig13732:39289:40852:-1 gene:CCACVL1_24212 transcript:OMO60369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MLQKQPNKSSFRESLRALEADIQHANSLAASLPRDYGGNCYQMRLSYSPFAPFILFLIEWMDYSCTDNLPSYLGLLHILVYKAYVDGMPVLSSKERKASLREFYAVIYPLLSQLGDEFIELEDSKKSRSPEVLNRKRVDDRKRVSDKESERDDECGICMENCTKMVLPNCGHALCISCFHDWNVRSKSCPFCRGSLKRVSSKDLWVLTSGNDIVDMFTLAKENLRRLYLYLESLPLVMPETHPYVSDYMI >OMO60365 pep supercontig:CCACVL1_1.0:contig13732:16135:19104:1 gene:CCACVL1_24208 transcript:OMO60365 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative oligopeptidase MEVGMSLNALVRLPLSSTSRTHHETLDGLAKHSLFSSKTTTTWRQQQSRRRGMLVVEAKGKKGMSARQFQKTPPPMPKIEDDGNPKFVIFIRMADVNLWYPLSMVTGGTTAKIMVAAKDNFLGKYIYKDTLARNLAAVIYRDEKEIQKTAIKQFRILRSATDFRYGYKLVENGNVRSALATNDVIELPTQDKLKTVLDKVKDFFGDAKESFGKVTALNSASSEETEEKTTEKAKNVSSKSDEHKISAAKFCS >OMO60367 pep supercontig:CCACVL1_1.0:contig13732:21703:26344:1 gene:CCACVL1_24210 transcript:OMO60367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQALSNLSISLPLYSSNKTSKFGHLPFAKLQPNKNSSIKAMAGERSRENLDHLQRASKPQQQAQPNRKRVGPVAPIGLWDRFPSARTVQQMMETMERMMEDPFAYSGTWPSPLPSENNNYSRGRTPWEIKEGESEYKMRFDMPGMTKEDVKVWVEEKMLVVKAEKAPKKKNNINGAVQKGEQDEEEEEEEEWSAKSYGRYSSRIALPENVQFEKIKAEVKDGVLYITIPKASNQDVSREAKEVEPIRALTESVSFGRFMSESLAWEKWSTFSHNRYLEEVEKFSKPGSVAEKKAYFEAHYKRRAEMRAAALLEQANTIAANASQIETSTAEPMDSSLNIDSANAKALVAIDEEQEKDVSDADVYAGNLNVERDTADVTVSERDQAAMDIDVNVENDTPVEHVDNQGMIMATPDKKILHMESSDQTNSTSSSKKRRTNSMSKSSTPSRIPKAPKLPLHPSKRVASGQARCDTGVVKSIGNSNDKKKTTPNSLHMSISFASGASKTSKTSLRMPKDSTTPLQTPTRTFKKAADQENLAPSSEKRQLNSTSRSSNHGGVPKQVTPRVGNNHALTNKKSAVDSTEQRRVVQKSLHMSMNFTPSVAETNKTSLKISRDSSTVLRTPTRASVNGGSKHPSNVLQSQDKRSRAVLNKSVSGGVTGDGRWPSISNCSKSSSTSVTSAQSPIASSPFRFRSEERAAKRKEASLTFFML >OMO99181 pep supercontig:CCACVL1_1.0:contig06939:3787:8519:-1 gene:CCACVL1_03896 transcript:OMO99181 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MEGEQEQANVAAMLQALMQHLDNMDTKFDAFADDVQQVKDGQNQQAQPPQQRANAAQKNERIQLPPPRQIARIDPMERLRQQELGGQAINENLRPRRGIEREEIKDNIKYKIPKFNGRGSPSDYLEWESKLDMYFDYYPHAGQRSFYTDGLYQELQSLRQGTRSVDEYYSEMMLLMSRAEVDEAPQATIARFMAGLNREIHDIVEMQQHYDVEELLQSSSSSWKTPIKKDDKSSKEKESAQKGVTPKTDSKSSSSSSSKNYVKCFKCQGYGHFARDCVNKKVMLTNDNGEIVSEDEDIALGSSGDGDDEVEVQTDDDDSEGSTPALLNLVARRTLSAYVKGDVQNQRENLFHTRMYANGKPSSVIIDGGSCTNIVSVYLVKELALSTTKHPKPYSLGWFNDREEIKVNKKVLVSLSLGRYNGEVLCDVLLMQACHVLLGRPWQYDNKVHHDGETNKYSFMCGKRHITLIPLSPQDALKDQLKLKEEFAKMESDFRTKEKTKHANLNVNCVEGKTDLVDKHASSRKVVKECMLATKSEIKEALHDNSVLILLLLKNTLVATNDLERELPSNIVSLLSDYLDVFPEEIPSGLPPIRGIEHQIDFIPGAQIPNKPAYRTNPDETKELEKQVGELLQKDFVRESLSPCVVPVLLVPKKDGTWRMCVDCRAINNITVKYRHPIPRLDDMLDELHGACLFSKIDLKSGYHQIRMKEGDEWKTAFKTKLGLYEWLVMPFGLTNAPSTFMRLMNHVLRAFIGKFVVVYFDDILGYNRNLNEHVRHLRCVLDVLRVEKSYANLKKCTFCTNKLVFLGFVVSSQGIEVNEEKIKAIKEWPTPTNIGQVRSFYGLAGFYRRFVKDFSTLAAPITSVMKKNAPFKWGDEQQEAFEMLKDKLTNTPLLVLPNFNNTFEIGCDASGVGFGAVLMQGGKPVAYFSEKLNGAALNYPTYDKELYALSEFIESFPYVVRYKQGKENVVADALSRRYVLLSMLNSKFLGFEYIKELYASDVYFGELFKACENSGFGKYYKHDGFLFKESRLCVPSCSLRILLLRESHEGGLMGHFGVDRTYGILHEHFFWPKMRHDVGKYVASCIVCLQAKSTSKPHGLYTPLPIPHEPWTHISMDFVLGLPRSRRGKDSIFVVVDRFSKMAHFIACTKTDDAINVTNLFFKEIVRLHGMPRTIVSDRDAKFLSHFWRTLWAKLGTKLLFSTTCHPQTDGQTEVVNRTLSTLLRALIKKNLRTWEDCLPHVEFAYNRSIHSTTGYSPFETVYGFNPLTPLDLLSLPLSVQVDMDGQRKADYFRELPARVRAQIEKKTQHYMKNANKGRKEVIFEPGDWVWLHLRKEKFPEKRKSKLLPRGDGPFQVLERINNNAYKLDLPNEYGTVSAIFNVSDSSLFDSDANLRTNPFQGRGDDAPRAYHGLEEHNGAIGDHVLDKHGDVLEIQEDATDDPGLNTSLAEQGVEDRPPSYGATGQRMGRRTRHRTSHLATTGHRTG >OMO74269 pep supercontig:CCACVL1_1.0:contig11149:4563:5519:1 gene:CCACVL1_16862 transcript:OMO74269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVNWKDLRNDQDRDDSNKQVKLQGLSDVQDLENFMDIDDDDDVNSNKINVLRLHSMDSDHAHHHLMVGGTNIHPSSHHIHMDPSLRVFFTLNELKVGKTIPIYFPKNDPSKSPQLLPKEEADSIPFSLKQLPYLLRFFSFSQGSPQAIAMENTLRECETKPIKGETKFCATSLDSMFDFARTIFGLNSKFQIVATIHLISKSNTHFQNYTILGTPKEISTPKMKMVACHTMPYPYAVLYCHSQETQNRVFKVSLGGENGDRVEAVAVCHMDTSQWTPNHVSFRVLGIEPGTPGVCHFFPADNFVLIPLPSTHPLKL >OMO74270 pep supercontig:CCACVL1_1.0:contig11149:9896:10680:-1 gene:CCACVL1_16863 transcript:OMO74270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organ specific protein MKSFPSFLGFLSLLMLADTIAAARKDGGEYWRVAVMKDESIPEAIESALVPVNAAAASSSGDKTNCHDLPSNIEIKEEKIFVEDFELPRPNNYNSVEGGVTKERSFAKDFEPRPNLSAYGDDGDLKEEKKSFAKDFEPRPNLSAYGGDGDLEEEEKSFAKDFEPRPNLSAYGDDGYLKRGEKIIY >OMO74271 pep supercontig:CCACVL1_1.0:contig11149:18591:19344:-1 gene:CCACVL1_16864 transcript:OMO74271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organ specific protein MKSFLSFLGFLSLLLLAESIAAARKDGGEYWRVAVMKDESIPEAIESALVRVNAAAASSSSDKTDCHDSPKNMEIKEEKIFVEEFELPRPNNYNRVEGGKSFADDFEPRPNVSAYGDDSQEKSFVKDFEPRPNVSAYGDNGDLKGSEKKSFADDFEPRPNVSVYHD >OMP01301 pep supercontig:CCACVL1_1.0:contig06480:1805:1900:1 gene:CCACVL1_03123 transcript:OMP01301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSQTIQNSTNGPRKARNNKCSE >OMO92740 pep supercontig:CCACVL1_1.0:contig08175:25181:30798:1 gene:CCACVL1_06763 transcript:OMO92740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEGSSSCVSSSSQSKLEWTQKNYSSLIYLVQQFLYDENLKETLHLLEEESKIVFHVDYLGDSEWDKGWRIAEEYLKFVGADDEAHSRLSALKSQMEKEGEETKSGCATERDKLQDNVMPRSVRQSALVHLLNLIYPNSNKDTRIFKEQLVYLIIQFLYEQNFYETVHKLEQESKIFFNMDYFQQFVTDGEWSKAEEYLSAFTEDGDAECSANMLYEMRKHEYLEAKDRNDWLQMGSVLQRDLLAFSGRNSKILNELVEVMSCDNIRETKLQFEHLNVSSGRANLLASLKQLIAKSPLQDKCNFPLMDKGRLLTLVMMALNWWVPYRFNCFLENQSFTFENIPKVPHLFHCPSPIKNKSSSEAGNIQVPDGNGAGAETYFIDVHSVSSVNAVSWKLKEINEPSECRTLILPDSPLAERWVHIDCTNSLQLGNRDLLSYVKPLNIRIDVILANWSGLVQVARLMYSYKGDLLLALTEDAKNKLWTWQNSCEKASTVNVQPKLYEPSSGMMMINEMGTSHQTHCFALFKSHLFSASGGKISIFCLKTFEELNSFGGPPCTYFTFCNEHSLALGFNNSSIVIRCLNTKTQAKLEGHGKRITCLAFSNKLNVLVSAGGDAQLCVWDADNWQKRESKFLYSLCSGQVPDPAVINMIQFHQDQVHLLAVCETQIDIYEAPLLKHVLQWVPTEAERPITSATYSSDGQSIYVSFKTGCIKVLVSTTLRVRCQINKTAYSQPCTSLQAYPLVIAAHPTNPNQIALGLSNGRVHVLQPLESQEWGQPPPSQDQHVSAG >OMO92741 pep supercontig:CCACVL1_1.0:contig08175:31823:32809:-1 gene:CCACVL1_06764 transcript:OMO92741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MASKRINKELKDLQKDPPASCSAGPVGDDMFHWQATIMGPSDSPYAGGVFLVSIHFPPDYPFKPPKVSFKTKVYHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAQTYKNDRAKYESTVRSWTQKYAMG >OMO92743 pep supercontig:CCACVL1_1.0:contig08175:63801:64939:-1 gene:CCACVL1_06766 transcript:OMO92743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIGNPRQSPDEGRASKCDASK >OMO92739 pep supercontig:CCACVL1_1.0:contig08175:930:998:1 gene:CCACVL1_06762 transcript:OMO92739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGDLVQIVIRFFSAALPPEFGG >OMO92742 pep supercontig:CCACVL1_1.0:contig08175:47560:57802:1 gene:CCACVL1_06765 transcript:OMO92742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKTKKKRIDETSSASSSPSTSDDSESSIDRHRHRKDRRRKDGSSRREKERKGEKRKRKEKERDRKKRKLSREDRRKKKKDYGSESESQSGSDSDSEGDKGRIEPEAVVRGMLKEFPNVGNDLKQLLQMIDDGQAVDIKGISESSLMKHLKKLFVSLNLKENGDRVFLRSSKNRPTLDVVGHLIQDRIEPEKQRAVDFASVNDVPSIPELAEPSKVMDENSLDTDDSTTPKRRMIGPAMPSAELLAAAAKLTEAQAELREAELEEDDELFIGPPPPALVAEAESANEAERFEEVTRIMGVETDCPYDVIGANRNMSADNIKKKYWKLSLWVHPDKCSHPQAHQAFIILNKAFKELQDPDKVKRKALDEKIKLKDEQEEFKAELRAMREAAQWRRLQGISMEGDDELLADVEVKVPPTRDEWMTTLPPERKPGVTMQSTTRFSKSAKEGRGDTSVWTDTPSDRAQKAKMHFLEAYNEAAALASNEEEKKRTNSDAALVDKYNKEKRSKSLVQKHQEETAKRSKKKSKQQAEKEKVEWEGKHPWKPWDREKDLVAGRQSVKLDAENMSQGLTSRFSTGTFQRNFL >OMO72524 pep supercontig:CCACVL1_1.0:contig11436:44311:45051:-1 gene:CCACVL1_17738 transcript:OMO72524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQLFFPILSLALFFICTKTSAQIAPAPPVKVDNITSILEKGGQFTSFIRLLKATQVENQLNNQLSIPNPNDGLTIFAPSDNAFSSLKPGTLNSLSDQEKLQLVQFHILPTLMSTAQFQTATNPLRTQAGDVKSGNFPLNVTAVGNQVNITTGVVNATVANSVYSDRRIAVYQVDQVLLPLQIFGPTPAPAPAPAAPEKDVPVATPKAAAGDANASGAMSLMKLCSNMGFVSFGFAVFGAVFWSL >OMO72521 pep supercontig:CCACVL1_1.0:contig11436:16216:19162:1 gene:CCACVL1_17735 transcript:OMO72521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIDILTRVDVICKKYDKYDVDKQREQNVSGDDAFARLYADIEADIEAALQKAESASSEKSKASAVAVNAEIRRTKARLLEEVPKLQRLAVKKVKGLSIEEMAARNDLVLALPDRIQAIPDGTAGPKQGGGWLSSASSAPRTEIKFDSDGRFDNEYFRESEQSSQFRQEYEMRRMKQDQGLDMISEGLDTLKNMAHDMNEELDRQVPLMDEIDTKVDKAAADLKNTNVRLKDTVNQLRSSRNFCIDIVLLIIVLGIAAYLYNYVGCYILDFLICSEEVKRDELRRFPLMPLPLFWYCPPFGALALVLDLVFDLFLCGSHYSLLEGRNSIFALFPCWNDLAAACF >OMO72522 pep supercontig:CCACVL1_1.0:contig11436:33359:35294:1 gene:CCACVL1_17736 transcript:OMO72522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MSGEAFSMPPSVAGFIQDHQTNATNPNPKPNPNPPKKKRNLPGTPDPDAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLRQRTNKEVRKKVYICPEKSCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREKDSFITHRAFCDALAEESARFTSVAAANSNLNFRNDSVNLPPQIHGIPQFGSGFGQDHFTGMPATGLPEMVQMASANLFGSSSLTNFGHQFPGFDHKNNGGATSTNTANLSLSPILPQGLKEENGSKSNLMESLSSLYNSDATQNKQSNKPAAPMSATALLQKAAQMGSTRSNNSSIFGNTFGVMSSSSTSTHQNRNIDHHQNQHQQQQMNQVYPNPNMNQQDHQNSMSSAAGLGTSSNLNSTSCNSNFDQMMLQNTGSAKQSDPISSNQVKMQQQGSNSIDQSLTRDFLGMGNDQTGRRPFLAQELAKFASIGSTMGLSQFTSSSSNH >OMO72518 pep supercontig:CCACVL1_1.0:contig11436:2374:2617:-1 gene:CCACVL1_17732 transcript:OMO72518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYEELLALGERIGNVNTGLFEESISKCLTETVYCFSDQIQDESNCVICL >OMO72520 pep supercontig:CCACVL1_1.0:contig11436:6957:7869:-1 gene:CCACVL1_17734 transcript:OMO72520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPAYKKTIVCYWVDSSSGI >OMO72523 pep supercontig:CCACVL1_1.0:contig11436:38952:43189:1 gene:CCACVL1_17737 transcript:OMO72523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGLSLSWALNPMAWRGLVYTAFILNFVLVCQLLLLQPLVSALDGKPGNPAELFERVSQSIKVKRYSEALNDLNAAIDADPALSEAYFRRASILRQLCRYEESEKSYKKFLELKPGDSVAEKELSQLHQAQSALETAFSLFDSGDYSKASEYVDKVVLVFSPACSKAKLLKLRLLLAAKDYASVISESGFILKEDENNLEALLLRGRAYYYLADHDVAQRHYQKGLRLDPEHSELKKAYFGLKNLLKKTKSAEDNANKGKLRVAVEDYKAALALDPNHLAHNVHLHLGLCKLLVKLGRGKDALSSCSEALNIDGDLLEALVQRGEAKLLTEDWEGAVEDLKEAAQKSPQDMSIREALMRAEKALKMSKRKDWYKILGVSKTASVAEIKRAYKKLALQWHPDKNVDKREEAEAQFREIAAAYEVLGDEEKRSRYDRGEDIEEMGMGGGGFNPFGGGGGGQHFTFSFDGGFPGGGFGGFDGGFPGGGFW >OMO72519 pep supercontig:CCACVL1_1.0:contig11436:4450:6928:-1 gene:CCACVL1_17733 transcript:OMO72519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGHRHLFGTSQMFESEHEQSWNHMHSEQPYANLVRTSTAEHGSFFCPAENMSVDGVHFSSHWNPVPRSSGYATSSHNVEAPQYQPDASGPSQDLFLHPSVPGAFGPAPENYMHHASSSNYDRQSFHGIEGGLVDLTMGGGGGPHKRKSPGVPSICERGGSSRYVSAGSSSDLPISSEFWQEKPNIDPQHMHWDHVAMPPSYRGNGLSIRGEGSMRNVRSRPALDLEPNLVRTHLSSNPSYTSYSTSHPVEHSTSVDLSIQSSNALSREWEWGQLRVSPGHGRIQASDSSVFNHETNHFLGGSSATNAPVEVGGLQHDFISGRNPALPQSFHGNSAQSVRGVRTNYSQRSSPTFRASSSNMRLGHVAASDEGLQVVTENYPSRHPRPLSAITWRNNERNGRSRISHDRYRSLADDAALHERFSSEGFMIVDRSGFYGSRNAFDQHRDMRLDVDNMTYEELLALGERIGNVNTGLSEESISKCLTETVYCSSDQIQDETNCVICLEEYKDRDEVGSLKSCGHDYHVPCIKKWLSMKNTCPICKSSVLGDDNKQK >OMO61742 pep supercontig:CCACVL1_1.0:contig13418:14469:21514:-1 gene:CCACVL1_23274 transcript:OMO61742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIEEEGGMRKEENTDTEPIKVKLTEEK >OMO61744 pep supercontig:CCACVL1_1.0:contig13418:40734:44562:-1 gene:CCACVL1_23276 transcript:OMO61744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MDLSSSTRRVKTSVVAGSVWETRMKNDEVKGGIKVFNGDDDSNVEENGDKRLSLKKGQTVVGVSGKRKTWKSESFDGNPIQIAKGKTEDSVDGIKKSPIRVAARGRYSEEQQCKDLSLSVDGIKKTPIRKGRSEGIRELSKSVDGIERSPIHMKKPRSEKNEGNSIQLRKAKSDSVKPSDQSGNGNGNEGNSLQLRKAKSEESKALVLVNEKKGNDVSIEENDKNPVETEKDGSEEICKEFGVCQEKSFDIKEMNVVNEVKKLSAEKPNNAVNEVKKLQEDKPSKVVVNEVRKITQFNNKIAPFSSTVNKQPPPVVKRATSVYTTTPAKPTKSTPYNHHYQNFPQTQNKLQNLVDLVMWRDVSKSALVFGMGTFIIISSSYTQDLNISCISVISYLGLVYLAAIFLYRSIICRGIVNIDESSCVLGEEEAVWLLKLFLPYLNEFLLKLRALFSGDPSTTMKLAVLLFVLARCGSSITIWKMAKLGFFGVFTVPKVCSSYSHQLTAYGKFWIRRFRDAWDSCTHKKAVAVGIFTLVWNLSSIVARIWAAFMLFVALRYYQQKMVTDDWVEEEVAAGPGAGAGPTIGETCQVGSGLGSMSRQRHGLGPSRVEANKVKKGS >OMO61746 pep supercontig:CCACVL1_1.0:contig13418:59730:60938:1 gene:CCACVL1_23278 transcript:OMO61746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPRKLPPKRKAAPIEKGKGKKKAAPSSQTPTLPPPIPIPPPCDDTPRFISKFAKKWYDDHCNNTFVVEKTIDPDIDAHYGVSMKFGILGWENVLQIKGKYYNELVLEFYANVKNRSDEKGLPIRSVVKGKDVEISIAKIDKLLNLTPKGEPVEFHLSQLKGNDTWNKDVAAKRFGVKPDEKLTYKTKFLDIPPRLVAYMLHFNMEPKASSRNELRTQDIFVMEKMFFGLDTLEGIPLSPFIIGAMWDVITSNNEDKAIVFPLIISRLLEEERVDVIGAREVFTTKSDILDARTMADFRYRKQGDWWVNQHLEEQAADMENANANGTEAIDEVKSELDRAVITSTSSRRVRPKNWHEFVDHFDERINALEAHSAQMATTLQSMMVMLQTALDRLPPPPQQ >OMO61754 pep supercontig:CCACVL1_1.0:contig13418:99283:102562:1 gene:CCACVL1_23286 transcript:OMO61754 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MAEPEPESESQTKQTKRQEEQQNDELKGGEEEEVEGLGRVSESQGAEAAPSGDAEVRDSQSETLKNDDRSEGVQVNSTSESKEEGAEFKEQVKVAHPEVSPSLSGQDAEPQTPKQLEPSVSPASLPQESTTSVSQGVSSAPNPTVPEQSLSDKKVNGVSVPEATQQESSNLTALSVVPTVKTPVSDGYNWRKYGQKQVKSPKEIVNKGMHSHEPPRKNNFTRESKIVSSAVCVSRNIVTEQPNRIPNDSDPSTSSKESVLETTVNPERKRPCSSGSDGNGDMQVKEEHLSESDPKKRMKKGDAVCSASVLKSGKKPKFVVHAAGDVGISGDGYRWRKYGQKMVKGNSNPRNYYRCTSAGCPVRKHIETAVDNTNAIIITYKGVHDHDMPVPKKRHGPPSAPLVAAAAPASMNNLQFSPSAPLVAAAAPASMNNLQFKKTDGVQNQVGSTQWSVGTEGELTGEALDLGGEKAIESARTLLSIGFEIKPC >OMO61745 pep supercontig:CCACVL1_1.0:contig13418:50095:57030:-1 gene:CCACVL1_23277 transcript:OMO61745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2/DRF autoregulatory MALFRKLFYKKPPDGLLEICERVYVFDCCFTIDAWQEQNYKDYIKGIVSQLQDHLPDASFLVFNFREGETQSQMAEFLSDYDMTIMDYPRQYEGSPLLAMEVLHHFLRSCESWLSLGQNNYLLMHCERGAWLVLAFVLAALLLYRKQYSGEQKTLDMIYRQAPRELLQLLSPLNPMPSQLRYLQYVSRRNVTSEWPPLDRALTLDCVILRYIPDFDGDGGCRPIFRIYGQDPFLVADKTPKILYSTPKRSKLVRHYKQKECPLVKIDINCHVQGDVVFECINLHDDMEREVMIFRVVFNTAFIRSNILMLNRDEIDIMWDAKEKFPREFRAEILFSEMDSASSIISMDFPCFEDKEGLPIEAFAKVHEMFSHVDWLDPRADVAYNMLQQMSASNISDAAAVNHSLAMSHREKAVETKSIFISPQRHLSAAVQPSPDSSATKAPPAKSSPASETGTNLQEKDESALPENALGQKASLPALTSKGSFPTSSLAAAVALTLPPPVTPPQNEDQAIRRSPPAAPTPSPTPPTKQNQTVKDLPLESPSSVEGDLALKIATPAAPKPPTASPLKDIADGTIAVVPTPLTPPPPPPAPGSAGSAIPPPPPPPPMPHLKEISASKSIPPPPPPPMPPMNENSASKNVPPPPPPPLPPLKENSASISAPPPPPPPMPPLKENSASKSAPPPPPPPPAVSSSAPPPPPPPAPALSSQAPTAPSPPPPPFGKKTNAVGSTGSSAPAPPPLSSTNSKNKILSRTISSKSHQTKKLKPLHWLKLSRAVQGSLWAEAQKLGEASKPPEIDMSELENLFSAAAPSTDRGGKSSSRTARGPKAEKVQLIDHRRAYNCEIMLSKVKVPLPDLMSSVLALEESALDIDQVENLIKFCPTKEEMETLKGYTGDKEKLGKCELFFLELMKVPRVESKLRVFSFKMQFRSQFSDLRRSLNVANSAAEEIRNSVKLKRIMQTILSLGNALNQGTARGSAIGFRLDSLLKLTETRARNNRMTLMHYLCKVLADKLPDLLDFSKDVSNLEPASKIQLKYLAEEMQAISKGLEKVVQELSSSESDGPVSEKFRETLKEFLCFAEAEVRSLASLYSTVGRNVDALILYFGEDPARCPFEQVISTLLNFVRMFNKAHEENCKQLEQEAKKAAENEKPNMNVPQKESQNLLQTSIESKQS >OMO61747 pep supercontig:CCACVL1_1.0:contig13418:61961:65625:1 gene:CCACVL1_23279 transcript:OMO61747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MACKFADSRFLCLGPTQRPIRSQRIVTHSSPASICHLKWDSLLLKGINNKKGGLIPVKRTNAIQAVAVPVTPASADSAEYRKELAERYGFRQIGEPLPDNVTLKDVIDTLPKEVFEINDVKAWTSVLTSVTSYALGIFMISKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSKNKLLEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLEADTAWHPVWEEEFQSSPRLRKAIMFGYGPFRPWMSIGHWLIWHFDLKNFRPNEVERVKISLACVFAFMAIGWPLIIYKTGIIGWIKYWLMPWLGYHFWMSTFTMVHHTAPHIPFKSAKEWNAAQAQLNGTVHCDYPRWIEILCHDINVHIPHHVSSRIPSYNLRAAHKSIQENWGPYLNEATWNWRLMKTIMTICHVYNKEKNYIGFDEIAPEEASPITFLKRVMPDYA >OMO61753 pep supercontig:CCACVL1_1.0:contig13418:97165:97224:-1 gene:CCACVL1_23285 transcript:OMO61753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIILNASKSKEIVDRPKR >OMO61751 pep supercontig:CCACVL1_1.0:contig13418:93197:94510:1 gene:CCACVL1_23283 transcript:OMO61751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor IF5A MATSLSRAVLASGYSLFSCLVSGNLTCLVVEVSTAKTGKHGHAKCHFVAIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGSTKDDLKLPTDDNLLSQIKDGFAEGKDLVVTVMSAMGEEQICALKDIGPKN >OMO61748 pep supercontig:CCACVL1_1.0:contig13418:67174:68511:-1 gene:CCACVL1_23280 transcript:OMO61748 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MGIQKDIVKFNVGGRIFQTTATTLANAGRDSFFGALFDDNWDLHQQQPNNRQELFIDRNPDCFAILLDLLRTGDLYIPSHVPERLLYREAMFYGLIDHVRSAKWGPFDGNRLKLSKTVTGRAPGDGTAIRAGPDGGCCVAHGSMVHVFDWMLEEHPPINLDYQRVNDIGWVNAENVLISACERLGREDGGMGLFNSSTGDLRYKFNVVHDNQVKSYTAGALSFSPDYKIFASCKGRSNEYGIGVWDQVTGKQIDFFYESPGWSLGDADKLQWLNGSNCLLVATLFPRKDNCYISLLDFRQKNMVWSWSDIGAPLTVDEKRVRDAIAMEESNSVCVVNEYEDLGFIDLRFSGGSVRWSSRSRLMKGGKMPDEPCYPKLALHNGQLFSSMNDCISVFCGPDWVLTSRLRRSYGGSICDFSIGGDRLFALHSEENVFDIWETPPPPVM >OMO61741 pep supercontig:CCACVL1_1.0:contig13418:10285:11240:-1 gene:CCACVL1_23272 transcript:OMO61741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase, subunit VIb MALETYASGKRDEVHTDVLFKARQACYKSRDEFYACLDKQSDKKPTEMGFAGLLYPVECQSSRNEFVKNCRTSWVKHFDRQYCKTKSLKRLLDDKESRRAV >OMO61752 pep supercontig:CCACVL1_1.0:contig13418:95049:96131:-1 gene:CCACVL1_23284 transcript:OMO61752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MDEEEANSPSGNSNSSSFSLLEWVSKLQTKAWETYKSKPISHWILLLLSTLGMLVAFPASSLLSRVYFANGGTSKWIISWVAVAGWPIPALILFPTYLFFKTSPTPLNLYLFLSYVFLGFLSAADNLMYAYAYAYLPASTAALLGSSSLVFSSLFGFFIVKNKLNASMINAIVIITAAMTIIALDSDSDRFPYVTDSQYAWGFVWDILGSALHGLIFALSELVFVKLLGRRSFHVVLEQQVMVSFFAFVFTTIGVVVNNDFQGMVSEAKTFKGGETAYQMVLIWGVITFQLGVLGATAVLFLASTVMAGVLNAVRVPLTSIAAVILLHDPMSGFKILSLIITFWGFASYIYGTSDWNKSS >OMO61743 pep supercontig:CCACVL1_1.0:contig13418:25098:26396:-1 gene:CCACVL1_23275 transcript:OMO61743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKTSKTENPSLLHGKYELGRMLGHGTFAKVYHARNIQTGKSVAMKVVGKEKVIKVGMMEQIKREISVMKMVKHPNIVELHEVMASKSKIYFAMDLVRGGELFSKIAKGRLKEDLARVYFQQLVSAIDFCHSRGVYHRDLKPENLLLDEDGNLKVTDFGLSAFSEHLKQDGLLHTTCGTPAYVAPEVIGKKGYDGAKADIWSCGVILYVLLAGFLPFQDDNLVAMYRKIYRGDFKCPPWFSPEARRLITKLLDPNPSTRITISKIMDSSWFKKSLPKSVRSKQESEFEALNFEKASKPETLNAFHIISLSEGFDLSPLFEEKKREEKEELRFATTRPACSVISRLEEVAKSGKFSVKKSESRVRLQGQECGRKGKLAIAADIFAVTPSFLVVEVKKDHGDTLEYNQFCSKELRPALKDIVWTSPADNSTLA >OMO61757 pep supercontig:CCACVL1_1.0:contig13418:125068:130506:-1 gene:CCACVL1_23289 transcript:OMO61757 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-box binding, MFMR MPSNGTPPHPYDAMYPHGRIYAHPSIPQGSYPFSPFVMPSPNGIVGASGNTPGIIEKDGKTSQLKEKLPIQRSKGSLNMITGKKNNPENIKECLCLTEEFRQLPKDHRAREDRLEFEELGFELRFCLLFYGLENVGKDAFSVKAEKKRQRERMAEIEKVKKRKEERALEKAQHEKEMALLGRERARAEFQDWEKKEEEVVVVVVVNRVEKCDPFSVLFFSGIVLMDFYLKEFPWNIHHNPEFFSDPHDVDPSIFEHWDVFELEDLEMGTSRMLAGFMDLAFPSTEFCPLLMKPSNLAVQLSGTFQVDDCAVEKCSAEALEGYAAF >OMO61740 pep supercontig:CCACVL1_1.0:contig13418:1866:4585:1 gene:CCACVL1_23271 transcript:OMO61740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLLNPTQQIPLQDLQNNGNSNGHQQIQNPQSSFDPTSSHDDFLEQMLSTLPSCSWSDLKSPWDPPKSDETPDNNNVGFHYDEMLASKLRQHQINGGGGGGSSSPSATAAAMKMMMQQQMLLAGRAAAAAGGGGGGGLTMPLSLGSSPRQNDIVDGSSFKSPNHQGGGDGAVQVMFNGFGAGSLHGANQSSNQTQHFHHPQGGAMQAQNFGAAAAAAAAGTVMNQSQASGSTGGTPAQPKQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMPSEGGGDCIQTSANGGGSLQRNSNGNQTSANDTLTVTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHSRNPMINNANPNNGNSHPLLQTSGEGPSSPSMSVLTVQSATMGNGGVDGSVKDAASVSKP >OMO61756 pep supercontig:CCACVL1_1.0:contig13418:117955:121509:1 gene:CCACVL1_23288 transcript:OMO61756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASIVASLATSLLASSVSHSRPYSSLFFTKLRSPSGLRFSFAVAPLCSRRARFMAHTIARATLGLTQPANLEHPKISFAAKEIDVAEWKGDILTVGVTEKDMTKDENSKFQNSILKKIDGLLGGLLAEASSEEDFAGKAGQSTVLRLPGLGTKRVGLIGLGQSVSSPAAFRSLGEAVAAAAKTAQASSVAVVLASSESLSNDSKLNTASAIASGTVLGIYEDNRYKSESKKPQLIKSVDILGLGTGPELEKKLKYAEDVSSAIIFGRELVNSPANVLTPAALAAEASKIASSCSDVITANILTEEQCKELKMGSYLGVAAASANPPHFIHLCYKPPSGPIKAKLALVGKGLTFDSGGYNIKTGPGCSIEIMKTDMGGSAAVLGAAKALGQIKPPGVEVHFIVASCENMISGTGMRPGDIITAANGKTIEVNNTDAEGRLTLADALIYACNQGVDKVIDLATLTGACIVALGPSIAGVFTPSDDLAKEVFQASETSGEKFWRMPLEESYWESMKSGVADMVNTGGRQGGAITAALFLKQFVDEKVQWMHIDLAGPVWNDKKRVATGFGISTLVEWVLKNSS >OMO61750 pep supercontig:CCACVL1_1.0:contig13418:86556:89003:1 gene:CCACVL1_23282 transcript:OMO61750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNSSSYNFSASVCSWEGVHCDSKKENVIGLKASGLGLSGFIPDTTIGKLTQLQSLDLSNNKITALPSDLWSLGSLKSLNLSSNEISGPLPNNIGNFGLLEVIDLSGNNFSGDIPTAISSLVNLHVLKLDGNGFQGSIPTGILSCKSLVLLDLSSNQLTGSLPDGFAAAFPEMKTLNLARNEISGRDTDFSGMESLTSLNISRNLFKGSVTGVFQGQLQVIDLSKNQFQGHFSQVQFNSTYKWSHLVYLDLSENQLSGEIFQNLSQAENLRHLNLACNRFTRQKFPRIEMLLDLDYLNLSKTSLIGHIPGEISQLSNLQTLDISSNHLTGQIPSLAIRSLKIIDVSQNNLSGEIPFALVDKLPSMERYNFSYNNLTLCASGFSPETLKIAFSGSLNSCPIAANPVLFKRRANKHRGFKLALALTLSMVCLLAGLLFLAFGCRRKSRTWVVKQPSYKEEQTISGPFSFQTDSTTWVADVKQATSVPVVIFEKPLLNITFADLLAATSNFDRGTLLAEGKFGPVYRGFLPGGIHVAVKVLVHGSTLTEQEAARELEHLGRIKHPNLVPLTGYCLAGDQRIAIYDYMENGNLQHLLYDLPLGVQATEDWSTDTWEEDNNGIQNVGSEGLLTTWAFRHKIALGTARALAFLHHGCSPPIIHRDVKASSVYLDYNLEPRLSDFGLAKVFGTGLEDEIARGSPGYVPPEFSQPECDAPTPKSDVYCFGVVLFELITGKKPIGDDYPDEQAANLVSWVRGLVRKNQGSKAIDPKIRDTGPDYQMEEALKIGYLCTADLPTKRPSMQQIVGLLKDIEPRAPQ >OMO61755 pep supercontig:CCACVL1_1.0:contig13418:104386:116072:-1 gene:CCACVL1_23287 transcript:OMO61755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGANLKSETMKLMDKRSGMETEMNAIIEQLCQPGGPGLSGNLVDSEGFPRADIDIPAVRAQRHRLAELKNDHQEITEKINVNIQILHSSRLTSTPKYSGAHGGSTNQNASDIGAAASASLQNLVLKGSPSASDVDMISSIPFAMVDEIADASPAAEDGLQLGDQIVQFGNVKAGDNLLQKLSSEAQMNQGQPVPVIVMRQGALVDLTVTPRTWQGRGLLGAPRLRSMNVADSDARPVLGPAGNKAGSFSARKPASKPLRKAEKSPVEVTVAEDKKALPSSTLNSLSPKSHSVSVPSVLRRHEQLLHSNLSLNASCSSDASTDSFHSRASTGRLIRSNSVGSRRKSYASKPRSVVSDGGSDSPPDGAHRKKRCAWVTPNTDPSYAAFHDEEWGVPVHDDKKLFELLVLSGALSELTWPAILSKRHIFREVFVDFDPVAVSKLNEKKLIAPGSVASSLLSELRLRAIIENACQISKVIDEFGSFDRYIWSFVNNKPIVSKFKYPRQVPVKTPKADVISKDLVRRGFRSVGPTIIYSFMQVAGLTNDHLTGCFRFQECVAAAEGKEENGIKDTTEEKKADNMIESELSIAIDELSFSSE >OMO61749 pep supercontig:CCACVL1_1.0:contig13418:75843:81040:1 gene:CCACVL1_23281 transcript:OMO61749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MMEATVTSGSEMTIEAAAEGTMDLGNGSLAPASLKRKIVFHPARKPFDGFKNCGDGDFRIETLNPGPDPKRVNGVGTDQAGIAGRKADGSDVWENGLDPVLSLRISFRKIGAGLENLGNTCFLNSVLQCLTYTEPLVAYLQSGRHRNSCRIAGFCALCAMQKHVSRALESTGRSLAPNDLVSNLRCISRNFRNSRQEDAHEYMVNLLESMHKCCLPSGVPSESPSAYEKSLVHKIFGGRLRSQVKCMQCHSCSNKFDPFLDLSLEIVKADSLQKALKNFTAAELLDGGERQYQCERCKQKVKAIKQLTVSKAPHVLTIHLKRFRAHDFGQKIDRKIEFGPTLDMKPFVSGSNEGDLKYTLYGVLVHYGWSTHSGHYYCFVRTSSGMWYSLDDNRVVQVSEKTVLDQKAYMLFYVRDRRNPAPRKPIDVLQKDNLKANMNGKPVLNQNPKKQVQNGPVENKLCATGTSAGMTNRDTVNGGLSKETIKMEVPSQRNNVQLVAESSVLSQESVLPSSNVPVLKNSSQAAASNLQPSAHSVVVTGDSSNIENSTVTTCAKASNCNEGGNSNKDVGVPETISLNCGGLQNSASDNLGDIDRLQKINLPSNNEVSNSDPVKDCIDIAVKKAPAEVPSSSKTDKTSKNVVPIRSPSRPNCDTSQVKDVLNNSTSVKSLSKKLDDGAKKSILEIPSSLPNGSLETEDADSTPCRKSKKKLLKRQLKNMHISKFFRTSLHIHSKKKHKRSKKRTSNGHILAKELLLDKDCLSSDLGPSTSEKSSTVSLGSSRHGRKKPANDTAPKDFSNSAVSVMNTIQGEFKDRTYQNGTVLATDQQVERDSGTVSEANRHDSRVTDSWMDSKSDATRIRHVPTQVLGETTVARWDDVDIDSPAQTIPEDCTESVAIGYVLNEWDEEYDQGKRKKIRHHKHNFGGPNPFQQIATQKAQLKKAKLDRSSSGNRPFRI >OMO70965 pep supercontig:CCACVL1_1.0:contig11766:197:5878:-1 gene:CCACVL1_18544 transcript:OMO70965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAETSQLVQHIESFVDTSRSPAQQAASLNAVASLLKNNLLTMEKLVREMEGYLTTTDDVIRARGILLLGEVLGHLASKPLDAAAVHSLIGFFTDRLADWRALRGAVIGCLALLRRKSNVGMVSESDAKAVAESYIQNLQVQSLGQYDRKLCFELLECLLERYPTAIASLGDDLIYGICEAVDGEKDPYCLMLTFHIIEILPRLFPDPSGPLASFANDLFDILGCYFPIHFTHPKDTDVSIKRDDLARALMLAFSSTPLYEPFAIPLLLEKLSSSLQSAKVDSLRYLSDCVVKYGVDRVAEHGDAIWSSLKDAVFTSADSVLSFTPESIEAQGLPENEIAAEALSVLQKLIVQNTSLFLDLIVADSDINAIFNTISSYKSYHEIPSQSKQRLHAVGHILSISTKASTASCNRIFECFFSQLMDILGLSSRHSSANPCPDENMMILKKCNHGALYLTIELLSACRDLIASSETIIAASDHIEEKWSYMLQSFSSSLMKAFCSASIPTGQDTHDAEKYFGVKGLLILSTFPGGYLLIPKTVFEKILVTFVSIVTENYSSMLLWKLTLKALVQIGSFIERCHEAEREPSYMGIVVEKVVALSSLADSSIPFPLRLEALSDIGRSGQNYMLKVIQGLEEAIYANLLE >OMO49787 pep supercontig:CCACVL1_1.0:contig16400:16931:17980:1 gene:CCACVL1_30806 transcript:OMO49787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIRKGKKKARVMKGKENIRDWGELPDNIVDTISERLLLSDCISMSRVCNSWRNVLKQSFPNSNKKPRGLPWLVMSEQQENIFRSCYSVLEQGNNNKKLRKLKLPEAYGKYFWGSFQDWLIAVDQVIKYGNLMISLLNPFTRVQVNLPQVFQCYHKLVLSGSPNSDQTIYFLLGDYYGINIALWFPGSDRWCNCKVENIKDVFFRDSIFFNGYFYLLTEDYNIHLLDAAKARSEIMKQGSSAATITTQIFELEKPENIPERGFVIRNLVESSGRILLVCRFFRYLELEDFEGNENYDFKVYRLEHQGENMAWKELGHLGDQVLFMGRNCCGSFSTKDLGDEFANCVFH >OMO49785 pep supercontig:CCACVL1_1.0:contig16400:7881:8985:-1 gene:CCACVL1_30804 transcript:OMO49785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWLLMKRTKSPCKDDDDHPSGFDRVQPVLPIPGTPFPEASLSSPAEDDDDLSENLDDHSLLQMHTSQSDNRRSLELEASENVNPQTLEPRHNTL >OMO49784 pep supercontig:CCACVL1_1.0:contig16400:5192:7351:1 gene:CCACVL1_30803 transcript:OMO49784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNVSLFFNPFLLVLLLSHLLNSNLASLSFRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKVIHDAMLEYQLPEILRTPLQELCLHIKSLQLGTVGTFLAKALQPPDPLAVQNAIELLKTIGALDDAEELTPLGRHLCTLPVDPNIGKMLLMGAIFQCLNPALTIAAALAHRNPFVLPINSKEEADAAKRSFAGDSCSDHIALLKAFEGYRDAKRNGRERAYCWENFLSPVTLQMMDDMRNQFIDLLSDIGFVDKSRGASAYNQYNHDLEMVCAILCAGLYPNVVQCKKRGKRTAFYTKEVGKVDIHPASVNAGVHLFPLPYLVYSEKVKTTSIFIRDSTNISDYALLLFGGNLIPSKTGEGIEMLGGYLHFSAPKSVIDLIRKLRGELDKLLNRKVEEPGFDISVEGKGVVSAVVELLHSQNVRY >OMO49783 pep supercontig:CCACVL1_1.0:contig16400:2088:3221:-1 gene:CCACVL1_30802 transcript:OMO49783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITKVFEATDDKSKGEKVKAVWDRRLTIIFCDLCIKEISNGNRPGTHFKREGWLRLVSRFEKNAGVFYSQKQLKNRWDLLKKEWKLWKRLLGTYTELGWDPIKNTIDASEDWWDERLKVVPDASKFKLGGIDPELEGKLQQMFNGIAATGNNALAPSSRVQPINLADDDHEQLEVLFEGGSSADVQTTYEEANGFLNSGNGNGNDQEPKFPVNQDHVQLPRRRKRFIEQDFSQCKNGKKSSTKQVGGAAKLSSQIEKLCAAADTMSQATSQATTDADPYGIPTAIKVLDSLSEEVPKSSQLYFFSLKLMVNKEKRIVFLSISPEIRVWWLKAEMEESSKFSSLIGP >OMO49786 pep supercontig:CCACVL1_1.0:contig16400:11228:13165:1 gene:CCACVL1_30805 transcript:OMO49786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSLLGPPELHRPTPPSKPQSQTPSDPFMDLMVSNFNEANIKPVKPPMGYTENHSATYLSSGNPCLDFFFHVVPDTPPESLKERLRLAWKHNPLTTLKLICNLRGVRGTGKSDKEGFFTAAFWLHQNHPKTLACNLDSLAEFGCFKDLPEMLYRLLEGQDIRKTRKEEWNQRKRGVKRRISIRRPSIFNRHDSQRKSQKKKKVAKEPKEVRILNSLERNRIEKEKASALRKEKKIAMAKKAIERYSRDPDFRFFYERITDVFAECLKSDIESMNSGQLRKIGLASKWCPSVDSAFDKSTLLCEGVAKKMFPRENYPEYESVEEAHYAYRVRDRLRKEVLVPLHKVLQLPEVYIGANRWDLIPYNRVASVAMKFYKEKFLEHDKERFSKYLEDVKAGKSTIAAGALLPHEIIASLNDSDGGEVAELQWERMVNDLLQKGKLRNCMAICDVSGSMSGTPMEVSVALGVLVSELSEEPWKGKLITFSANPQLQMVKGKNLREKTNFVRRMDWGMNTNFQKVFDLILVVAVKGKLKPEQMIKRLFVFSDMEFDQASTSRRWETDYQVIVRKFTEKGYGESVPQIVFWNLRDSKATPVPGTQNGVALVSGFSKNLLKMFLDQDGDINPEAVMEAAISGEEYQKLVVLD >OMO86864 pep supercontig:CCACVL1_1.0:contig09398:4259:5041:1 gene:CCACVL1_09412 transcript:OMO86864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGENKARTIKLFCPSASKLVPMVAWDAQKLDLGSIARAFGLDPSTVKLNGHFISRGKDLVSSSVTWRSLLSFFSSKGLSTGTESAALIVDGKLCKLGTKMWENPIFVVSLLMKREKFSGAHEPFQDGVGNTRKLQHDNLDSIKKSSKKLRNSSSDAGIGSKWSSLALGYKSKRRSFLMDMEDVSLLKRLKE >OMO86866 pep supercontig:CCACVL1_1.0:contig09398:22855:23034:1 gene:CCACVL1_09414 transcript:OMO86866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVTIMVCVLALRVSPVVTTNSFHLSIREGILLWNSFDSLVKELLLFSLRADLLKLDF >OMO86868 pep supercontig:CCACVL1_1.0:contig09398:25500:31838:-1 gene:CCACVL1_09416 transcript:OMO86868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKPGLKTCTHAKWRGHKGKQRIILPENKKKMMIIMKWSSRRFQT >OMO86867 pep supercontig:CCACVL1_1.0:contig09398:23558:24374:-1 gene:CCACVL1_09415 transcript:OMO86867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVARNQGPPVADPKKIKDAHKGGKVSSEKGRSKQSMAVDAKLFKISKKKVQDAKSFTSQDKAKWKSILKKRQEKKYPFLDSSVASMLDELLKSKVIEPPEMKRPEESDKCFKYSRNGQVKTVVADSKPFSSVESYYVDTKFYLSDTENEEVKCHSPRKPADGERKSHTPEKFD >OMO86863 pep supercontig:CCACVL1_1.0:contig09398:677:3296:-1 gene:CCACVL1_09411 transcript:OMO86863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQQEAEIPVMEVQMKLLGELEALGFPRARAVRALHHSGNTTLEAAVNWLIDHENDADIDQMPLVAINMDIESPEPSDITEAIKIKEQELRNRVRIKKEEEEKKREREREKERIRAGKELLEAKRMAEENERQRLLALRKAEKEEEKRAREKVLKKLEVDKIERKRALGLPLESGAVKPSRPVIEEKKNSLAVKRIKKDERMRECLVSLKQTYKDDNGRVRRAFETLLIYVGNVATNPDEEKLRKIRIGNPKFQERVGRVQGGIEFLELCGFERRTSEGKELLVIPRDKVDIPLLNSAASLLQSAITNPFFALLQHTH >OMO86865 pep supercontig:CCACVL1_1.0:contig09398:5734:9946:-1 gene:CCACVL1_09413 transcript:OMO86865 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase component PIG-T MGFLQRPFVVLLLILTYQLLQCLVAFGSVNEGDQKQEQFSEELLLKPLPDRKVLAHFHFQSSAPPSAANGRHHHLFPKAISQLIQKFQVKEMELSFTHGRWNYESWGGFDPIASSNAKPPGVELWAVFDVAQDQIDASWKNLTHALSGLFCASINFLESTTTYSSPEWSFPPASGNLRYGTLPREAVCTENLTPWLKLLPCRDKAGLSVLLDRPSIYRGYYHSQRLHLTARGSGSKEMDSGIVLDQTLTVVLQPNSRRAGMVHASENHIQPSWSLSSMFGKQVIGKCILAKSSNVYLQLDSSLVSPTNSITSENFWTNPSFELSANPDSLLIEENSLHSKGLSVLYKFQVENYSESEPFDLGFTWKNPVIWSCQQAPLHASRFLMGSGNERGAIAIFLKSKQLNEGFIGFNADNERCELRVDVFQVVPWYVKVYFHSLQVFVDQQPKAVSDVIEKIRVSPSKDKVSPGMMEIVLKLPCRVKSAALTIDFDKGFLHIDEYPPDANQGFDIPSAIISFPDFHASMDFPEDDSMNKSSLLSKFKEKSPVMSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNVLRRRVAEEERFLKEKAANKTGGLSMMLSKLSAKLRGRPWEPPQSVQHLSSFINSKLVFKVILVAGLAVGWQYFFS >OMO65735 pep supercontig:CCACVL1_1.0:contig12622:96401:97298:1 gene:CCACVL1_21414 transcript:OMO65735 gene_biotype:protein_coding transcript_biotype:protein_coding description:mechanosensitive ion channel protein 8-like protein MEGIVIEEDQGDQGREQEQLLENDNQDAVIEGLMAEETKQGEMSKKIAMIHGSICWLLALSLPLSIWLPKWHTLSLCDLAIGKWHALILLIVFGKIAFRRILEQVALFMRKKLCNKRRWLYYFQETSAAIENCLWLISLYSLWNIVFDHRLRRGVSLKYFVFINKVLIGLVVSSILWVIKVNILKIVAFNYYSRLLSLRVRDMEFSATMISQMASEVDSFGSVRNEIDAKELARKIIQNLSNNSSRYIHLEDLLPFEC >OMO65740 pep supercontig:CCACVL1_1.0:contig12622:137576:139018:1 gene:CCACVL1_21420 transcript:OMO65740 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAEEESRKNIVMFPFMAHGHLNPFMSLARLLEQRKGYKITIVNTPLNIQKLKSSLPCKTNIQLAEMPFDGTLYGLPPNSENTDKLSYEFIVRLMEASETLEIPFKNLIQNMAKKEFPVCIISDMFLGWTVNVAKELGIFHAVFIAGAAYSMGIYFSLSLNPNQAGTEEEEFSLLDFPEAGKLHHSQLGNDLNFCFPFRERQFLFCFKSDAILLNSIEELEQMDAKYFTRKTGGKPVWMVGPACSMAVTKGLSSDFDEISAWLEVNPRDSVLPPLGFTMTEESQVDEWLPDGFQERINKSNQGILVRQWAPQVEILAHKSTGAFLSHCGWNSVLESLYHGVPIIGWPLAGEQFFNSQLLEKEVGVCVEVARGLQTAVVQQDHVAKTINLVMGKTVKGEEMRRNVSDIQRKMEDAILERDDYKGSSVKAMDDFLRRTEIWAHKNQTIE >OMO65736 pep supercontig:CCACVL1_1.0:contig12622:99390:102193:1 gene:CCACVL1_21415 transcript:OMO65736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEVSSLKKIKVTKEGSKNNYWKMTIKMLSLKV >OMO65739 pep supercontig:CCACVL1_1.0:contig12622:129707:136302:1 gene:CCACVL1_21419 transcript:OMO65739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetracycline resistance protein, TetA/multidrug resistance protein MdtG MAEKKLTALSHLFVTYFMSNFASFIVYPAITDVTMSALCPATDECSLAIYLSGFQQAIIGLGTTLMMPIIGNLSDQYGRKALLTIPMTLSIIPLAVLACNRTTSYYYVYYVLKIITSMVCDGSINCLALAYLADNISENQRASAFGILSGVSSASFVCGTLAARFLSAASIFQVGTCVSMVAVVYMRIFLKESIPDDQVESSTLTQPILKEGEDTIPKIGNAPIKKPIFKKIPSLGDIICLFKSSPSFTKTAIVAFFNYLAEGGTSASTMYYLKASFHFNKNQYADLMLITGLVGTVSQLFLMPLLVSPIGDRRLLSIGLLFGFANAFLYSIAWAAWVPYAATALTAVSVFSFPSIQSIASKQVGPSEQGKAQGCIQGISSLSNVIAPLIFSPLTAYFLSEDAPIRFPGFSMMCIAIILIIGFIQSLMIESSPSSSSDKNSSNCMEV >OMO65738 pep supercontig:CCACVL1_1.0:contig12622:119705:120055:-1 gene:CCACVL1_21417 transcript:OMO65738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRSSPKREFKQLRSRNQKILRSNLLEAIAQANNAGNVVSQIGEDGVLRMKIMVKKQDLKQMLGLINGGTANHQSPSSSVEERLNMLRRKHLLRSNAVKKSRRSWSPQLQSIPEE >OMO65733 pep supercontig:CCACVL1_1.0:contig12622:38403:48971:-1 gene:CCACVL1_21412 transcript:OMO65733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class V/Cysteine desulfurase MEEKEEFLKEFGDYYGYPNGPKTIDEIRATEFKRLQDTVYLDHAGATLYSELQMEAIFKDLTTSVYGNPHSQSDSSSATSEIVREARQQVLSYCNASPKDYKCIFTSGATAALKLIGENFPWSSQSSFMYTMENHNSVLGIREYALSQGATAFAVDVREAVHQDGASKSPVTSVKITQHPVQRRSEAGMLEGELTGDAYNLFAFPSECNFSGSRFNLELVKIVKQNAEKFLEGSPYSKGRWMVLIDAAKGCATQPPDLSLYPADFVVISFYKLFGYPTGLGALIIRNDSAKLLKKTYFSGGTVAASIADIDFVRKREGIEEHFEDGTISFLSIASILHGFKILNSLTVSAMCRHMTSLAMFLKKKLLALQHENGVRVCTIYGNHNLKASCRGSSSIVSFNLKRRDDSWFGYREVEKLASLSGIQLRTGCFCNPGACAKYLGLSHLDLLSNLEAGHICWDDNDVINGKPTGAVRVSFGYMSTYEDAKIFIDFIRSSFVSMPSEFEKVYLVRSKSNPYTDEGFENQLSSSGCYLKSITIYPIKSCAGFSVESWPLSSTGLQYDREWLLKSLTGEILTQKKVPEMSLISTFINLNQQMLFVDSPRCKGKLQIKLDSDSYTCGKEEIYLHTQRYEVQCYENEINQWFSNAVGQPCTLVRCGDSQHFCSLSKDRSTGMCRDKDSKVNFANEAQFLLISEESVSDLNNRLCSNTEKRSGGAPLYVNPMRFRPNLVISGGKPYSEDGWRNLKIGNAHFTSLGGCNRCQMINFYHQTGRVLKTKEPLATLASYRRVKGKILFGILLRYDSSGNKTGLYTDSWLKVGEEIYISE >OMO65731 pep supercontig:CCACVL1_1.0:contig12622:24099:24671:1 gene:CCACVL1_21410 transcript:OMO65731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MKTGNQSSQRKRNIKCWACIVAGVIAQTIIILLIVLLVLRIRNPKVRLGGVTVENLKVSSSSSSPSFSMNLNALVTVKNTNFGHYKFKNTTLTISYKGTPVGEATIIQARARARSTKKFNVTISISSNNKVPRNSLSSDIDSGVISLSSHATLEGKVHLFKVIKKKKSAEMNCNMDVNTSLKQIQNVKCK >OMO65732 pep supercontig:CCACVL1_1.0:contig12622:30686:38062:1 gene:CCACVL1_21411 transcript:OMO65732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLQSLVLTGCIKMVDIHPSIRLLRRLKLLDLRDCRSLRSLPTKFETLSLETLILSGCTNLKRLPDFTMAPNLKHLISVGCVKIVDVHPSIGLLRSLKILNLRDCKSLRSLPTKIGMESLEILILSGCSNLERLPAQMDGKMKCLVELYLDGTSIGDLPSLIGHLSGLVLLYLKDCRNLASLPSSINGLQCLKTLNLSGCSKLENLPESFQHVESLEELDLSETAVRKPPSFTFQFKNLKNLSFSGWSWKEPPSKLRPNLPSLFKVKQRASVNSMALTLHPMSGLSSLTELNISYCNLGEGAIPSDICCLSSLETLDVRGNNFISLPANLNQLSKLQYLRLLDCKELKSLPELLTCTKIQSLKGNYSMVPLFLNATTLNSIDHWAATGLANCHRLAENTDLVALLKKHLKASANALVGLDIALPGSEIPEWFSHQREGYSIKIPLPPNIWNDSQWIGVAFCCVFVNLDSLNCGSFIRGRNSWIIRRPWGINLRRVSVSKDHIWLYYWSRKICQESYDLFSLEDKCGETGNLPSRLEYLSDQESDLEFDMSWCCASSKKLLEKLKLVNLEGSMNLSRTPDFTMAPNLETLILECCVNLVDVHPSIGLLRRLKLLNLRGCKSLSSLPTKIGMKSLETLILSGCSNLEWLPDQIDGKMECLVELHLDGTGLGHLSSAIGHLSGLVLLNLKDCRNLGCLPSSINGLKCLKTLNLSGCSQLEHLPESLQQLESLEELDLSGTAIIKPPSFIFQFKNLKHLSFHGCKAPPTKLQPNRPCLGSMNCMALILPPLSGLSSLTQLNISYCNLYEGAIPSDICSLSSLKRLDLRGNNFFSLPANLDRLSNLDYLGLTDCMELKSLPELLTSTLVPISNDCSFPVGLFANARACNLMDWAPASIWLTNCYRLAENTNVLTLLKKHLKVFAKARETLDIILPGSQIPDWFSHQSNESSIKIPLPHHLQSNSKWIGVAFCCVFVDVVGIDCKAFVHGRKSHEINGYGLYFGHGSSVTKDHLWLRYWSRNKLYSFALEDKCGETGHIQSLKYPTDEESDEFEVAVEVEVELSRSLSRFKKVKKCGVRLVYEKDLEELEQLLQICNSTCADESKTGEVLVKRKRNIYEEEAEPRESDRIRGPERFLSYIMRKKEHN >OMO65737 pep supercontig:CCACVL1_1.0:contig12622:113294:115492:1 gene:CCACVL1_21416 transcript:OMO65737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLYGTSATVARTAVVQLVYVHGNEWEHIENDNYNVVLEYIEQKMKEHDQNAKVKKEKFGDEEVDKVLEIRPKGRHNKEGDQASTSRNFHQIEANGLNATIQKQVIVLVSDDELDEENLVQKKRHMTEEPVQPCPSKRPKNGEHIESGDKQPENIVIFDLPAINEDLSSEILCEFGSSAGNGTNVKVKGSMLESKPQYERGECSNKSETSEIDIVSSDNGAFRIYLEYNSSRKANLDKPDIKTVIKEVENEYRKVFGITDPAFSAVKLIEDICKRFAETATDDDKSEYITPIPNDQENAMFGNVSELSNSSKLKGIMKHTTYMQDITQGRENIQIPLLNDKDDMVLPTFTYISKNMAFKDANVTFSLARISDDNCCSDCLLLSELPCACAAESRGEFAYTHEGLLKESFLDEAAAMCQSPQKHQLYYCKNCPLENRYKKNKRKRPCKGHLMRKFIKECWNRCGCNKKKCSNHIVQWGITATLQVFITVEGKGWGLRTVKALRKGEFVCEYVGEIVTNQELHLRNESRSDNEEHTYPILLDADWG >OMO65729 pep supercontig:CCACVL1_1.0:contig12622:5328:8433:-1 gene:CCACVL1_21408 transcript:OMO65729 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MNQLHGQDYGRAKMDEDDVPLPGFRFHPTDEELLSFYLQRKVNKKPIKIKLIEEIDIYKYDPWDLPKPSMEGESESYFYCKRGRKYKNSIRPNRVTGSGFWKATGIDKPVYSRGGDGHECIGLKKTLVYYRGSAGKGTKTDWMMHEFRLPSHEDNPKFPIQEAEVWTICRIFKRNVSHKKYIMPNSTNYKTRSQSKNDDEIYISFGAPILQHHDDNYEKQVIVNHDQINGMMRSQYWHAAAADELSPIARPQPTTTDHQPPLIGPSSSTFSSNPENDFLTYANWEELRSVVEYSLHDPFDLLGGEAEELVDQENGQAQSGLDHVQESNSTLVRICEQCNYGSLQGRCVVCGGVGISEAYYCKECTQLEKDRDGCPRIINLGSSRKDLYYERKKYGFKKR >OMO65734 pep supercontig:CCACVL1_1.0:contig12622:92746:93234:1 gene:CCACVL1_21413 transcript:OMO65734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPIIKDPNKEITDLNLDQPSINSHSPTFLLHNGFNSSELSDIEMIALQSVSYTSLKDLLPTSPPTITSPTHNSSWHEIPIKNPLVKHAALAYLQPMSSPPEVGERGLFGRLKDRCCGECGCVLWLYDVVFRNIKEAFWERREDGDDYYYDDDDEEREKVD >OMO65743 pep supercontig:CCACVL1_1.0:contig12622:151322:153495:-1 gene:CCACVL1_21423 transcript:OMO65743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MDLSAVSSALQTISGPLIQEVISLWGVKDEIESLERELKWMQSFLKDADAVKVADSEVIRTYVAEVRELAYDAEDVIETFALKVASKRKGGVSDFLRRSACCLKDGCLLRQVKSEIGRITTRITELSRRLQTYDVKKIRDEGGPSSSNDQRRESRRPYPHIIEDNIVGLDNDIRNVVSSLVDEEHHDRRVVSICGMGGLGKTTLAKRVYNDNQIRSHFSHFAWVYVSQQCQKGKVWEDILSGLNRLNKEDRKKSNEELAEELFNILKEKKCLVILDDIWSIEAWDSIKPAFPTRDTSSKILLTSRNNEVVLHADRRGYLYELQCLNDEEIWELFQRIANPGLPLAIVVLGGLLATKSSLIEWQKVSKNVKRYLKGEGFVSMKHDDEENEGETLEDEAECYLIELMERYMIQAEERDVIGKTMITHHHSQSVRCQELLHTNTFQFSA >OMO65730 pep supercontig:CCACVL1_1.0:contig12622:18089:19720:1 gene:CCACVL1_21409 transcript:OMO65730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVEFEEVWTICRIFKRNVSQRKYTPDWRELAAGKRPSVATATSQTCSVESNSHENYISFGSTRSIVQNNNYYDEKPVINNHMNGSSQWHADQLSTTIAQPSSMASSSSFSNQPENDFFAHADWDELKSVVEFALDHPFLM >OMO65744 pep supercontig:CCACVL1_1.0:contig12622:163325:163714:1 gene:CCACVL1_21424 transcript:OMO65744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVNSTPPALKELNKYRLSPMDLAIVNGHLELVYFFLHADPGLVRLKGRGGLTPLHHAAKNGNIDVLVRFLITCPESIEDVTFEGKTVFHFAAEIKDETTSAEVIEILVGWLSREFATKTLIGKHFRI >OMO65745 pep supercontig:CCACVL1_1.0:contig12622:164983:165201:-1 gene:CCACVL1_21425 transcript:OMO65745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSHHEVVKVTSQATFGRRQLSAKMAARISPATTSNAFSASFESLFLRRLTDTVNLSSWNIPDFNKSTSPLKE >OMO65741 pep supercontig:CCACVL1_1.0:contig12622:139353:147348:-1 gene:CCACVL1_21421 transcript:OMO65741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRRARTSVDKAVVDVWQREVGELSTRNFAHRLAASEDLVLRLELDKKLEKHRGCVNTVNFNADGDILVSGSDDRRVILWDWETGHAKLSFQSGHVSNVFQAKFMPYTDDRSLVRHAQISERGVETKLLAKHQGRAHKLAIEPGSPHILYTCGEDGLVQHIDLRTGTATELFTCHPINDSRRYPLEVIGLNAIVIDPRNPNLFAIAGSDEYTRLYDIRKYKWDASTDFGQPTDHFCPPHLSDEHVGITGLAFSDQSELLVSYGGEFIYLFTRDMGLGPNPVPSSPLSACSEASEMGLDHSAASASAKEAMEAIPQVYKGHRNCETVKGVSFFGPKSEYVASGSDCGRIFIWKKKGGELVRVMEADKRTVNCIESHPHTMVLASSGIENDIKIWTPKAIDKAILPTNIEQFKPNSRGWMLPVIRPQDLMLRLFSMQRRGGSPERNGENSSAASRELLELIWTFNANSDASSDDGGDLSGKMRFRGGEDWTASFTAFDFVLRLELDKKLEKHRGCVNTLSFNADGDILVSGSDDRQVILWDWETGHAKLSFQSGHVNNVFQAKFMPYTDDRSLVTCALDGQVRHAQISERGVETKLLAKHQGRAYKLAIEPGSPHILYTCGEDRLVQHIDLRTGTATKLFTCHPINDSRRRLLHLIGLNAIAIDPRNPNLFAIAGFDEYTRLYDIRKYKWDASTDFGQPTDHFCPPHLIDEYVGITGLAFSDQSELLVSYGKECIYLFTQDMGLGPNPVPSSLLSACSEASEMGLDHSAATASAKEAIPQVYKGHRNFYTVKGVSFVGPKSVYVASGSDCGQIFIWEKKDGELVRVMEADKHVVNCIESHPHTMVLASSGIESNIKIWSPTAIDKAILPTNIEQFDPKSRSRMFPVSGPQDLMLRLSSLQRQGSSPDRNGENSSAVNSDASSDDGGDASGPEDFFS >OMO65742 pep supercontig:CCACVL1_1.0:contig12622:149920:150434:-1 gene:CCACVL1_21422 transcript:OMO65742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Disease resistance protein RPP13 MAYVSLKGTKLHEDPMATLEKLPNLRVLILRSAFTGNKMVCSAQGFPKLDSLIIEWLEELEEWKVEEGAMLPLRHLEISYCQNLEMLPEGLRFIATLQELKIKGNSQKLK >OMO72402 pep supercontig:CCACVL1_1.0:contig11449:51826:51963:1 gene:CCACVL1_17831 transcript:OMO72402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTKQKSAQEDPPKQQKEQQKKESSKERGKEKGGQISKKNYSKY >OMO72401 pep supercontig:CCACVL1_1.0:contig11449:44746:45711:-1 gene:CCACVL1_17830 transcript:OMO72401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARERRQLNLRLPLPDRSDRCHRFPLPLPPSAATTAAAASSVTIPAAELEKLGVLGHGNGGTVYKVQHKRTSKLYALKVVHGDGDQTIYRQVLRERDILRKTDSPYIVRCYETYEKPSGDVALLMEYMDAGTLDALLRNRGTFSERELAHITRQILKGLSYLHAHKIIHRDLKPSNLLVNKNMEVKIADFGVSKIMSRTLDPCNSYVGTCAYMSPERFDPNAYGGNYDGFLGDIWSLGLTLMELHLGHFPFLPPGQRPDWATLMCAICFGDPPTLPSGASDEFRSFLDCCLQKESSKRWSASRLLDHPFLVKYQGTDSC >OMO72400 pep supercontig:CCACVL1_1.0:contig11449:4616:5150:1 gene:CCACVL1_17829 transcript:OMO72400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPMVRTNPEPILKVGTNASWTTQRVTIFANQDANWCHGANTKLPTQLPVAESKY >OMO61512 pep supercontig:CCACVL1_1.0:contig13457:2847:3518:1 gene:CCACVL1_23459 transcript:OMO61512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MMRLYFLHGGKRVWFSSWLETGGWPIILFPIASAYLHRRWTQPQHGSKTKLFSIKPPLFMAAAAIGLLTGLDDYLYAYGVARLPISTVCLIIASQLAFTAGFAFLLVKQKFTSYSINAVFLLTIGAAVLALHTSSDRPANESNKEYVIGFLMTVAAAVLYGFILPLVELMYKKAKQELSYALVLEIQLVMCFFATAFCTVGMLINNDFKVNFSSDQEYTSNLS >OMP01949 pep supercontig:CCACVL1_1.0:contig06348:8602:8676:-1 gene:CCACVL1_02976 transcript:OMP01949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPPLKMAVPRWKPRKHPQRKNPKS >OMP01948 pep supercontig:CCACVL1_1.0:contig06348:1650:1799:-1 gene:CCACVL1_02975 transcript:OMP01948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLSLQQAKALEATVPRRKAQWLRLHADAAEREREPDMRRRTSINVYT >OMO52155 pep supercontig:CCACVL1_1.0:contig15587:48851:50386:1 gene:CCACVL1_29337 transcript:OMO52155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPMNPRILNFQRSHVTFGLYGGYGARRAQNYRGSYDWDRGYGYGKG >OMO52154 pep supercontig:CCACVL1_1.0:contig15587:35708:41770:-1 gene:CCACVL1_29336 transcript:OMO52154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAPKKNDNEGSSSKIVWTPSMDGVLLDALLGQYNLGNKVGSSFTSTAYANVVNELSKTFSISLEKSQVQGRWKILKAHFAEAWDIFNNTSLSGFSFDPEKEEKPKAKLYMNNPIPHYNKMVILYGKDRATEKRSATAKDMRKHLTSTASDDFTDFTNEVNPTMSRFSIQDARSFEVLIELARKQKKTVDKENDAGWAIKEAIDNVADAFRTSGDVVGKKPPIDATETFQLLVDLGFQPPLIHLIYSKLVMNADLLKAVVGCLMEMRKDYILSGVLGDLNGFGPAMMEPDALALTIKHCYKTTKNLQMVMDEERDGNGEDGGNETLEEEFEINTPTLPQEENNINVAEETVNLIESVARFGDYRRTQRKECYNLVRRMKTLLPFLDEIRYLDSPISDNAIVSLSKLKKQLRLAKKLLKSCNEGSKIYLALEGEATMIKFHAVYEKLSLILEELPFDELGISEEVKEQVELMQSQLYRAKRRTDTQDIELAVDLMVVSSKTDERNADIAIIERLAKKLDLHTVEDLKIESIAVKNLSRERGQNAESIQHIIELLNKFKNILGMEITNVLDDPVVPKTLVKSHSLRIPCEFLCPITLDIMSDPVIIASGQTYERKSIQTWFDSKHRTCPKTRQTLDHLLVAPNFALKNLISQWCEKNNFKLPKKEDPESSQGSSEDHKEEISSLVEQLSSTPLEVQRKAVKRIRLLSKENPGTRVLIAESGAIPPLVELLSYPDLRIQENAVTALLNLSIDEANKTLITNAEAIPAIIQVLQNGSVTSRENSAAALFSLSMLDENKVTIAQANGIPPLVDLLQNGTIRGKRDAASALFNLSLNQANKVRAIDAGIIQPLLELLEEKRVDMVNEALSIFYLLATHPEGRQEIGQLPFIKILVEIIQNGTPMNKECATSVLFELGSNNSSHILAALQFGVYEHLVEISQSGTNRAQRKATALLQLMSKSEQIP >OMO52152 pep supercontig:CCACVL1_1.0:contig15587:15170:18733:-1 gene:CCACVL1_29334 transcript:OMO52152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKHRPSSAFNSPFFTTNSGAPVWNNNSSLTVGPRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISNLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFTFLFDDLGVPQDYRHMEGSGVNTYTLINKAGKAHYVKFHWKPTCGVKCLLEEEAIKVGGANHSHATQDLYDSIAAGNYPEWKLFIQTIDPDHEDKFDFDPLDVTKTWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFCPAIVVPGVYYSDDKLLQTRIFSYSDTQRHRLGPNYLQLPANAPKCAHHNNHHEGFMNFMHRDEEINYFPSRYDPVRHAERFPIPPAVCTGKREKCIIEKENNFKQPGERYRSWEPDRQERFICRWVDALSDPRVTHEIRGIWISYWSQADKSLGQKLASRLNVRPSI >OMO52153 pep supercontig:CCACVL1_1.0:contig15587:23095:25557:-1 gene:CCACVL1_29335 transcript:OMO52153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MHQRIGGRQQYMLESPEFKVFKKRGIDPELNDMLWVMFGDTVATRKYAWTPSSGAVPGGASAENATPSECYRDSNENVSQEAAQEIDVESPEIGQKVMEVGQDNGKKKIPPKKTIGKRMTSQIDKLCDSMSSPRKAISTIVFPPPRYGVEEAMGALRAMEDEVPSMSYLYYFALELFHHQIKREIFLNLRHGERKWWLEKEYEKHQVNSRIYDMDVFYNLYDNLVRNEGQVELNEEDQQRFAIIVAQVEQDNYYHEMEDNALNCVQVHYDTYISRQPCMNSENTGEKWVREILQGHDDRCMIAFWMSKNIFGRLLHDLKTTYGLKDSRHVSAMEKKAKSLSILAHGKTTRPTRERYQRSGETVSRHFGDMLNIYARMAMDIIKPIEGQFDKVPDHIQNDSRYWPHFKDCIGAIDGTHIRATISPSEQIPYTGRKGITTQNIMAACDFNMCFIFAWPGWEGTTHDSRIFMQALRRQDVNFPRPPAGKYYLVDSGYPQINGFLGPYKGERYHISDFRRGRHPSGKEEIFNHAHSSLRSVIERTFGVWKKKWKILENIPRYSFEKQVLIVIATMVLHNYIRRNAGSNDGDFIEFENMPDVPTSQRRFDQGESNSQGDKKIKLLRESIAISLMNSRHRRSVE >OMP02445 pep supercontig:CCACVL1_1.0:contig06250:6594:6731:1 gene:CCACVL1_02800 transcript:OMP02445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKWQKRARFLRQIGDRRCGTGLEHGLLLALLRTVRLTYKAQLLL >OMO51069 pep supercontig:CCACVL1_1.0:contig15982:4166:4360:1 gene:CCACVL1_30031 transcript:OMO51069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFPSSPKLQHCSLSYHDLQPTKLKRTRHYQVAPKGLTQSKETPNRSKPTTNSQSSFFRWNSTQL >OMO63721 pep supercontig:CCACVL1_1.0:contig12911:35226:36296:-1 gene:CCACVL1_22333 transcript:OMO63721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGTPKSFPSPAPAPGPSSNQGCWLLSKKTCRNESLAACIDPSTIASEELLVLVKNDGEGLLEVNVSISHAEHIIQDKKINITGHQVEEVKLAAYHKGNSSILVDAGNLGCSIYIEPTASRGVFDYLALRSHIIPPNGIFLLFVTSLIFGTTWACYKLGKRVVQQGDGISYQQLEMGQGPDSPSVKEPDSPSVNNVEAAEGWERDWDGDWDELKSKAAKGSSVNGLTARTSKRDDQENNWDD >OMO63722 pep supercontig:CCACVL1_1.0:contig12911:37100:41330:1 gene:CCACVL1_22334 transcript:OMO63722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEEISLQNGLNVFQDDPLRAFNCGPTSAARPGPKTGELTGFIDDKMFSFPPSQPSEYRSSSIYAAASAAAAAASNDRRDPPNHHHRNWGTSAGGSGNPEKMGNGKAKHSFVGRSCRELVVKEGGSGVGQGVRENHSNNNYQNTVTIADPDGDIYYTQYLQGGEGSGGSGGGNGQKDIVVENGGGGCGFSGRKDVSFSSESGESLRAILSDPVTGAFMDDAMILPCGHSFSAAGIQQVLRMKACYTCSQSVSEESVSPNLSLRAAVQAFRREEELQFYRSPKRRRERCDQDKSSYGDSNIMDPPRGRGVQFPFAVTDRVIIKGNKRTPQRFVGREAVVTAQCLNGWYVVKTLDNAESVKLQYRSLAKVPDDPSQKPMSSKMGPNWLSA >OMO63724 pep supercontig:CCACVL1_1.0:contig12911:58653:60259:1 gene:CCACVL1_22336 transcript:OMO63724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MWNNKPVFRWIHGLMKQLDTEIACIRLGNTHVIPVISPELAREFLKKHDSIFASRPISMATEYASRGFLTTILVPFGDQWKKMRRMLVSHLTNPARLSWFLHKRTQEADNLVRFIYNQCINSKYNGFSNGSVIDLRLASRQYTGNVMRKILFGQRYFGKGKEDGGPGHEEEEHVESVFALLNHLYSFALSDYVTWLRPFDFEGHEKIVSEAMRVINGYHDLIIDDRVKQWRQGTGKEPEDLLDAFITAKDSNGKPALSIEEIKGQCVDRWVQEDDIPKLKYVVAAARESFRLHPIAAFNPPHVSTVDATVAGYFIPKGSHVLLSRLGLGRNPRVWENPLKFDPERHLKDGSKVDLTETELRFISFSTGRRGCPGVALGSEMTIMLLARLIQGFTWKVAPNELKIDISESENDLFLAKPLHAFAQPPLSPSVYSNLF >OMO63725 pep supercontig:CCACVL1_1.0:contig12911:83182:84965:1 gene:CCACVL1_22337 transcript:OMO63725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MSNSTISFQPHHLPWGSQSHDFYSFSAFLVSFHSTLVLVLLFAPLFLVKLRGFATNSCSSNQLANLPPGPTPWPVIGNLPEMWNNNKPVFRWIHGIMKQLDTEIACIRLGNTHVIPVISPELAREFLKTHDSIFASRPISMATEYASRGFLTTALVPLGDQWKKMRKMVVSHLISPAKLSRCLHKRTQEADNLVRFIYNQCINSKNNYALPNGSVIDLRLATRQYTGNVMRKIIFGKRYFGKGKEDGGPGQEEEEHVNFVFEVLNHLYSFALSDYVSWLRPLDLEGHRKMVSEALRIVNGYHDPIIDERVKQWKQGTRKEPEDLLDAFITAKDSNGKPALSVEEIKGQCMELMMAGVDNPANAAEWAMAEILNQPEILQKATMEIDTIVGKDRWVQEDDVPKLNYLKAAARESFRLHPIAPFNVPHVSTVDATVAGYFIPKGSHVLLSRLGLGRNPRVWENPLKFDPERHLKDGSKVDLTESELRFISFSTGRRGCPGVALGSEMTIMLLARLIQGFTWKVPPTESKIDLSESENDLFLAKPLHAFAQPRLSPSAYSNLC >OMO63723 pep supercontig:CCACVL1_1.0:contig12911:42140:51939:1 gene:CCACVL1_22335 transcript:OMO63723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEYSSRKFLTIAVVPWGDQWKKMRKVVNLRLATRQYSGNVIRKMMFNRRYFGKGRKDGGPGFEEEEHAPFKFQSGPADLNAG >OMO63718 pep supercontig:CCACVL1_1.0:contig12911:9101:13582:-1 gene:CCACVL1_22330 transcript:OMO63718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNANNDPKRKQLIEAFSGDVQKNDIKTLCSNILKEKSPKNSDEVMKWIDFAESFPTDPKACCGVLNELNAVLASKSVLLGNGFTPSGADVIVFSAIHDSVIGLSNSEKEKLPHVMRWMDYIQNKENLGALFEKILLEKPGFEPYIAKAAAKPEADSNAKKTVQSTKGADKSEAEVNAKKSDSGKKAKGDKEAVQEKKKAPETEATDKDKELSVSLLNIQVGLIRKAWKHPSADSLLVEEIDVGEAKLRQVVSGLAKYCSPDDLTNRLVVLITNVKPGKLRDVMSEGLVLCASNEDHTRVEPLLPPEGAKPGERVSFAGIDGKPEDVLNPKKKQLEKITPNLFTDEKGVATFKGIPFMTSAGPCTSSIPKASIK >OMO63719 pep supercontig:CCACVL1_1.0:contig12911:22531:26043:1 gene:CCACVL1_22331 transcript:OMO63719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEKRKMKLKSVLTLLLFWLVLLVVASFPARSLSPSLNDDVLGLIVFKADIQDPNQKLSTWNEDDDTPCNWFGVKCNPRSNRVTELNLDGLSLSGRIGRGLLQLTFLRKLSLAKNNLSGTISPNLAKLESLRIIDLSQNSLSGSIPDDFFKQCGSLRSISLANNRFSGKIPGSLGSCATLASINLSWNQFSGSLPGGIWGLSGLRSLDLSGNLLEGEIPKGIEALNNLRSIDLSKNRFSGQVPDGIGSCLLLRSVDLSMNLLSGSVPETMQKLSLCGYLNLSRNSFLGEVPVWIGEMKSLETLDFSMNKFSGQVPDSLGNLKFLKVLNFSANGLNGSLPASMENNVNLLALDFSQNLMTGDLPGWIFKAGLNQVSLSEKKLAANVNNPISTSPGTSLQKIQVLDLSHNSFSGEITSDIGVLSSLQLLNLSRNSLVGPIPRAVGELKALNVLDLSQNQLNGSIPVEIEGAYSLKDLRLNANFLEGKIPTSIENCTLLTTLIISQNNLSGPIPAEVGKLSNLENVDLSFNNLAGTLPKQLANLIHLLSFNISHNNLQGELPAGAFFNTISPTSVSGNPLLCGAVANKSCPAVLPKPIVLNPNSSSDSISGELPPNVGHKRIILSISALIAIGAAAVIVVGVIAITVLNLRVRSSTSRSAAALTLSAGDDFSHSPTTDANSGKLVMFSGEPDFSTGAHAPLNKDCELGRGGFGAVYRTVLRDGRSVAIKKLTVSSLVKSQDEFEREVKKLGKIRHPNLVALDGYYWTPSLQLLIYEFVSGGSLYKHLHEGAGGNYLSWNDRFSIILGTAKSLAHLHQSNIIHYNIKSSNVLIDGSGEPKVGDFGLARLLPMLDRYVLSSKIQSALGYMAPEFACRTVKITEKCDVYGFGVLVLEVVTGKRPVEYMEDDVVVLCDMVRGALEEGRVEECVDGRLQGKFPAEEAIPVMKLGLICTSQVPSNRPDMAEVVNILELIRCPSEGQEELG >OMO63717 pep supercontig:CCACVL1_1.0:contig12911:4614:5599:-1 gene:CCACVL1_22329 transcript:OMO63717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCGGCGGSSKDMAVDFGDEPHVLAVDDNLIDRKLVEKLLKNSSCKVTTAENGLRALEYLGLGNDQRNTLEGSVSKVNLIITDYCMPGMTGYELLKKIKESSVLREVPVVIMSSENSPTRITQCLEEGAQMFMLKPLKQSDVKQLKCHLMNCRS >OMO63720 pep supercontig:CCACVL1_1.0:contig12911:30668:33131:1 gene:CCACVL1_22332 transcript:OMO63720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRLSRKFCPRNVYFTLTVSPSSSRNLISSRNALNNCSGSFGFFNSSMGINQISPFSSVSRTPNLTKTPLSVRSYCSGNWSEDVEYLDESGSVIYSGKGVRSVEPGLDDHVMVGGLKKPILNASAVAKIVEVVKRWKWGPELENQLDKLQFVPNMTHIIQAMKVVKDSDASLSLFRWAKRQSCLPYKAFEIYESMQAAGCLLDGSTYELMIPSLAKSGRLDAAVKLFQEMKERKFWPSFSIFASLVDSMGKAGRLDTSMKMYTEMQSSGFRPSATMFVSLIESYAKAGKLDTALQLWSEMKSAGFRPNFGLYSMIIESHAKSGKLDTATSIFKDMEKAGFLPTPSTYSCLLEMHAASGQVDSAMKLYNSMINAGLRPGLSTYTAILTLLANKKLVDVAAKILLEMKSMGFSVDVSASDVLMVYIKDGSIDLALRWLRFMDSSGIRTNSFIVRQLFESCMKNGLYESAKPLLETYVNSSAKVDLVLYTSILAYLVRCQEENDERHLMAILSATKHKAHAFMCGLFTGPEQRKQPVLSFVREFFQSLDYELEEGAARYFVNVLLNYLVLMGQINRARCVWKVAYENKLFPKAIVFDQQIAWSLDVRNLSVGAALIAVVHTLHRFRKRMLYYGVIPRRIKLVTGPTLRIVVAQMLSSVESPFEVSKVVLRATGDSVMEWFKKPIVQQFLLNEIPSRSDILMHRLNILFPSSAPEIRSLSPPKPLISGKAL >OMO78762 pep supercontig:CCACVL1_1.0:contig10541:45846:48017:1 gene:CCACVL1_14111 transcript:OMO78762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex, subunit 5 MAREESSPVTRRTTRSYSTSNNGVESTKPIEFYKPTLQDLGFRQPPLSFEDLLSNFPGRRAQILELLRLLGPLNSPMFPILVYGGPSTGKTSVTLQLLFHRKNSGNSYSSSKRCEKPSDFVNYLREALESVIENLKGNLGKSSSKSSEQPNGTMIYLVFDNLELVRKWDKSSTILPFLFNLSDILKMPEVGIIFISNTSPDTFYSNMGYMEPITLYFPDYTEDDLRQIFMANQSNRKLYSSFLDVVLRPFCRVTRRVDELSAAFSLLFKMYSEPLSDKEAALNEEMKRRLFSQLQPRIASVLNETFQVPSQPLLRAKSIQESMKKCSSKMPGIHEDHDKMDFHMSTSAKYLLISAFLASKNPATLDASLFDSFGGSDGRKRKRKASEKSREQKEMAEQELLMKGPGTFPLERLLAIFQCITSLAEDPFDEEGSHDLTIEGGSSGLMSDVLLQLSSLCNANFIIKGGSCPLEGSTRYRTAVSEELALKVARSLKFPLSKYLYRR >OMO78786 pep supercontig:CCACVL1_1.0:contig10541:189753:191020:1 gene:CCACVL1_14135 transcript:OMO78786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLESGSDDINQMVQAQEPLICGLPDDIALFCLARVPRVYHTVLKCVSRRWRDLVCSEDWHTYRRKHNLDETWIYALCRDKFERICCYVLDPNSSRRSWKRVQGLPSRSLRRKGVGFEVLGKKLYLMGGCKWSEDATDETYCYDASINTWNEANPLSTARCYFACEVLNQKIYAIGGLGLDSSVPHSWDTYNPCTNSWNSYSDPNIVPEIEDSFVLDGKIYIRCGKSAVNFQVYAVVYEPSSGTWHHADADMASGWQGPAVVVDGTLYVLDESSGTRLMMWRKDVREWVPVGRLSLMLTRPPCKLVSIGKSIYVIGKGRSTVVVDVSNAGNMGGIMVSSSIPKLTSNDEIISCKCLSI >OMO78781 pep supercontig:CCACVL1_1.0:contig10541:166982:170082:1 gene:CCACVL1_14130 transcript:OMO78781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 14B, plant MAVSSVLIFNNLKQPSASLVPKSTLEFRPPSSLDRNLFRVRGGFVSSSISGMTRVRAIVRDKGEGTEDHPLVGDSIDNIGDKQVLDSWSGLQERDFTGTPYVPVYVMLPLGVIDMNCELVDPEGLLDQLRILKSANVDGVMVDCWWGIVEAHTPQLYNWSGYRKLFQMVRDLGLKLQVVMSFHECGGNVGDDVHIPLPHWVREIGQSNPDIYFTDREERRNAECLTWGIDKERVLKGRTAVEVYFDYMRSFRVEFDDFFVDGVISEIEVGLGPCGELRYPSYPAKHGWTYPGIGEFQCYDKYLMKSLSKAAEIRGHSFWARAPDDAGSYNSKPHETGFFRDGGDYDSYYGRFFLNWYSQVLVDHGDRVLALANLAFEGTCIAAKLSGIHWWYKTASHAAELTAGFYNPANRNGYAPIAAMLKKHGVALNFTCVELRTLNQHEDFPEALADPEGLVWQVLNAAWDVSILVASENALPCYDREGYNKILENAKPRNDPDGRHLSAFTYLRLGPVLMEKHNFEEFERFVRRMHGEAVQDLQ >OMO78776 pep supercontig:CCACVL1_1.0:contig10541:130709:134009:1 gene:CCACVL1_14125 transcript:OMO78776 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein PAIR1-like protein MKLKINKACDLSSISVLPPHSRRSSLLASGPQTSQLRSQPSQQSFSQGISSQHALFSQISQNSLDEVVTADQRFGSQERENNSKRLSCLPPTNFTREDSQMPISRSSTNLIRKWNSASASEHRCQNSEELEHRLSMIETSLNRFGMILDSVQTDVMQVNKGTKEVLLEMEAVRQKLIAEDNSVQLMNKGQEDIKASLDGGLKAISDQLDKDIYQNKLQQIFLVLSAFPEQMEASLVKLQNELCNTFTNEIKAIACNVKTLNQKGPVAAALLPKTTGCSATPQCKPPPVKKQVVPPKVDVQPTLAPKAEMGGWKTVKVKQSTMNERASCKENKRKGVSSIEQETYRILIESDEEIDGGFSCLLDDKETVPDKGQHMPTVIC >OMO78788 pep supercontig:CCACVL1_1.0:contig10541:193644:199403:1 gene:CCACVL1_14137 transcript:OMO78788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVLEQRMFPQKVDSGGPYRLLQCASKGDKAGVIKELEKGVEPNGADYDRRTALHLAACEGWTQVVDLLLEKGAEVNSLDRWGRTPLSDARSFGHDEICKILEARGGIDPGAYGEVYLVKWRGTEVAAKTIRSSIASNPRVKSFFIKELALWQRLRHPNIVQFLGVLKYSDRLIFLTEYLRNGSLYDILKRKGRLDPPTAIAYALDIARGMNYLHQHKPHAIIHRDLTPRNVLQDEAGRLKVTDFGLSKIAQEKDTYGYKMTGKTGSYRYMAPEVYRRESYGKSIDVFSFALIVHEMFQGGPSNKGETAEQVADKRAYEDLRPPLSSYIYPEPIKTVRCEMMEDKSVDDGYYIRKCVELARTAIGCTSPNPMVGCIIVKDGKIVGKGFHPKAGQPHAEVFALRDAGDQAENATAYVSLEPCNHYGRTPPCSEALIKAKVKRVVVGMVDPNPIVASKGVERLREAGIDVTVGVEEELCKRLIEAFAHQMLTGKPFVTLRYSLSVNGRVLDQLGKGVTQTGGYYSKLLQEYDAIILSGSLTEKFISQEPGANQPLQIVIAGNPHQLPAVTEEASKMIVFADKEIATESNLAQKGIEAVVLDQINLTSILEYCKRQGFCSVLLDMRGSFDDLEGLLREAIEEKVLQKIVMEVLPFWDESSGGESLVALNSLVKRLEVKNLQSRSSNESIVLEGYLIHE >OMO78787 pep supercontig:CCACVL1_1.0:contig10541:192255:192803:-1 gene:CCACVL1_14136 transcript:OMO78787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNNDNQRAQLEPQSEEDLEELESEVKKMAEKILEYRANVPDQLKSTLASILSAQRPNLPGIDDGSEPGTSQEQNAEAVEADKDQMTEEKIRLLKEKISSNISAVPVVVKRMKECISRIEKLDSCNGIVHPAFKKRKLVEQ >OMO78759 pep supercontig:CCACVL1_1.0:contig10541:36918:39747:-1 gene:CCACVL1_14108 transcript:OMO78759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPGLFDGVDSIMEEWIVDQMHIVRPVVETGYENLLLVRLLLEMRMPAIRKSSVAEGLTVEGILENWMKLKPVIMEEWDENREALIDLFGKVRDEWMDKDLTTWIGANRFYPGVPDALKFASSSIYIVTTKQGRFADALLRELAGVTIPPERIYGLGTGPKVKVLKQLQQRPEHQGMKLHFVEDRLATLKNVIKEPELDGWNLYLVDWGYNTQKEREEAASIPRIQLLQLPDFSKKLK >OMO78771 pep supercontig:CCACVL1_1.0:contig10541:96149:105458:-1 gene:CCACVL1_14120 transcript:OMO78771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSPVPAATAITPVVNSVRFHDLRAPGIMSGRREIVEEPVARIIEEKIYVAVGKEVEKYKSVLFWALQNSGGKGICIIHVHQPAKMIPVSEMGTKFPANKLKEKEVKAYWEFERQEMRKTLNKYLMLCFQRGVQAEKLYIEMDSIEKGILEMISENGIRILVMGGAADKHSSKKLVDLKSKKAIFVRDHAPSSCHTIQFLCKGLLIFTRKLSLDATDGEVASSSLPATSNLESRENHLKSQSVILRQTSQAKPSTSTPELRRAISENVYGRVGSVMGFTSADGNGGLSTPQSRSDAEGSSDEWDGLSRRSPHSSALSSCSSSGMADAALVPYTGTEVNENGIDSSAVIQAKENFHPSSPPSVLDGTMDDTLYDQLHQVMAEAANSRREAFEEAVRRTKAEKDALEAIRRVKASEILYKQELKQREEIEEALAREKEELDKMKNLRDEVMLELQNALEQKSSLEKEIVESEKVVKELEEKIISAVELLQNYKQERDELQMERDNALREAEELRKSRAETSGSHIPQFFTEFSFSEIEEATHNFDPSLKIGEGGYGSIYKGLLRHITVAIKMLHSNSLQGPSEFQQEVDVLSKMRHPNLVTLIGACPEAWTLIYEYLPNGSLEDRLSCRDNSPPLSWQTRIRIATELCSVLIFLHSSKPHGIVHGDLKPANILLDANFVTKLSDFGICRLLSNNTTVCCRTDPKGTFAYMDPDFLSTGEITPKADVYSFGIILLRLLTGKQALGITKEVQYALDNGNLKNILDPLAGDWPFVQAEQLANLALRCCEMNRKSRPDLSTDVWRVLEPMRASCGGSSSFHLGSEERCQAPHYFICPIFQEVMQDPHVAADGFTYEAEALRVGIAVEESKHTLLWALQTLIHTKICILHIHQPTNGGSYATSRLQRVMNDYLLICAQKGTQAEKLLIEMDDVAKGIVQLIHEHNIKKLVMGAAADKHFSEGMTDLKSEKALYVNQHAPSSCEIWFVCGGREVHERLIVEPGPSNLRNASSSSYSTCSSILGFNSDLIVSETSDESPDWLESNEVNSSDGHQLCDKLEQALLDAEKSNNDAFEELDRRVKAQKNALKAVMLQARELKISYTGELSRWKETEATLLQQKEELERIKQQRDQARKIAKAQKLILESQGPNSDHIKVLEAKVTSAMEQLQICQRERDELQRKLENTSKQIDETSKKQEEETSGVNIPQFFSEYSVAEIHDATEDFDPSYKIAYGRIYKCTLRHTEVAIKVLNQSRLQGPSQFQQEVDIMSKLRHPNLVTLIGVCPEIWALIYEYLPNGSLEDRLNRRDDTPPLSWQTRIHIATEICSALIFLHSSKPQKLVHGNLKPGNILLDTNFGCKLSDFGVSHDVSSLEHSINMNNIRSRFPYLDPQCLTTRTLTHSSDIYSFGIILLQLLTRKSPLHIAEKVQNALNEGYLNDILDPSAGGWSYSQAAQLTHLALRCCDTNRSRRPDLASEVFSLLEQMRADIGPLTTFHAGCGDQRQTPSYFICPILLEIMRNPYVAADGHTYELRAIQEWLDRGKDTSPKTNNRLAHRNLVPNYTLRSAILEYLQQQEQR >OMO78778 pep supercontig:CCACVL1_1.0:contig10541:150611:150868:1 gene:CCACVL1_14127 transcript:OMO78778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEKGVNGAAAAADGGGTGIDVTEKKTLSDQGKAGSLEKCLEENKGDDTKCKAKVEAFKSSSSSSPQRKPLTPLKLRSGSFSDV >OMO78779 pep supercontig:CCACVL1_1.0:contig10541:151261:153342:-1 gene:CCACVL1_14128 transcript:OMO78779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MNNLLNSDENYDLGYQPSPSSIDQNDRSTTETPVYSTMSGDSFAYCRTYSEISTFSDPVDDNSSEASPSHWPATKPAIHNQAVLKRLGTKQRKSNGDEKQLDDNDLLELELEMMKERFAKLLLGEDMSGSGKGVCTAVTISNSITNLYATVFGQNLRLEPLNSEKKALWKREMDCLLSVCDYIVEFTPTKSQNLRNGMAFEIMESRQRADIYINLPALRKLDAMLIEILDSFEDREFWYAEQGSMSSNSTRTGSFRRIVQRNDEKWWVPVPCVPSDGLSEKSRKHLRNKRDCANQIHKAAMAINSSVLSEMEIPDSYMASLPKSGRASIGDSIYRFMYTTEKFSPEYLLDCLNIASEHEALELADRVEASMYTWRRKACMSNSKSSWGMVKDLMSDVDRSDKNHILAERAESLLFALKQRYPELAQTTLDTCKIQYNRDVGQAILESYSRVLEGLAFNIVAWIDDVFFVDRTVRNQE >OMO78765 pep supercontig:CCACVL1_1.0:contig10541:61645:64068:-1 gene:CCACVL1_14114 transcript:OMO78765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MAPSKSGRNFPPITKCEGSSYESIAADLDGTLLISSSSFPYFMLIAIEAGSLLRGLILLLSLPLVIVSYLFISEAIGIQILIFISFAGLKIRDLELASRAVLPRFYAANVRKESFEVFDRCKRKVVVTANPTFMVEPFVKDFLGGDKVLGTEIEVNPKTKKATGFVKNPGVLVGKLKRLAILKEFGDESPDLGIGDRESDHDFMAICKEGYMVHRSKSATPVPVDRLKSRIIFHDGRLVQRPDPLNALITFIWLPFGFILSIIRVYFNLPLPERIVRYTYEMLGIHLVIRGNRPPPPSPGTPGNLYVCNHRTALDPIVIAIALGRKVSCVTYSVSRLSRFLSPIPAIALTRDRAADAARISELLQKGDLVVCPEGTTCREPFLLRFSALFAEMSDRIVPVAVNCKQSMFYGTTVRGVKFWDPYFFFMNPRPTYEVTFLDRLPEEISVKAGGKSSIEVANHVQKVLGDVLGFECTGLTRKDKYLLLGGNDGKVESMYNGKK >OMO78784 pep supercontig:CCACVL1_1.0:contig10541:177850:180152:-1 gene:CCACVL1_14133 transcript:OMO78784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MSIACCCPILECVYCLACTRWAWKKCLYTAGHESEHWGLATVEEFEPVPRLCRLILAVYEDDLRNPLWAPPGGYGIDPDWVILRKNDQETQERAPPYMIYLDHSNADIVLAVRGLNLAKESDYAVLLDNKLGQAKFDGGYVHNGLFKAAEWVFDAECELLRELLEKNPSYTLTFAGHSLGAGWWLCWCMSLNLAVRYADVINSVVLQDDFLPRSTTALEVLFKLLFCLPCLLCLMCLKDTCIMEEKKLKDPRRLYAPGRLYHIVERKPCRIGRFPPVVRTAVPVDGRFEHIVLSCNTTSDHAIIWIERESQRALDLMLEKDDRMQIPARQKMERKESLAREHTEEYKAALQRAAALDIPQAYSPSTYGTFHEMEEAEFSGRSGEGVSELSSKKRGESWNELVERLFEVDDESGQIVFKNSVA >OMO78767 pep supercontig:CCACVL1_1.0:contig10541:69820:74070:1 gene:CCACVL1_14116 transcript:OMO78767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGGPIFQSCSLSLIMIHEPPFGLSAVQPSSSVHAISQQFKSSAASVSNLLRLSFPVDFRGMRKVIRPDQNNLSKRRRWDEMDHDVLVNTMKRLSWWDWEMDVLKLCKPWLLAALAVAMFETV >OMO78773 pep supercontig:CCACVL1_1.0:contig10541:110850:112031:-1 gene:CCACVL1_14122 transcript:OMO78773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFNELMKTKNADSRKEILNAMNVVLRSGEEILNAMNVVFRSGVAGGEVVWDGEKYVRSTIDSSPMMSSPADQVAGGDTPKGARADEIEREDAVHEKNPIEESVLLSKYKEKTGDRLDLDHLFGEEPDGANPFVVRMHRPQGGGSASSFPFMIRLQRLEQGSDSKFLLQFNDSDRLAYVKSVAELCLNKQSNKEFKRSLDEKKRKLKELMGGD >OMO78754 pep supercontig:CCACVL1_1.0:contig10541:4076:6877:1 gene:CCACVL1_14103 transcript:OMO78754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWSMTADGSSLDCAKIPENLQHSGTWVDLETSSEGSFLESEPDKFRFSFNKILESFLREICAQGCFWPLPPMLGDGKPVDLLKLFLVVREKGGYDVVSGSGLWDLVAKESGLGSGVASSVKLVYVKYLGFLEGWLESIVDSKHLKSESSYSDHLMELGAELKGFLLESDKKVLEFSEMEESLVAGSDEGEKCVKDEESMHIGLTKRVLDYEDVEKLQNGDYLKSLVVNTDDECEEMPSDLGKSVEMPMPSDLGKSVVKSSDAIKMYKEDEVKSEFLEDSAECKISTNIVDVDDDDDDDDDVVILESNDIKEKFSSLKRKWDSTSGMLNWVTEIAKDPCDPVIGSLPDRSRWKSHGNAELWKQVLLFREVAFLRKDDHSSADQSNWQKYQKMHPCMYDDQTKFGYNLRERMTSKNQILEKKASKGHVYSPSPSLGIRSDRDSSMVGIDKQSHGASDPARPVSVFEYAADTPVPIGPKFQAEVPEWTGVASESDAKWLGTRIWPLDKKEHRPLVEVDRIGKGRQDSCGCPLQGSIECVKFHVAERRRKVKLELGSAFNQWKFDKMGEEVALAWREEDKKMLSLIVKSNPSSIGKCFWDEIRKYFQRKSREEIACYYYNVFILQRRAYQNRSTPSNIYSDDEEPEANSGNRHEAVKSRTSILNTPKKSHKKSRITTSSSKYRS >OMO78768 pep supercontig:CCACVL1_1.0:contig10541:75091:77040:-1 gene:CCACVL1_14117 transcript:OMO78768 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L4-like protein MKIFCARGARRVAVFELAEARGSDKTSPHTHVNPIRVGFGALSSTAVSKSSSNSSALSSIAASKTSSDSTGSGVQVRVL >OMO78783 pep supercontig:CCACVL1_1.0:contig10541:172074:177162:1 gene:CCACVL1_14132 transcript:OMO78783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 14B, plant MGVDGVMPSPSSTGQYQQQLQEQVSTPGGGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQGSRPAGGTSAGMTSSSSQMVSQQTPPSSLRGISSGYRTSVEYNACRMKGVFMPTPSPYDLSSGARSQSPGMVGDGGEQTESLPLIAGSMEVVNDKQIMELHPKLPERDFAGTPYVPVYVMLPLGVINVKCELVDPDGLLKQLRVLKSINVDGVMVDCWWGIVEAHAPQEYNWNGYRRLFQMVRELKLKLQVVMSFHECGGNVGDDACIPLPHWVAEIGRSNPDIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRVEFDEFFEDCIISMVEIGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYMLKSLRKAAEMRGHSFWARGPDNAGSYNSQPHETGFFCDGGDYDGYYGRFFLNWYSRVLVDHGERVLSLAKLAFEGTCIAAKLPGIHWWYKTASHAAELTAGFYNPSNRDGYSAIAAMLQKHGASLNFACAELHLLEQHEDLREALADPQGLVWQVLNAAWDVCIPVATENALLCYDRVGYNKILDNVKPLNDPDGRHFSSFTYLRLSPLLMERQNFMEFERFVKRMHGEAVLDIQV >OMO78769 pep supercontig:CCACVL1_1.0:contig10541:79034:90619:1 gene:CCACVL1_14118 transcript:OMO78769 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MAVIKPEMMKSYIWLQTADGSIQQVEQEVAMFCPMICHEVIQKGMGSSKNYAISLPQRVNPAMLSLILDYCRFHQVPGRSNKERKSFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIREIFHLPDDLTEEEKLEPLKNTTDDPRIRLLNRLYAKKRKELKEREKLKNVEVEEERVDDRSVDDLLSFINGGNGDSKGIKSSKSKKKNRKRKDQQKSASANEASKNHDKESNGLNSVCHSAEGGQKIRPSIGATSNIQDVEDDIFANKNEFDDGDIDDEIDPALKEKIDREVEDFARRLNSDWPERMQEILSLGQERKPVHFSLNGNGSVRRYATGDIVHQDNVAPKRPGCSNNFVLVKVPIWINGIEEIEYVGVGARFGPTLESKEKHANHTKLALADPPDCCSTPRNQLTGEIILVHRGNCSFTEKANVAEEAGASAILIINNQTELFKMVCESDADVDIKIPAVMLPQDAGANLEKYINNNTRVSVALYSPKRPAVDIAEVFLWLMAVGTILCASYWSAWTAREVAIEQDKLLKDASEEFLQAGSVGSSGYVDINTMSAILFVVIASCFLVMLYKLMSFWFVEILVVLFCIGGVEGLQTCLVALLSCFRWFQRFAESFIKIPFFGAVSHLTLAVCPFCIAFAVVWAIYRRISFAWIGQDILGIALIVTVLQIVRVPNLKVGTVLLGCAFMYDIFWVFVSKWWFHESVMIVVARGDKSGEDGIPMLLKIPRMYDPWGGYSVIGFGDIILPGLLVAFSLRYDWLAKKSLRAGYFVWAMTAYGLGLLVTYIALNMMDGHGQPALLYIVPFTLGTFITLGKKRGDLKILWTRGEPERPCPHVQLQPLQQKE >OMO78766 pep supercontig:CCACVL1_1.0:contig10541:66828:68537:-1 gene:CCACVL1_14115 transcript:OMO78766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MEGKEEDVKLGANKFTERQPIGTSAQTDKDYNEPPPAPLFEPGELHSWSFYRAGIAEFMATFLFLYITVLTVMGVKRAPSMCASVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFQPSQYQLLGGGANTVNHGYTKGSGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKDHAWDDHWVFWVGPFIGAALAALYHQIVIRAIPFKSRA >OMO78770 pep supercontig:CCACVL1_1.0:contig10541:91434:95137:-1 gene:CCACVL1_14119 transcript:OMO78770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDETIYVAVGEIIEENELTLFWALRNLNPLKVCILHVHQRSKMISSIAGNLDGIMFDQQELRGLEEVGKDMMHRIMDDYLLLCSQAGVRAEKLYIQRDEVAKGIVELIHRHNIKKLVMGAAADEHFSEEMWLMSEKAQYVNENAPNSCQIWFICREHLVYTRSETGQSSNARSPSGSSYMTSSSENMFEEREESECELEPFVLLHSRDDRDSLSMLNVLNMEGSSNDQIYDQLEQALQEAEISNRKAFEESERRIKAEMNAVNAMRQAKAFERLFNNCKRENETALAKQKEELEKIKRQRDEERVVTVEQKSILESQAANSDHRMKQLEDKLSSAMEQLKVIQKERDELQVELENTRKVTEELFRKEAEETSSTRQLQIVYHDQLSVSSDIHDATLDQLSICQKEKDDLLVELENTRKIIEELLRKQAEETSNPHLQQPFTEFTLSEIQEATEDFHPRFKIAAGNRGSVYYRCFLRHTEVAIKVLGHNSLQDPSEFQQQVDDLSKSRHPNLVTLIGACPEIWALIYEYLPNGSLEDRLMNCEDDTPPLSWQTRIHIATELCYTLMFLHSSKPQSLVHGNLKPGNILLDANFGCKLSDFGACRALSLLANTSNMTEPSNAHPYLDPDFCNTKRISHSLDLYPFGIILLQLLSGRSSQGIAENARSALLNGGNLNSFLDSSAGNWPYQVAQLTHLAIRCCEINRSRRPDLASEVLEVLKTMKTSSGASSSLLDESDEDNREPPSYFLCPILQEVMSDPHVAADGHTYELTALQEWLAQHNTSPLTNLRLEHQDLVPNYALRSAIQEWQQCH >OMO78764 pep supercontig:CCACVL1_1.0:contig10541:55100:60080:-1 gene:CCACVL1_14113 transcript:OMO78764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MAIGGLISNRNFGSFIGSGKVCRGEQTILFHRGERSGVAVVQGNMLYRKSCSQMANGFVSGYSYGRFVRNTVHLDEKTLKPISISPNGRQLISSMSLSCCEKSHRVYPQQRSRGSFGFCSSSPSLGGWLQPGHKDGIKKNRSRAFYKSEEYDITEAKVDSLPSPDGTNEAVLVGGDMQEAIPWWQSFPKRWVMVLLCFAAFLLCNMDRVNMSIAILPMSKEFNWNSATVGLIQSSFFWGYLLTQILGGIWADKIGGKLVLGFGVVWWSIATVLTPIAARIGLPFLLVMRAFMGIGEGVAMPAMNNLLSKWIPVSERSRSLALVYSGMYLGSVTGLAVSPILIHKFGWPSVFYSFGSLGSIWFALWLSKAYSSPKDDPGLSKEEKKLIMGGSISKEPVKVIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAVFANIGGWIADTLVSKGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDDVFKVSVVLYIIGTLVWNLFSTGEKVLD >OMO78782 pep supercontig:CCACVL1_1.0:contig10541:170650:171113:1 gene:CCACVL1_14131 transcript:OMO78782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKIVSFTHNTRSPIPHTRETLTFTRSSLPLPRAHSTLAGNCPILGPSPPLLLFSLLPSIAVLPRRSYPPVAGNFDLFA >OMO78756 pep supercontig:CCACVL1_1.0:contig10541:12004:21798:-1 gene:CCACVL1_14105 transcript:OMO78756 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MQSGGGGGGGPSRNPGVGPVGRAASTSSAASPTSSSSAVWTPHLGFDSVQQQQQQQQQQIASRQSLHQQLLRKPEGNEALLAYQAGGLQGMMGGSNFPSSPGSMQLPQQTRKFFEMAQQHAPAQESQNRGQGVEQQMMNPVQQAYYQYALQAAQQQKSILAQQQAKMAMMGSASSKDQDMRIGNLKMQELISMQAANQAQASSSKNTSEQPSRVEKQMEQGPQSASDQRNEPKPPAQATVIGPVIPGNVLRAMQAQQAQQTVQNMGNNQLAMVAQLQAWALERNIDLSQPANANLMAQLVPLMQSRMAAQQKPNESNMGSPSSSVPVSKQQVTSPSVQSESSPRGNSSSDISGQSGSAKTRPTVQPSPFGSTSSTVVLNNANNIAMQPLPIHGRDNQVPHRQPVVHGNGMPPMHPPQSSANVSQGVDPSLPGKSLLGSAEAVQMQYLKQLNRSSPQPAPKDGGSVNNLPSQGGTSAQIMPQRFGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIVPPPLEQQQQQQPQQQQQQQQQPQQQQQQPQQQQQQQPQQQQQFPPMGGNNQDRNGGRIVEDQVKRLETKEKVSQAGPSTNGLNMPKEEAYAGDDKATTSTAHVQGVSALTKEFPSTLPAGKEEQQNSVFSSKSDQEVERGLPKTPFRGDLAVDKGKAVAPQVSASDGAQVKKPVQANSVPQPKDPGSARKYHGPLFDFPFFTRKHDSYGSTIPNNNNNLTLAYDVKDLLFEEGMEVLSKKRSENLRKIGGLLAVNLERKRIRPDLVLRLQIEEKKLRLIDLQARLRDEVDQQQQEIMAMPDRPYRKFVRLCEHQRIELARQVQATQKALREKQLKSIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYREMLLEQQTSMPGDAAERYAVLSSFLTQTEEYLHKLGSKITAAKSQQEVEEAANAAAGLSEEEVRAAAACAGEEVMIRNRFMEMNAPRDSSSVSKYYNLAHAVNERVIRQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHTWLPSVSCIYYVGGKDQRSKLFSQEVMAMKFNVLVTTYEFIMYDRSKLSKIDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSQPFQKEVPAHNAEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLRCRMSAIQSAIYDWIKSTGTLRVDPEDEKRRAQKNPMYQAKVYKTLNNRCMELRKTCNHPLLNYPYYNDFSKDFLVRSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPNSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQKREVKVIYMEAVVDKISSHQKEDELRSGGTVDFEDDFAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQDTVHDVPSLHEVNRMIARSEEEVELYDQMDEEFDWSEEMTSHEHVPKWLRASTREVNTAIATLSKKPSKNILWTADVGAESNEMETERKRGRPKGKKHPNYKEIDDDNEEYSEASSDERNGYSGNEEEGEIGEFEDDEFSGAVEAPPRNKDQSEEDVLLCDGGYEYPQTSENIRNNHMLEEGGSSGSSLDSHRQTQMVSPVSPRKFGSLSALDARPGSVARRLPDELEEGEIAVSTDSHMDHQQSESWIHERDEGEDEQVVQPKIKRKRSIRVRPRHNMERAEEKSVNEVPQRGDSSLLPFQVDQRYQSQLKADSEAKPTRERNAFKHDPNDSSSKSRRNLPTRKIANASKLHASSPKSGRVNSMSAPAEDAGEPSRESWDSKVANTSGSSNFGAKMSDVIQRKCKNVISKLQRRIDKEGQQIVPLLTDLWKRIENSGYMGGSGSSHLDLRKIDQRVERLEYSGVMELVSDVQLVLKSAMNYYGFSHEVRSEARKVHDLFFDLLKIAFPDTDFREARNALSFASPVSTSAAAPSPRQVAAGKRQKPINDVESDFGITQKSLQRGSNHAGEDGRVRGHAAQKESRLGSGTREQYQQDDSPLTHPGELVICKKKRKDREKSVVKPRTGSSGPVSPPSMGRSIRSPATGSVSKDARQSQQNSHQQSWPNQPAHPSNGGGGSVGWANPVKKLRTDAGKRRPSHL >OMO78775 pep supercontig:CCACVL1_1.0:contig10541:128298:128558:-1 gene:CCACVL1_14124 transcript:OMO78775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRRRKRKISEVEQLKIHLDVKEMNERRICKNMNDLKKKRRWDQEMDHDIMVNIMKRLSWENMDVSKLCKAWLLAALDAFFPSQK >OMO78758 pep supercontig:CCACVL1_1.0:contig10541:35721:36534:1 gene:CCACVL1_14107 transcript:OMO78758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mesoderm development candidate 2 MKNYPSLLLLLLLLILPLVFSPIGVEGGKRIHITDDLDDVVDDEEDEEWKEWGKSSPEFDPPPSDFDKMEPSQIQEELMKRQMGPAIGFIKLRLGIPRDRDTVAEIAMKWTRVLRTGAIGVRFSGIDISTVMFNLDDGRKMLELKEFLLGQDEAYEIKIGDQVFRRPGDPPLDEVIEKLRQSKEKEGADDDQHVKDEL >OMO78774 pep supercontig:CCACVL1_1.0:contig10541:119145:126503:1 gene:CCACVL1_14123 transcript:OMO78774 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative helicase MAGATAMA 3 MEDRHRFEKIILTWDYHLLAKGSNLNLKPVKQTYKDLEEYSSTYEQLLFEEIKAQIHEDKLKEGKEEGHTLMVFSCGEEEGFHRATVGFTGDAPPWSQHDLLLLTEKAEADTMKPSSIYAFAAVEGIDTETTFFKLRMYLAGEFTTVYPYVEENSKRLEAVKEFITSDKRSLIWKMFSTVKICSLSTFRREYLALHSLGHLSFTRLILDPGRSSSFKGQGWKIPVALRNHLKEDLNDSQMKALDAGLSGQTFVLIQGPPGTGKTQTILGLLSAMLNATSGTPKYRFCYMNVQRRPELSMEEKQSHWNAASPWLMGNNPRDLIKPTDGGDGYFPITYDVPEPKIIYPTKGRCCKKVLVCAPSNSALDEIVFRVLKAGLHNESARIWHPKIVRIGLDPHHSIKDVSLDNLVTSKKDSMQGNVDSIRAAVLKDVDIVFSTLSFSGSAVLAHSTFDTVIIDEAAQAVEPATLVPLAHGCKRVFLIGDPAQLPATVISEDARNSGYSICLFERFKKAGYHVNMLTTQYRMHPKISRFPSMEFYGGALKDESDLVVTTRRSWHEFEVFGPFCFFDVHEGKESSLASGYTNDVEVEFALTLCASLLRYDLLEASSRLAFISPYKAQVELFRERSKGIKDLPEGVMDGREMDMVLLSCVRANSCGGIGFVNDARRMNVGITRAKSSLMVIGSAETLKKDVYWSKLIVSAKEQGCFFQVSKPYETFWTNELKKTY >OMO78755 pep supercontig:CCACVL1_1.0:contig10541:9579:11251:1 gene:CCACVL1_14104 transcript:OMO78755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MSSPSKRREMDLMKLMISDYKVEMINDGMQEFYVHFNGPNDSPYHGGVWRIRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRNAYEQRVKEYCEKYAKPADIETAPEEHSSDDEMSDEEYDSSDDAIAGQADP >OMO78763 pep supercontig:CCACVL1_1.0:contig10541:51911:53518:1 gene:CCACVL1_14112 transcript:OMO78763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDVSTALLLLAGITAYLLWFTFISRSLRGPRVWPLLGSLPGLIENCDRMHDWISDNLRACGGTYQTCICAIPFLAKKQGLVTVTCDPRNLEHILKTRFDNYPKGPTWQAVFHDLLGQGIFNSDGDTWLFQRRTAALEFTTRTLRQAMARWVSRAIKLRFCPILEKAQTTAEPVDLQDVLLRLTFDNICGLAFGKDPKTCADGLPENGFATAFDRATEASLQRFILPEVLWKLKRWLRLGLEVSLTRNLVQIDNYLTEVINARKKELLSQQKDGNPHDDLLTRFMKKKESYSDEFLQHVALNFILAGRDTSSVALSWFFWLMTQHPSVEDKILREICTVLIETRGVDTSKWLDEPLEFEEVDRLIYLKAALSETLRLYPSVPEDSKHVIADDVLPDGTFVPAGSAVTYSIYSVGRMRSTWGDDCLEFRPERWLSADGKSFINHDSYKFVAFNAGPRICLGKGLAYLQMKSVAAAALMRHKLTLVPGHKVEQKMSLTLFMKYGLKVNVHGRDLGAIVEKIITDQKKAELHSNCNGKL >OMO78777 pep supercontig:CCACVL1_1.0:contig10541:139068:148249:1 gene:CCACVL1_14126 transcript:OMO78777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med12 MQRYHPANCTSAVNNSAIGGASARDTSRADSSTLPPNFSLNSRRQSQLAPYKLKCDKESLNSRLGPPDFHPQSQNCPEETLTRENVQQGYKETIDGLEDSKEISLTQIQAFTKPVVLKCRDAIRKCLRAINESRAQKRKAGQVYGIPLSGSLLSKPGVFPEQRPCNEDFRKKWIEGLSQQHKRLRSLADQVPHGYRKRTLIEVLIRNNVPLLRATWFIKVTYLNQVRPGSAISSGAPDKTQLSRTELWTKDVIDYLQYLLDEFSSRNNSHSTQHGRDRLPQMLYTGSLQHRNDSALTIVDGEEPSLHFKWWYVVRLLQWHHAEGLVLPSLIIDWVLSQLQEKELLEILQLLLPIIYGVLETIILCQTYVRNLVGIAIRFIREPSPGGSDLVDNSRRAYTISALVEMLRYLIQAVPDTFVALDCFPLPACVVSHALNDGGFLSKSSDDAGKTKNTLADAYVLKGRGFDSQYQSLSFDHVVSTIQKRADYLAKGASAEYPSQSVAKAVQTLDKALLQGDLVEAYKHIFEDLCDGVVGEGWVAEVSPCLRSSLKWIRTVNVSLICSVFFLCEWATCDFRDFRTAPPRDVKFTGRKDISQIYLAIQLLKLKMRELQNPQHKNGRASKSTAKYSSQQNNYSRRTLLGNQYEAKSNARGMDGRSSNSSDLFDSPGPLHDIIVCWIDQHEGHKGEGGKRLQLFVLELIRSGIFYPLAYVRQLLVSGIIDTNGPAGDLDRRKRHHRILKQLPGQFMCDALEEARIAEASELLEAVNVYSNERRLVLKGLLFDQCNNGNYANVSSKKQKYHATSAKDAASQALGDQWKIGQSSKTFRRDVDLEELKASISALLQFPSSSSTSADSGVDESQGSAKRSVGSIGNKMDSFEGTPGCEDCKRVKRQKLSEEKSSSLQVPSPIPSDDEDTWWVRKGPKNLEPFKADPPLKSTKQVSRGRQKTVRKTQSLAQLAAARIEGSQGASTSHVCDNKISCPHHRTDAETLKPVDGIRTTPSGDIVSIGKGLKQLRFVEKRTVTVWLISVVRQLVEEYEKSVAKVGQYGRPFVAADEKSPLRWKLGEDELSTILYLMDVSCDSALAVKFLLWLLPKVISNPSPTIHGGRNIIMVPRNVENHACEVGEAYLLSSLRRYENILIAADLIPEALSATMHRAAAVLATNGRITGSGALVFARYLLKRYGNITSVIDWEKNFKPTCDKRLLSELESGRTVDGEFGFPLGVPAGIEDPDDYYRQKIASGRVSRVGLNMRDMVQRHIDDVLHYFLGKERKLFAGNAPKGLAMEKGDDGYQVAQQIIVGLLECLRQTGGAAQEGDPGLLSSAISAIVSNVGPAIAKIPDLTVGGNYSNYQQPVNSLNIAKRILRIHIICLSLLKEALGERQSRAFEVALGTEASSALAVAFAPAKSSRGQFQLPPDGPDSNANIPGDNMSSAKVTLGRTTKMAAAVSALFIGAVIHGVISLERMVSVLRLKEGLDVVQFVRNTKTSSNGNARSAGPFKDNSVEVNVHWFRLFVGNCRTVCDGLVLELLGEQSVVALSRMQRLLPIDLVFPPAYAIFAFVIWKPFILNSNIATREDIHQLYLSLTMAIGDAIKHMPFRDACMRDSRTFYDIVAADTTDTEFAALLEMNGLDMHLKSMAFVPLRARLFLNAIIDCEMPYSASQQDDGTRVSGHSESKALRESEPKLSDKLVRALDTLQPAKFHWQWVELRLLLNEQALIDKMENHDMPLVDAIRSSSPSSERASPSENEKFLIEIILTRLLVRPDAASLFSEVIHLFGRSLEDSMLMQAKWFLGGQDVLLGRKTVRQKLINIAESRSLSTKTQFWKPWGWSHSGVDPITNRGDKKKYEVTSLEEGEVIEDGMESKRHVKGSSKVDLGSSISRLHVTEKAFVELVLPCIDQSSDDSRNTFASDLIKQFNTIEQQMSSVTRGVSKQTGSASSGIEGSVSKGNNRKGMRGGSPGMSRRTVVPAESAPPSPAALQASMSLRLQIIVRLLPIICADGEPSARNMRHMLASVILRLLGSRVVHEDVDLSLNLLNSKRDMEMMSSVASSEMSGDSLFDRLLLVLHGLLSSCQPNWLRSKTATKATSEIAKNSSGFDREAVESLQNELDSMQLPEMIRWRIQAAMPILFPSFRNLISCHTPSVPIGALSSLQPSIFVPGSYPGTLSAPQRQGPSTRTSNNTQGKSKSMPVVQEYDMEIDPWTLLEDGAGSGPSTSSTAVIGSSDHANLRASSWLKGAVRVRRTDLTYIGAVDDDS >OMO78757 pep supercontig:CCACVL1_1.0:contig10541:26247:28559:-1 gene:CCACVL1_14106 transcript:OMO78757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta subunit-like PLP-dependent enzymes superfamily MAALRSFLKRRSLTCNELMMARRLVSTEPIIDSPSFAQRLRDLPKDLPGTKIKKEVSQIYGVEPSESNILNGGKPGPHHITGNGVGFKPDILDMDIMEKVLEVSSEDAVNMARELALKEGLMVGISSGANTVAALRLARMPENKGKLIVTVHASFGERYLSSVLFQELRKEAENMQPVAVD >OMO78772 pep supercontig:CCACVL1_1.0:contig10541:108894:110123:-1 gene:CCACVL1_14121 transcript:OMO78772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNLEEFNTLMMNKNPEKAESILHAINVFLRPNSAIEIVRDHMNGGRYVLRKMRVEGKHVPDEVISEILSWIPAKTLHGIMRYVCKTWAKEICNSSFVENHLKRSKPGLLLQNETSKSTRFLEIRENGDFEFTNMNPRPSNDNYNNLGTIISSCDGVIMYFGPFWTTICVTNPIVMDVTHVPRHFHHRARAEPSYAIARVSHSGEFKIVCGQIIEKTNETHWYILTVGIFDSWRDIGCVISCSGALEVMKPICVGDLIYWSGNAYPSIHKDVIVTDVAKEIAFGIQLPMKFMQSNFVKIGNLFASLTFQDGYCYDIHVLKDVHSDSVKWEFYQTVVLCSPNDYNEEWQRANFIACIGQELIFDFLPLYLKPRPRKYYAYNLKTRQVRCITANETAHLHTNSLVSWRRP >OMO78761 pep supercontig:CCACVL1_1.0:contig10541:43445:45017:1 gene:CCACVL1_14110 transcript:OMO78761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNEEGEENVPRGNEWEVVSLTASAYAAAPGPKEVETKENSTDDSYEPEVAETSRALFMSGHFVFPPSEHENLPIEPLEPDDSIEHVGKDVVPESGVDEGGRSRTKGEEDWTLKGLNVHDEFHGLQFFDKKHGTEFEEGTTLQGLDLIDKDQSLYSAAAFSSFHSEEALAGSTTYGEDSIVSELVEASEQGVVSPADIPQSPKTLPDAKYDGSNLPCEAWWKRRAVSLYTHAKDANAFWSIFVAAAVMGLVIIGQHWQQERWQVLQLKWQLSINNEVGCWVRYLALKTLLLVDIAVIRLSGAAPLVTVKKLEMMMKRHSE >OMO78785 pep supercontig:CCACVL1_1.0:contig10541:182240:188629:1 gene:CCACVL1_14134 transcript:OMO78785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L36e MMFMAMAPKQPNTGLFVGLNRGHVVTQKELAPRPSNRKGKTSKRVHLVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSGVLRKMRSAGGGEKKKAVWASFIMPGIASHSRNYTREHLIPTIFPVILDDCFSLSRLASRLQYLLLPTVSPPLQSFIMDTEAVIEFLGNVPLLQRLPNSSFKRIAELVKFKRYEKGDYVVREGEVGDGIYFVWEGEAEVSGSAHNEDENRLEYQLKRYDYFGNVSSTLVHVADIIALTKLTCLVLPHEYCTLLQSKSIWSADNTLETSALVESILHLDPIESNIFQGITLPDAPRFGKVFGGQLVGQACLSFFLSVRFSLLDRLLVILGELILQKEEEGFDHQEAIIPSVPAPDVLLSLDELRELRLTDPRLPMSYRKKVATAKFVPWPIEIRFCAPNTNTNQTKSAPSLRYWFRAKGKLSDDQALHRCVVAFASDLIFSGVSLNPHRKKGFKSASLSLDHSMWFHRYVRADDWLLFVIVSPTACATRGFVSGEMFNRKGELVVSLTQEALLRTARPQNPPVNSKL >OMO78760 pep supercontig:CCACVL1_1.0:contig10541:40772:42703:1 gene:CCACVL1_14109 transcript:OMO78760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGNPTLVCPLSFHNYYLLNPVSSSFYAPEPSFFQIYKSFPKKFLSVQYSRQLKLPNRKRQRNLYPSDLFLVDLFR >OMO78780 pep supercontig:CCACVL1_1.0:contig10541:157651:160241:-1 gene:CCACVL1_14129 transcript:OMO78780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVVINQDGVPENLRKQLAVAVRSIQWSYAIFWSLSTTKQGELQWGDGYYNGDIKTRKTFQAMEFKADKIGLQRSEQLRELYKSLLAAETDQSKRLSAALSPEDLSDAEWYYLVCMSFVFDPGQGLPGRALANGETIWLCDAQNADSKIFSRSLLAKTVVCFPYLGGVIELGVTELVPEDLNLIQHIKASLLDFSKPVCSEKSSSAHHDADGDTDPLHVKVEHEIVDLLDLENLFSPTTEEIKFDQEKFNEILNNNNINEGFNIDSPVHECSNGCEPNPQTEDSFMLEVEGVNGVASQVQSWHFIDDNFRNGVDQNSISSSDRISEAFAKEKEAAHLDLGLGNDEGLHYRRTLSTILGTTSNWLIETHGFHTCGYKSSFISWKKGGIANFNRPRLQQQNMVKRILFAVPLMHKNRTCLLPEYRREEEKFLILRSIVPSISEIDKDSILNETIKYLKELEARVEELESCMDFVDFEAKPRRNCLDMVEQTSGNYENKKYSWINKRKACDIDESHEELNRVGLISDVKVKVTMEEEEEVVIEIRCPCRDYLLLDIMDAVNNLQMDTHTVRSSTLQGLIVITLRSKFRGPAIPPAGMIKQALEKVATK >OMO92942 pep supercontig:CCACVL1_1.0:contig08155:17929:21942:-1 gene:CCACVL1_06719 transcript:OMO92942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPESEWEIQQSSGGNSSNNGKLCWMLRLGKKILVSGIVISSAPLVLPPLMAISAIGFACSVPYGVFLVSYACTQTVMNRLLPMPLPASPLLVEYRKELDHGEEEADWDEKLGGQNEVIKGGIDMEREEEEFKKDTIQEVKMRLELVDKEEEELDERNIVQEDAFWKGGAEIDQTKTMEEVEEKGYEEDNGVFLDKEEERSSQIFEVEVDEIIELKTEQPIIEDSGSEQPASEGHFEAVAEEDEKHSSSVKKNMPLEAANAKEDEELVREKRGLLGRNRDENISNYARDVNQNIANVDEQRIPTKKMEGTAKGKGEKSVPESMNKQPGDEIHGFMTTMEDDEKERVNENTETSSEMKNVPIQIVQSTDMEKAGTARESGSSKRNRKKGRNRKVTEDKPGVEKAKVNVGGVGKQPREFGTKLGGLKEVKVEQVVHDESMSKKPIEEVSTIMVEFEGGEKNDSKNDKEMPFHMKDVTVQLSKSPDIEEDKELVRETRGLLEKIRDEGQADYAMDSKWSTEKEPIAAKKDDKKIVGDVEKAKRSSAHACEKRGKPNRKFEPKGEELKEEKKVLMKVSGENVDVVSKETNFCTNIEGLSENMEVDNISSKGPVSEIVKDGSSTKELIEESAISRRGGQGEDGYNAEQNYLLNREKKEATVSNEGEREINDEKLDLLDELSTVSQQGSPSDMNTLEESLPSSSHSVYQETSDSSELPVSTKAQESDDIQISAGNAIDTASNEAMYNKQKIWEQIKALRTIVGYQAAPQETCIDELKALYLFTGIEPPASFQDTSDLAEVNSKLRFLMSVVGVK >OMO92941 pep supercontig:CCACVL1_1.0:contig08155:3776:3862:1 gene:CCACVL1_06718 transcript:OMO92941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMGLLPNKTMVETMRIIVFPLEFEFA >OMO94298 pep supercontig:CCACVL1_1.0:contig07923:11821:19630:1 gene:CCACVL1_06064 transcript:OMO94298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSWYDLVNIAQTEAQTIWGATRLSTFAAVAGRLAASAL >OMO58448 pep supercontig:CCACVL1_1.0:contig14226:4954:5031:-1 gene:CCACVL1_25455 transcript:OMO58448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCLDEKCAGHCGNQSAKVAKGHKVM >OMO96223 pep supercontig:CCACVL1_1.0:contig07505:22031:22195:1 gene:CCACVL1_05028 transcript:OMO96223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKVSCGQPKAGEGKLLPDVAAHDWVTPIRPFGAVSASWVSSGKSLKNASEKA >OMP12238 pep supercontig:CCACVL1_1.0:contig00254:73:1131:-1 gene:CCACVL1_00068 transcript:OMP12238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSMSVAGNQGPLVAEPKKIKDAHKGGKVSSEKAGSKQSMAVDAKPLKISKRKAQDAKSFTTQDKAKGKSTLKERQEKKYPFPDSDVASMLDELLKSKVIELPKMKRPEESDKASGRFRDKTPRQPSSLIPKPAQVAGGGKIASQAIYFV >OMO72934 pep supercontig:CCACVL1_1.0:contig11333:2179:8206:-1 gene:CCACVL1_17507 transcript:OMO72934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGPSGFNNAPVTKTFVIACALFTVFFGIQGRSFKLGLSYQDIFRKLSIWKLIASVFAFSSTPELMFGLYLLYYFRVFERQIGSNKYSVFILFSIMVSFFFEVMALAVLKDPTANLLTSGPYGLIFASFVPFYFDIPVSTWFRIFGVRFSDKSFIYLAGLQLLLSSWKRSLLPGICGILAGSLYRLNIFRIRRVKFPEFVATFFSRLSWPSAGTPPTAPARNLAGNVPYTTRQVERTYPSATAPSAIEPPEDSIATLVSMGFDRNSARQALVHARNDINAATNILLEAQSH >OMO69151 pep supercontig:CCACVL1_1.0:contig12105:38323:39824:-1 gene:CCACVL1_19644 transcript:OMO69151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ion channel regulatory protein, UNC-93 MGVEGSAAVVADEEKAPSGGESLSTRSRFRYNSPLVQVTLIGLVCFCCPGMFNALSGMGGGGQVDPTAANNANTALYTTFAIFGILGGGIYNVLGPHLTLAAGCSTYVLYAGSFLYYNHHKHQAFAIAAGALLGVGAGLLWAGEGAIMTAYPPPNRKGTYISLFWSIFNMGGVIGGLIPFILNYHRTEAASVNDATYIGFMIFMAAGTVLSFAILPPNKVVRDDGTRCTNVKYSSVSTEAAEILKLFLNWKMLLIVPASWASNFFYSYQFNNVNGLLFNLRTRGLNNVFYWGAQMVGSIGIGYVLDFSFKSRRMRGFVGIGVVALLGTAIWGGGLANQIPYTHDKLPRKLDFKEPAFAGPFVLYFSYGLLDAMFQSMVYWIIGALADDSQTLSRYSGFYKGVQSAGAAVAWQVDTHKVPLLSQLIVNWSLTTISYPLLILLVILAVKDENKSIEENPKEANVTASNKDIST >OMO69150 pep supercontig:CCACVL1_1.0:contig12105:4924:5574:1 gene:CCACVL1_19643 transcript:OMO69150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEPVNGPSQETYPMEAEKVEPNPAGPGGIAQPAGHGEPTFDGPQVGVQNDPTYGPWMVVTKRKSRPPSSKPPQEAFSAKPKAIQNDTQRTKGNDRSAKGSEKMVASYSSSPSSPPTPPSSIPVSVPPPVTISSGNHSSTTTHPPSSKLAPPPKPSCLVDDSVFLLSPPTREPVPHQNSSSQFKTFS >OMO56898 pep supercontig:CCACVL1_1.0:contig14465:13924:14517:-1 gene:CCACVL1_26187 transcript:OMO56898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQAIRSIRAQPPAIFSSSLQSSFGHKSSVVSFRSVKTTATRTENPQSMDQTQKDPNQKTGDVMSHSFGEGYATRADEEGFGGIYGDSDQDKIQLDKKIHENHPAYDKTQGSEVKEKEKARHQTEASS >OMO56896 pep supercontig:CCACVL1_1.0:contig14465:6557:7333:-1 gene:CCACVL1_26185 transcript:OMO56896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidyl-prolyl cis-trans isomerase d, ppid MHIYTVKDTIAFSEHGERFTRALESSLAKSRSDLFTMIIDPRIMPSDLGSSLLDLPFEIREGQNISEISSKVAMPMPTDLT >OMO56895 pep supercontig:CCACVL1_1.0:contig14465:2646:3032:1 gene:CCACVL1_26184 transcript:OMO56895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCRNLSLQKGSSLRVGRCNSLLLKSEFISLECNRIATYEKILDSTKPIPECNGGRHGQKKRKSFGFMISKVFSSRKVPVDFQMEDRPEVASMEENKNNKKKKKKKKKEKSSWLPDPERRWPLQGWA >OMO56897 pep supercontig:CCACVL1_1.0:contig14465:7898:12495:1 gene:CCACVL1_26186 transcript:OMO56897 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MNTNGLVTIQQTGLAHTEEQQYESSGAGRGSRKAQGGVKDKRPVRSQLSPAQAKFFAHGSSNAVVKGGFQQNVCAGGYEDLEGYDVLASPSKILGELSADVRYRNPPDGLTNVTVVVDSQLDDAIMEDDITRSQECGDKDVYMNDSQPGRMKAWPSIMAVVEPRISGNTARKVLRRLKMPKFHVADPEGFAGGIWLCWEESVVNVDVFYSSSQLVHAHVKYGELQFLLSVVYASPVLETRRRLWALLENFAATVDRPWVMIGDFNDVTHSNEKIGGVAHSVGRCMSFTGMIANCGLIDLGFTGPSFTWCNKRKGLARVQERLDRVLANDEWRLLFPETCVRHLPRLHSDHCPILLQCEPHVVMDSSKRPFRFQAMWLMNAGCKKLVDQRWRAMSGDLFGKGVLLAEALKVWNKEDIGNLFEKKKKLRARIGGLQRSLAVQRSHQLELLEEELLKEYNLILKQEETFWAQKSRVQWIQHGERNTRFFHVSTICRRRRNKISMLRNEDGEWILEQDHVQELVVKYFQDLYTAESRDWVSLGLLEQPKISEVDSRLLCRDISAGEVRDALFQMKPWKAPGVDGFQAGFFQECWQTVGQDLVELVQSAFAVGSFNESLSQTFLVLIPKLIGPLQSSFIPGRQAADNILVAQEMIHTIRKSRGKNGLMAIKIDLEKAYDRISWDFLKETLMMFGFPQPWINLIMFCVESTSMSVLWNGEKTTDFKPGRGLRQGDPISPYLFVLCMERLGHLILKEIQQGTWKPIVMGRGGPQISHLFFANDLFLFGRATEAQAHVMRRVLDSFCSASDLGKYLDVPLIHGRVVKGTYRELVDKVSSRLNGWKSKFLSLAGRATLIGSVTSLIPTYSMLTTKIPTGIICKLDSLNRRFLRGGSETKKTLHLVQWEEVCKPKVYGGLGLRSMELHNRVLLQRTAWRFISEPNSLWVRLLKSKYGIPDDVISFVSSHGTKPVWSYSWRGLFGALKDLASGLKWRIGDGFTARFWIDRWLEKPIVDSLDEIPLYVDEDVRVKEFILASGAWNSEFLFAQLPLDVALQVLGYPLPMVDPREDSYVWAATANGKFTTRSAYSNLLNDKGVELVGDWRWIWRLSIPARWVYFIWLARRERLVTKGLRFSWGLDMDALCPLCGSAVEDVLHALRDCPAARCKQIFQDPDDVGGEGDLVRNILMTSAEVCAARLVRGASNVPMQSISWHPPDALVVKLNTDGASCGNPGIAGAGGLIRNAAGAWLVGFAAHLGVCSNIVAELQALRLGLCLAWDEGFREIECEVDAKVVLDLIKEADTAFNPLGALIADIRDLLSRDWSCSCHHTLREGNFSVDRLSKLGCSIDDDYVVYRSPPQEVLAVFQADMRGVAYPRGFKMV >OMP01172 pep supercontig:CCACVL1_1.0:contig06516:15348:17245:-1 gene:CCACVL1_03147 transcript:OMP01172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MTKKRPLTRVNTSVPGADVAVETAAAMASASLVFKTSDSTYSSTLLKHAKQLFTFADKYIGCYISSYEDKFTRAASWLYHAIGDQSYLKYVAGVTEYELVNWGSPTWLSLGERLAITQHPVASAFLASVYSDYMLTSQTGKITCGNDYFKPLDLRKFAKSQADYVLGSNPLKMSFLVGCGDKYQIHASQRGFKIPIDATTGCKDGFKWLYSTDPNPNVAIGVLVAGSFFNETYIDSRNNSMQAANHI >OMO68815 pep supercontig:CCACVL1_1.0:contig12170:2599:9288:1 gene:CCACVL1_19816 transcript:OMO68815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPEAAPPANKGRDEPPLYFGDVSRLQVCNIVSQKQ >OMO68816 pep supercontig:CCACVL1_1.0:contig12170:12891:13390:-1 gene:CCACVL1_19817 transcript:OMO68816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S27e VLQSAIFLLNLPGEQSLRRRSTNSSVSLSLPIFSSRTTMFNHSQTVVVCGNCQIVICQPTGGIELDSPNYRCSFSWKKG >OMO82665 pep supercontig:CCACVL1_1.0:contig09994:35399:35572:1 gene:CCACVL1_11831 transcript:OMO82665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEADVKAELAEEKAKLPTREFVQNKAEGFLKVAARLCLLPASGLGFFSRSHILCG >OMO82660 pep supercontig:CCACVL1_1.0:contig09994:2866:5282:-1 gene:CCACVL1_11826 transcript:OMO82660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIRSQFLVPRTPTSRHLNTALGNLASNATPSFTYSIAEDPAKALPNLLSNCTNLLHLDQVYAQVIRTRVLEFYPAAFHWNNIIRSYTRLNAPIKALSVYIAMSRAGVLPDRYTLPIILKATCQCFAVNVGRQLHSTAIKIGLELNEFCESGFINLYSKAGEFDNVRKVFDENPERKLGSWNAVIGGLSQSGRAKEAIYMFLELKKCGFLPDDVTMVSVTSSCGSLGDMELALQLHKYNNRDVVAAVILGTGTNAAYVETARAIPKWHGLLHKPGDMVINMEWGNFGSSHVPLTEYESWPCIGC >OMO82662 pep supercontig:CCACVL1_1.0:contig09994:9347:11188:-1 gene:CCACVL1_11828 transcript:OMO82662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALKDLKDLLPAAKNSTATYYDHANDPWFKQRFSAPSEEEKATAALKAKPVPPYLKREGFVPRKVEDFGDGGAFPEIHIAQYPLGMGREKGANPRSKILPVTVDAHGNLAYDAIVKQSENAKKIVYTQHTDLIPKIAKNDVDGGNEVKEEEMQKEIEETMLETKAALEKIVNVKLSAAQPKNVPNQSSDSKYIKYKPSQQSAAFNSGANERIIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEESKEEREERLKREKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGMASTGAGRGGEVMYDQRLFNQEKGMDSGFATDDQYNIYDKGLFTAQPTLSTLYRPKKDMDSEMYGGADEQLDKLMKTDRFKPDKQFGGTSERSGPRDKPVEFEKEAEEADPFGLDQFLTEVKKGKKAMDKVGSGGTMKASAGSSMRDGYEGGSSRSRIGFERGH >OMO82663 pep supercontig:CCACVL1_1.0:contig09994:14185:22388:1 gene:CCACVL1_11829 transcript:OMO82663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligosaccharyl transferase, STT3 subunit MTNNSLRNAFGGVLSFFILLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKKGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGAGAGLTAAALLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNSIAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIVIHVVAFVYYIKGILSPKMFKVAVTLVISVGLVVCCAVIAILIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFLPLSDASSFVVLYIVTSVYFSGVMVRLMLVLAPAACITSGIALSQAFDVFTRSIKYQLPGVSSTNELDAGETSSTTAEAQNDAVKTEKTEETSKDRPSRKSKKKEKVQVEKPSTKAKAEKKRLLALPLEASVISLLLLIMLGAFYVVHCVWAAAEAYSAPSIVLTSHSHDGLHVFDDFREAYGWLRHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFHSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSQATSTMLNCLMYKLSYYRFVETDGKGFDRVRRTEIGKKYFKLTHFEEVFTTHHWMVRIYKLKPPKNRIRGKTKKSKSL >OMO82661 pep supercontig:CCACVL1_1.0:contig09994:5576:8010:1 gene:CCACVL1_11827 transcript:OMO82661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, typical subtype MAEPPKGIKPDHGDQTVEIDLSSTTTLDLTSFQLHDLDSVELPTNLTELDLTANRLSRLDSRIANLINLKKLSFRQNLIDDAAIEPISRWDSLSGLEELVLRDNKLAKIPDVSIFKKLLVFDVSFNEITSMHGLSKASSTLKELYVSKNEVTKIEEIDHLHELQILELGSNRLRVMENLQNFTKLQELWLGRNRIKVINLCGLKCIKKLSLQSNRLTSMVGLEECVALEELYLSHNGIAKMEGLSTLVNLRVLDVSSNKLTSVNDIQNLTRLEDLWLNDNQIESLENIAEAVAGSREKLTTIYLENNPCAKSSNYSATLRQIFPNIEQIDSDVFA >OMO82664 pep supercontig:CCACVL1_1.0:contig09994:23404:27506:-1 gene:CCACVL1_11830 transcript:OMO82664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRLRDRSSSQVKRHNHHHETSKKVEAPAAAAAMPNYFTETLTCPFGELATNLSDLELRETAYEILVGACRTTGGKPLTYISQSERNSERAAAPTSTSSASLQRSLTSTAASKVKKALGLKSSRRRKANGESESESERVKKAVTIGELLRVQMGISEQTDSRVRRALLRVAAAQLGRKIESIVLPLEMLQQLKPSDFPNQGEYEAWQRRNLKLLEAGLLLHPLLPLEKTNTAPQRLRQIIRGALEKPMETGKNSESLQALRSIVLSLACRSFDGSVSETSHWADGLPLNLRIYQMLLEACFDVNDEASVIDEIDEVLELIKKTWVVLGMNQMLHNLCFLWILFSRYVATGQVEGDLLFAASNLLMEVEKDAKEMKDPDYLKILSSTLSAILGWAEKRLLAYHNYFHSDNTESMECVVSMGVLSAKIMVEDISHEYRRKRKEIDVAYERVDTYIRSSLRAAFGQIMEKVKSSKRSSKNQQNQLPSLSVLAQDVTTLAFSEKAMFSPILKRWHPLAAGAAVATLHSCYGNELKKFVSSIGELTPDILQVLRAADKLEKDLVQIAVENSVDSEDGGKSIIREMPPYEAESVISDLVKSWIKTRTDRLKEWVDRNLQQEVWDPRANKERFAPSAVEVLRIVDEALEAFFLLPISIHAALLPDLTDGIDKCLQHYISKAKSGCGTRSTFVPSMPALTRCSARSKLSGVFKKKEKFQIAQSRKSQVGTTNGNGSFGIPQLCCRINTLQHIRTELDFLAKRAIANLRKSESTHVDSIADGMGKAFELSAAACAEGIQQLCKATAYKELEHYLEVKAA >OMO82564 pep supercontig:CCACVL1_1.0:contig10020:2373:2438:-1 gene:CCACVL1_11898 transcript:OMO82564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFICIPLKATAVSGDIYDG >OMO85950 pep supercontig:CCACVL1_1.0:contig09557:31327:31407:1 gene:CCACVL1_09909 transcript:OMO85950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKPIVFVGRVLLPHWACCLFPIPN >OMO85953 pep supercontig:CCACVL1_1.0:contig09557:47244:48507:-1 gene:CCACVL1_09912 transcript:OMO85953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRSAKADSGAYIGAVQIAVKRLKAMSAKAEMEFAVEVEILGRVRHKNLLGLRGFYAGGNERLIVYDYMPNHSLITHLHGQLAIDCLLDWPRRMTIAIGAAEGLAYLHHEANPHIIHRDIKASNVLLDTNFEAKVADFGFAKLKPEGVTHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIVSAKKPIEKLPGGVKRDIVQWVTPYVQKGAFDHIADPRLKGKFDRSQLKSTVMIAMKCTDNNPDNRPSMLEVVDWLKGGMRRRKKEVPNIEDKLEVEDHQENGENDETDNNFEGLRRAKSEIVKRKRTRSKKDLSNVH >OMO85949 pep supercontig:CCACVL1_1.0:contig09557:29942:30967:-1 gene:CCACVL1_09908 transcript:OMO85949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MAGQTPPPPITDPFQYLQIVLNPDGTLTRNLNMFTRVPPNPNPNADVDHHPQPHPTPVISKDFPINPSNNTWARIFLPRQALLDSSSSSSLPLIVFFHGGGFILLNADMDVFHNYCSNLAHTIPAVVVSVDSRLAPEHRLPAAYDDCVEALHWIKTSQDEWLRKYADLSRCFLMGSSAGGNIAYHVGLRAAGLVRELEPLKIKGLVLHQPFFGGVQRSGSELRLINDPVLPPIVSDVMWDLSLPKGVDRDHEYCNPTMDGGSKELEKLKSLGWKVLINGCDGDPLVDRQMELMKLMEGKGVQVVRNFRDGGYHGEEDMDPSKAHAMHMVLKEFIISSNSID >OMO85947 pep supercontig:CCACVL1_1.0:contig09557:106:7099:1 gene:CCACVL1_09906 transcript:OMO85947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-D-phosphohexomutase superfamily ALFNSLPPEDYKNGVLVLGGDGRYFNREAAQIIIKIAAGNGVGKILVGQEGILSTPAVSAVIRKRKANGGFIMSASHNPGGPEYDWGIKFNYSSGQPAPESITDKIYGNTLSISEIKVAEIPDVDLSRVGVTKYGNFTVEVIDPVSDYLELMENVFDFQLIRSLLSRSDFRFAFDAMHAVTGAYAKPIFVDKLGAIPDSISNGVPLEDFGHGHPDPNLTYAKDLVNIMYSENGPDFGAASDGDGDRNMILGKQFFVTPSDSVAIIAANAQEAIPYFKSGPKGLARSMPTSGALDRVAKKLNFNFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSIIAYRNKDKKPGEKLVSVSDVVKEHWATYGRNFFSRYDYEECESEGANKMIESLRDLISKSKAGDKYGDYVLDFADDFAYTDPVDGSVASKQGVRFVFTDGSRIIFRLSGTGSAGATVRIYIEQFEPDASKHDVDAQVALKPLIDLALSVSKLKDFTGREKPTVIT >OMO85952 pep supercontig:CCACVL1_1.0:contig09557:36960:40270:-1 gene:CCACVL1_09911 transcript:OMO85952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNKGDTVKKQTPQTQQQQQQQQQQLSSSSPKEALDQESSDSRQQKFISAPLYVPIGATSSTFEPQFEPVNPKRTRYNSGQWKLLPSPSTLQTQAQMAIITTESSPSPTTTANPINPQTQAHTTAASSSDTASSPPHSPLPSSTSGGQETNKPEGEQFHHQFRKGKYVSPVWKPNEMLWLARAWRVQYQGGGGADGSGGGSSGGDVAGHSTRGKTRAEKDREVAEFLNRHGINRDAKTAGTKWDNMLGEFRKVYEWERGSEKEQVGKSYFRLSPYERKLHRLPASFDEEVFDELSQFMGPRMRSTPQSRGAISSGDEGRAPFLSSSSKALPPPPPFKEDELLPISVRTKQQLVTTSGLSGGETFFHGTRGSLLGFVDTSSSLDVGVNVTLPSSSSSSKELRRIGKIRMTWEESVNLWAEEGEHHRGRVRLFQGSSFLNADELTFFDDAMVACTMEAFEDGPLKGFSVDRFVNGQQVKVFGRRKSSTTASASSSGFIERAQLPFAEPLIRTIPSLEFQDPTDYYLSCLRVPPTTLPSLFELSWHVQEPPPEEYRFPLRKDVYKDLPPGKEVLFTTSNELLDCRAIMYDIISPIIRTNPSLTASTATSRDSFIGLWDDCINRVVSKFCSLEMGIIRKSSSSLSLTSDQPLQDQWPNVTGFIRNLCLWRGEETDQLREGQLDPPSLIVEKLLWTFMDLPYIFGYYAVGYSVTFCALSRSQDRIIRTDIYSVDLLSPSERLKALVPCLRIAGLLPLLADRCFINNNAKQYFPFSDFERIDLGNGNIIEMTPNFATRSFSSKRKWAAVKEIYDILDHRIPHAEFICRESDKDLTLVFKPRGCKFKPVNCEQLVEALKYVTKALVALHDLCFMHRDLGWDKVLRRSDRDNEWFVCGFDEAVGAPQLYPHVVAGGDARGRHAPEMGRGLHGVKVDVWGIGVLVRTCGLANVPKMLRELQNRCLDQNPELRPTAADCYHHLLQVQSASSSGAPY >OMO85948 pep supercontig:CCACVL1_1.0:contig09557:21874:22389:1 gene:CCACVL1_09907 transcript:OMO85948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQHQKDEPKKQLVFDASVLKYQSQIPKQFIWPDEEKPCVNAPELKVPLIDLGGFLSGDPVAAMEASRLVGEACQEHGFFLVVNHGVDASLLADAHKFMNDFFELPLNEKQRAQRKLGEHCGYASSFTGRFSSKLPWKETLSFRFSAEEKSSKMVQEYLISKMGDDFRHFG >OMO85951 pep supercontig:CCACVL1_1.0:contig09557:31885:33098:-1 gene:CCACVL1_09910 transcript:OMO85951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MANLADSQTAPSSDPIVVVDPYKHMEITVNPDGSLTRNPNRFPNTSATPDPDQPNPVLSKDVTFNQSNNTWARIFLPREALGASSGVNKLPLIVYYHAGGFIHCSAATTGFHLFCSKMALELQAIVVSVEYRLAPEHRLPAAYEDAMEALHWIRTTQEEWLKQHADFGNCFLMGSSSGGNIAFNAGLRAAGEVDDLEPLKIQGLILHQPFFGGSQKVESELRVVNDPILVPGVSDVLWELSLPIGSDRDHEYCNPTATKESKLLEKLVSVGWKVLVTGCDGDPLIDRQVGVVKLMEEKGVKVKSHFREGDHHGVDFTQPAKAKALFLVLKEFI >OMO77341 pep supercontig:CCACVL1_1.0:contig10777:39:4881:1 gene:CCACVL1_15063 transcript:OMO77341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad1/Rec1/Rad17 MVDALSAVRWKRHQDAVLELSEHGVVLIVEDTGCLQAKVYLQRELFVRYDFNAAGRPRFGVSLGLFVDCLNTFSVPGRSSMIEIQYPGPDMQLLVKSVDSLDACIYAEIRTRIPDTISWDYNFEPAGSTPITFTVKSAALKEAIDDLEWPGSSIQIILQPVPPSVTFKGEGHGDLQIDFMYYVNTDLLIVFHCDRQVSYRYKYKFLRATTSNLPSSVIKDNRGSKVTIGRGGMLKVQHLVSVAKTATSHIDSAGCRFCRNYLGNSIDPFASCPNLKELHLRNCTVFKPGILLKITGPQLVSLVIIGFHINSKQGSDDIMKLQIWAPTLRSLSFRLSMPLSTFLQSSSSCIGNFGHWISSCNWQRPYRLSILDEYVPRLSSSSIRIACVLIFVSLSGTFLFGSTERTIDEVHSTWEGSIKEGRSAEDHKGFEGNDVDVREHSAANNSGEGEGVNNPVGVSSDEKGIDDDSSEEDLVPALINLNDPPHIIEGMLKTYHDYCQLRFAECSVKRSRFLSQVTNETAFHHFRCMREAGFAWGRTASKLYTAISTIVLFTFESFRGEPSIYRIG >OMO77342 pep supercontig:CCACVL1_1.0:contig10777:8391:9540:1 gene:CCACVL1_15064 transcript:OMO77342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRNSNFGASDVYGVSASRGPTPRPSNYEEDARETVDAKIAVLKMVVT >OMO77344 pep supercontig:CCACVL1_1.0:contig10777:20601:23673:1 gene:CCACVL1_15066 transcript:OMO77344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSGTRRGSRQGQYRHHVPRDADETWSVKPLHDTPQQPQLDSEQQEESNLDCPSSSSANSHQQKFSDFTQRPPKTHRNPNWKSRRGRVARPRFVKKSELTSSKSELSSEDSKASLSVGVAEEVKGEQEENKESEVLESKGEESGDGIEEDEPQDGVDDVISRLEELRLRVEEPELSEEQLRINDQLQEDELLAMESIYGENVFILDREMGLRHFKILIHLEGIGETIVTTKLNSANDVGVNSETLDDFSYSFKVQNLPPIVLTCLLPRSYPSHLPPIFTISVQWLHSARISDLCSQLDSIWKEQEGQEVIYQWAEWLLNFSLSYLGFDKEAMLGPYDMENTGDRRAISGSVSPDVDVPFIKSYNDEKCHENFLKSLHECSICYSEYAGTDFIRLPCQHFFCWKCMKTYSDIHMTEGTISKLQCPEAKCGGMVPPFVLKRLLGDEGYERWETLMLQKTLESMSDVVYCPRCETPCIEDEDEHAQCSNCFFSFCTLCRERRHVGIACMTPDVKLRILQERQKSSQLKPDQIRREKEMINELLSMKEIMRDAQQCPSCKMAISRTEGCNKMVCQNCGQYFCYRCNSAISGYEHFRDGTCELFPQEMRADWEERINARQAVAQVYAELFPDHGQPCPNCRQYNAKVGKNNHIFCWSCQMHYCYLCKKIVRRSSQHYGPKGCKQHTVG >OMO77345 pep supercontig:CCACVL1_1.0:contig10777:24602:28537:-1 gene:CCACVL1_15067 transcript:OMO77345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLQTPHTQEGVCYTDQTFKIRPLLYYNNSSSLGIGGIEFDKVGDNQITIAIMKLTLTPSFHYNCT >OMO77346 pep supercontig:CCACVL1_1.0:contig10777:29783:32712:1 gene:CCACVL1_15068 transcript:OMO77346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MVSRSYSNLLDLASGDSPSFGREKKRFPRVATVPGLLSEVDDDNCNSVGSDAPSSVSPERMIIVGNQLPLRAHRNTDDGEWCFSWDEDSLLLQLKDGLGEDVEVIYVGCLKEEIAPNEQDDVAQTLLETFKCVPAFLPPELFTKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETEAKVAELRDQFRGQTVMLGVDDMDIFKGISLKLLALEQLLTQHPQNRGEVVLVQIANPARGRGRDVQEVQSETYATVKRINDTFGRPGYDPVVLIDSSLQFYERIAYYVIADCCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLDPTAPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVAEAMDSALILSEAEKQLRHEKHYRYVSTHDVGYWARSFLQDLERACGDHLRRKCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGTMMTTGSLSTTPNAEAVAILNNLCRDPKNVVFLVSGKDRKTLTEWFSCCEKLGIAAEHGYFIRPNHDADWETCVSVPDFDWKQIAEPVMKLYTETTDGSAIETKESALVWNYLYADPDFGFCQAKELLDHLESVLANEPVSVKSGQHIVEVKPQGVNKGLVAEHLLMTMQQKGMLPDFVLCIGDDRSDEDMFEVIMRARFGPSLSPVAEVFACTVGQKPSKAKYYVEDRTEILRMLQALANASEQAAKTSAPATSQRVIIDRE >OMO77343 pep supercontig:CCACVL1_1.0:contig10777:10799:19872:-1 gene:CCACVL1_15065 transcript:OMO77343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKSKKQTKTPSRQSNSDPSASPRTPSVTSVDSEVSEEELRGSLEELSRRFPSLIGKSALIGRVNDVDLETRGCKIWLSENSMVSSYIAPGSLVSVSLAALKNKHSNGFPLSSVTDECGKPFGVDSANETAKGVGHYFALATVFPSCKVLKNGVRLSSSLSYTLGSPSSGSIVRVYPIQSEFQTGLLSGSEKAHNPSANCLSLFSCKQLYLELTHVRNKKSTSNDISSTMEFSADKIHGQFENGTISSPKTPLYQLKLSSPHSSKLASPLVEGSASNFSKSNDLYVDSFDVKEILKDESSKKLIETCAASWLYSRNLLCGNLVAIPILSELCIFHVRGAEFTKQDVTNISHHSLSLQTLESMEHVNTAFVVDHETKVNLSFLSDLSSETLAARPLPHVQLDLEDDKTIKEHDIPKLGGLSKEYAVLKEIISSSVKNTLSSTLTIFSLICYLLLMLLKINTGVPSPKQRLDILHTLLSKMDHCISDNQVQQLATATHGFVGADLASLCNEAALVCLRCYAEFMVSSQGLDSCAMPIKHVGHSGNNMEIECGSNVLDIPSGCSGSTSCKTVLPDSADTVSQITTLQNGISNISGGMSSVEKQCLLRLSFEDFEKARMKVRPSAMREVHAGRFDRLLYVGPPNKNDREDIFRIHLRKIPCSSDVSLKELAHLTEGCTGADISLICREAAITALEESLDVEEVTMCHLKAAIRQARPSDIQLYQDLSAKFERLVHSSIVEKNLGSQECSIRSTGLPFWKRLMRSVSPLFARFSAAHPPSKSAGGCNGDMSRGRKNFVVNVYLDINGVYYSASSALLLEDHVFDCSPKVQSDRNEVEELPFPTRRFEFCRNPGLFPLVVRVLKSLNWDVAREIRFYMAVNMYGFDHSIYMFRIIIHIFAMAGMQMEVYSLLRDIVCYYKEFKMDIFELLPCLLDSPDHVHRSADVFNVLIKVFASNLMLENGVDVYVQAKKNGLEPNIMSCNFLLKCLVEANREEFVISLFEDMKNSGPPPNVYTYTIMMHFYCKGYHGRNVDVEQATKLLEDMERDGKNPSVVTYSTYVLGLCRVGCVETALDFVRVLRSRNEPMNSYCYNAVIYGFCQKGELYEASKVLEEMKNFGISPDVYSYGILIDEFCKRGDFEKGLNLIDEMKVNGMKPSQVIYTSLFDGLCKSGLADVALNFIRNLSNDDFEYDLGFYCVLVKAFCLRGDLDSATELLKGMISNNIIPPANSFNWLIHGFCETGLLDKALELFSIMLQCGVLPTLFTFNVIADGYCRVGYLEEALKLINEMHEFGIFPNSYTYNGIIKRLCKQGGSGKACELFPEMLKKNILHDVHYSIIIDGFAKESNPRKALMFYTRMLKLGVTPTTVTYTILINLFCHRSKMHEACGLFKDMIGKGLVPDTIAYTSVIAGFCRVKDMKKAWSLYKEMLGRGYLPNVVTYTCLIDGFCHIKRMDMANLLIDEMKRQEIKPDVVTYTALISGYKKLGDIDLAHELFDEMKRKGIVPDDAAYGALGVDKGMTA >OMO74101 pep supercontig:CCACVL1_1.0:contig11160:223526:226043:1 gene:CCACVL1_16981 transcript:OMO74101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPGTFSDEPLTPAGRLFLQPETNIVIHCILRGKSPINVDSVKSNVESSIMVQHPRFCSLLVRDKNGFEHWRRIKLDVDKHIVIIKNRLDKSGNFLDSQRISGDEDEEEDEAALNLYVADLSVSSPLSTDKPLWELHILVAHKAALFRVHHSLGDGISLMSMLMAGCRRADDPDALPRLTPEKKTVESKGSKGRNCFWLLGALWGFLKMICFTLIFATEFIFRTLFLCDRRTVLTGGDGVELWPRKLATAKFLLDDMKLVKKAIPNTTINDVLFGVVSSGLSRYLDHRTPNAMHEGLRMTGVAMVNLRAQPGLQDLSKLMQRNSNARWGNKFGVILLPVYHKGGNNPLEHLRRAKKMVDRKKHSLEAYFSYTIGDLVMSLLGPKYACWLNYRLLCNTTFTISNIVGPLEEITIASSPVSSIKVNTSSLPQALTMHMLSYNGRAEMQILVAKDIIPDPEFLAKCFEDALLEMKEAALGILKD >OMO74100 pep supercontig:CCACVL1_1.0:contig11160:220340:220780:-1 gene:CCACVL1_16980 transcript:OMO74100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S9 MAEKAPIESVQCFGRKKNAVAVTYCKRGRGLIKINGVPIELVEPEILRFKAVEPILLLGRQRFAGVDMRIRVKGGGNTAQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >OMO74089 pep supercontig:CCACVL1_1.0:contig11160:139662:142788:1 gene:CCACVL1_16968 transcript:OMO74089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl transferase MLRALVRKAAAASASASWSVNSAPCVIANPIQRLQARHFSIGVLPDGVDRNSDTFTQNSDEMGRLLSELQSRINKVLAAGGEAAVKRNRSRNKLLPRERIDRLLDPGSSFLELSQLSGHELYGESLPSGGIVTGIGPVHGRLCMFAANDPTVKGGTYFPITVKKHLRAQEIASQCKLPCIYLVDSGGAFLPKQAEVFPDKENFGRIFYNEATMSSQGIPQIALVLGSCTAGGAYIPAMADESVMVKGNSTLFLAGPPLVKAATGEEISAEDLGGTTVHCKTSGVSDYFAQDEMHALALGRNIVKNLHLAGNQGMLNASSRIDLAYKEPLYDVKELRSIAPVDPKQQYDVRSVIARIVDGSEFDEFKKLYGTTLVTGFAKIFGQPVGILGNNGILFNESALKGAHFIELCCQRNIPLVFLQNITGFMVGSRSEASGIAKSGAKVVMAISCAKVPKVTIIIGGSFGAGNYAMCGRAYSPNFLFLWPNARVSVMGGAQAAGVLSQIEGANKKRQGIQWTKEEEEEFKAKVVEAFEREGNPYYSTARLWDDGIIDPADTRKVIGLCISATMNRPLEDTKYGVFRM >OMO74072 pep supercontig:CCACVL1_1.0:contig11160:49952:51618:1 gene:CCACVL1_16951 transcript:OMO74072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAIHDPNSHQSYPADAHMGPIPASGVPDPSPNQPYSAVHHHHPYLNRAPSAPVTGQWTSGLCHCFDDPVNCGITCVCPCITFGQITEIVNRGSKSCVSRGFLYGLLTVTGFACFYSCFYRSKLRGQYDLPEEPCTDCLVHFCCPGCALCQEYRELKYRGFDMGIGWEANMDRQKRGVTTAPIVIPGMARS >OMO74071 pep supercontig:CCACVL1_1.0:contig11160:40037:47655:-1 gene:CCACVL1_16950 transcript:OMO74071 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MPRETQSSSSMEEDEEDELFSDSDSDANDSSDEYTVDGQEGGGGEEEEEEEAEFDDDGDGGESTAHHPPSNEELKSKNVDALLRGNLVVRRQSLLPRVLSVADGAAVCRKPFKPPCSDGYSDGNEHLARRLWARKRFVPWGSSRPALVAITNRLDVTRTDGNDVGEELVTLPPGVDPLILWQPEESEDGPNNLVPIAVDPLLVRFLRPHQREGVQFMFECVSGVYSAANIYGCILADDMGLGKTLQSITLLYTLLRQGFDGKPMVKKAIIVTPTSLVSNWEAEIKKWVGERVHLIALCESSRDDVVSGIDSFTRPRSSLQVLIISYETFRMHSSKFCQSESCDLLICDEAHRLKNDQTITNRALAALSCKRRILLSGTPMQNDLEEFFAMVNFTNPGILGDAGYFRRYYETPIICGREPTASEEEKKVAAERSSELSAKVNQFILRRTNALLSNHLPPKIVEVVCCKLSPLQSELYNHFIHSKNVKRAITEEAKQSKILAYITALKKLCNHPKLIYDTIRSGSSGTTGFEDCMRFFPPEMFSGRSGSWTGGDGAWVELSGKMHVLARLLAHLRQRTDDRIVLVSNYTQTLDLFAQLCRERRYPYLRLDGTTSISKRQKLVNRFNDSTKDEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVFIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQVDSHMVQGNFFSTEDLRDLFTFYDNVRSEIHEKMNCNRCENCGVEPENREEQGEYETEISSGSDQEVTDIGGFAGLAGCLDKLKSSEKQVGTPLEEDLVNWGHHFHSDTVPDTILQASAGDEITFVFTNQIDGKLVPIESKANPMMQQREGSKGQYIGKMNMIEREGNRFQQKMVNQNLNSKSNFLSKHHSLLNSVSSNRISSRTPSPTCSSPFQGQTVKSMRTCLKSRVQETIKGKISVGNQLPLKRPSPAYVQHDDDFQ >OMO74079 pep supercontig:CCACVL1_1.0:contig11160:73807:76571:-1 gene:CCACVL1_16958 transcript:OMO74079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEATQAKIAKCSESMWKLAYYATVETCVLKITYQEPWFRDTRQYFRGWPNQELKLPLSFFYMCQCGFYIYSIAALLTWETRRKDFAVMMSHHVITVILIGYSYITRFFRIGSIILALHDASDVFMEAAKVFKYSERELGASVCFGLFAISWLLLRLIFFPFWVIRASSFDIQESLDLSQAYPTSMYYIFNTMLLMLFVFHIYWWVLICSMIMRQLKNRGKVGEDIRSDSEDDD >OMO74070 pep supercontig:CCACVL1_1.0:contig11160:18697:28915:1 gene:CCACVL1_16949 transcript:OMO74070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGAWNNIAKSAEAVVSRWAVKRVFKFLLKKKLGQFILGDIDLDQLDVQLTEGTIQLSDLALNVDYLNQKFGPTASLIIKEGSIGSLLVKMPWKGKGCQVEVDELELLLAPCSENHLSSADETCCSSDDGNHNMHCNMGKFSNDMTGNAGKSDNVHEGVKTIAKMVKWFLTSFNVKIKKLIVAFDPSLENDEKVGCHRTLVLRISETECGTCVSEDADIGCETKAQSFLGINQLTNFVKFEGAILELLYMEDVDNQSCFPCTSTISGLFSDCSPSNASIPIVSGKGGGFSGNLKLSIPWKNGSLDIRKVDADVSIDPVELRFQPSTIKWFLYSWETLKKLDMVRRNRMQSETAESIYLNSNSQFQSTAASSVTVIDKVTANHGSFSTDHTRHMQESIAEAVLPGSHLIPNWVPVSIGKSQRDFIGEVDFGASVDQFFECFDGMRSSQSALGSSGMWNWTCSVFSAITAASNLASGSLHIPSEQQHVQTNLRASFAGVSIMLSFHDEVWDHLCDLNGDKINTSSDIHYLGIECRDISLVVQVCPQEMRFEGTMKHVEAADYLCCKNGGDCSNNINKQTRLIRNLQGEVLRALPLFPSSGEDRSSDEFCGLVSADSPFGDKGGLVKIMLFTTSGVTNFQCTVGSSSAHGGFSGPTSFSFKLPPLVFWFNFCLMEILSDLLKEVGKSSETGSSNDNKFSSDACNENYKSSHQHVKRGSGSITTLSSAETLRGNISIPNARVILCFPFKRDEDYGGYASWDQFIVLDISSRSTLKGGMQGNSPQFDGSLQKRFSSSATRSLHLNIGNLCFYLVTSALRNGMETGLGGMQRHKFSAQKIFSVSNRAGYCSVISMFWQEGHVTGPWIAERAKFLATLEENRSRNKSMGKGYEFATATTLKDMDDISSQTRQEIILSTAFFIHIHLFPVIVDLDSSQYSALHYLLNQMMTESSHLSCDATGSKEEHLVSQTSVLLECDSVEIFIRPDVVEIVKGPMQSELPGSWGCLKLKIHKFELLSVSNIGGIMGSSFLWLTHSEGTLRGSVSGVQDKEFLLISCSDSTMKRGDGGGSNALSSRLAGSDILHFWEPESCQDFTSITVRCSTIVAVGGRLDWMEVISSFFSLPAVDSELAVDNNLRDLDKPPRKSSFVLKFVDVALSYEPYLKNLAVCSGVLASESASLNANEESEPYVACLLAASSFSLSNPIVADSMDNEYKIRVQDLGLLLRAVSECDKLGGTYSVEQLNQAGYVKVAREALIEAFVKTNCNSGLLWEVGCSKSQIYVETCHDTTSGLIRLAAQLQQLFAPDVEESIVHLQTRWNNFQRAQQKNDEKYRALSCDSGPSTSQIYVDNVDTEDKREVVGLMNEICEDAFHLDGTETFQLHSSESQLHFPLVENVAEEACSLSFENAEMFSQDLPVNGVGLESNQTSFLQRGYIPEFIESYCLSELRPLTELSAGMKSSHEVLKFRSIHVGEGDLGRGNCGWYEDASLSIVENHISEPSEQAGGMKENVEGKLSYSDCSVPDDMVNVLGRVLLKNIGVRWRMYAGSDWCETRNHNKQSKYIHKRDTSVCLELAVSGMEFQYDAFPAGGISVSKLSLSVHDFHLYDKSRDAPWKLVLGYYDSKDHPRESSSKAFKLDLEAVRPDPLTPLEEYRLRIAFLPMLLHLHQSQLDFLISFFGERSPTVDQSAGCPDPDLFARKSNNVAGRGIANEALLPYFQKFDIWPVLVRVDYSPRHVDLAALRNGKYVELVNIVPWKGVELELKHVHAVGIYGWGSVCETIIGEWLEDISQNQIHKVLRGLHPVRSLVAVGTGAAKLVSLPLESYRKDQRVLKGVQRGTIAFLRSLSLEAVGLGVHLAAGARDILVQAEYMFTSIPPPVSPPSQRKTKKNVRHNQPKDAQQGIQQAYESISDGLEKSASALVQTPLKKYQRGATAGSALATAVRAVPAAAIAPASGCASALHCALLGLRNSLDPERKKESMEKYFGPTLPQDHN >OMO74068 pep supercontig:CCACVL1_1.0:contig11160:6340:7547:1 gene:CCACVL1_16947 transcript:OMO74068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSKQKMSSVKASASSYYRKTVRSYVSGYDF >OMO74091 pep supercontig:CCACVL1_1.0:contig11160:148275:149852:1 gene:CCACVL1_16971 transcript:OMO74091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Limkain-b1 MANLNASTSIVPTESSEQRGTLVVDSNVNVLQPPTSQQNRASSDGPVAILWDIENCPVPSDVRPEDVGGNIRMALRVHPVIRGAVMMFSAYGDFNAFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYTVILVIPSGVGVSSALSNAGKFVWDWPSVARGEGFVPPSKALMPPRGGQTDIAGYFMGCHIGDNPDGQNEEEAIVYRGISQSYYNSRDFSIVSQSLSEYTSNLPSFPTTLRSQSLPSGLNEASGCPGVCDQNDTMWVQPGDINGLKLQLVKLLELSGGCLPLTRVPSEYQKYFGRPLYMSEYGVFKLVNLFKKMADTMAIDGKGHKKFVYLLNWKAGPSAPPLVLARKDKKGKGNQEEGMEVGVAAGSSDEFSDEERVVVEEHNDRRNEGQTNFGTAGCEVDNRDLEQFKYELQEILVSYSCRIFLGCFEEIYRQRYKRPLDFRKLGVEKLEDLFDKVRDVVVLHEEPVSKRKFLSAVGS >OMO74090 pep supercontig:CCACVL1_1.0:contig11160:143713:145609:1 gene:CCACVL1_16970 transcript:OMO74090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MASSTSLNPSHFVSIRAHPRAISSHLPPKLNSSAVSFPLKNGSFKTRNAPPLNLKVLSAREPKAAVVTQDTWDKSILNSDTPVLVEFYASWCGPCRMVHRIIDEIAAEYAGRLSCFVLNTDNDLPIAEDYEIKAVPVVLLFKNGEKRESVVGTMPKDFYIAAIERVLKS >OMO74108 pep supercontig:CCACVL1_1.0:contig11160:268837:269589:1 gene:CCACVL1_16988 transcript:OMO74108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSFGPGRVWTPLQAMVNLRKENLTEMDEKVKKQRLQDLKSCSQNAPPPPVPQNAPPPTPSHGAPPVLTHAANTISQYVPTVYQYVPTSAHTINPTNPSTQASTSSDNPSSSHPV >OMO74103 pep supercontig:CCACVL1_1.0:contig11160:231213:234635:-1 gene:CCACVL1_16983 transcript:OMO74103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKTWLWRKKSSEKTIVTTDKPISLETIDEEVQIPSMDGGRDRIVKNLNEKLASVLLEGHAKDDLLTKNVKMAPEANSGCLKAEAEAVFLKKELEDALRQGKLANEKLTQSDAALKDCMQQLNFVREEQEQRIRDAIKKTSDEFEKAQKALQEKLTEANRKLEELASDNSRLSKALLVKEKLIEDQQKHKSQAEAEFNALMTRLDITEKENAFLKYEYHVLEKELEIRNDEMEFNRRSADLAHKQHLDGVKKIAKLEAECQKLRLLLQKRLPGPAAVVKMKNQVEMLGRDKTDMRRKKLNPTAENSPENPARNINFLIEQLRNMEEENRSLKEMMSKKNAEFQSSSLVCSQTLSRPTQVEFRPRELFKVQNSTELVRSSPVSSELSLTSAFDIGSIDGFSSSSIWANALISESAHSRDRRLGNPMEQHKAIAVPEMRLMDDFVEMEKLALVAGGGNNQLSVAAERERSFDWLQVVLHAISEHKRMSNRSSHEVLEDIRIALGCSNLLSAPGVSKTASSMDPVEADSLHISGYLGWKSPTASPTVGSLSGASSSVGTPAEKKQSLQSNLSNSICRIIELIEGLERDQTPNQPTAHADYFVRVFQWKSSELSTVLQRVLRTCNDLLSGRADLEIFAEELSFALDWIVNDCVTPKEASSARDKIKRHFGWNESKSEKEFGSQDEIHVSEEQSSCLDSFASSDDQNKRVFSQKEGIQCSLEEENKRLKDDLKNMEARLESATEKSEALTVQLHEAEEIIGSLETELKILKESKEMIEDQVENQKSINEDLDTQLTVAKVKVNEIFQKCSSLEVELEYKNNCCEELEATCLELQLQLESVAKKETPKYVMNQEGKQSQKGWEITAASVKLAECQETILNLGKQLKVLASPQDAALFDKVFSNNAAANAVINNRRMTKRFSLRDRMIAEDGAKAEASKSPNAESENSSLPDSKNEGSNTAVMALAIVPSKKQGVGLLRRLLLRRKKGYSKKSRN >OMO74097 pep supercontig:CCACVL1_1.0:contig11160:197167:201978:-1 gene:CCACVL1_16977 transcript:OMO74097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MQGQGGTIDSLPETVNIDEGSSPNNNSIGQPSSLHSMLNPVETRLSNYTVSSGGTMHGNAITPDVQSFGGWNSGEPSSRLRLQNQVMQDVLNHHINDDGTKMERGWSSSFGVRAGAASRSEERQIEPPNIFFPGRLNNGRSGNQVRSGPVYLQGSSSSHIPQNMNLNEGFISSSGNGGSSVETGLGPSLRNSGGVEREQTSNACVSSDNVGSSSGSSNYMGEEPNDGSGCSLGSWGLSCKRKALEGTSGQSYSASTSSCFQQIENAAWHIGPARNDASSSLSLSTPSRNFLNVGPPDQPNPRVGLGMRGVITDAFPSSSVRRANAGNQQESVPYSLSSTGVTGHSSFSSLNQPRTVPFSDSLDLRSTAAIAGNSSSAPPQPHMRTVSVVPRSVHPFPWNGASNSRAGNPSSSINSGERAAAMREEPNIRNIPRNNAEHSMFSTATEMRNLAQDPTGWSLASGSIPSSSRPGPSSSIHPLPTPSWISSQNPSIHNLQRLSEFSPWSLFPPIDSEPGGHSGHFPPLSAAPSASSQETVMPPGSNSQGNNQPFPRSAFLLERHGDDVLGMPHSLRALAADIEGRHRLISEIRQVLNAMRRGESLRFEDHMLFDPFVYQGMAETHDRHRDMRLDVDNMSYEELLALEEQIGDVNTGLSEETIMKLMKQQKYSSTTTESPQDLEPCCICQEEYADGDDTGALDCGHNFHINCIKQWLMLKNLCPICKTTALLK >OMO74083 pep supercontig:CCACVL1_1.0:contig11160:107340:111479:-1 gene:CCACVL1_16962 transcript:OMO74083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 10 MGIISNLRGGSRTQEGLPLADGLPSSTSVSNKKKWSNLMPLLVALVVVAEIAFLGRLDMVKNAAFFDSWPEMFYKSYSSGEAGVERLGIRALGGDQNSGTESCEEWLEREDAVVYSRDFVKDPIWVSGAEQEWKTCGVSCTFGFDSSKKPDAAFGLPQQPGVASVLRSMESASYYSENNLAMARRRGYDIVMTTSLSSDVPVGYFSWAEYNLMAPVMPKTETALAAAFISNCGARNFRLEALIGLEKENIKIDSYGGCHRNRDGRVDKVEALKRYKFSLAFENSNEEDYVTEKFFQSLVAGTIPVVVGAPNIEDFAPSPGSVLHIKELEDVQSVAKRMKYLADNPDAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATVIQEKEEKSPGFKKRLCKCTRGSETVYHLYIRERGRFEMESIFLRSSNLTLDALEAAVLMKFKSLKHVPVWKQERPESLRGGNELKVYRIHPVGLTQRQALYTFKFKGDADLRSHIENNPCAKFEVIFV >OMO74096 pep supercontig:CCACVL1_1.0:contig11160:180676:183389:-1 gene:CCACVL1_16976 transcript:OMO74096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEVGGHESLSLWENQLWVNVSNSDNTNSGGGSDEKSNSAAKINQQEEETGLQDDETQKKNKRRGKAAAAAGESSDHEMHIWTERERRKKMRNMFSNLHALLPQLPPKADKSTIVDEAVNHIKTLQQTLQKLQKQKLERLQLQSGISFGYNNEPPSMSNLTTTKKQAFESSREAFLADQVSSNDQQLNVSASNNTFSSSISSVSNDHQLFPVSFQTWTSSNVVLNLCGNNQAQISVCSAKKPGLFTSICYILEKHKIGVISAHVSSSNSNQTMFMIQAHAGGEGCNQMSEGFDQVEETFKQAAAEIMSCVSS >OMO74106 pep supercontig:CCACVL1_1.0:contig11160:246887:250946:-1 gene:CCACVL1_16986 transcript:OMO74106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAQVQGQNGSANNGAAGGNNQFVTTSLYVGDLDYSVTETQLYEYFSHIGQVVTVRVCKDLSTRRSLGYGYVNYSNPQDAARALDVLNFTLLNGKPIRIMYSNRDPSLRKSGAGNIFIKNLDKGIDHKALHDTFSAFGNILSCKVATDNSGQSKGYGFVQFETEEAAQKAIEKLNGMLMNDKQVYVGPFVRKQERDTAISKTKFNNVYVKNLSETTSDEDLKNIFGEFGTITSAVIMREPDGKSKCFGFINFENADDAAAAVESLNGKKFDDKEWFVGKAQKKSEREVELKQRFEQTMKEAADKFQGANLYVKNLDDSISDEKLKELFSQYGTITSCKVMRDPSGISKGSGFVAFSTPEEASRALVEMNGKMLVSKPLYVALAQRKEDRRARLQAQFSQMRPVAIAPSVAPRIPMYPPGGPGLGQQIFYGQAPPAMFPPQPGFGYQQQPVPGMRPGGAPMPNFFVPMVQQGQQGQRPGGRRAGAVQQNQQPVPLMQQQMLPRGRVYRYPPGRGLPDVSMPNVGGGMLSVPYDMGGMPMRDAPPSQPIPIGALASALANATPDQQRTMLGENLYPLVEQLEPDTAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMEVLRTVAQQQQAGVATDQLASLSLSDNLVS >OMO74067 pep supercontig:CCACVL1_1.0:contig11160:1885:4532:1 gene:CCACVL1_16946 transcript:OMO74067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METETEKSQISEILLDPDNGNPQDIKELFLGFACSVPVSGLGFTGFGDLGFGCMKTMVRSNVRHGFWLHLPMPFCKLHMPKHDTSMTLEDESGKEYKATYIAERTALSAGWKAFSAGHKLVEGDILVFHLVSSSKFKVYIVRAFKSKKVDGNFSSLNVNVHAKPIRSRRMKRRKRTAKNAGCLELFPLNLPSANVENNSLMLLDTKNEQLVDQSENDSKDLSSGLVDGIRSLASIIDFQEVKSIDSFIITVNGSRIELSEHHKTKYYELCCSQNSFLHDHLLKSISSTLAAEIIAQTVKIAEAIKGCKLSTSQADYLLWDKTLKGFELLGMNVGFLQARLNRLMTLSLELQEAVESERYRISLEQDHMKDEKKCLEVKLMKLKEAMHRLDAEIESLKVNAEKHELMFQEEVNAAW >OMO74078 pep supercontig:CCACVL1_1.0:contig11160:69806:70699:-1 gene:CCACVL1_16957 transcript:OMO74078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWSMKRQRLCPAPPSMTPPPPSELPHRLSREQEINVMVSALKNVINGSTPAATSASFAFDFPFHLGASGAAASSSSTVTAGFNTNFGNMVLQPSEVMDKCHVCKHVDCLGCNLFPPSQQEEKKASTSRNTTTGKTKRVKKNYRGVRQRPWGKWAAEIRDPRRATRVWLGTFNTAEEAARAYDKAAIDFRGPRAKLNFPFPDNVSNNATLTTTTTTTTTPAAATIPAPAPVTATKSNAVMSDQETSSSLNLQQQSTEMGFGTDFLDGMSDDDIQKWIEELGGDSSDSATTMGNAYSS >OMO74074 pep supercontig:CCACVL1_1.0:contig11160:55431:55985:-1 gene:CCACVL1_16953 transcript:OMO74074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MANVSFLEFQYKLSKNKFLRKPSRLFSRDRQNSGLIPAFQPDLKELRQVFDKFDSNKDGKISQMEYKAILRALGQGNMIRDVPRIFRVADFDGDGFINFKDFVEVHKRSGGVKTMDIQSAFRAFDQNCDGKISAEEVMEMLRRLGESCTLEDCRKMVRAVDTDGDGMVNMDEFMTMMTRTMKYD >OMO74081 pep supercontig:CCACVL1_1.0:contig11160:84990:87453:-1 gene:CCACVL1_16960 transcript:OMO74081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MGWPYIGETLQLYSQDPNIFFLTKQKRYGEIFKTHILGCPCVMLASPEAAKFVLVTQSHLFKPTYPKSKEKMIGPYALFFHQGEYHTRLRKLVQNSLSPETIRKLIPDIEDLAVSALESWAAASEQVINTYYEMKKFSFDVGILSIFGHLDRVYRDKLKENYQIVDKGYNSFPTNIPGTAYHKALQARKRLNQILSEIICERKEKKLVEKDLLGHLLNFKDEKGETLTQEQIADNIIGVLFAAQDTTASILTWILKFLHDDPKLLEAVKAEQMAIYQANDEGRRPLTWAHTRNMPLTYRVVLESLRMASIISFTFREAVVDVEYKGYLIPKGWKVMPLFRNIHHNPEFFADPHDFDPSRFEVAPKPNTFMPFGNGVHSCPGNELAKLEALILMHHLITKFRWEVVGSQSGIQYGPFPVPQQGLPARFWKESSTPFQDM >OMO74069 pep supercontig:CCACVL1_1.0:contig11160:8015:14756:-1 gene:CCACVL1_16948 transcript:OMO74069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MELKPGLSALVTGGASGIGKALCLALAGKGIFVTIVDFSEEKGKEVASLVEKENSKFHQNLGFPSAIFVKCDVTNTRDISLAFEKHVATYGGLDICINSAGISRPVPIDKDQSDGTKTWRQTINVNLIAIVDCTRLAIKTMQALQKPGVIINLGSSSGLYPMYMDPIYAASKGGVVMFTRSLVPYKRQGIRINVLCPEFVQTEMGESVGPRFISLMGGFVPMEMVVKGAFELITDESRAGSCLWITNRRGMEYWPTPAEQAKYMLPSSSRSRKRISFQAPLSAQLPQSFEKVVVHTLSHNFRAATHIVRKPLKLPIASDQVLLKIIYAGVNASDVNFSSGRYFSGNNKDLSSLLPFDAGFEAVGIIAAVGESVSDLKVGTPAAVMTFGGYAEFLTVPSKHILPVGRPDPEVVAMLTSGLTASIALEKAGAGQMETGKVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGKEKAKLLKELGVDRVIDYKSEDIKTVLKKEFPKGVNIVYESVGGDMFDLCLNALAIHGRLIVIGMISQYQGEDGWTPSNYPGIAEKLLSKSQTVAGFFLIQYSHLWKEHLEKLFDLYSSGKLKIKIDPKRFLGLHSVADAVEYLHSGKSTGKVVVCIDPSFEQQMAKL >OMO74098 pep supercontig:CCACVL1_1.0:contig11160:205432:206757:1 gene:CCACVL1_16978 transcript:OMO74098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MDPRELSALLSSLVSQLLLLLLLLFNSSNSTHHPLSDSNLFSIIHYFLSSQDIAATLSFVSVSRKRKRTHCQDSDSGPIGQDVDPELGRRLGGGDLLRLGLTRNPDSFKSFFRMKSSTFEWLAGLLEPLLECRDPVGTPLNLSAELRLGIGLFRLATGSSYPEIAQRFGVSESVTRFCAKHLCRVLCTNFRFWVAFPSPEELKSVSLSFEQFTGLPNCCGVIDCTRFNVVNENNGEIESIAAQVVVDSSSRILSIIAGFKGDKGDSRILKSSTLYKDIEQGRLLNSSPVVVNGVAVNQYLVGDGKYPLLPWLMVPFADVFQGSSEGKFNVAHRAMRVSALKTIASLKNWGILNKPMQEEFKAAVAVIGACSILHNVLLMREDDSALCEMVGDYSVHDQSSKYGVEGSLEEDSNGKQASVIRDALAAEVREVHVSSLGGGSV >OMO74073 pep supercontig:CCACVL1_1.0:contig11160:52788:54833:1 gene:CCACVL1_16952 transcript:OMO74073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLMAIRRGRSPTPVLSSLLFKVRSDSFHFISNRHFERTQVLTLNAKPLSTSAIPNEYQRPSPQQHHYQDQQPPPSADPRAFHGRGNPNTSNQWASENQGYHQPQQNRGGPGNNQFNYQNQGSRYPPNQSQGYPNQGQGYPQRESRNPNQWNPQQNQSYSQLENANQMNTEVRRSPNQWNPQQNQGYTQLQNANQTNTQTPRSPNQWNPQQNQGYALHQNANQRNTQMPRSPNQWNPQQNQSYPQHQNANQMNMQMPRSPNQWNNQPQGRSFLEKAPQSQNTSQFNQDNQNQRHVEGHSQPQPVPSLLDLVQLCNEGKVKDAIELMDKGVKADADCFSSLFELSSNPKSLEEAKKVHDYFLQSTCRSDLTLNNKVIEMYAKCSSMTDARRVFDHMPDRNMDSWHSMINGYADNGLGDDGLQLFEQMRNMGLKPNEQTFLAVLSACGSAEAIEEGFIHFQSMESEYGISPGFEHYMGLIGVFGKSGHLYEAKEYIEKKLPFEPTAEVWETLRNYARIHGDVDLEDYAEELMVDLDPSKAVDNKIPTPPRKKHTAISVLDGKNRISEFRNPTLYKDDEKLKALKAMKEGGYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARQTLRIIKNLRVCGDCHNAIKIISRIVGRELIVRDNKRFHHFKDGLCSCGDYW >OMO74085 pep supercontig:CCACVL1_1.0:contig11160:119707:120299:-1 gene:CCACVL1_16964 transcript:OMO74085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSAPPPPPPRPLYKQRSWSPDAERDEAWLRRKGNHGVGLQRSQSFTNDDLEELKGCIELGFGFEPDSPELDPKLSDALPALPFYCAVNRQYSGRLSRSSSASSMGSFSDAGSTGTIIDQGDDPETVKTRLRQWAQVVACSVRQFSGGQN >OMO74094 pep supercontig:CCACVL1_1.0:contig11160:161680:165512:1 gene:CCACVL1_16974 transcript:OMO74094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLISGLIIISTLLFGFCSAVKLGQSCVKDSNCDSGLHCETCLANGNVRPRCTRIQPVNPTSKVKGLPFNRYSWLTTHNSFARLGVRSATGSLILSPTNQQDSVTSQLNNGVRALMLDMYDFQNDIWLCHSFGGQCYNYTAFQPAVNVLKEVGTFLEANPSEIVTIIIEDYVTSPRGLSKVFDAAGLRKFWFPVSRMPKNGGNWPIVDDMIQKNQRLLVFTSKSAKEASEGIAYQWRYMVENQYGNGGMVAGSCPNRAESPAMNVTSRSLVLVNYFPDRPDVTQACKHNSAPLKSMMDRCYAAAGNRWPNFIAVDFYKRSDGGGAPEASDVANGHLVCGCGNIAICRENMTFGVCDIPEAGNAPAPKAAQDSSFAYWNTRPIQLKWLLGLILVAFGLQL >OMO74104 pep supercontig:CCACVL1_1.0:contig11160:237185:237250:-1 gene:CCACVL1_16984 transcript:OMO74104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHTNQASSNTASGREDYTS >OMO74077 pep supercontig:CCACVL1_1.0:contig11160:64966:67352:1 gene:CCACVL1_16956 transcript:OMO74077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar import/degradation, Vid27-related protein MGTHKSLDDIDAKLKSLKLKYNSSQQQNPKIKNPVKLYLHIGGNTPKAKWVVSEKITSYKFIKTSKIDGGDDDDDDESDGEGGKGGGWWIFKVGSKVRARVSTEMQLKMFGDQRRVDFVDKGVWALKFLTDEEYRNFVTRFQNCLFENVYGMEATEENKVKVYGKEFIGWVKPEVADDSMWEDADDRSLDSTPVKGSEELLEEFEEAANGGVQSLALGALDNSFLVNDLGVQVYRNFNRGIHGKGICVKFDGGNLSSGGNKANSTPKKALLMRAETNMMLMSPASEGKPNATGLKQVDIETGKIVTEWKFEKDGTDITMRDITNDTKGSQLDPSESTFLGLDDNRLCQWDMRDRKGVVQNIATSGSPVLHWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKTSMRMAKTAFPGLGSPVTHVDVTYDGKWVLGTTDTYLILICTLFTDKDGKTKTGFGGRMGNKIPAPRLLKLTPLDSHLAGNNNKFHGGHFSWVTENGKQERHLVATVGKFSVIWDFQQVKNSAHDCYRNQQGLKCCYCYKIVLKDESIVESRFMHDKFAVSDSPEAPLVVATPMKVSSISLSGRR >OMO74099 pep supercontig:CCACVL1_1.0:contig11160:213042:214230:1 gene:CCACVL1_16979 transcript:OMO74099 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 3 MATNTQEQQTQAGRHQEVGHKSLLQSDALYQYILETSVYPREPEPMKELRELTAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPDDGKILAMDINRENYELGLPVIQKAGVAHKIEFKEGPALPVLDKLVEDEKNHGSYDFIFVDADKDNYINYHKRLIDLVKVGGLIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAADPRIEICMLPVGDGITLCRRIK >OMO74080 pep supercontig:CCACVL1_1.0:contig11160:81369:81881:1 gene:CCACVL1_16959 transcript:OMO74080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVLTSKDSSVTNELSISSICSFQRASMAAASFFTSEWQSQRRAVPATLQFTYSSPLLL >OMO74082 pep supercontig:CCACVL1_1.0:contig11160:101368:103829:-1 gene:CCACVL1_16961 transcript:OMO74082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFSVRFLAPQVLPSTSSSRPKTWVVATAPTTAPASVEVDAGRLEPRVEERDGYFILKEKFREGINPQEKIKIEKEPMKLFMEKGIEELAKLSMEEIDNAKTTKDDIDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSAQTRYLASVIRKYGKDGCADVTTRQNWQIRGVVLPDVPEIIKGLEEVGLTSLQSGMDNVRNPVGNPIAGIDPEEIVDTRPYCNLISQFITANSRGNPFFTNLPRKWNVCIVGSHDLYEHPHINDLAYMPAIKDGRFGFNILVGGFFSPKRCEEAIPLDAWVSGDDVLPLCKAVLETFRDLGFRGNRQKTRMMWLIDELGIEVFRSEVAKRMPQKELERATSEDLVQKQWERRDYLGVHPQKQEGLIYVGLHIPVGRLQADDMDELARLADTYGTGELRLTVEQNIIIPNVENSKLEALLNEPLLKDRFSPEPSILMKGLVACTGNQFCGQAIIETKARALKVTEEVERLVSVTKPVRMHWTGCPNTCGQVQVADIGFMGCMARDENKKPVEGADIFLGGRIGSDSHLGELYKKAVPCKDLGPIVADILVEHFGAVRREREEGEE >OMO74087 pep supercontig:CCACVL1_1.0:contig11160:131861:134708:1 gene:CCACVL1_16966 transcript:OMO74087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHLNFKNFGEVAPTMEDNGSKPLGNFPLARQSSIYSLTFDELQSTFGGLGKDFGSMNMDELLKNISSAEETQGLMTASVAAGEGVPYVFGRGRKCSAALEKVVERRQRRMIKNRESAARSRARKQAYTLELEAEVAKLKEMNQELQKKQEEIMEMQKNQMLETLNRPWGGKRQCLRRTLTGPW >OMO74088 pep supercontig:CCACVL1_1.0:contig11160:136392:139251:-1 gene:CCACVL1_16967 transcript:OMO74088 gene_biotype:protein_coding transcript_biotype:protein_coding description:ThiJ/PfpI METLSFLVVKPAAPTRLYGPRLSQMVASSSSTSVSSLSFPPSMESQLPITRAKRSSKPTKAAPLAIRTAPATTMDDTNAATSVSTKKVLVPIGFGTEEMEAVILVDVLRRAGAEVTVASVEPQLEVQASSGTRLVADASISTCSNQVFDLVALPGGMPGSARLRDCEILKNITSKQAEEKRLYGGISTAPAVTLLPWGLLKRKRTTCHPAFFDKLPTFWAVKSNIQVSGELTTSQGPGTSFLFALSLVEQLFGESMAKEIGELLFLPFADDKPKEEFNKISWAVDRTPQVLVPVANGSEEIEVVTIVDILRRAKVDVVVASVERSTKVLASQGVKIVADKLIGDAAVSIYDLIILPGGVAGAERLQKSRILKKLLREQDAAGRICGAVCSSPKVLHKHGLLKEKKATAHPSFLKELTNVVDGPKVVIDGNLITSRGLATVSDFALAIVSKFFGDARARSVAEGLVFEYPRS >OMO74107 pep supercontig:CCACVL1_1.0:contig11160:262330:264963:1 gene:CCACVL1_16987 transcript:OMO74107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MGSVSFANYHQTLKLFPSFNSFLQSKQPCIVSLYQHSHKAVVPSSLSLPKRSSFRRAAGLIANSVPVRNGNYTVGDFMTRKEDLHVVKTTTTVDEALEALVERRVTGFPVIDDDWTLVGVVSDYDLLALDSISGSSQNDTTLFPNVDSTWKTFNEIQKLISKNNGKVVGDLMTPSPLVVRETTNLEDAARLLLETKYRRLPVVDGDGKLVGIITRGNVVRAALQIKRASERST >OMO74086 pep supercontig:CCACVL1_1.0:contig11160:122444:124924:-1 gene:CCACVL1_16965 transcript:OMO74086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNALFILLRLVPTYKGRHISKILPFLQGRCLLFSAAANSLQRTSDGYENNSKKFNFDRLFKSCTQLELAKCLHAIVVVSGKAGNIFFSSKLVNLYAYLGNVSLSRHTFDQIAKKDVYTWNSMVSAYVRTGHFRDALDCFYQFFMTSGLRPDFYTFPPVLKACKNLLDGMRMHCLVLKLGFEWDLFVTASLVHMYTRFGILGSARKLFNDMPVRDMGSWNAMISGYCQNGNAAEALDVLNEMKLEGITMDPVTVVSILPICVQLDDSLNGMLIHLYAIKHGLEFDLFVSNALINMYSKFGQLKHAQKVFNRMVERDVVSWNSIIAAYEQNDDPHRALGLYYNMKPTGVNPDYLTLVSLSSIVAQLSDSWNGKSVHGYVLRRGWLLKDVISGNSIVDMYSKLGIMDSARAVFEALPVKDVVSWNTLITGYAQNGLSSDAIEVYDMMQECNITPNQATWVSILPAYSNVGALQQGMRVHGRLIKNNLYLDIFVGTCLIDMYGKCGKLGDAISLFLEVPKTTSVPWNAIISCHGIHGHAEKALKLFREMREEGVKPDHITFVSLLSACSHAGLVDEGQWCFHVMQADYRIQPILKHYGCMVDLFGRAGHLEMAYDFIKSMPVKPDASIWGALLGACRIHGNIDLATFASDRLFELDSENVGYYVLLSNMYANTGKWEGVDKVRALARDRGLRKTPGWSSIEVNNKVDVFYTGNQSHPSSEEIYKELRNLTDKMKSLGYTPDYSFVLQDVEEDEKEHILMSHSERLAIAFGIISTPPKTPIRIFKNLRVCGDCHNATKLISKITEREIIVRDSNRFHHFKDGVCSCGDYW >OMO74102 pep supercontig:CCACVL1_1.0:contig11160:227279:230353:1 gene:CCACVL1_16982 transcript:OMO74102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGMVGVMHRTPCATSLPVRRVRARVTCSSSGHVSFIKDVAATQPPENLHHLLKMLQTKGETIRSPGSRHGLIPLAIPLSENLSGAVTALLRWPTAPPGLEMPVVEVRKHGVWLLANNVDQYIHRILVEEDANKPDGNNGELFHASSEAGEKLYTRGDFAESQLPNLEAYLLKKVGLFPDLLERKVNRHFEEGDQISAMVTGEFYTKKDLFPGFARPFVFYAEILLKVGRLSEAKDSARVALKSPWWTLGCAYQDVADIAQWEDEQIEYIKEKVTEEGRQEDLKKGKAPAQVALDEAAFLLDLASIEGTWNDYLDRIAECYKEAGYNEIANFILYKD >OMO74075 pep supercontig:CCACVL1_1.0:contig11160:56492:61261:-1 gene:CCACVL1_16954 transcript:OMO74075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSIFLLWLVNIPFLVHSAPNQPPGDLISCGASDKQTIPDTGITYYPDEGFINVGNKSALKDKNLLPTLTTLRYFPDAEARKYCYTFRATKGEKYLVRTIYYYGGFDGGNEPPVFDQIIGGTKWSVVNTTEDYANRQSSYYEIIVAATGKTLSVCLARNNNTASSPFISAIEVIPLDDSLYSTEKDVFSKYALVTVARSAFGSEDSISFPDDPQYRLWQPFKDNNIVVTSQSGVNTADFWDKPPAKAFETAITTDQEGKKLEVQWPPMALPSTKYRISLYFQDNRKPSTNSWRVFSVSVNGQNFYSNLNVTTSGVTVFSAQWPLQGQTQITLTPDDKSSDPPLINAGEVYQIIPLGGRTLTRDVVAMEELANSLEKPPPDWVGDPCLPPENSWTGVECNISKDAMARVSSLVLTNFGISGVLHQSLDNLTALHHLWLGGNNISGSIPELHSMGQLETLHLENNSFTGPIPQSLGKLHNLREVFLQNNNLDGQIPKELLDKQGINLHLFQAKVTPFLPIIPRTSVRCFATGDIPAGPIFPKWFHFPTTTTTTSDISGGGVRIGQDNFEAPGGSSIKVKKWSRNRESYLTNDDEPLPLPMTYPDSSPVTPEEIEKRLQCDPEVEDCREVVYEWTGECRSCQGTGLVSYYNKKGKEIICKCIPCLGIGYVQKITARKDIEVMKELDNGKPP >OMO74084 pep supercontig:CCACVL1_1.0:contig11160:113341:117105:-1 gene:CCACVL1_16963 transcript:OMO74084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNLRWNFPFPSPSSDPQACSTELTPTNSDSSASGVTIESFRDPTANARQDQTMVWTNEKHSLYLDFLEASFVKQLHCSMSLRGCHREEEILGPRTTQQLPAKGHNSSHQFSVPQDGCCHKINYESNDPLLDSTADSNDILGSPLLHHSASAGKSSSKAVPILRETSVLNNGIYLRSNANFSCKSARIPEQHPILHSCNQTFSGCTIEVSDQNFVDEDHREKTSCVSGAKRLKMMATVDASSNSHVVPLGESHSVEDSMGSDASVKRGKKKLLSEHHESFPCQKSNVHYFLRES >OMO74076 pep supercontig:CCACVL1_1.0:contig11160:62161:63471:1 gene:CCACVL1_16955 transcript:OMO74076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFEINGNTLSLALFVDVTNSKELLDSMQAGTLDPEVAFLNASLIPDVFPVLAAAHKTLISKSRESLTTRTLHSELVYNYSGSKHITESLKRCGISETSSYVLAARFNASPDEMKAVEKLINGKEMDLEELERRADQAQIQKHYKISSPELGVSTLADAIVCRIAARDAL >OMO74095 pep supercontig:CCACVL1_1.0:contig11160:176004:177254:1 gene:CCACVL1_16975 transcript:OMO74095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSIEPLDLVGVQVPYHFRCPISLELMRDPVTVSTGQTYDRTSIEAWVATGNTTCPVTRAPLSDFTLIPNHTLRRLIQDWCVANQAFGIQRIPTPKQPAEPTVVRSLLNQASSVSTPFQSRLSALRRLKGLARDSDKNRSLISSLNAREILINVVFSDTGSGSSELNHEALAVLVMFQLTESECALIASDSIKVVYLSQLLFHSSIEVRVNSASLIEIVLAGTRSSDLRTEISNVDGIFEGVTDILKNLNSYPRALKISIRALFALCLVKQTRHKAVQAGAAETLIDRLADLDKCDAERALATIELLCRIPSGCSAFAAHALTVPLLVKTILKISDRATEYAAGALMALCAESEQSQRDAVSAGVMTQLLLLVQSDCTDRAKRKAQMLLKLLRDSWPQDSIGNSDDFACSEVVPF >OMO74092 pep supercontig:CCACVL1_1.0:contig11160:150295:152595:-1 gene:CCACVL1_16972 transcript:OMO74092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLTPSVFLISLYLFFSIATILPTSGRPRTYIIHMDKSAMPAAFSTHHDCVTFQRELTNVADGSSVYRSVVNAPSGLKAVVQPATITFAGKYSKARFQLTVDVDVGVASIPQSDYFGNYGFLSWYEVNGKHEVRSPIVSAFAP >OMO74093 pep supercontig:CCACVL1_1.0:contig11160:153196:157800:-1 gene:CCACVL1_16973 transcript:OMO74093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYPKALFGFLAFLGLQLPLILAAGYVLITSFCLLAKLFAIVIDLQSKDISVIVAYSYLINGIATFSDCPLDLSASNFTLVASLCSNQDDRGKCCRYMNAFVAFSVARYANVTSNLGVTSDLTDICVRSILQTMELYGVPRNATIFCGLGTKIPVSYDCRGRTTIMQMLESPKFMDVSDNCKLPLSQENDCHKCLNAGIVYLHHLVGSENNMTLSTCRDATFAALASQVDNTSAAEIASCFFQVHGFNIPQGSSPSPPSPKASPSPLIAASPSQLILGVPQKQKHHNYHLTLILGVGIAVTVAAVMMLVVLVVLIRKKSRELEDSESVDKNSSKLFPPPRPMRKFQEGPSSMFQKYTYKETKKATDTFNTVIGSGGFGTVYKAQFSDGSVVAVKRMDKISEQGENEFCREIELLARLHHRHLVSLRGFCITKRERFLMYEYMSNGSLKDHLHSPGKSPLSWETRIQIAIDVANALVADFGLAHASKDGSICFEPVNTDIRGTPEFSCRRARKCHQSCYLYKKEKEVEKY >OMO74105 pep supercontig:CCACVL1_1.0:contig11160:240484:246111:1 gene:CCACVL1_16985 transcript:OMO74105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MEIAPAGHPSPPLDGETSTSSAAAVIGDSIKPSSSTSSTSSGKEEKASSSTASVGAAAKFDAELEEDEEEEDVCRICRNPGDAENPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHAFSFSPVYAENAPARLPFQEFVVGMAMKACHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAQRLFLSHISTTIILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQEAEREDEGDRNGARAARRPPGQANRNLAADANGEDAAGAQGIGGAGQMIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILYHVSWLFSSASGPVLSAVMPLTDSALSLANITLKNALTAVTNLTSEGQENGMLGQVAEMLKANSSGIGDVSSNTTAPLSADILKGATIGASRLSDVTTLAIGYMFIFSLVFFYLGIVTLIRYTRGEPLTMGRFYGIASLAETIPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMSQRVHFFSVSPLASSLIHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTDFLLPRPEENGQENANGEPGRQDRLQVVQLGGQEQGIVARDDPNRSLRASGHSNVVDDFDGDEQTDSDRYSFVLRIVLLLVVAWMTLLLFNSALIVVPISLGRALFNAIPLLPITHGIKCNDLYAFIIGSYVIWTAIAGARYSVEHIRTKRAAVLFGQIWKWGAIVMKSSVLLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDESWRAKFERVREDGFSRLQGLWVMREIVFPIIMKLLTALCVPYVLARGVFPVLGYPLVVNSAVYRFAWLGCLGFSLLCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEVSEKKQSEAGTSSETQISNLRETGIIRHDREADVGLRLRRAN >OMO70411 pep supercontig:CCACVL1_1.0:contig11831:11663:15639:-1 gene:CCACVL1_18934 transcript:OMO70411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKARLDLRFHHSGSTESEESALDLERNYCNHFNLPSSSPSPLQPFASGAQHSESNAAYFSWPTSSRLIDAAEDRANYFGNLQKGVLPETLGRPPSGQQATTLLELMTIRAFHSKKLRRFSLGTAIGFRIRRGVLTDIPAILVFVARKVHRQWLSQFQCLPAALEDAGKGHSFPESTLNLLVLILSIALNMMGPGGVWCDVDVVEFSYYGAPAATPKEQLYTELVDGLRGSDPIIGSGSQVASQETYGTLGAIVKSRTGNQQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGINPGFTILKHMMFNLTFMLMDFSLHPDSLTCPLRFGPPAETFVRADGAFIPFADDFNMKNVTTTVKGVGEIGDVHIIDLQSPISSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLILLTGQNGEKPRPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDLITTNEGLQAAVQDQINASAAGIDSTVGESSPLVQVVSKDKTEENFEPINLNVQQVLAEGESQEGLTPPIMHTEYRAEDRVETAPNVEHEFIPRFNGRSSVHENYRRENLESRNLSALRNGSDEEIFVSLQLGEPEPKRRKHSDSLCIIKDSK >OMP06089 pep supercontig:CCACVL1_1.0:contig05070:7387:8430:1 gene:CCACVL1_01713 transcript:OMP06089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDWWRAPAYFTVSVLLAFLAISTALKSLPKEEVILTKTTPSPLLLEASAALRRSGFNIIAALLQITPEIFLSSPQSTIFAIPDSAIANSSHTSWLLKHLFQYHTSPLQLSMNDLLKKRPGSCIPTLLYRKNIAITKVDEKESVVEINNVLISHPDIFLEGPLTIHGVLGPFFSMESQYVEQGWDHFPTPICDANLTLVSEVMEPKNVVEWRHIIRLLSSKGFVSFAIGLNSVLDGILEDQTNFSSVTVFAPPEFSFVTSPSALLDKIVRFHILPRKLTIMELALLPANASVATLFPDHNLKISGDFNVTQELSINGAKIVAPNIFLSKRFVVHEISQAFQLADLS >OMP06088 pep supercontig:CCACVL1_1.0:contig05070:5425:5790:1 gene:CCACVL1_01712 transcript:OMP06088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSKLVLVCLVLVEILVVQAAVGDKSDMNPSQTQIKVIQKENEPGWVIQREADEPAAAPVESSSETKEAEAPEIRRLGKHHMSDKSVAGGGVIIGGLVTAIFAAVYAYIRVTRKRGVVKY >OMP06092 pep supercontig:CCACVL1_1.0:contig05070:29486:30036:-1 gene:CCACVL1_01716 transcript:OMP06092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREEKRNERKLPKSKEIRDGDYEKSRTETEAGTVEVRKRNCQGRGNE >OMP06087 pep supercontig:CCACVL1_1.0:contig05070:869:2285:1 gene:CCACVL1_01711 transcript:OMP06087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L24e-related protein FNDAKIDLRKGIRFVRADSQAILHPFFANSKCKR >OMP06090 pep supercontig:CCACVL1_1.0:contig05070:13888:13965:1 gene:CCACVL1_01714 transcript:OMP06090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQLTSSTNGRLFTTTQHKPLYGF >OMP06091 pep supercontig:CCACVL1_1.0:contig05070:18715:27567:1 gene:CCACVL1_01715 transcript:OMP06091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSNAATCDGMGAGFKGFRLHKKLKPLKQCFKKLEWLL >OMO52934 pep supercontig:CCACVL1_1.0:contig15390:36507:36596:-1 gene:CCACVL1_28994 transcript:OMO52934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFNGVGPKKRCYTNWALTNNGVCCKRR >OMO93247 pep supercontig:CCACVL1_1.0:contig08114:51580:53525:1 gene:CCACVL1_06575 transcript:OMO93247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoate A/B protein ligase MGVSGKPAELLEIEPVLRDQVPVIRRFTGGGTVVVDCGTIFVTFICNKEAVPDLQPYPRPIMSWSGLLYSKVFQGIGDFHLRENDYVFGNHKFGGNAQSITKSRWIHHTSFLWDFDVRNMTYLKLPKRAPAYRSARGHLDFICRMKDYMPRSIFIDKTVEAASTQFSLRSFESEEIETLSETEFHPSTRLLSAEELEADVIAGR >OMO93239 pep supercontig:CCACVL1_1.0:contig08114:6723:9099:1 gene:CCACVL1_06565 transcript:OMO93239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEEENNLSSVFSSKDGCNMGIWMVAFLVISWIIIYRWNQRNKKGPKTWPFIGAVIEQFMNYDRMHDWLVKYLSESKSVVVPMPFTTYTYIADPVNVEHVLKTNFANYPKGETYHSYMEVLLGDGIFNVDGELWRKQRKTASFEFASKNLRDFSTVVFRDYSLKLHSILSQASFKNQEVDMQDLLMRMTLDSICKVGFGVEIGTLAPNLPDNKFAQAFDTANIIVTLRFIDPFWKLKRFLNVGSEALLEKSIKIIDEFTYNVIRRRKAEIKETKETCKTSKIKHDILSRFIELSEDPDSNLTDKSLRDVVLNFVIAGRDTTATTLTWAIYMIMSHAHVADKLYAELKALEEEQAREMMISLLPLDLNDPESFNRRVAQFAGLLNYDSLGRLYYLHAVITETLRLYPAVPQAGPRICLGKDSAYLQMKMALAVLCRFFKFSLVPNHPVNYRMMTILSMEHGLKLTIARQS >OMO93244 pep supercontig:CCACVL1_1.0:contig08114:21688:24256:-1 gene:CCACVL1_06572 transcript:OMO93244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQTNDYGKRSHFQSDYVGNGGGGGKRRNAGEESEQQHGIGSEDTVYRYLCHVKKIGSIIGRGGEIVKQLRSDSKSNIRISEAIPGCEERVVMIYSTSEETNPFGEEDELVSPAQDALFRVHDRVVAEELPADEDMEEQTQVVTVRMLVASDQIGCVIGKGGQVIQNIRSETHAQIRVLNNEHLPPCALSTDELLQIIGEPSVVRKALYHVASRIHDNPSRSQHLLLSSLSNLNQPGGMYVNAPLIGSYGNYSSRRDDASATEFSLRLVCPVGNIGGVIGKGGSVIKQIRQESGASIKVDSSAAEGDDCIIFISAKEFFEDPSPTINAALRLQSRCSEKSERESGDSVITTRLLVPSSQVGCLIGKGGSIISEMRNATRASIRVLSKEDVPKVAYEDEEMVQITGALDVASNALSQVLSRLRANLFERDGAPATFLPVLPYIPMSLDMSDGSKYGSKDGQPRNRGYSTYSAGYGSGDLAASNSYGAYSASLSGGDMYGSHGGRSSGRGLSSQNPVSHRKHGY >OMO93242 pep supercontig:CCACVL1_1.0:contig08114:13655:14551:-1 gene:CCACVL1_06568 transcript:OMO93242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGPLESGEASSGATTSKKWVISEVSILEFILRILAAAGTLGSAMAMGTADETVPFFPQNILLNAEFSDLPMFTFFVVANSVACAYLVLTLPLSFYHIIRKAAKKSRIILVMFDTAMLALVTAGASTAAAIVYLAHKGNSNANWFAICQQFNSFCERTSGSLIGSFAAAFVLILLITSSAIAISQC >OMO93246 pep supercontig:CCACVL1_1.0:contig08114:38225:38293:-1 gene:CCACVL1_06574 transcript:OMO93246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAGTILSYNSQVIGVTKPLA >OMO93240 pep supercontig:CCACVL1_1.0:contig08114:9487:10986:-1 gene:CCACVL1_06566 transcript:OMO93240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKIFDLEKNFAFYGAYHSNPINIAIHMLFVWPIFFTAILLLDYTPSLFNLPQIDFSLFGSHVALVFNFGFLVTLIYAVFYVCLDVKAGTLAALLCWIVLVVQIVCWTGQFIGHGVFEGRAPALLDNLVQALIMAPFFVLFEALQFFGYEPYPGFHASVQSKIEAEINEWKEKKQKLIT >OMO93241 pep supercontig:CCACVL1_1.0:contig08114:12518:13231:1 gene:CCACVL1_06567 transcript:OMO93241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbQ, oxygen evolving complex MTTFTNSTALFHVNQNFPTPQNHHCNKLSISIIKASTIFPSQKQNNDDNLGLTTNRRKLVTTFLATSLASLGLHGTPEALAENWGTRSFLRERFFEPGLSPEDAAARIKQTAEGLHSMRDMLDTMSWRYVIFYIRLKQAYLSQDLKNAMSTLPQGRKEVYVKTANDLVDSMAELDYYVRTPKVYESYLYYEKTLKAIDDLVALLG >OMO93243 pep supercontig:CCACVL1_1.0:contig08114:18423:19455:1 gene:CCACVL1_06571 transcript:OMO93243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosulphine MSETTSSVEDVKEKVVLDHDSEKKDQFDDDDDKDNKDQIFDEEVKDSDENEKGSMPSAQQEEEAIKKKYGGLLPRKPPLISKDHERAFFDSADWALGKQGAQKPKGPLEALRPKLQPTPHQRSRRSAYAPADDTEVDHDNDQSCSLDKDGNDSIANEDHDKDKSQSG >OMO93245 pep supercontig:CCACVL1_1.0:contig08114:24938:30667:1 gene:CCACVL1_06573 transcript:OMO93245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MVALHQSATSQIQSLAVFASLKTTQTQKNSSVPHKTSPRFCSCGRRHFLEATASAALLPIFPSNASQSNDYLDLLNRIHPPRPDWYEEFYASVMDTSMKSYEAEIAGYKSQIFRELRGKAKRVLEIGIGTGPNLEYYADNNDVQVFGVDPNKKMEKYARTAAAAVGLPPKNFQFIEAVAEAVPLDDASVDAVVGTLVLCSVKDVNMALKEVKRVLKPGGLFLFVEHVAAKDGTILKLLQSVLDPLQQTVADGCHLTRETGKNISAAGFSNVELNMWTPPAIQEITVDDYESATRRDRAGGHLSFASTVEGISTNADSGFSTSSHSDSSESEPMVKQSSSSHRNFSSRRYFMSKPIHPLSFPMGTPMTEASDSAAAGFSDDAATPQRDAHRWSSASSSNNDFTDVSELFESEFCGRSCIPPEGFKCGLCERSLSQRSPWSSRRIVRSSDMPVAGVLSCRHVFHAECLEQTTPKTRKNDPPCPLCVRAEEQNSPENRVFSRFRNGFPRLRPFSEDGTSKPWGCAQVGDCVEGALHAPPRNTMLLLNRSRMKKNLFIKGNSSKEFPGKLRKSGSSSLQLFGGKSFDQGAVECSKTIGGPSMKR >OMO81379 pep supercontig:CCACVL1_1.0:contig10187:93128:95581:1 gene:CCACVL1_12442 transcript:OMO81379 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MRLGMGVHLKHLRHAFSPYNAGYAILVVAIVFTIYVLILLFLTSARDPGIIPRNSHPPEEEFRYDSSVSAEVGGRQTPSLQFPRTKEVMVNGIPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGMRNYRYFFMFVSSATLLCIYVFSMSALYIKILMDDHHGTVWTAMKESPPSVVLMAYCFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADNRINIYNRGCPSNFLEVFCTRIKPSKNNFRAFVQEEVPRPSLPITREAEAEDLGGDPRSKVEDDLEIGEDLLKISQRRNIEEIDEDIRSRGSNGPPHTTLEVESVMSSDHRAPTIRSDTRHSSWGRRSGSWEIAPDVLANSTVTESRSYVTPRETRQ >OMO81378 pep supercontig:CCACVL1_1.0:contig10187:23208:31391:-1 gene:CCACVL1_12441 transcript:OMO81378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYAWAKRANRNCELLRPVKT >OMO81377 pep supercontig:CCACVL1_1.0:contig10187:10471:10992:-1 gene:CCACVL1_12440 transcript:OMO81377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MAPQKSLIIILSICLLSLSTSATSTPKLAEEICKGFNNKDLCMRTLSSPAALSAKDLTQLTSAVMKTAQSNAQATLNLISDLAKKPCPPANLKALQECEKVFRMAVNSFDIVSREVSEDAQTANYDVHLLAPTANDCINAMKAANLQAPQIETANLDLQLFSNMGFEMTSKMD >OMO99609 pep supercontig:CCACVL1_1.0:contig06849:14921:35713:-1 gene:CCACVL1_03706 transcript:OMO99609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTRLLRSGNGHLRRQNNNLLLDKTADANIKRAISKKLKRSHQSAAARKQHFLRPYCHLQGNMNNGCKRKAPAGHKAPLGQNRKYIRLHNISRYLNPFSTHPSPTITPPSTHPSPTITPSSTPSSPTHPSPTIKPPSTHPSPTITTSPTPPPPTHPTPTVTPSPTHPPPTHPTPTNISPTAPIGHQ >OMO99610 pep supercontig:CCACVL1_1.0:contig06849:56494:59230:-1 gene:CCACVL1_03707 transcript:OMO99610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGNKGENQQSPSQSSTVEESSSPMVERELKLGGNAMSGVVGRFPFACHQQLALGGAGRMGVVAHARPVVFFDAMGRAEVVGQVYPVRFEPVGVELSMGFGGGVQSESDSSSVVDSPEAEVTERSLSGKGRVPRGKPKALKGPTLFLIRKMLPLPSSQFPRGSRSPSAESVERISLSLPCARDTVIPRAFVQIKNDFCLDSISNDKSEKQAGEARGNEESGGGVGWRGEERKDNGRHVKRFEYGALKPQPNGDVSNCERGPKGS >OMO99611 pep supercontig:CCACVL1_1.0:contig06849:67283:70675:1 gene:CCACVL1_03708 transcript:OMO99611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTLHNKTPSDSMLHDVVNLPSRKLRIGKEIVMKLPAAA >OMO51514 pep supercontig:CCACVL1_1.0:contig15798:3094:10329:1 gene:CCACVL1_29749 transcript:OMO51514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELRLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRVKRKNQKAAAKKASGGDGDEEEDDENRSETWKTLHSSASQLKASSLPECCQLNLEFEEAHQVVFSVIGPRSVHLTGYYVSRSSYNHPNDESEESYGEDIGDTETERSENNEESEYEDSFINDDDPETIPSSPDSSSQRNEDMFDLKKSNDGKTTRRRLRKKYQLISESENEDSSLRKVSASAVAAEEVLDSEVEDTLPISSLFRPTNASNNGEVNMEENARKETDKRNGNDTEDNVAVLEGTNHPIDIQPESETDLRNHEKQKFVLGNHNGMPKKKSKEVKKEERFPEADHGMIGMEKNQKLANGKGNPQHNLLQASTQVGLEDGAKLKRKRKVKVGEKTLKANVAKEDQGQKNSSNIDAVMEEMEDGHVENKGTEEQVSEKKRKKKKRCKTEDDGETTKMELPLLPGLEKNRSAVAMQSKSNEKIIQLPNGIIIEDLKAGKPDGKVRFHYTGKLKETGQVFDSNAGKAPLKFRLGGEEVLDVWNAGLDGMRVGGIRRLTVPPLVSYRNEGASENIPPNSWLVFDVELVKVR >OMO51515 pep supercontig:CCACVL1_1.0:contig15798:12146:17374:1 gene:CCACVL1_29750 transcript:OMO51515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MARNEWINGYLEAILDAGSSTRKNNDGKQTKMAKFQDNITNKVLKEEKLFSPTKYFVEEVINSFDESDLHRTWVKVIATRNTRERSNRLENMCWRIWHLARKKKQLSEGEKEKPDSSLKDISRINSDTQVWFDDNDNSSNPKNLYIVLISMHGLVRGDNMELGRDSDTGGQVKYVVELARALANTKGIHRVDLLTRQITSPEVDSSYGEPIEMLSCPSHASGSSGAYIIRIPCGPRDKYIAKESLWPHIPEFVDGALNHVVSMARALGDQLNAAKPIWPYVIHGHYADAGEVAAHLSGALNVPMVLTGHSLGRNKFEQLLKQGRLSREDINATYKIMRRIEGEELGLDAAEMVVTSTRQEIEEQWGLYDGFDLKLERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVTTQDSIENDGDLKSLLGSDRAQSKRNLPPIWSEIMRFFTNPHKPTILALSRPDPKKNVTTLLKAFGECQHLRELANLTLILGNRDDIEEMSNSSSVVLTTVLKLIDKYDLYGQVAYPKHHKQSDVPEIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDILKVLNNGLLVDPHDQNAISDALLKLVADKNLWAECRKNGLRNIHRFSWPEHCRNYLSHVEHCRNRHPTSRLEIMTIPEEPMSDSLRDVDISLRFSIEGDIKLNGEMDAATRQKKLIEAITQMASSNSNTSITYSPGRRQMLFVIAADCYDNNGEITETFQEIIKNVMKAAGLSIGLGKVGFVLVTGSSLRETMQALSCCPVNIEDFDALICNSGSEMYYPWRDMVADMDYEAHMEYRWPGENVRSMAMRLARTEDGAEDDITEYLAACSSRCYSYSIKPGAKTRRLDDLRQRLRMRGFRCNLVYTRAASRLNVVPMFASRMQALRYLSIRWGIDLSKVVLFVGERGDTDHEDLLGGLHKTLVLKGNCVLCGSEKLLRSEDNFKREDTVPQDNPNINSVETYEAQNIAGALDALGIK >OMO51513 pep supercontig:CCACVL1_1.0:contig15798:950:1966:1 gene:CCACVL1_29748 transcript:OMO51513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MSGPKIPLKIRLFLAVHSLSVNAVSSSDSNSVNRSLLKIFDPKASPAPSTKPDNGVVSSDVMVDPTRKLWFRLFTNTNNTAATAADVSLPVILFFHGGGFAYMAANSVHHDALCKRFAREIPALVVSVNYRLSPEHKYPAQYDDGFDVLEFIDSPKFEGFPANTKMQHIFVAGDSAGGNLAHHVALKACRHEFSRLKLCGVIELQPFYGGEERTESEIRLAGGPVLTTKRTDWMWKAFLPEGATRDHEAVNIFGPNSNSNVEKISESAFPATLVFIGGFDPLQDWQRRYVEGLKKCGKEVYKIEYPNAIHGFYAFEEFPESSLLIAEINSFIHNHLNL >OMO68083 pep supercontig:CCACVL1_1.0:contig12286:25242:25736:1 gene:CCACVL1_20089 transcript:OMO68083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I3, Kunitz legume MSKTYGLLPKRSNYYFLWLSSESEEKKVVLTGVEYYAISIISGAGGVGLALDQFGYGRCPLAVLQGTLDTDSGDPLTFWPVNNESVVSQSTDLNIQFVPPFSAWCRSTNMWRLDNYDPSSGKWWVTTGDTKGEPGANTLTTWFRIETIWGFQLIKTSRVLKQVA >OMO68081 pep supercontig:CCACVL1_1.0:contig12286:4657:5903:-1 gene:CCACVL1_20087 transcript:OMO68081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAITSEMSSIKELEALDHWNSFEEPQSD >OMO68082 pep supercontig:CCACVL1_1.0:contig12286:16181:16846:1 gene:CCACVL1_20088 transcript:OMO68082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I3, Kunitz legume MKMKTARNSLLFVLSIAILLGLANAANEPVLDVDGEEVLTGVEYFVVSAIWGAGGGGLAIGRSSNQSCPEIVVQRRSDLDNGIPVIFYNANSSDEIVRLDTDVNVEFVPIRDRLCLTSTVWVLDNYDESMGKWWVTLGGVIGNPGPSTLKNWFKIDKFTSIGYQFSFCPSVCNSCVTLCNNITRDVDGDNQLRLALTKDQGWPWIFRKATSPIIKRVVNAN >OMO49887 pep supercontig:CCACVL1_1.0:contig16364:4435:7725:1 gene:CCACVL1_30771 transcript:OMO49887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MGEPNEGQVAAKASFRDAMMGSRAPSMDRRQWEDCLIVKLLGRTISYTVLADRLEKLWKPKGDWELIDLGSGFYLAKFYAQEDLKFVVDEGPWSFFGHYLTMRLWQPNFNPATATIESTAVWVRFPVLPMECYHSRILMALGNKVGKAIKADYNTRMASRGRFARVCVEVDFSKPLVPKADEPNEVPAAEKGGEQVMETEGAGADGNRPSKAQGGAKGKAVGGSQLRNNYEKKAGAGNKKFCQHAIDMIQTYKPSIMAVVEPTISGATARKVAQCLRMRKFHVVDSERFAGGIWLCWEESILDVEVDIPWVVMGDFNDVLSSSEKFGGVVPSTGRCMSFKGMISSCGLIDLGFSGPRFMWCNKRKGLARVQERLDRVLVSSKWRLLFPDAMIKHLIRIHSDHCPILLQCEPQVCCNIRVRGVPNPTAERLKKKY >OMO87030 pep supercontig:CCACVL1_1.0:contig09357:8560:12506:1 gene:CCACVL1_09306 transcript:OMO87030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MNSSNSTTLDSSNPYFLHHSDNPGTPLVSTILTGDNYSSWNQAMMTALSAKNKFAFVNGTLQQPESSSSLYPLWARCNDMVKSWLLNSVSKEIASSIIFETSAATMWTDLKESVNRAYSLLLQEEKQRQVSAAPDMNSDKVAFAVAHSFSNRTQSFHSGGSKFLSKNRPTCEHCKMVGHTKNKCYILYGYPPGHRLHRGTKSKIDQGGQISKENTSFMASLTSEQIQQLLSLLPNNQSSKANFVGKVSLSPNFPVWIVDTATYLINRLPTPVLQNKTPHEILFQKPPSYNHLKVFGCLCYATNLSPSRAKFDERAKECIFVGYPMQQKAYRLYDLSNQEYLVSRDVVFQENIFPFQQSRTPPTPSQVLPLPIPDNNFFNSLPSSPIESPNETPIISNDSSLSEISLPSNEDQPLARPQRNRRPPPYLQYYECSKVRRQPSQSSSTTSGSGTQYPISNFLSTHRLSSTYSTFVSNITSIAEPKSYSEAIKDPNWKAVIDAELHALEANKTWSIVDLPPHKSPVGCKWVFKVKYKSDGSIERYKARLVAKGYTQQEGIDFHKTFAPVAKMTTVRCLLAIASAKNWPLYQRPHFDAALRLVRYLKSSLGQGILLSSLSDFKLRVFSDSDWASCPDTRRSLTGFCILPGSSPISWKTKKQQTVSCSSAEAEYRAMAFTCREIVWLQSLLHDFGISQCTPASLHCDNKAALHIAANPVFHEMSKHIEVDCHFIRDKLQQKIIETSHISTTQQPADLFTKPLGKNQLHHLLLKLAVHDIHSPT >OMO64583 pep supercontig:CCACVL1_1.0:contig12793:12050:13696:-1 gene:CCACVL1_21658 transcript:OMO64583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNNGKMTNGGTDHISHLPETVMEHILSLLTMKEAVATRVLSKKWIQFWENHFLLLHHLNFDSSHFRTRNQTLFMNYVDHVLHLRGPIDIDKFTLNSLVELKLNNDFVFDVPPSTLPCLKVLHVDVRQPNVTFLNCLFGCSPILENLSIYGELPFDDDIGFRFEISIPTLITLKIELASPDEFEGCSEHNFNIQTPNLQFLTIQDQIFASYVIPELPSLVEANVGIGPGSRFINNGDISEEEALRVMLVLKGIRIARSLTLWETATAAIGHAFDDFGPLPTFPNLLRLDLCIDSCYGWKLLPHFLTNSPNLEFIKMDKQVPFVDEEEAEIVLDEVTYGWDQPRARPFCLVLNLKEIQMKSLWRSGDEEDVIRYLLWNSMVLETMTINFSEDALEGELDDEDMIDIEELPRGSDRCQLIFD >OMO64584 pep supercontig:CCACVL1_1.0:contig12793:16411:18015:-1 gene:CCACVL1_21659 transcript:OMO64584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAADRISQLPEEIMAQIVSHLNMKEALAMIVLSKNWINFWANHVLNLHSLDFDSAHFRTRNRAHFLNYVDQVLRFRGIIDVDKFSLKFHEFRANDLPRICPWIRYAIICNVKKLELQFENKQWWRPNLVSLPSNVFLTFNSLVELKLNNDFVFDVPPIIPCLKILHVDVKCPNTKFLNKLFRSCKNLENLSINGVLLPSRYDETAYIFVISNPTLRKLKINLISSEEQFESCSLHHLIGIHTPNLEYLKIEDEIYASYDMPESINSLIEANVSFGPHSSYIKDGQISVDDACEVMEVVEGISHAKSIILWENTTAVIGRAIDDYGLLPEFPTLNRLELCIDDCYGWKLLPHLLWLWLVPPQTIASSTI >OMO64585 pep supercontig:CCACVL1_1.0:contig12793:46135:48380:-1 gene:CCACVL1_21660 transcript:OMO64585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFTVLKSKKKKSEQSVYVKRIAHMEHMPTTLPEPQVPTRSLQSAPPSFRTRVKPIPPITKATSNRARALSAPSSLDAAEQDALASVEFEEQEESKGRVGLVKEQRSSSPQPLPLPSPHGTVLKTMGSFKAGNTSGPLFASGPLPLPPSASGTLRNFSYEDIAAACHHFSSDRCTSEGLSSVMYKASFGDDTSSSKKFEATVTRLHPSSQGLREFINEVNTLASLQHPNLCKLLGYHARDGLEPRMLVYERLCHGSLDRLLYGRSDGPPLDWNTRMKIALCSAQGLTFLHEEGPFQAMYNEFSTANIQIDKDFSAKLSGYGCVGHIPETEEISSNSAVANLSVETLERGWLTPKSNVWSFGIVLLELLTGRKNLDSRHPREERNLVKWSRPFLTDDCRLSLIMDPQLKGRFPMKAARTVADIAQRCLQKDPSERPTMRTIVEHLKIIQDMKYSCRFPLQEPVAIPGKQMSRSPSLNGIITPAPRLSFSPSPPSGARLSVSPTRPTALPLTLPPRACSSTISLEELERQESRRSSSATLRRASVEGF >OMO60322 pep supercontig:CCACVL1_1.0:contig13739:14587:19485:1 gene:CCACVL1_24246 transcript:OMO60322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGDGLFRYADGIDKLLLLFGTLGSIGDGMMSPVNMYILSGALNDYGDIDQSFSIAIVNKYALRLLYCAIGVGVSAFIEGVCWTRSAERQASRMRMEYLKSVLRQEVGFFDNQTASSSTFQVISTVTSDAHSIQDTIADKIPNCLAHLTSFVLCLVVAFKLSWRLALAALPFALMFVIPGLGFGKLLMNIGAEMKTAYGDAGGVVEQAISSIRTVYSYVAEHQTLDNFSTALQRSMELGVKQGLTKGLLIGSMGIIYAAWAFQAWVGGVLVTEKGESGGAVFVAGICIILSGLSVMSALPNLSSITEAKHAASKIFEMIDRNPTINSENEKPKALSHVRGEVEFKDVDFSYPSRPDIRVLRKLNLRVQAGKMVGLVGGSGSGKSTIISLLERFYDPINGDILLDGCRIKKLELKWLRSQMGLVNQEPILFATSIKENILFGKEGASMELVIKAAKAANAHDFIEKLPAGYETQVGQFGLQLSGGQKQRVAIARALIRDPKILLLDEATSALDAQSEKIVQEALDHASKGRTTIVVAHRLSTIRKADLIAVLQSGKVIESGSHHELIQMNGGEGGAYKKMVELQQTAMQNESSDGFYNPLEGRNKLRMMGGGQTPQTPASVRSSYHSSPAYPFSPIMSISVTNSVEIHSYENQNDNSIKNSSHASLSGWRLIKMNAPEWKRTLLGCIGAVITGAITSVYAYFLGTVVAVYFIKDHSKIKSEIRLYSLIFLGLAGLSFIGNLLQHYNFAIMGERLVKRIREKTLAKVLTFEIGWFDQDENSSAAICARISTEASTFRSFIADRMSLLVQVFFSAFLAFLFALIVTWRVAIVMIAFQPLIIGSFYSRSVVMKSMSEKAQKSQNAGSQLASEAIINHRTITAFSSQKRILRLFGATMREPRQQSIKQGYISGLALFSSQFLTTASISLTFWYGGRLINQGLVTPKHLFQAFFILMSTGKNIADTGSMTSDLAKGSGAIKRIFAILDRKTEIEPEDYKGLEVEETNKGEIELKNVSFSYPTRPNQMIFTGLNLKIEAGKTMALVGQSGSGKSTIIGLIERFYDPQKGSILIDGYDIKKYNLRNLRSHIALVSQEPTLFAGTIRQNIAYGQEKNVTESEVRKAAMLANAHEFISSMKDGYETYCGERGVQLSGGQKQRIALARAILKKPMILLLDEATSALDSESESLVQKALDKMMIKRTCVVVAHRLSTIQKADSIAVIQNGKVVEKGSHSSLLAIGRAGAYNSLIKLQSGQSPYR >OMO60320 pep supercontig:CCACVL1_1.0:contig13739:7515:8908:-1 gene:CCACVL1_24244 transcript:OMO60320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRKIILVVFSLALVFRLAESFDYHEGDLASEESMWDLYERWRSHHRVAHDPKEKQRRFNVFKENLKLVHQVNQMDKPYKLKLNKFADMTHHEFTSIRTSKVSHYRMLRGPRRQTEFSHAKTDTLPPSVDWRKKGAVTNIKDQGQCGSCWAFSTVVAVEGINKIKTGELVNLSEQELVDCDKDNEGCNGGLMEQAFEFIKDSDGLTTESNYPYKAKDDPCDSSKVRNGPVVLIDGYEMVPEKDEKALMRAVANQPVSIAIDAGGRDFKFYSEGVYTGDCGTELNHGVAVVGYGSTIDGKKYWIVKNSWGEDWGENGYIRLERDIDAEEGLCGLTLEASYPIKLNSNNNKKGSSSSNSFKLRDEL >OMO60321 pep supercontig:CCACVL1_1.0:contig13739:11087:11514:-1 gene:CCACVL1_24245 transcript:OMO60321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVATYFAMTLGAFVFWQTMDKVHVWIALHQDEKRERLEKEAEIRRVREELLLQQAKERDSLA >OMO60323 pep supercontig:CCACVL1_1.0:contig13739:21328:21913:1 gene:CCACVL1_24247 transcript:OMO60323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQISKKKLKVRLQVKRVRAELGNINSDENCIRDEKINSEKENAVICSEFVSKERVNAGSNDEEVNDENPKNVQSSNVGPKKKGKRKVERLEKKVAAKKAETILLKQQLMEDKTRIEEASVGVISELRMVNAVLFLKTLLEEELANDLARRILG >OMP02586 pep supercontig:CCACVL1_1.0:contig06220:62:148:1 gene:CCACVL1_02750 transcript:OMP02586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVPRFRYSIKEYIIYATFSIGQSSG >OMP02588 pep supercontig:CCACVL1_1.0:contig06220:56141:58252:1 gene:CCACVL1_02752 transcript:OMP02588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MGTIVPNSIDSISLADKEIGIAQSPAELDAGAIFVLKSRGSWLHCGYHLTTSIVGPVIYSFPFAFSLLGWVPGVLVTALQAMVTFYSYNLISVVLEHHAQLGKRQLRFRDMARDILGPRWGKFFVGPLQFAICYGAVIGCTILGGQSLKFIYLLYNESGKMQLYQFIIIFGAVPLFLAQIPSFHSLRHINLASLLLALAYSACVTVGSVVVMTGSANNAPNKDYSVKGSNENRILGAINGISIIATMYGCGIIPEIQATIAPPVKGKMFKGLCICFGVVVCTFFSVAISGYWAFGNQSKSTVLSNFMGEKRPLLPIWFLLMTNIFTLMQLVTITVIYLQPTNELFEKWFANPKMDQFSARNVIPRLLFRSLSVICATTISAMLPFFGDIMALLGAFGIIPLDFILPMVFYNVTFKPSKKGIVFLGESASAIVVIGAVASVIDFDAKTYSLFANM >OMP02587 pep supercontig:CCACVL1_1.0:contig06220:34517:36428:-1 gene:CCACVL1_02751 transcript:OMP02587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFSSERDSLRRKVIVEKYGSENRCLLPDPARSQRSSALWKGICSPLVPSSPYYNCFWTNTLLPVGSGDRIRFWFDQWISDTTLKELFPRIFAISTCRDGSVADFGYWQNDLLVWKINLRRDCFDWEKDQLDALMGVLDGMILHSRFQDRLVWKLTPDGLYSPSSFSKSLVGPGASNHDFWNVIWCGLAPPKVEAFMWQAVRDRIAVKEFLAFRGLIDKEDTLCPLCNIEKETVHHLLLSCHLSWAIWSYFLNQWGEAGIGGVLRNHDGEVLISFSKYVGVVDSNEAEVLAVREAFLIFSASSWANLNPVVIESDSSNTVKWVQNPSFAPWRFRQIMMKIETLKQIYSKEC >OMP02589 pep supercontig:CCACVL1_1.0:contig06220:68105:72448:-1 gene:CCACVL1_02753 transcript:OMP02589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MAASEASQPITIKLDGTNYNHWSYLMRNFLKGKGLWKYVSGDKKCPRAQDGLENFVSEYEEWEINNSKIVTWIANCVIPSISMLLGRFNTTKEVWDFLEKRYVQADLARRYKLEMDLAALKQKRGQSISDFHSEMQVIWDQLAVMEPKWTADAELYYRFREEGRLVQFLMALQDEFESVRASILHRVPRPSVDAVISELMAEETRRCVLNQPKAGDDAVLVAATRPKSFGINSFGTSQSRRDFSKIECYYCHELGHTKHNCPKLKKKNESSKMGQAAAVTSSTETLDADTHSTADQTQEIIRQVISSMSNNFMGNNSIAASALSTSLGTNPKDWIIDSGAFNHMTFDSSMLESSKPISYSPSIHTADGSSMSATHIGSVSCSKNVSFDDVLLVPSLSMNLLSVGQLCDLGLDVIFCSHGCVVQDCLIRRVVGTGRKIGRLFVLETLHIVPEETCPTADSTASNSAPTTPNSSKSIVPELRRSTQFSNKKAPPLGHELSVLADTPE >OMP02590 pep supercontig:CCACVL1_1.0:contig06220:85596:92122:1 gene:CCACVL1_02754 transcript:OMP02590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGAFQNGLPVIKWKEIVDDNIEQGEEEAIKVFEWNTIKERIDTIKAMLGSMEDGEISSSAYDTTWVALIEDVNGSGNPQFPSSLEWIANNQLPDGSWGDRQIFLAHDRLINTLACVIALKKWDVHQEKCQKGVCFFNENISKLGKENAEHMLIGFEVAFPSLLQLARSLNIEVAYDSPVFQDIYARRSQKLTRIPKEIMHNVPTTLLHSLEGMLGLDWEKLLKLQCKDGSFLSSPSSTAFALMQTKDENCLTYLNKTVQRFNGGVPTAYPVDLFEHLWSVDRLQRLGISRYFQPEIKECLDYVYRYWTEDGICWARNTRPHEIDDTAMGFRILRLHGYEVSADVLRHFEKGGEFFCIVGQSNQAVTGIFSLFRASQVMFSGDKILEDAKRFSSNFLREKQASGQLFDKWIISKDLPGEVGFALKIPWYASLPRVETRFYIEQYGGEHDVWIGKTPFR >OMP06520 pep supercontig:CCACVL1_1.0:contig04907:4631:6010:1 gene:CCACVL1_01534 transcript:OMP06520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyl transferase MGQDNFTPQKRSSAGGAGGLPTTNSAAAAGGRTRGFPGIPRGRQIHKTFNNIKITILCGFVTILVLRGTIGVGNLGSNEADAVNQNLIEETNRILAEIRSDSDPTDPDELPETDLNPNITYTLGPKISDWDQQRKVWLSQNPEFPNFVNGKARILLVTGSPPNPCDNAIGDHYLLKAIKNKIDYCRLHGIEIVYNMAHLDRELAGYWAKLPLIRRLMLSHPEVEWIWWMDSDAFFTDMVFEIPLSKYDKYNMVVHGYPDLMFEQKSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGPIREEAGRILTANLKGRPAFEADDQSALIYLLLSQKEWMDKVFLENQYYLHGYWAGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLSSMQRAFNFADNQVLKLYGFRHRGLLSPNIKRIRNETVAPLEIVDQLDIRRSVHGQSGSKS >OMP06521 pep supercontig:CCACVL1_1.0:contig04907:8288:9025:-1 gene:CCACVL1_01535 transcript:OMP06521 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kinase MVENNRILEGLLLEWENFHSINQKQSSKQKPNHQMKQQWSMVMIRDSLPQNDLSVFPPINHENLHRQNQQQQQHNPPPKSTLSPPSDARDITPSVAGRGIGDWLGTGLEILRAKIVSLACYFGYKDGKFGRAFGSFGRVIGVAAVVLLWWLCKRVRRRRCREENVEQLKMIIKEKDERIRGLLSQIAEMNEVLIARHKAIASKLTN >OMP03878 pep supercontig:CCACVL1_1.0:contig05927:180:1309:-1 gene:CCACVL1_02235 transcript:OMP03878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGELQVHKSQQEFGGKTSMNGQSYGFRRERLGLRQLNGDRSTQEKRRLEGEERRIVEGRG >OMO76042 pep supercontig:CCACVL1_1.0:contig10935:12153:12320:1 gene:CCACVL1_15950 transcript:OMO76042 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide repeat-containing protein VPFLIPLSFSLHSFSSSLPTSPSSSTEPDSSSETHYKQLIFNTIEEKPWAFCNTNW >OMP11644 pep supercontig:CCACVL1_1.0:contig01064:5143:6033:-1 gene:CCACVL1_00368 transcript:OMP11644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIIEDQCPSEASSISAIPSNMIPNQPLKASSQTESSSPGRILLDLKLFNNNNDSTRESMKELNFFNPMNTSSSHDHSKESGDEGDDHETSREKRSGKSRVFSCNYCKREFTTSQALGGHQNAHKQERAMAKRRNQGIDNVDRHNFGHPPHYPYYTCPTYSQPFYGSLINRSPLSVKYESMIHKPSYNSLTSSTGFHGFGHNWPRPAMVNPPPPSPLAIHYPPPMNINGRFGISGPSNPSRLEEIVAPRTGFGDASSLPNYIAINKPAGTDSLQSSNPSGSDQCDASGIDLSLKL >OMO70826 pep supercontig:CCACVL1_1.0:contig11783:66671:67402:1 gene:CCACVL1_18651 transcript:OMO70826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRFPSIFHLLTLAFLFQSAFGVDPLFHFCLNSAGNFSAYDPYEANLNTLVGYLSIQAPSSGFGTGSIGENPNKAYGLTLCRGDVSSSDCKTCIVEAGSEIRKRCPSDKGAIIWYDNCLLKYSNTDFFGKIDYENRFYMWNLNVVSEPELFNKKTKEMLSELAEEASESPKLYATEETELYGNTTLYGLTQCTRDISSSDCKKCLDSIIGELPSCCDGKEGGRVVGGSCNFRYEIYPFVKA >OMO70816 pep supercontig:CCACVL1_1.0:contig11783:4477:8516:1 gene:CCACVL1_18641 transcript:OMO70816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDQKKGKQEKGGTDAAEKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSHGSGRKWSFPRFAGDCASRKSQSGSSSEQKIDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVASEAKRAQASWVVLDKQLKPEEKRCIEELQCNIVVMKRSQAKVLRLNLVGSPKKETEVSHQLNSELDQTAEKHPKNKNGSSDSVRGPVVTPTSSPELGTPFTATEAGTSSVSSSDPGTSPFFVSEGNGDLKKEEALVIQENRDLDDESSSDTESENVSLSSASLRFQPWITEYLNSHRQSSQHFEETSGRANDRAQASTTKALLEKFSKLDREAGIGVSSFRSDKEFSGNVREAISLSRNAPPGPPPLCSICQHKAPVFGKPPRWFTYAELELATGRHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRARPLLEEYAIDELVDPRLEDRYSEQEVYCMLHAASLCIRRDPHCRPRMSQVLRILEGDMLMDANYASPGYDVGNRSGRIWAEQQQHYSGPLVNEALEGFSGKLSLDGLKAGSQENFM >OMO70820 pep supercontig:CCACVL1_1.0:contig11783:33558:34930:-1 gene:CCACVL1_18645 transcript:OMO70820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRNRIPREAFNDRRGFPPERTFLRGPPLPQPPPHPMLLEEELEMQHAEIRRLLADNRRLVEDRMAIQQELGAAKEEIHHLNLVIVEIRGEQEMRSRELIEKGLKLEADLRATEPLKKEAVQLRAEVKKLNNAKQELNGQVQTLKQDVSRLQTENQQIPNLREEIDSMRQELIHARTAIDYEKKANIELMEQRQAMEKNMVSMAREVEKLRAELASVDGRAWAAGGPYGMRFNSSGGTFPAPHEGYGVHLGAYGPGPASREKPRVPRR >OMO70825 pep supercontig:CCACVL1_1.0:contig11783:62053:64562:1 gene:CCACVL1_18650 transcript:OMO70825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLTWAPSPTAVPAAVRASWDTQQRPSYNPNAPRKLKPSPNIKPSPPIVVTTRTDPSVFEILKRPTQEVKPSKVNLDESDSYMGYEKWVPTPPKVEKPRSVFNAATLAYIGDCIYELYARRHFLFPPLSIDEYNERVTSVVRCEAQDALLQELLNDSFLSNDERNVLRWGKNITSAKTKTKKRAGSAVYNRASSLETLVSIPVLCSYSEIVIVYFMFDSLRKGFGIEFFILREVVPNSNWLSLPDKRESLGKTHVKARILYWCIYTNDIEGSKWSKTSTVDKDGVEHFLFPANRLKSNREPPSTCS >OMO70817 pep supercontig:CCACVL1_1.0:contig11783:9848:12770:-1 gene:CCACVL1_18642 transcript:OMO70817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMARPSYEFDPYYLQPDQDPNLINTLFVSGLPDDVKAREIHNLFRRRAGFDSCQLEYTGRGNQVVAFVTFLNHQSAIAAMHTLNGVKFDPQAGSVLHIELARSNSRRKRRPGSGPYVVIDKRTKASSDTQETSSDDGGSDTEEPSGTDNADPANKDLGTVNSYLMSGMCSETVVDPENVVAVSNEQLERAVGEGVQPCSTLFIANLGPTCTEDELREVLSRYPGFNVLKIRAKGGMPVAFADFEQIEQAAKVMKDLQSSLLPSSDRGGMHIEYARSKMRKAL >OMO70821 pep supercontig:CCACVL1_1.0:contig11783:35851:38304:-1 gene:CCACVL1_18646 transcript:OMO70821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVIPIEKWNLVTLRNEQEEVAARAVWAPSEPSEIDQPNLRREIEEEARIRKDRAESVFMEQVIALLSQVDIETRRDVKKKILVTLRYKAKRLEAENKKPDFYR >OMO70819 pep supercontig:CCACVL1_1.0:contig11783:22242:28905:1 gene:CCACVL1_18644 transcript:OMO70819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recoverin MEGKPKFLHGTLEATIFDATPYIPPFPLNCVLANGRPTYVTIKLDDKKVAKTSHERDRVWNQTFQILCAHPPDATITITLKTKCSILGKFSIQARRILYEASLINGFFPLEMENGKTNPELKLRFMLWFKPAEFEPTWGKVLRNGGFQGLRNATFPQRSNCQVTLYQDAHHSSAFQPPFSLWETPTKLWEDVYKAIEGAKHLIYIVGWSFNPKLVLVRDSQTSIPHARGVKLGELLKRKAEEGVAVRIMLWNDETSLPLIKNKGVMGTHDEDAFAYFKHTKVICKLCPRLHHKFPTLFAHHQKTITVDARASSSSSSINDREIMSFIGGVDLCDGRYDTEQHSLFRTLNTESHCFDFYQTNISGASLHKGGPREPWHDAHACITGEAAWDVLANFEQRWTKQFDPCLLLPTSSIPNLIRQPFASSVSNEDRNWKVQVFRSIDHVSATQLSKNLTVEQSIHEAYVEAIRKAERFIYIENQYFIGGCHLWDKDKHSGCRNLIPVEIALKIASKIKAKERFAVYILIPMWPEGVPDSEPVQDILHWTRETMTMMYKLIGEAIKESGEPGVHPRDYLNFFCLANREKENKEEFVPPYSPHPSTQYWNAQKHRRFMIYVHSKVMIVDDLYLLIGSANVNQRSMDGRRDTEIAIGCYQNQDQLPGSPETNNVPTRTPGDIHGYRMSLWYEHTRLANDIFQEPESLECVQKMRSLGEKMWQIYANEEIVDMEGVHLVSYPVNVTEDGSIEDVADGYDGHFPDTKTPESSSLTMGEKLCAVCIPVIGLIEALIFSLASCFHHHRTPPPLRCTFDDIVRLSKNSPFSVNEVEALHELYKKLSCSIIDDGLIHKEELRLALLRNQGGDNLFLDRVFDLFDEKKNGVIEFEEFIHALSVFHPSAPMEEKIDFSFRLYDLRQTGFIEREEVRQMVVANLRESGVHIPDEFLEAIIDETFADADTDKDGKINKQEWKAFVTRNPRLLKNMTLPYLQNITTVFPSFIFNTELDD >OMO70824 pep supercontig:CCACVL1_1.0:contig11783:51778:61583:-1 gene:CCACVL1_18649 transcript:OMO70824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYDSRSGDPTSYRDRRSESGFGGASTYGGSVRSSSSKRDYDSEPPRKLDLDGLTPFEKNFYVESPSVASMSEAEVEEYRRRREITVEGRDVPKPVKSFNDMGFPDYVLQEITKAGFVEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAIVHVNAQPFLAPGDGPIVLVLAPTRELAVQIQQEAAKFGASSKIKNTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMLESHHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVEQLANKFLYNPYKVVIGSADLKANHAIRQIVDIVSESQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQVTRQLRMDGWPALSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLDVKDVKFVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELIVILEEAGQKDENVSRAAAKAKCCEKRKSQLTMSESPKLYANKPNKAQLKQFQEQNKGKDFPSTSSSAAASYTMSAPPPPPQPPKESFARRYKFLWPLLLAVNLSVGAYLFIRTSKKKDTSITEEDVAKDVGSTPVSTTTVTAPPPPVTEQPLPLPSITQPVKLPEPVPESQQREIFKYILEEKRKVQPKNPEEKKRLDEEKAILKQFIRAKSIPTI >OMO70818 pep supercontig:CCACVL1_1.0:contig11783:15527:16081:-1 gene:CCACVL1_18643 transcript:OMO70818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MTNYGTIPTSSSPGPSANLEYLSRAKARIKEGLGARRPWKIMFNIHSLNCPASFFEAMSRIKTNLAYFRMNYAIIVLIILFLSLLWHPISLIVFIVMMAAWLFLYFLRDEPLVIFNRTIDDRWVLAVLGVLTIVFLLLTGAFLNILVSLLVAAAVVLIHASFRRTDDLYDEESAGLMAPASSSS >OMO70822 pep supercontig:CCACVL1_1.0:contig11783:40118:42005:-1 gene:CCACVL1_18647 transcript:OMO70822 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin ligase PUB14 MATEVIPIGTILAVIINQVTKTAEAAKDVVFDKDSFNVLSKCLFDIEAVLKELQLIDIKDSQAARLALESLEANVKKANHLVDNCKKRTRFYLLNKSSRIVNEVKQVTRDIGRSLDSLSRHIVNEMQSLDVDVDVETSHYSQLQIVNDKLIQVIELLSRADLEMGMSRRDVEKKSLVTSINKEFLGTANNPLEELARAIGAPSEIGNFRREIEEARIRKDRAESVFMEQVIALLSQVDIETRRDVKKKILVTLRNKKSPECKQTTARLIV >OMO70823 pep supercontig:CCACVL1_1.0:contig11783:43639:49311:-1 gene:CCACVL1_18648 transcript:OMO70823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MGPKSKFKSSAKDSCQLPLGTLGWPLLGETIEFISCAYSDRPESFMDRRRRLYGKVFKSHIFGTPTIVSTDAEVSKFVLQSDAKTFETFYPKSLTELMGKSSILIINGSLHRKIHGLIGSFFKSPRLKDQITKDMQNYVQQSMDKWRDDQLIYIQDETKHAKKRMVKIIHKIIQSKRKSGISSTVAKDVADVLLNDASELFTDDLIADNIIDFMIPGEDSVPILMTLAIKYLSDCPAALHQLTEENMKLKRLKAQSGEPLIWSDYLSLPFTQSVITETLRMGNIIIGVMRKAMKDIEIKGWVAEDDTIVNFPTVRMKKRMPVWVKRRDQDS >OMO68138 pep supercontig:CCACVL1_1.0:contig12266:20408:20488:-1 gene:CCACVL1_20064 transcript:OMO68138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKNRGGAGVGFAAVRRKNRCGVGD >OMO68139 pep supercontig:CCACVL1_1.0:contig12266:21045:21164:-1 gene:CCACVL1_20065 transcript:OMO68139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAPFDADFTGQSSTWMKRLNSMPTNTASMASLSPKST >OMO50060 pep supercontig:CCACVL1_1.0:contig16325:27728:29216:1 gene:CCACVL1_30669 transcript:OMO50060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKMASDSSFEDSRSSQNNKKKGGIEEDEEEEENEDKVNCKSRNGESSSNSSVELENEKKSTASGSVRQYNRSKTPRLRWTPDLHLCFVHAVERLGGQDRATPKLVLQLMNIKGLSIAHVKSHLQMYRSRKTDDPNQDMTEQGLIFEGGDHHIFKLSQLPMLHSFKNQRYGSHNNKGASALDIARRGIYTSLTERIFGSYKKDNSHSCSIGETASGRRNLGHHGCWQTQEIRPSKMGSQDKQKRKILMDSNGEEEEEDIDLNLSLKITTLTKQNYKDTIESDDEKGTCSFEGVVDSNLSLSLASSSSKVVGNDDEYQYGSRRKHARTIPISTLDLTL >OMO50058 pep supercontig:CCACVL1_1.0:contig16325:19691:23678:1 gene:CCACVL1_30667 transcript:OMO50058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial surface antigen (D15) MDSLLQRMKKGPVSLRVHDVIIKGNTKTKDYIIEAEAEELKSVGSMQELVEASHLVNLKLKALEVFDSVKITLDSGPPELPGTANVIIEVVEAANPLSGQIGAYTKAEARSSTVEGSLKYKNLLGYGDLWDGSLAYGYDHSAEVSAGVYLPRFKGFLSPFTARAYLQSQDWLKFSSYKERSLGLSLGLFSTRHHDLAYNLAWRTLSDPSQMSSRSVRRQLGHNLLSSLKYTFKFNRRDSSMRPMRGFAFASTTQIGGLVPDSRSLRFLRQDFDLRYAVPLGFYRAALNFGISGGFVFPWGNGFLSRPSYLPERLFLGGNISPLTTFGGPTTLWGFKTRGLGPTEPRRQLNDESADPSGVDFVGGDLAVTALADLSFDIPIRWFREKGIHGHAFACAGNVSKLTENAYRDFSIKKFAESLRTSVGVGLVIPTSLFRMELNYCYILKKSDEDRAKSGVWITFSGN >OMO50057 pep supercontig:CCACVL1_1.0:contig16325:17692:18029:1 gene:CCACVL1_30666 transcript:OMO50057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYNFKLFCVVIVLKMLVAVLMASDHVSEGINCSEDKLNPLCKQQLEEDNINGGDSFSGRIFDSLSAPFRWIFGRA >OMO50059 pep supercontig:CCACVL1_1.0:contig16325:25041:26487:1 gene:CCACVL1_30668 transcript:OMO50059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVETRPISTLSSCGPPSKRYPTKTVHT >OMO50056 pep supercontig:CCACVL1_1.0:contig16325:10853:16125:-1 gene:CCACVL1_30665 transcript:OMO50056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTSKATSSLLLNHNNNHFLFSLSSKKPSLFHPFPSFSSKNTPLPSLSFSSSFSSPSTSSSSGVTRVTTAPLEYAPPAPDSNNFQQEISRLKTLRLKLSASKTLKQKLRVLNSDSRVKHFLNTRGFERVLGSLGLGLNASFLIKCLIAAGQEHVLDMGFGFVEGEGDGARSSVKAALYALVGMIEKWDGTNGGAGGREGFLNGVNGSVLEDEESEDLKKLLMTLEEMEEFYDCIGGIIGYQIMVLELLGQSSLEMQTTNHSQRVHESMECQFLEIHSPTGCDLSQDTEYASQAALWGIEGLPDLGEIYPLGGSADRLGLVDSDTGECLPAAMLPYCGRTLLEGLIRDLQAREFLYFKLYGKQCITPVAIMTSSAKNNHEHITSLCERLGWFGRGRSSFQLFEQPLVPTISAEDGQWLVRRPFVPVCKPGGHGVIWKLAYDKGIFQWFYDHGRKGATVRQVSNVVAATDVTLLALAGIGLHHGKKLGFASCKRNSGATEGINVLIEKNLDGKWAYGLSCIEYTEFDKFGITNGPPSPNSLQAEFPANTNILYVDLPSAELVASSRSERSLPGIVLNTKKSIMYTDYFGNWQSVPGGRLECTMQNIADNFLNTYTSRCYKDVEDKLDTFIVYNERRRVTSSAKKKRKHGAPLHQTPDGSLLDIMRNAYDLLSQCDIDLPEVEGNDKYVDSGPPFLIFLHPALGPLWEITRQKFSGGSISKGSELQVEVAEFLWRDVQLEGSMIIVAESIMGSTKIDENGEPILQHGLRCGRCKLHNVKVLNDGIDWSSVDNVYWKHDVRRFEALKVILHGNAEFEADNVTIQGNHVFEVPDGYRMRITSGDPGLAVQLDTIPQNLMDSGSWFWKYNIGGTHILLEMVEL >OMP11512 pep supercontig:CCACVL1_1.0:contig01217:4337:5416:1 gene:CCACVL1_00473 transcript:OMP11512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MKTNQGSIGNIYNTQMDSCVPLVDTMQEASQLFPLYQSLAACDPISAKTSINKADSGLTYNIPVSAPRKRPRDSFINGFDSYPVSQKNKFSSVLDDDVFSQIQQQQQEIDRFLAEHTEKVRMELEERRKRQSRMLITAIQEGVMKKLKEKDEEIQRMGKLNWVLQERVKSLYVENQLWRDLAQTNEATANSLRTNLEQVLAHVSEERHVSGGGATAAAAAAALADDAESSCGSSDEGWRKVVVPPQQQGRCGGESGGAAQDKAVVGNNRKCRKCGEKESSVLLLPCRHLCLCTNCGSTLVGNCPVCDSVTNASVHVNMS >OMO74792 pep supercontig:CCACVL1_1.0:contig11086:14713:20654:-1 gene:CCACVL1_16465 transcript:OMO74792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKRDCDAAYKAKQQKEEVRKKMTEHPQTHSKRQSF >OMO74791 pep supercontig:CCACVL1_1.0:contig11086:5306:6336:1 gene:CCACVL1_16464 transcript:OMO74791 gene_biotype:protein_coding transcript_biotype:protein_coding description:sodium/hydrogen exchanger 11 MGQRDPAKADENPDHPPKGSVAYYWTLAGIVCTGLASIVAAWVVRDQPVISYLYGHRNFFPGAISFFIAIGSQLLLLCAYCNGRYSAPISIGWPLKLAMDLMALLLALSIALLHSWNTDMLLTLFLLVICTIYVHALVQLRFRPRDMGIVDLMLHVSMESLVCLIPSGSRVCIALILLLCAAIILCRCLFYSATKGGCHFEKDKEDDEKSDQATNSADSTQVSDHEKSRRLDLLFLC >OMO59800 pep supercontig:CCACVL1_1.0:contig13880:4603:4674:1 gene:CCACVL1_24603 transcript:OMO59800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELFDEGKLGKKNFEKWGTKFQRR >OMP00886 pep supercontig:CCACVL1_1.0:contig06594:1877:2142:1 gene:CCACVL1_03268 transcript:OMP00886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERATAIGISDDAHSFKYKAVNSQPDILPNRKTLVTNKCIAMQCSLCTEMTQ >OMP00885 pep supercontig:CCACVL1_1.0:contig06594:603:695:1 gene:CCACVL1_03267 transcript:OMP00885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFSRSKGHRKPTIPISSSEPKKYTHKKAGL >OMO71665 pep supercontig:CCACVL1_1.0:contig11585:34458:35965:-1 gene:CCACVL1_18112 transcript:OMO71665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHCGEDVTDIEVKPDGCWTLKTKGELSDLGKWHFPDGSLYVATNEVVSNSETLRQINKERSGHTNIEIGTKGGAIEECKNRGLHLLNPKEEDIENYNQMVIAMSSSASGNGRDDENPSINQDYVQYNKISANDANEINSIRHNFDSILRTESQSYGAIGEPDVIILSDSEEDNVNLVSSHTDYGSCFLNDSGALPIPNGIEQSYLENPVPDSGMASCLDLFHGGGKDTGMPDWAYSSGTQVGSGFKLFGEDSDVANVLIDLEHSAVTCSAPMNSYTLDSNSTLNSNGQVPDSSMCNINIDEDDDLVDNPFAFVSEDPALQNFLPAQPAGVLEESDTGCHPPISGSIHTEDWISLRLGGNGESIGSDIGTIAQSAVSKGQHLMNECRSNEGMNDESSSNGINSTKKLNGPFSFPRQPRSVRRRVYSIESE >OMO71664 pep supercontig:CCACVL1_1.0:contig11585:18573:19970:1 gene:CCACVL1_18111 transcript:OMO71664 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein MAPLKHKIIIKELRLLKPTDSSYSGPLGGDTPPLPRDAWDKWCKHGSVICFAVEAARPEKYGIPIFSRLPHDMLKIYFGNPMDGSIYHVIDFDYYEFGRNPYEDSKVGFILVGSRIYVLGGIYHRRSGPVDVYYCDVAAAAANGNNKWEWVRGPDLNSFKAGNPFVFSLMGNIYVHATACRVLYDAATPPEGEEIADCRPFEVLRDDIGKWEVLESPFSECGCNIHIGRSFVYGDKILIQCYQGPLSVVYIYDAKRGLWLRTITPFNYFKLSWIFNVDRVVDLGLLIGKTDEFLGLHVYDGCFELQGVVGHLGLSSALLMKGTVEEGTVCTAWHLFPLGLGGGQTQKYCFLAMYSFDDHRCPPKASHVRLGTFDLEPIDCDADGDGHAGFDYQHHTRKLRSHMYDDFQFSDAEKYFEDEKMTGFTTSWSVPDLPSRYRVTNEAIKKICLAPADKAVCLARFCSRG >OMO50966 pep supercontig:CCACVL1_1.0:contig16021:13911:24593:-1 gene:CCACVL1_30101 transcript:OMO50966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMTSRFSQLSLAVSNHVVEGNGSSSDCHEDDAAAAADLASQRRDFDTASTSSYANAATSSATAPTSMAYLPQTVVLCELRHAAFEASTPTGPSDSGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALETIGKNLRDQYERWQPKARCKVELDPTVDEVKKLCNTCRRYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPINDLDSWLRTPSIYVFDCSAAGMIVNAFIELLECGTSNYTGSARDCILLAACEAHETLPQSAEFPADVFTSCLTTPIKMALRWFCTRSLLHESLDCSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISHPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGHWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHVYFIRFLNSVEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIHVCLKHLHSSMQNDTQTEPLFLQWLCLCLGKLWEDFTEAQLIGLQAEAPSICARLLSEPQPEVRASAVFALATLLDVGFDSFRDGVGGDEECDDDEKSRFEIIIIKSLLNVVSDGSPLVRAEVAIALARFAFGHKQHLKSIAAAYWKPQSNSLLNSLPSLANINGTASGNIVSSQIGPLIRVGNDNSAVVRDGRVSTSSPLATAGIMHGSPLSDDSSQHSDSGILNDGVSNGVVHHSRSKPLDNAMYSQCVLAMCALAKDPSPRIASLGRRVLSIIGIEQVTKSVKSAGSSARPGEPTTSSPTPFAGLARSSSWFDMNGGHLPLTFRTPPVSPPRQNYLTGMRRVCSLEFRPHLMNSPDSGLADPLLGSGSGTSERSLLPQSTIYNFSCGHFSKPLLTASDDSEELLARREERERFALEHIAKCQHSSVSKLNNNNQIASWDTKFETGTKTVLLQPFSPIVIAADENERIRIWNYEEASLLNGFDNHDFPEKGISKLCLLNELDDSLLLVASCDGNIRVWKDYTVRGKQKLVTAFSSIQGHKPGVRSLSAVVDWQQQSGYLYASGEISSIMLWDVDKEQLVNSIPSSSDCSISALASSQVHNGQFAAGFVDGSVRLYDIRAPDMLVCATRPHTQSVERVVGIGFQPGLDQGKIVSASQAGDIQFLDIRSFRDTYLTIDAHRGSLTALAVHRHAPIIASGSAKQLIKVFSLEGEQLGTIRYQHTFMAQKIGSVSCLTFHPYQVLLAAGAADACVSIYADDNSQTR >OMO50965 pep supercontig:CCACVL1_1.0:contig16021:7976:12714:1 gene:CCACVL1_30100 transcript:OMO50965 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHS domain-containing protein MMIMSTASSSAATVAVDKATSDLLIGPDWTMNIDICDSVNSNHWQAKDVVKAIKKRLQHKSSKVQLLALTLLETMVKNCGDFVHFQIAERNILGEMVKIVRKKADMIVRDKILALLDSWQEAFGGPGGKYPQYYWAYVELRRSGVDFPKRSQDAAPIFTPPATHPTLRHNQPGYGMPSNSSRRLDETMATEIESLSLSSLDSMRDVMDLLGDMLQAVNPSDRAAVNDEVIVDLVNRCRSNQKKLMQMLTSTGDEELLARGLELNDSLQSLLAKNDAIASGSPLPIQVTNVSSKPTEASTSNKSNEVNNSSVTSSISPPVPVAAVTRSQIDEEEEEEDDFAQLARRHSRGQSTSSQSTAAATSEGNNAIVPTSNMPADSTSTTTCTALALPDPPAAIKTSKEQDLIDLLSLTLSTTSASSPHTPPTPSTSHQNMHQSNVASSNHMVGGRPLQHINSFPARANNGPSQTGDSWAGPRNPAPAGQKPFIPSYRLFEDLNVLGNTDGRLNKMTNSSSTPSLSGASSQSMVGGRK >OMO65532 pep supercontig:CCACVL1_1.0:contig12644:172:1891:-1 gene:CCACVL1_21510 transcript:OMO65532 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent glycerol-3-phosphate dehydrogenase MSATATRLRRFAVATAAIAVTAGGGAILFPPSVSANDRAGGPAVESVRQMINDPKAIIPSRSVQESALISASSANPLDILVVGGGATGCGVALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALEERKQVIENAPHLCHALPCMTPCFDWFEVVYYWMGLKLYDLVAGRRLLHLSRYYSAQESIELFPTLARKGNNKNLRGTVVYYDGQMNDSRLNVGLACTAALAGAAVLNHAEVVSFLKDEATGRIIGARIRNNLS >OMO59012 pep supercontig:CCACVL1_1.0:contig14069:17356:17619:-1 gene:CCACVL1_25161 transcript:OMO59012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTSSSILPYGRREVQDNSFACRSETIAEQKIESTVQGVKSRVENCRDSITCCRGGTIESCFRTDEDNRFKPVAAPQDIFSSFPLV >OMO59013 pep supercontig:CCACVL1_1.0:contig14069:19502:22050:-1 gene:CCACVL1_25162 transcript:OMO59013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MLNAAATVQHGYRNPSPHAQMKKSIAGSEENSSHQPVISLDLLAGKGTIASTSQKTISLPKCSMLGGKLLDSHSDGHSEMSFCPRSIYQGWTPLPSEIASVMTTSATTLEEKLAAMEKDMADLIKAVEEKDAEIARLKSQIERPQPNDNSKDYQLHPLENESDDVIVVEKNNIQEVKDPIVIVTSAASVGALSVQQLQEMITNTIKAQYGTSSKAYHAYVKPYTRRIDELKMPGNYQPPKFQQFDGKGNPRQHVAHFIETCNNAGTYDDLLVKQFVRSLKGNAFDWYTGLDSESIDSWDQLEREFLNRFFSTRRTVSMAELTNTRQGKDEAVIDYINRSQAWKEGCNEAKTSKSSTEESMFISAKSIRRKKEDSRKEDRRVSLREKEAKKYPFPDSDVPEMLEQLLKNKLIELPKSKRPEEKDKIHDPKYCKFHRIVSHPTEKCINLKELIMKLADEKKIEFDDDDVVKADYTTFTSSLDFAASMKSTCNDLELGAKCQLIQFGSLEHVLIQFSEENSLGGFVTKGDSYTSNEPWTFVKQKNSQRRRYQPKISSEEGRYQGKALLSPTKKGYEENSLEVSNNETSEQSFRKPVMLKEFFLQEFFNQNQATVGVHMLSYEELSKDEKPRVSAFDHLGMPQTRKSVFDRLNVRSSRQKEVVQAGGSVFDRLESSNVSTAKTLLKVEWKDDKETCSRIPYRMKRHLFLEIDTNGPLKVKRRVVVRTSSFVQRNSGGNVSEHVSQVEPSQDEAEKDLTAFEDKGQTSVVKIHNLLLENNAHVKLMK >OMO96729 pep supercontig:CCACVL1_1.0:contig07389:9404:9517:-1 gene:CCACVL1_04804 transcript:OMO96729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREPYKTVTPDHQRSNLITNSDAEHDAIYDPISISE >OMP07700 pep supercontig:CCACVL1_1.0:contig04259:3866:4513:1 gene:CCACVL1_01244 transcript:OMP07700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIERRGHVRLYGRGVTQKSLGKKVIDDVAAKVQQEQVKEDVRKRLDQHNSVLDTGFQTALAALQQANPSLVILTGIFDFLLTAPSPGDTSSAQDRISSEHIPSSSAMHNPSQEKEINEDHHSSDFEEGAHGRNGENLDC >OMP06723 pep supercontig:CCACVL1_1.0:contig04826:288:350:-1 gene:CCACVL1_01455 transcript:OMP06723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFNDTSKDYNNISNLNLN >OMO84372 pep supercontig:CCACVL1_1.0:contig09752:109389:109592:-1 gene:CCACVL1_10865 transcript:OMO84372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFADSMTRHTKQTFHWFVIFYVVKTEELQQVGAVNNSKISCHEGRAFRRERVSPTVAPLFYPFGD >OMO84357 pep supercontig:CCACVL1_1.0:contig09752:16889:17530:-1 gene:CCACVL1_10850 transcript:OMO84357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MEEYGRHQARLARTIYEALSKNLNLDPVKTKSYLSEATGFIRVHRYPGIAEGSQAWGVGAISEDEYLSVKHRVRVNKQEDRISLNYFVFPDYDTVIQSSKYGPFTYTDFCEQAQKDFETVGFKIGLAGFKSNRAIN >OMO84362 pep supercontig:CCACVL1_1.0:contig09752:43940:44501:1 gene:CCACVL1_10855 transcript:OMO84362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACGVVFQLIAAEAPADDSHALIWDLSSMGMLFFLSPANILASSEFEKLRWPVLEGNSDDRFLAFR >OMO84363 pep supercontig:CCACVL1_1.0:contig09752:48058:49100:1 gene:CCACVL1_10856 transcript:OMO84363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFSSARNTNIPPSLSAGDEINVFTEGRSLMASLEDYNEPTANRGHDPPSRSIGGGGGNGGRGRSRKGRQL >OMO84361 pep supercontig:CCACVL1_1.0:contig09752:36451:39154:-1 gene:CCACVL1_10854 transcript:OMO84361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGVNGKQHSIIPKRAAFPSVPVRASLSMSTSTTAVEQKKSPSMDFAIPIMVNGCTGKMGNAVIKAADSAGLHIVPVSFGAEKESGKTVEVSGKEILVHGPSERESVLASLFNEYPNLIVVDYTVPDTVNDNAELYSKVGVPFVMGTTGGDRDRLYKTVEESNVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVMESHQSSKVDTSGTAKAVISCFQKLGVSFDMDQIQMIRDPKQQLGMVGVPEEHLAGHAFHMYHLTSPDETVSFEFQHNVCGRSIYAEGTVDAVLFLAKKVQSKAEKRIYNMIDVLREGNMR >OMO84358 pep supercontig:CCACVL1_1.0:contig09752:22206:25000:-1 gene:CCACVL1_10851 transcript:OMO84358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MPHQKNNLESYPPVFRQLNDTAAAEVKKNAMEETVPIPVVDLQNLGLEKLREASKDWGLFRLVNHGIPETLLSKLEEQAKKMFSLPFESKQALLSSPMSYFWGTPVLTPTGDALKGAALNINWLEGVNVPLSQLPQFQSQDPVLDCFRVLLEEYGRHLGRIATTLFEAMVKDLNLDPKQSESNIDESTGFIRVYRYPAGVMAEEAWGMIAHTDSSVLSIVNQDKVAGLEIFKDNKWQLVNPVPNTLIVHIGDMMQAISDDEYISVKHRVRVNKQEERFSICYFVFPAEGSVIRSSKYRPFTYADFQEHVQKDLKTIGYKVGLDRFKVNADG >OMO84368 pep supercontig:CCACVL1_1.0:contig09752:69856:71930:1 gene:CCACVL1_10861 transcript:OMO84368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MERKGGEYVNGENDGFDPSNPPPFRIADIRAAIPKHCWVKNPWRSMSYVLRDVSVVFALGAAAICLNNWVFWQFYWVAQGTMFWAIFVLGHDCGHGSFSDNPILNSVVGHILHSSILVPYHGWRISHRTHHQNHGNVDKDESWVPLPEKIYKNLSLKTRLLRFSVPFPLFAYPLYLLYRSPGKEGSHFNPYSNLFNPHERKLIMISTACWTAMLLLLAFLSFAIGPAWVFKLYGVPYLIFVTWLDLVTYLHHHGHEKKLPWYRGKEWSYLRGGLTTVDRDYGLFNNIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVIGKYYREPKKSGPIPFHLINNLVASLRQDHYVSNNGDIVYYETDPELFQILSLGKSE >OMO84371 pep supercontig:CCACVL1_1.0:contig09752:89466:94109:-1 gene:CCACVL1_10864 transcript:OMO84371 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-2 MPTPVSAARQCLTDEAARALDEAVAVARRRSHAQTTSLHAVSALLSLPSSTLRDACARARSSAYPSRLQFRALELCVGVSLDRLPSSKTVEDPPISNSLMAAIKRSQANQRRHPESYHLQQLHSSNNNNNNGTGCSQTASLLKVELKYFILSILDDPIVSRVFGEAGFRSCDIKMALVHPPVTQISRFSRTRCPPIFLCNLTDPVPGRPGFNFPFAGQEDGADENCRRIGEVMVKQSGKSPLLVGVCAVEALRGFTESLARVKSGILDGDLAGLTVISIEKEVNEFVVGGNEEKLRLKLKEMESTLEKCNGSGGGGGVLNFGDLKGLISDEVLHDSVSSLVLKITSLMEVYRRKLWLIGVVASFEMYRKFSDKFPNIEKDWDLQLLPITSSKSSFDGGYSKSSLMGSFVPFGGFFPTPSDLRSPLSGRNQSTPRCNLCNEKYEQEVAATLKLGSTVSVADQYSENLPSWLRMAAVDTGKGVDIAKVVQTKDDETMLNTKVSGLQKKWNDICQRLHHTAPLHKLDIAPSMAPAPIAEGSPFATGKSQNSGEDLPTRENRIHDRSPSSQMHLQRIFPPKCNMPIPRTSEAENINLQSRLLPDVSTLARQTDKDVPWFTRHPQQNASSYPGQTLSSSGPPVTTDLKLGTIYALTSQESNTTKSLDHKEHLQRLSSSISADIDANSENTSYQFAQSSPCSGLTSGEQFDQGDYKSIRKVLAEKVGWQDEAVNSVSQAISQLRSRYGSHRGVNCKGDTWLTFLGPDRVGKRRIASALAEVLFGSQENLISVDLRSVDKVSQSNSIFECHELNGYDVKFRGKTVSDFIAEELRKKPHSVIFLENVDKADYYMQHGLEQAIRTGKFPDSHGREVSINNTVFITTSAITKGNINIPSEKKPMKFSEERILGARRLQMQIFVGSVSDISRSNNTDTRVTATEASTSVSLNKRKLVDTCDSSELEISDTKERVHKASRSCLDLNLPVEETDEAISLGDSETESLSENSESWLEGFFGQVHKKILFKPFDFDGLAVKIVKDVTAQVHRTIGSEIVLEIDQEVMVQILAAAWLSDRKGAVEDWLEKVLCRSFVEARQKCHHTSQAVLKLVACEGVIVNEQAPGICLPAKISMS >OMO84355 pep supercontig:CCACVL1_1.0:contig09752:7304:13045:-1 gene:CCACVL1_10848 transcript:OMO84355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAVVGVLEDKGSVLRKNKRRKLPEKKKLNVDDKVELRSFDEGFQGSWHEGTIISQDKQGCRVKYDNILTEDSSDNLVETVPVPSLVDGTGCHCVNKCKHRGLLRPLPPKIKFNKWNLFYGLCVDVYFNEAWWEGVIFDHENGSDKRNVFFPDLGDEMCADIGNIRITQDWNDFEEEWNQRGNWLFLPLIEEYQKEWYISVSVRQLWYDLREKKGFQNVRDWTCSCEALWKELVLEVIKDNHEITVNHFLMVSALGGSSQPKCKSQLDPATAVGDVNLGSDAADLADTLALVPAENPINNVMTSPNSASIQPCQEKSNTGQSIDILKDDNNVLTECNGSSPDKVACLAPEVLLVSPSAADRISCISSVTSTGGFSGIDSDVVLWTAETPELNATSTWMTAGEDIVPKAEPCPDAITKYAVAGKKKSSSLIIDARKHLLYHGWKIDFKRDSGSGAPRVRYISPAGQLYYSLYQLCLDLMEQPGKLISSNTKDLHVVKPKTRYLHVGPNSKEMHVVKPEYCPQAVLDWSKVEMDEIRKCPSWRSDMTLKVKKHLSWLGWTFQYLKCNGRRFLGYTSPRGTTYYSLRKACQISLKEQEITQTDTRLSTHVEKINVVEDETQLPTEKLPSALSKRKRKDDSLHFGSHLGKRAKLSVKDTSITRLKGGKSPAALLKLRENLKVNKGKRVLRSTKRVQQIITPSPLHKNPRTVLSWLMDNNVVLPRSKVHYWRKEQRLKFEGRLTRAGIKCTCCDKVYTLSGFVAHAGSSTHSPAANIFLEDGRSLLDCELEMIHNNKMKKFEKKRNRQLKRNSLPDKNDCICSVCHYGGELILCDQCPSAFHKSCLGLESVPDGDWFCPSCCCGICGESKAKEDSANLVDSRIITCAQCEHKYHAVCICSREANKLKILATEDWFCSKKCEEIFLGLHELLGRPIPVGTDNLTWKLIKSMDLDTHDLDASGNEAMVENYSKLSIALDVMHECFEPVKEPNSGRDLVADIIFSRSSELNRVNFRGFYTILLERNDELITVANVRVHGEKVAEVPLIGTRFQYRRLGMCRILMNELEKKLMELGVQRLILPAVPSMLHTWTSSFGFSPMKPLERLKFVDYTFLDFQGSIMCQKLLLDRPSVESKLLIESQFELHSDAFQSRYNVDADGSSAVSEVSQAGQIEENGFRDQGIQ >OMO84359 pep supercontig:CCACVL1_1.0:contig09752:31229:31944:1 gene:CCACVL1_10852 transcript:OMO84359 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHF5-like protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRSPLFQ >OMO84356 pep supercontig:CCACVL1_1.0:contig09752:15215:15732:-1 gene:CCACVL1_10849 transcript:OMO84356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MAKNLKLDPRQSECYLAEPTGLIRGIRYPQIGKGKQAWGAGVHTDGSLLSIVCQDQVGGLEVYNHNKWLPVKPIPNTFIVNIGDMIKAISNDEYVSVKHRVRVNKQEDRISMNYFVFPDLDCIIQSSK >OMO84369 pep supercontig:CCACVL1_1.0:contig09752:74821:76163:1 gene:CCACVL1_10862 transcript:OMO84369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTPKSATAGCLAGILRRILCSHSLPTHPSDHITEATSVASSYKKKEFSSVDKIDGSKVSPGIVARLMVEKVNQQESSRRVLNVLNEEKLNRRIVDKPNHEVAKCREVNDLSLEAKPIVSAIKSVECSKFMDKKGVPDGAKLRKKKKKIQHCVVQNAENECNSAEGSSPVSVLDFDQFIIDHDVPTSGEESKVEGSNSRRKLSPELENYGCKSPANDGDCLIEDDSRVKDVIEGQNLESRNKVCQSEVNLECWDPICRMIEAEVAKSSWLCSKNEEFEDITANFESNILDQLLDELVLQLLGPTP >OMO84360 pep supercontig:CCACVL1_1.0:contig09752:32465:33667:1 gene:CCACVL1_10853 transcript:OMO84360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARLCAALGLPSSDPNPHPPINSDLTFLLTHFATLTSNPKKPLKSSRNRLSFATTSISADPHSNNLTESQQPKSPDFSKWLKPATRDTPSVRSLLKNLSVLERALIGAGGGGIAGAFTYVCLHPLDTIKTKMQTRGASEIYANTLDAIVKTFQTKGILGFYRGVSAVIVGSTASSAVYFGTCEFGKSILSKFDYPALLIPPTAGAMGNIVSSAIMVPKELITQRMQAGAKGRSWQVLLRILEKDGVLGLYAGYSATLLRNLPAGVLSYSSFEYLKAAVLSRTNQTNLEPFQSVCCGALAGAISASLTTPLDVVKTRLMTQVHGNKAAAALYSGVNATVKQILKEEGWIGLTRGMGPRVVHSACFSALGYFAFETARLTILNQYLKHKEKELSQVNVAAA >OMO84367 pep supercontig:CCACVL1_1.0:contig09752:61090:65176:1 gene:CCACVL1_10860 transcript:OMO84367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MASSSPPSTAAAAAESIHRSLEELTSSSSPDSFDNPRRFTAFASRLRLLLNHHHFLKPDSLPPPLQTALKGIASDLSKAVETVSVYRKRSKIFVLIDCKSLSSSLQQHSSAIASWLALIESSLSDDFHDLRKKTSDLASDMKQSHFTVTENEERVHRTLQKEGEGRQTSKAVQSAIIMDLARCLGIDSDNYEELTTQVKLFKTDLTNSNSVSSRRILVSLEKILDNWSIVPGMSTLNVDRDFEEEAHILPFKNFLCPLTKEVMKEPVVLESSQNYERSAIEYWFERCLEDGREPTCPVTGQVLKSLELKPNIGLAGAIEEWVNRNVEIQVKGAVEQLSKESVEVEGIERVLDVVYKISEEHPSNRFRVRNAGVVALIVKLLRNSSKSIGTVLRGKALMALLSMAKDEESKKIMLEEGITRLAIHSLIGSSEKEKEYAVKLLLEFSSDEACCTRIASEKGALVLLSTMAGNLEHPALANLAEEVLTRLEKVEDSVEHLAAAGRFEPLLRRLREGPDDVKVEMASIIGRMTLINNSKEQIARQCARTLVELLSKSEGRTPSLQALNNLSGLDDNATILVDSAVLPALIAILLQEQGSLPEWKELAASTLANIVSNPGHWELAAIDKKGNLMLSESVVFSLLGLLLVASPQCQVCILRILYGMASSPQATESVATHIKNSPDGIYTILEFLQYPEVEHRIHAFKLTRVLTEKFGHDLAHELRISDKLPLLKEKLLDNQSTDSEKSDSACILANLPLSEDEVKTILEASFVSWTVMTLKKQQCIPNGRTSRPTSSMSEGLLGLLLHFTRSLDRETISMVKEYQIMTIFCEQLNFAAKPRVKQLAAFGLKNLSEAGRSLAAADPEPPPPQGFCASLVFMCGRTSKEPATCPIHNVQCDNNSQLCLLKSNCIEPLVGLLHDDDTNVQIAAVEALSTLMLDNSNGYKRAVDELEKHDVIAAVIELFTEVRPGVLQERALWMIERALRVDGPAHRYSLNQSLVRALVEAFKHGNANAKRHAQDALTNLKQLSGVSGQASSHSRPRR >OMO84364 pep supercontig:CCACVL1_1.0:contig09752:50962:51264:1 gene:CCACVL1_10857 transcript:OMO84364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKNRFFILYFLLALVLTFCSARDLIEFSGKEGACDETSMTAFTDYTPPRTRPPPPPPFALPCNPAPTQGTG >OMO84365 pep supercontig:CCACVL1_1.0:contig09752:53178:56283:1 gene:CCACVL1_10858 transcript:OMO84365 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MFWYRNLIKLSTSATKPKNPITTNLLLNSLAHFSTANQVPAAAAAQPSGLGPTKPNEKPRVVVLGSGWAGCRLMKGLDPSLYDIVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIARIQPSISSAPGSYFFLANCTGVDTESHEVLCETVTEGADSLDPWKFKISYDKLVIASGAQASTFGIHGVKEHATFLREVHHAQEIRRKLLLNLMLSDVPGISEEEKQRLLHCVVVGGGPTGVEFSGELSDFIRRDVHQRYTHVKDYINVTLIEANEILSSFDDRLRRYAIKQLTKSGVRLVRGIVKDVKPQNLILSDGSEVPYGLLVWSTGVGPSPFVNSLGLPKSPGGRIGIDEWLRVPSVQDVFSIGDCSGFLEGTGKPVLPALAQVAERQGKYLANLLNQISKDGGGHANRAKDMDFGDPFEYKHLGSMATVGRFKALVDLRQSKEAKGISLAGFVSWFIWRSAYLTRVVSWRNRFYVAINWLTTFVFGRDISRI >OMO84366 pep supercontig:CCACVL1_1.0:contig09752:58420:59780:-1 gene:CCACVL1_10859 transcript:OMO84366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Selenoprotein, Rdx type MDRAQLLLVGLPLFLLVSDLFSLFTPPPPKPPSAPHHHHHHHHQPKPSISPETLDIPLQKPTSVGGVGYGSVVDINFCASCSYRGTAVTMKKMLETQFPGIDVILANYPPPLPKRLLSKVVPVFQFGVIGIIMAGEQLFPMIGMTPPPWYYNLRTNRFGSIATTWLLGNVLQSFLQSSGAFEVSCNGELVFSKLKEGRFPGEIELKDLVSKRLATTRVTDNLGVVWS >OMO84370 pep supercontig:CCACVL1_1.0:contig09752:78255:80384:-1 gene:CCACVL1_10863 transcript:OMO84370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MCSGPKRKPSQGGFMEGESYKEDGLPQKFSVLLELSASDDLTGFKSAIEEGGHDIDEPSLWYGRRIGSKKMGFEERTPLLIASMFGSKDVVNYIIESGRVDVNRACGSDGATALHCAAAGGSFDSAEVVKILLDASADINSVDANGNLPGDLIAPARNSAFSLRKKMLEALLKGSGSGAEIDGLPAELGDEMDGQEQLENSMPRVSKDGSEKKEYPVDLTLPDIKNGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCRQGDNCEYAHGIFECWLHPAQYRTRLCKDETNCNRRVCFFAHKPEELRPLYASTGSAVPSPRSYSATGSSLDMGSMSPLALGSPSVMIPPTSTPPLTPTGSSSPMGGSMWPNQSSIVPPTLQLPGSRLKSALSARDMELDMELLGLGSHRRRQQQQLIDEISGLSSPTSWNNPLSTASAFSASGDRAGDLNRFGGVKPTNLEDIFGSLDSAILPQLQGISLDASAPQLQSPTGVQMRQNINQQLRASYPANLASSPVRASPSFGIDASGPTAAAVLSSRSAAFAKRSQSFIERTAVNRHSGFPPPASSASAMPSNLSDWGSPDGKLDWGMQGDELNKLRKSASFGFRSSSSNFANAAPSVSSTADEPDVSWVQSLVKETSSTGQFSFEDELQQQQQCHINSGGAEMLPAWVELYMEQEQMVA >OMO52992 pep supercontig:CCACVL1_1.0:contig15372:124:375:1 gene:CCACVL1_28959 transcript:OMO52992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MPSKVKLSKISFKNIKGTSSTKEAVKLVCSSSHPCDNVELANIDLTYKGPDGPATSRCTNVKPLLSGTMNPKACTAAPAPTAQ >OMO79677 pep supercontig:CCACVL1_1.0:contig10385:20894:21004:1 gene:CCACVL1_13508 transcript:OMO79677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSSEQKKKASSLSSVTILLANSSSHFNDPDPSSS >OMO79679 pep supercontig:CCACVL1_1.0:contig10385:24268:25152:-1 gene:CCACVL1_13510 transcript:OMO79679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKLIFLLVFGLCFSSLSVHGSNNFSNDSLDTFLQDFAFKALVVRHRPLTGALYKASLPSNLSGMDVSIARIRSRTLWTRGANLSSFHIPSKTVPFPHVIRLAIVYQNLGNWSSHYYKIPGYSLITSVVGFMVFDASDTKATSLRNINLDTMGNPVSVHFPNLKFPDGMNSDSVKCVAFSINGTIHFSDMILPNVCYTSEQGHFSIVVGVKRKQMMRPWYPWVIGVVIGFAALVLTVYFGLVFIRLVKTKRIQAMERQADEGVIFDNRWVGSSKIPSAAVTRTQPVLENGGFP >OMO79678 pep supercontig:CCACVL1_1.0:contig10385:21055:22248:1 gene:CCACVL1_13509 transcript:OMO79678 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, decarboxylating MEPGDCIIDGGNEWYENTERRMNEAANKGLLYLGMGVSGGEEGARNGPSLMPGGSFQAYSNIKDILEKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKHVGGLSNDELAEIFTEWNRGELESFLIEITSDIFRVKDDLEDGFLVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKEERENAAGVLKEAGLKEEVGTVAQNIDKKRLIDDVRQALYASKICSYAQGMNLLRAKSVEKNWNLNFGELARIWKGGCIIRAVFLDRIKKAYQRNPNLASLVVDPEFAKEMVQRQAAWRRVVGLAISAGISTPGMCASLAYFDTYRRARLPANLVQAQRDLFGAHTYERIDRPGSYHTEWTKLARKSTSVGALN >OMO79676 pep supercontig:CCACVL1_1.0:contig10385:7904:12983:-1 gene:CCACVL1_13507 transcript:OMO79676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQSVVKKKYMNNYNVTFDALPTELKVEILCRLSGKELARMTCVSHSWQDLITVSCFSTMAASPLSISGFICVQKYKLKQDIYTLSHQSLELEKFDNSFIHIHLKTMEPHQSEITLAKLMASCNGLVLICRGGRSFQPSKTETFYNYYVINPKTDQYVQVALPCRRTPSVCSYAALAFHPAESRYFKIVRFKGFRHLNIFNSKTGVWTTSLFELEKDVAVAKWVEQSVYLQGAIYRISESGHLLRFTVNKVASKKHLARAIDVPSAIDKSEIPKLHLGLSNGRIRLGMYDIERTNLKIWVLEEDYQWSLKCSFCCPQISRFYDSSVQSIGFHPYDEDVILVSYFQREVGYSLELKCLRYHEQKQKPIVENSRSSHYLCCLDNFSGLCLLLQCEVPFACSKLQIKPLKKRFLTKMEEQSAVKKKYMNNVTFDDLPTELKVEILRRLSGKELACLTCVSHSWQDLITVSCFSTMAASPLSISGSVYVQKDSSRLFKYRDFIYTLNHQSLEFEVDNSFRFVRLDRLEPHQSNITLAKWMASCNGLVLIRRGDRNAERQRTFYHYYVINPKTDQYVQVTKPFCTSSVCSYAALAFHPAESRFFKIVRFQGFRNLNIFNSETGVWATSPFELGKDVTKAKWVEQSAYLQGAIYRISESGHMLRFKVDKVVSKKDLARAIDIPSAIDKSEIPKLHLGLSNGRIHLGMYGIEMTDLKIWVLEEDYQWSLKCSFCCPQISGFCDSPVQSIGFHPYDEDVIFVSYFKSELDNSLELKCLRYHEQKPIVENSRSSYGLLHFSGPICLLLQCKVPFACSKLQIKPLKKGSSTWVVSLTHIDAGIIGYVDYKRESLLHPYIVIRKYDPNPDKPFPTY >OMO79681 pep supercontig:CCACVL1_1.0:contig10385:35414:35494:-1 gene:CCACVL1_13512 transcript:OMO79681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDRERWKKQKRKGQWKKNDGNEEN >OMO79680 pep supercontig:CCACVL1_1.0:contig10385:26475:29215:-1 gene:CCACVL1_13511 transcript:OMO79680 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MRVPNFDLFDPRPIMDSDFSPDDASGSCSADSDFGFAFNDSNFSDRVLRIEIISDLPETKSDGDGCSSIADWARNRKRRREDIKKENGADVAVKLEEQILNCNMPDTEDGVTYENQDEEAVAMIEELPSDDGLNLNQIGTDASRSSDSSWNMDCATVLKVKTIHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRIHASEEAALMDLLNFMYSNTLSTTTPTALLDVLMAADKFEVASCMRYCSRLLRNLPMTCESALLYLDLPSTVLMAEAVQPLMDAAKQFLAARYKDVNKFQEDVLNLPLAGIEAVFSSDDLQIASEDAVYDFVLKWARIHYLRLEERREILGTRLGRLIRFPYMTCRKLKKVLTCNDFDPELASKVVVEALFFKAETPYRQRALAAEEANGSCRFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECTHLFPAGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSKPTEDYVSKYKGNYTFTGGKAVGYRNLFGIPWTAFMADDSIYFINGILHLRAELTIRPN >OMO94093 pep supercontig:CCACVL1_1.0:contig07975:6151:6339:1 gene:CCACVL1_06172 transcript:OMO94093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHVEERGRSRNHITLGKNAVDYLAKFAQNRGRGASPKTHCQFHDSDNDFRSNNGEKVKLKKT >OMO94094 pep supercontig:CCACVL1_1.0:contig07975:11681:11842:-1 gene:CCACVL1_06173 transcript:OMO94094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSPSLIHVIHRVLSLPQAIADRLSSVVAVLDPLSLRLCAGFGYVSLSFSDLIS >OMO68128 pep supercontig:CCACVL1_1.0:contig12270:8609:14967:-1 gene:CCACVL1_20073 transcript:OMO68128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE-type MADLVSYGNAQRDIDQALIALKKGAQLLKYGRKGKPKFCPFRLSHDETSLIWVSSNGERSLKLSSVSKIIPGQRTAVFQRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSGQGGRSKIDGWSDGGLYLDDGRDLTSNSASDSSVSITRDISSPEVSVSFNPHTSPKNLRPENSFYSERSHVASDSTNMQVKGSGSDVFRVSVSSAPSTSSHGSAPDDYDALGDVYIWGEVICDNVVKVLADKNANYLSTRADVLLPRPLESNVVLDVHHVACGVKHAALVTRQGEVFTWGEESGGRLGHGVGKDVIQPRLVESLAVTSVDFVACGEFHTCAVTMAGELYTWGDGTHNAGLLGHGTDVSHWIPKRISGPLEGLQVASVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENIPYPREVESLSGLRTIAVACGVWHTAAIVEVIVTQSSASVSSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDYNFHKVACGHSLTAGLTTSGHVFTMGSTVYGQLGNPYADGKIPCLVEDKLSGECVEEIACGAYHVAVLTSRNEVYTWGKGANGRLGHGDIEDRKSPTLVEALKDRHVKYIACGSNYSAAICLHKWVSGAEQSQCSACRQAFGFTRKRHNCYNCGLVHCHSCSSRKALRAALSPNPGKPYRVCDSCFAKLNKVSEAGNNRRNSVPRLSGENKDRLDKAEIRLSKSATPSNMELIKQLDSKAAKQGKKAETFSLVRSAQAPSLLQLKDVVLSTAVDLRRTVPKPVVTPSGVSSRSVSPFSRRPSPPRSATPVPTTSGLSFSKSITDSLKKTNELLNQEVLKLRAQVETLRQRCELQELELQKSSKKGQEAMALAAEESAKSKAAKEVIKSLTAQLKDMAERLPPGVYDAENIRTAYLPNGLEPNGVHYPDANGEGHLRSGSIGSSFLASPTVVDSNTINGTQSPAQSVREATGSNGRDDIPDTILPNGSGSFQAGKSTVSEAADERESGSFADSENGMKSRNSAVFANGNQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAETWWSENREKVYERYNVRGSDKASVSGQTARRSEGGLSPSSQV >OMO85815 pep supercontig:CCACVL1_1.0:contig09573:12319:14623:1 gene:CCACVL1_09986 transcript:OMO85815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADLKKSSSNGYEKSVPSEEQQAKIDEIRKLIGPLPEKLAIYCSDAAITRYLRARNWNIKKATKMLKESLKWRAEFKPEEIRWDEVAHEAETGKIYRSDYIDKHGRTVLVMRPSCQNSKSVKGQIRYLVYCMENAILNLPPDQEQMVWLIDFKGYNMSHISLKVTRETAHVLQDHYPERLGVAILYNPPKFFEPFWMVAKPFLEPKTQNKVKFVYSDDPNSKKIMEDLFDMDKLESAFGGNDDSGFNINKYAERMREDDKRIPTFWTRGNPQSEAPEPALTSPVDLSSVNLKTDSDTSDNDKVDSSPSRVSDSEGLSPNESALITEGNDNGSKEVQ >OMO85818 pep supercontig:CCACVL1_1.0:contig09573:28030:29184:-1 gene:CCACVL1_09989 transcript:OMO85818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MAKILLLIALCVLPALVSATRGLNKPLTVQGFVHCDRCRAGFETPKTRTMAGAKVKLECRDRVSSHVVYRKEGYTDSTGQYNIPVNEDHLDQVCDAILVKSSQPDCAEMSPGRERARVVLTNYNGLASTTRYANALHFLAAQPDSGCTEIMKLYQEDEENL >OMO85816 pep supercontig:CCACVL1_1.0:contig09573:16389:19022:-1 gene:CCACVL1_09987 transcript:OMO85816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase 1 MANAMLHQTVGSLQLLGNSGIHGEVGNNPVRLFPKGFKVQVGFSKGGAYSSGERKFSSIRASASQTSVVDPVLSPSNNDSHESRKKSNEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDMIYTSALIRAQMTAMLAMTQHRRKKVPIIMHNESEQAMAWSQIYSEDTLKQSIPVIAAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAQRAVAYFKENIEPQLLAGKNVMIAAHGNSLRSIIMYLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPIAPTEAGVYAYTRRLPQSIVGYNVGPLARF >OMO85817 pep supercontig:CCACVL1_1.0:contig09573:21760:26337:1 gene:CCACVL1_09988 transcript:OMO85817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKVQTVEEPVQQPPQAKCWSRKESHSTALEMEESDDVDGDCAMASASAVMHMS >OMO85814 pep supercontig:CCACVL1_1.0:contig09573:3274:9130:1 gene:CCACVL1_09985 transcript:OMO85814 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative helicase MAGATAMA 3 MGSRGRLLFDLNEPPAEDDEESDRAACFQPQKALPSANPHASDLFVTSTGGQGIVNNHAFSHASSGSGFQPFIRPKASPPSEVSIEQKREGDYNSNLASSSSKSNNSGETKANAATSFISGSANAQTVEREEGEWSDAEGSADGRLHEEVKASQEQVIQEVMDSSALGVTVENVGAAENSHSPLRTDQNLSDQKGNSGRNSETNGKVDTSMNGQEESVLVPKQREVKGIEAIHALKCANNPGKRKIDQQKEAMLGKKRNRKTMFLNLEDVKQAGPMKTTTPRRQNFPTPVITRTVKEVRTNPPPGERAGEKQGQPINEDQKQVDMPSTEGSNFVVESCDTKAECNGDTNSGLLARPRRLNSESDLSDAHLPSIPRQGSWKQPIDSRQLKNSQFSNRKPAQISQSYMDPKIGNKKHLPTKKSTATSTSYQDTSVERLIREVTNEKFWHHPEDTELQCVPGKFESVEEYVRVFEPLLFEECRAQLYSTWEELSESPSRDTHVMVRIKNIERRERGWYDVIVLPSNECKWTFKEGDVAVLSAPRPGSEDEEAEVTGRVVGTVRRHIPIDTRDPLGAILHFYVGDSYDSNGKVDDDHILRKLQPRAIWYLTVLGSLATTQREYVALHAFCRLNSQMQTAILKPSPDHFPKYEQQTPAMPECFTPNFADHLHRTFNGPQLAAIQWAATHTAAGTSSGVTKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPESYKQANESNPDNVAMGSIDEVLQNMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKIYRPDVARVGVDSQTRAAQAVSVERRTEQLLLKSREEILGHMHTLRAREAMLSQQIATLQRELTAAAAAVRSQGSVGVDPDILVARDQNRDALLQNLAAVVENRDKVLVEMSRLLILEARFRAGSNFNLEEARANLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVANLPDEVYYKDPLLKPYLFYDITHGRESHRGGSVSYQNVHEAVFCLRLYEHLQKTLKSLGVPKITVGIITPYKLQLKCLQREFEGVLKSEEGKDLYINTVDAFQGQERDVIIMSCVRASGHGVGFVADIRRMNVALTRAKRALWVMGNANALVQSDDWAALIADARARKCYMDMDSLPKDFPKDLPSKEFLGPRGLGYPPSQGKVSNMRGLRSAGPRHRSLDMYMDSRSAMPLEDEDKSGTSLISRNGNYRPFKPPMETSLDDFDQSGDRSRDAWQYGIQKKQSSAGVVGKRDS >OMO97351 pep supercontig:CCACVL1_1.0:contig07246:3223:4954:-1 gene:CCACVL1_04591 transcript:OMO97351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLQVIKKKNLSSTKLKHHRLKQIYQTDIEALKQLKNGVEPSSMTPGSSLRSWDFTVDPCDSLFSERFTCGFRCNLTVSGLSRVTE >OMO97352 pep supercontig:CCACVL1_1.0:contig07246:8451:9037:1 gene:CCACVL1_04592 transcript:OMO97352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYGVGTLGLIPITLAACGNNASCTAELNRISALFNDKLKPVVQQLNTNLTDAKFTYLNPAPTEADIAASGTSPAIRRSNSAYGNGIETTNI >OMO97353 pep supercontig:CCACVL1_1.0:contig07246:9583:10199:1 gene:CCACVL1_04593 transcript:OMO97353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MINPSKKSRLGNPSGYKLVPGGTAASLLDHDDPSQLRSAFTNNQIWVTPYSKDEQWAGGLLVYQSKGDDTLAVWSERDRPIENKDSLLWYTLGFHHIPCQEDFPVMPTVSSSFELKPVNLFEGNPILGAAPAFENDLPVCRPFASS >OMO91570 pep supercontig:CCACVL1_1.0:contig08328:21817:21967:1 gene:CCACVL1_07074 transcript:OMO91570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLQKLESLDTSGCGSLKSLFPASISKILLQLKRLNVAACGMLEQIFEEIG >OMO91571 pep supercontig:CCACVL1_1.0:contig08328:22316:22534:1 gene:CCACVL1_07075 transcript:OMO91571 gene_biotype:protein_coding transcript_biotype:protein_coding description:NBS-LRR disease resistance-like protein MVGVLPKLETFDISGCDNLKYLLARMEVAAANGEGSSATHIQPILYVLPNLRILQISDCPKLRPFNTASFQME >OMO49684 pep supercontig:CCACVL1_1.0:contig16437:17494:18950:-1 gene:CCACVL1_30852 transcript:OMO49684 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation/H(+) antiporter 4-like protein MLSLLVTTVSMRVDPMMLFADPAGVKFGATVGVVTFLTKFIGTFITSYHSKMPLKDSLAFALIMTSKGIVELPVFATLKDIKIIGKSSFRVLIIGILLNSTLVPILVKFLYDPISRKYAGYQKRNIMHLEPDSELRILACVHKPENVDALIGFLNATCPTEESPNVVYVLHLIELIGRVAPVFIIHRKEMIVGSAYENFIMAFNQYEQNNDGLVTMNAFTAISPPNMMHEDICTMALDQQTSLILLPFHRKWSTDGSIVTQNNAIRKLNCSVLETAPCSIGILIDRDNASTRMLTKSQSRSSGYNICTIFVGGKNDREALTLAKRMSKDTRLSLTVTRFLSGEEGDEDNFMLDWEGMLDDQVLKDVKHNQTGEYGEIMYMEEIVRDGPEAAEIVISIAHEYDLVIVGRNYGVESVQTQGLSEWTEFPELGVIGDLLASTDLRSRASVLVVQ >OMO58413 pep supercontig:CCACVL1_1.0:contig14232:21474:21749:1 gene:CCACVL1_25457 transcript:OMO58413 gene_biotype:protein_coding transcript_biotype:protein_coding description:pcdc2/rp-8 MSRHRRQASRVLPPELTLEADAAAVPPPKSAHSAQPIASPYGRHGGCGSHGSTTTDRFAAINPSSNIHQDTSGNHSHQTAEEKPSATTKPS >OMO58412 pep supercontig:CCACVL1_1.0:contig14232:20317:20412:-1 gene:CCACVL1_25456 transcript:OMO58412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRVLARRSTPATPNVLLHLANLSPEDPKG >OMO58414 pep supercontig:CCACVL1_1.0:contig14232:30535:30687:-1 gene:CCACVL1_25458 transcript:OMO58414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGRVRVVYFFWSNRVGQVTGSGGLGGLSGSGHILTSLPARNERRRKFRMF >OMO60196 pep supercontig:CCACVL1_1.0:contig13762:30970:32822:-1 gene:CCACVL1_24335 transcript:OMO60196 gene_biotype:protein_coding transcript_biotype:protein_coding description:small-conductance mechanosensitive channel MRNVLRDDFFGVGDIIMIDGLLVKVVDINIDVIKLETLDVSEVPMETKVVRVAVTKAELAFPYR >OMO60194 pep supercontig:CCACVL1_1.0:contig13762:10175:10237:-1 gene:CCACVL1_24333 transcript:OMO60194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRGIDPRTSRMLSERSTI >OMO60195 pep supercontig:CCACVL1_1.0:contig13762:10660:14197:-1 gene:CCACVL1_24334 transcript:OMO60195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 14B, plant MASNLILHSTQGSLGAKVRLQVYSSIFQQFHGIRSVKRIEALWQTGSFNSADISKSLKAVASKFSTAEILPKAPFAETYNQRMLANYVPVYVMLPLGVISNENVFETKTVMEKQLKELKEAGVDGVMVDVWWGIVESKGPKQYDWSAYRNLFELFQECGLKLQAIMSFHKCGGNVGDEVTIPLPKWVLEIGETDPDIFYTNLKGNRNEECLTLGVDNQPLFHGRTTIQMYSDYMKSFRENMSDFLEAGLIIDIEVGLGPAGELRYPSYPSSQGWVFPGIGEFQCYDKYLKAEFKEAVTNAGHPEWELPDNAGEYNDTPGSTKFFGLNGTYLTEQGKFFLTWYSNKLINHGDEILAEANKAFLGFKVKLAAKVAGIHWWYKSDSHAAELTSGYYNLKDRDGYRPIARMLSRHHAIFNFTCLEMRNSEQRADAKCGPQELVQQVLSGGWREQLEVAGENALSRYDCYGYNQMLLNARPNGVNSEGVPKLMMFGITYLRLSDELLKENNFKIFKEFVKKMHADQDYCPDLGKYNHEIGPLEQSKPKISMEDLLEATKPMEPFPWGSEADMKIDDFEGVLANLVRKLFSMFK >OMO60197 pep supercontig:CCACVL1_1.0:contig13762:39187:41179:-1 gene:CCACVL1_24336 transcript:OMO60197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVMLAVEVDIVDFNGSFLSRVHDIAGMNPIILVVTKNVLAFLYQVDLMNILSSSCIKRPAKSNTAQDRSNDTSNKADDAINLANDGSRIEADTGNVQLMTALIDGKFDMGGMREAVAKCIMMHEHPFSIVEEEGFNMMQKYGMSECEMVSCVTIKKDCIQIYDTEKKRLMQLLKTVNKVSFTTYLWRSSNQRIEYMLLTAHFIDSNWRLQKRVISFVHIPPPRRGVEIANSFFKCLKGWGIGNKVFTISVDNASNNDVAIRVLKDTFQEPRGCFMEDNCFMFSPGHTS >OMO87527 pep supercontig:CCACVL1_1.0:contig09225:14977:15403:1 gene:CCACVL1_08962 transcript:OMO87527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKISTNPVAVVTGAKAGACLFLILSCFTRFTKSRSAGRLCSDSTVDVVSN >OMO87528 pep supercontig:CCACVL1_1.0:contig09225:15889:17688:1 gene:CCACVL1_08963 transcript:OMO87528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVEPPNGIHYQGKHYYSMWQTLFEVDTKYVPIKPIGRGAYGIVCSSVNRETNEKVAIKKINNAFENRIDALRTLRELKLLRHLRHENVIALKDVMMPIHRRSFKDVYLVYELMDTDLHQIIKSSQALSNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSNAKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIINILGSQKEEDLEFIDNPKARKYIKSLPYSPGTPFSHLYPSAHPLAIDLLQKMLVFDPSKRISVTEALQHPYMAALYDPNCNPPAQVPIDLDIDEDLGEDMIRET >OMO87529 pep supercontig:CCACVL1_1.0:contig09225:18591:18686:-1 gene:CCACVL1_08964 transcript:OMO87529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLEGWPRPVKAKQIVQTTQSFNSIQAAAT >OMO87526 pep supercontig:CCACVL1_1.0:contig09225:8840:13109:-1 gene:CCACVL1_08961 transcript:OMO87526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor, mu subunit MISQLFVLSQRGDNIVFRDYRGEVQKGSAEVFFRKVKFWKDDEDGDAPPAFNVDGVNYFHVKVSGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGVLNEDSLRKNFVLVYELLDEVIDFGYVQTTSTEVLKSYVFNEPIVVDAARMANLGPAAIFMQGTKRMPGTAITKSVVANEPGGRTREEIFVDIIEKISVTFSASGYILTSEIDGTIQMKSYLTGNPEIRLALNDDLNIGRGGRSIHECFHDMSHPIISELHNVIWLLCATGYSSSYGSGTVILDDCNFHESVQLDSFDIDRTLTLVPSDGEFSVMNYRMTQEFNPPFRINALLEEAGAFKAELILKVRADFPSSITANSILVQMPVPTYTTRVSFELEPGAAGNTTTDFKESSKRIEWGLKKIVGGSEHTLRAKLTFTQEPHGNITKEAGPVSMTFTIPMHNVSRLQVKYLQIAKKSNSYNPYRWVRYVTQANSYVARL >OMO87525 pep supercontig:CCACVL1_1.0:contig09225:3191:5291:-1 gene:CCACVL1_08960 transcript:OMO87525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MLKSQSPAELPDCFYQNKPTLICPKTQTPNHSLYLSNLDDQKFLRFSIKYLFLFKKAVALDILKYSLSNLLVHYYPLAGRLRACTRDDQKLQIDCNGEGAVFVDAFMDITSDDFLEVSRKPNRSWRKLLYRVEAHSFLDIPPLVVQVTNLRCGGMILCTAINHCICDGIGTSQFLHAWAHVITKPTLDLPILPFHNRHVLKPRDPPQVNHTHLGYTKSTPAPKDIAHVDIHQYLLSQPLAPTSFTFTASHVLRLKRRLVPSLKCTTFEALAAHTWRSWVRSLDLSSSLSVKLLFSVNVRKKLNPEIPEGYYGNGFVLACAETAVKDLATANLHHGVKLIQQAKSSLTDGHVRSMIDLLEDKSVKTDLSRTLVISQWAKLGLEDLDFGEGKALHMGPLTSDIYCLFLPVVGNFDAVRVQVSMPECVVEKFEYYMKEGLEENGDINGFHEFHNGEQNGLI >OMP11692 pep supercontig:CCACVL1_1.0:contig01027:7947:10574:1 gene:CCACVL1_00337 transcript:OMP11692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MADALLSAVATTILDNINSFWLQEFGINGGLKTELERLHSTLTTIHAVLMDAEEKQWKSEAVKNWLRKLKDTAYDLDDILDDFATNTQRGSQVSTLISLPKQLLFRSKIAHKLKDIREKLDVIAGERSKFHLREGMEEWEVISDREWRQTSSLVNESHVVGRDDELEKIMDMVLNKFADHDGISVYTICGMGGLGKTTLAQSVYNNESIRKGFDLRVWVCVSDDFDITRLTKVIIESIEGNCSIQELDPLQRHLQEILKEKRFLLVLDDVWNEYHDKWEGLKEAFRSGAKGSTVMVTTRIEKVGLMMTTAPLHRLGFLCYDDSWSLFKQRAFRMGKSEDYPQLEALGKEIVKKCGGVPLAIKALGSLMRFKERESEWVSIKESKMWELEDEGSRVLEVLRLSYRHLKPHLRQCFAFCSIFPKDSILERDNLIQLWMANGFIPSNGQVNLHDLGCQIFNELAWRYFFQDIKEDPEGNVSCKMHDLIHDLAQSILSCECCVVEPGQLNMWTVPKTVRYMFVHFAPPQVYNLANVNFLRSLIVNRGFYLNIATNQKHLRALKVTLDRTTKFSFNKFRNIRYLNFTGSSISSLSESISSLPNLQTVNLRRCRQLCTLPKGLKHLKNLIYLDIKDCDALTCMPAGLGQLSCLRILSKFIVGKDQGCNIDELKELALEGEVCIEELDNVKSSIDATSANLKMKQNLSSVSLAWRTNGDLNENAEEVLTSLQPHSSLKKLRIINYHGPKFPYWLMDLLVPNLVEISLENCNRCECLPPFGKLRFLKVLHITGIDALKCIDSSFYGEGAESSFPSLESLSLSDMLCLEEWRRANNGRKTFPLLEELKLTWLASLKSLSGVVDNLSALKRLEIRGCIKLESLPT >OMO58868 pep supercontig:CCACVL1_1.0:contig14099:21081:21414:-1 gene:CCACVL1_25295 transcript:OMO58868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSTPATHPPPTTLPTPPPPQPPDPGGSPTTQKPQMDPPESQPTQSYKSKLLTFSNHTKKGTAYDGRGATLSS >OMO58870 pep supercontig:CCACVL1_1.0:contig14099:37942:38025:-1 gene:CCACVL1_25297 transcript:OMO58870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMEGRKPATPPVKPNGAMTPNAAA >OMO58867 pep supercontig:CCACVL1_1.0:contig14099:19645:19946:-1 gene:CCACVL1_25294 transcript:OMO58867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAAPPPPLPPQHHLHLCYYIIPLFSPNPKPSLNLHLLLPTMDSHQTTQTTPSPSIPSLQLSLPLHHFPMIGHFPPPSLPSSETSFPPILLPPPISSAPSP >OMO58869 pep supercontig:CCACVL1_1.0:contig14099:22886:23023:-1 gene:CCACVL1_25296 transcript:OMO58869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHGESKKKMPIDQGRAGVVAIIKLRQWGMNRVKPKPLDFGDYG >OMO58871 pep supercontig:CCACVL1_1.0:contig14099:40704:40775:-1 gene:CCACVL1_25298 transcript:OMO58871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEIRAIQLAEPLGAMVAIAAP >OMO59963 pep supercontig:CCACVL1_1.0:contig13832:13372:15238:-1 gene:CCACVL1_24506 transcript:OMO59963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding protein PEBP MAVSVDPLVVGRVIGDVVDMFVPSVTMSIYYASKHVTNGCDVKPSMAINPPKVSIDGHPDHLYTLVMTDPDAPSPSEPSMREWVHWIVCDIPGGTNPTQGKEILPYMGPRPPVGIHRYIFVLFQQNGPMGTAVQPPASRANFNTRLFADHLNLGLPVATVYFNAQKEPISRRR >OMO59962 pep supercontig:CCACVL1_1.0:contig13832:9696:11592:-1 gene:CCACVL1_24505 transcript:OMO59962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQGHQVQQPMLFSDQNCLKPFMKDEFDPLETTPSSKGFVNNFQDFHDHHLEHQIDHVNGSSSNPVFGLQTTCYDPFDHASIFPHGYSANNDIDFYECKPFAADHGNVGHGQVMDNFQSGGFFNLSQRVINSDDIIMGSSENVGFNLPFDHFQEIKTMNFVVPDELSCVTAENAYYKKAEMNKNKALASTKKNSKVRKKSVVKGQWSTEEDRKLVQLVEQYGLRKWSHIAQMLPGRIGKQCRERWHNHLRPDIKRDTWTEEEDRVLIETHIELGNKWAEIAKRLPGRTENSIKNHWNATKRRQYSKRKCRSKYPRGSMLQDYIRSLNLDNQPSCSNRCQGKTSNININGIIAADINASAKVSTYQPTQALEFCPSNDRLVPEYDFNEVPDFSFDEKLMLQETCSLDSLLDEIPGAPIDIVADAYDHHDPGKSFKIDLMGLEVKKELDLIEMISGQQPNV >OMO59964 pep supercontig:CCACVL1_1.0:contig13832:22244:24618:1 gene:CCACVL1_24507 transcript:OMO59964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQEIGVSTRPQRIKRRSTLLEGFETDQSSSDQRTHLVDPLVAPPPSYQRRTKCPCRIHRSSIELPPTKMFAPMINPIASGAIAPKINPIVKLLIVVAVKLLIAVGSVIRGTSGSRGGRSRHHRR >OMO59966 pep supercontig:CCACVL1_1.0:contig13832:32184:32624:-1 gene:CCACVL1_24509 transcript:OMO59966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVLRLEWWDYFFSEVKEEEMKPSDSPLPQLDVTISVFLNFCYRCIPFPDHCTDLLGTRKTLRFDLHLLAADRATASQNLRGLLHDIFQEEETHLAKVHMSLTLSSITSSNAVLMESSQMPWSCLCLPPFRELLCNTWRRIPTTK >OMO59965 pep supercontig:CCACVL1_1.0:contig13832:27850:31108:1 gene:CCACVL1_24508 transcript:OMO59965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl/glutaminyl-tRNA synthetase, class Ib MGEGGLTQLSFAPDSPPLAVIAAAKIAGIDLPTQTYASSSPTLSLSNGVKLHGTNVILCYIGRIATIPNFYGHDALHASQIDEWLDYAPILSSGAEFENACSYMDTYLEKRTFFVSHYFSIADIAIWSGLAGTGLRWESMRKSNKYPNLVRWYNSVSEEYNDALKEFTDLYGGKKGKLVAAKPKDQKSVNGDISDKAKAGSRPSNEIDLPGAEIGKVRLRFAPEPSGYLHIGHSKAALLNQYFAQRYQGEVILRFDDTNPTKESNEFVENLIKDVETLGIKYSQITYTSDYFSELMDMAEKMIREGKAYVDDTPREDMQRERMDGIESKNRNNSIEKNLELWKEMIAGSKRGLECCLRGKLDMQDPNKSLRDPVYYRCNPVPHHRIGSKYKLYPTYDFACPFVDSKEGITHALRSSEYHDRNAQYYRIQEDMGMRKVEIYEFSRLNMVYTLLSKRKLLWFVQKGEVDGWDDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVIDERRVLLTLTNGPDEPFVRIIPRHKKYDGAGEKATTFARRIWIDYADAECISENEEVTLMDWGNAIVKEIIKDQDGNITQLVGDLHLEGSVKTTKLKLTWLAETKELVNLSLMEFDYLITKKKLDEGEDFMDALNPCTKKERAAVGDSNMRNLKQGEIIQLERKGYFRCDVPFVKPSKPIVLFAIPDGRQQSVLK >OMO59961 pep supercontig:CCACVL1_1.0:contig13832:741:2675:1 gene:CCACVL1_24504 transcript:OMO59961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNVSSISNENLKLQPNNSQAQGVVESKGGNLRRGMRQVKNNCLQKEFEELAGENTYLKELDGLELDDLLDDLLSKELIVNDNDPIKEIPISKAIQENSTMGEFLVKIDDDVASDVDFPNFMFSLGGKLKRVGRYSSPLALVPMVERMLNWRWRNNQRDGGTGA >OMO75442 pep supercontig:CCACVL1_1.0:contig11039:7980:8333:-1 gene:CCACVL1_16172 transcript:OMO75442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type QEQEQQQHLASSVGEQLGIVRYKCNTLQQGGEFEEEESCAVCLCKIEEDDEMRELRCNHLFHKACLDKWLGYSLATTCPICRTFLIPAKIVSVFEVILFNYSNTFTSSDHRENWWLR >OMO90577 pep supercontig:CCACVL1_1.0:contig08462:3224:3319:1 gene:CCACVL1_07339 transcript:OMO90577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVVGFVGLDRVSLNMAALLLRAGYKVQAFE >OMO50754 pep supercontig:CCACVL1_1.0:contig16061:15067:15171:1 gene:CCACVL1_30277 transcript:OMO50754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGERRLKLLGEDEDLSQLIWDRVDLEVMSAKN >OMO50755 pep supercontig:CCACVL1_1.0:contig16061:16690:17634:1 gene:CCACVL1_30278 transcript:OMO50755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIETGKGKGIVSDDEGDLRPE >OMO94271 pep supercontig:CCACVL1_1.0:contig07929:25810:32081:-1 gene:CCACVL1_06078 transcript:OMO94271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MAINDATMKILAQQDVGKLNQFDGTNYTRWKDKIKFLLSTVKTHENDLLTCRGLILNSLSDRPYDLYSSLQTPREIWNALETKYQNEKRGTDKFMALNYFDFKITDDKPVMDQVHELQILVSRLRDLDVAIPDALQIGAILSKLPSSWNNYRKKVLLQSESFTMEQFQTHLQIECETRIRDEKMSKDKDAVESTKNKSQVHMVGAKSGNKSDKYKNLKECHYKKKEDKRSDSTSKTNVAVVTELNTVVAANSDFFIDCGVTIHICNNKDLFSTYVEDESEVFMGNQVAVRVVGEGNVSLKFTSGQKLTLVNVYHVPDVKRNLVSASLLVKRGFKILLESEKVVITKNEALFTSCHLVNRIPSKRIHTSTYEIWNGRQPNIKYFKVWGCIAYYRLPDSQREKLGSRGIKSVFVGYAQNSKAYRLLDLESNVIVEYIHVEFFENTFVQDNVIHDGINIPHSSENQSNVPISSPNPSKRKDLGEPSEPRRSQRPRKEKDLGSDFITFFVEGSRNELFKAFEVLSVDDDPKTLKEALASRDATFWQETVNDEMDSIMANSTWVLVDLLPGSKPIKNKWVFRRKYNSDGSLQTFKARLLAKGFTQNKGIDYFGTYSPVARITSIRVLFALASIYKLHIHQMDVKTAFLNGDLKEEVYMEQPEGFILPGNENKVCKLVKSLYGLKQAPKQWHEKFDTAILSFGFRHNTADKCIYSKITSEYGVVICLYVDDMLIFGTNMIGINETKKFLTSTFKMKDLKEVDTILGVLEGYSGASWITKIGDNNSTSDACEMGEKKNDKGDLVLRVDGGATVNNLLMQIQVMPTGKADVIHSFEKDGSIVAMVGDGINDSPALAAVDVGMAIGAGTDIAIEAADYVLMRNNFEDVIRNHCW >OMO94272 pep supercontig:CCACVL1_1.0:contig07929:35046:38856:1 gene:CCACVL1_06079 transcript:OMO94272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKRKRFSEADFSSSSSNSKYEVFLSFRGEDIRKNFTDHLYTALVAAGVHTFRDDDELQRGKDISSELLKAIQQSKISLVVFSKGYASSRWCLDELVKIIECKKTLGQVVIPIFYDVDPSDVRKQSKTYADAFAQHEERFVADMEMIKRWRAALTEAAYLSGWDLQNVANGHESKFIQKIVEDVLRRINHSYLHVATHPVAVDSRKETVMRLLSMESRDVRKVGIYGMGGIGKTTIAKAVYNSICDGFDGSSFVSDIKDNSKQPNGLATIQQQLLSDILNLKAMITIDNVDRGINLIRERLRYRTVLIVLDDVDDSRQLKSLVGDRKWFGLGSRIIVTTRDERLLTEIEVDERYKVENLSPHESIQLFSWHAFRRPAPKDDYLQLSKSVLNHVQGLPLALEVLGSYLFNRSVLEWKSVAEKLRQIPHDQIHKKLRISFDTLDDQLKSIFLDIACFFVGTHKEYVMTILNGCGFSSVISLSVLVERSLITIGQYDNEIQMHDLLRDMGREIVRKMSPNQIGKRSRLWFHQDVVNVLRNHTGSKAVEGVSLDVSAKEDAIVLRTEAFAKMINLRLLKINSLRFNAGSYQNFSKELRWLCWHRCPLQVLPPNLELDNLVVLDMRFSNLKSVWKGIKFLDKLEILDLSYSIYLVETLNFCRCNSLKRLQLEGCTSLTKVHQSIGNLERLAFLNLAECNSLRELPDSICNLTSLEILNLNGCSKLSSLPEHLGKLKALRKLVADGSAITELPTSVGHLKNLENLSLTGLKEPTSWLSFFSSWVSPKSATFSSLLLPATFIHLTSLRQLNLRDRNLRDNEIAIDFRSFQFLKDLNLGGNNFRKLPAGISDHPTLKELKLSDCRNLLSIEELPPNLKFFEAQQCRSTERYPNSAIPHHHRLFHIFITNCCTTIDIQGWDVPQMSRSRGSVGWWAYTYRNNDPEELRFQSKWKYLEACIPARKVPDWFDYNQVGSTVLFCMPSTPIGQFRAMIICVIMHPLNEEYCEDQERTLPFLTLSIKNKTKGFETFDKSRKKSSFDADWKICKDHAWVAYLNPHIFYYMITDEGDEIEVSIEPQGGILVKECGIHLPIHENWD >OMO94273 pep supercontig:CCACVL1_1.0:contig07929:49791:51910:1 gene:CCACVL1_06080 transcript:OMO94273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSIRQNRNLVAILIVQLKMMESIFDMMLFLALLLIVRRRRRVRLPVTYSHELDRRRYFRSLNMNQMVFDNDRVCIHNCRMDRRAFHKLVYLLRTVGKLKDSRNSSIEYMVISFLHICAHNIKNRVHQCNVARSGETISRQFHAVLNVVLRLHSMLLRKPEPIHENCSDDRWRWFKGCLGALYGTFIQVHVETGDRPRIWTGLARKAEKGPRETRSPPFAHSKHQWKAKEDLILVECLQTLFNDPSWKAENGFKTGYLLQLEAIMKEKIPVDLDGIFRRCASQQQRMCMMSHKNAVGLRGKPFLHYETLSVIYGKDRANGTGAETPADACEEIDDEDDSNGEGDEEAEGDADEEQFHDCEENGVEHEVPETQPTPTDAQTFNTAPAPATAPAPARRPEPTKKRSISDDGLTELMESIKDYVGAYRECTQKISGSSNYFEKEAAGGDRRMAIFEEILKMGGFTIQQVIEAGEMILKDAHKVEAFPQMLKRDYVMKQLADANPYIPGFYV >OMO88634 pep supercontig:CCACVL1_1.0:contig08979:25603:26295:1 gene:CCACVL1_08292 transcript:OMO88634 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MDSSDFPISKSPRKELQGPRPAPLKVRKDSYKIKKPPLAPQPVVQQQPTQIRPPVIIYTVSPKVIHTNPSEFMNLVQRLTGSTSSSSSSSSTSTHPFQESSGAISPAARFATIEKTRSPEGKNQQIIISEEENNLGFVQGIEMNHGVERTSFLPGILSPGPSSLPPISPNFFSPPSDPNLISFFHDLSPVLHGNKNFIEGSFMPSPSGFNFSPYIPSPSSMDLFNNFFDM >OMP11189 pep supercontig:CCACVL1_1.0:contig01494:1531:5060:-1 gene:CCACVL1_00633 transcript:OMP11189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol/phospholipid:diacylglycerol acyltransferase MFNSFGSSLWMMPFSKYCRADGMYLKHFSGRNRKGHNIYQCEQEEFQSNYSGWPTNIINIEIPSVRGYDAYPSVSDLDQTNLSTMECGLPTQLSFSAREISDGTFFKAIEDYDSDSKRTLHQLKKSYHDDPVLNPLTPWDRPPIKNVFCIYGIDSRTEVGYYFAPSGKPYPDNWIITDVIYEIEGSLISRSGTQVEGNPGVASGDETVPYHSLSWCKNWLGSRVNITRAPQSEHDGSDVQVELNVEHHYEEDIVPNMTRSPRIKYITYYEDSESIPGKKTAVWELDKANHRNIVRSPALMRELWLQMWHDIHFDAKSNFVTKAKRGPLRDEDCYWDYGKARCAWPEYCEYRYIFGDVHLGQSCRLKNSSTDTLRHYV >OMO78653 pep supercontig:CCACVL1_1.0:contig10555:24594:33744:1 gene:CCACVL1_14232 transcript:OMO78653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGASGDDQDAADNPPRKKRYHRHTPQQIQELESLFKECPHPDEKQRLELSKRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIRDAMRNPICTNCGGPAIMGDISLEEQHLRIENARLKDELDRVCALAGKFLGRPISALASSIAPPMPNSSLELGVGNNGFGGLSTVPTTLPLGPDFASGINALPVVPATRPTAGVTGLDRSVERSMFLELALAAMDELVKMAQTDEPLWIKSLEGGRETLNYDEYLRSFTPCIGMKPSGFVTEASRETGVVIINSLALVETLMDSNRWAEMFPCMIARTSTTDVISGGMGGTRNGALQLMHAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIESIRETSGAPPTYLNCRRLPSGCVVQDMPNGYSKVTWVEHAEYEESQVHQLYRPLLSSGMGFGAQRWVATLQRQCECLAILMSSSVPARDHTAITASGRRSMLKLAQRMTDNFCAGVCASTVHKWNKLNAGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNANQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSRGPTSNGHVNGNGAAGGGAGSQRVGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTAVTQHKARVVVREEKRMTMTMRLPIPFPVPL >OMO78650 pep supercontig:CCACVL1_1.0:contig10555:10712:13274:1 gene:CCACVL1_14229 transcript:OMO78650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEDDASNPMMEEMYANGIGGVDESPSSSSSATTRKNRPIISGEQLDIEAYAGLYTGRTKIMRLIFIADHCDNPGMQLEALRMAFDEIKKGENTQLFREVVQKIDGRLGPNYTMDSAWCSMVDRKAEQRKEKLENELNAYRTNLIKESIRMGYNDFGDFYYSHGALGDAFKSYVRTRDYCTTSKHIIQMCLSAILVSIEMGQFTHVTSYVSKAEQTPEALDPPTVAKLRCAAGLAHLEAKKYKLAARKFLEVGQELGNSYSEVIAPQDVATYGGLCALASFDRSELKNKVIDNINFRNFLELVPEVRELINDFYSSHYASCLEYLGNLKSNLMLDIHLHDHLETLYDQIRNKALIQYTHPFVSVDMRMMADAFKTSVSGLEKELEALITDNQIQARIDSHNKILYARHADQRNATFQRVLQTGNEFDRDVRAMLLRANLLKHEYNIRASRKL >OMO78652 pep supercontig:CCACVL1_1.0:contig10555:14441:17444:1 gene:CCACVL1_14231 transcript:OMO78652 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MAASGRQALLLSTSDPRQRHRLVALVEMGHHYQPIEACPADSVDHMPCEDPRRNSQLSREMNFYRERHCPLPDEMPLCLIPPPPGYKIPVQWPESLHKIWHSNMPHNKIADRKGHQGWMKEQGPYFIFPGGGTMFPDGAAPYIEKLSQYIPISGGTLRTALDMGCGVASFGGSLLSEGILTLSFAPRDSHKAQIQFALERGIPAFVLMLGTRRLPFPAFAFDFIHCSRCLIPFTAYNATYFIEVDRLLRPGGYLVISGPPVQWPKQDKEWADLQAVARGLCYELIAVDGNTVIWKKPDGDSCLPNQNEFGLELCDESNDPSDAWYVKLKRCVTTTSSVNGESAVGTIPKWPDRLTTAPSRALVTKNGIDLFEADTKRWARRVAYYKNVLNVKLGSPAIRNVMDMNAFFGGFAAALASDPVWVMNVIPARKPLTLGVIYDRGLIGVYHDWCEPFSTYPRSYDLIHVAGIESLIKLPGSSKSRCNLVDLMVEIDRMLRPEGTVLIRDSPEVIDKVARIAHAVRWSATIHDKEPESHGREKILVATKTFWQLTSASH >OMO78654 pep supercontig:CCACVL1_1.0:contig10555:44990:47588:-1 gene:CCACVL1_14233 transcript:OMO78654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDGGVGDVEGEGSADEQEEGVRHSSDDEGAGESSDEINDEGGDEGSHADAEDDALGVSDVEDVGAIVPICNRRVASRATATNTDVLPFLEKYNKKCKSNFSVPGGFCSKYSCNGPNVGTKIKGNRSNHEWKQEFFGIEVDDCSFWGINGEWRAPNTKRANRGGYALTVGEEKLRDALLTNPHSSADLTNPTRLWLCGLSPFPTTMPGVRFSRLEKCGPLQVSAHKKVIVNCRGVEGEKFMKGEGYETIVRSVEELSDGGVPLEIGCAARRHGRGKGLVGLGSLRHVTAIEAAAHPPSEEEHFDDQQDSLGEETMNLAAIQKRRADMRIVPPPTTSAMANQQATIPATIPAARQTAPSALDATQVGGDMIAMSQPVNLSGTPLPFLSGLMGGGGFGEWAKGLSESEQSASLDELMAAFLTHGIKYESSEDLRSAKILRPEELATLGLASAIQNLSIARATFAAYYDEIKDKWPAVITIIEKNLPGLSYPEQMVEQRLKEAYRKERDEAYVELNGVRTDLEDAQHSVAELKKESQVAGLEEKLRLTEEGAANILNVAADSAVFMVKEYKDFDISDVPLKLLKLPSDYVWPPGVVPFDADANLEEEADMQDEEDRTSHSASKKQRTG >OMO78656 pep supercontig:CCACVL1_1.0:contig10555:59460:61555:1 gene:CCACVL1_14235 transcript:OMO78656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MNPTNTSNTPVPTSPPSDAVDRSPLLTHSIADHLLRSRRLLRRPPPPLRGAAARLLRRASSRRLMLREPSVRVRETAAEQLEERQSDWAYSKPIIVLDILWNMAFVVMAVVVLGLSLEEKPNVPLRLWISGYGLQCLFHVACVVVEYRRRHERMIVDLQNNEDPNLDLDSQSGSETGDSEDYETEQLDSGDDTSVAKNLESANTMFSFLWWIIGFYWITANGQALTRHSPQLYWLCVTFLAFDVVFVMICVAVACLIGIAVCCCLPCIIAILYALTDREGATEEEIDRLPKYKFLRVGDIQKLNGEICESREGIMTECDTDSPAERILSHEDAECCICLSAYEDGNELRELPCHHHFHCTCIDKWLYMNATCPLCKFNILKNGDLSGSEEV >OMO78648 pep supercontig:CCACVL1_1.0:contig10555:2782:6530:1 gene:CCACVL1_14227 transcript:OMO78648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MALTSGCPTRLSHITLLNAKKSNFFYITLISILCTTFYLIGIWQHSVGSIPNSSSSAAAAFQTSSDCTTTLRTTTQLDFTPHHLPPDPPQEAARVPHLPPCDPSFSEYSPCEDVKRSLKFDRDMLIYRERHCPEPNELLKCRVPAPYGYKVPFRWPTSRDFAWFANVPHKELTVEKKNQNWVKVEGERLRFPGGGTMFPRGADAYIDDIDKLINLKDGSIRTAIDTGCGVASWGAYLLSRNILAVSFAPRDTHEAQVQFALERGVPALIGIMASIRLPYPSRAFDMAHCSRCLIPWGQYDGLYLIEVDRILRPGGYWILSGPPINWENHWKGWNRTKSDLNAEQTQIETVAKTLCWKKIIQKDDLAIWQKPTNHIHCRVNRKVFKNPPFCAQNQNPDMAWYTKLETCLTPLPEVTSIKETAGGKLAKWPERLNSIPPRISSGNLRGITEKEFVENTELWKQRVEYYKKVDYQLAETGRYRNLLDMNAYLGGFAAALIDDPVWTMNIVPVEAETNTLGVVYERGLIGTYQNWCEAMSTYPRTYDFIHADSVFSLYKDRCEMEDILLEMDRILRPEGSVIIRDDVDVLVRIKKIIDVMQWDGRIVDHENGPHQREKILFAVKQYWTAPAPKQNQ >OMO78649 pep supercontig:CCACVL1_1.0:contig10555:7206:10062:-1 gene:CCACVL1_14228 transcript:OMO78649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPTRDAIESFKSITGASESVALRKLEEYGGNLNAAVSAHFLELERSITNPPSSVSSQYNSVDMNNRNGVGMRGIAPFISAMRSFRPSLLLDPSYRRSLLSPVGASSFNHQATSPQMGEVTGVPVGFSGGNDNPLHSRVRPALIDSTGPPSYFGGGVRENVMRDDHQHINDVESEMMQAAIEASKRDFEQSYMNERHGSSYDSSGIGLQQGQLQQEDDELARAISLSLKTAEDEKARRVMKDHYEQPGVYDSSARTQARTSSSLEWGGMSSEELDEAIMLERQLFSQIPEGASYRSSHPSDEQSGPSLQAPSRPQSSSLAEQHFLRQKQDDEYLASLLADKEKEMNALKKAESHCLKEEESSRKKLERKQESDRVMVAKESSLLPEPAIDDENAVTILVRMPDGTRHGRRFLKSNKLQVLYDFIDIGKVAKPGTYRLVRPYPRHAFSAGDCSLTLNQLGLSGKQEALFLEFI >OMO78655 pep supercontig:CCACVL1_1.0:contig10555:49803:55495:1 gene:CCACVL1_14234 transcript:OMO78655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFVSRLIVFHSFAIVVRRLKAEEKKRERGVSLFSSLVPGEGDTRRRRRSRSNQLGFGLVKSKIMNVMRRLKSIASGRSSISSDPGGDSSTKRAKVDQDADCMVQDESNIVQRSTTGLEQHMASASLETAASTSDVTSVARTEKSAVDQLPSEMHEMRIRDEKPANHDDKDAEPTFINGNGTEEGQIISTVVGGRNGQPKQTISYMAERVVGTGSFGVVFQAKCLERGESVAIKKVLQDKRYKNRELQIMRMLDHPNVVQLKHCFFSTTDKDELYLNLVLEYVPETVYRVSKHYSRMNQPMPMIYVQLYTYQICRALNYLHHVVGVCHRDIKPQNLLVNPHTHQLKMCDFGSAKMLVRGEPNISYICSRYYRAPELIFGATEYTNAIDVWSVGCVMAELLLGHPLFPGESGVDQLVEIIKILGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEACAHPFFDDLRQPNACLPNGRPLPPLFNFTAQGWGAELGGASEELCHRLIPEHARCGNTHSKVGAEFGGAYRHIPEHART >OMO78657 pep supercontig:CCACVL1_1.0:contig10555:64928:65464:-1 gene:CCACVL1_14236 transcript:OMO78657 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MESKSSTSSRTLEITILSGEDLKIDNKSVKKNAFVMVSIDSYNFKTTKTDAEGGSYPTWNDKLVMDLPMQTRFINLEVKCKVSGGDKSVGFARIPLTDFIGGYSPETCLQFLSYRLRDPKGLKNGIINVSVRVKAPLNACSSQASRMGLGIPIDGRADFGAVTGIPIWNGYPSKSLYR >OMO78651 pep supercontig:CCACVL1_1.0:contig10555:13814:14118:1 gene:CCACVL1_14230 transcript:OMO78651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFDSYTFDRIRHAPHHSLTQTFT >OMO87261 pep supercontig:CCACVL1_1.0:contig09265:18068:18703:1 gene:CCACVL1_09162 transcript:OMO87261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMRSSRVIGAAFLVLLFVDLAFAARSFKGVGKGGGGGGGGGSGGGGGGGGAIGLGSGSGYGSGYGSGSGSGYGSGGSGGGGGGGGGGGGGGGGGSSGSGSGYGSGSGSGYGSGGGIGGGGGGGGGRGGGGGGGGGNGSGGGSGYGSGYGSGSGYGSGGGKGGGGGGGGGGGGGGGGGGGGNGSGHGSGYGSGSGYGSGYGSGGDDDYSP >OMO54042 pep supercontig:CCACVL1_1.0:contig15064:4:135:1 gene:CCACVL1_28103 transcript:OMO54042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGLLKTPKSDGTTPYVLKNVDDNRQAKGKYPIFAAAKYQLK >OMO54043 pep supercontig:CCACVL1_1.0:contig15064:9459:14227:1 gene:CCACVL1_28104 transcript:OMO54043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQATVASALVILCLIVLSSVQSAEVN >OMO54044 pep supercontig:CCACVL1_1.0:contig15064:15806:16015:-1 gene:CCACVL1_28105 transcript:OMO54044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MGKTHIRKTRMSKFFADSSAAQVKTLGLELDFSSRGFGIRSERFALLIDDLKVKVANIESGGQLKVSNA >OMO54045 pep supercontig:CCACVL1_1.0:contig15064:35440:36858:1 gene:CCACVL1_28106 transcript:OMO54045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLISERAVNRGGVKNILRRAWMEFGEVKIADAPENIFVFSVRNEEVMNQILQECPWSAMEDTQPVTGVRGRDARESFKQFWRVGWKGDETRKPRGGLREKNRGEKEALHNNLITNPDVDIRTEDGEVGICQLLKDKAQVSSQNPDVMIGPAISKVVEVDKVQSSEKGAETSRNKRYVLRKPASIAVHEISDENGLSGTEAKLAEDNNITTGTPIVSTAVSFAEKSSVSDTGLTADMRAMLLKRARDVELQSIDDMDICYEKRHRQSEEEPEQSTTSQEVRSLTIMG >OMO54046 pep supercontig:CCACVL1_1.0:contig15064:41316:44119:-1 gene:CCACVL1_28107 transcript:OMO54046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismatase-like protein MADKWKQTALLVMGMQKDYVLEDGLMRLKGAKAIVPNVIKAVEIARQRGILIVWVVREHDYCGRDVELFRRSFYCPEKGGPATKGSEGAELVDGLEIRKVKTRFSAFFDTNLHTFLQSNGVNNLVVIGIQTPNCIRQTVFDAVAHNYPSVTVIVDATAAATPKDAMVVTLTSLLDDMHYACLTELQYSELQLLFYIDIVIDLCIDVELFRRRNFTPGGGPATKGTEGAELVDGLDIKIQDYKLVKTRFSAFFNTNLHTFLQSNGINNLVVTGFQTPNCIRQTVFDAVEHDYHSVTVIADATAAATPEVHEANLFDMRNIEVATPTLEEWAAETNMPVDMSK >OMP09337 pep supercontig:CCACVL1_1.0:contig03243:1688:1753:1 gene:CCACVL1_01065 transcript:OMP09337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVQRSQQDFKWYLGSAAFY >OMP10518 pep supercontig:CCACVL1_1.0:contig02333:143:586:1 gene:CCACVL1_00904 transcript:OMP10518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLEKHQSLESLTLQYLAEVWPPVEQRDLEAAMAAMELPVAIALWIMTFRPGLAQKSELTIALLGDHFSPIKASGCFHCHFYSATLSCMCDSWLEAMNQEGGFEVQDFRFIKIRGRSAELLPISPESRPSWRSGHSILRSSTVSQY >OMO71351 pep supercontig:CCACVL1_1.0:contig11663:32122:52971:-1 gene:CCACVL1_18269 transcript:OMO71351 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAEGLSDLWEKFKLTEKEDCEVHDIPLGLMNESIGKVIGDSLGRVEEMNTCGEKMAWGKFLRIRTRLDINKPLRRGMKFSMDEVGKILESGPWLRAEVPRSNVAKFDGPSISETKTGSRHIPDQQVSSQQKTLPAKGGSLGMNKVLLLVEKPSTEFQDENMGLTEANLNINTTMAEEGRVIEKEGGLLDLSVVGVTIRESNLNPINQTVVSKSGGSKLNRKWKNAARTRPGPYNGGKSRSNLSGQKRSSSPCNPAKHHEYLGMESSGTGGNQGNSKSLTGRSGGLSLLWHSDWEITILSYSISHIDVQIVDAEGSLPWRFTGFYGNPISNHQRESWQLIHTLNERSSLPWVIGEDFNELLDGSEKVGGAIRPEAQMRLIFYNALVAYETVHKLKSRKCGKHGSMALKLDMSKAYDRVEWDYLEAVMKTMGIPGQWISLIMACVHTVSYSVVVNGKQYGNIVPSHGIRQGDPIFPYLFLLCVEGLSALLNNADDLGHIQGVSVCRVAPRVTHLLFVDDSLLFGRATLEEADAILDLLHQYEQASRQFVNIEKSTIYFSNDTPMQNREVIKQKLGVKRMLTGDKYLGLPILVGLEKKIHFQHIKDRIWRRIHHWQSKLLSTAGKGVLIQAIVVQTILARYFPTSSFLDASLGSNPSYVWMSILEGRSVLELGSRWRVGDGNSIKIMVDTWILSLPTEKPSTIHPNFLDYGLVSELIDHNERSWKEDFIRSLFLPNEAEAILDITLSLQQVEDKLIWIESKLGEFTLLGMLKLNCDAVFNHNSGLSTLGMVIWNANGDVVLSGVSSVDGVASVLHAKCMTINFGRETIWEHGYSSLLVESDSKQAIEEISKGLDSLWSGACLVHDVLALSLKFDLISFAFVNREANVLPHNITKSEFVIGQRVWLSGTEIWRIENFQPVLLPKSEHGKFYMGDSYIVLQTTPSKGGSYLYDIHFWIGKDTSQDEAGVAAIKTVELDAALGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVASGFKKPEEEEFETRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTQNKIYQFNGANSNIQERAKALEVIQFLKEKYHEGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVASEDDVIPEAIPATLYSITDGEVKVVEGELSKGLLENNKCYLLDCGAEVFVWVGRVTQVEERKAASQVAEEFVASQNRPRTTRITRLIQGYETHSFKSNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGVKGMTKNAPVNEEVPPLLEGGGKMEVWCINGSAKTPLPKEDIGKFYSGDCYIVLYTYHSGDRKEDYFLCCWFGKDSIEEDQKMAFRLANTMSNSLKGRPVQGRVFEGKEPPQFIALFQPMVVLKGGLSTGYKKSISDKGLTDETYTADCVALFRISGTSIHNNKALQVDAVATSLNSTECFLLQSGSSIFIWHGNQSTFEQQQLAAKVAEFLKPGVALKHAKEGTESSAFWFALGGKQSYTSKKASSDTVREPHLFTFSLNKGKVEVEEVYNFSQDDLLTEDILILDTHAEVFVWVGQSVDAKEKQNVFEIGQKYIDMAVSLEGLSPNVPLYKVTEGNEPCFFTTFFQWDSTRATVPGNSFQKKVALLFGATHVVEDKSNGNQGGPTQRASALAALSSAFNPSSAKSTHSAPDRSNGNQGPTQRASALAALSSAFNPSSASRTSSPRPSSTGQGSQRAAAVAALSSVLTAEKKKSPDASPTKSTSSTPVLTSPPSEVKSELDPSEAEESQEVPEAKERGAVSETNGDDSEPKQETEQDENDTGSSQSTFSYDQLKAKSENPVTGIDFKRREAYLSDDEFQTVFGMTKEAFYKLPKWKQDLLKKKVDLF >OMO71350 pep supercontig:CCACVL1_1.0:contig11663:5807:7030:-1 gene:CCACVL1_18268 transcript:OMO71350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHRSWMYHRLDEFGYVSTEFKRGVEDFLEFAFNHTRRLFPITSSDLNNSVLGETGRGHLYGFGSFQNPRAILEGSSRQNPTNHSNVPAEIPEAMQELFQKWLSEKLPGMLNSWGYHPSANASANNSTISASSRGNEVLQSSQNDSEKTASDGDNDDYPEDLC >OMO60100 pep supercontig:CCACVL1_1.0:contig13790:3300:5701:-1 gene:CCACVL1_24406 transcript:OMO60100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMINIPTKILSRIILSLTFPVLILSQNENYYHLCSPFKCGDITFPFPFSDQSTFGSSGPINCGIPGYQITCDQQESSPVPKLMLSGRLYQVKNIFLNLNQTNNQNGWNLITLVDSDLIRDFDSKSCQSLRNLTIPVYGNSDALGLLPNWTNTNLTFARCPSQLESSQEFLDQISSDYSCSDDGNRLYLWRNDIETPLFDVIPTECKLFMVPASTADVLIMFKNTSVAGRNVEWSQLTNALAAGFPLQWNTSNDCASCQMKGGHCGFDGTNVACFCRDSPDCNSTKTKSIQQKLIIGIASGSSFVVLIVILLLVYKNRASLIFSDLSEKNQTTSDGTTAKEFIQTYRSNLLNNYSYNDMKKMTNGFKEKLGEGGYGNVYKGKFFDGRFIAVKMLKSEEIVGDNFINEVATIGRIHHVNVINLLGFCWDGSKRALIYEYMPNGSLADHLKSENEMSHSLGVTKLMEIAIGVAQGIEYLHSGCESRILHLDIKPQNILLDQNFNPKISDFGLAKVYSRNHSNVTMTGARGTIGYIAPEIFMRNLGNPSHKSDVYSFGMVLLEMVGGRKHFKAATSTSGSAETNYFPEWVYDKILEDKNKLERADFVVEESYYLIERKMLMVGLWCIQINVRDRPSMKRVVEMLCGRLEDIEMPPKPLFFFSSSHQEIEENEITSLGSDSSVLPLAYESLEIQN >OMO60099 pep supercontig:CCACVL1_1.0:contig13790:2380:2994:-1 gene:CCACVL1_24405 transcript:OMO60099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismatase-like protein MASSLKCSAYKKYEIRKRDPNPKTAALLVIDMQNEFLPMAKPILDEVITTINLCRRASIPVFFTRHCHKSPEDHSMLGEWWNGNLIFDGTYESKLIHEIVPLTKAGEVVEKNTYSAFQNTRLKEMLVEKGVEEVIIAGVMTNLCCETTARDAYVKGFRVFFSTDATATCNMELHEATLKNMAYGFAYLVDCQRLQQGLLKDELK >OMO71563 pep supercontig:CCACVL1_1.0:contig11599:1383:1442:1 gene:CCACVL1_18158 transcript:OMO71563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLNNKITHMQKFIKYND >OMO98845 pep supercontig:CCACVL1_1.0:contig07005:9289:9405:-1 gene:CCACVL1_04033 transcript:OMO98845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKPKRGLRVPTSGQARKGSEKNRLEQTARERKPSNQAA >OMO98844 pep supercontig:CCACVL1_1.0:contig07005:7087:8145:-1 gene:CCACVL1_04032 transcript:OMO98844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKRKAPDNDKTRSTDPRFFYQKDHDWYKDIENMGFVEEQTVPEVFDNEFNIHAAFEHLGWEEILKLPNKYYPELVKEFYANLKEKDKVNCISLSSYVRGKSIEITDTKLNEWYKFPGKGGRLHFSKMGDKSQCTLDRDWDKTAAIKHLDIPYSINRKKQWTVYAKFCSIKLRLIAWLLRTNMMPTHSTSTNELRLTDIFTLQMMTTNPLPEHIPGIDLAKTIIEEIWNAAKSKSHFIFPCLISDVLKKHKVGLSHHESVIVPVKLNEDKMKNFGYTKIAGVWTNARQHGSVDYPVPLHPPSHEPRDDEPESSSAAPSESYDIATRLTNLEATQATLLAGQVEIRASLNLT >OMP06851 pep supercontig:CCACVL1_1.0:contig04781:8:250:1 gene:CCACVL1_01426 transcript:OMP06851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFPLLHRAFYNRGLPFPSNDPASPGSSTVRAPFPPSAMLPRRRLTTLRARGVFARRSLASSAILPAGCMGGLSLAAAFC >OMP06852 pep supercontig:CCACVL1_1.0:contig04781:687:872:1 gene:CCACVL1_01427 transcript:OMP06852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILNKGKRVLFFLHTFLTTYEFFRVSLGAIRIRTSGTITINRRHGQSLVCYCPRSRDSAQS >OMO53944 pep supercontig:CCACVL1_1.0:contig15094:3322:4243:1 gene:CCACVL1_28189 transcript:OMO53944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAQTMANQLRQRLLPVLHSALSQDQAVKSK >OMO61458 pep supercontig:CCACVL1_1.0:contig13470:23307:25005:-1 gene:CCACVL1_23500 transcript:OMO61458 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IIIA MQPVAIAALPNWCYLVPNSFFHFHFHFHFNEKPTNLSFPRNKSNLKSLCSLTLPTTTNDFSKPQQENPNSTDSYTYPDQKSTLFDQFYSSFTKIEVNNQDPDPQNEDREDKKPPRKTRFWDFLRVLFTSMWWADLKAAVGQRINVEGIVSSVGVFVKDRHLALPHVAVRDIRCIDWDELHRRGFKGVVFDKDNTITAPYSLTLWPPLESTIAQCKDVFGPDIAIFSNSAGLLEYDHDGSKAKKLEGTIGIKVIRHRVKKPAGTAEEVEKHFGCKSSQLIMVGDRPFTDIVYGNRNGFLTILTEPLSLVEEPFIVRQVRKLELVLVKHWFRRGSKPISHNLLPDTTQCVKDPPPL >OMO61462 pep supercontig:CCACVL1_1.0:contig13470:69796:82230:1 gene:CCACVL1_23504 transcript:OMO61462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHGGGKTCEATACRQADRKLLRPAGISHTKLPTTSQIM >OMO61455 pep supercontig:CCACVL1_1.0:contig13470:6643:7686:1 gene:CCACVL1_23497 transcript:OMO61455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRGTRSKAWLAPQKCPVMVQVMFLVSVIVALMLFFHKTDENPIPVNGLRNQKWNRFESLVQFNSEREFRNGTDLIWQIPDSPKAVLFLAHGCSGRAVNFWDRSSKCPECVGLPEERLITLHALARKYAVLTISSAGKCWTFGKERLIVEDIIRWWVKRQKLHKLPLVALGASSGGYFVSALANDLKFSSITLMIAEGIFDQMDIIEGYPPTLFVHMPKDVHRQQKITEFMEDLRNKVVDVSEIECMEFPLSPTYLSDRIPGLDQIVSSRLFNLFKEKGFVDENGYMKRDGRATRWREALRESNIPLLKKELEHPVQEELNLAFAYHEMTSLQSEQIFNWFESHMT >OMO61456 pep supercontig:CCACVL1_1.0:contig13470:11910:13098:-1 gene:CCACVL1_23498 transcript:OMO61456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKRSKLVSLFIWALVAGLLSQNLVIAVTSTSFEEQKNYYPVPDPHAGTPPSGSHGTPSHGSGGSYGGTPPSHGTPSHGGGYHPSPTPSTPSGGNCGNPPHDPSTPTTPSTPSHTPSHTPSHTPSHGGYYHSPPTSGGSPPTYGGNPPTPVYVSPPSTPIDPGTPSTPPFFPAPFPSIGGTCDFWRSHPTLIWGLLGWWGTLGGTMGLPAAPGFGTTMTLPQALSNTRSDGLGALYREGTASFLNSMANNKFPFTTKQVRDNFIAALGSNKAATAQANLFKLANEGHLKPRA >OMO61459 pep supercontig:CCACVL1_1.0:contig13470:29043:30523:1 gene:CCACVL1_23501 transcript:OMO61459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSKPEGLAKLVEIKDIGDNAMFLGSGNDSIMVPTCDFPGLQRNCIYYNYGKDDAGIFNLGDGSIERLSLPLHAAVWIIPTLTCHDDNVNWNHSHQKFHIN >OMO61464 pep supercontig:CCACVL1_1.0:contig13470:86074:86964:-1 gene:CCACVL1_23506 transcript:OMO61464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTTIVNGGVEDQTAERESFHDADDSKLTELASKVEALEKENLELSNENKEAKEKIKKMTLEIDELLNKEEDMKAEMDQLEDDKKVMETIAHRAADLETEVSRLQHDLITSMSDIDEVNQQVVELKRELESKGLVIERLDKEIEELKKEKAESEKKERELELKLGILEVRETEERSKKVRIEEEMREKIVEFKKEVEDLEALLAKTRIELERSEEEKMVSQEKAKGLELKLLELKKEVEKAGEEINGKAREILESVGCEEKGLKGFNVPVVAAGSAGAIVVAAALGYLCYRKHSC >OMO61460 pep supercontig:CCACVL1_1.0:contig13470:56351:58086:1 gene:CCACVL1_23502 transcript:OMO61460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTFPVADSSAREFANRMFIGQTGSSPGLSFDLPAQSLKALLLIFFYRLAYQVYKKTSLSVKLHLASSTRGRHQHRLGIICYYAANQSPGSVPMNNSLLRGSFIPLFRRGIHPPWTNKPLIGALVREDWVGNTSGTGRLLSAHSRRIDVIEQSRLQS >OMO61461 pep supercontig:CCACVL1_1.0:contig13470:61657:61719:-1 gene:CCACVL1_23503 transcript:OMO61461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQPVAIAAFPNCCQLSRP >OMO61463 pep supercontig:CCACVL1_1.0:contig13470:82887:83777:1 gene:CCACVL1_23505 transcript:OMO61463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESNSNGGIEDQITLKEVVLEDSAALKADIDGKKDSSSSSSSSSSSDEEAEAEEEKSVPIEEEKLVSESVDHLELEARDSGVAETEVKILESLEETNKDSEVVTDLQINGLKEETEQLESSLDESNGCGDAVDVVSKGIELEESSSPSLAENFEVAAAAEEQGITEREIPVSDNNAEGSSGSTDLACKDDHVEDSLQAINVPVVETRDAGEAANKPDIPETTGNQPIYSLSNRPEQPTSWKSCCGLFEVLRRSDR >OMO61457 pep supercontig:CCACVL1_1.0:contig13470:20163:21682:1 gene:CCACVL1_23499 transcript:OMO61457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEFYKAQKNNRLFEEFVEPRISKYGKIFKTSLMGSPTVVVNGAEANKFILSNEFKLVISSWPSSSVQLMGRNSIMEKQGDQHRCLRGLVASCFSSSGMETLVPKICSTVQSHLDKNWHGKDTISLYRSTKLLTFTIVFECLLGINVEPEMLDIFERVLEGAFAPPVNFPGSKFSRAKKARVEIAKMLAKIVDEKRNEMENNSEGEGEGKGGSMLLSRFVAAMIQGDITEEEVIDNVVLLVFAAHDTTSFAIAMTFKMLALHQDCYNLLLQEHADITSNQRTEENLTVEDVKKMEYTWQAARESMRLFPPIFGSFRKAVADIECEGFTIPKGWKVLWTAYGTHYDGEYFQDPQRFDPSRFEEFVPPYVFVPFGGGPRLCAGYQLAKLNILIFVHHVVTRYDWSLIYPNESITMDPLPFPSQGMPIKISPKLSRDI >OMO50455 pep supercontig:CCACVL1_1.0:contig16177:4241:5217:-1 gene:CCACVL1_30429 transcript:OMO50455 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation channel sperm-associated protein subunit gamma MMAISLNSIVLSSILQRLKDGPRGGALHIPAKTSISSHEIKNEKITPGGLRSGGEGLAGVAGPEISRQSRAELPRIVIGIVYFNSQPVLDVDEAADEPDTTNNDEFEAVASGGTVVEDTQKRVDPGEVQRRGETPGGFEERGEAEFGSGGEQEATGAEKRGGRGVVVVDKVRETKPRIGQRIEGKRLVLLRRNVVETEEEEPRVGKGSRGGESRGGNEGIPEFANRGSTEEGRDWEGREDEFGQIGAKGVDVSLFRVGVRHCNLQCKPRFGERNQGMERQLENGEVVGRSERECK >OMO68677 pep supercontig:CCACVL1_1.0:contig12196:55062:61283:1 gene:CCACVL1_19872 transcript:OMO68677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGQKQSMICVGRWWRGDPRLRPAPLPSLGKVVNTSRLKSSRATKPPVWSKDYEP >OMO68676 pep supercontig:CCACVL1_1.0:contig12196:28962:53799:-1 gene:CCACVL1_19871 transcript:OMO68676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDTFHSDGNDVSSTVLQPWCVSAQTVGSTGGTLTIVDERTRKKCQVPVSSEGIVKAADFKKDLHKIHISIRVKDHSAKYLAYHMIDEKNLQKPTVEFLLKGVAVIMVRVRMIVVVLHMTLLTMTIPRTSIDIRIFVVFWCHQFVVAAKEESKNDIDVIGDPDIGITSLPSNDAKLITSTVSLPRDIDVKIKYEMRREVTNVEDDNSDSRNEENQSSTDEDYRSEGENEGESRSGRDHGGSENESDGASNDNSDDDHPRVVGIRVSFTKKNKKSERE >OMO71140 pep supercontig:CCACVL1_1.0:contig11720:10003:10107:1 gene:CCACVL1_18407 transcript:OMO71140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPDLRLTVEKLVASLKLTVTDEEERKKREAEEK >OMO71146 pep supercontig:CCACVL1_1.0:contig11720:65472:68818:1 gene:CCACVL1_18417 transcript:OMO71146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDAGQMLVVYDDPSDQRSLSLDETSSTEESPDETRLSLETTNDAIPYIGQRFATHDAAYEFYSEFARRCGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPVKTSNDGKPQRNRKSSRCGCQAYLRISKVTDLGPAEWRVTGFVNHHNHELLEPNQVRFLPAYRTISDADKNRILMFAKTGISVQQMMRLMELEKCVEPGYLPFTEKDVRNLLQAFRKLDQEDESIDLLRMCRNIKEKDPNFKFEYSLDSNGRLDNIAWSYASSVQSYDIFGDAVVFDTTHRLTAFDMPLGIWVGVNNYGMPCFFGCALLRDENLRSLSWALKAFLGFMDGKAPQTILTDQNMCLKEAIAIEMPSTKHTLCIWMIVAKFPSWFNAVLGERYNEWKAEFYRLYNLESIEDFELGWRDMVNSFGLHTNRHISNLFALRSMWALPYLRSHFFAGMTTTGHSKAINAFIQRFLSAQTRLAHFVEQVAVAVDFKDQAAEQQTMQQNLQNICLKTGAPMESHAASILTPFAFSKLQEQLVLAAHYASFQMDDGFLVRHHTKLDGGRKVYWVPQEGIISCSCHQFEFSGILCRHALRVLSTGNCFQIPDRYLPLRWRRISTSPTKVLHNSPSDHTERIQLLQSMVSTLVSESAKSKERLAIATEQVTILLSRIREQPVASQGLRDISPIHRNI >OMO71147 pep supercontig:CCACVL1_1.0:contig11720:69730:72501:1 gene:CCACVL1_18418 transcript:OMO71147 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 17.2kDa subunit MASTVVKSALKAIREKGLRNFFRELKEEGYTKCIFDGNLLQTKIHNIGATLVGVDKFGRHRWVEYAKKDRYDASQVPPEWHGWLHCITDHTGDELLMLKPKRYGVEHKENLSGKGSEFIYHSKGHALNPGQRDWTRYQSWEPTKPE >OMO71141 pep supercontig:CCACVL1_1.0:contig11720:29711:33442:1 gene:CCACVL1_18410 transcript:OMO71141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MEQNCGNNGGGNPIPIPIPAATPTTTKKSDAPQPHLVREQLPGVQYCVNSPPPWPEAIILGFQHYVLTLGITVLIPSIIVPQMGGGNAEKAAVMQNLLFVSGFSTLFQSILGTRLPTVIVGSYAYLIPVTSIIQASRFNSYSDPYERFVRTMRGIQGALIVAACFQSTVGFLGLWRNAVRFLSPLSVVPYVTFTGLGLYHLGFPMLAKCVEVGLPGIILMVFISQYLGRYLEWKQHFCDRFALLFSLAITWLFAQLLTSSTVYKHKPENTQISCRTDRTGFISTAPWIYIPYPFQWGSPTFNAAEAFVMMAPAFVSLFESTGTFFAAARYGSATPVPPSVISRGTGWLGIGVLLNGLLGCVTGTTASVENVGLLALTRVGSRRVIQISAGFMIFFSIFGKFGAFFASVPLPIIAAFYCVLFGYVSSAGLGFLQFCNLNSFKTKFILGFSFFIGLSVPQYFREYYHGGWRSAQHHHNGWFNDIILVIFMSHTTVAAIVALFLDLTLSRENEETRKDSGLKWWEKFSLYRSDVRNDEFYALPCSLNKLFPAL >OMO71143 pep supercontig:CCACVL1_1.0:contig11720:36663:39085:-1 gene:CCACVL1_18414 transcript:OMO71143 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MTNSATSTTATAKAEQTAVVSPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASDIFTVGGYQWAIYFYPDGKNPEDNSTYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRSLESGPYTLRYRGSMWGYKRFYRRALLETSDYLKDDCLKINCTVGVVVSAIDCSQLNSIQVPESDIGAHFGMLLDNMEGSDVTFDVAGEKFHTHKLVLAARSPVFRSEFFHGMDEEKKEIVIPDLEPKVFKAMLHFIYRDTLAEDVECVGSSPSSVSSISEMLIAKLLAAADRYGLERLKLLCESRLCKNISVNSVSKILALAEEYHATVLKAVCLRFAAQNLAGVMRSDGFEYIKENWPSLQSELLKTVAGCEEDCSSGGKSRSVWGQLSDGGDTNGRRVRQRT >OMO71149 pep supercontig:CCACVL1_1.0:contig11720:81918:91033:-1 gene:CCACVL1_18420 transcript:OMO71149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ic MTEEAHGIPPSRADMYVRTRTRKDGNYVNEKATQVMERIKEIRIETDDHSEEVSWTNDVFSKVVGPEKRGRILCSGLKSTSSNRSGPSCSQPMQPNEEVQGLRSEIATLKTVLNGVLGVLRRQLPNESEELLNMARLFDGEVADAGSAPEISLHKNNRSSESTHQPSPINDVRYVAIMFSTYARMRKLSGKRTYELYLSHPDPLSRCRNLVEQQQQLTAKAVMETKEDQNQPQEEEQVVNPWEVKAKDGGKIDYDKLIDKFGCQRLDQSLADRVQRLTSRPPHIFLRRGVFFAHRDFNEILDAYERGDKFYLYTGRGPSSEALHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKFLWKDLSIEESQRLARENAKDIIACGFDISKTFIFSDFDYVGGAFYKNMVKVARCVTYNKVVGIFGFTGEDHIGKVSFPPVQAVPSFPSSFPHLFPGKDDLRCLIPCAIDQDPYFRMTRDVAPRLGYQKPALIESLFFPALQGETGKMSASDKNSAIYVTDSAKEIKTKVMCYAFSGGQDSKALHEKYGANLEVDISIKYLNFFLEDDAELEHIKKEYGAGRMFTSQVKERLIEVLTEVVERHRRARAAVTDEMVDAFMAVRPLPNVFD >OMO71148 pep supercontig:CCACVL1_1.0:contig11720:79943:81160:1 gene:CCACVL1_18419 transcript:OMO71148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTFNEVQKEKRARRAERKRAIHGDPSTKKLKNKSENLSVSGKRKRKLLRKWRREQKDVIDKGLVTMEDVEMAAAEGTSQDASTSQDANKVPTKFHMKKNLKLRRLKKKGKGKSSKPATEASVDAMVE >OMO71142 pep supercontig:CCACVL1_1.0:contig11720:35480:35548:-1 gene:CCACVL1_18413 transcript:OMO71142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGWCSHCLAFCGMALEGAFM >OMO71145 pep supercontig:CCACVL1_1.0:contig11720:59113:63722:-1 gene:CCACVL1_18416 transcript:OMO71145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MMRLRTYAGLSFIATIAVIYHAFSSRGQFYPAMVHLSTSKISLVLLLNMGLVFMCILWQLIKRLFLGSLREAEVERLNEQSWREVMEILFAITIFRQDFSVTFLAMVTALLLIKALHWLAQKRVEYIETTPSVSMVSHVRIVSFMGFLLLLDCLFLYSSIKFLIQTKQASVSLFFSFEYMILATTTVSTFVKYIFYVSDMLMEGQWEKKAVYTFYLELIRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKIRVADYMRYRKITSNMNDRFPDATPEELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAHVVPSEFETNSTRGQQGPRSDANQQGSSTSSATRGPGSDMATDGLTQHQARLQAAAAAASIYEKSYVYPSANTLVWSPGYAAVPQAYGPLADSTKVESSGEQTAIGQQQFAVPGGQANLSFSQFPPCVFVPFQLPGAIGNLGEGSAGTQNIPDSQLEAQKKIIQQQIEALQHQLQLLQKPKTEESMDSGLTASSDRKGKGIASSSVSDPHMGETEE >OMO71144 pep supercontig:CCACVL1_1.0:contig11720:40512:49313:1 gene:CCACVL1_18415 transcript:OMO71144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGRKKKKDFVKAGAVENAIVIEANAFKETDVVYRASSSKGHADMVHTAELATLVMIPLGCTSSNLDWRYNGVHFTHSNGATTELKQLDTTNSSSRPRSLFISRGAPLEAGGRADGALPRFSGIFPLDVYPSFELMGSGTIYEGPSKSECELTPSRHVVLGVRIMRLKPPEPIATDRNSVISRFRWFKPPSTKDNWSVFVHRRLLSFSPQASAPTTPS >OMP03277 pep supercontig:CCACVL1_1.0:contig06106:5059:6936:-1 gene:CCACVL1_02479 transcript:OMP03277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MVSLQQSSSNTASVSEVPSTSLPSEVIPSLDSSTATPSVAPIQDQLPSSSMPSQSLPVTDISQGRNSVPRPVGNTHSMQTRAKNNIFKKKTVSIATKYPYSSSIEPTCVSQALKDEKWCVAMSEEINALLRNNTWELVPKSDAQNLVGCKWVFRIKRNPDGSVSRYKARLVAKGFNQRPGIDYTGTFSPVVKPTTIRLILTIALQQKWKLFQLDVNNAFLHGTLDEDVFMKQPPGFVDSSTPQLYDIIITGSDLRFVTKFVADLSARFSLKELLPLHYFLGIEIVAVKDGLFLSQRKYIVDLLQRTNMHDSKAVTTPMASTVSLTVDDSETLSDPTEYRSIKMVARSSTEAEYRVIANAAAELSWLQNILQELHVSTKQVPLILCDNVGATYVSMNPALHSKMKHISIDFHFVRDKVNTGQLTIRHVPIHDQLADLLTKPLPRSKFLHLVSKIGVLPGDSILSGRIEG >OMO64734 pep supercontig:CCACVL1_1.0:contig12743:120:227:1 gene:CCACVL1_21614 transcript:OMO64734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DRFLSAATRQRHIVIHITLVDEGLVEAKVSVLEGG >OMO56318 pep supercontig:CCACVL1_1.0:contig14541:99:320:1 gene:CCACVL1_26639 transcript:OMO56318 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc finger protein NLESSKPDQISSAVGNPRKGTSNETATEWFFGNSYAAVTPTPTTSGNGNDNTSNNWNNGVQAWNDLHQYSTLP >OMO56319 pep supercontig:CCACVL1_1.0:contig14541:6230:11617:-1 gene:CCACVL1_26640 transcript:OMO56319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTQLNAFLQNQETLFPNSSIPGVFDWEKNELALNQDNASSAMDEGEEESLVDSMLCDSNSRLIPSGFSKSNCTEGTMMFINAGGKALDEADSSMKFLEDSYFEGGHVMQTNEHIAEAGDRPFIYQSARIGSFSYRFNNIPPGDYFVDLHFAEIINTNGPKGMRVFNVYMQEEKVLSDFDIFSVVGGNKPLQVVDLRVSVKEDGLIAMRFEGVIGSPMVCGICVRKSQNVPGPRASQEYLKCKNCAADIEVSSAQKKLMRTKVTDKYEKKIQELTAQCQLKTNECHEAWMSLTAANEQLEKVRMELDNKIFKTRTLDETVGKQAENLKDITGKYEHDKKFWAAAVNDLQEKIRIMKNEHAQLSREAHACAESIPDLNKMVSGVQALVAQCEDFKMKYSEEQAKRKELYNQIQETKGNIRVFCRCRPLSKGEISAGCASVVDFDAAKDGDLGILTGGSTKKTFKFDRVYTPKDNQVDVFADALPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTEQNRGVNYRTLEQLFEIAKERHDTFTYNISVSVLEVYNEQIRDLLSTAPSSKRLEIKQSAEGFHHIPGIVEAKVDNIYEVWNVLQIGSNSRAVGSNNVNEHSSRSHCMLCIMVKSKNLMTGECTKSKLWLVDLAGSERLAKTDAQGERLKEAQFINKSLSALGDVIYALATKSSHIPYRNSKLTHLLQDSLGGDSKTLMFVQISPSEQDVSETLSSLNFATRVRGVELGPAKRQVDTSELQKMKTMLEKARQESRSKDESLRKLEESLQNVESKAKGRDQVYKSQQEKIKELEGQLEVKSSMHSQSEKQVSQLSDRLKGREEICTSLQHKVKELETKLKERQQSESASYQQKVKDLENKLKEQVQESESHSLALQLKIKELERKLKEQQEHSQESILLRQKIKELEDRLREQEQQLQCALARSFADAIRATPSEGKYKRDEDQLMSEAEPHILRSSNSISSRPLSYGSKQSRISDSLHEARKKRYSRTGETENNMVVSLADKRARKSDPPKIASRVVRATKPANVAAAAKAPLIHKRVNRDQGPAAKEMDNKKKIWSR >OMO56320 pep supercontig:CCACVL1_1.0:contig14541:13367:17600:1 gene:CCACVL1_26641 transcript:OMO56320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylmalonate-semialdehyde dehydrogenase METQSQAEFSGQKRMLPPPAGAFQDREDLIKHVRDFGASQGYVVTIKKSRKDRRVILGCDRGGIYRNRRKIDESKRKRKACSKLINCPFEAIGKKEDDAWVLTIKNGEHNHDPLKDMSEHPYSRRFTEDEVRQIKLMTEAGVKPRQVLKALKQSNPELQSTPRHLYNLKAKIRLGNLSEKSFKSWRPNTSVPVNSNGSSTGEFSKQNNQPVKVPNFIGGKFVDSQGSMVIDVINPATQEVVSQVPSTTYEEFKDAVTSAKQAFPSWKNTPVTTRQRIMFKFQELIHKNIDKLAMSITMEQGMTLKSSQGDVLRGLEVVEHACGIATLQMGEFVPNASNGIDTYCIREPLGVCAGICPSNFPPMIPLWMFPIAVTCGNTFVLKPCEKNPGASVILAALAKEAGLPDGVLNIVHGTNDIVNYICDDEDIKAISFVGSNRAGMHMYARAAARGKRIQ >OMP12096 pep supercontig:CCACVL1_1.0:contig00435:1055:1117:-1 gene:CCACVL1_00129 transcript:OMP12096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGATKGGGKVEEVALGDW >OMO50474 pep supercontig:CCACVL1_1.0:contig16165:46906:47079:-1 gene:CCACVL1_30420 transcript:OMO50474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MAYICNICNENYRNLVGVIEHQKMEHTKEETKYKCDKCKRGFATKEARNKHRNNVTN >OMO50473 pep supercontig:CCACVL1_1.0:contig16165:30469:43663:-1 gene:CCACVL1_30419 transcript:OMO50473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHYRAPLLAFTITNRSSSLRMSYSSTRSYSCSSADSSSIPMENNREVNELVVYLEEENVGDKTTVEELENEDAIGSKRQRKKTSPVWEEFIELKDANGSELVQCIHFKTKLIKQKTRITT >OMO88818 pep supercontig:CCACVL1_1.0:contig08923:11317:12775:-1 gene:CCACVL1_08184 transcript:OMO88818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MQNVRRSFSLFYNYQGFKFKVNVVVKGIDQSAVWYYAACDLCGQALTETFEVFYCTQHQIQTPQFMPKIPLLINDHTARMRVMIFGDHARDMTEELAMCTPEKSRDNIASEGSEAAQAETPPTLEKRNSTTDLDILAVEHEGNKTSTRSMTKKVKQRLSNAYCSVDFARRQTHP >OMO88819 pep supercontig:CCACVL1_1.0:contig08923:18283:27891:-1 gene:CCACVL1_08185 transcript:OMO88819 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase PIF1, ATP-dependent MKDCLCGLINPVQAVFLPRRKASDNIILVQEAMNSARNSLSKDGWMVVKIDLEKAFDSAIEVADSLARRLGYRKTLYLGTYLGHLILDRKGKKCDFIGVIDKIRIRVTGWKAQHLSLAETDFVATTVAKASGHSTFGNAKQVAHDVGLSALTEVSRFEITVLPSSEIAVVDLSEILPDVGCYVSYFIVFVINVSGCISLASSSIVPHHGERMQIALPENPLLHPDADRANRLNRKLKRSLGALSSTSFNQPRKDVVQPWAKRKLTFTLADQTLATHPPLNSVSNVDSYSRDAAKVNKSNRMTYLRNRQSRMQHDQSVQCSESSERSNPGDILLDPLLPSAFESGAPHISGVPSLGTHDTFGMVPAPFVHRGSCLTNPHVSNAHLIVDKSSHSSFTYANTSVPVPGPSSENTDGGTKCSATIPTPPIIDNFNVYNQILSLGSNQRRNDVVQPRAKRKLIFTTDDQTLPEHPPLDFVYDVDSHSRDDAKVNRSRRVSYLKNRQSRMQHDESVQCFDSCESSYIDDILLDPLLLPEVESGAPYISDVPSLETYDTLEMVPAPFVQTKTYETLEMVPAPFVQIEICHLLPAFSSIDLGRIIYDKDHESELRSEMYSNVRDVVARGDVQGNDIGKRIILPASYTWGPRYMYQNYQDAMVICRYFGYPSLFITFTCNPKWDEIQQALNEIPGQRPEDRPDMVYRVFRLKVRDLIRDLIDGKHFGTAIADPSTKWSSTDEIDEMLSAELPHPQEDLVSYDAVSTCPDRARISVQQSDQPSTSGEAPSEVVVDEIKMYLDCRYVSAHEACWHIFEFDIHFPDPAVQRLLIHLPNQQNVFFYDRQHLQDFLGRPDVVNPTKFFETNWKLMSDDIQHAFRQNLRASHFTLDDADLRSYVFVALEDLLHRNASSLQEVNLPLPRPNDRDIIEDRLIQQELDYDRDELQHQHAIMVAGRTNEQKRIYDEVLNSVLGNEPKLFFVYGYGGTGKTYLWNTIIAALRCRSMIVIVVASSGIASLLLPGERTAHSRFKIPIEINERSTCQIKKGTQLAKLMKKASLIIWDEAPMIHMHCLEALKKTLSDILFDGSDASTHGLFGGKTLFMGGDFRQILPVIPQGSKTDIVSASICNSSLWQHFRIFTLTTNMRLNRENLDPHKKKGLQQFAMCWRSNLVCFRKERAIVTPYYETVDQINQYALNLIPGESVAYLSSDALCKSSGGTVDNNLVHSPELLNSLRLPGIPNHELNLKVCCVVMLLRKVNQAAGLCNGRRLVITQMAQNVVEGKFLGEGDNREKVFIPRITFTMKDKKWAFDINRRQFPLRLSYAMSINKSQGQTLKKVGLYLPRPVFSHGQLYVALSRVTSFRGLKILIHDEHGNASNITKNVVYKDIFESLPSNMPYCSIPTCKPLIRYITAYEVLISFSDYGTMGNAIHDYMEPGLDSEFEGLLCEGMLYKIENFEVKGQKPTHNAVSSNNTIVLNWSTVVTKTNQDVSGFPQFHFEFPTMDQIIARESSDRLMTASKIKLKNKDEEVEKRTIQLRLLSGDEIKVSIWAKFLANIDMDSLAMQQPKPVLIVAGTTVKKVDEGLGLTTTTGMKILVDFEIAETAEIR >OMO88816 pep supercontig:CCACVL1_1.0:contig08923:6331:7263:-1 gene:CCACVL1_08182 transcript:OMO88816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPMIESQLICSMEREREEIFCISTPPISQAWISTEFRVHRRLRHNHHDKPLRIPPNTAADPSDRLF >OMO88817 pep supercontig:CCACVL1_1.0:contig08923:10487:10573:-1 gene:CCACVL1_08183 transcript:OMO88817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALIVYRSQSYQGCGQGCKELPIRWL >OMO59419 pep supercontig:CCACVL1_1.0:contig13974:703:16954:1 gene:CCACVL1_24836 transcript:OMO59419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein MTRLCALNTYSIAPELLAQLVDGLFMGTLSCANNEEWKRKLAILLHDDGKQELVSREKKDRRDFEQIAALASRLGLYSHLYSKVAVFSKAPLPNYRFDLDDKRPQREVKLNFSLLKRVDAYLGEYLSQKSRTKESYSDNCFSRSSSNSSIVTDEGLFEQPEQLASSTAVMEKILWRRSLQLRDQQRAWQESHEGTRMLEFRRSLPAYKEKDAILTTILQNQVVIISGETGCGKTTQIPQFILESEIDSVRGAACRIICTQPRRISAMTVSERVATERGEQLGESVGYKVRLEGMKGRDTRLLFCTTGILLRRLLVDRNLKGVTHVIVDEIHERGMNEDFLLIILKDLLPRRPELRLILMSATLDAELFSSYFGGAPLIHIPEQRTESLAFVKKLLIRSEAGLGTESGEGLGSLIKWDSGYETNRTSRPGVRSIGGFTYPVRTHFLENILEMTGYRLTPYNQIDDYGQERIWKMSKQTPRKRKSQIASTVEDALRAADFEYFCPQTRESLLCWNPDSIGFNLIEYLLSYICENERPGAVLVFMTGWDDISSLKDKLQAHPILGDPNQVLLLTCHGSMASSEQKFIFEEPEDGVRKIVLTTNIAETSITINDVVFVLDCGKAKETSYDALNNTPCLLPSWISKVSAQQHLSEFVGPENTKQRRGRAGRVQPGECYHLYPRCVYDAFTEYQLPEILRTPLQSLCLQIKSLKLGSISEFLSRALQSPELLAVQNAIEYLKIIGALDENENLTVLGRYLTILPMEPKLGKMLILGAILNCLDPVLTIVAGLSVRDPFLTPLDKKDLAEAAKLQFSGDNSDHLALVRAYEGWKEAEEDLVGYDYCWKNFLSAQSMKAIDSLRIEFLQLLKDTGLIDGNATNCNSWSYDHNLVRAIICYGLYPGICSVVHNEKSFSLKTMEDGQVLLYSNSVNARESRIPYPWLVFNEKIKVNSVFLRDSTAVSDSVLLLFGGTISRGEAHGHLKMLGGYLEFFMEPAIAERYQSLRREFDELIQNKLLNPQLVLNIHHELISAVRLLVSEDRCDGKFVIGRHVVKPTKMPVVSPHPSLVSRTESGPGGDNSKSQLQTLLTRAGCAAPIYKTKQLKNNQFRATVEFNGMQIMGQPCNNKKSAEKDAAAEALQWVMGGTQTGHEYINQLSMLLKKSKREH >OMO59420 pep supercontig:CCACVL1_1.0:contig13974:17719:19267:-1 gene:CCACVL1_24837 transcript:OMO59420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-ACP thioesterase MATFSCRPILCPLRYCGDQSHKHKNRNRITPGLVDNKMNGLRACVGIHENGYISEEMIGQKIPIPGQKQLVDPYRQGLIIERGVGYRQTVVIRSYEVGPEKTATLESLLNLLQETALNHVWMSGLLSKGFGATHGMMSNNLIWVVSRMHLQLHHYPIWGEVVEMDTWVGASGKNGMRRDWLIRSQATGITYATATRSDLDMNHHVNNVKYVRWMLETIPDNFLESHQLSSIILEYRRESGSSDIVESLCQPDEDGAAAFANGFAVQHKTVDLSPGNGYTHLLHVKGETRNEEIVRGRTRWKQKLSTMPYSFINKL >OMO59421 pep supercontig:CCACVL1_1.0:contig13974:23469:27791:-1 gene:CCACVL1_24838 transcript:OMO59421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHSAKDHQTPAQSSLKLTNWWQFEREGGDRNQVK >OMO86836 pep supercontig:CCACVL1_1.0:contig09403:6998:7339:-1 gene:CCACVL1_09438 transcript:OMO86836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRNWCNSGCKTVSLGQGYHEVGAAVVDSPSSSASAAIGSGSNKLNWKVIWMKIKKEKRKMFESPAQVPYDPYTYSQNFDQGFAWDEPDSLSRSFSMRLAHPSSVFIRKAAV >OMO86835 pep supercontig:CCACVL1_1.0:contig09403:2592:4862:-1 gene:CCACVL1_09437 transcript:OMO86835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein MSTELEISTERVQKFIDDLEAQKTILSTCTQLFTALTNHFSSLQNSLSEKSQSLDAKFQSLTSTSSQTLESLSLRESSIPDREATAAVNVESQKEAALAEFQNPKTSTQLSESLKSFCRRMDSTGLMKFIVSKRKESVSLRSEISDALSEAVDPPRLVLEAVDDFVSQKIAKTGGGLTDKRWASGILVQALFPEASWKEKKDKVPEFARSTKERAVKVVENWKGQLDEDEEGVGAAEAVMFLQMVVGFELRERFEEGFLRKLVVDFASRRDMAKLAAALGFDNKMGDIIDELVKNGKEIEAIYFATEAGLTERFSPVSLLKSYVRNYKWNVANILKNGHHSAAATEESQNVELSSIKATIKCVEDHKLESEFSVNSLRKRATQLEKLKADRKRNSSASGKPQNKRGHNAGSSRGSGPSAFRPAKTAKFSNAYPPFSRRNPAQSAQHSPATRYSGPYNYGSQNAFDGPAPYGSVYGLPHSQSPAAVSQQHYSHPVDNIGAAAGYRTSSSYEGQTSYGAYEYGSAAPSAYQSSSYTQ >OMO86834 pep supercontig:CCACVL1_1.0:contig09403:507:599:1 gene:CCACVL1_09436 transcript:OMO86834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAQSFQDGKKESRRHGGPCRPVLDGPCP >OMO78870 pep supercontig:CCACVL1_1.0:contig10533:15924:20871:1 gene:CCACVL1_14052 transcript:OMO78870 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSIQGMSFEVTVVGCYNLSDKEWISRQDPYVCLEYGNAKYRTRTCTDGGKNPTFQEKFIFTLIEGLREMNVVVWNSNTLVADDYIGSGRIQLHKALSQGYDDCTWPIQSNSGRHAGEVRLILHYSYATQSQTGKISKKGTLMPEYAPSAPYTPVSPYTYPPAPAAPSPLYMATTPYAGCPPQVPAALYPPHAQAPPALYPPHSQTYPPTYYPPDGEDIAFERLGSPVNSSNGDYFLHPYKYHLFLVVGCYNLKDKEWISRQDPYVCLEYGSARYKTRTCTDGGKNPTFQEKFVFTLIEGLRELNVVVWNSNTVVADDLIGSGRIQLHKVLTEGYDDCTWPLQSKTGRHSGEVRLILHYSNAKATQPAQTGKIGKKKELSMPEYAPYTSVSPYGYPPAPSAPYIATAPYAAAPIHSKSYSTPTSDHAYPPSPYAGYPPQPPAVGYPPQAPPAGYPPTYPPAPQASTYYPPAPAGFYPPPPY >OMO78868 pep supercontig:CCACVL1_1.0:contig10533:3199:5800:1 gene:CCACVL1_14050 transcript:OMO78868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Granulin MGLQGSTMAILLLMMFTLSSALDQSIISYDQSHPDKSTTSTSGRTDEEVMALYEEWLVKHGKNYNSLGGEKENRFEIFKDNLKFIDEHNADESRSYKLGLNKFADLTNEEYRSKYLGFKKPNKVSKKSDRYAPRVGAKLPDSIDWREKGAVVGVKDQGSCGSCWAFSTIAAVEGINQIVTGDLISLSEQELVDCDTSYNEGCNGGLMDYAFEFIIKNGGIDTDEDYPYTGRDGRCDSVRKNAKVVSIDDYEDVPVNDEKALQTAVASQPVSVAIEAGGRDFQLYTSGIFSGSCGTALDHGVAAVGYGTEKGTDYWIVKNSWGAEWGESGYIRMARNLKNTKTGKCGIAMEASYPIKTGVNPPNPGPSPPSPIKPPTVCDNYYSCPESNTCCCIYEFYGMCFAWGCCPLEAASCCADHYSCCPHDYPVCNLWQGTCSMSKDNPLTVKALKRTPAKPFWAYGKH >OMO78869 pep supercontig:CCACVL1_1.0:contig10533:8430:10171:-1 gene:CCACVL1_14051 transcript:OMO78869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGLPMLNCLLQHTLRSLCSYPDSSAPSKWVYAVFWRILPRNYPPPKWDYGGSALDRSKGNKRNWILVWEDGYCDFQECERAGSGYVKGRFGADIFFKMSHEVYNYGEGLVGKIAADNSHKWVYKEAPNENDPNFISSWNMSIEPQPRAWEFQFSSGIQTIAIISVREGIIQLGSFDKIVEDLNLVISIQRKFSYLQSIPGVFAIQRPYLPIQHPYVLKPNNQTLETHETTTFCLDDKPQFVGTKRLIDERPEDSPIKSINLGWNSPQNGILGPPFWSIPPLLPPMSCSLGALLSKLPSVIPSYNAPDTSLVNNNANQRGKADNGGEVQVAETKAETSSQLEAAQEQKPSSVTANLLLQEGALVELGFGPLRD >OMO62576 pep supercontig:CCACVL1_1.0:contig13243:13037:13756:1 gene:CCACVL1_22745 transcript:OMO62576 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial ubiquitin ligase activator of nfkb 1-like isoform 1 MWAAKGSEDGKEFRPEKVEKVCCLSAAALYLLGRSSGRDASFSRLSLESISLRSWV >OMO62574 pep supercontig:CCACVL1_1.0:contig13243:11253:11477:-1 gene:CCACVL1_22743 transcript:OMO62574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MNLLNERTLKGTNFFGNYKPRSDLPSVVEKYMNKELELEKFITHEVPFSEINKAFDYMLHLHGGVKQQNENRVY >OMO62572 pep supercontig:CCACVL1_1.0:contig13243:2317:7635:1 gene:CCACVL1_22741 transcript:OMO62572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin ETIWPGIFPGGSFNDGGFELKSGQAILFKTPEGGWNGRVWGRTGCKFDRNGIGSCQTGDCGNTLKCGGSGKTPVSLAEFNLAAKDFYDVSLVDGFNLPLAIAPVNGKGNCSVAGCDSDLRQNCPSELSVKANGTGKVIACRSACDVFDTDQYCCRGSYGTPDMCHATSYSKKFKSACPTSYSYAYDDPSSLFTCSGADYIITFCSSRYTVDGFGFSL >OMO62573 pep supercontig:CCACVL1_1.0:contig13243:9877:10560:1 gene:CCACVL1_22742 transcript:OMO62573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETFVGPNKHAASDQNGVLTTITAKGLKKKESSYKGTNDYTKPSAFSGSK >OMO62575 pep supercontig:CCACVL1_1.0:contig13243:11992:12423:1 gene:CCACVL1_22744 transcript:OMO62575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRRSMTQLNIKVPPPSPIPTATGSRSAANESLSRYLEKRLQVPDLLMLPESQDRHLQEQSSPPGDEIEFHSLVLRDSSAVERMLRSAREVGAFRIWCGGIMSKEEMKALVKEAERVFGMMEERDTGFRKYMGGDKEGFRVA >OMP05917 pep supercontig:CCACVL1_1.0:contig05132:4845:5581:1 gene:CCACVL1_01792 transcript:OMP05917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKTRSSQPLRARTKQEKLLKNFGSTYMFST >OMP07855 pep supercontig:CCACVL1_1.0:contig04160:153:218:1 gene:CCACVL1_01203 transcript:OMP07855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERWLSGLKRRIANPLCELFVPR >OMP05348 pep supercontig:CCACVL1_1.0:contig05425:1708:6744:1 gene:CCACVL1_01939 transcript:OMP05348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKNRAEEENCSMNEALLLATMCIIGLPVDVHLKDGSVFSGIFHTASVEKEYGIVLKKAKLTKKGRCATNVVNSSVVETLVIRAGDLVQVVAKGVPLPFDGFSGNIACGTGEAAFEIVPSSANPPNGAKKFNKSTVDKRKSNRRRNSIQNENGFVDGFTSTKARKEHVGGKLRLNPMGNAREVMYQTTDGTDIEQGRDAFVAPVIGRQVGDNKLQLLQDEYGQKFDFPVEESAKEVQHSVSSFKPVEEGHAEMPIKPLVDGASHEAPVDGELDNQCCERPTTANIYRDDVPSGVSTSSIPVTDVSSELCQTKLASSAAIAPQQSSESNKNSKEFKLNPGAKLFSPSFVSAMSAAPPMVPTLANVPYIPGNPPVVAVANSHSEVGIGTFAPRSSAPSKFVSYGNMTTANGVSGSQFSQPIVGSRTQPLRYAAQYHPVQAGAGPAYLNPNSQAVMFGRLGQLIYVPISHDLVQGPAAISPIPARPPLTPHHVQFPKHQGTAPGQALQLCVPQPFMAGGQQPVAVPNHIPFLQPPFPANRPIQVPGSNGLFSAKLP >OMP05347 pep supercontig:CCACVL1_1.0:contig05425:590:973:-1 gene:CCACVL1_01938 transcript:OMP05347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPKSRTFRKQQKNNEIEEIETLNKWIESQKPESGTNPLSLDPLKPKSPVGRIVDPLTGAASFSRYAGARKFYELPLSKRTKNGLEEGGFKKMTDIQVASLPHALCGRDVLGAAKTGSGKTLAFVIP >OMP05349 pep supercontig:CCACVL1_1.0:contig05425:7582:8912:-1 gene:CCACVL1_01940 transcript:OMP05349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQDNKPENSPDASADIPVKRKRGRPRKHPKYLLNRRENAETLNRAENTDLPPVFEGANGNQPRQADRNYDANDAMVGQSVYGVIEAAFDAGYLLTVRVGNSDTTLRGVVFRPGHYVPVSADNDVAPNVQLVRRNEIPFPRGMNEQHVNSHRNGTAHPFNQPANQVPRPRASNLGGSKSKHVHSVATQSVSPLTSRGHLVPVVLQPANLPNGGMVANQPSLVTAQSASRGKQMSEAAHTLNSGTPNNQMPTVGDQIFPTQTQSSNQIMPKGIQSETVSHNQPPANVFQETDIKSMAVPGMRFEKLLTEVMKRVPSQPMDAQGGNMPVEDSGHSLEDDQPLSIEPLQAVQPAQSSPVLKPMENFRAGKMTELLQAVQEKTRENTASRTEEPAAGPGHNKLGGIEMHDSNKH >OMO94925 pep supercontig:CCACVL1_1.0:contig07781:2636:3470:-1 gene:CCACVL1_05691 transcript:OMO94925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 19, catalytic MRKALELALIVLAGILAGPVSVKAQSVADVVTANFFNGILNQADASCAGKNFYSRDAFLQAVNSFPQFGTADDIRREVAAFFAHVTHETGQFCYIEEINGANGDYCDETNTQYPCNPDKDYYGRGPIQLSWNFNYGPAGQSIGFDGLNSPETVATDPVISFKTAVWYWVNFVQPVISQGFGATIRAINGAIECNGGNPATVQARINYYTDYCNQLGVDPGPNLTC >OMO54331 pep supercontig:CCACVL1_1.0:contig15009:63602:64595:-1 gene:CCACVL1_27874 transcript:OMO54331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MARFMCMAFVGIIAVALMQCAAAQTVHVVGDNLGWTVPSTGASAYSTWAGNKRFVVGDTLVFNFGTNEHDVVQVPKASFDACSDDNKIGDVITTGPANMTLSATGEQYYICTIGRHCLAGQKLAITVVATADSPLPSPSPTTPTTPSPATDACAPEAGPTASTTPSGSSPAGTNAPATPNSSSSAVFASLLLSISAVVMGLIF >OMO54335 pep supercontig:CCACVL1_1.0:contig15009:87033:89513:1 gene:CCACVL1_27878 transcript:OMO54335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTRFWPSGQLKFTGIMGLFKFRSLCLCLLLVFKVCVAVNQLVGQIYPGFQASQMQWVEHDGWFLLSKNKVFAFGFYTALDAKNYVLVVSHRQTCQIIWTANRGGLLFGKSDRFVFENNGNVYLESGDKVAWSTNTTGERATSMELLDSGNLVLHGDNGRILWQSFSHPTDTLISGQEFVVGMRLKSFPRKDRSDFLEFKSGDLVLYSGFQTPQTYWSLLDEIQKTSKNFTGEVYSAGLVANSWNFYDQSKVFLWQFNFSQNSDVNVTWVAKLGSDGAIAFYNLHQQGKPIAEATKLPQNPCNLPETCPPLQVCYFDTVCQCPKPLADQNDCSPPIVSTCDSVDVDLLYIGEKLDYSPLRFVKPNMNTDIDACKKACLGNCSCSALFFENSTGNCFLFDHIAGLRRAELGSGGFVLHVKQNPAKEGSTNEARHVLVVVMIVIATIVVIAGLLYVGFCYYRKQKRLLEFAQENLEEDSFFGLDSFSGMPVRYTYGELCKATKNFSTKVGQGGFGSVYKGVMPDGSQLAVKKLEGVGQGKKEFRAEVRIIGSIHHVHLVKLKGFCAEGVHRLLVYEFMGNGSLDKWIFKNSEESNILDWDTRFNIALGTAKGLAYLHEECESKIVHCDIKPENVLLDDNFNAKVSDFGLAKLMSREESLVYTTLRGTRGYLAPEWITNNPISERSDVYSYGMVLLEIIGGRKSYDPGEVSEKAHLPSFAFKKLEEGDVNEILDPKLDINSKDERFLTAVKVALWCIQEEMRLRPPMTKVVQMLEGLCDVPEPPISSIPGLRAYSGFLKCNSDWGTSSERTEFNSDAHLSDIRLSGPR >OMO54332 pep supercontig:CCACVL1_1.0:contig15009:67066:67845:-1 gene:CCACVL1_27875 transcript:OMO54332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MARFMCMAFVGIIAVALMQCAAAQTVHVVGDNLGWTVPSTGASAYSTWAANKRFVVGDILMFNFRTNEHDVVQVPKASFDACSDDNEIGDVITTGPANMTLSATGEQYYICTIGRHCLAGQKLAITVVATADSPLPSPSPTTPTTPSPATDACAPEAGPTASTTPSGSSPAGTNAPATPNSSSSAVFASFLLSISALVMGLIF >OMO54338 pep supercontig:CCACVL1_1.0:contig15009:98923:99360:-1 gene:CCACVL1_27881 transcript:OMO54338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSKTCPNNGLLKVAITVIFIFTAVLTFLPLSAVGTLNSGESDERKMVLGSKPPGCVNKCLSCRPCMATLVIPSHQSWKKNTFRSAKYNADKDDSYYLLSWKCKCGDKLFQP >OMO54334 pep supercontig:CCACVL1_1.0:contig15009:74176:77396:-1 gene:CCACVL1_27877 transcript:OMO54334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG DNA binding protein MESKEEVISVELPAPASWKKLFLPKRVGSPRKTEIMFVAPTGEEINNKKQLEQYLKSHPGNPPVTEFDWGTGETPRRSARIIEKAKATPTPEKEPAKKRGRKSGSGKKENNETDAVPEKAEGEKEGEKQDAPASEKEADAKMEDAGQEEAGKDVKIPETAEDDKKEETAAAEQTPTPMEVLEKPAEASCTDGTQTENEKVEAPAENVPQAQPEKENGTCEKPETVTLEANGGVEKPKQDEAIPVLEGEAKEKVAQEVAGKSNVEVEEKGKAADGEVVENGNGNVKPSAQTDAQQPPAPAPAE >OMO54336 pep supercontig:CCACVL1_1.0:contig15009:91882:93171:-1 gene:CCACVL1_27879 transcript:OMO54336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MLLKTSSTPILNSWLPHSKDGSSPEPEFSSTLQRTRSVSFCSSPSSIDDHKQKLTQTLADINIHNDKPKPRRKKKGKSIIPTSQSLDKRVKQESDLQKESEPNSCSIRRLLSSSGLGENMVDENGENSSTVMQTLVMGGGVGNGGGKICGGGGGSDGGDDGGGGSGCFENDSTDIYYQMMIEANPGNPLLLGNYAKFLKEIKGDFARAEEYCGRAILANPNDGNVLSLYADLIWENQKDAHRAKSYFDQAVKTAPDDCFVLASYAKFLWDAEEVEEEEEEKELEEKGQELERSHIPTSPEEFFIRPPHHPPITAAS >OMO54339 pep supercontig:CCACVL1_1.0:contig15009:101112:101912:-1 gene:CCACVL1_27882 transcript:OMO54339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLARLALRNLQQRVSSSSSILGERSALTGVQSQSKNEEILKRFMATTAAKEGKEVTVSEGDKKSKLFPRRKNKRSLLNWNWRNKQQDYAPPLFEFFPSGLGNALLQATENINKLFDSLNFTPSQLMARVKEQDDCYKLRYDVPGLTKEDVKITIDDGVLNIKREHKEEEEEGSDDEHWSARSYGYYNTSLLLPDDAKVDEIKAELKDGVLSIVIPRTEPPKKDVKEVQIH >OMO54329 pep supercontig:CCACVL1_1.0:contig15009:23278:23346:1 gene:CCACVL1_27870 transcript:OMO54329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNAPSIDRYSGISQSLTDTM >OMO54337 pep supercontig:CCACVL1_1.0:contig15009:96688:97640:-1 gene:CCACVL1_27880 transcript:OMO54337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSFSASSCSPRHKLLNYFNSRSFNSDSFPPLIFSPLLPTGPSLSVRLRSSCNTRHPRCLSAGPGPPSSPDPDSEPPRLSGFAGNLSRVQDRVQIFFAVLFWMSLFFWSSVWDGRNSNRPNKGSRFRR >OMO54340 pep supercontig:CCACVL1_1.0:contig15009:103876:104160:-1 gene:CCACVL1_27883 transcript:OMO54340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSGCLGCYKSPGYRPVANETSKGLNIQGQTVKKASISEDFWTTSTCDMDNSAVQSQGSISSISTSNQTLDPHGTAGSANAPTEFVNHGKFSDLK >OMO54330 pep supercontig:CCACVL1_1.0:contig15009:58721:59761:1 gene:CCACVL1_27873 transcript:OMO54330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGLVVDNEYIYGEEKHLTVLKTSLFFANDGYTVYDCNGQLIFRVDSYGPDPRDKGEVVLMDAHGRCLLTVRKKRPSLHHRWEGYLGERSEGQKPVFSVKRSSIIGRCAMTVEVFNNPGEEYLIEGNFGQRSCTIFNAGKESVAEIKRKVDASTNVVLGKDVFLLSLKPGFDGAFAMGLVLVLDQINGDDYVENDEADMSPTAQDNVL >OMO54333 pep supercontig:CCACVL1_1.0:contig15009:71666:73731:-1 gene:CCACVL1_27876 transcript:OMO54333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MIHFSSFTKKVSASHSVTWTYGVNYGRIADNLPPPEGVVTLLKAAKINHIRIYDADHSVLQAFKGSGIEIIVGLPNEFLRDISIGEDRAMNWVKENVEAFLPGTKIRGIAVGNEILGGTTLELWEVLLPAAKNVYSALYRLGLHKTVEVSSPHSEAVFANSYPPSACIFKPDVLPYMKPLLDFFSQINSAFYINAYPFLAYKFDPEHIDINYALFKDNRGIYDAKSKLHYDNMFEAQVDAAYFALEKAGFPKMEVIVSETGWASKGDSDEAGATVKNAKTYNKNLRKRLAKKKGTPYRPHMVVKAYIFALFNENLKPGPTSERNFGLFKPDGSKAYDIGFTGLKSSAATSSLLSFKDISAHGWLRYSYSWVFMACAVVLLQSLGL >OMO85630 pep supercontig:CCACVL1_1.0:contig09597:102239:102514:1 gene:CCACVL1_10077 transcript:OMO85630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEITSPSSSYALVADTPEGDPPEGHSLVADTPEGDTPEGHHVLDQTPRWRRHPHPIRPVVPSTNVNDHQLEMVSSPSDHKPISTELIDT >OMO85625 pep supercontig:CCACVL1_1.0:contig09597:18136:31671:1 gene:CCACVL1_10071 transcript:OMO85625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNKDRYGDWDESNKKIFISHIQAKVKAQI >OMO85631 pep supercontig:CCACVL1_1.0:contig09597:103801:103911:1 gene:CCACVL1_10078 transcript:OMO85631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRRKRETSAASEPTTIGVLTTPDYATCSYHAIPF >OMO85627 pep supercontig:CCACVL1_1.0:contig09597:44367:47854:-1 gene:CCACVL1_10073 transcript:OMO85627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIRLFFILLLQHLVSPFANADSASFYPHRHHHSLQKDKTALLEFKRSIFDPNSTLSNWEEAVFVCNFTGIMCDKHHNHVIQINLTGSKLVGKISPFISNLTHLRYLILDDNHFIGTIPPELSSLQHLQTLSLMKNNLTGPIPDTFSLLTNLTFFSVRENSLTGSLPPTFFSNCTKLGNVDLSYNFFAGQIPAEIGNCQGLWTLNLYNNQFTGQLPASLTNVTLYNLDVEYNLLSGELPLYLVGRQPTLMFLHLSYNNMTSHDSNTNLYPFFAALRNCTDLEELELAGMGLGGTLPSSIGHPNLMRMELQENRIFGSIPPEIGNLSNLNVLNLTSNLLNGTIPEEIGRLSRLEQLSLSHNFFNMRIPAALAKLLHLGAIPPKLLKCTKLNKLDLSHNKLTGRIPQEIAELREIRIFINLSHNLLEGPLPIELSKLENVLAMDLSSNNLSGNIFPQISSCIAVEETPSTRPTMLDAADDLDRLKRYLSGDTTATFASSLGISSSTLDDD >OMO85623 pep supercontig:CCACVL1_1.0:contig09597:11928:13700:-1 gene:CCACVL1_10069 transcript:OMO85623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MNPQNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGPGDKPMIVVQYKGEEKQFSPEEISSMVLTKMKEVAEAFLGHTVKNAVVTVPAYFNDSQRQATKDAGAIAGLNVMRIINEPTAAAIAYGLDKKASRTAGGEKNVLIFDLGGGTFDVSLLTIEEGVFEVKATAGDTHLGGEDFDNRLVNHFVAEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTTQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDSKIDKSQVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGIETAGGVMTVLIPRNTTIPTKKEQVFSTYADNQTSVLIQVYEGERARTKDNNLLGTFELKGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNQITITNDKGRLSKEDIERMVQEAEKYKAEDEQVKKKVEAKNALENYAYNMRNTVKDEKLAGKLSPADKQKIEKAIDETIEWLDRNQLAEVDELEDKLKELEGLCNPIISNMYQGGAAAAGGAEMPGGGGYASSGGSGAGPKIEEVD >OMO85624 pep supercontig:CCACVL1_1.0:contig09597:14755:17669:-1 gene:CCACVL1_10070 transcript:OMO85624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSVILREWFDRVDSEKTGSITAIQLKVQQAFSDLERNRGFLATDDVHEALNKIGFSLDSPAFYTACELPTVEYEVDYTSQTQLQSSVYLCIFG >OMO85629 pep supercontig:CCACVL1_1.0:contig09597:96026:97154:1 gene:CCACVL1_10076 transcript:OMO85629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSMIMMITSPLLTSLALLALLATAANARPLSSETSGSKLKMMITMINNMERSSTSTETSWEITGNDMSESSLIKGKVAPSPPPPKSSPPGGQGNFNGGSSSSASPKPYLSTLTSHREDPEIATISKAISFNTSRYDRVAIAAFCRWEGPQIATASKSSSSKKSTYDRAAYKTKITTFCSWEDPKIAAVSEASSFNTSRCDRAAHATTIAAFCSREDPNIATTVSESSSFNTSGFDRAA >OMO85628 pep supercontig:CCACVL1_1.0:contig09597:51531:57474:-1 gene:CCACVL1_10074 transcript:OMO85628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIRLPFILLLQYLVSVTGNGDSGYHHSLQKDKAALLEFKRSIFDPMSKLSNWEEGVHVCNFTGIRCDKVHHRVMHIDLTGSGLVGKISPFISNLTRLQHLILDDNHFFGTIPPQLSSLSHLQALSLDRNNISGPIPDTFSLLTNLTFFSVRENSLTGSLPPSYFSNCTKLGNVDLSYNSFAGQIPAEIGNCQGLWSLNLYNNQFTGQLPASLTNVTLYNLDVEYNLLSGELPLYLVGKQPTFMFLHLSYNKMTSHDSNTNLYPFFAALRNCTELEELELAGMGLGGTLPSSIGHPNLMRIELQENRIFGSIPPEIGNLSNLNVLKLTSNLLNGTVPEDIGRLSRLEQLSLSHNFFNMTIPAALAKLLHLGLLDLSNNNFCGEIPASLGDLLRLYYLLLNNNLLSGTIPPKLLNCTYLYKLDLSNNELTGRIPSEIGNLSDLTMLNTASNFLNGTIPQEISQLSGLEQLDLSNNNFSGEIPSGLGDSLLLKFLFLNNNLLSGTIPPKLLRCTNLHSLDLSCNKLTGRIPPEIAELREINIFLNLSHNLLEGPLPIELSKLERVQELDLSSNKFRGNIFPQISSCTSVEKINFSNNALQGQLPDSIGDLGNLKSFDASSNNISGIIPQSLSKLNLKFLNLSFNNFEGKIPSGGIFNSATKMSFLGNPRLGAPASSTPTCSQKKHWFRPLMFSIIFVIVIAVSMLLLAVCCCTGIRRVKRMVPSSNIEASGQLPTPETMHHFPRITYQELSQGTRGFDDRQLIGTGSSNLSLIQRVSICSDIAEGMAYLHFNSPVRVIHCDLKPSNVLLNDDMTALVSDFGTARLVLTTGANNGGEAIDNMGNSTENMLFGSIGYIAPECGFGSNISVTRDVYSFGVVVLEMVTRKRPTDDMFMGGLNLHKWVESHYHEGRVEKVVDCSLIKAWLDQSRKIKMKWEVAIGELAVLGVLCTQDNPSARPTMLDAADDLSHLKRYLNGATATFASSLRISHLDAYHHSLQNNKAALLEFKSSIFDPKSTLSNWEEGVHVCNFTGITCDKRHQTVVQINLTGSGILGKISPFISNLTHLRYLILDENHFFGTIPPELSSLQHLQTLSLFQNNLTGPIPDTFSLLTNLAFFSVSENNLAGTLPPTLFSNCTKLGQLPASLTNVTLFNLDVEYNLLSGELPFYLVGKQPTLMYLHLSYNNMTSHDSNTNLYPFFAALRNCTELEELEVAGMGLGGTLPSSISHPNLMRLELQENRISGSIPQEIGKLPNLNVLNLTSNLLNGKIPEQISGLSRLEQLSLSYNFFNMTIPGALAKLLQLANRDKYRNFRFISRIFFCRSK >OMO85622 pep supercontig:CCACVL1_1.0:contig09597:8160:8417:-1 gene:CCACVL1_10068 transcript:OMO85622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMRHAGLNRKVGLQAKSDIFVSSKAGHGGKGGGTNIAHLPGRQPKNSASSLGRPPIFLLSAAAIFHLNLGLILAFPSLLLKLF >OMO85626 pep supercontig:CCACVL1_1.0:contig09597:39386:41167:1 gene:CCACVL1_10072 transcript:OMO85626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MNPQNTVFDAKRLIGRRFSDPSVQDDMKHWPFKVIAGPGDKPMIVVNYKGEEKQFAAEEISSMVLTKMKEVAEAYLSQTVKNAVITVPAYFNDSQRQATKDAGAIAGLNVMRIINEPTAAAIAYGLDKKASRSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISSNARALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDSKIDKSQVDDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGIETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELTGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEEIERMVQEAEKYKSEDEEVKKKVEAKNSLENYAYNMRNTIKDEKFAGKLDPADKQKIEKAIDETVEWLDGNQLAEVDEFEDKLKELEGLCNPIIAKMYQGGAGDVPMGGAEMPNGGYGKASSGGAGAGAGPKIEEVD >OMO98700 pep supercontig:CCACVL1_1.0:contig07056:17026:18975:-1 gene:CCACVL1_04102 transcript:OMO98700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLLQSYSSAEEEEEEAAAQHGQIHYRGSSDDEDDGDVHVKNHSAPPKRYDSSVFDFPDPSHKSALPSAFDAFSQCSFKFLSSSSSFVCYCSGIDLILLSRWFLEISGPPEFLTHAVVQVTETRDLDPQQGRRGGQRDFKDKKAKPAGAVVEAKAQLVGIHERVRSDIEGIKPPTLVPSITQDGTKRVASATNPNAEDAAELLRMCLQCGIPKTYSSGRGMVCPVCGDRPVADPDKETKKKGSTMKDKEKSKRMKGQSSHATWKSETEMQLRQQFD >OMO98699 pep supercontig:CCACVL1_1.0:contig07056:13091:15863:-1 gene:CCACVL1_04101 transcript:OMO98699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAEIYDGIRAQFPLTFGKQQKSQAPLEAIHSTTRRSTTVAAGAAPSSTSKINNNVQTNEDKLSLSSSSKASLDSLRDTKSSNPNPNATLIGPHHPPPGPAADVDDDDDGVMVGPPPPPPSSGEVEVNDDDAMIGPPRPPVGPSSDSDEEVEDEDENRYRIPMSNEIILKGHTKIVSALAIDHSGSRVLSGSYDYTVRMFDFQGMNSRLQSFRQLEPFEGHQIRNLSWSPTSDRFLCVTGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPKTKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRIPVRTCAWDREGKCIAGGIGDESIQIWNLKPGWGSRPDIYIEKSHSDDITALEFSSDGRILLSRSFDGSLKVWDLRQIKAPLKVFGDLPNHYAQTNIAFSPDEQLFLTGTSVEKESTVGGLLCFFDRSKLELVQRVGISPTLSVVQCAWHPRLNQIFATAGDKSQGGTHVLYDPTLSERGALVCVARAPRKKSVDDFEAPPVIHNPHALPLFRDQPSRKRQREKILKDPIKSHKPELPITGPGYGGRVGTTKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADVAAKDPKFIAPAYAETQPEPVFAKSDSEDEEK >OMO98698 pep supercontig:CCACVL1_1.0:contig07056:743:11318:1 gene:CCACVL1_04100 transcript:OMO98698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKEEVEGHEDPAPSPYWDHLSEEEAVKMNGEVKHTVHSGNLGPPRLPPLGHRRSHSEVPITGNRHENGFQRLKTQMQKAWRWGGNSRDDKYRSSFNPEVLANQKRQWYQLHSKTMDQIKYEEPKSIFEHFIIVGIHPGAYLGPVEEAFAKRKKWEMEMTKSGFVDLKMLQHRGPPLPTFESQILFRYPPGKRLPMRLKDLAAFCFPGGVKARLLERTPSFSDLNELLYGQEHLGRDDLAFIFSLKVADNATLYGVCLHVPELVQRQPGILGGTSPLSRSSGASSRFMVSAPRCYCLLTRVPFFELHYEMLNSIIAQERLNRITEFVGDMSLSPTDYVPSVTKIDEQMNDKSNCTNGEYVNEWMSSAIRVNSAVALTAAAAGISADDEVSSASLKISSPQSPDSVTASEASDLGHVREIEKDGRKNVLYFDDNISEASGYLSDVSERIYGNYESGQGSPEIGSFLGSRSRPLERLGSCQSLFSPARSVTSEDEDDDDDLFMNSEKDFGDDLIFEWARENKNDLLQIVCGYHTLSIPPRGSQIIFQPLEHLQAIEYVRPPVSVLGMDESYLHSFEAVQVNAMLAAAEEALALSVWTTATMCRVLSLDSILAVVAGVLLEKQIVVICPNLGVLSAVVLSLVPLIRPFRWQSLLLPVLPTRMLDFLDAPVPFIVGVQQKPSDLKLKTSNLVQVNVQKNQVKTCHLPTLPRHKELVYELGSIHARLSYEGSIAKKHPTYRCNEVQAEAATQFLTVMGDYLESLCANLRSHTITSVQSNHDRVSLLLKDSYIDSFPSKDRPFVKLLVDTQLFTVLSDSRLSSFENEH >OMP02510 pep supercontig:CCACVL1_1.0:contig06232:1703:6751:1 gene:CCACVL1_02778 transcript:OMP02510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase/epoxide hydrolase MAVMATTRSKLCRFLTRTLRPGFNWSTRSDPFECRCSFSSAAAVEAEREPMGVKEYDDYRRSLYGEITHKGLLVDAAGTLLVPSRPMAQIYREIGEKYGVKYSEAEILNRYRRAYAQPWGGSRLRYVNDGRPFWQYIVSFSTGCSDSQYFEELYNYYTTDKAWHLCDPEAEKVFMALRKAGVKVAVVSNFDTRLRSVLRALNCDHWFDAVAVSAEVEAEKPNPTIFLKACELLGIKPEDAVHVGDDRRNDIWGARDAGCDAWLWGNDVYSFKEVAQRIGVEV >OMO60010 pep supercontig:CCACVL1_1.0:contig13821:33285:36220:-1 gene:CCACVL1_24469 transcript:OMO60010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVSHNISSFSSSSMIPVFVAFLLVASSLGPVLSLPILDHDSGHHHHHRQQQPPVATNQTLRSAKEIHKLRRINAYLNKINKPSSPDGDIIDCVQSHLQPAFDHPKLKRQKPLDPPERPKGHNHTETVAESFQLWTDSGESCPEGTVPIRRTTEKDILRASSVRRFGRRRNVRRDSNGNGHEHAVVFVNGDQYYGAKASLNVWAPRVTNEYEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFIQTNNKIAIGAAISPRSTYNGRQFDIGLMVWKDPKHGHWWLEFGSGLLVGYWPDFLFSHLRSHANMIQFGGEIVNTRSSGFHTSTQMGSGHFAEEGFGKAAYFRNLQTVDWDNNLLPLTNLRLLADHSNCYDIRQGRNNVWGTYFYYGGPGRNVRCP >OMO60011 pep supercontig:CCACVL1_1.0:contig13821:42173:43274:1 gene:CCACVL1_24470 transcript:OMO60011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLRPSSFPFCAVIAPAAGNSIAVLQQMEGPIDPAELVEILQRTVEEQGSAFGREEEQMRARIQEAERLRAIEKEEAKLRADRQLREEQDAAYFAALRIDQEKERLRNARAQKPGDASIRQMPSPTGKQIGKTRLNSSTREPQHTQGTQTTQILIRFPNGERREQSFASTDTILSVYRYIDSLGLPGLGNYRLISSFPRKIYGVDQMGMTLKDAGLLPRASLFLELL >OMO60009 pep supercontig:CCACVL1_1.0:contig13821:15581:20625:1 gene:CCACVL1_24468 transcript:OMO60009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQRPKLSDNNHNRFSDLPESVILHIFSFMDTIDVVRASAVATNWSLAKNISWDKNPSFLQATTIFTWVWDDDFVPNEEFADHVLKILGGVCHAEVLNLGMCILKYVYPAVAKPECFTRFYNLKALAVSIGIVECYILPLIYLMKCAPNLERLSMLIDEYEKPTSDYILEIPDEAIECHLKRVKLIDVGYDYDNELKLIRFFLENGHVLEEMSIISKNRLEPESKREYIEEVMRFPRSSSYVTVTFSEPKRAGWDELDSSTHSPSASLLHLQNCNNSNDGSPLRRSPLFRTQMMAPAPPPSFISNGNARRLLLDPAIHEEFTRLKNLVEEKDKKVKELQENIAAVSFTPQSKIGKMLMAKCRTLQEENEEIGTQAEEGKIHELAMKLALQKSQNAELRSQFEALYNQMEGLTNDVERSNETVYILQEKLEERENEIKRLKLELQQKTQVKEDEMVTDVDVAIDTSKVKDEMVTDETENSEQIE >OMO60008 pep supercontig:CCACVL1_1.0:contig13821:5455:14858:-1 gene:CCACVL1_24467 transcript:OMO60008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENGVEENLKYLSSHEEENKNPNPNLKGEEEEEFEEGEIAGADDTTSSSSKKAIVEQPHPLEHSWTFCNGFYHDPDLMLDGWSCVTDPDQNEQCANVNHNGEEHCSSPQVDGIDDDNARMRNVDDESHSAGEFVFSNTMLCNGDGAVSLSVNPDQNEQCANVNHNDEEHWSSPQVDGTDADNARIRNVDDESRCAGQTEGACDQLPENPQPPSKWYAASENTLIDLYFSGNTTVDSAADTTMSLETDNSESFQFPSNGIDDSYEMEDGEWIPKENHGLDDSSETVPYEGNENQANTERDRVVGTYGYMSPEYAMQGQFSEKSHVFSFGVLLLEIVSGRKNTSFYDNQHCCSLLGYLIPIHLQSTSYRFKSSFMQFEVVFLGFIVSEEGLKPDSEKVRAIAEWPEPKSVKEKGEFDWTHAARKAFERVKGLLTEAPVLALPDFDKLFVVECDASHTGIGAVLSQEGRPVEFFSEKLTDSRRRYSTYDLEFYALVRAVRHWQHYLAYREFVVYSDHQALKRKALFLSVLSTQITGFEELKQQYPIDPYFSKMRRDVERFVKRCPAYLLGKGGVQNTGLYTPLPEPDAPWIHLSMDFILGLPKTAKKFDSIFVVVDRFSKMAHFIPCFKTSDAVHIAELFFREVVRLHGVLTSIVSDRDVKFMEHFWRTLWRKFGTELKYSSTCHPQTDGQTEVVNRSLGNLLRCLVRNNPKTWDSVIPQVEFAYNNLVNRSIKKTPFEAAYELKPQHVLDLVPLPQEARVSDKGEEFADHIRKVHEEVKAVLKESNAAYSSEANKHRRQQDFEEDLYTFDGIDDGTVFSIEEQAQQLPAAKSDVIEDVLDVKEVRSGRGNMYKRFLARWLGKPATESTWIAEEELKQVDPEIYEEFIKAYSSEPSLFQTWGE >OMO97879 pep supercontig:CCACVL1_1.0:contig07190:16214:23758:1 gene:CCACVL1_04415 transcript:OMO97879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MFVFGSSLVDNGNNNFLPNSTAKADFLPYGIDFPHGPSGRFTNGKNVIDILCRLLKLPLLPIFSDPSTKGCKVVHGVNFASASSGILDDTGFVSRQVITLNQQIKNFEEVTVPELEKETGNNGSREELLSNYLFVVGTGGNDYSFNYFLRNPNVSLQAFTANLTNSLSLQLKKLYSLGARKFVLMSINPLGCYPMVKPTSEGKCIEALNQAATLFNNGLKSLIDVSNTDMPSSNLVFVNSYKIMEDIIKNPTSKGFRDADNPCCEVVPTAVFRGSGVLCKKDGNTCVDRNAHVFFDGLHPTEAVNIQIATKAFTSYLQTEVYPINVNQLAKL >OMO97881 pep supercontig:CCACVL1_1.0:contig07190:30341:30409:1 gene:CCACVL1_04418 transcript:OMO97881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAKDKIEGRRTKPVSDLQRS >OMO97883 pep supercontig:CCACVL1_1.0:contig07190:37734:38264:-1 gene:CCACVL1_04420 transcript:OMO97883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLGLNAVTSLTSIIKTYGLDGIDIDYEHFQADPETFAECIGLLIKTLKKSKVISFASIAPYADDEVQRQYIALWKKYRNIIDYVNFQFYAYDKDDSKANGFKHEKQSQEILAAH >OMO97880 pep supercontig:CCACVL1_1.0:contig07190:27960:28609:-1 gene:CCACVL1_04416 transcript:OMO97880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLVVQLGLEVLLNSVKRRFSIFLGFSAFLTKTSDLGAAIQLIQRTLHQGQ >OMO97882 pep supercontig:CCACVL1_1.0:contig07190:35607:36347:-1 gene:CCACVL1_04419 transcript:OMO97882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVDSSRKKARVQGLSSSIFQEIREL >OMO59360 pep supercontig:CCACVL1_1.0:contig14004:76430:79657:-1 gene:CCACVL1_24897 transcript:OMO59360 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin family protein RMAAGHIFPLLIWGSSVTVTDKKQRKKPHTEEKLVPLLQLVAFLLRTTMAICSIDFGKPVDQADENGLVNGALWKFQETDLFRL >OMO59350 pep supercontig:CCACVL1_1.0:contig14004:954:2665:-1 gene:CCACVL1_24886 transcript:OMO59350 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Protein bem46 MGGVTSSMAAKFAFFPPNPPSYKLITDELTGLLLLSPFPHRENVEVLKLPTRRGTEIVAIYIRHPMATSTLLYSHGNAADLGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEESYGTKQEDIILYGQSVGSGPTLDLAARLPQLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVNCPVLVIHVSFYHLDTLS >OMO59351 pep supercontig:CCACVL1_1.0:contig14004:8778:12009:-1 gene:CCACVL1_24888 transcript:OMO59351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPQKTTPSSLQGSMNTPLNPPTATTPSAQSLLNPAAAQALLSNPGAAAQALLNSAAGINQPLHSNPGANQPLHTNPGGVNQPLHSNPGVNQPLYSNPGANQPLHSTPGGVGQQLTTNPGAGQTLTHPHIMNNPVTNHQGFLNKPSNALALMKSPSASHALIRSDRGGMLSMSDDNVMLKQIMTTHAPDGREVDVRPLLYLVEDILNRATHHVDYLIKGNLAQAELEEKAHQANYIAMIEALTYTIDRIACELSYKALGGSDAHGTTTAIFNLLSSYAWDAKLVLALSAFALNYGEFWLLAQIYSTNQLAKSMAILKQLPSLLEHTAPLKPRFDALNNLIRTMMDVTRCVVEFKELPTMYITQDVPALQTAMTHIPTAVYWTIRSMVACATQITSLTSMGHEFGISTTESWELSTLAHKLKNIHEHLRQQLNLCYLYIDERKEIETYQLLLNLFDPNVMHIDNMKVLKALIYARDDKLPLIDGSTKRQVGLDVLRRKNVLLLISSLDFSADELSILEQIYNESRVHATRLESQYEVVWIPVVDRTVVPWNDETQTKFENLRSTMPWYSVSDFRIIEKPVIKFIKEIWHFRNKPILVVLDPQGKVVCPNAIHMMWIWGSNAFPFTSLREEALWREETWRLELLVDGIDPLILNWIKEGKYIFLFGGDDVEWVRKFATTARSVATAARVPLEMAYVGKSNKREQVRKVLATINVEKLSYAWQDMAMVWFFWTRLESMLFSKIQLGRADDHDPMMQQIKKLLSYDREGGWAVFSNGSNVVVNGHSSTVVPALGGYDEWKVNVAEKGFDMAFKEYHDKIHDVAHPCCRFEFPTTTRIPENMRCPECRRIMDKYTTFLCCHDDQGMPGSLF >OMO59357 pep supercontig:CCACVL1_1.0:contig14004:45136:48878:-1 gene:CCACVL1_24894 transcript:OMO59357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSTMGLPKAETIMFHSPLQQSKTKSDDMHRLIVSSGKTFRFFKCGTNKEIEWTKLEYPLKSPKQDIAGCCNGLLLLVERGPGEECLILWNPSTRESQKIPFPSFLSNHVVLGDFGFGYDQSTDDLKVIILEYNDFVHII >OMO59354 pep supercontig:CCACVL1_1.0:contig14004:29488:32207:-1 gene:CCACVL1_24891 transcript:OMO59354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMLTPAKKMLQPIAGDQKLKFSTSDDTVMLKQIQAVHIPDGRDINVRPLLSIVEDIFNRADSIVTPSMQAHAEALQDQTYQNNVTEMIEALSFLIDRISSEIAFKCTETGEAHATTMSILNMVSSYPWDVKLVIALSAFAVNYGEFWLLAQSYTSNQLAKNLAILKQVPEILQHTSMLKSRFDTIKSLIRAMLDIAICIVEFKELPPKYIASDFSAMSSAMDHIPVAIYWTIRSMLTSACQITGLSGFGNEYLLSTTESWELSTLVHKLNNMHSHLRNLLASCRKQIDERKFMEAYQNLLYLFETAQIENMKVLKALLNPKNDPLPLFDGATKRRVNLDVLRRRNVLLLISDLDILPEKIAILEQIYNESRTQPSRLESQYEFVWLPVLDPTVPLSETSQAKFERLRSMMTWYTLNHPSMIDRAVFKFIREVWHFEKKPLLVVLDPQGQVVCPNALHMMWIWGSLAFPFTTPREEALWKAETWRLELLVGGIDPVIQNWITEGRFIFLYGGEDIEWIRKFTSSVKAFSRASGLSVEMVYVGKSNPKERVRRNMATIIAEKLSYCLPDLTAVWYFWIRIESMWYSKHQLGKTDDNDPITREIMTLLSYDGSAGGWALLSRGTAEMTKAKGSAFLSCLSDYTLWAEEMREKGLVPTIHDYFLKHPAPHHCNRLVLPGFAGRIPERVSCFDCGRIMEKYILYQCCDE >OMO59355 pep supercontig:CCACVL1_1.0:contig14004:34874:37337:1 gene:CCACVL1_24892 transcript:OMO59355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPKSSVDVGEQCKEGPDFFSFYAREIANLLSEDEDILCNPNASELSQGKSGVANGKGAMDCIRKEAASLFENSIGATLSDFKKGRLRILLRQSANDLSKEVDEMLGPVLSMCQLKHNLENNILPSAESVRKDAKVANNKAKMSSSSSSNSITGNCSPMNASSCEEMDEDVQFLLKNADKKEVKEIIKKYSDDLSAKLLHMEQKLEDTLNIIMSKCRPMTVNEKRRLQKLIQKLPQNNLARVVEIIQRGRPAGNPCGKEIFVNLEKELKRPKSLHGRNVVKQHMVDIPSKTMTTVPQAFLSKTIQ >OMO59358 pep supercontig:CCACVL1_1.0:contig14004:72153:72503:1 gene:CCACVL1_24895 transcript:OMO59358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MVLPVRAEFPGTAVEYVQEIQLPPIMQQITNYDVDSSAVIYDDVGMGRAVEEESALGFERTRYGMVPATESSIKRMLKNVINVEQGKDCMVCLEQLQVGSYASQMPCSHDFHVDCI >OMO59353 pep supercontig:CCACVL1_1.0:contig14004:27750:28790:1 gene:CCACVL1_24890 transcript:OMO59353 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAKTTENHVLEINLISAQGLVKSSVKPHRSMKTYAVAWVDSSTKLRTRVDRLGGPNPTWNDKFLFKVSSDFLFSKTATVSIEIYALGIFRDRLIGSARIFVSNFLPESAAASASMKTPAFVANLIRSPSGEFFGTLNVGGMVLDGSGFQQAFRKVSGIDYRDLSGKKKKKHHSGARKSAASVSDFTESVPSDYGDHQSEAGDCDCDSSTSSLSLEVLRELIMEGKKVNEEEDCLEKTEPLFEEFEEVKEVIYLETVDSVPGKNTEEMVFDNEQRLVQMEKDVKITMEENKKLRERVEMLMGEVKEKSTAISDLTGRVKNLEKKMSRRNKQRKAYHGKPALQAKPCL >OMO59352 pep supercontig:CCACVL1_1.0:contig14004:22456:23868:1 gene:CCACVL1_24889 transcript:OMO59352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAKSGHLLRKKYLQKKNSEISDRFIPMRSAMNFDFARYMLTGGKSIKEAEDSPSAYQRILAEALDMDRTRILAFKKKPSKPVEFYPSEDPSTSVNPSRSKPPRHIPQRPDRTLDAPEIVGDFYLNVLDWGCNNVLSIALGNCVYLWDASDSSISALVTVDDEIGPVTSVSWAPDGRQLAIGLNNSELELWDPVSNRKLQTLRGHSGRVGSLAWKNDHILTTGGLDGNIVNNDVRIESHVVGTYRGHRYEVCGLKWSNSGQQLASGGSDSLVHIWDWSMASSNSPRQWLHRLEDHTAAVKAISWCPFQSNLLATGGGAADGTIKFWNSHTGACLNSVETGSQISALLWSKNERELLSSHGLPGNQLTLWKYPSLIKMAELFSHTSTVLHMAQSPNGCTVASAEEDETLKLWNVFGVPKAPRERQSKPVSRLNFIRVAP >OMO59359 pep supercontig:CCACVL1_1.0:contig14004:74712:75926:-1 gene:CCACVL1_24896 transcript:OMO59359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPLKSIFRFRCLSKSWQGFLTSPCLADMHLNDHQTKTKTKSQVLIVSSGNSFRLIKEIESPTKPDFPLQLEFTEERDVLGSCNGLLLVAVHGEDKGMKLWNLTTGECQKLPPSCPSSNDVKGTFGFGYDKSTNDFKVITFGYVDDSNYLLDDIERVVQIYSLRSNTWKRIKGFPLSISFTDQTKSGTLVDGVIYWTVKSPSLGKCVLGFNLKSEKFEKSRLPNDVYGQGDSLLGLWVIEGCLSLAENHNGAIDIWKLERDENSTWQSWIKITLIMNLKELECRKCWLPHCIMNNGEILLTYFNDWVFPFYDWNFLRQDDSNPMDFHQTIRIVQTEGFQLYDPVQGRIRRLDVGDMKQCRQAITYVKSPVSPKVVGNESNPKLQLEAKEFIDLYGKDWSQTF >OMO59356 pep supercontig:CCACVL1_1.0:contig14004:38689:38856:-1 gene:CCACVL1_24893 transcript:OMO59356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPFKSILRFRCLSKSWQDVLTSSRFSIQSKTKSDDMQRIIVSSGETFGLNFP >OMP10353 pep supercontig:CCACVL1_1.0:contig02582:989:1087:-1 gene:CCACVL1_00985 transcript:OMP10353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWLIAPVLKTGIVRNKELSRVRIPLSPFAR >OMO99808 pep supercontig:CCACVL1_1.0:contig06803:35661:37598:-1 gene:CCACVL1_03621 transcript:OMO99808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLQFSEAQQSYVNNQQLACEDETKDNNNTRGFLCNGEQKTCQSYITFRSEAFYNTAVSIGYLLGAQATQISSLNKLSGDVTPISPKSIVVVPVNCSCSSSYYQHNASYRITSSSETYFSISNDTYQGLTTCQAMEAQNPIGFRDLKVGNELVVPLRCACPTAKQVEAGVKYLLSYLVTWDDSYSSIAQSFGADEKSLLEANELTEDDLIFPFTPLLVPLSKEPTKIELSTPPPPSAPSPQITTKPIQESNKSSKKWVFVGVGIGVGVLVLLGLAGFIFCFCRGQRQGYKGKPIASSPPRPPANLKASSDSANYTGNSWSVSISSQGVRYAIESLTPYKFEDLKVATGNFSESNRIKGSVFRGSFQGDDAAIKVMKGDVSSEINLLKKINHTNIIRLSGFCVHEGNTYLVYEFADKGSVSDWLHSNKFQTSYTLSWKQRVQIAYDIADALNYLHNYINPPYIHKNLKTSNILLDANFRAKVTNFGLARSIEDNDEGGLQLTRHVVGTQGYMAPEYIENGVITPKLDVFAFGVIMLELLSGKKAANSEKNAVAEELLSASIKGVLEGDNVREKLKNFIDPALRPEYPLDLAFSMAQLAKNCVAHDLNARLSMSEVLVTLSKILSSSLDWDPSDEFQRSASLSSAR >OMO99809 pep supercontig:CCACVL1_1.0:contig06803:45061:45590:1 gene:CCACVL1_03622 transcript:OMO99809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDKKLEIESGTKIEEGPAGDCRQPKRRFKVAANRTKKGEAMDTKRRKIETNDKQVLDWPAKDDNESQDSGSHDSSNANEGMGFGQ >OMO99804 pep supercontig:CCACVL1_1.0:contig06803:11548:13156:1 gene:CCACVL1_03617 transcript:OMO99804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGQILDKFHGKEWRKKQIRKITDKVYERIKNQAGGTTLTFEDLYIAVLLVYNDINKHLPGPHFDPPSKEQVRSLMQDCDLNLDGEINHEEFVKFVQRLTAETFLVVSQGLILTLVVAPTVAMATKKATEGVPGVGKAVQKLPNSIYASLVTLAIVWFQTSRQGI >OMO99807 pep supercontig:CCACVL1_1.0:contig06803:34133:34843:-1 gene:CCACVL1_03620 transcript:OMO99807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASPSSTSTNPKFDLSKQIRAHEVAIAELGAQQ >OMO99805 pep supercontig:CCACVL1_1.0:contig06803:15068:18254:1 gene:CCACVL1_03618 transcript:OMO99805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGRGRGNQLNNELAEIRREIDDLTRAVQALQRQEPVETQMEIPEGDHKSFEILDLEDNNHFHETGIIIQAARGGLNVDCWIDEIDYENIFHEAGIANQVEREPVYDEYDEEEDSNLFICVGEDSDLFVCEGENSDFVFYEGFVCEGEGSDFVGNGKSMENTVLIEVVCDIVQTYFSWLVDHDMNQKKKPNKKCFCKKNTKDTLHKLAPKKIIKAGEILGNNAKEKLILVDPYIYRKYTKIDLKSGYHQIRIRSGDEWKIALKTPDGLFEWLVMPFGQSNAPSTFMRVMTELVCREKGAIAEWPEPKSVKKKGEFEWTHAARKAFERVKALSTEAPILALPDFEKLFVIECDASHTRIGVVLSQEGRLVEFFSEKLTDSSRHEFNFTLKYKSGESNTVADALSRKTLFLSVLSIQITGFEELKQQYPTDPYFSKVLVDLQDSPQAGNLPFKLYEGYLFKGNQLCILEGSLREQIIKELHGNGLGGHFGRDKTMAMVADRYFLPRRHRDVVRFVKRCPACLLGKGGVQNTGLYTPLLEPDVPLIHLIVRLHGVPTSIVSDRDVKFMGHFWRTLRRKFGTELKYSSTCHPQTDRQTEVVNRSLGNLLRCLVRNNPKTWDSIIPQAEFAYNNSVNRSIKKTPFEAAYRLKPQHVLDLVPLPQEAREFEEGNQVLVYLRKERFPKGTYHKLKNRKFGPCKVLKKISSNAYVIELPSDLQISPVFNVSDLYTFDGIDDGTVFSIEEQAQQLPAAKSDVIEDVLDVKEVRSRRGNMYKSFLVKWLGKLATESTWIAEEELKRVDPEIYEEFIKAYSSEPSLFQTRGE >OMO99806 pep supercontig:CCACVL1_1.0:contig06803:18593:19051:-1 gene:CCACVL1_03619 transcript:OMO99806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLDERSVLAANELTEDMIFPTEPTIIAPPQAPTPPPPSQITIAPVRNYKYSHKHSSLKAALEAPATPEPKPLWDSIAYSHKSWFVSTQWGRYPVESLTTYKFKDLEAAIRNFSESNIIKGSVT >OMP11594 pep supercontig:CCACVL1_1.0:contig01124:3761:4169:-1 gene:CCACVL1_00416 transcript:OMP11594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGNANRESSTEAFAETFPVTAESLKKKQQAPNQGMKRKGNFGS >OMP11593 pep supercontig:CCACVL1_1.0:contig01124:2203:2430:-1 gene:CCACVL1_00415 transcript:OMP11593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVPLALVHGVLESKSTTTPSDTTLKLIMTLLLNKNIINRSSSHSRRKKKFLRRKRIKFQPKLSRFCPVNNSVNG >OMP11595 pep supercontig:CCACVL1_1.0:contig01124:6291:6536:-1 gene:CCACVL1_00417 transcript:OMP11595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HPGAFRCRRHKNLGTVSSSKSMAMVVAGTLVTLTTPSNAKLMKAFLNKILTRSSSHSLQSRSNFQPKPSRFCPANGVAVSS >OMO68737 pep supercontig:CCACVL1_1.0:contig12181:3666:3821:1 gene:CCACVL1_19857 transcript:OMO68737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFTGKIKELEGFFFVLVRRFCGSWIRLQRASRTDRRRFLSQVRWLGDGFG >OMO96191 pep supercontig:CCACVL1_1.0:contig07510:41968:42045:1 gene:CCACVL1_05049 transcript:OMO96191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAETNERASEEVNDNPPIESHQK >OMO96190 pep supercontig:CCACVL1_1.0:contig07510:36654:36998:1 gene:CCACVL1_05048 transcript:OMO96190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYFKTHESIVVRPRRVSLIRGEYAEQDEEGEESKAKRLKPGSESPIKRAGFGPKVEAENERAKDRKRIN >OMP06333 pep supercontig:CCACVL1_1.0:contig04969:3750:5867:-1 gene:CCACVL1_01627 transcript:OMP06333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, TFIIS-type MERELVDLFEAAKKAADLAASDAGSSSGPDVSRCVDALKQLKAFPVTYDILVSSQVGKRLRPLTKHPREKIQTVASDLLELWKKIVIEETAKSKKNGTTSAVKVEKTQKPSAVKAEKISNIETVKVEKVSKSDSPRPVKVEKKEANGDTAMAEKTNRGETVKVEKIYKDERQASNVKKPSQPAAAPPKLPTLVKCNDPLRDKFREILVEALSKVASEADEDMLDQVNACDPIRVAVSVESLMFEKLGRSNGAQKFKYRSIMFNIKDPNNPDLRRKVLLGEVKPERLITLTPEEMASEQRQRENKEIKEKALFDCERGQAPKATTDQFKCGRCGQRKTTYYQMQTRSADEPMTTYVTCVNCNNHWKFC >OMP06332 pep supercontig:CCACVL1_1.0:contig04969:1935:2853:1 gene:CCACVL1_01626 transcript:OMP06332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPQFQLSGRKPLQPKNIAPTTTGINDRIVVVNKEGSKGKQILKSKPKLEICLVDDSSNKENLNHHHHRIPMPIGAAKPTAVAPAAAATPTKKVVEVETLDFDSSLAEELSAIRKKMERLRLDKEKTEKMLKDRDALLQFQMKELQERGQIQKQFEIEVDRLFRLKELKSYCMRISPIKSLRERQQDDKRIYGGHSLVLRAEESEEESVGENTLQSPTPSDSSEVVRENDNNDDDDE >OMO56708 pep supercontig:CCACVL1_1.0:contig14488:4217:5338:-1 gene:CCACVL1_26341 transcript:OMO56708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRAMQKCATIVVLALIAYHLVLSVEGRPLKSKQSSQKTKVLPPVIPTQISDFDDSVAGYKDDFRPTTPGVSPGVGNSFPEDHDEDVEQKSLGSINRHSIAGGNKEDFQPTTPGHSPGVGHSFDVEQKSPGSSSRHSIAGGDKEDFRPSSPGHSPGVGHSFEEGSEDVEQKSTGSISRHSIAGGDKEDFRPSSPGHSPGVGHSLAEDRISHSNDMRHSVSGGYKEDFRPTSPGNSPGVGHSFADKDEDVEQKSPAGSINGRHYSIAGDDKEDFHPTSPHHSPGVGHSFADEDEDVEQKSPGGRHYSIAGDDKEDFHPTSPHHSPGVGHSFADVEQKSPANISGDSKADFRPTNPGHSPGVGHALTKNSEPNP >OMO56709 pep supercontig:CCACVL1_1.0:contig14488:9487:13534:-1 gene:CCACVL1_26342 transcript:OMO56709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSGSGWFETLLNSHINVSSNGEIFSAKRRKNISTIVQTLDKVYNLDWFTSASKNECSAAVGFKWMLNQGLLKHHREIVEYFNHRGVSAIFLFRRNLLRRMVSVLANSHDRNYAKLLNGTHKSHVHSQGEAKALSSYKPIIKSTSLISDLKEVEMTVLKALEYFNSTRHMVVYYEDLVSNHTEIHCIAGRHLKLDQKSNIQKSILAKETGSTFVEGKVNLHGVNIKAADTNNIAQSPPSPPSVKAQAPPPKDAEDFRPTAPGHSPGVGHSIQN >OMO56707 pep supercontig:CCACVL1_1.0:contig14488:2442:2573:1 gene:CCACVL1_26340 transcript:OMO56707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKRLVVVETEEMYKARKENEAKHQALRPPIVVSHLPTSVTDSS >OMO87752 pep supercontig:CCACVL1_1.0:contig09183:13187:14803:-1 gene:CCACVL1_08784 transcript:OMO87752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVSVNSISTLTNDGEFQLLKDLTVGKSVILLHHVPIAAGKQGQEDISLLNAPCEPDGTKMSSPLNSSSNVYIEDTFIPTGDDLVAVRREASEVDDVDIDKPVHTTQ >OMO85144 pep supercontig:CCACVL1_1.0:contig09661:47760:52768:1 gene:CCACVL1_10384 transcript:OMO85144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRASSSSSSSARKIIGRVFWILFLLISHSSFSHGEENSKNKFRERRATDDELGYPEIDEDALLNTQCPRNLELRWQTEVSSSIYATPLIADINSDGKLDIVVPSFVHYLEVLEGSDGDKMPGWPAFHQSTVHASPLLYDIDKDGVREIALATYNGEVLFFRVSGYMMTDKLEVPRRKVRKDWYVGLNPDPVDRHPDVDDDLLVQKAPPLNQTNRSVPESNLTGSTLTGNNSTQVNFSSAEDGKKLNGGQTEDNVKLSTTVENATIHTDSVGNSEPHNKTSAGRRLLEDNSNGSQEGSSGTKEHGQEATVENEQALEADADASFDLFRDSEELADEYNYDYDDYVDESMWGEEEWTEGQHDRMEDYVNIDSHILSTPVIADIDNDGVSEMVVAVSYFFDHEYYDNSEHKKELGDIDIGKYVAGGIVVFNLDTKQVKWTKDLDISTDTANFRAYIYSSPIVIDLDGDGNLDILVGTSYGLFYVLDHQGNVRQKFPLEMAEIQSAVVAADINDDGKIELVTTDTHGNVVAWTAQGEEIWQVHIKSLIPQGPAVGDVDGDGHTDLVIPTLSGNIYVLSGKDGSQVRPYPYRTHGRVMNQVLLVDLSKRGEKSRGLTIVTTSFDGYLYLIDGPTSCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKAWRSSNQGRNNFASRYNREGIFVTHSSRAYRDEEGKHFWVEIEIVDKHRYPSGFQAPYNVTTTLLVPGNYQGERRIKQSQIFDRPGKYRIKLPTVGVRTTGTVVVEMVDRNGLYFSDDFSLTFHMYYYKLLKWLLVIPMLGMFGVLVILRPQEGMPLPSFNRNTDL >OMO85143 pep supercontig:CCACVL1_1.0:contig09661:37773:38676:-1 gene:CCACVL1_10383 transcript:OMO85143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGNPQNHSCTTIVFRPTPAILSATLPAPNPSPSSLCFSLDGKELLQAAPDASLQSVGIVAGDLLYFSLNPNTFTTPPPPPPKRPPPYPEPDLNVPEFVIVRLNRTNYRLWRSQILALFKGEGLLGFLDETIPKPEPDEIPSDVIDADGEARFLVDNLVVMAWKASNKLVYDWIKNTISREAELAGVVIVGGKSTALWGAVEAFPTFVIQRLLVEESWDEALLSLCMRIEKFEEGVIHYKYFINLYNEKK >OMO85147 pep supercontig:CCACVL1_1.0:contig09661:79166:81212:1 gene:CCACVL1_10387 transcript:OMO85147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNVEPPPPPALAPPPQPPQPHRPSTSCDRHPEEHFTGFCPSCLCERLAVLEPSSSSSAAASSSRKPPIAATTSTATAALKAIFKPSGGAGSRPGFFPELRRTKSFSASKNEGFSGDDERNPYKKEAAAAANGRPSEIVEVETRNLASSSSTVVQGPVFESKEEEEQTESETDHEDDIEIIEEQPPNVAAVPASGSLIEETVDEIVEEYEKELVQEEELKPMKDHIDLDSQTKKASGRDFKEIAGSFWSAASVFSKKLQKWRQKQKLKKRNNGGGSARLPVEKPIGRQYRETQSEIADYGYGRRSCDTDPRFSLDAGRMSFDAARMSFDDPRYSFDEPRASWDGYLIGRTFPRMPTMLSVVEDAPVNHVIRSDTQIPVEDPARNSINEDESLPGGSAQTRDYYSDSSSRRRKSLDRSNSIRKTAAAVVAEMDEMKSVSNAKVSPATAEYFSGPKLVAPDRDSRDSNSNSLRDDYSETFEIGFRDNASVIGNGERKGSAKKSRRWSKAWNIWGFIHRRSVNKDEDEDRYSRANGVERSYSESWPELRGERINGDMRGGFNPKVLRSNSSVSWRNSSSFGGSFGGARKNCVVEANGHSKKKKDEFVLERNRSARYSPNNIDNGLLRFYLTPMRGSRRGGSGKSKASHAHSIARSVLRLY >OMO85149 pep supercontig:CCACVL1_1.0:contig09661:86206:87093:-1 gene:CCACVL1_10389 transcript:OMO85149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAMKAIQASFSPNKLTFLHTRRRSAYSRNNSILCLSKSNDSDSDSDPKSSSSGGDTQKQELLARIAMLQAQKVRLTDYLDERSAYLTQFAEEANAEFDKVGEDALRGLEEAGERIMENIESQMQAFEESQEMNKLEIELNENQLEQFEGQIEKDRNEGLFFKNLTEKKKPIDKAKAKEETEKIKEITKAKAGSKLRRNIYLALIAVLVVEIGDSFITSSDWRKVAVLGAILVALFSQFIYEQTLLSEAEENRKER >OMO85146 pep supercontig:CCACVL1_1.0:contig09661:61850:68886:1 gene:CCACVL1_10386 transcript:OMO85146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNRKLKKSDPASSKLVLKEIIGLTTKNSKGLASSVSTSTCVYVAGCVAVVYNAASGTQSHLMLSHRMPKPLSCVAVSRDGRFVAAGESGHQPSVLVWDCVTLAFVSELKGHLYGVECIAFSPDGEYLVSVGGYIYLWDWRSGMMVTKLKASSSCSAVTSVTFSSDAKYIVTAGKKHLKCWAVGASPRTRMNKGTISLSIHAKPINLGPQKGSSFVSVTSAIRNDSSDVKCGQVDELFPIYALTDAGVLCLIDSELSLKNSVDLKVEKGFALSVSSKLVACACSNGLVQLFNIEDLNHVGSLLYSKAKACHGEIDLCRPKSSEKDFQLAPTLPDAVACQFITEKLVVVYGDHSLHIWDFHEENEATRTFVLSSHSACIWDIKNLCCENMHDPSLMCAAKGCSGGVSFATCSADGTIRLWDLVLQPHLLGDAVDNNSLITEPVGVINIVSAGSFEIDTVDTNFSNQGFRSMAVSSDGKYMAVGDCEGNLHIYDLHNSDYTCIKDCHDAEILSLSFSLSSAEGVDCGGETDKHYLLASGGRDRIIHLYDVKRNFELIESIDDHSAAVTSVKLACNGCKIMSCSADRSLVFRDVSLTDGRCKVSRRHHQMASHGTVYDMSVDPVMKVVVTVGQDKKINTFDIVSGKLIRSFKQSKDFGDPIKVTMDPSGSYLVCSFSNKSICVYDFMSGEMIAQAVGHGEVATGIIFLPDSKHMVSVSGDGCIFVWKLPARFASRMLQKVKENSVSLSPRTLNMPVGFSRAIIVGERYQAQPYRTISKDALPAESSSQPGQRANHHGLGSQETFAFRLSISRLPKWAQDKVTSSDFVQRNLEFTSPQQKQVEPKILSPLISNGEGNASMCNEYQTPSVGGLGSSNSCLSSQCKSSSNVSKRQSSASPEELVSSSATEDQWFTVYNVRLDLLNSPEEQNVKNLKLPVSSPQLVQGLAEIPSEDEHSFGHRDHLVGDEQLSSDKTEQDAIDVDAFHTKSEDSDLFKEHFGNLSAKVKVERRQSSARRRYSSQYFLRRDYLVGCKRLLETCKQNIGLLNDEKEPAIINVTSEDLKSAECSLITQSCADSRDEMAKELDSSSTIQEANVDQKQNVDVVASELLQGKITACRDALHNLDIAAENVLQLFAKLGTECSTKLEVSSGTRAQLYYEATNLLPKILEKVNAVAELVQNNTTTSNNGSSKCRVEGSSWEPSLGTLAESLSERVVEIVKKNLSTV >OMO85148 pep supercontig:CCACVL1_1.0:contig09661:83380:85446:1 gene:CCACVL1_10388 transcript:OMO85148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFPKSLSPNRVLKLLKLEKNVQSALALFDSATRHPGYTHSPDVFHHILRRLLDSRLVSHVSRIVQLIETQKCSCPEDVALTVMKAYAKNSMPEKAIDSFQRMKQIFGCEPGIRSYNTLLNAFAESNRWEQAESFFRYSEAAGVKPNLQTYNILIKIACKKKRFEDAKLLLDCIWEMGFHPNVQSYGALINGLVKGGNLVVAMEVFDEMFEKNVTPDVMCYNILIDGFFCKGDIGKANEVWERLQEDSSAYPNSVTYNVMINGLCKCGKFDECLKIWERMKKNEREKDLFTYSSMIHGLCEAGNIDGAERVYKEMVESGASVDVVTYNAMLGGYCKARKLKESFELWKVMKKENCINVVSFNILIKGFLENGKVDEAIALWEMWPERRCSAEASTYGVLIHGLCKNGYLKKAFEIMKEGEFGGGKVDSHGGMDSMGYYPTVASYNILISGLCKAERFGEAYCLLKEMLKKGWKPDMITYSLLIKGLFEGNNVEMALSLWHYVLGRAFNPDVKLHNIVIHGLCSVGKLEDALQVYSSMRQRNCPPNLVTYNTIMKGLYKAGEYEKALKIWKQISVDGLQRDIISYNITLNGLCSCGKISDAIGFLNDALERGILPTVITWNILVRAVVTTA >OMO85145 pep supercontig:CCACVL1_1.0:contig09661:54387:59667:-1 gene:CCACVL1_10385 transcript:OMO85145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MAAKSILLQSSSSSSTNNKLSCCLSSNSQSSLIESKTTNVGFQRRFIGKAAQVKWESFGLNSLRRREPALKKKERGAAVTVKCTAEGIERRMPLVGETAVVKIPERFKVVALMACVMCLCNADRVVMSVAVVPLAEKLGWSSSFLGVVQSSFLWGYIFSSVIGGALVDKYGGKRVLAWGVALWSLATLLTPWAANHSTIALLAIRAFFGLAEGVALPSMSTLLSRWFPGNERASAVGISMAGFHLGNVLGLILTPIMLSSIGISGPFILFSSLGVLWLSSWLFKVTSDPRESPFVSKTELQLIQTGKIDPPPTNKGEMPPIRLLLSKLPTWAIIFANITNNWGYFVLLSWMPVYFKTVFNVNLKQAAWFSAVPWGTMAISGYVAGAVSDSLIKSGYSITLVRKIMQSIGFIGPGVSLLCLNFAKSPAVAALFITAALSFSSFSQAGFLLNMQDIAPQYAGFLHGISNSAGTLAAIISTIGTALETIHKAMALCRNPLKMFGLMLTGQMDREIKRLGKRPILQGF >OMO85150 pep supercontig:CCACVL1_1.0:contig09661:99542:101176:1 gene:CCACVL1_10390 transcript:OMO85150 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS/GAT family protein MLQRDVLHEMVKIVKKKPDYHVKEKILTLIDTWQEAFGGPRARYPQYYYVAYQELLHARAVFPQRSERSAPVLTPPQTQPLSSYPPNIRNYDRQDTVESSEESEFPTLSLTEIQNARGIMDVLAETLNALDLGNKEGLRQEVIVDLVEQCRTYKQRVVHLVNSTL >OMO90459 pep supercontig:CCACVL1_1.0:contig08473:42801:42986:1 gene:CCACVL1_07360 transcript:OMO90459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPCGVCIQLLDDKIQNIEIHVPMATISVAKKWALGPTRPQPNSMIPKKPASKKKALKTS >OMP12153 pep supercontig:CCACVL1_1.0:contig00356:928:1365:1 gene:CCACVL1_00098 transcript:OMP12153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MVLINLSQLHPPAANDAIKLRIARAWNITVPGSERIVGLAFVATDNQGNAIHVQTNESDAEIFRDMVFEGALYLIAAFRLTRANVSHVAIQSDLIMWLTRRSVLHPIPDDLSPYPRHYFELYAEENFPQMANNNGFLAGTETKHN >OMO71235 pep supercontig:CCACVL1_1.0:contig11698:8709:8966:1 gene:CCACVL1_18342 transcript:OMO71235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGVEALEHMGREANQVGRQACRMGAQCQSGMPPSTHIGTGDLGPEANALGAQAIHLGAQRQSGQAMRARQTAIMRHFSLKLLH >OMO97031 pep supercontig:CCACVL1_1.0:contig07316:2877:4505:-1 gene:CCACVL1_04695 transcript:OMO97031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLSSSEGVSGTIFFTQEGDGPTTVTGNVSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDENRHAGDLGNITVGDDGTASFCKTDSQIPLTGPNSIIGRAVVVHGDPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >OMO97033 pep supercontig:CCACVL1_1.0:contig07316:18927:26543:-1 gene:CCACVL1_04697 transcript:OMO97033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVHYNTSNYIKPTTLKKHCLISITKSDKSGEIEFVKETIVGDYDL >OMO97032 pep supercontig:CCACVL1_1.0:contig07316:6057:14360:-1 gene:CCACVL1_04696 transcript:OMO97032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRKFRQRSPSRPETPSTPSTPSSPMTSASPLNRHARSGSNYGGIGNVKKAQTKAAAQRLAAVMANQYEDDDEEDQLEISGTGGIGLAGGRATRSRSPMNKNIAQRRVPQVRTPQPPADEDNDEDDVVVSGMASIGLAGGRGSHSRSPMKNLPQRRAPLAMAQQPADEENDEDGLLVSGTASIGLAGGRAIQSRTPAINIGQRRAPQVMTQQPADEDDDEDDLLVKGTASIGLGGRSMQTRSPMTKNLTQKRLPQVTTQQPSDNDDDEGGVLVSGKPIIGLARGRAMQSRPSMAKTMAQRPVQQVAQQPSDEDNDDHANNNSSSSGTTSIGIASGRARPSSSPLSVHTNQEQTPSTRSTPGTRPSLSLNSMEKPLSASSNARPSNFLNSVDQTILSPYSTSAGRPSMQSSLEKPYSTQSSTAGRSSPLTSIEQPLSAGSQAAGRQHLGVKTVTVVPSTLPMSVKPPVSTTDASTDSQREKRLSADIGSKSILKERGTQQSASALQDELDMLQDENESLLEKLQLAEDRCEEAEERARQLEKQIANLGEGVTLEERLLSRKVAALQEREAALRVAAQTHGGKPEEIASLRAEVETARDEATSTLEKLHETECEVRALQTVIQRLMLTEEEMEEVVLKRCWLARYWSLCVQHGIQAEIAGKKYEYWSSFAPLPVEIVLAAGQRALEGDDSSNNDLEEREKVLLDFSELSDRNVESMLLVEKGLRELASLKVEDAVAYAMAKNRRQNMLKTDEVKLPTEGQFEAFELSEEESEDVRFKQAWLAYFWRRAVSHGVEPEISDERLQFWINHGSRSSISHDAVDVERGLLELRRLGLESQLWKKSRQGLEIGSTTKLHIETDF >OMO52818 pep supercontig:CCACVL1_1.0:contig15424:1577:1705:-1 gene:CCACVL1_29069 transcript:OMO52818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYSAPSNPNLTFFNNSKVVLDGGAKVELPRWVFWVVVSEE >OMO92128 pep supercontig:CCACVL1_1.0:contig08262:15266:15337:-1 gene:CCACVL1_06917 transcript:OMO92128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLPKKTLIILPSPDSRMLTSL >OMP04867 pep supercontig:CCACVL1_1.0:contig05594:6301:6360:1 gene:CCACVL1_02117 transcript:OMP04867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKLLSLTTWKEKRKAKRK >OMO66374 pep supercontig:CCACVL1_1.0:contig12551:11233:11649:-1 gene:CCACVL1_21188 transcript:OMO66374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIKLFCVVVVFLCMLGVCPRPTAADFPDDFPEDTCDFVEDQLEYCEDYFEDALDLYAANPQMARPSYHCCNALERLDKVSSYSKDYNREVCQCKLQYFQKNYKTQPTAVEALYPNCNLKSPYSISLTAYPGDYCSK >OMO66373 pep supercontig:CCACVL1_1.0:contig12551:10342:10532:-1 gene:CCACVL1_21187 transcript:OMO66373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MEVTPKFSLDLEWPELVGLTPEEAEKKIKEEIPTKGSDLTMDFNQGRVRSFLDPSGKVQRPPN >OMO66372 pep supercontig:CCACVL1_1.0:contig12551:2676:3089:-1 gene:CCACVL1_21186 transcript:OMO66372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYSKLKLFSVVLVLCMLALGPRATALRKPSTDDDDASQECVESHKAILSCLDDSRLRGKNISDVLPSVQCCDNLWHQKAVSFYGIRHRRNVCKCIKEAVDYQTYIVVDIQFLAAICNVTFPFKIGPSVSIDYCSK >OMO55798 pep supercontig:CCACVL1_1.0:contig14588:17150:19019:-1 gene:CCACVL1_26993 transcript:OMO55798 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MVEKVVCVTGASGYIASWLVNLLLRHGYTVKATVRDPSDKKKTDHLVGLDGAKERLQLFKAELLDEGAFDSVVHGCIGVYHTASPCFYDTKDPQFFSLENLLLMMTADETWFSDPDFCEKSKLWYMLSKTLAEKTAWKFAQENGIDITVINPGMAIGPLLQPTLNTSVTHILNLIGAETFRNTTYRFVDVRDVANAHILAFENPSACGRYCVTGKPLHLSEISDILHQLYPSLKLPQKCVDDEQSMLALPPFQVSQDRVISLGLTFTPLELSLKDTVESFKEKKFF >OMO55797 pep supercontig:CCACVL1_1.0:contig14588:6596:15643:-1 gene:CCACVL1_26992 transcript:OMO55797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTLKKNYRCSKSLQQFYSGGPFVVSSDGSFIACACGESIKIVDSSNASTKSTLEADSGTITALALSPDDKLLFSAGRSRQIKVWELETFKCLRSWKGHDDSVMGMACHGSGGLLATSGADRKVIVWDVDGGFCTHYFKGHKGVVTSIMFHPDVNKNLLFSGCDDATVRIWDLEKECTKKCVATLEKHFSAVSSMAISEDGWTLLTAGRDKVVILWDLHDYRCKSTVPIYEVLEAVCIIPSGSHFALSLGAFSQQTRKRQSGSTGIDFITAGERGTIRIWNSEGAVCLYEQKTSDVSVSSDVGKGSTRGFTSAVMLPLDQGLLCVTADQQFLFYSPEENLEEKWNLKLTKRLVGFNDVIVDMKFLDEEEQYLAVATNLEQVRVYDLSSMSCSYSLAGHTDTILCLDTTVSTDGRRLIVTGSKDRNVRLWDSERRCCVGLGIGHTGAVGAIAFSKKRRDFFVSGSSDHTIRVWSLDGLSDDVEQPIKLKFKAGVATHDKDINCVAIAPNDSLVCSGSQDRTACVRRLPDLVDVVIFKGHKRGIWSVEFSPVDQCVITASGDKTVKIWAIADGSCLRTFEGNTSSVLRVSFLTRGTQFVSCGADGLVKLWTIKTNECIATYDQHEDKIWALAVGKKTEMLATGGNDAIINLWYDSTAAEKEEAFRKEEEGVLRGQELENAVLDAEYTKAIQLALELRRPHKLFELFSALCRKREVGDQIDKALQALCKEELQLLFGYVREWNTKTKLCHVAQFLLFRAFNILPPTEIIKIKGIGELLDGLIPYSQRHFIRIDRHIRSTFLLDYTLIGMSVIEPVTEGDSKTRSLLHSEKYMEDVPLRDYADEDQKQTSHRKMSNLPSKPYRIGFALTPKKEKTFIVPSLLLHAHSNGVVFVKIDPNRPLTQQGPFDCIMHKLYGSDWKQNLQDFSSQNPHIPIIDSPDSIEILHNRISMLETVSKLNVKNSGVPKQVVVTEVADLEKNLELKFPLIAKPLDADGSETSHKMHLVFDNDGLKNLTPPLVLQEFINHGGVVFKVYVAGDYVRCVKRKSLPDIPEEKLVNLKGSLPFSQVSNLAAAGGGGGGGGEGCEFEKTEMPPESLVKELVKGLREELRLNLFNFDVIRDGKNKDNYLVIDINYFPGYAKMPDFESVITDFLRDVVQKGGNGGEN >OMO55796 pep supercontig:CCACVL1_1.0:contig14588:187:2305:1 gene:CCACVL1_26991 transcript:OMO55796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEVDFAEALVALTAQIQDLKTKLEVQASSIVDLQIRAAQLDKSPASPLLPPLPPTPSLSAISMANSDIDGIQQTLKTMAASFDALVLVAEGCEDLAAHVGGLSQATVALPLLVFIGFRPGGIASSNLNTKSCAIQLFDKIPKTAIAFNMPPVSKMQDHCLPTEYENAKPKFIWPQQVTTIEQINDVDFIGVSLYLEPLVANSIHCFLLTRQSDVVVIMNDVILLVVIAVDDVNSLSTIKENLDIMREKHPLVFIGFRPGLFLAQPSRFIFAQIPSMSLVSTIRMFVSFCGCSSIFQALRVLNAMDEQLRVAWSVLITAYVQHSSYDKAFHMFKKKNSLGIQSNELQQTVKGRQLGWLGMISAARRTIWFGVQAICKALGQLVCQLATAIHISRKPNATPTIARSLQQKKMQSQAYLHHIQKGLGTYCSVALNPFNLEDKVVVKGKAMLRARVQPQPSPSSLTSSGPIAAILHTFSEIAPSNIAGRNIGRIRAALLRINAIHIYDKDGQFEM >OMO55799 pep supercontig:CCACVL1_1.0:contig14588:35488:42587:-1 gene:CCACVL1_26994 transcript:OMO55799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKQLNTATEAEEESSIEELAGQNRNSTVESSGSSNNKTRAAEVDGVS >OMP05784 pep supercontig:CCACVL1_1.0:contig05215:4361:4429:1 gene:CCACVL1_01832 transcript:OMP05784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein REFCEPEGRSIAWSDSVSLERR >OMP11494 pep supercontig:CCACVL1_1.0:contig01233:656:772:-1 gene:CCACVL1_00488 transcript:OMP11494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKSRGTELTHSEHPLKDYVVRLDRINPYRIKVQPPVNLQ >OMO97704 pep supercontig:CCACVL1_1.0:contig07212:7983:8060:1 gene:CCACVL1_04483 transcript:OMO97704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFTKAKCQSYKQGPNIVPTLTSA >OMO69339 pep supercontig:CCACVL1_1.0:contig12061:6768:8924:1 gene:CCACVL1_19552 transcript:OMO69339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase, low molecular weight MSFFSTPISSKPLFYSPLLLTMLLYFFQAIKQIVLLGRTYKFGICKATWNLCIHNAIRIAWHINAFISRAAEGVFRDIVKKKGLDSKFDIESVGTIDYHEGNMAEPRMRATSKRRVIEITSISRPIQPSDFRD >OMO69338 pep supercontig:CCACVL1_1.0:contig12061:2155:3981:1 gene:CCACVL1_19551 transcript:OMO69338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Always early, putative isoform 3 AYLSLPEGTASAVGLIAMMTDHYCVMEKLSNPYLHIKHGDGVSLSGSTVQLIIHAWFAKMEFVEYLDLVGLGHFPRLTRFEWGVIRSSLGKPRRFSERFLMEEREKLNQYRESVRADYAQLRAGIGIGSLPTDLAQPLSVGQRVIAVHPKTREIHDGSVLIVDRNRYRIQFDSPELGVEFVMDIDCMPLNPLENLPALLVRQHAAISEYVENYNEPKMNGQPKESKMEVEESMKFAQCENLENA >OMP09452 pep supercontig:CCACVL1_1.0:contig03215:98:175:-1 gene:CCACVL1_01060 transcript:OMP09452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEETTWRGDFVGKLNLQAMLAFAQP >OMO55037 pep supercontig:CCACVL1_1.0:contig14806:105:1286:-1 gene:CCACVL1_27432 transcript:OMO55037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINANDKNAVKTGTFGKVVDPSASNCHLNLKLERLAAA >OMO98719 pep supercontig:CCACVL1_1.0:contig07047:2246:3725:1 gene:CCACVL1_04089 transcript:OMO98719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTATSSQKDKIRESASEIVGSKKKVKQKQGKAFSSSLLSLFFLSNPSSSLFLSLAFCISSPFHLYSILYLPIPLTATVSMAKGQNSTEKKKCSHNDVKICELEKAEDEDEENRGKNESSNDTDDDDDDGMKTSVKPRIALIATPVNSQVNPLK >OMO98720 pep supercontig:CCACVL1_1.0:contig07047:6781:6977:-1 gene:CCACVL1_04090 transcript:OMO98720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAIQVGKHHHQATLAEAHHCLIWQNCRPKEEKVHHLRQATTMLYSCSNNFEIKCGNTQFGIST >OMO98721 pep supercontig:CCACVL1_1.0:contig07047:9349:11039:-1 gene:CCACVL1_04091 transcript:OMO98721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubrerythrin MAAEMALVKPISKFNTMRPKLSNNPSPRYGKLRCVKMSASSSTAQKPSKKKASKTAIKETLLTPRFYTTDFDEMESLFNTEINKNLNQTEFEALLQEFKTDYNQTHFVRNKEFKEAADKMEGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKQNPEYQCYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRRLDNMVEINQKLIAVGETDDIPLVKNLKRIPLIAAMASELLATYLMPPVESGSVDFAEFEPRLVY >OMO84725 pep supercontig:CCACVL1_1.0:contig09712:29700:32737:-1 gene:CCACVL1_10687 transcript:OMO84725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPRFPLVEIDLNSFQRDEEQIVKEHPRLPDLNTNVEEDVFELNFPLADRPSPTQPLIDLNEGPPKHANSSGGRLRNLYCDPLMTSTGHKITATQQWTPTPVQLQILVGLFDQGIGIPSKQKIKEITSELSQHGQISETNIYNWFQNRRARSKRKQLAASSNNADNPGLDLHPCPALPVTTAYVCPSARIN >OMO84726 pep supercontig:CCACVL1_1.0:contig09712:34592:43422:1 gene:CCACVL1_10688 transcript:OMO84726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDIAVVGSTSHATQTSSDHHKPPRPTATGDLGRHRRPPLNTVVEIPK >OMO84724 pep supercontig:CCACVL1_1.0:contig09712:18418:29384:-1 gene:CCACVL1_10686 transcript:OMO84724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEADEEGSDGVNGVMDEAQEQGSDDTNYSDMDEFEEQDSDDTYYSDMDEFKEQGSDGLNDFDMDEFEDQDNDGLNDFDMDEAEEQEDDGLNDSDTDETREEGSNGIRRLTTLKNEERDAIYCVLLQNYVNGKVKRVTITR >OMO84723 pep supercontig:CCACVL1_1.0:contig09712:10299:15761:-1 gene:CCACVL1_10685 transcript:OMO84723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRADIFEQYHSHRIDSDIIGYLEKVKLNYIRAVGLKNC >OMO87438 pep supercontig:CCACVL1_1.0:contig09240:154:2754:1 gene:CCACVL1_09041 transcript:OMO87438 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease GIAKLPFIDEDRLLAEVAKIEHTLTEEEARRNSIMCEMLFVAASHRLSEQIFSLDNRCKQMTELQRTEVMEEVKPELSDGMNGYISLCAGDTQPPIFRSPIKDMEDILANEVICCIYRLPKAHKHITRPPAGVKFPPKMVQYSDLKPAPTLWHEDSGRRPFENGRAPGPGHHLTDRHNPPGAISGHKLAEASHRLIANTLQLKGDYRNGFSNDMQAPPPAYATGHVPPVHSYVNDGFHNQGQYRMAHPVRPPYAHGYNEPYGSQQYHTNSQAAPYNLHHPPRNYPNNGSMRMPRPVAQMPTESAPYPSHPGGYDGNRRYQAPGSG >OMO64496 pep supercontig:CCACVL1_1.0:contig12820:9106:9867:-1 gene:CCACVL1_21709 transcript:OMO64496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSASQCGSGCESGWTHYLDQSSSYSQTRCQSFGGNFDGDYYGGKGARFVVEDEEEDLSMVSDASSGPRHYCEEYYEECLDENGSFCSAPNSVPKLTNKSSKNKKKMKQHGSINQQHSYLDDTASSPKNCKKESSIDVLDFSQGFSGTHFKGKSSAFQKKFGFLKSGKTSSK >OMO81341 pep supercontig:CCACVL1_1.0:contig10201:33070:34322:1 gene:CCACVL1_12468 transcript:OMO81341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHKDSSAATQYHRHDDIADYTQQDSTAKEQCYKLAKFGDLEVQREKISLKLGVEFSRRRRIWFGY >OMO81339 pep supercontig:CCACVL1_1.0:contig10201:15611:24827:1 gene:CCACVL1_12466 transcript:OMO81339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNFYAAIGVLVIIAIGAVRAREIDPIKANNCEDKSKMTWHCIDEVSTSVFKTGSVTDGCCHQLVSLVAIR >OMO81342 pep supercontig:CCACVL1_1.0:contig10201:37963:38046:-1 gene:CCACVL1_12469 transcript:OMO81342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARKLLKTSETQATRNHTTPNPGGPNSD >OMO81340 pep supercontig:CCACVL1_1.0:contig10201:28377:29978:-1 gene:CCACVL1_12467 transcript:OMO81340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNHFPIRRFSTLIDSVKVKANSLKPFLDGNSRGRNWKPIPIPFRTVPEARGQDLDFVNVAHSHLIHSDWDKLNSLSTHLTQFRVKHILLKIQKDHVLSLEFFNWVKTQNPSSHSFETHSMILHILTKSRKFKSAESVFRNVIASGSLELPAKLFESLLYSYRICDSSPHVFDLLFKSFAHLKKFRNATDVFCRMKDYGFLPTIKSCNTYLSSLLDLDRVDIALSFYREMQRCRISPNVYTFNIIINAFCKLGKLEKAVEVLREMESMGFSPTIASYNTLIAGHCNKGLMSLAMKLKNSMGKDGVHPNVVTFNTLMNGFCKEGKVHEANKVFNEMKALNVAPTTVTYNTLINGYSQVGNTEMSNRLFEEMSKNRVKADVLTYNALILGLCKEGKTKKAAYLFKQLDKEKLCPNAATFSALITGQCVRKNSDRAFQIYKSMVRTGFHPNERTFNMLISTFCKNEDYDGAANVLNDMIDTATVPDSDTLSDLHNGLCQCGKTQLAMTLFKKLEDRRLVPAGFDILKVRPENAEQT >OMP03688 pep supercontig:CCACVL1_1.0:contig06006:10671:12126:1 gene:CCACVL1_02308 transcript:OMP03688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYADLYMFWPRPFPLRRGSLFRHIAYNGNRTPSAASGRMENVLLRSSNLLGGGVMNRDLPPAVFSSYHFTSRDLISSDPIGRGEGEGRDGWSGNSNGRS >OMP03689 pep supercontig:CCACVL1_1.0:contig06006:12826:13080:1 gene:CCACVL1_02309 transcript:OMP03689 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphoribosylaminoimidazole carboxylase DRLGLVIQHGKNPINQRKVLKSLLGRPRNLPSRSVDCSMSVRGASLSVPGVASFQEQSNRKKAALAAKRSSLDVDGAVPHLCIAL >OMO93672 pep supercontig:CCACVL1_1.0:contig08083:37657:38181:1 gene:CCACVL1_06396 transcript:OMO93672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRGIISPFRTSISFPTTSCKYSISDQDLESRGLFLRRTISDLNFDHLNSVFVAVGFPRRDPEKIKLALEHTDSMLWVEYKKTQKPVAFARATGDGVFNAIIWDVVVDPSFQGIGLGKAVMERLIEDLLEKGICNIALYSEPRVLGFYRPLGFVADPDGIRGMVFSRKQKKKK >OMO93669 pep supercontig:CCACVL1_1.0:contig08083:22558:25983:-1 gene:CCACVL1_06393 transcript:OMO93669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIQFVKSINWEAESYPAYEDFIVLPFFFLFFPTVRFFLDRYVFEKVGRRLIFGKGHQMLDADTDERKKKIRKFKESAWKCIYFLSGEVLVLTVTYNEPWFKNTRYFWTGPGDQVWPDQKIKLKLRGVYMYAAGFYTYSIFALIFWETRRSDFGVSMSHHVATAVLILLSYICRFARVGSVVLAIHDASDIFLEVGKMAKYSGAETIASLAFLTFVLSWILLRLIYYPFWVIWSTSYEVIQTLDKDKHPVDGPIIYYLFNTLLICLLVLHIYWWVLIYRMLVKQIQARGKLSEDVRSDSEGEDEHED >OMO93673 pep supercontig:CCACVL1_1.0:contig08083:44486:45164:1 gene:CCACVL1_06397 transcript:OMO93673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIVMIGGKNSSYSSSLLMQVMEKRKKTLNRSARLCCFDSSTVYKTLRIQAGASKSQNHDPNVLESSGRRQGGHLPRPWGSEFINSFSSPYSYETHGSRLEELKQSAKKLFDSTKEPRDQLDLINTIQRLGLAHHFEDEMKHLLAQLIHPDIAHDLRTVALQF >OMO93674 pep supercontig:CCACVL1_1.0:contig08083:45678:48103:1 gene:CCACVL1_06398 transcript:OMO93674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQVEESLKMPLHWRLIWTEARNFIDIYQRDDKMNSVLLEFAKLNYNILQSVYIKELQELAKWDDPEAIEELPEYIKLIYTALYNHAGELADDTLDDKSINILPFIRKLYEGHIEAGLQEVKWIYSGYNPSADEYLQVSGKSIGGPVAVSYAVVGVVGQYSMYKFLSEFIDHYLVSDLVSAPGYIARLLNDLSTTKDEIERGQTLNFINCYMMEEGVSEEEARDHMEGLKRKFWKKLNKYIIEYSVDAPNIAKVVINMVRVTHHIYRGGKYDWFGVRPKADLLSMLNSVFEPIPM >OMO93675 pep supercontig:CCACVL1_1.0:contig08083:62563:65983:1 gene:CCACVL1_06399 transcript:OMO93675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTATAIEIIGGKGSSPSSWMQVMEKGQKKTVNTVTFNQYERHGNRLEEVKESARKLLVSTKDPHEQLDLIDTLQRLGVAYHFEREIKHILAQLVDPNIADDLRTVALQFRLLRQNGIFISTGDDMAKQVEESLEMEPLHWRVLWVEARNFIDIYERDEKRNLALLEFAKLNYNILQSVYMKELQELGEWDLGAIEELPEYMKPFYIAMYNHWLRYVEASIQETKWIYSGYIPTEDEYLQNAWITIGGLNSLNYAIVGVLGQNSMDKLLSEFIDNNSDPDIVYVPALIMRLLNDLSTDKVEMERGEALNLINCYMMQEGVSEEEARDYIKGFHQNLRKKLNKLVIQFYTVDEPCVANVAVTVMRSTHRIYQYRYDWFSVLNKADEACVMNSFFQPIPMETRKTMLQ >OMO93670 pep supercontig:CCACVL1_1.0:contig08083:31971:32426:1 gene:CCACVL1_06394 transcript:OMO93670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASQILSGIEDEEHSSSESGWTMYIGSSIHQTDQYNYIGEFDCDTHKPEEGYRKNRCYNYEGNRKNDDESDDSMASDASSGPSNYKLPCRSEQNLVMDHYKHETFESTTTEKLHKQVMKKDKRRNKMEKEKLELKTISAGSHVGRRDKTK >OMO93671 pep supercontig:CCACVL1_1.0:contig08083:33896:35350:-1 gene:CCACVL1_06395 transcript:OMO93671 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, decarboxylating MAALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKQEGNLPLYGFHDPESFVQSIQKPRVIIMLVKAGAPVDQTIKTLSVYMEKGDCIIDGGNEWYENTERRERAMAELGLLYLGMGVSGGEEGARNGPSLMPGGSYEAYKYIEDILLKVAAQVDSGPCVTYVGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNEELHQVFSEWNKGELLSFLIEITADIFGIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAAELSIAAPTIASSLDARFLSGLKEERVEASKVFKSRGFDDVLADVAVDKKKLIDDVRQALYASKICSYAQGMNLIRAKSTEKEWDLKLGELARIWKGGCIIRAVFLDRIKKAYDRNPDLANLLVDPEFAKEIIERQSAWRRVVTLAISSGISTPGMSSSLAYFDSYRRERLPANLVQAQRDYFGAHTYERIDVSGSFHTEWFKIAKHSKI >OMO93667 pep supercontig:CCACVL1_1.0:contig08083:5037:9373:-1 gene:CCACVL1_06391 transcript:OMO93667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase MAKDASGTESHQKRPGLLKDQVRVFKRKDRDRYEIVSIQDPLSFEKGFFIVIRACQLLAQKNDGIILVGLAGPSGAGKTVFTEKIVNFMPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLQNVHDLKEGKEVQVPIYDFKTSSRTGYRTLEVPSSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRVGHEPEEIIQQISETVYPMYKAFIEPDLQTAHIKITNKFNPFSGFQSPTYILKSARKFTVDQIKSVISEDHTETEEQTYDIYLLPPGEDPESCQSYLRMRNKDGKYSLMFEEWVTDFPFVISPRITFEVSVRLLGGLMALGYTIATILKRNSHVFSDDRVCVKIDWLEQLNRQYVQVQGRDRLVVKCVAEQLGLEGSYIPRTYIEQIQLEKLVDEVMALPEDLKTKLSLDEDLVSSPKEALLRASADRVALRNRNLKSGMSHSYSTQREKNISNKVGYSANNRRFDERNSESAVANQGVITHLTEQISSLNDRMDEFTTRVEELNSKLMIKRNSSSQQNLAFQAEACNGSAPTSYFINGLGNGSILPNSLSSSQLAKDSPLQEEISTVLRGQRQIMHQLDNLSGLLHEKIGERSQQASTRRKNHLVDAEPIKVPLVLATLAIGGLGIFLFRGFLTRN >OMO93668 pep supercontig:CCACVL1_1.0:contig08083:15844:20453:-1 gene:CCACVL1_06392 transcript:OMO93668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MEDVITELPPPSRFFQEDLNNFISPSPSPPLPFLVFSNPKPDLPIRPSLLIIALSSPSLYIFHQLSTKTLVGSLILPEVPFSGISVEPSLGDRTCNIYSLNDGDNSTLLVSAQLGVSAERSHLIARLLIGADIVPKRVLILDSIQSRNFRGKLSPDETYAFKLETSAERKGLGDGSVGSSLLKGIDYFPSGSMIDGLPAALLTRCQLKNIKGTLCVSWPEFGSPVVALIRSLLKRDVLPSLDVSLKKEEQDQYARFNRIKHQPYDTEFSVILASDPPLTLDYYESTCPTVFEIVKKEMECQVLCDPRNAALVLRLHFHDCFVQGCDGSVLLDDTIDLQGEKKASTNVHSLKGFRIVDRIKNKLESECPGIVSCADLLTIAARDAVILVGGPYWDVPVGRKDSKTASYELARDNLPTANEGLLSIIAKFLYQGLSVTDMVALSGAHTIGMARCESFRGRIYGDFKATSGMNPLSQSYLSDLKSICPAIGSGDNNVTAMDNVTPNLFDNSFYHTLLRGEGLLNSDQEMYSSVFGIETKKLVQKYAEDPIAFFKQFSDSMVKLGNITNSDSFVNGEVRKNCRFVNT >OMO74781 pep supercontig:CCACVL1_1.0:contig11090:2141:8785:-1 gene:CCACVL1_16474 transcript:OMO74781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase (URO-D) MASSVFLSVSLLILLHPLFTQANLHKSKSLFKASLLSQPPTNDSIPPTRYFEVTKPIIVPETKPCSIHVLQHDFGYTYGKPPVSVNYNFPSDCPHQEFSKIVLEWNATCKGRQFDRIFGVWLSGVELLRSCSAEPRATGIVWSVQKDITRYSSLLLLNKTQTFSVYLGNLIDDTYTGIYHVNVTIYFYPAVEKVNPFKGKVEDLGSGADLIIPFSRNLPLNDGLWYEIQNASDVKEQEFEIPQNVYRAVLEVYVSFHENDEFWYGNPPNDYIAANNLTGTPGNGPFREVVVSLDGEVVGAVWPFTVVFTGGINPLLWRPISAIGSFDLPTYDIEITPFLGNLLDGKTHKLGFSVTNALNVWYIDANLHLWLDSKSTKTEGKLLQHDIVPLGVSSVSDFKGLNGTFIINTTRFISSTGWIKSSYGIVTTKSVQNLSFSNSMVMAKDGNLQIVDQRIQFDDIVYPKMPAFHAVSKNSLKRFLFYLYSDYINQGNGTSLSVANVTLGFLEKKSKDPYSWSPRISLKNLQEGQGVMVVKDNLVVSGVASTQQTYSYDGGKLCYTRNVSSSNYTILHDEVRNNCKKRAKIHSGFGLSRWWPSSSRRAFLATHVIDPNGNYACSSLGVKSSSLTVHLGFHSSEINGLPGAFVSSPKKSKLKRFSIACSSSTTDPLLVKAARGDPVSRPPAWMMRQAGRYMAVYRKLAEKHPSFRERSETTDLIVEISLQPWEAFRPDGVIIFSDILTPLPAFGVPFDIEDVRGPVIQSPIRSEDGLKALHPIDMEKLHFVGESLKILRQEVGDHAAVLGFVGAPWTIATYIVEGGTTRTYTTIKSMCHTAPNLLRALLSHLTKALSEYIIYQAESGAHCIQIFDSWGGQLPPEMWEKWSKPYITEIVNVVRSKCPKTPLVLYINGNGGLLERMKGTGVDVIGLDWTVDMADGRKRLGNDISVQGNVDPAYLFSPLPAVTEEIQRVVKCAGSRGHILNLGHGVLVGTPEEAVAHFFEVTKSLKYDSLFQNHAMEESKLLV >OMO74782 pep supercontig:CCACVL1_1.0:contig11090:13854:15949:-1 gene:CCACVL1_16475 transcript:OMO74782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEWKGCVEKPIMLVFWGKKRRINGEKSRKREENDEIEDKVWPDLAIENSARSDGCQG >OMO49500 pep supercontig:CCACVL1_1.0:contig16489:17354:20182:1 gene:CCACVL1_30974 transcript:OMO49500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTILKLPVVSFQHQQQQEQESVQETIQCLPLLSRLTENKPVKEEDVGIMGKEMSFGVKEEKVEKVTVSLHIGLPNSAIEDPVVENKMIFKEEEPMKKSFHGFNSFNTESRFWIPTPAQILVGPMQFACSICSKTFNRYNNMQMHMWGHGSEYRKGPDSLKGTQPAAMLRLPCYCCAQGCKNNINHPRAKPLKDFRTLQTHYKRKHGAKPFMCRKCGKTFAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRSFGKGHSPHPSLEGFEDDKEMECITGSEEDEFAQ >OMO49501 pep supercontig:CCACVL1_1.0:contig16489:26907:31965:1 gene:CCACVL1_30975 transcript:OMO49501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLPYWGGFATTSRPMRAACRGEASQVGRLRHDRRAYGQTLQELHVCVNVSLYRHYEVHETRSHSHAHATGDEDKVMTRKQKADQLKSNNEGRESPKKAKAEVDNDHSNGKSASDVAKEFEEFFKAVGEQLSGEQMREILKANGQQSPGSGSSLVTNCLDTLFYGPLDKCPVCNGDLEFDGNRYSCKGSYSEWSSCVYKTRNPPRKQEAVKLPDSVQNSPVADLIKKYQDPSRRPHRYAGVTDKPFSGMMISLMGRLGRTHQHWKSKIEKHGGKVSNSIIGVTCLVASPAERDRGGSSKLIESMERGIRVVSESWLIDSIEKQEPQPLEAYDIVSDLTVDGKGIPLDKQVGDEAAIESLSAEVKLYGKRSVHKDSRLREQGGKIFEKDGIIYNCAFSLCDQGRGINEYCIMQLIEVPESNVHLYYKKGKVGDDPNAEERVEEMKNVDSAVKEFVRLFEEVTGNEFEPWEREKKFQKKPLKFYPIDMDDGVDVRHGGLGLRQLGVAAAHCELEPLVANFMKVLCSQEIYKYALMEMDLDAPDLPMGMLSNVHLKRCEEILLEFVEKLKSMKESGPKAEALWSDFSQRWFTLMHSARPFIFRDFQELSDHGAAAFETVRDIVVASHIIGDMGGDTLDDPLSERYKKIGCSISPVDKESDDYKMIVNYVEKTYEPLKLADIEYGISVENIFDVEKSGGPSYDEVKELQNKMLLWCGSRSSNMLRHLHKGFLPAICSLPVPGYMFGRGIVCSDAAAEATRYGFTAVDRPDGFLILAVYAQGEEIVELKNPPEDTNSLEEKKSCVKGLGRKKPDESEFINWKDDIKVPCGRLIPSEHKDSPLEYNEYTVYDPKQVCIKFLVAVKYEEKGVVMDTED >OMO49502 pep supercontig:CCACVL1_1.0:contig16489:58387:58488:1 gene:CCACVL1_30976 transcript:OMO49502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGMQPGKPFPGTRATRPDFVGLGYFIIGYG >OMO77592 pep supercontig:CCACVL1_1.0:contig10726:2642:9036:-1 gene:CCACVL1_14950 transcript:OMO77592 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAAQVRPTIGESEIFGLFIDTQKSIFYDKLFNMSGEPFAKIVVVGLKLDNSIKSGKIVVPDEAKKGTTGKKKEEVSSVNQLVNNVGGASYRAPIPNQQSAVLTPQPIGQAFPPRATFRQADQYTYSKLLPQLVQQNLVRRVQYQRPLQPPFPAWYDVNAYCDFHCGAQGHSTENCLRLKQEVQALVKSGKLNFPKVEQPNTTEVQTDSANITGIGGMTRSGRCYSQPMKEPIRRDEEFEKGCPVDKPPVMEQGQSSAQGEIGKPCFTEKEALENLDHIVGSITAGHITFTDEDIPEGGRNSLKALYISVGCNGFHVGRILIDNGSTLNIMSYHSLEKLSVDLSYIKPNNMMVRAFDGTTRSVRGDIEIQMEIGGVEFLMTFQVMDISPSYSCLLGRPWIHMAGAVPSTLHQAVKFRVENKLIEIKAEKDIRATQTHNTPYVEATEESVEDSYRSFEVAEVTHVKGGKLPRPHVTKNWMMQLKQLVGKGWKVGCGLGKRLQGIGEAISTPKYAYTFGLGFKPVWKDRVESKEKMKQRRIARLKGEPYEAGKMEFPPLYHTFRSAGWENSSKKIDALHLATEVSAVRGKFMELSVNAVEDGSEDRCPWIYPAESGSEEVNWTEFEFPVVINNEMPTGNECKDEPDSPANIFERPVCNNDMAEEYAEDSVLPSDLMRLIEQEDRQIQPHKESTELINLGTEENRREMFSHGRIRICRGLNPEVAVHRLPIRPECKPVQQNLRRMKPDMLLKIKEEVKKQFDAGFLEEIRYPEWQANIVPVPKNDGKVRMCVDYRYLNRASPKDNFPLPHIDILVDNIAHHSLFSFMDGFSGYNQIKMAPEDKEKTAFVTAWGTFFYKVMPFGLKNAGSTYQRAMVTLFHDMMHKEIELKLNPSKCTFGATSGKLLGFVVSQNGIKVDPDKVRAIQNLPPPRTQKEVRGFLGRLNYIARFISQLTAKCDPIFKLLRKNNPERGRPLILYLTVFEKSMGCVLAQQEKSGRKEHAVYYLSKKFTEYESNYSPLEKMCCALAWAAQRLRQYMLYHTTMLVARLDPLRYIFVKPGLSGRIARWQVLLSEYDIVYVSQKAIKGSVVADFLADRASNDYEPVKFEFPDEDLMAVMNVGNEVSEESTKWNVYFYGASNMLGHGIGAVLVSPDGDHFPATARLDFPCTNNIAEYVVCVFGIQMAIEKKVKVLEVNGDSALVVYQMKGEWEKRDSKLIPYREYLLELMEQFEDISFHYVPREGNQLADALASLAAMFKFRGGVNIPPIKLSLKETLAHVMSVEEEPDGNPWYYDIVQYLKHQKYPEHATGNDKRVIRRMSLGYFLDGEVLYKSSRDQVLLRCVNSAEAKRILEEVHEGICGSHASRHKMARQVMRAGYYWLTLETDCINYARRCHQCQIHADQIHTPPNPLHVLVSPWPFSMWGIDVIGAINPKASNGHQFILVAIDYFTKWVEASSYSSITQSVVLKFIKKEIICRYGLPERIITDNAMNLNGKLIAAACAQFKITHSNSAPRRPKMNGAVEAANKNIKNIIRKMTETYRDWHEKLPFALHAYRTCARTSTGATPYSLVYGMEAVVPIEVEIPSSRVYREVKLEESEWEQERYDQLNLLGEKRMNALCHGQAYQKRMIRAFNKKVHPRQFKEGELVLKKILSEQRDPMGKWAPNWEGPYVVKKAFSGGALILQKMDGDELPSPINSNAVKKYYA >OMO74042 pep supercontig:CCACVL1_1.0:contig11163:3571:4251:1 gene:CCACVL1_16997 transcript:OMO74042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylan biosynthesis protein IRX15/IRX15L MSSAELSLIASTLHGCPSSPCRLLVFGLTHESLLWHSLNIHGHTVFLDESEYLVSSFERSHPEIEAYDIQYTTKVKHTSDLLSTAKSQMENECKPVQNLLFSDCKLAINDMPNHIYDLSWDVILIDGPRGYFPDAPGRMAPIFTAGVLARSKKKGGKTHIFVHDFERDVESIYSDEFLCEENLVETVDSLGHFVVGKEQEMKSGFCKNSTSSSPSSVSLSSGEDDD >OMO74045 pep supercontig:CCACVL1_1.0:contig11163:38482:39590:-1 gene:CCACVL1_17000 transcript:OMO74045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQCSIQQNAIGGSREEIRSSISVSVMVTDRRETMVCPKPRRLGLLNTAFNDHPVRSLRWQLSHQAELSDSKAGSELLDMILTKGGCGVEQSCTQVASSPPFFCGSPPSRVANPLIQDARFGDEKIIPPLSPLSPVPPPSGLSSSSPSSSSRKGGCIRANFGNKPAVRVEGFDCLDRDRRNCSIPALA >OMO74044 pep supercontig:CCACVL1_1.0:contig11163:12545:14017:-1 gene:CCACVL1_16999 transcript:OMO74044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSSSTSFLLVFTIIFHSCLLHGVSGVELDDHPLIGTKSRETLEIIIGGGGGGGGDAPAPAPDNNEDCEPPPPPPECPPPPPPPPPPPPPPPPPSKPKPCPFSSNGLCFESKLVAKSYTVIQKFKKIVIENEYTKTWNGNDVCNKYKGFACATRPDVKLRAVAAVDFNGAKFAGKNGSLPLHDFINELDDLAIFHANSNNFTGTIPSIGTSNLKYLYELDLSNNKLVMDFPTEVLNAANLTFLDIRFNSFKGTVPSDVFKLDLDVLFINNNKLEQRLPENLGDTPVLYLTFANNRFTGEIPASIGRARNLLEVLFLNNQLSGCLPYEIGNLSQATVFDVSQNKLQGPIPNSFGCLKKMEILNLANNCFYGPVPEMVCQLQKLENFTLANNFFTQVGPACRNLIAKKKLDVRNNCILGLPNQRSKAECDAFFSKPLVCTRQHTFKMIPCIEGHGSWGSTEDSDQESTTTKKTANVPSSKTYSTLYPHRL >OMO74041 pep supercontig:CCACVL1_1.0:contig11163:1220:3188:-1 gene:CCACVL1_16996 transcript:OMO74041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRRGGRRWGEVVEPAGRGGGEKRMAC >OMO74043 pep supercontig:CCACVL1_1.0:contig11163:7452:8690:-1 gene:CCACVL1_16998 transcript:OMO74043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine-threonine protein kinase, plant-type MGNSTFFISLLFFPFLLSQVLCQDTDSPLPAPTPPPLAVSTQRLIFKDRRLAVVYPIIQAFKKTITVDPLNKTGNWVGSDICSYSGFYCDNPPDNVSANALAGIDFNGFLLEAPTLEGFIDKLPDLAIFHANSNKFSGSLPDVSTLPYFYELDISNNDFSGAFPATVLNIKELSFLDIRFNSFQGTVPPQIWDQALEVLFINNNDFATQLPENFGSTRVRYLTLANNKLTGPIPQSIRNLNSTLVEVLLLNNKLSGCLPYEIGYLKELKLFDVESNLLTGPLPCSVSCLEKVELLNFANNLFYWEVPEEICALGNLVNLSLADNYFTKVGPICRKLIKNGVLDVRQNCIHDLPDQKSLLECAEFYLKYIRFCPPPATNKIIPCKPNAPSHDHNWPRSKKAPLTYKTLERHKS >OMP12110 pep supercontig:CCACVL1_1.0:contig00417:7541:12660:-1 gene:CCACVL1_00126 transcript:OMP12110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHIHTITSNFNPVSPPHIPTRRRLPSFTRHVTLSLNGQNNGHDAPHRRSSSSSAEYSVARRAVLLTPFLAAAGSFLQQPPYSQSLAEEVAPPPSQPSTPPVTPPLPVVVDEAVPLSARIYDATAIGEPLAVGKDKRKVWEKLMNGRIVYLGEAEQVPTKDDRELELEIIKNLKKRCIESERSLALAVEAFPSDLQYQLKAMCFSGEIAIYWFPSVEIAYNSESDVDRIDGEELKSYVPHWPAQRWQEYEPLLNYCRDNEIRLVACGTPLKILRTVQAEGIRGLSKAERKVYAPPAGSGFISGISSISRRSSMENHFPTQSIPFGPSSYLSAQARVVEEYNMSQIILKEVADEGTGLLVVVTGANHVLFGSRGTGVPARISKKIQKKNQIVILLDPERQYIRREGDVPVADFLWYSAARPCSRNCFDRAEIARVMNAAGRQRDALPQDLQKGLDLGLVSPEVLQNFFDLEKYPLLSELTHRFQGFRERLLADPKFLHRLAIEESISITTTLLAQYERRKENFFEELDYVITDTLRGIVVDFFTVWLPAPTISFLSYADGADSMDALQGLLGSIPDNAFQKSLAGKDWNLTHRLASVLVGGLKLASVGFISSIGAVAASNTLYSTRKFLNPKSVANQQIRRSPILKTAVVYGGFLGTSANLRYQIIAGIVEHRIADQFPSQTLLVNMLSFVVRTVNSYWGTQQWIDLARFTGLQTRQDKSPSYQTPDSPSPAALECNTVEEANMDEFKNE >OMP12109 pep supercontig:CCACVL1_1.0:contig00417:5450:6850:1 gene:CCACVL1_00125 transcript:OMP12109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate dehydrogenase MSSSSSSSQNTLKVGIVGFGTFGQFLASTMLKQGHTITVTSRTDYSQLCNQLGIPFFRDALPFLEADNDVILICTSIISLSDVLKSLPLHCLTRRTLFVDVLSVKEHPRNLLLQVLAEEMDVLCTHPMFGPESGKNGWKDLSFMYDKVRVRDEVTCSSFLSIFESEGCRMMEMSCEEHDKLAAKSQFVTHAIGRILVEIGIESTSINTKSFETLVKLKENVSNSSFDLFSGLFIHNRFAKQELMNLEQGFEMVKQKLLKMNEEQNLSKSNNAMNGY >OMO88530 pep supercontig:CCACVL1_1.0:contig08996:11666:11725:1 gene:CCACVL1_08318 transcript:OMO88530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESKIKGTGQQQQKDKRK >OMO98599 pep supercontig:CCACVL1_1.0:contig07076:3902:7242:1 gene:CCACVL1_04164 transcript:OMO98599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIARGGSRIFCFKRSALPATKWFPEVRRGTSYAAPLPSETPKHKRVSKDERRAMIESFINRYRSTNAGKFPSVSAIKKEVGGSYYVVRKIAQELEHKSKICSSNSSIENLSGNAFDKDDKVFSVEVLSTVVRVQNIACTEAMNDVKILDSGDKQLEAAGGLQVCTSAEETLSEAVLKPQTAGGHCGVVLDENHMKKEDAKSLEKSEITGLGSSDKLLTVLDNQKFVHVSYQLLESAEQCKTESEAVQSVFCEVKGDFLKQEIELGKVEDDKTEQTASEELLDSGSPELKQESEVGNTESDTKEQTASEELLDSDIPELKQETEVGNAEGDKKEQTVSEELLDSGRPELKAELYQQLEEEKHAKNFSHEKRDDAEHSKQSTLWGNLKSFADGIISMWRKL >OMO60167 pep supercontig:CCACVL1_1.0:contig13772:81451:81513:1 gene:CCACVL1_24359 transcript:OMO60167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNDSNDTSSTSQTVPTS >OMO60164 pep supercontig:CCACVL1_1.0:contig13772:52531:55556:1 gene:CCACVL1_24356 transcript:OMO60164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheromone shutdown, TraB MQNDEYNNNNNSNDVVSMNERSDSTERRQVELPEELSRSVMVLTCESSAEGGNCDVYLVGTAHVSQESCREVEAVISLLKPQVVFLELCSSRVAVLSPQNLKVPTMAEMIDMWKKKHNMFGILYSWFLAKVASKLEVFPGSEFRVAFEEAMKYGGKVILGDRPVQITLGRTWGKMPLWHKTKLLYSLLFQAVFLPSPEELNKMLKDMDDVDMLTLVIQEMSKEFPTLMETLVHERDQYMSSTLLKVASEHSSVVAVVGKGHLQGIKKHWKQPIAINDLTTFPSQKSKVSTGKILASLGIAAAGIAVISGIYIARKK >OMO60166 pep supercontig:CCACVL1_1.0:contig13772:63381:69501:1 gene:CCACVL1_24358 transcript:OMO60166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFLNLTPSRIKDLLLIFSLSISIFLVFRHPQAPLSIAITAPSSSPTRRHHLLFSIACSSNSFSRRSSYIRLWYTPRATRAVAFLDKPLSSSSDPTLPPTIVSGDTKSFPYSFPGGLRSAIRVARVVKEAVNRNETGIRWFVFGDDDTVFIVDNLVKVLSKYDHNKWYYVGSNSESYEQNLKYSFDMAFGGGGFAISYSLGKVLARVLDSCLVRYAHLYGSDARIWSCLAELGVVLTHEPGFHQVDMRGNFFGLLTSHPLSPLLSLHHLDAMEPLFPNMNKTQALEHFFKAVNVDSSRSLQQTVCYDRFNQLTVSVAWGYAIQVYEGNQLLPDLLSVQKTFSPWKRGSNVEAHFMFNAREFPRDSCKRPLVFFLESVVSDKNFVWSNYTRYSDGNCPRTNAIKKLKKVRVVSEKVELDIDQERKRVTKVSYIVSLEPGPVQQISSMFSVLFVLECREARIHNSKPLVNVYSSSQEAYAPTTVEHIVFGIASNDNSWPNRKEYVKLWWKPRQMRGCVFLETMPPNTTSTDDDNTTLPPICISEDTSRFRYTFRGGLRSAIRVARVVLETIALNHSNVRWYVFGDDDTVFFPENLARTLSKYDHQLWHYIGSVSEIFEQNRVFGFGMAFGGAGFAISYPLAKVLAKVFDSCIERYPHLYGSDSRVYSCLTELGVGLTREPGFHQFDVRGNAFGLLAAHPMTPLVSLHHMDHVDPIFPNMTAMKAVEHLFQAANVDSQRLLQQTVCYDRWFSWTISVSWGYAVQIHGKHMYLPDVLPVQESFRQWKRGPFLAGVYNFNTREFHPDPCLRPIVFFLDSVSSGRNKIQSVYKQHYENCTVYLGSPRRLQEIRVSTKKLELNYKQATRRQCCDVLPSTSGELLDLEIRECREDELIYMH >OMO60165 pep supercontig:CCACVL1_1.0:contig13772:58135:59817:-1 gene:CCACVL1_24357 transcript:OMO60165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSRVQCKFYDRVITGEITRLKEHLAGKKGNVATYEKVSSQVRKEVAEQLKTCKETKVTKQKARYELEERIRLGDDGDYEANDSVEDDDADGNPNIAATQLADMDPIIEKSKGDKQPKIASSLLKKAKAKLGKAFAKLVLYEALPARVAESPFLQPTFQVAAEVGRGVRGPSAYEITNAYLNQEYNEIRAWVDRDHIRKKEKLVKKFVVQVVIDNEAAVKAGGKMLMEKRKHLYQSGCSTHCLDLMLEDIGKKTRVKKPLDATKKITTFIYSHTWTIDYMKKSTNGMELLRLGIIRFATNLIALESILKHKRALKEMVAFGTWRRSNYARKPGAFEMMNVIEDTSYWTRAVEIQKVQEPLLKVLRMCDGDTKPTMGYVYEAMDRAKLAI >OMO60159 pep supercontig:CCACVL1_1.0:contig13772:22403:23229:1 gene:CCACVL1_24351 transcript:OMO60159 gene_biotype:protein_coding transcript_biotype:protein_coding description:density-regulated protein MEENHVQEDKSSQQISIERTDHRDEDKREETSKKPKQASKQSLKAAKPTIPQPITLSTEERIVQEKTRWATIKNSNYEQNVEYLNTIFSQLTSRTGFYQKV >OMO60161 pep supercontig:CCACVL1_1.0:contig13772:32051:40029:1 gene:CCACVL1_24353 transcript:OMO60161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSSSLSSSKDELIQLIKRLGTFLALKMSNLFSISLQKLDPRSVGAIAGLAVAIIFTYRLMRSPATPPRRQPKRQAPTTSTSATSAQSSSTLMPSGVCSSSEDSRAQNVVDEFFQPVKPTLGQIVRQKLSEGRKVTCRLLGVILEESSPEELQIKATVKSSVLDVLLEITKFCDLYLMERVIDDESEKNVLLALENAGIFTSGGLVKDKVLFCSTENGRTSFVRQLEPDWHIDTNPEIVSQLAEYFEQLISKRQCHGITVKQNGEFGKGVFAETDFEEEQLILKDQMLLGAQHSSNKIDCLVCSYCFQFIGSIEQQIGRKLYLKRLGVSPHSGCQNDSSDEDEDEDEHFVQNHHNSENGASSSSIGTVPLPKMAVESLMNGEMSLPYSEKFPLPSVVSCPGRCEEPFYCSKSCAEADWESFHSLLCPGEKTKSQSREALLKFIQHANETNDIFLLAAKAISFTILRYRKLKASLVTEHEKSTVPSTLGTSNLSLLLDAWKPISIGHKRRWWDCVSLPDDIDASDEAAFRMQMRELAFTSLQLLKEAIFDKECEPLFSLEIYGHIIGMFELNNLDLVVASPVEDYFIYIDDLPNPQKKEAENITRPYLDALGEDYSVCCEGTAFYPLQSCMNHSCCPNAKAFKREEDRDGQATIIAVRPVCKREEINISYIDEDLPFEERQALLADYGFRCRCPRCLEEEP >OMO60163 pep supercontig:CCACVL1_1.0:contig13772:42702:50375:-1 gene:CCACVL1_24355 transcript:OMO60163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERKLFKTKMCILYQRGRCSRQGCSFAHGDAELRRSSASYSGKRNFQDCDLRDKLDRKLSPDRRNSLGGDDQRVSRGHSSLRSIEKKSDRNQKKKRCLDGQTDFSENFKTSDEIEDLVIEGRAVSSTPKSILQDQLKEMHLKIKPLMNRQLELDRLIEEKIQEAGSLTSQIEELESQLEKEKEKCERITSRIKKLVKAHKRCTYFEDEVKRSEVRLQKFVEQLGLNISGIGGDEENSNIDIVSDGETTGCHMFDPQNEIRSKSSLSKKRLHANQDIAEEPIPNGKQRQEETTTRLRKHSRWSEHPAQSNINKENGSLNNRDSSNLSLASDEKLRREKKVSVGTPIADKLKSAHAGLSLPSISMAAHVVDDEEILEIEEEEKVEGSGLPFLVPLPPPILQNGYSQYEGNDQDVDIDGTDDEMVQVDIV >OMO60160 pep supercontig:CCACVL1_1.0:contig13772:26709:31555:-1 gene:CCACVL1_24352 transcript:OMO60160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESRDWAAIPCDLLRQIVSKTQLLIGDYIRAAAVCKSWQACLKSPPKFPVCLMLAENEEEENNMRCFCTASEDKVMERDLPELRGRRCWGTPFGWLVTFGLDLEIQLFNPLSRACLSLPPQPTFNTFNVGDDFADPTAEGFRRFFLYKFAFSSSPPSPDCMVMAFLSPMRLLGFAKPGDQAWTTIDVAAARLLEDVIYFNGSFFAVHNHGHLLKCQDLDGPSPKAIEFAAPSLMVMMNIMVNQDILLIWTDLFLDMHTRDWEKICSLGDRCLFLGNCCTFSVLASDYPGCVANCIYFTDDHVLYNYGGRSKGFDTGIYDGANKKFYNFSCDRRLAGTNNFVRVYMRVIAGWTDENFKLTSAIAFVTNPFILFSSSLRLTLPQMNLQTFADADVDKDDRINKEEWKAFVLRYAGLLRNMTLPYLKGICTVFPSFVFNTEVEDS >OMO60162 pep supercontig:CCACVL1_1.0:contig13772:41056:42167:-1 gene:CCACVL1_24354 transcript:OMO60162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRTRTNLSAAPVYDDFIPQWERKEEPGANILIIHLPGFAKEQIGVTYVDSSRTLKVQGEKPLDKNRRSRFNQAFPVPQNSIIEKIQGSFRNGILTITIPKQTVTQVSPVKDAKETEPPKAISQQKPPTKDQKETPPPPPPKAASTSNSKTPSPQTPQKAITDPKSPKGPQTAPSKSAPSPTTRGGEREKQANGKKVEPPKQPEETQKKVAPPATTAAKQTEEKAENVEKKAESSAAGLKNLVQEKEKKDSPMPESNLPKVPEKEKKLDEKAKEEKKGNEGGGFMAKAKEIKGMESIIKTVKRLGTDDYEERQLLLNIGVSVLVIVALGAYITYTYRSSGKPKD >OMO98861 pep supercontig:CCACVL1_1.0:contig07000:13672:17030:-1 gene:CCACVL1_04016 transcript:OMO98861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSFSASISTSTPSLNPPSKTHKALATSSSLGFLASASRSLRSLKSSRLSTGNGCGSAMAARMVSSAPAVKAPTSLDFETSVFKKEKVSLAGHEEYIVRGGRDLFKLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKVGLRKGSRSFAEARAAGFSEENGTLGDIWETISGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSMGLDFPKNFSVIAVCPKGMGPSVRRLYVQGKEINGAGINSSFGVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGLVECLFRRYTENGMSEEDAYKNTVECVTGIISKTISTQGMLSVYNSFSEEDKKEFEAAYSASYYPCMDILYECYEDVASGSEVRSVVLAGQRFYEKEGLPAFPMGKIDQTRMWKVGERVRKTRPAGDLGPLYPFTAGVYVALMMAQIEILRKKGHSYSEIINESVIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDNGAPINQDLISNFLSDPVHGAIEVCAQLRPTVDISVPPDADFVRPELRQSGN >OMO98870 pep supercontig:CCACVL1_1.0:contig07000:55020:55433:-1 gene:CCACVL1_04025 transcript:OMO98870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNKEGGIVKKGHDEGLKMAVSLLQEFELPDGLLPLANVIEVGYVKETGYMWIQQQKKVEHEFKMISKLVSYDSEINGFVEKKRIKKLKGVKAKELMLWPPVSEITVDEKTPGKIHFKSLAGITKTFPVEAFAAGQ >OMO98863 pep supercontig:CCACVL1_1.0:contig07000:24837:26739:-1 gene:CCACVL1_04018 transcript:OMO98863 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MELPTETPESGDDKMQYTIKTQKLSYWLSQPVDDFSWFFWKNARASRKILKNVNCVAKPGEMMAIVGPSGAGKSTLLDVLAGMIPMSKLSGNVLVNNQPMDPRHFRRVSGYVTQGEVLFPLLTVEETLMYSARLRLRDGHDKAAARVKELLVELGLQHVANVRVGGESNRGISGGEKRRVSIGVDLVHDPAVLLIDEPTSGLDSVSALDVVLLLKSMAVKQGKTIVLTIHQPCHRILELFDQVLLLSNGTVLHQGSLSLLEQRLRFAGHFIPQHVNILEFAMEVTEALLIDAEEGNIADVEQQDIGNPDDDDQLSYVKESNLSYANSLFKEVLILGQRFSNNICRTKELFVTRTVQSIIAGIVLGTIFMNAFSVSKLQTQIGFLAFTLTFLLSSTTEGLPIYLQERRILMRETSRGAYRISSYVISSTLVFLPFLLIIALLYTVPVYWLVGLRRRIDAFLYFSLVVWIVLIMSNTFIACFSALVPNFIMGSSLIAGLIGAFFLFSGYFISKEDIPKCWIFMHYLSLFKYPFESLMINEYGGESGQNRCLETVGGQCFLYGEGLLMQQGPIEQKVEGLSATETDLNNLLDSLYFYLPSQTQRE >OMO98869 pep supercontig:CCACVL1_1.0:contig07000:53923:54229:1 gene:CCACVL1_04024 transcript:OMO98869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQRGDKRIQGIHHTFGKYKTNN >OMO98865 pep supercontig:CCACVL1_1.0:contig07000:38744:38878:-1 gene:CCACVL1_04020 transcript:OMO98865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTRQRETGRAARGRRETRPRGREESGPASAGGGEKWRRKKGN >OMO98862 pep supercontig:CCACVL1_1.0:contig07000:22265:23676:1 gene:CCACVL1_04017 transcript:OMO98862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFSWSSNSYSSGFLINSGCQGKTRNGSFSSSSSSSLVLDTERGELVEAAMNLPRKGVSAERNVVALKNHSEAERRRRARINGHFDTLRDLVPGAKKMDKATLLAEVIGHMRELKKTAEEACDGILIPTDTDEVRVEKQGDGMDGNPCLIRASLCCDYKPGLLSDLRRALDALHLIIVKAEMATCEGRMKNVILVAKASCKEWDSKEASSSISTSTLDQTLRLLDMIYLL >OMO98868 pep supercontig:CCACVL1_1.0:contig07000:49206:52527:1 gene:CCACVL1_04023 transcript:OMO98868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MSSHFKRPSLDSLTSRYRDSLSLTATHHDVNEKEMNKPDFRELDLGSPVSPLLTTRVSSNNNSGNGCAAVTTTSSSSSSSSAGSVTGKNTTAHLPKTNGLNSGNSGELSETSPSMPGSAQTSRNLRPGHRRSVSAGPPLIYSGSSFSGATSSASSSVCSNPSTPNVLPSGNICPSGKILKTMASRPSNKTDTLGGGKGNYGHGSIMRGGVVAGGGGAKLGSTSDPEELKRAGNEMYKKGNFVEALALYDKAISISPENAALRSNKAAALTAVGRLSEAVRECEEAVRLDPGYGRAHQRLSSLYLRLGLPDNARNHLCFHGQHPDPAELQKLQLLEKHISRCADARKIGDWKSVLRETDAAMAVGADSSPQLIAGKAEAYLKLQQVVDADSCLSNMLKLEQHTPSSQTRFFSMVAEAYTLFVQAQVEMALGRFENAVSAAEKAGRIDYSNVEIAMLLNNVKMVARARTRGNEFFNAGRFSEACSAYGEGLRYDSSNSVLYCNRAVCWSKLGLWEKSVEDCNQALKIQPNYIKALLRRAASNAKLGRWSDAVRDYELLRKELPGDNEVAESLHSAQVALKNSRGVDLHTMKFSGEVEEVSSLDRFKTAISSPGISVVHFKVAANEKCEEISPFINLLCVRYPSVHFFKVDVEESLTVARAESIRMVPTFKIYKNGDKVKEMICPSHQFLEDSVRNYIL >OMO98866 pep supercontig:CCACVL1_1.0:contig07000:39869:44603:1 gene:CCACVL1_04021 transcript:OMO98866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQESQKPKKVNSLEKRDMGLIEGVNKDSLDDNHDQDEHSESSESHEAVDESNSSEDEVAPRNTIGDVPLEWYKDEKHIGYDITGKKIKKKERQDKLDSFLASADDSKNWRKIYDEYNDEEVELTKEETKLIRRLLKGKAPHAEFDPYADYVDWFKWDDAIHPLSNAPEPKRRFIPSKWESKKVVQYVRAIRKGWIKFDKPKEEPPFYLLWGDDSSSADRTKHLAYIPPPKPKLPGHEESYNPSLEYIPTQEEINSYQLMYEEDRPKFIPKRFTSLRSIPAYENAVKDSFERCLDLYLCPRVRKKRINIDPESLKPKLPSRKDLRPYPLTCYLEYKGHDDAVLSISVEPSGQWIASGSKDGTVRIWEVETGRCLKVWEIGEAVQHVAWNPLQELPILAVSAGPDVLILNTGFGNEEQQRKIKELLHIGTTTSSNDSDDLSDYLSWLQDEKHDCIRLRHYRLITCSYSRVFLNLLTRESRAILIHQLSKKLTQRLPFKLYGLAVTSVFHPTRSIFFVATKKNVRVYDLLKQKLIKKLETQLREVSSIAVHPAGDNVIVGSKEGKLCWFDMDLSSKPYKTLKCHPKDITNVAFHRSYPLFASCSDDCTAYVFHGMVYADLNQNPLIVPLEILRGHKSSDGRGVLDCKFHPRQPWLFTAGADSSIKLFCH >OMO98864 pep supercontig:CCACVL1_1.0:contig07000:36576:37006:-1 gene:CCACVL1_04019 transcript:OMO98864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQKNQPEMQPATATATATPAPAVSSASATPTPAASSCRKKKNEQATFLEDIKDHIDEFINASMDEHKTCFKKTIQKMFGMSKVVAEKNAAESKEVESVLPLQTTVAK >OMO98867 pep supercontig:CCACVL1_1.0:contig07000:46472:46561:-1 gene:CCACVL1_04022 transcript:OMO98867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGERGQLAMCRVPCQLGHSGGEGNPKQ >OMO49670 pep supercontig:CCACVL1_1.0:contig16442:2656:4552:1 gene:CCACVL1_30859 transcript:OMO49670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSYCWLLYHVIHQLTEELGSLEQIGGTAFNTMVVGYNNVDVNAANKMVLQLGVLTEITAELAGSLSLAAAGRTVEADVFMRVGLYDGWLPHLLCFKVVYLQVPIPLFDLAVQEEGDNIKSRFPILYQVEIVVG >OMO88881 pep supercontig:CCACVL1_1.0:contig08899:11504:15091:-1 gene:CCACVL1_08143 transcript:OMO88881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MQRGKLLNFEFEDDESGPLDSKPIAELSSDDDEANEDLSLKIVEKALLMKAAKLNENKESASDDGDVVPGVNLASLSSPEAEIDVAGTSGVGDEVADLDLKSKKVVKRKKKKTKVAKDIITDDGNKTEMIKKVETVEEAAVGSLDPNAVDISDNIVLRKLLRGPRYFDPPDSGWQTCYNCGEEGHMAVNCPSASKRKKPCFICGSLEHGVRQCSKAQDCFICKKSGHRAKDCPDKHKSGSKNSKICLRCGDSGHEMFSCKRDYSYDDLKDLRCYICKNFGHLCCVNFVDTSSREVSCYRCGQLGHTGLSCGKSHGLTNETSDNGSPSLCFKCGEGGHFVGRKYRESSTPKVRSRRENKDIWGYKSAPRDHGKSPKRKTFLYEEDDDFFGYKSVPNGHGKSPKRKTFMYDENDDFFGYKSAPHDHGKSPKRKKLQYEEKGHSTPRQIKQRGGWIAEDPEEFSHRKSKRNHWSSPSTPSYDGRMKSITSSGRTSGSQSSKQNSHRYSASRFNNFGNNVPGTNYHWW >OMO88882 pep supercontig:CCACVL1_1.0:contig08899:17703:19699:-1 gene:CCACVL1_08144 transcript:OMO88882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGRSKWGVDLVLVLGLVLLGMVEDSMEVSRNHPMRGIVDKVNEEGAPYVGLVMAYPTEEMALQSSGFFLPDSDIPWIDLAGRRFNIGSINGVKVIYVMTGEKTANAALTVQALLDNFDVQGIVHYGTAGSTNDSLSFGDVSVMNYVAFTSSWKWKEFFMSEKGQLPTLTFGAFNFPEKGENLLAKIEFTPVQLYSKGKQMEEMFWLPIDSNWLNIAAQLQNLTLQQCVNETYCLPSRPKVVYGLKGSTADIFLDNAAYRKFLFKQFNVSTVDEESAAIVLTCLTNEVACIVFRGVSDLAGGNGYGRSLSSASLSSLASVNALTVAVEFIRFIGRTNFYQ >OMO88883 pep supercontig:CCACVL1_1.0:contig08899:20465:23157:-1 gene:CCACVL1_08145 transcript:OMO88883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRSVKVNNVSLGASEQDIKEFFSFSGEIEHVEMQSDNERSQIAYVSFKEAQGAETAVLLSGATIVDQSVTIELAPDYKPPATVPAAQAIENASDSQAGSAVKKAEDVVSSMLARGFILGKDAVNKAKSFDEKHQFTSTATATVASLDQKIGLTEKISAGTTIVNDKVREMDQKFQVSEKTKSAFAAAEQTVSSAGSAIMKNRYVLTGATWVTGAFSRVAKAAGDVGQKTREKVIADEEERAQKPPEGYAPINS >OMO88884 pep supercontig:CCACVL1_1.0:contig08899:28244:28318:-1 gene:CCACVL1_08146 transcript:OMO88884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRRRIKKSGDSDDGRGEKKALLKE >OMO88880 pep supercontig:CCACVL1_1.0:contig08899:8461:10929:1 gene:CCACVL1_08142 transcript:OMO88880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKKRKEMCCSSSSSGRKRGRPRKTDSTTSLANRIEKKYKLSGELEWVDQIPECPVFHPSIEEFQDPLAYIQTIAHEASKYGICKIVSPWKASVPASDVLTKEQPGFEFDVYLQHLRLHEWNENDQATFLMVKGKRTYKSFEKVANKEFAKRLGKQPTAASLPPAYVEKEYWRAMGRGIGQVEYGANVDGSAFSSHLNDQLGQTNANLKTLPLLPQSALRLLDYQIAGVTVPMLYIGMLFSTFAWHVEDHFLYSINYHHSGAPKVWYGVPGNEASEFDKVALEHVYTPRVLPRGGVDGAAAKLSEKTTMFPPNLLLENHVPVYKAVQTSGEYVITFPRSYHGGFNAGFNICEAVNFTLDDWFPLGASAGHEYAKNCKLGNIPYEELLSKEAVNLSKSAVLASQSPVKTCLIHHCRSLFKHHLQLNRLGAEFFDSPKSQGTIVCEVCKRDCYLSFVECDKCFRVSCLFHEFKSLNCCHLHKPKVEVNSTEQQCEGKLVVFVREDINDVADAYEKFQQEHCSVPLNKQKTQATGEGTTTTETGEKGEGPSSLIALSFRQEETQG >OMO53765 pep supercontig:CCACVL1_1.0:contig15159:12995:21937:1 gene:CCACVL1_28364 transcript:OMO53765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKEAGAQRFSDDWANIRCDLLSYIVSKTHPSMQDFVRMAAVCRPWQASLKDPKPKFPIYLMLGEKEDDNDDRRCFLTASEDKVMEFELSEIRKRCCWGTPFGWIATYGFDDEIMKLVFVI >OMO53769 pep supercontig:CCACVL1_1.0:contig15159:69964:70624:1 gene:CCACVL1_28369 transcript:OMO53769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAAPPPKSPPLPPQETALHQDDATDEDENVKQLGDCSAVYLSLQDCLINSNRDWKSCQKEVQALKACNERRNNSKKK >OMO53767 pep supercontig:CCACVL1_1.0:contig15159:52191:61362:-1 gene:CCACVL1_28367 transcript:OMO53767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAMKGIVIRSSTDVYKQRLLKGKFMDHHHNQQLDLHRESFFGAMRVPPRCRGLGLTTRCISHDQYHSNSNSNQSNGKKVSSGGSKQRRLETRAEAILTPVSDPTPTLNKRVFTFGKGRSEGHKGMKSLLGGKGANLAEMSSIGLSVPPGLTISTEACQEYQQNGKKLPEGLWEEILEGLKTVEEDMGATLGDPAKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVAGLAAKSGERFAYDSYRRFLDMFGDVVMGISHSLFEEKLEKMKEEKGAKLDTDLTATDLKELVEQYKNVYIEAKGEKFPSDPKKQLLLSIKAVFDSWDSPRAIKYRSINQITGLKGTAVNIQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEELDTMKSYMPEAYKELVQNCEILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVNEGLVDKRAAVKMVEPQHLDQLLHPQFEDPSAYKDEVVAMGLPASPGAAVGQVVFSADDAEEWHAQGKSVILVRTETSPEDVGGMHAATGILTARGGMTSHAAVVARGWGKCCVSGCSDIRVNDAEKVFTVGDVVIKEGEWLSLNGSTGEVILGKQPLAPPALSGDLETFMSWADEIRRLKVMANADTPEDALTARKNGAQGIGLCRTEHMFFASDERIKAVRKMIMAVTLEQRKAALNLLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGDLEQIVSELTSETGTTEEEVFSRIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFQAAVSMSNQGVKVLPEIMVPLVGTPQELGHQVSLIRSIAKKVFSEMGSSLSYKVGTMIEIPRAALVADEIAKEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSKGILQNDPFEVLDQKGVGQLIKIATEKGRGARPSLKVGICGEHGGEPSSVAFFAEAGLDYVSCSPFRVPIARLAAAQVAI >OMO53766 pep supercontig:CCACVL1_1.0:contig15159:39690:40913:1 gene:CCACVL1_28366 transcript:OMO53766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEFREWAALPCDLLGDIVSETDVLIDDYIRAAAVCRSWQACLKQPKFPVCLMLTEDEDEDNNMRCFCTASEDKVMERELPELRWRRCWGTPFGWLVTFGLDLQIQLFNPLSRACVSLPPKPTLNPFHYDDGLGDPTPEGFRRFFLHKFAFSSSDPTSPDFVVMAIYTCRQALLGFAKPGDQAWTTIGDANDALACYDVTYFDGSFFAVCCDGVLVKCQDLDGPRPRFVEFAESPRYERDHLHSQNYIVDLNGHLCMILRMIGIFEDVKAEEIENDEAEEIENDECWNETVEFVIFKLDMQSRNWERMVSLGDRCLFLGNCCTFSVLATHYPGCTPNHIYYSDDDYLYYEKRGGYDTGIYNFDFDFDVDDEEEKRRLLLPQYEYEEESDGFRSKFCPPLWILPSSH >OMO53764 pep supercontig:CCACVL1_1.0:contig15159:7346:8428:1 gene:CCACVL1_28363 transcript:OMO53764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVCRSWQTSLKHRKPKFPICLMLGEKEDDNDDRRCFVTALEDKVMEFELSEIRKRRCWGTPFGREHGRYTYDNEEDGIVIENCCFTEGFGMVRLETNTRNRDEISSLGDRSLFLGNCWTFTVLASDYYGCISNCIYFTYDFLYDDYHDLEGTNCGGGIDTGIYHCDDKEALIRLPDVGDDDQPFRYFPLQFGSSQVLIDKPIDL >OMO53763 pep supercontig:CCACVL1_1.0:contig15159:403:1623:1 gene:CCACVL1_28362 transcript:OMO53763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSDSFDFKELESASSEVFRNWANLPCDLLSYIASKTHPSMQDFVRMSAVCRPWQASLKDPKPKFPICLMLGEKEDDNDNRRCFVTASEDKVMEFELSEIRKRRCWGSPFGWIATYGLDDEIGLFNPLTKAYLSLPPLGDRALEVEDMDRSKESLGLKFIFKLLLSSCPTSPDCIVMAIYGSACDLTFTRMGDEAWTPIESNRSISDVTYFNGNFFAVTILGELLICQGLEGPSPKAVEFADPPPQFEKFEVADFLKYMVELDGHLCMIGRKHGRYRYDNEEDGIVIENCCSTEGFGMVRLDIDTRNWDEISSLGDRSLFLGNCCTFTILASDYYGCISNCIYFTYDFLYEGYHDLEGTNCGGGLDTGIYHCDDKETLIRLPDVGDDDQPFRSIFSPPIWILPSSH >OMO53768 pep supercontig:CCACVL1_1.0:contig15159:64090:67805:-1 gene:CCACVL1_28368 transcript:OMO53768 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MASVVLKGSSSSSSQVSSLISIQEKGSRNKRKFRADPPLGDPNKIIPSPQNECTSYEFCAEKFEITPVHGQASACDLCGVSQDHSDGLKLDLGLSSSLGSSEVGPSRPREETESDEFQDADWSDLTEAQLEELVLNNLDAIFKSAIKKIVACGYTEEIATKAVLRSGLCYGCKDTVSNIVDNTLAFLRSGQDISSRDHPFEDLQQLEKYILAELVCVLREVRPFFSTGDAMWCLLICDMNVSHACAMDGDPLSGFVSDGASNGSSSTSNQLKTEAKTSDMNLPNPCKPVPCIPCSHSSLPEVGSVGVNKTAKSKNPLVLSGIVSEKEGTNTISDSADKTFSAAGPSQSSTLEEKFVGSRKFHSTKREYILRQKSLHLEKNYRTYGSKGSSRAKLSGLGGLILDKKLKSVSDSAAVNIKNASLKIKAMGADVTQDNGSHNVSVHSGPSSSATFCLDNGNNVSAVPKTNMPTALPPVTMPPALPPINNPPALSTADTELSLSLPTKSNSIVVPPVSHSEAANSSFAGLPYDKSLGQWVPQDKKDEMILKLVPRVRELQNQLQEWTEWANQKVMQAARRLSKDKAELKTLRQEKEEVERLKKEKFNLEESTLKKLCEMESALTKASGQVERANATVRKLEVENAALRQEMEAAKLRAAESAASCQEVSKREKKTLMKVQSWEKQKTLFQEELVAEKRKVEQLLQEVQQAKILQEQLEARWQQEKKAKEEVFTQASSIRKEREHIEASAKSKEDMIKLKAETSLQKYKEDIQKLEKEISQLRLKTDSSKIAALRRGIDGSYAGRLTDGRNGMAQKESWTPYISEVVTNIQDFSGTGGVRRERECVMCLSEEMSVVFIPCAHQVVCTTCNELHEKQGMKDCPSCRSPIQRRIPVRYARS >OMO53770 pep supercontig:CCACVL1_1.0:contig15159:80076:96598:1 gene:CCACVL1_28370 transcript:OMO53770 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MAKLKIEIQATQKGSSDCQSHSRPLSVFLFSFPSTTSTANAGMSVRDVAICVVTSPDLSRSIYSDRCMIGKNIKKSPMHQPNFANNAARQQPQPQVYNISKNDFRNIVQQLTGSPSQDPLPRPPQNPPKPQSMRLQKIRPPPLPQINRPHIPPPVPMPVPGPGPGPAHAPAPVPPPAPYNPSWVRPSPYGQPSPTMLQPLVPGDGAWANTAESPISAYMRYLQTSLIDPSPVGNQVQHPMQPPVPGHPQAPPPSSGLLPNPPMPALPSPRGVNGPVPPMPNLPSPRMNGPVPPMPNLPSPRMNGPALLPSPTSQFLLPSPTGYMNLLSPRSPYPLLSPGVQFPPMTPNFAFSPMGQSGILGPGPQPPPSPGFMYPLSPSGFFAFPSPRWRDQ >OMO56158 pep supercontig:CCACVL1_1.0:contig14552:60278:60918:-1 gene:CCACVL1_26734 transcript:OMO56158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MLKNMCSMVALTYLKEFRVKKVVDQLPRYRAVTSAYYRGAVGAMLVYDITKRQTFDHIPRWLEELRGHADKNIVIILIGNKCDLENQRAVPTEDAKEFAQKEGLFFLETSALESTNVETAFTTVLTEIFNIVNKKNLVASENQGNGNSASLAGKKILVPGPAQEIPAKSNMCCRS >OMO56159 pep supercontig:CCACVL1_1.0:contig14552:75430:82386:1 gene:CCACVL1_26735 transcript:OMO56159 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MEEEEHEVYGGEIPDEGEMEGDLDPHNADVDMSTADDDAVQELDEMKKRLKEMEDEAAALREMQAKVEKEMGAVQDPASAAANQASREEADSRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKYGQPKGFGYVEFLEAEAVQEALLLNESELHGRQLKVLPKRTNVPGMKQFRPRRFNPYMGYRSRRPYVPPYFYSPYGFGLRAMAQNFALKEIKPNTSKGRVTGISGPDRLTSSRDLVEETYYLFVRVVKVKELPWNAALGNCDPFVEITVGNYKGRTAYLGNKSNWNEVFAFRKERIQTSTMDIVVRERALPNDEFIGKICFNISVIPTRVPPDSPLAPQWYKLDDKTGISLGMGELMLAIWVGTQADEAFPNATNSDLTIVHGDSIVNTRSQVYMQPKLWYLRVSIVEGQDLVSKNKTPEVYVKANLGFVSLKTRVSSNKSLNPKWGEDLMFVAAEPFVESLILTVVEKQEDKTEVTLGKCEIPLSKVSKRNLPEAVGAKWYDLKQVVAEGSGQAKDAKFATKLSMKVSLDGGYHVFDEPVHVSSDFRPTFKQLWPGTVGLLELGILGATGLIPMKSRNGRGTTDAYCVAKYGPKWIRTRTAVDTFAPKWNEQYAWDIYEPYSVLTIGIFDNCHLHGEGMLVGPKDPKIGKLRIRLSTLTTDKLDSLRQQAVDILSMRLTRTEPPLRKEVVECMLDADAQMWSMRRAKANFERLKAVFGVFVNAWKVFGDLRKWKNGISSLKALLAGLIVVFYPTRVLLWGLAFLTLYCAVVVFKRFWKLPKAPPFMNVKLSLAESVNADDLDEEFDSFPSSKQGDVLRMRYDRLRSFAAKIMHMIGDLACHGERINSLWTREDPRAPWIFLVACYVAAGCIHCISDIDHPWDWKIIAGSVWLYVIRPPFLRRDVPSAPSIFFRKLPTKVDRLL >OMO56154 pep supercontig:CCACVL1_1.0:contig14552:44387:45802:-1 gene:CCACVL1_26730 transcript:OMO56154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MAAAAEPLRPGFYSETCPPAEFIVRDVMRKAMIREPRSVASVMRFQFHDCFVNGCDGSLLLDDTPNMLGEKLSLSNIDSLRSFEVVDEIKEALEKACPGTVSCADIVIMAARDAVALTGGPHWVVRLGRKDSLTASQEDSDNIMPSPRANASFLIDLFSQFNLSVKDLVALSGSHSVGEARCFSIMFRLYNQSGSGKPDPAFDPCYREKLDKICPIGGDENVTGDLDATPRIFDNQYFKDLVAGKGFLNSDQTLYTFPQTREYVKVFSEDQDEFFNAFVEGMLKMGDLQSGRPGEIRTNCRVVNSRPVDV >OMO56150 pep supercontig:CCACVL1_1.0:contig14552:15322:17214:1 gene:CCACVL1_26725 transcript:OMO56150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLEEEPTMMNPMDSGKVADIHTELTAVKDALNGAIEQLKSKDRCIESLKYEIGKAKELEMKLAEKEASYIKLKDELIKVQSFESEAMELLSEGKKRIHELEEEIEKGKESEKKIYDSYVAIRKQFEETNMLLEVSRRETDSLHTKLEKMEASSSENASQSSVEDYHEEAMETLKSELQMVKESLLKAQENEKISSENAKSLAEEVDSLKDELKTTAEAEENSKKAMDDLALALKEVLTEANQTKEKLALTQLELEKTKGETENLKVKLKNKDEKYKEAKKEADRYKNTSERLRLEAEESILAWNGKETGFVECIKKAEEERNSAQEECKHLMESLKEAEIMYEKSKEENQKLRDIMKQAINEANVAKEAASIAREENSQLKDSIAKKDEALNFLSQENENLKINEAAAFENIRELKKLFCEATGTATTPTPKEWEITTDHQDQEQSKKQIITPLPSVDHNKEHNKEEKEQHKEHTKKPKHHHHRYSASTCLSIKIPYYHYKEPVVFDEDDEDEDLVIRIPPKESDEESDSDNSDPLRGSIFDVAETPDKARVAAAAHRRKKSFNSTLTDDEVLAGEEFDHFDAAHFDEDSDRNSRKKRALLRRFGDLIRRRSFQQKKEPPSPLVEENK >OMO56148 pep supercontig:CCACVL1_1.0:contig14552:9261:9970:1 gene:CCACVL1_26723 transcript:OMO56148 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3 MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OMO56156 pep supercontig:CCACVL1_1.0:contig14552:50862:51768:1 gene:CCACVL1_26732 transcript:OMO56156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVSPEGLRLDGRRPMEMRQLRAEIGTVAKADGSAVFEMGNTKVIAAVYGPREVQNRSQQMNDQALVRCEYSMANFSTGDRMRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIFVQVLQADRGTRSACINAATLALADAGIPMRDIVTSCSAGYLNSTPLLDLNYVEDSAGGADVTVGILPKLDKVTLLQMDAKLPLDIFENVMGLAIEGCKAVANYIREILLENTKQLEYRRGL >OMO56149 pep supercontig:CCACVL1_1.0:contig14552:11276:11449:1 gene:CCACVL1_26724 transcript:OMO56149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNAMLGSENYHVSVALRLLDNCSTICPTTTSSPGTLSLPVTGKMGVSKNPRDFLI >OMO56152 pep supercontig:CCACVL1_1.0:contig14552:29311:32339:1 gene:CCACVL1_26728 transcript:OMO56152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lanthionine synthetase C-like protein MPSVLEFAASKESHEEGTNNNERLDHLLNLDPTVSDLSLPSDTFLQAAIALKNQVVEVTWKEGGGGGSCVGSRTGIDPTVYTGLLGTAFTCLRSYEVTGNQQDLLLSAEIVDTCASVARASTRHVTFLCGRGGVYSLGAVVANYMGDHQRLNLFLNLFLEVAQERALPVGPEEGGLGMSYDLLYGRAGFLWAALFLNKHLGKGTVPDDVLMPIINAILAGGRTGASDHPACPLMYRWHGTRYWGAANGLAGILQVLVHFPLSEEDAKDVKGTLRYMMSNRFPHSGNYPSSEGNPRDKLVQWSHGATSMAITLAKASQVYPNDRDFRDAAIEAGEVVWKNGLVKKVGLADGIAGNAYAFLSLYRLTGETIYEERARAFASFLYHNARKLVNTGSHGRGVDHAYSLFQGLAGTACLWFDLVEPQSSKFPGYEL >OMO56155 pep supercontig:CCACVL1_1.0:contig14552:47909:50169:1 gene:CCACVL1_26731 transcript:OMO56155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRSALRLSPLKTSAAATHHTKEEEEEPIKTSHSLVGSHQSLER >OMO56153 pep supercontig:CCACVL1_1.0:contig14552:35479:42563:1 gene:CCACVL1_26729 transcript:OMO56153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEILKDIGSGNFGVAKLVRDKWSGELYAVKYIERGPKIDEHVQREIMNHRSLKHPNIIRFKEVFLTPTHLAIVMEYAAGGELFERICTAGKILLSATDIRSELLPCNGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIQRILSVHYSIPDYVRISKECRHLLSRIFVANPEKRITIPEIKQHPWFLKNLPIEFMEEENGNLETDQENDNDQSQQSIEEILSIVDEARKPGEEGPKVGSQFLGGSMDLDDLDDADIDDIETSGDFFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKTGKKVSKAPKIQRLVTPLTLQRKRARISEKKKRVAKAKSDAAEYQKLLAQRLKEQRERRSESLAKKRSRLSAASKPSIAA >OMO56146 pep supercontig:CCACVL1_1.0:contig14552:297:7668:1 gene:CCACVL1_26721 transcript:OMO56146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cdk-activating kinase assembly factor MAT1, centre MAEDDDVVPKTFRALVESADRKFARVRDFPSYGRAQGQHYFQKVFKAYMRLWKYQQEHRNELVKAGLNRWEIGEIAGRIGQLYFGQYMRTSEARFLVEAYIFYEAILKRRYFEGSNVKDLGVRFKELRFYARFLLVSLIMNRTEMVKVIVEKLRALVDDCKANFRETNFKEWKLVVQEIVRFMNVDTNFTVSSARPFRFCAKFDCHPNSVPYVARFHAKKILKFRDAILMSYHRNEVKFAELTLDAYRMLQCLEWEPSGSFYQKHPAEPKENGVAVEFSGTSGLIDMNLAADMTDPTLPPNPRKAILYRPSVTHLIAVMATICEELPPESIMLVYLSASGKPGHINASQVETSGGSRRTIRSKLASQNSVEQNCSTPETHINGKKGSSDFYDDYLWLGPKSNGGSSNLYPGDIIPFTRKPLFLVIDSDCSHAFKILHGAERGEQAALLLSPLRPSFKEPSSANITQNGSQFTFFLTAPLQAFCQMVGFSLSDCNVEVLNSAENILYTTFSKWEVMLCKSPSLDLVWAQVLSDPFLRRLIVRFIFCRAVLYAFCPPEESDQCLPVCLPQLPNSFSPKADVVQSSVSQLADLLKVADCFHFDDTFYGTVAPQISPPVGLSGVLQRFNKREEDFPSLREYNDYLEEVEDMVFNLVEGIDVQAIEERISKYQKENAEQIMINQAKKAEDLAAALAACKGVPLQADTDAGLNQGLQGGFGAAAQGQYAPTVAGGQPRPTGMAPQPLPLAGGVDMHGYALDDEEMMKLRAERGGRAGGWSIELSKRRALEEAFASIWV >OMO56157 pep supercontig:CCACVL1_1.0:contig14552:53941:59396:1 gene:CCACVL1_26733 transcript:OMO56157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial Fmu (Sun)/eukaryotic nucleolar NOL1/Nop2p MGGRRGSRTQRKHFKQSRENVWKRPKTDSSSDPNNPNSNSHHWQPFATQNPSFDEYYKEQGIVPPEEWDAFNEMLRKPLPAAFRINATSQFCEDIRSQLENDFMKSLQAEANEESDLEPIKPLAWYPDNLAWQSNFSRMQIRKNQTLGRFHEFLKLETEIGNISRQEAVSMVPPLFLDVRPDHFILDMCAAPGSKTFQLLEIIHHSAKGGSLPDGMVLANDLDVQRCNLLIHQTKRMCTSNLIVTNHEAQHFPGCRFKSIPNGSETTNEMEQSMNQLLFDCVLCDVPCSGDGTLRKAPDIWRKWNGGMGNGLHCLQIHIAMRGLSLLKVGGRMVYSTCSMNPVENEAVVAEILRRCGGAVELVDVSDELPQLIRRPGLRKWKVRDKGVWLASFKDVRKLGRNGIAASMFPSGRNYVDSHSTDNIQKNENGENVNSENGVQPENPDSSTDNLEEEVSDLPLEHCMRIVPHDQNTGAFFIAVLHKVSPLPAIQDKSVALQGNSSTKSDENLSGQVTEELNVLKGSSGDGTDEVAFEEDEKISELASEADEKVSEVTSEADEKMSEVAVEPDLLDNTPNAISSETAEASADKEVDPAKAGEKRKLQMQGKWKGVDPVLFFKDETIINSIKEFYGIDESFPFSGHLVSRNSDANHVKRVYYVSKSVKDVLDLNFRVGQQLKITSVGLKMFERQSSREGSTAPCSFRISSEGLPVILPYITKQILYASPADFKHLLQYKSIKFADFVDSEFGQKAANLMLGCCVIILREGGKTTSDHMQVDASTIAIGCWKGRASLSVMVTAIDCQELQERLSACMGAEKGTPAQENHGEPDEKQDISGENITSTEENNAEAGKIEDTNGNHKDTDQ >OMO56151 pep supercontig:CCACVL1_1.0:contig14552:19529:23728:1 gene:CCACVL1_26727 transcript:OMO56151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSECESEFSKPCPTLEQLSSSSSSEASPPEKKQKLDESSLKANMQGNQLCCLNVTEPCPTLEQLSSSSSSEASPPEKKQKLDESSSKANMQEKSVPPDVEAVDCETSSESDFDFEAIDEEEKKELIEYIIGSSPEFKDWDTESLWKLLLVHGPTPKPGDRFPNGKRLMTDAEYKEYNAALAASRGFHVPSLPEDVTSVGRMIPLKLDVDENTREKLKPVCLAAVDYLKSERNVDYKYQKLEKDNTCIAGNGVNHYLTFQAFNPVNATTETFEAVVLMGIPEKEGDDFPYTVLYCEEAKADSGKTGTKVVVVENFGSKSIESCFVILMLEDLWSFTGNFMLL >OMO56147 pep supercontig:CCACVL1_1.0:contig14552:8564:8656:-1 gene:CCACVL1_26722 transcript:OMO56147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTDTIRYPCVSSVRYLTAKTIISCVFSI >OMO96532 pep supercontig:CCACVL1_1.0:contig07444:9610:9675:-1 gene:CCACVL1_04912 transcript:OMO96532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKHHYISIFDDNDYCGWGVTDE >OMO61922 pep supercontig:CCACVL1_1.0:contig13391:16456:17996:1 gene:CCACVL1_23142 transcript:OMO61922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MGWQSMGLVVVVLHMALLIGPGSGIGANWGTQATHPLPPSIVVKMLKDNGFEKVKLFDADANILKALSKSGIQVMVGIPNDMLLSLANSEQAAQNWVAQNVSAHVSSNAVDIRYVAVGNEPFLAAYNGTYIGLLLPALQNVQSALVKAGLATQVKVTVPLNADVYQSSSNLPSDGDFRSDIHQLMVQIVQFLNDNGSPFTINIYPFISLYNDPNFPTDFAFFDGGSSSAINDGGRIYDNVFDANHDTLVWALQKNGYGNLSIIVGEIGWPTDGDKNANPKSAQRFNQGFMSRVTAGKGTPMRPSPVDAYLFSLIDEDAKSIQPGNFERHWGLFYFDGTPKYPLRLTSSNDLVPAKDVQYQAKKWCVMSPMASLDDPQVGPSVSYACSHADCTSLGFATSCANLDARGNISYAFNDYYQIFDQLDSACMFPNNLSVVTNTDPSSGDCKFRIMIHNPRAAAVGAAQGTATSFTPHVIVFFSLIVFTLT >OMO61930 pep supercontig:CCACVL1_1.0:contig13391:72900:75682:-1 gene:CCACVL1_23150 transcript:OMO61930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFLLLFVLTICLVGASWGESEAEILIQFKNSLSNYESALQNWNAWGSSPCTGNHPNWTGLRCSNGTILGLKLENMGLMGVIDIDTLTGLPLLRTLSFMNNSFDGPLPDVNKLTSLKALYLSYNFFSGEIREDGFANMNALQKVYLARNQFSGNIPKSLAALPRLSQLSLEGNRFQGKIPDFHQKDLEMVNLANNLLEGRIPDSLSMMDSSLFAGNKDLCGKPLPQCKSSKKRTIIIIITVVGGSVVSLAAIAAVYYIFSGRTIKSQFKKGQEKNGSNIGAPRKDARSLCCKENHGKVLSGSSHYKKLEKAKLHFFRNDREKFELQDLLRASAEVLGSGSFGSSYKAVLLDGRAMVVKRFRQMNNNIGKEEFHEHMGKLGMLYHPNLLTPVAFCYRKEEKLLVFDYVPNCSLASHLHARRAPGQSGLDWPTRLKIIKGVAQGLAYLHRELPSLAVPHGHLKSSNVLLDNNFEPLLTDYGLVPLINKEHAQQFMVAYKSPEFTQYNRTTRKTDVWSLGIMILELLTGKFPVNYLRQGKGGSGNADLATWVNSVVREEWTGEVFDKDMKATMNGEGEMLKLLKIGMCCCETNIERRWDLKEAVDKIQELKERDSDMEDCSSYGSEGDGYSSRAITEDDFSFSVNA >OMO61928 pep supercontig:CCACVL1_1.0:contig13391:49629:62964:1 gene:CCACVL1_23148 transcript:OMO61928 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase, type IA MYCRYWKKPKGVAALNGNEGMPDSTSTSQPNTAKPDSSSTSALMNDKRQAKVSPQKKKQSRSKKNKEQISSTFDSEEAPVVKGTKNASLVGTSNISKNDQHPEWASEGKKPLKQLYPPVGKSVMVVESVTKAKVIQGYLGDMFEVLPSYGHVRDLAARSGSVRPDDDFSMVWEVPSAAWTHLKSIKVALSGAENLILASDPDREGEAIAWHIMEMLQQQDAIGENVNVARVVFHEITEASIKSALQVPRQIDVNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLLCDREMEIDEFKPQEYWTIEAQFNMEEPNALAKEVLCPAHLTQYNSKKLGQFSISSDTEAKDIEVKIKSEHFQVISSKRNIIRRNPPTPYITSTLQQDASNKLNFSAAYTMKLAQKLYEGIQLSDGKAAGLITYSRTDGLHISDEAVKDIRSLIVERYGSNFTPESARKYFKKVKNAQEAHEAIRPTDIRRLPSMLLGILDEDSLKLYALIWSRTVACQMVPATIEQIQLGIGNSNESISFRSSCSRIEFLGYQSAYQDVEAETIRFKANELNDNAEVFGVLSSLKKGDRLYLGEVELKQHSTQPPTRYSEGSLVKKLEELGIGRPSTYASTLKVLQDRNYVTVKNRVLYPEFRGRMVSAFLAHHFSEVTDYSFTADMETELDNVSGGLTEWKGLLTDYWTRFSSYCTRVQNVHIHQVEKMLEKTFGDFLFASLPNKSRTCPSCMDGTLIFKVSRFGAGYFIGCNKHPQCKYIAKTLYGDEEEEESPQKSNSVEEPKLLGLNSGSNEKVFLKNGPYGYYVQLGEDRKGYLPKRASVSHIKNVNSITLEDALEVLRYPVTLGEHPNDGHPVILKLAKGGFAVRHRRTIASVPKSLKPNDVTIKKALKLLSGKDARRCGRPKKNSKAEVSETL >OMO61929 pep supercontig:CCACVL1_1.0:contig13391:63998:69889:-1 gene:CCACVL1_23149 transcript:OMO61929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELDYQAIRMSSGDFTRILASQGVKFLLSGEGKVPLTSLDHERRVWLFFSANWCRPCKSFIPELVQLYNNTLRTRGNKELEIVFISSDHNEDGFIDHFKTMPWLAVPFNSNLHKTLRETFQVVRIPTLVPLDSDGDGQPIAEDLIGLIQDYGQDAFPFTRKRKEELNAIDDSKRQGGKLEQLLGQNHLVSKDGGKILVSELVGKTIGLYFGAHWCPPCRAFTAHLVQVYKQLSISKGGCFEVILVSTDRDQKEFDVNISDMPWLALPFEERTRQDLCRIFKIKAIPELVLIGPDGKTISTNGKSIISLYGAKGFPFTQSRITEVETSLKKEGDALPQQIHDKKHQHVLKLDMAKAYVCDYCKRQGRFWAFSCHKKKEMWTARSGSGYGERREGTNNTNGLVAVAIDKDKNSQNALKWTSDHLLQKGQTVILIHVKIKPFSSHSSSPIPTPRLNQISDINGDLPLVCKDPDPQTRELFLPFRCFCTRKDINCKDVVLEETDVAKALVEYVTQAAIEILVVGASTKTGFLRFKATDIPGMVSKSAPDFCSVYVISKGKISTMRSASRAAPAISPLRNHLLNQPNLKPTPPPPESHILPANSLRVEKPRVHEAPRKSSDSMESFRSPFTRRGVNGKSYPDLPMPDTDISFVSSGRPSIDRIFPAFYDYQETNRTAQRLSNVSDVESNASFESMLLGRKSLDINSPPNFSSTSQDSDRLSTSSSTMDDVEAEMRRLKLELKQTMEMYSTACKEALTAKQKARELQLWKLEEERRMEEARLAEEAALAIAEKEKAKSKAAIEAAEAAQRIAQLEAQKRVSAEMKALKESEEKRKALDALAHSDFRYRKYTIEEIEAATEFFSQHLKIGEGGYGPVYNGRLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEFMSNGSLDDRLFRRGNTPPLSWQLRFRIAAEIGTGLLFLHQTKPEPIVHRDLKPANILLDRNFVSKISDVGLARLVPPSVADNVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGIMLLQIITAKPPMGLTHHVERAIEKGMFPQMLDQSIPDWPVEEALIFANIALKCAELRRKDRPDLGKVVLPELNRLRTLAEETMHPTLLNGSPVHSPNYSQVSLQLVSS >OMO61926 pep supercontig:CCACVL1_1.0:contig13391:41400:45050:1 gene:CCACVL1_23146 transcript:OMO61926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl/Asparaginyl-tRNA synthetase, class IIb MSSESEEQQLSKKAAKKEAAKLEKARRRQEAASLASSTSSLSVEEDPYSSNYGDVPLLELKSCSQADAGDWSRSVASMNWTEVGALTPEMKETEVLIRGRAQTIRPVGKNMAFLVVREKGFTVQCLATAQSDGVSRQMVKFVAGLNRESIVDVIGIVSIPDVPIKGATQQELYCVNKATPNLPINIEDAARSDAEIENALQSGVQLPRVNQDTRLNYRVLDFRTPANQGIFRIQCEVATIFRQFLLSENFVEIHTPKLIAGSSEGGSAVFKLDYKGQPACLAQSPQLHKQMSICGDFGRVFEIGPVFRAEDSYTHRHLCEFTGLDVEMEIKKHYSEVMDIVDRLFVTMFDSLNERCSKELEAVGRQYPFEPLKYKPKTLRLTFEEGFQMLKDSGVEVDPLGDLNTEAERKLGQLVLEKYGTEFYILHRYPLAVRPFYTMPCYDDPLYSNSFDVFIRGEEIISGAQRVHVPDYLAERAQACGIDVNTISMYIDSFRYGAPPHGGFGVGLERVVMLFCALGNIRKTSLFPRDPQRIAP >OMO61923 pep supercontig:CCACVL1_1.0:contig13391:18418:26970:-1 gene:CCACVL1_23143 transcript:OMO61923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMPNQGQSPNPFPNQNEIQNQTGEQQPVEREEQQQDEEEDDEEEGRREDEELMTKAQALMEKITSSPDNPNPTVLHALASLLETQESLYLEENGPSSSSGRASHNVGRLGNLVRENDEFFDLISLKFLSESRYSTSVQAAAARLLLSCSQTWIYPHVFEESVLENVKAWVMNETARCSIEDQNFKHDLPRKEASDAEILKTYSTGLLAVCLAGGGQVVEDVLTSGLSAKLMRYLRVRVLGEITVAQNDPCNLTETKGLSGSASFRSRDEGRGRGRQVTEAAHVDDPRIIDEKSLDDYCTEWDRDRSANADSDERWHIRDLRDGKLRNGESDENGREDSARRRINRGSTRSRGKGRTTEGAMENEQPLTSPGSGSRLAQARSIRDRSLSKNLDVRKVLEAKKCVGKINADNLVAERDDSDECFQGCRIGSKDFSDLVKKAVTAAEAEARAANAPIEAVKAAGDAAAELVKSTALEEFKTTNNEEAALLAASKAASTVIDAANAIEVSRDSTSTTVDPINKSAVATEVNENVEEYFIPNIEQLAQLRERYCIQCLETLGEYVEVLGPVLHEKGVDVCLALLQRSSKSEEASKATSLLPDVMKLICALAAHRKFAALFVDRGGMQKLLAVPRVAQNFFGLSSCLFTIGSLQGIMERVCALPSDVVYQVVELAIQLLECPQDLARKSAALFFAAAFVFRAVLDAFDAQDGLQKLLGLLNDAASVRSGANSSTLGLSGSASFRNDRSPAEVLTSSEKQIAYHACVALRQYFRAHLLLLVDSIRPNKSNRSGARNIPSTRAAYKPLDISNEAIDAVFLQLQKDRKLGPAFVRTRWPAVEKFLSCNGHITMLELCQAPPVERYLHDLLQYALGVLHIVTLVPVSRKMIVNATLSNNRAGIAVILDAANSASSLVDPEIIQPALNVLINLVCPPPSISNKPSLLAQGQQFVSGQTTNDRGNAAGTQVIGSSAQTPLSAAPSGLVGDRRISLGAGAGCAGLAAQLEQGYRQAREVVRANNGIKVLLHLLQPRIYSPPAALDCLRALACRVLLGLARDETIAHILTKLQVGKKLSELIRDSGGQTPGTEQGRWQSELAQVAIELIAIVTNSGRASTLAATDAATPTLRRIERAAIAAATPITYHSRELLLLIHEHLQASGLAETASSLLKEAQLTPFPSLAAPSSLAHQVSAQDTPSIQHQWPSGRISGGFLSGRPKIVVRDEDVNLRCDSAVSLKKRSLAFSPSFGSQSRNPLQSQDSQPPSVRKALNSSKPCTLPPNASETPVDTMPKSNVDMESQCKTPIVLPMKRKLSELKDTGLTLSGKRFNLGDHGPRSPVCLTPNPTRRNSLLPDAAAFTPTSTLRDQHVRATPSSLIDLSDDNLCSNSHVGQMTHSYQVGLINDPQPSNSERLSLDTIVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPEPKRSLDAPSNITSRLGTREFKTVYGGVHGNRRDRQFVYSRFRPWRTCRDDAGSLLTCVSFLGDSHIAVGSHAGELKVFDSNSNNVLESCTGHQHPVSLVQSYFSGETQMILSSSSQDVRLWDASSVSGGAMHSFEGCKAARFSNSGSIFAALSADSSHREILLYDIQTYQLELKLSDASTNSTSRGHLYSLIHFSPSDTMLLWNGVLWDRRVSGPVHRFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFRLLRSVPSLDQTTITFNARGDVIYAILRRNLEDVMSAVHTRRVKHPLFAAFRTLDAINYSDIATIPVDRCVLDFATEPTDSFVGGDEEDFVGNGFGF >OMO61925 pep supercontig:CCACVL1_1.0:contig13391:40432:40554:-1 gene:CCACVL1_23145 transcript:OMO61925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHAHGHGGETEWWRSTDDSGIVRSCGLGSDTQKSTQDMV >OMO61924 pep supercontig:CCACVL1_1.0:contig13391:29480:39702:1 gene:CCACVL1_23144 transcript:OMO61924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKRVITVDDFPWRLPSSESPQEVEQLKYVGGVDVSFSKNEPSLACGSLVVLDLHHALDIVYQDYVVVTIDVPYVTGFLAFREAPILVNLLEKMKSNASPFYPQVLMVDGNGLLHPRGFGLASHLGVLANLPTIGIGKKLHHVDGLTRSGVKKLLEGGENGAKDVITLRGNSGFIWGAAIRSTQGSLRPLFVSTGHRVSLDTAIKIVNMTCRFRVPEPIRQVLRKVDAAAGYQHPLKVSNLFLKLAFHPNRNDCSNDIAVLRHILQLKIEVLAFDFLSVVLLGFDCFWNGYFGLRASIQLKQSGIGIFAFLGDSPSNECQESGLSRIAYSEFNFWVPSMIGKMVEGPKFTGLMGATNNNDNNYYDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSIENSSVGSNDSLTHILSHPGLKPVNRHNYSVSVGQSVFRPGKVGHALNDDALAQALMDSRYPTQGLENYDEWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENSPEKAQVMEQQFQQEVMMLATLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSEIMTRCWDTNPEVRPPFTEIVKMLENAETEIMTTVRKARFRCCMTQPMTID >OMO61921 pep supercontig:CCACVL1_1.0:contig13391:1831:2647:-1 gene:CCACVL1_23140 transcript:OMO61921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTIKQMSLLVAFLGILSFIFGVIAENKKPANGTPIPGKDVVICKYPSDPSVVLGYLSVAFLILSSVAGFFSLFYSYKGKYVPHSVLFQSKSFLVFFNIAFFTAGLAAALLLWPTVTEHLHLTRNVHHNLATDCPTAKTGLLGGGAFVSLDSALFWLVALMLADNAREDHFDEVEKQGSHPEYQVKV >OMO61931 pep supercontig:CCACVL1_1.0:contig13391:78514:86550:1 gene:CCACVL1_23151 transcript:OMO61931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MASSYQVPVQVKCSFQKPSISPNFDVFKATHISSSFKFKPFLRELKQLAFQVDVSKAVKNTTIKLLDAFVDSAFEFVDIPLLQSQSNFAPVDELREAALVSNIQGQIPSDFPEGVYIRNGPNPLFGGLKSTKSVFGRSSPMWVEGEGMLHALYFSKAINGVWTVIYNNRHVETETFKLEKQRNKPSFLPAVQGDSLAVLASYMLNLLRFGKPYKNSCNTSVFEHSGKLYSSVENDLPQEIDIFTLEALGDWDVNGEWNRPFTAHPKRAPGTGELVTMGMTVIKPFIEVGVISADGKKLVHRTDLKLDRCPLCHEIGVTMRYNVFMDCPLTADIDRLLHGGQLLKYEREGNARIGIMPRYGNSDSIQWFQVKPNCTFHLINCFEEGDEVIVWGSRALESVIPVPGLGSEKFEWFPAKFRPGEPIEESDMNAISEEELMFNRPYEWRLNMRTGDVKERNLTGPNEFPMEFPFINQAFTGLKNKYGYTQVSHCGSSSDAGMGRFRGLAKLYFEEQNPGMSLVRKQVEGLIKVEYHMFEENTYCSGAAFVPKEGGFEEDDGWIITFVHHEDTDISQYFKPHNQAIELVEKIQMIPIMATAAPFMAFKVHCSSAQKPSIWDNQVPHHFKPFLRKLQQLPPLGMDISKAIKNTSVKLVDAFVDSTFEFIDQPLPPSQSNFAPVDELKDAVVITSMEGEIPDGFPEGVYLRNGPNPLFGGLKSTKSIFGRSRPIWVEGEGMIHALYVVKGGDGKWKLCYNNRYVETETYKLEKRLNKRTFLPAVEGDSSAIVSAYLLNLLRFGQVNKFLSNTNVIEHSGRVYSISETDLPQEIDMLTLKTLGVWDFNGAWNRPFTSHPKKAPGTGELVIMGINPTKPYVEVGVISADGKKLVHRVDLKLNRCILSHELSVTQRYNIFMDYALTINIGRLVGGGQILKYDKQGCARIGVMPRYGDADSIKWFGVKPNCTFHIINSFEDGDEIVVRGCRALESVIPGPDQGVDRFEWFSRKFRHLDQSTHEDEVIFPRPYEWRLNMKTGHVKERNLTGTQFTMDFPMINEAFTGLKNKYGYAQVRDCIASSNSGMAKYGGLAKLSFEEPNTSISMRQQEEGLIKEEYHMLEENTFCTGATFVPKQGGSEEDDGWIITFVHNEDTNTSQVLIIDAGKNFSGVPIAKITLPCRVPYGFHGAYIPLKL >OMO61927 pep supercontig:CCACVL1_1.0:contig13391:46265:49361:-1 gene:CCACVL1_23147 transcript:OMO61927 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/Surp MDRPPHNYGAASAMAYAQQQRQAANVQQQQQYGFHPQHQQFAAAVHGPPFLPPHPSQFPYHPHVQQQPPLHPHPPPHPQLLLQQQQQTPPAFPSHFPPHLISSPIHGLYDSPPPPAAPPSDPELQKRIDKLVEYATKNGPEFEAMIREKQQDNPDYSFLFGGEGNGYYRYKLWLSTRPQGGPYPSFPSSSIPMMHPPPNPVMNPSSLNAPPMNAVAAAAVGAIGAPQLHQPGFPAYFDQQHQHQHPQSFIAHGRPEYDQSFKGLPGPLPPDVAMELTSMLNNLNGTKESIKSAKIWFMQRSAFAPALAEALRDRVFALDDSERQLHVIYLANDILFDSLQRRVSPHDFDNEALAFKPVLGAMLTRIYHSSQNKEENRSRLQKILQFWASKEVYDQDSIYALEREMMSEPPTYSVPGPPKELSATSVESSTPPGILLQTANNNVSLWQPDKQSSISSVLDRELSDKHGVPALQPSLGNQQFVPISAPSGAFPGSMPLNSNLQPPSQQSANIGEKLPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSNVSPTEILERVSKFFKEIGEVNPSEGSLQSDARDEDDDYEIEPPIRKGGACIPPPPNLQVDPDTGTYADGSVERKPGSSGSGRLGLGATANPNEASQYDDVYTSYRKQRSTSYHSSMSARAATR >OMO75544 pep supercontig:CCACVL1_1.0:contig11034:14522:15103:1 gene:CCACVL1_16144 transcript:OMO75544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MGISKPSRRGFQICCGVTVILLIILATVFTILAFTVFKPKEPEITVYPQGLENITFGFEGFLNATANATIAMIVGINNRNYGSFKFKNTTGFINYRGDVVAEVPIQQSFVPARGKVNISTYANFMVDRLISNTTFWADVLAGSVNFTSVTTVRGKATVFKILKLHASVPSICDISIFIKSQSIETSCKTKLKL >OMO75542 pep supercontig:CCACVL1_1.0:contig11034:3738:5352:-1 gene:CCACVL1_16142 transcript:OMO75542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate lyase/phosphorylmutase MEEEGRYEAEVAEVQAWWNSERFKLTRRPYSARDVVALRGNLKQSYGSNEMAKKLWRTLKTHQANGTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSREERARTPYVDYLKPIIADGDTGFGGTTATVKLCKLFVERGAAGVHIEDQSSVTKKMLQFDVMGVETVLVARTDAVAANLIQTNVDTRDHQFILGATNPSLRGKNLAAMLAEGMAAGKTGAQLQAIEDNWLAMAQLKTFSECVMDAIRNMNNIGENEKRRKMNEWMNLSSYERCLSNEQAREIAERLGVKNLFWDWDLPRTREGFYRFKGSVMAAIIRGWAFAPHADIIWMETSSPDLVECSKFSEGVKSMQPEIMLAYNLSPSFNWDASGMTDEQMKDFIPRIAKLGFCWQFITLAGFHADALVTDTFARDYARRGMLAYVERIQREERNNGVDTLAHQKWSGANFYDRYLKTVQGGISSTAAMGKGKS >OMO75543 pep supercontig:CCACVL1_1.0:contig11034:11651:11890:-1 gene:CCACVL1_16143 transcript:OMO75543 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor CPC MADLERSSDDSSVDSRETAEESSQDSKLEFSEDEETLIIRMFNLVGER >OMO74154 pep supercontig:CCACVL1_1.0:contig11156:2868:7090:1 gene:CCACVL1_16932 transcript:OMO74154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MTPTLLLHLHRALDKMSELLEKNNGTLQYKGIWFTNTSFLATADPQNVFYLMNTNYAAYPKGSEWRKQFDVFGEALFNSDGEEWKRQRRIFHAFLNHPKFHQSLSRILRQRLEHGLIEVLDHVSKQELVIDLQDLIVRYASDIGWKLATGSDPNFLSIDFPENKFNEAMNDVLEAAFYRAILPDSLWKLQSWLQVGLEKKRSHAWKYFDRVLAEQISIQRQKASEAVAMDGDHEDNFNFLNCYLTGHKVTGPTPKDSLIRDNVIHMLFATDDTYSTSLGWFFYLLSQNPKFVPKIREEIERNLTMKKAGEFQVPASFDELNKLPYLQAALCETLRLYPPNPFEFRTATQRDTLPSGHRVNPQSVIIIAIHAMGKMTRLWGEDCHEFKPERWITKEGKLKQNDGLPRNYPIIGMTPTLLLNFHRAHEKVAEVLNRSNGTFHYKGIWFTNSSFLATCHPDNVRHITTTNSLTYLKGSEWLKQFDIFGESLFNSDGEAWKRHRKAFHAFVNHKKFHQSVAKLILNRIEQGLVKVFEHVSKQGLEVNLQDLFKRHAFDIACKLATGYDSQLLSLEFPENRFHNAMSDAWEAAFYRYILPDAIWKLQSWLRIGKEKKRRKAWKALDDLLAEFISIQRGEIERNKAMKSSQEDDDENFNFLKCYLTGHEVTGPTPSDSLIRDNLIHFMLATDDTNSTVLTWLFYHLSKNPDVEIKIREELKKNLSFKQVGENLQLPSCLIDDLNQLVYLHAALCEALRLSPPVPFEFRAATQDDVLPSGHRVRQGTRLLMGIHAMGRMPWLWGEDCHEFKPERWITDEGKIKREVPSKSLAFLAGPRICVGKNLAFFIMKATTATILHNYNVHVIEGQNVTPKHSVIFHMQHGLKVKKIPIIKQALPQSLAPELRGLTTRRTTQQREEEKNPEREEKISKLVNLIAKNSDSD >OMO74155 pep supercontig:CCACVL1_1.0:contig11156:25745:26501:1 gene:CCACVL1_16933 transcript:OMO74155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MGRFTLVLMLTYASLLGVSLANKDWGFGFNNTNWPGSHQRHNQTHAPKKIIVGGSQNWHFGFNYTDWSLKNAPFYFNDTLVFKYDPPSNTTFPHSVYLLPNLRSFLNCDLKRAKMIANPTQGGGKGFEFVLKKRKPHYFACGEKDGFHCKVGLMKFAVVPLLRWRY >OMO99823 pep supercontig:CCACVL1_1.0:contig06796:811:903:-1 gene:CCACVL1_03604 transcript:OMO99823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALLSKSKTIQNSTNAPRKARNNKCSE >OMP03567 pep supercontig:CCACVL1_1.0:contig06038:11300:14461:1 gene:CCACVL1_02360 transcript:OMP03567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEQFKKDQQAITLAIIDDGVYAAHICFEDDSGDSRVK >OMP03566 pep supercontig:CCACVL1_1.0:contig06038:3035:3385:1 gene:CCACVL1_02359 transcript:OMP03566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PASPLHLSFINTSVAADIIAKPSSIITIESPSLGDSLFFFLSLTETLTLPQRRSRLKDPPPLSRQAPTAALYSKSKTTTPQSRNPKPITHQTRHYPNLNIPFFPNPCSIEPSHSPL >OMO95377 pep supercontig:CCACVL1_1.0:contig07687:13028:13261:1 gene:CCACVL1_05419 transcript:OMO95377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSYQKLAGIYVWERARGESEPFVHSGRFTGEGCGGSVNGKGSWGEDEEGVHETECHAGTEEEAEAEAEGCGKHKSE >OMO95375 pep supercontig:CCACVL1_1.0:contig07687:6110:6175:-1 gene:CCACVL1_05417 transcript:OMO95375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSNRISTADHRTLTAGNLH >OMO95376 pep supercontig:CCACVL1_1.0:contig07687:7775:11960:-1 gene:CCACVL1_05418 transcript:OMO95376 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGKSPGKWIKTVLFGKKSSKSSFPKGREKVANEREVSVAARASEADMAMAPPFTSQLNPYATERDAGKSDLVNEEAANISHDDGISVPGSQDVEPLKLTVQDTPNDPERLKQEQAATTVQAAFRGYLARRAFWALKGIIRLQALIRGHLVRRQAISTLCCMMGIVKLQAHARGVMVRHSDSGLEVQQKCKQMYLLESKLVVYLGVSMPARIGKLSANAFVRKLVASSPTVMPLRLHYDAGETNSVWNWLERWSASCYWKPIPQPKKASESKLQRKQVNGQAAEMETGRPRRSVRRIPPANLDNTPVQAPFEFDKPKRNLRKVSSHPAELVQENPQIELEKVKRNLRKVHNPVVENSLQSEVEFEKPKQIVEKVSNLDVVEESLNNSAEKTFKETALTVNSSAEKMKKETVMAVNSLAEKVKKEMSMTVNSSTEKVKRETAAANGSAEKMKRETTLTVNGSVEKTKKELAVAVSGSAEKTKKELAVAVNGSSEKTKKEIAMNASKSPDVETISGSLVMNKTSGLIHADPATVDSKPLVDRTVKDENTPITNEELNRKDDSVNNENQKSGRKASNPAKQDCIENGSQNTPSLPSYMAATESAKAKLRLQGSPRSSQDGGDKSNRRQSLPSSANSKISSQSPRTQKLVHGGGKAGSKGDRSTLSSRDGNGMNLD >OMP01787 pep supercontig:CCACVL1_1.0:contig06372:3486:5921:-1 gene:CCACVL1_02996 transcript:OMP01787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQLLTSPPPLTTGATRTPKSHSHTLSQRTQIPPHVYKHPAAILLELSTSIKEVYQVLPHIIKSNLYSEHYFQTKLLSLFCNHGCISEAARVFEPIEDKLDVLYYTLLKGYAKNSSLNEALSFFVRMKVDNVKPAVYNFTYLLKVCGDKGELRRGKEIHGQLIKNGFSSNVFAMTGVVNLYAKCRQIEEAYKMFDRLPERDLVSWNTIISGFAQNGLAKLALGLVIRMQDEEQRPDSITLVSILPAVTDMGLVRIGKAVHGYVLRAGFERLVNVSTALVDMYSKCGFVGIGRLIFDGMKQRTAVSWNSMIVGYVQSGDAEQAVVIFQKMLDEGVQPTDVTIMAAARACADLGDLDCGMFVHKLSDQLKLGSNVSVTNSLISMYSKCKRVDIAADMFGKLGSKTLVSWNAMILGFAQNGRVNDALNYFYEMYTGNMRPDTYTMVSVIPALAELSVTRLAKWIHGFCIRSYLDDDVFVMTALVDMYAKCGAIHTARKLFDMMNERHVTTWNAMIDGYGTHGHGKAAVELFNEMKRGNIKPNDVTFLCVLSACSHSGMVEEGLSYFTSMKKDYGIEPAMDHYGAMVDILGRAGRLEEAWNFIQKMPVEPEINVYGAMLGACKIHKNAELGEKAADKLFALNPDEGGYHVLLANIYATSSMWDKVAKVRTMMKKKGIQKTPGCSVLELKNEVHSFYSGTTNHPQSGKIYAYLEELGDKIKAAGYVPDTSSIHDVEDDIKEQLNSTHSERLAIAFALLNTSQGTPIHIRKNLRVCGDCHNATKYISLVTGREIIVRDMQRFHHFKNGTCSCGDYW >OMP01789 pep supercontig:CCACVL1_1.0:contig06372:12635:24648:1 gene:CCACVL1_02999 transcript:OMP01789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYQAIYNYMISRSQFEGHKGEIVADSVSEIAVPDVKETIDQVCNDIGLRRLFTKLPSTAAANIIQMENARNPAELFVTFGQLIELKKKLLNVFIASSVQGTVFGRLSKYMMRELAWTDMAAFKLISDALLVTQSPVLNDLGKITGNNCDKRLAERAKFPMLLAVAQKIMNRPNTSTGGLAPNSTTVNALFQLHQRSVLAAQQWTIDFLTHFTECD >OMP01788 pep supercontig:CCACVL1_1.0:contig06372:6816:10711:-1 gene:CCACVL1_02997 transcript:OMP01788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFVPDKRRDSNWTIADSGVWVEKA >OMP01791 pep supercontig:CCACVL1_1.0:contig06372:46223:46324:-1 gene:CCACVL1_03001 transcript:OMP01791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAKNKGLVAAGEAKQSLHRRSLFTYELLST >OMP01790 pep supercontig:CCACVL1_1.0:contig06372:30940:31029:-1 gene:CCACVL1_03000 transcript:OMP01790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQAADLAILWLTWTYCFGASCVIPFL >OMO53136 pep supercontig:CCACVL1_1.0:contig15316:18504:19568:1 gene:CCACVL1_28862 transcript:OMO53136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAAVYGSKASIFICSSKIAKPKTQKSSATFSPFLIQSMATQKPLPSVSKTVGSRKSSNSTVFPLGEPGPRSGTVASQPVKLLTNVEKLKLLTKAEKAGLLSAAENFGFSLSSIEKLGLLSKAEELGVLSAATDPGTPGALLSLSLGLLLLGPSCVYLVPEDNLGEVVLQVVVALVCVAGGSAAFAASNLVSNLQKSN >OMO53137 pep supercontig:CCACVL1_1.0:contig15316:19988:23964:-1 gene:CCACVL1_28863 transcript:OMO53137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQEGSPASTPSAQVVGNAFVEQYYHILHQSPNLVHRFYQDSSRLSRPDMHGNMTTVTTMQAINEKVLSLNYEDYTAEIKTADAQDSFEKGVIVLVTGCLTGKDNVRKKFTQTFFLAPQDKGYFVLNDVFRYVDEKESYNPVPVNGVNEQASASALTPEPEPNHDHPVVDPITYPEEEDSNGAEVCDPSDKEEGSVIEDEVVEVQNIASQNDNVESVDAAPVVLEDAPKKSYASIVKVMKSKTASTPVHAPTNIVRAAPADQQSIVSAKPAPAPEVAVPNSDNVAESSNDNEEAEGHSIYVRNLPFNATPTQLEEAFKKCGPIKHNGIQVRSNKQGFTFGFVEFETASSVLNALEASPITIGDRQAAVEEKRTNTRVSSSGGRARFSSGKGGFRSDSFRGRGNFGGGRGGYGRGEFRNQGEFSGRPKGSGGRNGDNYQRANQNGRGGRQGGPKA >OMP07640 pep supercontig:CCACVL1_1.0:contig04294:923:1030:1 gene:CCACVL1_01257 transcript:OMP07640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIARLVEQRLKILVTSSNLVPERSDSSVGRAED >OMO64441 pep supercontig:CCACVL1_1.0:contig12825:4630:4984:-1 gene:CCACVL1_21751 transcript:OMO64441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIAVSFLYVFPGMEFSKHGDVTGIRGRKLKAIGYHPSKGKKRNVDVDDYGRIDPVPSSKASIKPGPIEHGSPLIPYIPKPSPPIGPGHP >OMO64443 pep supercontig:CCACVL1_1.0:contig12825:14902:19784:1 gene:CCACVL1_21753 transcript:OMO64443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID component TAF4 MDPSIVKLLEEDEDESMHSGADVEAFQAALNRDIEGDVSTSQPSDSSAAMLSQGTNPASSQSLAQWPTAMQDGNTNFQNPQALQTVQQQQQASSELEQRQPGAVVTGSQQQVQRPNDVAQENNRLLPQQKQPQDDRQQGIAEQVSPQVPQTTGVQTTERSPIPAHEPERTNNQDSESQYMKLQKMSNQQASGSEQPTNTVTRGKQVPFAVLLPALLPQLDKDRAMQLHTLYGKLKKNEIAKDGFVRHMRDIVGDQMLRLAVNKLQLQMSSNQFPLQSQAAARQNSPRMPSSSVASGATQFAGQHSLAQLHQKGPNSPANPSHAPSPAVPMQTNSSYPSIENNAQKSQEMDRSSESRFGMLGSQISSSSSTTVNQERDRSSVPAQGLNKQQQQQQPQHMNFSQTSFSMYGNSNYHPYSGPSVTTSGSSLKPQPHDSQMRPIAHHQSMGSNPVGGPTQAVSMMGGPKLDRQNSSNDPNRLQGGSLSHFSNSSVPWQASSSKEMNPGSLSSVTYGKPDAVDQGADQQHRLHSSAPHSQGLSTALSEQGSAITTTSKDDHLEKQSSRIGFSTPTSIISPTSVTPSIMKQMDSTVPLGSRNPSLPSAAGVNARAPQKKPSVGQKKPLETLGSSPPPSSKKQKVSGAFSDQSIEQLNDVTAVSGVNLREEEEQLFSGPKDDSRVSEASRRVVQEEEERLLLQKTPLQKKLAEIMAKTGLKNISNDVERCLSLCVEERMRGLICNLIRLSKQRVDVEKSRHRTVITSDVRHQIMMMNRKAREEWEKKQAEEEKLRKLNEPEPEIAVDGDKEKDDKAKPGVKVNKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGTGDGASGSQAGKDVNHRPPSASGKYTKDNQESERRGPLSPLTSGASRRFGKNQAATHQTRVARTVSVKDVIAVLEREPQMSKSTLVYRLYEKIRSEAAAE >OMO64445 pep supercontig:CCACVL1_1.0:contig12825:25472:26067:-1 gene:CCACVL1_21755 transcript:OMO64445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNGQKSKMAREKNMEKNKAAKGSQLDSNKKAMTIQCKVCMQTFICTTSEVKCREHAEARHPKSDVYACFPHLKK >OMO64444 pep supercontig:CCACVL1_1.0:contig12825:20889:24816:1 gene:CCACVL1_21754 transcript:OMO64444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRARVYADINVHRPRDYWDYESLTVQWGDQDDYEVVRKVGRGKYSEVFEGISVVNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDVVRDQHSKTPSLIFEHVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPNKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYHLELDPQLDALVGRHSRKPWSRFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMAHPYFYQVRSAENSRTRTQ >OMO64447 pep supercontig:CCACVL1_1.0:contig12825:38026:38599:-1 gene:CCACVL1_21757 transcript:OMO64447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNGQKSKMAREKNMEKNKASKGSQLDSNKKAMTIQCKICMQTFICTTSEVKCREHAEAKHPKSDVYACFPHLKK >OMO64446 pep supercontig:CCACVL1_1.0:contig12825:35735:36867:1 gene:CCACVL1_21756 transcript:OMO64446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emopamil-binding protein MEHPYIPRDLKLPDYVPVSLPQSTILAVYGLASLLVVSLVWLFSGGSRRLNKLDRLLMCWWAFTGLTHIILEGYFAFSPEFYKDKTGFFLAEVWKEYSKGDSRYAGRDTAIVTVEGITSVLEGPASLLAVYAIAKGKGYSYILQFAISLGQLYGTLVYFVAAILDGDNFAMSPFYYYAYYIFANSFWIWIPLLICIRCWKKISLAVQSQSQSQSQSQRKNKVR >OMO64442 pep supercontig:CCACVL1_1.0:contig12825:12000:14466:-1 gene:CCACVL1_21752 transcript:OMO64442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpv17/PMP22 MGSGGNTLKSLSKRCLLRFSSDSHSLLHGKSFVESSLTSQRWRLYSLPSPQKLAGFKGCPPRRSFSTSSSSSKVGFLGWYLGKLESKPVITKAITTSFIFAASDFTSQMITSESSGYDSIRTLRMACYGLLLLGPSQHYWFNLLSKAFPKRDMLTTFKKMFLGQAVYGPLTTTVFFSYNAALQGETGEEIVARLKRDLLPTLINGAMFWPACDFVTYKFVPVHLQFAGEIFDRLEERTEGSQPHRANQTCQVHEHAFETSNDNCGE >OMP06450 pep supercontig:CCACVL1_1.0:contig04931:1532:2662:-1 gene:CCACVL1_01574 transcript:OMP06450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MVLSLLLLPLLLLLLYFIVRPRPVTIPIKNRHVFITGGSIGIGLAIAEQAAAEGAARISLLARSLDKLEAAKQSIQKAYEKVEVSIFPADVRDYDAVQHAIREAGPIDILVVNHGVYVIQELENQGLDVVKFMIDVNLMGTFNVIKAALPLMKDRKNRGPASIALMSSIAGQVCVYGYAAYSATKFGLRGLAEALQQEVISDDIHLSLIFPPVTETPGLEEAMKTMPEISKIMVGSDMMKAEEIGKITINGIKCGRFSIPCNLLGQMVAIATAGLSPQRSFVMASIEVVFAGVFRFVALLFQWH >OMP06451 pep supercontig:CCACVL1_1.0:contig04931:12068:13537:1 gene:CCACVL1_01575 transcript:OMP06451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVEAWRFWNAIFNAPKCVPMVPGSKMIRDLIRSNQIADRGYIYDPGNSG >OMO87192 pep supercontig:CCACVL1_1.0:contig09286:325:6476:-1 gene:CCACVL1_09209 transcript:OMO87192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGWVGVLQRYCFEVWGRRTNLLTTVGTFPPKRQFDAILSQLNPCESSRSEVADKYTHLAVIIIAITNPNLSASAAKFIDCRD >OMO87194 pep supercontig:CCACVL1_1.0:contig09286:55941:56009:-1 gene:CCACVL1_09211 transcript:OMO87194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GQSGKASEEVVASTGAALDMGA >OMO87193 pep supercontig:CCACVL1_1.0:contig09286:12978:14255:1 gene:CCACVL1_09210 transcript:OMO87193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MHNTTNHHHLNHQQQQQQQQTQNSHTSTSRSSDSGAGEPCATGNKWASRLLRECARAISDKDSSKIHHLLWMLNELASPYGDCDQKLASYFLKALFCKATESGQRCYKTLTSVAEKSHSFDSARKLILKFQEVSPWTTFGHVAANGALLEALDGEPKLHIIDISNTLCTQWPTLLEALATRNDDTPHLKLTVVVTANIVRSVMKEISQRMEKFARLMGVPFEFNVISGLNRLGELTKEALGVVEDEAVAINCIGALGRLAVEERGGVIQMFQSLGPKVVTVVEEEADFSSTPYDFVRCFEECLRFYTLYFEMLDESFAPTSNEKLMLERECSRSIVRVLACDEELEDYSTSGGECERRERGSQWSDRLKEVFSPIGFSDDVVDDVKALLKRYRAGWALAQPHQDETGLYLTWKEEAVVWASAWKP >OMP00724 pep supercontig:CCACVL1_1.0:contig06634:758:847:-1 gene:CCACVL1_03309 transcript:OMP00724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKTIQNSTNGPRRPEIKVF >OMO97025 pep supercontig:CCACVL1_1.0:contig07321:3976:4915:-1 gene:CCACVL1_04701 transcript:OMO97025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFEKSRQGIGSGTIAPLPGVLDFIRPSVIDFIRTISNGALRGGLLTLQSKVLLGDLSNANLLFNWGQALQFFPRPSTSWDHTQNSKLDVLCLEDAPSPNYAEATVESDFQDDHLQETYSDTSKCLGSPCISIHYRSTEEINMILAKEMNYKEVFYEIGSNLDPFDSDVNDYEEVTSVELGLLDEPIAHIESPIDLAIVPSSNDCVRDNTFALDARSPSTPSSMQHFDCSDTFKDLNWNKHRKKKKRTKHNWSRKKKAL >OMO97026 pep supercontig:CCACVL1_1.0:contig07321:10133:11783:1 gene:CCACVL1_04702 transcript:OMO97026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKSENPSRTTSKTGPWRKMLSSKDINFVGYTYKNFEIVNDYQMLGIGILPSPLCMYFTCRKKMLGSLGYEEQDAKTFASWVLQRSFSMA >OMO86087 pep supercontig:CCACVL1_1.0:contig09536:15941:16096:1 gene:CCACVL1_09810 transcript:OMO86087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARPSKGSEAIKYHHSGIQHTNSEQDNEESITPTEISRHYKSIEVLDRNQ >OMO52665 pep supercontig:CCACVL1_1.0:contig15488:16216:16536:1 gene:CCACVL1_29130 transcript:OMO52665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPANHTSSSNKLMNISSPLPYLFGSLAVVFILIALALIMLACSYRKYSSNSSSRRQIQLKQEREINNMGFDDSEPKIVVIMAGDDKPTFLAKPAASSSTCCSEQV >OMO52663 pep supercontig:CCACVL1_1.0:contig15488:2529:2849:1 gene:CCACVL1_29128 transcript:OMO52663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPPPSNSTAAVSGAGFRHWNSPIPYLFGGLALMLGLIAMALLILACSFKKSPSNNSTEEKPAKQVMSSMQLEMEPEIVVIMAGDENPTYIANPVVSSTRLNQQV >OMO52664 pep supercontig:CCACVL1_1.0:contig15488:11342:11659:1 gene:CCACVL1_29129 transcript:OMO52664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAANGTSSTIKLWKSPIPFLFGSIAIVLLMIVVSLIMLVCSYRKSSASNSPDEEDHTEEKPAAPSHIISVLDAEPKIVVIMAGNDKPTYLAMPVTSSVCTCEQV >OMO99056 pep supercontig:CCACVL1_1.0:contig06964:32991:33206:-1 gene:CCACVL1_03953 transcript:OMO99056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKITDPLFKEYVCLSCPATVSSKQFGNYDTWRAQWVAPLKGLNLMGYVDGSRPCPKESPYGYRWDRQE >OMO99054 pep supercontig:CCACVL1_1.0:contig06964:11072:13758:-1 gene:CCACVL1_03951 transcript:OMO99054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7, eukaryotic MAEEEGQRLPYVSEIVLKKRKIRDELAITRRTQLELGKYGAKKGKKSNISDIKRPEQFIKEFRDKELDLIRMKQRAKRPKSMVPEPKSKLLFIIRIQGSSDMHPKTRKILYSLRLRRVFSGVFVKASEGVIEKLQKVEPYVTYGYPNLKNVKELIYKKGYARIDKKAVPLTDNNVIEQALGKYGIICIEDMVHEIANVGPHFKEVNHFMGPLMLSKPEEGVIKGKKQPYKEGGDAGDREEAINDLISKMN >OMO99053 pep supercontig:CCACVL1_1.0:contig06964:9420:10128:1 gene:CCACVL1_03950 transcript:OMO99053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLATETTQFHVLAVDDSNIDRKLIERLLKTSSYQVTAVDSGSKALEFLGLNNNDSNNEDDQERSSNSASVSSDDDQNHQEVEVNLIITDYCMPGMTGYDLLKKIKGSSSFKDIPVVIMSSENIPSRINRCLEDGAEEFFLKPVQLSDVNKLKPHLMRGRSKEIQPNMNKRKGMEEINSPDRTRTRYNELEVV >OMO99057 pep supercontig:CCACVL1_1.0:contig06964:34567:35124:1 gene:CCACVL1_03954 transcript:OMO99057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMLWLAMLNENIGGGVMAQSAGQCKEERRILVNACKGVITRKPPTPYCCQRLRVTQINCVCPVITPQLAALIDVNYAIKVIQGCGRQVPRHFKCGSITTP >OMO99055 pep supercontig:CCACVL1_1.0:contig06964:20504:27337:1 gene:CCACVL1_03952 transcript:OMO99055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE-type MAAVTAEQSRATGVPERDIDNVLPVRSVSKAITALKKGAPLLKYGRRGKPKFCPFRLSNDESVLIWISGKEEKYLKLSHVSRIIPGQRTPIFQRYPRPEKEYQSFSLIYSDRSLDLICKDKDEAEVWFTGLKALISRGHLRKGRAESRSDGVSSEATSPRAHTQRSSPMSSPFGSGGSSQKDGMDPLRIQTPHGSPPKTGLEKALSDVMLYTVPPKVLYPSESACGSINSLSSAGSDGAAGRVKGVNGDAFRVSLSSAVSSSSQGSGHYDGDALGDVYIWGEGTGDGVLGGGIHRSGNSSGVKIDSLLPKALESAVVLDVQNIACGGQHAALVTKQGEVFSWGEEFGGRLGHGVDSDVSHPKLIDFLKNINIELVACGEYHTCAVTLSGEMYSWGGSSCNFGLLGHGHETSQWIPKKLSGPLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSLSVPREVESLKGLRTVRASCGVWHTAAVVEVMVGSSSSSNCSSGKLFTWGDGDKGRLGHGDKDARLVPTCVAALVEPNFCQVICGHSMTVALTTSGHVYTMGSPVYGQLGNSQADGKLPIRVEGKLAKNFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRSSPCIVEALKDKQVKSIVCGTSFTAAICLHKSVSSIDQSKCSGCRLLFNFKRKRHNCYNCGLVFCNSCSSRKTFKASMAPNPNKPYRVCDNCFAKLTKPSETNSSTHYSLSRRGSMNQGQTEGVEKTEMLDSRSHAQLSRNGSIESSKELEGGSSKRNKRLDFNSTRVSPFPNGVSQCAPQNNSKSAIFGSSKKFFSASLPGSRIVSRATSPTSRRSSPPRATTPTPTLSGFASMKVVADDAKRKNDGLSEEVVKLRAQVEELTQKAQLQEVELERTTKQLEEAIAVAAEETAKCKAAKEVIKSLTAQLKDLAERLPIGAARSGNSPSFYYSSSTPPRDVSSAGSDQAAGAMSCHEIDSNGSNSLVISHHLSIQTEVSHMDGTAKTRNRTTKVEPTQGEEWVEQDEPGVYITLVALPGGIKDLKRVRFSRKRFSEKQAEQWWAANRARVYQRYNVPLVEKASVGVGREGLAH >OMP07411 pep supercontig:CCACVL1_1.0:contig04459:447:545:-1 gene:CCACVL1_01310 transcript:OMP07411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVLAGSGEEWFRREGKTMCRRGSVIESEQNLS >OMO69196 pep supercontig:CCACVL1_1.0:contig12094:16578:17633:1 gene:CCACVL1_19606 transcript:OMO69196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MTRKKVKLAWIMNDSSRKASLKKRRLGLIKKVNELSILCGVPACMIMYSPDESQPTVWPSSEVARYMIARFRSMPEMEQLKKMMNQETYLRERFNKSQEQVVKCHKKNRKAEMDHLMHQIFNLGRPIDSLSMSEMSGLAWMADEQMKAIRKRIDYLHQSPFTPGTTPPPPFQPLPPPPQDPSMADGTVQVGGGSGGFEPFPWDEWFVEYANNNTELFKPPAGTKSSDNMGYHQYHPYDDHFGGGSSSGVSVADMGTGSFLPGGSFKVTPTNMGLTDQFKAHGGSNNFGSYVTLSNMGQGLNPFVGHPGASSSGTAGTGQFGVPPTVIFKGNNNNNVFGPLPPYDGKNWPSP >OMO69195 pep supercontig:CCACVL1_1.0:contig12094:2569:7427:1 gene:CCACVL1_19605 transcript:OMO69195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELMDSNSPKPFVAWGLSRPANNKFEPKDLLIGSEEGNGNVSSESSHSCGNYKGMEILYSDDDEVVAFGDKSLSSEPRNVSLGLGFSEKGTRVFEQGEC >OMO69197 pep supercontig:CCACVL1_1.0:contig12094:19507:20661:1 gene:CCACVL1_19607 transcript:OMO69197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MTRQKVKLEWIESESARKASLKKRRLGLMKKVSELTTLCGVNAAVIVYSPDEVNPMVWPSPSMVHQQLARFQGMPNSNRLKRMNNQKTYLKEKISKAVEQLTKKQRRNKEAEMSHLMHQIFNEGKKLDELDRTEMEGLAWFAKEKMKEIRKRIEILQRNPSLGSVPNRQIPLPPQGVAANKTTQLGGGGIGNGTLIDPTDPNGCLMGNQGFMLNNNEPKSAFSSSLVRTEMGLTYYYYYPPFAANAINGHMGLVPRHLFGVSSSVAVDMVVGLSSNGNFRGDAAAPTVMGRPPLFIRPHAGLIDHMRLPLSGTSVGSSNFGSFGSDMGLGRNLFVGHIGSSSVGTDQNGLPPAPPSTIGTSRINGTDGVGLPFDGKTWPSNFFP >OMO69198 pep supercontig:CCACVL1_1.0:contig12094:27463:28599:1 gene:CCACVL1_19608 transcript:OMO69198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MTRKKVKLAWIQNDSARRASLRKRRAGLLKKVSELSTLCGVDASIVIYSPDEIEPTVWPSHAAVQEQFMNFKNMPELERLKKMNNQDTYLRDRISKTHAQLSRTLRKNKESQVNHLMIQIDQGKNLDELNLSELSGLTWFFNEKMKEVNKRIEFHRQIPYAPGTAPPPQDPKATNETTRLGGTGNVGLEGDNGRTQTEALLLEQWLEMMKNKALKGAGSSSTRSEMGYPYHPFSGSIVPDDHHLELPRHVFPGSSSSSVVPSDIKLGLGFSAGNFRGPSIDMVLPPLKPHAHGGETDHMGLQLRGSRGGSHFGPLGSKIGMDLGLNHSDGHIGNSFAKSGLGLGLGLTPLGHLGSSNNGTSSDVDVPFDGKTESKNSS >OMO52329 pep supercontig:CCACVL1_1.0:contig15548:32248:33651:-1 gene:CCACVL1_29263 transcript:OMO52329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQNPHTHNLAETNPPSNQGTSLSPTNIAHKLKSNLVFRSKWAELNGAMGDLGTYIPIVLALTLAKDLNLGITLIFTGVYNIVTGAIYGVPMPVQPMKSIAAVAISSSDFNIPEVMAAGICTGAILFVLGATGLMQLMYRIIPLSVVRGIQLSQGLSFAMTAVKYIRKVQDFSKSKSKGYRHWLGLDGLVLAIVCACFIIVVNGAGEERNEISREGNVDDHEERNMMMRRKRIRRIMATLPSAFILFLLGVVLAFIRRPKVVNDITFGPPSINVVKITRHAWKEGFIKGTIPQLPLSVLNSVIAVCKLSSDLFPGRDFSATSVSVTVGLMNLVGCWFGAMPCCHGAGGLAGQYKFGGRSGACVAILGAGKMVLGLVLGTSLVTILHQFPVGLLGVLLLFAGIELAITCRDMNTKEEAFVMLMCTAVSVGSNAALGFVCGVVVHVLLKLRNLGKDQSSSSVSMHGTP >OMO52332 pep supercontig:CCACVL1_1.0:contig15548:53950:55945:-1 gene:CCACVL1_29266 transcript:OMO52332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIVSCGLYLMKKRVSSNIFVPIFFNIVFTLISPASSHATTTTLTDSQASFIKQRQLLDYREFGYGSDDQFDDSVPYQLVFDNPRLKTAYIAFQAWKQAIISDPLNLTLNWVGSDVCNYTGVFCSPALDDPSIETVAGIDLNHGDLAGYLPEELGLLTDIALFHINSNRFCGTVPWSFQKLKLLFELDLSNNRFVGKFPCVVLRLPSLKFLDLRFNEFEGKIPKALFEKDLDAIFINHNRFASELPDNIGNSPVSVIVLANNKFHGCLPMSIVNMSKTLNELILTNNGLRSCFPQEIGLLKNLTVLDVSNNKLVGQLPDSIGELVNLEQLNVAHNMLSGSIPERICSLPNLQNFSFDYNFFTGEPPVCLDLEIFDDSRNCFTGRPRQRSRLQCKMFLSRPLDCSVFKCRQFIPPKPLLPPPSPPPPLPSPPPPALPPLPPPPVNCNHSAPPPLLYSPPPPPPLPSPSPPPPRMNSPPPPPPPRPIYSPPPPLNSEPPPPPPPIPFPPPPPPGALPPCIDPPPPPSPPPCWQQSPPIPVQPSIPTPSPTYNGPLPPFKGVEYASPPPPPLY >OMO52333 pep supercontig:CCACVL1_1.0:contig15548:65540:66070:1 gene:CCACVL1_29267 transcript:OMO52333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYPTFKVRLYKGCCLITTIARRSEGEKNEENPLFENLQRILFEIGYSHRSHAVVVSRIEAISGI >OMO52334 pep supercontig:CCACVL1_1.0:contig15548:68650:71690:1 gene:CCACVL1_29268 transcript:OMO52334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MEINHYGSVEFGCQPGGSHSQQSSVLKQESNKAEASQLLDKGLMEYGCSHYRRRCRIRAPCCGEIFDCRHCHNDAKNNINIDQKLRHDIPRHEISQVICSLCGTEQEVQQVCINCGVCMGKYFCEICRLFDDDTSKKQYHCDGCGICRIGGRENFFHCHKCGCCYSSLLKNSHPCVEGAMHHDCPVCFEFLFESRNDVTVLPCGHTIHTDCLKEMRDHSQYACPLCSKSVCDMSKVWEKFDEEIAAIPMPEPYQNKLSIQEVQILLICILHDPSGSNAYCDALLVFVSSSYFGLHLRAG >OMO52330 pep supercontig:CCACVL1_1.0:contig15548:46731:50541:1 gene:CCACVL1_29264 transcript:OMO52330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDILNLPVQDPPCIEFSAAHIKWVKVEGGRQGGDDIALIPFTRVDDFVKGESSNAECPASFRIESRRKRSEGSISKPRVDGYLEYTLYWCSYGPEDYRDSESGLGDVSSIKPATGKGSRPGRRHMMRGCLCHFTVKRLYTRPHLALIIYNQRKHVDKTGAPCHGILDQDAVGTRAMYAPRISEELRQKVMSMLHVGISLDNIIQHHMEVVQGHGGPHNRDDFLTRNDVRNIERVIRNTSHELHIDDECSVKLWVQRHPKHVFYFQDASGSEPFILGIQTDWQLQQMLRYGHNGSIASHSTFGSKKFKYPLSTLLVFDSCQNAIPIAWVITSSLVGQDIHKWIGSLAERIRTKDLRWRVNSFLVDDPSFGISVIRDSFQCRVLLCVWHVRRAWIRSLLKKCCNIEVQREMFKHLGWILYSSRSGPNAMEAVEEFLEVFVDQSAFMDYFRRRWSPHIESWVSGIRSIPVAGPEPHAAFESYHRRLKYKLFNEQHTNFWHRIDWLIHTLTTEFHSLYWLDQYNVETGYFPNLRDESFSTNAWYQALNVSDNDVILDEHNLQLAKVISQTDRNLAYTIWNPGSEFSLCDCDWSSLGNVCKHVIKVAMICKSRQVARPLLAAQVYRQTLLSLLHSPPDEPVILDHAILCATRLQQEIKGLEDLSNSGLLQQLPPEINSQIADNALFFARSH >OMO52327 pep supercontig:CCACVL1_1.0:contig15548:4557:7367:-1 gene:CCACVL1_29261 transcript:OMO52327 gene_biotype:protein_coding transcript_biotype:protein_coding description:magnesium-chelatase subunit chlI, chloroplastic-like protein MTEGFKETHTYCLQRWNYCLPLTRITTAAADCSRQSSGRKFYGGIGIQGRKGRPQFQFAVTNVATEINTVEQAQKADAKESQRPVFPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTLRSLVDLLPEIRIFSFEFSCSSMDSILVMSSVGEHWSLHLSVTLIDLVCVLFCRAGCIIPGRMMLIVEYQLDCPLTVLRLTCSCSVLSGFLCSMPI >OMO52328 pep supercontig:CCACVL1_1.0:contig15548:27944:31591:1 gene:CCACVL1_29262 transcript:OMO52328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MGNKKIITEDEKIERTIRALLKHPENKRCINCNHLGPQYVCTTFLTFVCTTCAGIHREFTHRVKSVSMAKFSEEEVNSLQAAGNERAREIYFKAWDPQRHSSPDGSNLHRLRDFIKHVYVDRKYAGERSDRLPNLRLGNRAESSESRKVSIFSGRTKSPLYEDRTDRSPNEGSSTPGRIDAVRGYYSERRSPRYAQENSRYGGFRRNPMCIEIVDNRLRDDDSRGSRLVSRKSMNSSGSPVVRSLADILGENALTLQLAGHSETNSVVAKKETNAVRDPEVSATNQVQVMFASSGAIVSLIDFSMDSEPSNAETAIITQQVPPSSDGGKQSSDENPSKGKAPPASSTNSLELLLFDLSDPSEASAAAPSTASGQNISTSKSAEETKSSGRNELPEDLFASSYASAAAGIPGWQTGVPHGMGFGLQYYPNAMVFYEFQAPNAKANPFDLNCEKPQEQAPSFPSVASLQLALPSVQASTGLSHTSSFSGMESHSSYLTSLMTPGAYMGNQSHISLPSSRPQGTGDFDSDDFILSSISRTQKPAGGHSASSAPNSFPTMGANPFG >OMO52336 pep supercontig:CCACVL1_1.0:contig15548:82583:91511:-1 gene:CCACVL1_29270 transcript:OMO52336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase MLATSSSSTNHPLTSLHLLTNNATPTPFPNPSSVSFSSSSSYSYLSPLSFSLHSKTYVRINPKLKGLRVSCSKSEPLKVMISGAPASGKGTQCELIYGLVHISTGDLLRAEVSSGTEIGNKAKEFMNSGKLIPDEIVTAMVTARLSRQDAKEKGWLLDGYPRSFAQARSLEELNVRPDIYIVLDVPDEILIDRCVGRRLDPVTGRIYHVKNFPPESDEIKARLVTRSDDTEEKVKSRLEVYKQNAAAISSTYTSITNKLDGNRPKEVVFKDIDSLLSQVQKDKTAKLAKPVLQTVSQLDKASSNQDKWRGIPTRLNNIPHSREIRNYFYDDVLQSTQRAVNDGRTRLKVEINIPELNPEMDVYRIGTLMELVRVIALSFADDGKRVKVCVQGSMGEGALAGMPLQLAGTRKILEFMDWGDYGAKGTFIKIGSIGGEEVDEQDDMFILVAPQNAVGNCIIDDLKAMTDAAGNRPVILINPRLKDLPGSSGIMQTMGRDKRLEYAASFESCYFFRLLYYAGTQYPIMGAIRSTLLAKLCLNTQQFSGIISINIWCYEMTYPNEYELYKRVDEAPRKEKYVPLSTFTKRPTIDEINDAFLGKPKNKEKKPSGIWGFLSGVF >OMO52335 pep supercontig:CCACVL1_1.0:contig15548:73741:75931:-1 gene:CCACVL1_29269 transcript:OMO52335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MAGGGLAPTGVAKERAELYQGKVTLYVVIACIVAAVGGSIFGYDIGISGGVTSMDGFLKEFFHTVYKNKKHAHENNYCKYDNQGLAAFTSSLYLAGLVASLVASPITRTYGRRASIICGGISFLIGATLNAAAQNIAMLILGRIMLGVGIGFGNQAVPVYLSEMAPTHLRGGLNMMFQVATTFGIFTANMVNYGTQKLEPWGWRLSLGLAAIPAFIMTVGGFLLPETPNSLIERGSKDRGRKVLVRIRGTDKVDAEFQDMVDASELANSIKHPFRNILEKRNRPQLVMAICMPTFQILTGINSILFYAPVLFQSMGFGGNASLYSSAVTGAVLAGSTFISIATVDRLGRRALLISGGIQMIVCQVIVAIILGVKFGDNQELSKGYSILVVVVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLLFTFIIAQCFLAMLCHFKFGIFLFFAGWITVMTVFVYFFLPETKEVPIEEMIFLWRKHWFWKKIVPEYPQEDGNMTA >OMO52331 pep supercontig:CCACVL1_1.0:contig15548:50661:52946:1 gene:CCACVL1_29265 transcript:OMO52331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKLHLLEASGAAAAMLRSPGVESAVSKV >OMO58824 pep supercontig:CCACVL1_1.0:contig14118:3499:3573:-1 gene:CCACVL1_25336 transcript:OMO58824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGSLGEIRDVIEGEKAEESSV >OMO53905 pep supercontig:CCACVL1_1.0:contig15108:35958:42278:-1 gene:CCACVL1_28251 transcript:OMO53905 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine-threonine protein kinase, plant-type MDSNLKHLDLSNNLLTGEVPANLENLKMLSRALLCRNQFSGSNPSSIGNMYMLADLNLSMNKIRGEIPEQLANLLTPIDVNGINDGV >OMO53903 pep supercontig:CCACVL1_1.0:contig15108:8311:21113:1 gene:CCACVL1_28249 transcript:OMO53903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLDRKEQGKHTKVETNNGKKKDRLGAPSPTANTVDGGGVDGKWGCLGGGGLFMRFGDDDRAAPGAAGTMACYPRGAA >OMO53904 pep supercontig:CCACVL1_1.0:contig15108:21431:33333:1 gene:CCACVL1_28250 transcript:OMO53904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAALLGRHAMEITSLLGRHAVAQPPQEGVETDSFDNGGPHFVFFFLIYDDVMDEFMQFIMESGKEENQTELDKYLKECLCPTIVQALICTQHWLRDAPQFDLASLVDEEQNKLDEITLST >OMO53906 pep supercontig:CCACVL1_1.0:contig15108:49406:58323:1 gene:CCACVL1_28252 transcript:OMO53906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEIVEPNTCVRGCCSSTCIPLHLPPSSYTLLSPIARGAESVVYEAFLDGNRVAAKKPILSTSEDLDKFHKELQLLCKLNHPGIATLVAAHARPPNYMFFFRFYEQQNLAHRLHVQEWTPHFDQALAISLQLAKALQYLHDQGIIHRDVKPANVLLDRNSSAYLADFGLAQYKKDIRAVSTENWRSSGKPTGGFHKKNMVGTLIYMAPEILRKDIHSEKSDVYSFAVSINEMLTGVVPYTDIRAEAQAHTVLEMSYTEQQLTAAVVSGGLRPVLAGTESGVPAIMLSLIQKCWDVNPENRPSFSDIVLELEGILQQRKEMEKEDLLLQKSSISNGHQAIDSMNNLHTYQENINWYTEGEYLSKKASSGVHSGFRIWLNSLDESSTYHPILSWGSFATCGKRESMEDTHFLMPYICNEKDVHVFGIFDGHRGAAAAEFAAQTLPEFLKILGSTCSPPDALFEAFVRTDVAFRNVLDSHRKSKRVTQKDWHPGCTASAALIVRNKLFIANAGDCRTILCRGGSPLALSRDHVASCLEERERVVSAGGQVKWQVDTWRVGPAALQVTRSIGDDDLKPAVTAEPEITETVLSVEDEFLVMASDGLWDVVSNMEVVSIIRDTVKEPAMCSKRLATEAAERGSKDNITVIVVFLRPVSTAERVY >OMO85139 pep supercontig:CCACVL1_1.0:contig09664:130:7726:-1 gene:CCACVL1_10392 transcript:OMO85139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MAEEDIYTKDGTTDFRNRPAIKNKTGTWKACPYILGNECCERLAYYGINTNLVNYLKFQLNQRNVEAVSNVTNWSGTCYVMPLIGAFLADAYLGRYWTIACFSTIYVFGMTILTMSASIHGLKPHCENNVCHPTGVQTGVFFLGLYLIALGTGGIKPCVSSFGADQFDDSDEAEKKKKSSFFNWFYFSINIGALVASSVLVYIQTNVGWAWGFGIPAVAMAIAVASFFSGTRLYRNQRPGGSPLTRIFQVLVASFRKIRVKVPADKSLLYETADEESAVKGSRKLDHTKQLSFFDKAAVETSSDRIKGSINPWRLSTVTQVEELKSIIRLLPIWATGIIFSAVYSQMGTLFVLQGNTMDLHMGKSFEIPSASLSLFDTISVIFWVPVYDRLIVPFARKFTGHKNGFTQLQRMAIGLVISIIAMLAAGTLELVRLREVKKHNYYELKHMPMSIFWQVPQYFIIGCAEVFTFIGQLEFFYEQAPDAMRSLCSALSLTTAALGNYLSTFLVNIVTDISTRHGNPGWIPDNLNYGHLHYFFWLLAMLSVLNLGAYLMVARGNRNPAGLRAPPSTLHHVPPSNPSPPSDSDDSRDLYHALISEMLCSIEAGDFIGALSSRAARLVLEDSSELSASPEAAYSELLDRVKSFISADSVDDSERACRAILVMCIAVAAFFYFTQCNLKSPVEGLLKRPLPMKAWWDGHGMEEWENWARNELMAAGSDLAAKFSFLQSSSLFKSLQEFKHETLDHFGKLENVTSYWGEKLLDGEGSTIVTVVHLEAGVLEYEYNHLEEGLLGYEYDRLGPCREHFNTALVAAGLELSVTGVLGFRTVHQVEPKAQRVLLVKKCSNSSGGTCTSSGPGLESCSSRISEDSDIFITPKLLENGSGAPLTAVEQAVILAQCLLIGKTAPQDEMQGWDLAPYIEAIDSQQSSYFMEECIPKIGVIFLLELKMELGRQQHFAFLPWKKMTKIIMLNQAVMRKVHECLLLLVD >OMO97981 pep supercontig:CCACVL1_1.0:contig07177:10498:11806:-1 gene:CCACVL1_04389 transcript:OMO97981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MAPTYSNLSIIVLITVLLVVMSSCAGWVNAAEDPAAAILIRVDPSGKGDYVKIQDAIDAVPSNNKDNVFILVKPGVYKEKIVVPADKPLITISGSKANAVIITWNDSGNIFESSTFSVLASDFVARYLTIQNTYGAGAKAVALRVSGDRAAFLGCRILSYQDTLLDDTGRHYYSNCYIEGAVDFICGNAASLFEKCHLHSLSEGDASITAQRRESPSEETGFTFLNCKITGVKTALLGRAWGPYSRVVFAFTYMSDVILPQGWDDWGDSSNQRSVFYREYKCYGPGANSKHRVEWSQELSGKEAEPFLTKYMIGGNTWIRSLPTRFKKASTAPKSNKNH >OMO97980 pep supercontig:CCACVL1_1.0:contig07177:8588:8911:-1 gene:CCACVL1_04388 transcript:OMO97980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Preprotein translocase Sec, Sec61-beta subunit MARGSSQSQSSTSASGSRPGVVAPRGSAAAAAGMRRRRLVGGSSSASGPGSVGSGSGSNMLRFYTDDAPGLKISPTVVLVMSLCFIGFVTALHVFGKLYRAKTGAGP >OMO97982 pep supercontig:CCACVL1_1.0:contig07177:12842:21960:-1 gene:CCACVL1_04390 transcript:OMO97982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MAGASGGRSLEETPTWAVAVVCFVLVLISIIIEHIIHMIGKWLNKKHKRALFEALEKVKSELMLLGFISLLLTVGQSTISNICISKEIGATWHPCNKKQEEKKMAADEPVEDADNEHRRRLLMMAESGAVFRRSLAAATEDKCAAKGKVPFVSSDGIHQLHIFIFVLAIFHVLYCILTMALGRAKMRSWKRWEKETRTIEYQFSHDPERFRFARETSFGRRHLSFWTKTPVLMWIVCFFRQFVRSVPKVDYLTLRHGFIMAHLAPQSHTRFDFQKYINRSLEEDFKVVVGISPPIWFFAVIFLLFNTHGWYSYLWLPFIPLVIILLVGTKLQVIITKMGLRIQERGEVVKGVPVVQPGDDLFWFNRPRLILYLINFVLFQNAFQLAFFAWTWYEFGLKSCFHEHTEDVVIRISMGVLIQILCSYVTLPLYALVTQMGSNMKPTIFNEKVATALKNWHHTAKKHVKQSKGSVSVTPFSSRPATPSHQASPVHLLRNYRSEMDSLHTSPRRSNFDIEQWDTDSPSPSHPNYGEGSSSSHHHNTAEQATFLFTVVGTTGFLELLAGQLPGDSLQKGKKKAAEPWST >OMO97979 pep supercontig:CCACVL1_1.0:contig07177:5484:7276:-1 gene:CCACVL1_04387 transcript:OMO97979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNSNRENPLHVFSLFLSNPFGYFSETKILKNDILFRVDEICKKYENYEVEKQRALAAFADDAFAALFTGVEDDIDKALVKSEMASTEKNRAAAVAMCAEVRRLKARLIEQVPQLQKLAKKKVKGISKEDQEARLDLVLALPDRIRAIPDGPTPAANKTGGWGPSSSNKNIKFDSSEGLDTLKNIALDMNEELDKQVPLMDEIDTKVDKATSDLQRTNVRLKKTINEIRSSRNFCIDIIL >OMO55220 pep supercontig:CCACVL1_1.0:contig14765:4462:4954:1 gene:CCACVL1_27348 transcript:OMO55220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIQYGQLFRYKGLSRFPNHSRDPNLFVQCILSSHRDIRLARIVLFASDNIPPMQNPT >OMO55221 pep supercontig:CCACVL1_1.0:contig14765:8704:10513:-1 gene:CCACVL1_27349 transcript:OMO55221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCGEDDRNDKGKEKEAARSKRNPRTEGNSSVDNTGIGLVSTQ >OMO86197 pep supercontig:CCACVL1_1.0:contig09520:1482:4349:-1 gene:CCACVL1_09738 transcript:OMO86197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MRDIITHAVITHDYSLGKKPTTMAHATAKIMVVANIGLEEMTKSEYKEKAGGFRASAFIFVMVALENMGFIANMGLALVTIQAYSKDLHPPYCGKSSCIKGNIAVMFYASLSLIALGAGGVKGALPALGGDQFDQKDPKEAKALARFFNWLLLSTILGACVGVTGIVYVSTEKHYWYWGFFISTVAAFIGFLVLAFGKPFYRLRQPTPADSPIIRIAQVIVAAIKNRRLALPENPDELYHINEKEKGFREEIISHTNQFSWLDKAAIVPKDSKPTPWSVCTVTQVEEVKILTRMLPILGSTIIMNTCMAQLQTFSVEQGSAMNRQLGNFKVPAASIPIIPLLFMSVLIPVYEFVFVPFARKITQHPSGIRQLQRVGVGLVLSAISMAVAGVIEVKRRNQAHKDITKPLSLFWLSFQYGIFGIADMFTLVGLLEFFYKEAPVCMRSLSTSFTWLSLSLGYFLSTVLVNIINSVTKRITPSKLGWLHGLDLNQNNLNLFYWFLAILSCLNFLNYLYWASWYKYKTEEPRLDAKPILAKEESAVKESSTVLAAKEESAVHVDGINGGASETNYEAPSSFDQTSTGPLLANAKEESDAVGIGGGGASSETNEASVSVLDQKEDAKAKH >OMO61734 pep supercontig:CCACVL1_1.0:contig13420:7003:7095:-1 gene:CCACVL1_23290 transcript:OMO61734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMMKKMKSITPVPQVSGLVTEDAWGDNGY >OMO82199 pep supercontig:CCACVL1_1.0:contig10062:12013:13727:-1 gene:CCACVL1_12033 transcript:OMO82199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNTEEYGSIYAQLSPINSASFTAGEAQFNMNRILQPPSSPSHSNKNKLILSQSGSGAKAENSKRKYCELLVLPTGGKGKNPKKGSSESCFQPQQPKPKRKDQESVEELISKKKVDEDKVEDMQNYINMFLPDMEGRNWLRRDIQIIKTKIETIKDVIKGMESQGRSPDEIKAVVKTSLENVRKAIQTADEERDMGVRASQFLIIEETTTNELITADEGQGNKQTLYNSEQKYTVKDLAELEKKLSTATVGKVLFSHFQDLEEEVVGSHSPGEIPQYLQNIARKILTDPSTTKTEDVSHNKLILVYAAIHEMELCEGLEKLNWDRLSKWIATYNQAKDAGFQSEPVEDHLKRLLKGYLGFNLRNYGNPKETIRKLEAELEMTQECLSIEESFQGKPLSQGLFHRSIPK >OMO82200 pep supercontig:CCACVL1_1.0:contig10062:33413:34153:-1 gene:CCACVL1_12034 transcript:OMO82200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRVSHLFLPRETAISVLTADHEGQGSHQTPTSEKGHTDLLELEKKLFSIVKKVKFSHFDGLEEALLETVRGDIPKYLKDIARKIQAACGITETRNVHHNILMLVYAAVYEMEQCKGVELLNWDRLSKWVATCNQAKDAGFQVKFVEEHLKKILYAFHGFGLKMSGGDPKKRVWELEVELEMMRECLSAEESFKGKPLSHGLFSQ >OMO70178 pep supercontig:CCACVL1_1.0:contig11861:2254:9172:-1 gene:CCACVL1_19065 transcript:OMO70178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMWSTPLNYDGMKSNVDIDRVVVHYTLDYHNDCQHSNYDDGSGTVGLLNGRCRWGWSVYSPKTDYTDGFFWTPTTDPNMGNTDLTENRQCRFIRSGVNLGKIDPELIKKRDDKYNVSTEAIRGSKVEIENHSGSIHRQSNCFAKSPGGEEEESKRYDLNRMLESRRPPETQGG >OMO70179 pep supercontig:CCACVL1_1.0:contig11861:14600:16334:1 gene:CCACVL1_19066 transcript:OMO70179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQQGEQNQANDLAAMLQTMIQRVDTMIDKMQRVKEGNFTLDSSGGSVDKRDNDGAAIDDGDELTSLSLVASRTLRAFVKGDVQRENLFHTRMYANGKPSSVIIDGESCKNIVSDYLVKELQLPTSNHPKPYSLGYFNDRENISVNKQVLVSLCLGRYKGDVLCDVLPMQACHVLLGRAWQFDNKVHHDGETNKYSFMCGKKPLTLIPLSLQEALKDQIKVRDDFAKLDVEFRAKEKSKSEPKIDDCFDDKTALVAKSVLDVVCNDTKPNLSVVCNDTKSVLGVIGDDTKSVLDANSLQTILFLGEKKEISKEVVKECMLATKSEIKSALHDNSDLILPLFRNTLMGTNNLAGDIPSNIVSLLSNFVGIGFVLMQGEKPVAYFCGKIPSGFPPIKEIEFHPYVVQYSQDLRTNLLQGGGNDAPGRNYAPRTYYGLEDKHGEHGKDVQGLQGSMEMYEDHRDIVEHVPSTKKMLFDPLKMPNSPMTRGRAKRFKDALMGLVRTHLEDLKTIEVHLKSFGDDLGKKLQINYKFITLLAIDSKWPD >OMO70181 pep supercontig:CCACVL1_1.0:contig11861:29978:44416:-1 gene:CCACVL1_19068 transcript:OMO70181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEYSVSTILKLSKVENKYEDRVLIEALQVLIMCFFEDCCRTFIDKREVDGEDRRHSHQYRYKGPLNPKG >OMO70183 pep supercontig:CCACVL1_1.0:contig11861:50200:51267:1 gene:CCACVL1_19070 transcript:OMO70183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGYTMNPEDYKLLEIIGDGATATVYKAIHVPTTNVVAVKVLDLDLCNDGNLDKIRRETQTMNLINHPNIIKAHCSFVVERKLWSAIKSILKETLKALDYLHKQGHIHRDVKAGNILLDKQGTVKLADFGVSACMFDAGDRQRGRNTFVGTPCWMAPEVLQESAGGSGYNYKADIWSFGITALELAHGHAPFSEFPPMKVFLMTLQNAPPGFDDSDHDKKFSKSFKHMVATCLVKDPRKRPTTEKLLKHPFFKHAKPPEVSVEKLLAKSPKVWDGVRTLDRFDEQLVQSKKLIAEEEASSSQYQRGISAWNFDIEDLKAQAALLPDDDDD >OMO70185 pep supercontig:CCACVL1_1.0:contig11861:67200:67301:-1 gene:CCACVL1_19072 transcript:OMO70185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEITMKIRTRWSNKRTLGGQQIAFLKPLEKRA >OMO70184 pep supercontig:CCACVL1_1.0:contig11861:52465:66762:-1 gene:CCACVL1_19071 transcript:OMO70184 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase-like protein G3 MEMFQKMEGDDITQLIIQNQNNMGSGSSSEESKGKEGTHSIGITIREESSENISNSLFAGIDNITDEDLALAYLLDEPNTNKEEPEPAKSNNLPLDLGVDSQDKAHEAMAVGCLIAGRSVMMTLRIQWPAVEVGVSALMGVSNEIAFSVWLILEKSCEDMFKETNLDPLSSRIQAAANDYFRFCDENKVKVLVKNTDGSQKWAPPPVRWMIVTTDSVFSREYGKTANGLVLKIDKGFVLIFFESNSYELYKFQRVFFSGPSELVSPEFDELNPNHVVDKPIKSQEILEFAHHVAGCNYENQTKWGYLVDAPLIATGRAPFINGLGLENVNDLLLEK >OMO70180 pep supercontig:CCACVL1_1.0:contig11861:29377:29751:1 gene:CCACVL1_19067 transcript:OMO70180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPMFASNSWTAIPNHLPHSTASSLLPLPPCVPFSLLSPSLPPLRLTLLSPFPLDDDSSTTLDAMAIGALLTFLSIVVTVVPKGGIASGKANEAVEVVVRVAVNEGLGVASLRSGLKCLGGFVI >OMO70182 pep supercontig:CCACVL1_1.0:contig11861:47213:47293:-1 gene:CCACVL1_19069 transcript:OMO70182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVICSAVATLGGSSASETPYIAMQ >OMO59858 pep supercontig:CCACVL1_1.0:contig13861:2251:6822:1 gene:CCACVL1_24568 transcript:OMO59858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MKELFNSGSEIANFVVSSGLLKVVWGKVWDSYGGVNLKEQQNLGFSLKWKVYEEAKFDIIVFVASPICTKSHLQEAELVPSTALKDPSFEFLCSNGNSSSIHKAAITLFTSHINELLQLKNQYSRISKPLIITGHSLGGSVASLFTLRLLESLNVTAAKHPLCLTFGSPLVGDKGFQQAISEYPVWTSCFLHVSASEDLIPRLFITPHYLNNNGLTSQSNSYKPFGTFLLCCETGCSCSDNPEAVVELLKAMPLGKNSGNEEKLIVDYGRIVEKLESLIILKGISQLNDFMPTSLRAGTILQLGAVGVHKSQHQDYDLDNLIKILELEDTCYSSKRNVVDPAKKLNDIKVKMAELEWYKKLCKVREKGYYDCYKNKFDRRDMRVENYKEFLTDYWQDIVAQTEKKPQTKHGYFRRIRWLYGGTTYRRMVEPLDIADYYKSGQKEYMTKGRSPHYIKMEQWLEEAEKKNGSCSNAKKQSVDAILTYDSCFWAHVEEARILCKSLQNADASAAEKESSRKKLMKFEEYVMEQIKKFAVSSEIFLKGSSFMQWWKEYEKIIGPDHHNLPLYDFMINDDFLDYGSDACCLGTFHDRVKSIPDNSRIARFCLWYSLLPDNHNHQSMLNPLLCDAAGHQIEEMALGFEFHSEENLYQKVISLPHSLFTSDSLTSFELSMEFPHLDFEFEFPIEFPTSIWSTGRDIHFSIASARLQILTIEANKSDDSYSSLEIKCPNLEYLNISDWDYFNQPPEMWFYNPAIPKVLERLRHVQFLKLSSSTLKAIQFLHSHEDKEAHLDMDKLPIFYNLTHLELELEVEGLILLVYILQKMPHLQSLKLFIGYGDMKEQDMEQKCFKDVLPPACLEHCLQTFSISSFRGTTKEEIDFLRYILENAEVLEHSTVDWYEGCFKGTSEEERMSIQQDLSTLFRSDCLYEFF >OMO59859 pep supercontig:CCACVL1_1.0:contig13861:21387:22364:1 gene:CCACVL1_24569 transcript:OMO59859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MAPSSQPPSPASLLVVALLLLSFALLSESRLSLDYYSKSCPSFNKIMQDTITNKQIASPTTAAGTLRLFFHDCLPNGCDGSVLISSTAFNKAERDADINLSLPGDAFDLIIRAKTALELTCPNTVSCADILAVATRDLVTMLGGPFYNVYLGRKDSRVSQASSIEGNLPKPIMGMSQIIDLFSSRGFSIQEMVALSGAHTVGFSHCKEFSSNIGNDTHYNPRFAQALKQACADYPKNPTLSVFNDIMTPNKFDNLYFQNLPKGLGLLKSDHGLYNDPRTKPFVELYARDQNKFFQDFAKAMQKLSVYGIKTGRKGEIRRRCDAVN >OMO59860 pep supercontig:CCACVL1_1.0:contig13861:30120:30542:-1 gene:CCACVL1_24570 transcript:OMO59860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTRQFGSRMLRPVILPLLKAGGFRCSVNPASRGALRYRSTKHRLSLGPHDSTLDLQRAGQKVAPINPARWAESLSNHLNAPTRITSQRYPARRSLFPLPIYLNYIVTLEAADVGYKHSSSKSNAMKAKKGSSINGFKLLS >OMO91306 pep supercontig:CCACVL1_1.0:contig08358:18047:26898:-1 gene:CCACVL1_07168 transcript:OMO91306 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSNFVAKTWRPSLGGQNQQLVNAQPGVLPLQQLNQGVNNQQVPPPPPIPQGVIQPGLGGENNLLIQQDVGDNAADNTDEELKNVKPRAAAYVPPHVRIGNGNRARNDHQRYPLPRHPLQEGPVNQNHLREIIEDMIGPAYRRIGRPSFQKPYSEEYDRLYPLPQGYKVPDFTCFSGTSSEQLTLEHIARFTVQCGEANSGYHKLRLFPNSVTEAAFTWYINLPPNSVRFWEEMERLFHTQFYRTEPEVSMADLSRLYQKKGESAEDYLVRFKKLRNMCRTPLQEMEFVRLAHVYSTPFGFYELNDHVKYESPSSKDPNRSSPIKTARVDNRSFKEALCGSNKATSANGLEYSSPLQNPPSTSSPSAADRSLAPLLLDIDIPKDDLEWLNRSMVGKSYGGVDCIEASLKSHSRTLLRFFDELITWWDDDTDRAALLWIKLEEVPLLLWHQDFFQRLGNGWGKFIKTHESTLSRESFRAAWILVEVESKGDIPNVISGKVSNIPFKIICSTYMAAPGSIRVDGSLSSGESRESPAHSTPSVSLRLMENREAISGSSKEINNFVDNLNEDCSLSASCPLEDEPNNLESPRLENAFSRYLIGSGEHQSWVPESSGSPIVSKKSWITVRRNKGNKRKNLANIDIVNFDSNLGLNQSPSSPHSSPNRHILNRKIDENINLCKQVGVEFDVDPEVVRRELGMIHGPQESKCEGFDPKQFRLIWGEGNIHGVFADAVGKAGGLISIWRDDFFQMDSSIISQRILIILGSIRALDMKCAFVNVYAPNNDEERRVFFEELSMHLSVLNVPTCICGDFNVVKSEEERSGTLSNDTALGVFNDFIEDWALVDLPLVGSNFTWFENSSPPSFSRIDRFLFAPIFLTTFPNVFQKALPRSLSDHNPILLGCEEVDWGPKPFKLFNFWCEDDDFKLMVKTVWSNSQNSNLWEKLKSLKPALKAWASTKFGNLAASISSLEVEIQQMEELLAVNGENESLRNKLYHCKGELWKLMRAEERSLHQKSRVNWLNNGDKNSRFFHQKVAMRNASNNITSIQHNNFTITDPSEIKSFISSHFENLYNSRNALKIRNFDGRFNSLSDSSRIFLETPFTSEEIFEAINVSDGNKSPGPDGFNLHFFKKNWDIVRTDLLKIFDDFFHSGSFDKKINSSFISLIPKCASPSSISDYRPISLVGSIYKIISKVLARRLSTVITEVIGESQFAFIKGRQIIDCALLANETIDCIKRRGTGGVLFKVDFEKAYDSVDWGFLSFTFGKFGFRTKWISWMESCVSTATLSVLVNGVPAGLFGMQRGLRQGCPLSPYLFCLVGEMLNVMLENAANSNLFKGVQIGNSNLSISHLQYADDTVIFCEPCIEQIRNVKNVLICFQLVSGLKVNFNKSRLYGIGVDDSSLQGWANDIGCKFDYLPSVYLGLPLGARHSSVAIWRPVIEIFSLPIYYMSLFPLPAMVKVELDKIQRRFLWGGSDSQKKLHYVNWNQVCKPKENGGLDIVDLDIKNRGLLKKWVWRFGNEKDSLWRKIIVEKGKLNPLDLQPRLESASKCSPIWKNILIHRRHEQNDLVSLSYGMALIVGNGKNIKFCYDHWIGDRPLNIQFPRIFSLVTNKEARIADLRILDDSDWKWSIRLRRNLFNWEIEQWENFQECIRDIVVNENFADKADNHFDIWKLVWPDLAPPKVHVLLWQIIHGKLAVKVELAKRNILRQDQAFCSLCPNEHETIDHLFFNCHSSWEVWSFFCKQWNVSWASPYDAKSFTLSWLKPAFHIPAFEVWKLLFFAILWSLWLRRNEGKPGPGGIGGILRDHDGKSLLEFSKSVGMIESNEAELRAIREALLIYSTSSWASSHPLILESDSSNACGWARNPFVVPWRFRSYAISIAFFKEKISSLSIVNIPRKQNTATDALAKSGV >OMO91305 pep supercontig:CCACVL1_1.0:contig08358:1565:3631:-1 gene:CCACVL1_07167 transcript:OMO91305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDFTSIFCFFASLSFLIFLFSYLLNFFKPNTRQLPLPPGTLGYPYIGETFQLYSQNPNVFFASKQKKYGSIFKTHILGCPCVMISSPEAAKFVLVTRAHLFKPTFPASKERMLGKQAIFFHQGDYHAKLRKLVLRAFMPEAIKSIVSDIDSIAKDSLHSLEGKLITTFQEMKTYTFNVALLSIFGKDEVLYREDLKRCYYILEKGYNSMPINLPGTLFNKSMKARKELAQILGKILSTRRQMKLDRNDLLGSFMGDKEGLTDEQIADNIIGVIFAARDTTASVLTWIIKYLGENPSVLQSVTEEQEAIIRSKEKCGEEQTLTWADTKKMPVTSRVIQETLRVASILSFTFREAVEDVEYEGYLIPKGWKVLPLFRNIHHSPEIFPDPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEIMVLLHHLTTKYRWSMAATSSGIQYGPFALPQNGLPIKLTRKS >OMO91307 pep supercontig:CCACVL1_1.0:contig08358:34869:36563:-1 gene:CCACVL1_07169 transcript:OMO91307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIVLVILLTMMLKKLAIYLEAQAINGTKCRDDLHKEKESADGNNYRDHHNIDIHHQYHHQVSRKSCSFCCSNNGAPIRTYALQELKMATANFRIRIGVGATSFVYLAELGDGKFGAVKRVMEERGGSKKIFLDEVSVLLRISHPNLVGLLGFCLEKGEQLLLLEYVANKSLFERMHTYHGQSSGILAWSNRLSIALDIARALHYLHSQADPPIIHRDVKSSNILLIDNNHAKLADFGLCKLGYDKPTDASPSPNLTKIKGSFGYVDTNYLKTGQVSTKSDVYSFGVLLLELITGLKSTQGSATLAEWTAEFRKNDDVEVLAKLLDPKLKGDVNLEQLKVLIDLANASLLENSQGRPDMSHIVDRILSCMEPHPHPPLPV >OMO77371 pep supercontig:CCACVL1_1.0:contig10773:53647:56937:1 gene:CCACVL1_15047 transcript:OMO77371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEMLQEINSRGFRGFRRIRDSSCREQGAAGLGAFYVTVGGARPDLAGGWSRVSRDHMTGPGFTPSRGILYSVKITTKPPSYPVSAVTLRGIT >OMO77369 pep supercontig:CCACVL1_1.0:contig10773:21883:43014:-1 gene:CCACVL1_15045 transcript:OMO77369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKARTNVLADMIIALNEARDEFNRQKNGDVDQNKVDNKEDDVNVVDRVNALCENTSGTKEGDTNDMDLDTINDVGSKKNNVRVLSPLNRRSKHEAAIGNFGVPDYVGFLIVLVAYTNKGANGCEHFDGVPFKSNSPHPIVILLDRGAYRSVAVFSRILPQPDRNQLTSTPR >OMO77370 pep supercontig:CCACVL1_1.0:contig10773:47313:51672:-1 gene:CCACVL1_15046 transcript:OMO77370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGGDALRVSASCGMRLHGNAESFSRSNGASTEKDIFCKRKVDKFDTSDLEWTDKIPECPVYCPTKEEFEDPLVYLQKIAPEASRYGICKIISPLSATVPAGVVLMKEKAGFKFTTRVQPLRLAEWDTDDRVTFFMSGRNYTFRDFEKMANKVFARRYYSAGCLPETYMEKEFWHEIACGKTESVEYACDVEGSAFSSSPNDPLGTSKWNLKKLSRLSKSTLRLLETAIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGHAALKFEKVVKEHVYTNDILSTDGEDGAFDVLLGKTTMFPPNILLEHDVPVYKAVQKPGEFVITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAVASLRYAHLNRVPLLPHEELLCKEAMLLHTSLELEDLEYSPADLASHHSIKVSFVKLMRFLHRARWSVMKSKACTSVSSNFYRTIVCTLCKRDCYVAFINCSCYLHPVCLRHDIKSLKLPCGNHHCLFLRDDVVEMEAAALKFEQEDAISEEVERLVENGDDLYSYPLANKFQTDVDDGYFPYCEINVVLNPEIAAITTTMGQPTEHVEPTMSHDTSFAASTLCSFVEQVGSSAKNVQGLGNLGNANGKEFSEEFSRSTYESSASCLSREDRPGIHPSNNVHEPESRSTGDQDSDDSDSEIFRVKRRSFLKIEKRNGLKRLKRLQHEGRCGHSEGCRSDEPIRSTKPTYDCKEAPENGVKDRLGRGGTLPISIKYKKLGNSEEATMVRQREQQRSDSRFQHEIGKSMREPPSLEIGPKRLKVRGPTYLGSESSRASKFGGQIARAAILVVLSGRGVFPSLAGEPSCKN >OMO77367 pep supercontig:CCACVL1_1.0:contig10773:8596:13195:-1 gene:CCACVL1_15043 transcript:OMO77367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPAQPDLSLQISPPNSKSSSTWRRTTTEEDMDLGFWKRALDSRNSSMAKPDNNCAFDLSLSNPTRVSVSVSDSNSNNTHHLHGLLQNGAAAAANCNGNLFHAAYHQNQFPHHHHHHHSQLQHQHPVLYQQQQQQQGLGLGQELGFLRPIRGIPVYQNPPTPTPFPFAQQPLVDSSSPSSLGSNNSSSPFQSQGLMRSRFMSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAATSGQSDAFDNGSSGDTSEDLMFDIQNPRRSEVSVQQGRSSSNALQDKDYHGLWSNSSREAWLHGKPKDSHSAGNLPSLEKDMDPKCLSYERISEVSSSSHSGTSPKKPNLEFTLGVPH >OMO77368 pep supercontig:CCACVL1_1.0:contig10773:18191:19100:1 gene:CCACVL1_15044 transcript:OMO77368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYRFLYSKKQSYRQREQK >OMO79120 pep supercontig:CCACVL1_1.0:contig10486:17907:19400:-1 gene:CCACVL1_13898 transcript:OMO79120 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP binding protein MMMMKKGDLWSNLGSTMAAIMFFYAMFRQYLPPHLQNYIFRYSKKLSNLMYPYIHVTFDEFTGERMKRSEAFTAIHNYLKDKSSAFAKRLKGDFAEDRQSLVLSMDYDEEVSDVFQGVKVWWAARKSISKTQQFSFYPASDEKRYYILKFHKRDREFITGTYLLHVLKQGKAIAADNRQRKLYSNNPSQSWSGPGYRSTKWSHVVFEHPATFDTLGMDEKKKEEIKKDLIKFSKGKEYYAKIGKAWKRGYLLFGPPGTGKSTMIAAMANFLKYDVYDLELTTVKNNLELRRLLIETSNKSIIVIEDIDCSLDLTGQREKKKKKDHENEKQMDPISKKAKEEEKNDSEVTLSGLLNFIDGLWSACGGERIIVFTTNYVEKLDPALIRRGRMDKHIEMSYCRSEAFKVLAKNYLDIDSHPLFGEIGQLLEETNMTPADVAENLMLKSDDDEEAETCLKNLIEALKEARKKAEKEEKEKEESAKEDSNEPKPVKENRAIH >OMO79123 pep supercontig:CCACVL1_1.0:contig10486:42804:45552:1 gene:CCACVL1_13901 transcript:OMO79123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAEAAVTAAIFLFEKAEVFLGEVNSKKDVKDDIEHIQEGLGTIQAYLRDKRGEVGSEMQRDRIKQLRVVAYDIEDVLDEFQLAHVPHFHQHTISQMAHDAAHSLQQFIALQRLSSKIKHVRAKIDGFNSGINAHLHPGEGSTSLLEVEDHPTNQIIYHQEDEIVGFEKHKAKLMEQIIQDIEGEASSIIAVVGAPGSGKSLLVENVYKDRSVVRKYGRRAWIHVSRSVKIDELLCRMVSQFSKGRDPPGIDVQDKLKHMLNHKSYLLVLDDLWNTQDWTKIVNALPSHFGRRRIIITTRDFNVANQCVLSRSKLHVHELHPLSWPDAWHLFCNKAFNGKCPQELVKLSQRILTKCEGLPFAIVASCFLHFSIFPEDYSVKRARLIRLWIAEGFIKQESGKTVEEIAEDCLNELIGTNLVQVSSWDFDGRASTCRVPSLVREFLIRKSEGENFVTILAEPRTAQGEKPRARRLSVQCSRSILSSKRYLDLSCIRTLCMFKWCDSFSSDIRKLLDQFKFLKVLDLEGAPLGIFPEEIFKLTLLKYLSLRETKIKKVPKSIKKLAFLETLNLKHTDVTVLPFEILALKYLRHLLVYCYNVDNYVTFDSVRGVDVPAGIGTLSELQKLSLIRVTSPKVIQELGALTNLTKLGVLGLRKEDGNKLCEAIMKMQKLLSLEVNSARQDEYLELDEMANCPQFLQRLSLKGRLVKLPRWISSLDSLVRIYLRWSKLSVEPLTALEALPNLLELEMVDAYMGEKLEFKANSFMKLKILHLEDLGSLNTVIVEETAMPKLEKLAICKCKKLEMPPLGIHSLIGLEELLLYDMNESFLSCLRKDHEDRWMVDHINTIHSFTLDPSNKKWSFKNLS >OMO79119 pep supercontig:CCACVL1_1.0:contig10486:14667:16145:-1 gene:CCACVL1_13897 transcript:OMO79119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVNWVSQLSSTLASIMFVWHIFKTYFPPTLQIFLEKNLQKFTSLFNPNIEITFHEYSSDGFRRSEAYKTIENYLSSKSTEQASKLKADVFKDGKCIVLHMEDYEEVSDVFQGIKLSWSKVKSIPRTQVISYYASATEKRHYKLSFHKRYRDQITKNYLKFVLEKGKEIAAKNRQRKLYTNVSWQSYSKSRRNMWSHVVFEHPASFDTLAMDPVKKKEIMEELVNFSQSKDYYARIGKAWKRGYLLYGPPGTGKSTMIAAIANFLNYDIYDLELTSVADNTDLRNLLIETSSKSIIVIEDIDCSLDIANQRKKKKEAKEKKTSGMEEEEDQEDDNKNSKITLSGLLNFIDGIWSASCGERIIIFTTNYVEKLDQALIRSGRMDKHIELSYCKFEGFKVLAKNYLGLESHNLFDIIENLLEETNISPADVAESLMPKNIKGDAETCLENLIQALKTAKEEAKKKAEEVERLKAEEGIKRSEFAEEAIKKEEEL >OMO79121 pep supercontig:CCACVL1_1.0:contig10486:23399:24970:-1 gene:CCACVL1_13899 transcript:OMO79121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMMKGDHLWSNLGSTMAAIMFTYAMFRQYFPPHIQDYIFRYTKKLSNLMYPYIHVTFDEFTGERMKRSEAFTAIQNYLKDKSSAFAKRLKADVVEDSQSLVLSMDYDEEVTDVFDGVKVWWAARKNTPKTQRFSFYPASDEKRYYILKFHKRDREFITGTYLLHVLKQGKAIAADNRQRKLYSNNPSQSWSGYRSTKWSHVVFEHPATFDTLAMDSKKKEEIKKDLVKFSKGKEYYAKIGKAWKRGYLLYGPPGTGKSTMIAAMANFLNYDVYDLELTTVKNNIELRRLLIETSNKSIIVIEDIDCSLDLTGQREKKKKKDENEEEMDPISKKAKEEEKRDSEVTLSGLLNFIDGLWSACGGERIIVFTTNYVEKLDPALIRRGRMDKHIEMSYCRSEAFKVLAKNYLDIDSHPLFGEIGQLLEETNMTPADVAENLMLKSDDDEETETCLKNLIEALKEARKKAEEEARLKAEEEEKQREKAEKEEKEKEKEAKEDNEKDESVKEDSNESKPVKENGVIH >OMO79118 pep supercontig:CCACVL1_1.0:contig10486:4784:13680:-1 gene:CCACVL1_13896 transcript:OMO79118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNVESWAQIGSSIAGLMFALATIQQFFPHQLRVTLEEFVLATLQQFYFVQKFCDKLVSFFSPYVQIKFPEYSGYSRNQAYSAIESYVGAKSTTKASQLKGSLVKSSKALVLTRDESKVSDEFNGVKLWWVLGPSSSSDSEDKYYQLIFHRSHRELITGPYLEYVLQEGQAIKAKNKRIRLYTNNPSDNWSYYKKNLWSDIPFENPARFETLAMDPKKKEEIINDLVTFSNAKEYYTKLGKPWKRGYLLYGPPGTGKSTMIAAMANLLNYDIYDLELTTVYDNTELRKLLTETSTLIRRGRMDMHIELSYCRFEAFKTLAKNYLDLDSHDLFEKIEILLEEMDITPADVAEYLIPKLGKKDPDSCLESLIQALEDAKENLELTTNEGKRRFKGKRFFTITQNVPPHLCSSSGNFTSNSTYAANLNHLLSSFSSVDNIPNDYGFYNLSSGQGFDVANGIALCRGDINSGDCLGCINNAATELPSICPNQIEAAIWYDYCMLCYTNRSIIGVPESDMPLYSWNLSNVTNVDAFNQALTALLDTLNTKASSGDSLRKFATGSVKVTGFQTIYALVQCTPDLTAMECRSCLSRSVNYIPQCCSRKQDLRLYGLSCNLRFGIDRFYDLTTADTPLGPSTSPVSPPPSNHTTSTIGERLMRSEAYSAIENYLSSTSSLQAKRLKADIVKNNQSLVLSMDDHEEVADEFEGVKLWWASGKHISKTQSFSFYPVTDEKRFYKLTFHKKHRDVIIGPYLKHVLKEGRAIKVRNRQRKLYTNNGSMWSHVVFEHPATFQTLAMEPEKKKEIMEDLTTFSQAEEFYSRIGRAWKRGYLLYGPPGTGKSTMIAAMANLLGIQELLGETKITPAEVAEHLMPKTVSGDPETCLESLIEALESAKEEARLKAEKEAKDKELATQEQEKTTESEPLGDKNAGEEDSVH >OMO79122 pep supercontig:CCACVL1_1.0:contig10486:33514:35058:-1 gene:CCACVL1_13900 transcript:OMO79122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFMFTYAMVKQFFPYNFQAYLEKYFQKLVSFVYPYVEITFDEFSGERMKRSEVYDAIKSYLSGKSSASAKRLKADSMNNNQSVVLSMDYNEEITDEYQGAKIWWSANRIISNSQRIAWYPNDDEKKFYRLTVHKRHRELITDSYISHVIKEGKEIATKNRQRKLYSNNPSDNWYGYKRSKWSNVVFEHPASFDTLAMDSNLKEEIMNDLIKFSNGKDYYARIGKAWKRGYLLYGPPGTGKSTMIAAMANLLDYDVYDLELTAVKDNTELRRLLIDTSNKSIVVIEDIDCSLDLTGQREKKKKEEKDANDEKMNPVEKKAKEEEKKDSKVTLSGLLNCIDGLWSACGGERIIVFTTNYVDKLDPALIRRGRMDKHIEMSYCCFDAFKVLAKNYLEIDFHPLFKEIETLLGEIEMTPADVAENLMPKSDYDKEETCFNRLIEALKAAKEESIKKAEEEARAKAEKEEKEKQQSIKEEKDKEQSPKQDKDDETSAKEVKENGVAAKEVQENGVIH >OMO79124 pep supercontig:CCACVL1_1.0:contig10486:60712:63115:1 gene:CCACVL1_13902 transcript:OMO79124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAEAAVIAAIFLLEKVGALYKQVNRQKDVKDDIEHIQKWLETIQAYLRDKRGEVGRDMLRNRIKQLRDIAYDIEDVLDEFQVKYVPHFHQHTFRKTFHDVGHYLKQLQPVLAFRHRNLTSKIKHVRAKIDENAHLYPVPGECSTSPPEVEHHTTNQIIYLQEDEIVGFKKHKAKLMEQIQDAGEASSIIAVVGAPGSGKSLLVKSLYKDKSVASKYGFRAWIHVSRSFKTDVQEELKHILNQESYLLVLDDLWDTDDWTRIVNALPSHFGRRRIIITTRDSDVANRCVLSGSKLHVHELQPLSKPDDWNLFCKKAFTSNNGNCPRELEKMSRDILKKCEGLPFAIVAIGSLLSTRGRSTPYEFQKLLNSIGSEMETGANLSIIGKVLQPSYNHLPVNLQSCFLYFSIFPEDYPVKRGRLIRLWIAEGFIKKKTGKTLEMVAEDYLNELIGRNLVQVSSWDFDGRPRTCRVRSLVREFLIQKSDEENFVTILTEPSTLQGESSSSTSLCSNIRKSLDQFKFLKVLDLEGAPLGIFPEEIFKLTLLKYLSLRKTKIKEVPTSIKKLAFLETLNLKYTEVTVLPLEILALKFLRHLVVYCYKAENSVQGVDVQSLASEFGTLSELQKLGLIKVTSAKVIEGLGALTNLKKLGLLVGLRKEDGKKLCEAIMKMHKLLSLDVTSIRQDQYLELDEMPNCPQFLQRLSLKGRLSKLPRWISSLDSLVKISLRGSKLSAKPENNPLTALEALPNLLELQMVDSYMGESWSSRLNRS >OMO79117 pep supercontig:CCACVL1_1.0:contig10486:3522:3593:1 gene:CCACVL1_13895 transcript:OMO79117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVEYIVFGALSCYRRSPAEFADF >OMO79125 pep supercontig:CCACVL1_1.0:contig10486:64199:65104:-1 gene:CCACVL1_13903 transcript:OMO79125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MNSLQVAAAFLLFLFSGHSVAHTVTFYVHNKCPFPIWPATAPNTGHPVIADGGFYLPAGQTQRIEAPWTWNGRIWARTGCNFNSNWQPACDTGDCDGRLQCNGLIGTPPVTLVQIALQGDKGKPNFYDVSLVDGYNLPVSVGTRPYSPKCAIGSCSKNLNELCPPELEVVNKNGEVVACKSACLAFNLDSFCCRNEYGTPEKCKPSLYSKMFKDACPSYYSYAFDMPPPLVNCASKEYVITFCPSGWGAEPDQASM >OMP11591 pep supercontig:CCACVL1_1.0:contig01128:11286:12999:-1 gene:CCACVL1_00418 transcript:OMP11591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKNTMSSKSSTPSFDERRWVINIRQTLEAELEEDTEIPVSIFNVPKILLSCDPDSYTPQLIAIGPYHYWRPELNEMERYKIAAAKRAQKNLLNDLQLCDVVEQLVKIDPKIRACYHKLLDFSNETLGWMMAIDASFLLEFLQIYAIKEGKLLTRVSSRMSHLADYAGRKSTRNAILRDMMMLENQIPLFVLRKMLEVQSSCLEQADDLLLSMLTGLCKDVSPFKMMNELPKVHVSETSHLLDSLYDMIVPYKIQLRISSEISQFDDNQNECIKDEDEGISEADEDPGYVKKLLGEIWSLLTKLNGGPIRMVKKLLMSKPIKLMFQLPWIILSKFPGLSLLKQPMEQFFNKEDKEDDKSDGNLLTITFDVKTITFYLPTVTLDVNTEVILRNLVAYEASNATGPLVLTRYTELMNGIIDTEEDVKLLRERGIILNRLKSDKEAAALWNGMSKSIRLTKVPFLDKAIEEVNKYYNGRWNIKAKRMMKAYVFGSWQVLTLLAAIMLLLLMGLQTFCSAYTCNKVLRLSTGGGSNS >OMO51010 pep supercontig:CCACVL1_1.0:contig15997:10211:10288:1 gene:CCACVL1_30068 transcript:OMO51010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRSVQSGTGQKSGTDSLQIPDCT >OMO51009 pep supercontig:CCACVL1_1.0:contig15997:8901:9542:1 gene:CCACVL1_30067 transcript:OMO51009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKMMIMAMAAATLFSVASAIQGTATFYTPPYVPSSCNGFQDDGVMIAAASDAIWNNRAACNKFYSVRCTGPTNAGVPQPCRGGAITVKIVDYCPAGCQGTIDLSQEAFAMIADPNAGKIQIEYNEV >OMP03582 pep supercontig:CCACVL1_1.0:contig06037:16587:16658:1 gene:CCACVL1_02358 transcript:OMP03582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLKVSKGPRDKKVAVTMAKDEK >OMO50428 pep supercontig:CCACVL1_1.0:contig16190:1480:3702:-1 gene:CCACVL1_30439 transcript:OMO50428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphate phosphatase MDRLSTSASLMIVSDLDFTMVDHNDPENVSLLRFNALWEAYYRHDSLLVFSTGRSPTIYKQLRNEKPLLTPDITIMSVGTEIAYGESMVPDYGWEQCLNLNWDRDIVAQETSKFPQLIPQSDTEQRPHKVSFFLEKTESLEVIKALSERLEKRGLDVKIIYSNGTALDVLPKGAGKGQALVYLLKKFEADGRIPLITLVCGDSGNDAELFTIPEVYGVMVNNAQEELLQWHGENAKNNPNIIHSSERCASGIIQAIGKFTLGPNVSPRDIGDFRKCRIDIFSPAHEVVKFYLFYERWRRGEVEKSDQLMQNLKSSFYLFGSFVQPSGTEQPINKCIDMMESLYGDKQGKQYQVWLDRVTAAQIGSNAWLVKFDKWESSGEICLTLFGCLFCPL >OMO50429 pep supercontig:CCACVL1_1.0:contig16190:11621:13216:1 gene:CCACVL1_30440 transcript:OMO50429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKGKSQTALKQNGGSSNLRDQFRKEGKRKEGGGFAAEQIALVKGSLLMIALVGERRY >OMO63130 pep supercontig:CCACVL1_1.0:contig13035:13332:13529:1 gene:CCACVL1_22470 transcript:OMO63130 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tRNA(His) guanylyltransferase-like isoform 2 protein TSTSIAVQSIAGSSFSEESFSVLYLSAILFSSPFSLVNPRPSSSLLTKTPPPPTATVNSSFSDHHL >OMO90062 pep supercontig:CCACVL1_1.0:contig08546:10635:13842:1 gene:CCACVL1_07527 transcript:OMO90062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQVQEREAEELTLVTRKSCFGLPTACPHSLPVFIYLKLAQIPFRLDFNSIYPDSDQIPYVESGTYVAYNNENDGVIECLKNDGIVDLDSDFHSLPDWVSTKAMISSWLSDAIMYELWVGSDGKSAYEIYYSDLSWPIGKALFLKQVYLVKQRLGITKDNAEQRENKIYKRAKFAYGALSTRLGEQKFLFDDRPSSLDATFLGHALITLYALPESSVLRSRLLEYDNIVRYAETLKLELIESGSSSSGPHFHSVPSSSAPRKGPSSWKSKPKSKSKREKTEEEKTFKKRAKYFLAAQLVAVLLFLSVMGGYDSGDVELDEDDEGFAYD >OMO90063 pep supercontig:CCACVL1_1.0:contig08546:14497:19189:-1 gene:CCACVL1_07528 transcript:OMO90063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSTSKLDDEEAVQLCKDRKNFIKQAVEQRSRFASGHIAYIQSLKRVSAALRDYIEGDEPREFLLDSFITPPFKPIKKASSGFISISPSSFPPAAIESNPKSTLKVNYLRSGGNPAVSVEERPQPPETVRMETFSPIHHYGIDGFFAMQSSPMNSSFFSYSPNNRPNLPPPSPQTSQWDFFWNPFSSLDYYGYPNRSSLDQAVMDDDIRGLRQVREEEGIPDLEDVTEQEEPENKVNLTQEKAKMSTNYNSEEVTVEDVDEDEEEMDSGSETEYEVKDLESQGKVSVELVRAQSTRQVEVSNKKVSLGGGKEAKEETPGFTVYVNRRPTSMTEVIKDLEDQFMVICDAANEVSALLEASRAQYSSTSNDLSAIKMLNPVALIRSASSRSSSSRFLTNSSSFREAGYESSSDFSEESCMFHGSHQSTLDRLHAWEKKLYEEVKSGEKIRIAYEKKSRQLRNQDVKGDDPHAVDKTRAAIRDLHTQIQVSIHSVEAISKRIETLRDEELQPQLLELVQGLAKMWKLMAECHQAQKRTLDEAKLLLAGAPSKLEAKRQSSISATEPHRLARSAANLEAEVRNWRACFESWITSQRSYLHALSGWLLRCLRSDPDTSKLSFSPRRSSGTLAIFGLCIQWSRFLDATHEMPVLDGLDFFAAGMGSLYSQQLREDSRFVPIGSKRFGVGLSECGENMDLVKYEDVEEVMTADKLADVAIRVLCAGMSVAMTSLSEFAIGSADGYAELVKQWTSAKLPQIPSGNGT >OMO63668 pep supercontig:CCACVL1_1.0:contig12922:7939:9316:1 gene:CCACVL1_22358 transcript:OMO63668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTMATHPYDKIYNVWLNKAKELFQVHDSS >OMO63667 pep supercontig:CCACVL1_1.0:contig12922:2191:6495:1 gene:CCACVL1_22357 transcript:OMO63667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Appr-1-p processing VNPADERMLGGGGADGAIHSAAGPELLEACYKVPEVQPDVCCPTGEARITPGFKLPAAYVIHTVGPIYDSEEDPKASLTSAYKNCLSVAKENNIQYIAFTAISCGVYGYPFEEAATVAISTVKEYGEGIKEVPTGEVDAPAVPTEKPGSCGRQPRLQLQSLEKRWIMPLPEVNPANEMRECLEVVALMEELLECG >OMO63669 pep supercontig:CCACVL1_1.0:contig12922:10596:12658:-1 gene:CCACVL1_22359 transcript:OMO63669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MENSSNNIEGEETRNQTMASTSSTTSSDDYRLFGRQGSVHQCLGGGNAADVLLWKRWRVSFGVIIVATVAWLIFERSGLPFLSICSDVLLILIVLLFVRANYAALRNRQLEILPELVLSEEMVNDAAAAFRVKINNVLLMAHDITLGKDFRLFFKVVVCLWLLSAIGSYCSFFTLAYIGTILSITVPAFYSKYEERVDECCGIIHRKFSQHYKIVDERVTNRIPRSIPKDKEG >OMO63671 pep supercontig:CCACVL1_1.0:contig12922:20035:22113:1 gene:CCACVL1_22361 transcript:OMO63671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Manganese/iron superoxide dismutase MALRCLATRKTLAQAALNSTRLTQSRALQTFSLPDLPYDYGALEPAISGEIMQLHHQKHHQTYITNYNKALEQLHEAIEKGDASTVVKLQSAIKFNGGGHVNHSIFWKNLAPVREGGGEPPHGSLGWAIDTNFGSLESLIQKMNAEGAALQGSGWVWLAVDKELKKLVIETTANQDPLVTKGASLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYASSVYENEFPSARSP >OMO63670 pep supercontig:CCACVL1_1.0:contig12922:14096:15605:-1 gene:CCACVL1_22360 transcript:OMO63670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MVVGQLISLGKLAFPLIISGLILHSKSIISTFFLAYLGNIELAAGSLSMGFANITGYSVMKGLAMGMEPLCSQAYGARKWALFCQTFRQTLCLLLLAAIPITILWLNMEPILLLVGQDKAITSVAKVFITFSILELFAQALLHPLRIFLKTQNLTKPIIVAATCAMILHIPISYVLVIHWGWGIRGVAIASSCNTLNFNIALLVYLFYSETAIKPWDGQIVSKYYRTWLPLLKQMLESVCSVCLEWWWYEIMVLLCGLIDNPEANVAAMGILMQLTGLLYVFPSSLGSSLSTRIGQELGADAPAEAELTAKLGIMIAMAGGLLSFGLTIAVKDVWGKLYTQEPQVVALTSVILPILGFCELGNCPQTAACGVLLGSARSNVAAMINFSSFYIVGLPVATYTAFKLKLGFPGLWYGLAAAQATCICMMIITLTYTNWESQAKRARELTEAAEDRKNDLEANLLS >OMO60734 pep supercontig:CCACVL1_1.0:contig13673:42907:54566:1 gene:CCACVL1_23910 transcript:OMO60734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGALSSPEKRNNLGSFQSSEVNMEAQSSSENKKVVAMGSFDASEGKMGAQSSMENRTIEKMGSSSSSDAVEKKMGGDCSSEKRDITKNLEFIPTVFSWSVEDIFNDNLYKDQVEKIPDSFESTEQYFGSFLLPLLEETRAAMHSSMETIDRAPYAEVTYFEHVKLRRKSFFNVNVDAWRNRFSTDRGKEPYRTLPGDVFVIADAKPETASDLQRAGRIWTFALVTNISDDDDEFASGGNYIQESALQDDDIDGAAQFEDIPDSFVDIPPKEYPLVITFQKFLIMLDGTIGNSFFKKFLNARELSNWEVGKAPIFLRNFIRTREVNYEKFCSVYWPHFNAKLTKKLDSSRVFTEIMSHIKGGSISGYACHGGRLNEEDYVNLSEGRFSTLSRHERQMIYDIFQDYEKMKGQNGEFDMADLVIDLHCRFQNERYEGEIVDFVYIDEVQDLTMRQIALFKYVCKNVSEGFVFCGDTAQTIARGVDFRFEDIRSLFYNEFISESKCKSNDRKKEKGQISNTFQLSQNFRTHDGVLRLAQSVIDLLYRFFPSFVDILCPETSLISGEAPILLEPEKEENAIAALFRFLGKKTGDMVGFGAEQVILVRDDLAKHEIMKCVGKQALVLTIVECKGLEFQDVLLYNFFGSSPLKSHWRVVYEYMKEQGLLDASWSFPSFKQEKHNILCSELKQLYVAITRTRQRLWIFDNEEEFSKPVFDYWKKMGLVQIRKLDDSLAQAMQVASSLEEWKSQGYKLLRQGNYVMATVCFERAHDTYGEKLAKALGLREDAERLHNSNPERASSAMRQAAETFYSIGKAEDAADCFYILKEYERAAGYDEGKSSKVVPSTSSDLLEMGM >OMO60735 pep supercontig:CCACVL1_1.0:contig13673:55910:63477:1 gene:CCACVL1_23911 transcript:OMO60735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNGSSEKRVITENNLGSFGSSEVKMEAQSSSEEEVVARNIREVKRRNLRSKKKKSDESEGKMGAQSSIENREITREKMGSYSSDALEKKMGGDCSSERRDFPTNLEFVPTVLSWSVEDIFNDNLYKDQVEKIPDSFESTEQYFGSYLLPLLEETRAEMRSSMEIIDTAPYAEVTYFKEAKLRGTSLYNVNVDSWRNRFSDLGREPYKTLTGDVFLIVDAKPETASDLQGAGRTWTFALVTNISEDAASDSFEVKAPKNIASRDEKQKTLFVVYLMNLSSNRRIWDALHLKGNLTIVKQVLHNVVILPQFACGGNYIRESALEDVAAQFKDIPDSFVDILPKEYPLVITLQKFLIMLDKTIGYSFFDKFLDARKVENAPVVLRNLIRTREVNYEKFCLTYWPQFKAKLTKKLDSSRVFTEIMSHIKGGLRSGDSCYGILSEEDYISLSECWISTLSRQERQMVYNIFQDYEKMKERNREFDMADLVIDLHCRFQNEKYEGDMMDFVYIDEVQDLTMRQIALFKYVCKNVNEGFVFCGDTAQTIARGVDFRFEDIRCLFYNEFILESKCKTNDGKREKGQISKTFHLSQNFRTHDGVLRLAQSIIDLLYRFFPLFVDMLSPETSLIYGQAPIWLEPEKEDNAIATIFKFLGNEPGAMVGFGAEQVILVRDDPSKNEILKHVGKKALVLTIMECKGLEFQDVFLYNFFGSSPLKSQWRVIYEYMMEKGLLDASWSCTSFSQEKHNILCSELKQLYVAITRTRQRLWICENEEELSNQVVDYWKKQRLVQVRKMDDSLAQAMQVASSPEEWKSRGYKLLWQGNYMMATICFERAHHTYGEKLAKAFGLRQDADLLHGSDPERASLARRQAAEIFSSIGKAEDAADCFYMLHEYEKAGKIPLRILVIISNMILR >OMO60738 pep supercontig:CCACVL1_1.0:contig13673:103404:106310:-1 gene:CCACVL1_23914 transcript:OMO60738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEETLKILVRHSGYFHEDVRLQAIMSLKHILTAAHAIFQCQNDGSVKAKEILDTVMNIYIKTMTEDDDKEVVAQACTSIADIIKDYGYMALESYMSQLVDATLTLLREESACQQIEEGSDIDDDDDAEHDEILMDAVSDLLPAFAKSMGSHFAPIFAKLFEPLMKFAKASRPPQDRTMVVACLAEVAQDMGAPIASYIDRLMPLVLKELASSSATNRRNAAFCAGELAKNGGESTLKYYNDILRGLYPLFGESEPDDAVRDNAAGAVARMIMVHPQSIPLNQVLPVFLRALPLKEDHEESMTVYNCVSMLVLSSNPQILSLVPELVNIFAQVLVSPAETSEVKAQVGRAFSHLLSLYGQEMQPLLSNLPPAHANALAAYVPNS >OMO60732 pep supercontig:CCACVL1_1.0:contig13673:23355:31269:1 gene:CCACVL1_23908 transcript:OMO60732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGECSSEKRAITRENSGFLGPLFSWSLQDIFNDNLYKNQVERIPDSFQSIESYFGSYVLPLLEETRAAMCSSLKIIGRAPYAKVTKLAYAKVTNLVYAFAASKDKNYDIHVDYWRNRLSDNGKEPYRMQPGDLLILSDIKPETVSDLQRVTWTFVLVTNVLDYNIKPQNSNVNSNTSTCFEVKPQKDIESEEWLQKSSSTCFEVKPQKDIESEEWLQKPSFYVVFLMNITTNRRIWNALHMYKTLNKNLNIIKDVLCPAGSMENMGFIDTVFSWSLEDIFNDNLYKDQIEKIPASFQSVEHYFGSYFLPLLEDTRAAICSSMEIIARAPYAEVTKLSEAKPHGGLLFDVNVDYWRNRFSDRVKEPYKTLPGDIFIIADAKPESASDLQRIGRTWTFALVTCISEDDDEDNSSCTSFKVEAPEDIASKYEIQNSLFVVFLRNVTTNRRIWNALHMKRNLRVIKEVLHSGTAVSNHATVLRFASVIWNYTG >OMO60733 pep supercontig:CCACVL1_1.0:contig13673:36393:38157:1 gene:CCACVL1_23909 transcript:OMO60733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVINLHRRLQNEGYEGRIMDFVYIDEVQDLTMRQIALFKHICKNVNEGFVFCGDTAQTIARGIDFRFEDIRSLYYNEFLLESKCKENDEKGGKGQISKSFHLSQNFRTHDGVLRLAQSVMDLLYRFFPSFVDILSPETSLIYGEAPILLESDNEENAITRIFSNHGNVGGQMVGFGAEQVILVRDDAAKDEILKCVGKQALVLNIVECKGLEFQDVLLYNFFGSSPLKSQWRVVYEYMKEQGLLDASWSFPTFKQAKHNILCSELKQLYVAITRTRQRLWICENEQELSKPMFNYWKKKCLVQVRKLDDSLAQAMQVASSPEEWKKRGYKLLEQCNYVMATMCFERAHDIYGEKLAKAFGLRAEADRLDGLNPERASTARRQAAEIFYSIGKAEHAADCFYMLKEYEKAGISFL >OMO60737 pep supercontig:CCACVL1_1.0:contig13673:86100:101166:-1 gene:CCACVL1_23913 transcript:OMO60737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MVVPTQQQQTQQQQPKQGAVAVGSTKTSAEEEALKRNTDCVYFLASPLTCKKGSECEYRHSEYARVNPRDCYYWLNGNCLNPKCGFRHPPLDGLLGTQVAASAGSSMSSSQMAATPTPIPAAHTPYNQGAYNPGKQAVQCVFFQKGNCLKGDRCAFLHGPPANNKAPQPAAAPPAAEQSLKKTFGAFETSQVPKFPPTNNVPKVVAMPREVKATPKVEAVSTRSGVGMERSVPRPPSLEEELPRHRGTTIASVVNGGSVGRSNRLHQAHASDEQGFHAGKDTDEFLRESSPGFDVLVDDELRDSDFYHGEDQYRGTRGHEGRNVNEYDIGRPASYDAMAGVDREVFRDARDYDSFDNMQGHYDWDHPRASSERISLGHSTVERRVYSRADSPDHMESDLRYRLSKGRRVNGLRSVVSHDHALENHEEERHRGSFRDSHHKPSHEGSLGSRLRGRIKLPGRSLGNGADLRSEREIERGRNWGRLSPGKPQTSSHQGRLRDRIKGRVEGDYSIKEGRSVRGPRVRREIMDERNADFEGPKRLSELKVGKNPENKEHQSLGKRKHIEDYQQTEGDVSFEGPMPLSEILKRKRQSGSAASGSGVASVNKEDINQKQSKESLIGGSSDTILKEESKSTIAETEPAAHGESSQLNNEHEIEEGMVTDERMDDHELEGDDQQDGDYYYEQGEEGEYEEGENVDPEEEYVEEEEGEDGDDFEKKLGVISSPSPWVHGKVSSPRCFCLSAVYSTTAESMSLSDFFYMMKFPFGTISNSNPHTFLDDFNRESLCKIIRQDQWDDPKIATLFDSSLAPILVSKILVGLKQEPKLALKFFKWAKTRKGYSHTSQSYSILVHILFDGRMYFDATCILKEFTLWRRGGMLPGCDLFDVLWSTRNAHRYGFGVFDALFGVLVDLGMLEEASQCFSKMKRNRVLPTVRSCNALLHRLSKSGKRGQTRRFFEEMIGAGIAPSVFTYNIVVDCMCKEDELDSARMLFEQMKEIGLTPDIVTYNSLIDGYGKIGLLDEVVSLFEEMKSVACEPDVITYNSLINCFCKFECMPRALEFFREMRISGLKPNVRTYSTLIDAFCKEGMMLLGIKFLLDMRRIGLLPNEFTYTSLIDAHCKTGNMTAALKLANEMLLENIDLNIVTYTTIIDGLCEAGQTKEAEEIFRAMLKAGLTPNVQIYTALAHGYMKVKKMEHALNLLKEMKEKRIKPDLLLYGTIIWGLCIQDKIEETKVVISEMKESGLSTNPVTYTMVMDSYFKAGKTNEALSLLEEMWEMDIEVTVVTFCVLIDGLCKAGLVLEAINYFNRMPEFNVKPNVAVYTVLIDGLCKNNFIEAAKNMFNEMLSKNLVPDKTAYTALIDGNLKHGNFEEALILRNKMNEIGMELDLPAYTSLVWGFFQCGQLQQGRKFLDEMISKHIFPDEILCIGVIRKYHDLGHVDEAIALQNELSKRGLITNPIHCAVPSVQTSKEDANTISHTAYILVVSPALSIEAIKEMVKLKATWTAVGSFIPATSLHDLNTVDSRPSDTDAVDASDALDHHDHDHHDLHDSTAVECMHESYGSSLPIHGVGADDEDRSSLDNSDSSRGAFSILTIEDVSPIESARARFLQIIVDHFINDHVVEVIDNESPADYNAQPGQDKINKRKTRDIQYEGDPRFALPLMYVANLYETLVNDVNMRIASLNGIRDKTIGVALEAAGGLYRRLAKKFPKKGSCTYKRRELATSLETRTRFPELVIQEEKRVRFVVVNGLDIVERPNNVPIEEAEWFKRLTGRNEVAISARDYKFYSPRHKYRRVPSNTVSNISSLSTFSGDSSSPMSTAQGFHSVNESQQQTPSKHHVPPLSHQPQFHPIQQNHQPVHQNQHTAHFPQNHQCGPPSHLTEINHAHQSPAMSQHIACLQPLAGGHVGARLHVMPPSPAKYCDECGAPYLRETSKFCSECGIKSFVNPEEKRRRKTLKFVAMNPALATLSSPSSSFLHFKSLSSSSSASSFLTFSSPKPLRIRASSANPDSFSNPNNHNQPFTQKLQSFAKTTILLGATSLLIGKFSQFPAKAESLPTMTEQKTSIVEEEGEEKQEEKTQEQKQSSPLSEFLQSNTEAIEALKTLLEQKLENGEDEEAATILNRLVSAQPEVIDWKFLLARLYSEMGQTENARKVFEEILQTNPLSFEALFENALLMDRCGEGEGVIKRLEEALEIAQNEKKVKEARDVRLIMAQIQFLQKNVEEALKSYQELAKEDPSDFRPYFCQGMIYSLLDRNDEANKQFAKYRELSPKKFEVEGYLRTSLSRMKVFGADEEN >OMO60731 pep supercontig:CCACVL1_1.0:contig13673:20512:21168:-1 gene:CCACVL1_23907 transcript:OMO60731 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MIEKGCQNWPKNQDIWLEALQAGMSRRGKRDPRAMKAMPNASKLWLQAVMLERDAGVKNRVLRRGIENIPNSVMLWRALIEMVDEENIELAVLLLNKAVECCPTHVDFWLALARLLPFDQAREALERVRHQLLREPAIRITRARLEEAGDDTDCNRIGNIIHGFIRELERECLHIDRRAWMEVAERLGSAVTYQAIIKNTIGIGMGREVEVTTRKILG >OMO60736 pep supercontig:CCACVL1_1.0:contig13673:73505:77552:1 gene:CCACVL1_23912 transcript:OMO60736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MVGKMIQFASGGNYIRESALQNVDNIDSAAQFKDIPDSFIDILPKEYPLVITLQKFLIMLDGTIGNSFFEKFLDARELSNWEVGKAPIFLRNFIRTREVNYEKFCSSYWPQFNDKLTKKLDSSRVFTEIMSHIKGGFKSGVSCDGRLNEEDYINLSECRFSTLSRDERQIVYEIFKCYEKRKGRNSEFDMADLVIDLHGRFQNERYEGDIMDFIYIDEVQDLTLRQIALFKYVCKNVSEGFVFCGDTAQTIARGIDFRFEDIRSLFYSQFALESKCKANDGKKGKAQLYNNFQLSQNFRTHDGVLRVAQSVIDLLHHFFPSFVDVLCAETSLIYGEAPILLEPEEGVNAIATIFEFLSKPEEGDDQATYEFGAEQVILVRDNQARNEILKHVGKQALVLTILECKGLEFQDVLLYKFFGSSPSKSQWRVVYEYMKQQGLLESSWQSPSFKHEIHNVLCSELKQLYVAITRTRQRLWICENEEEYSKPMFDYWKKMSLVQVKKLDDSLVRSMQVASSLREWKSRGYELLRQRNYVMAMRCFERANDTYGKKLAEALGLREDADCLQGFNPERASAWRQAAAIFDSIGKAEEAADCFYMSKEYEKAAS >OMO60730 pep supercontig:CCACVL1_1.0:contig13673:3364:19036:1 gene:CCACVL1_23906 transcript:OMO60730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MEEDSSSSHPKTQSTTSQTTYDISSPYALHASDNPGTTLVTCLLKEENYPTWRRAMTNALQAKSKFGFVDGSVPRPSLGSQEESSWVKCNSMVISWIFNALHPTLHDSVAYCVTAQEMWNDLEERFSQGNAARIHQLKTEMVNTLQQGMSVSAYYTKLKGIWDELGTYSHIPPCTCGSAKGLAAEREKEKVHQFLMGLNEKYNVVRSQILNTDPLHSLSRAYALVAQEERQQLVAASRLPSVEGAAFMTNNANKSNFNRKPASNRDLSKLFCEHCKKTRHTKDSCFELLGYPEWWDKGKKPSKTKAANTAQHMETASGNNNVPINGLTSEQYAQLISMLNLDKIQIPTANFAGKTTSLSNTAIEWILDSAPHTTTMMTHTPEILDSNDTTNTNTPPTIPQENRPVRVRKLPSRYHNFHVDLPGNNKSTPTSSNNASSGQLPTGKKAIGSKWVYKIKYHSDGTIERYKARLVAKGYTQVEVLDYTETFAPVAKLTTVRTLLAVVAAKSWELHQLDVHNAFLHGDLDEEVYMKPPPGYLSSNDNRADSSLFLHHKGTSFTALLVYVDDVIIASNNSSHTKALKEYLDAWFHIKDLGPLKYFLGLEVARSPEGIVLSQRKYVLDILQEVGMLGTKPVLFPMEQNHKLAVDDSALLDDPGAYRRLVGRLIYLTITRPEICYSVHILSQFMHQPRHGHWVAALRVLRYLKSAPGQAEYRSMANTACEITWLRTLLHDLTIQLPMPANLYCDNRAALSIAANPVHHERTKHIEIDCHFIRECIKSGSITTSHVSSHLQLADIFTKALGHTQFQFLTSKFSEEKMEGNLGFLGTTFSWSLEDIFNENLYKNQVERIPYSFQSIKSYFGSYVLPLLEETRAAMCSSLEIISRAPYAEVTNLEASKYTYGIHINCWRNRLSDDGKVPYRIQPGDILLLADEKPETVSDLERVGRTWTFALVTNISDFRIKDVNEDKIEDEDENSSTSTCFIVKPQKDIASEEGLLQKSLYVVFLMNLTTNRRIWSALHMYGNLSIIKEVLCPGSQVEESCPLCSIQNRGTSDENFLTSLLSQLNESQKDAVLACLNKIQCNYKSHLELIWGPPGTGKTKTVSVLLFSLLRMKHRTLTCAPTNAAIREVAARVVKLLKESNETDFSFYSLGDILLFGNKERLEVGSEIEEIFLDYRVERLTDCLGPLGWLYWFNSMISFLDDCVSDYHIFLENELTKEREQSTENANREKGCCSDMSFLEYARERFTSIASSLRKCVSTLYTHIPKVYFLEHNVQEIKTLFGLLDSFETRLFFDEIVSEDVEELFSNSRDGDVLSKNINEAPCMLTLCSLRSQCLSVLKTLRASLSKLKLPRANYNDSNSIVQFCFQAADLLFCTAASSYRLHRVAMEPLQVLVIDEAAQLKECESAIPLQLPGLVHSILIGDECQLPATVQSNVSGEASFGRSLFERLSLLDHSKHLLNVQYRMHPSISFFPNATFYNNRILDAPHVKHESYEKHYLPWPMFGPYSFINVPGRDVVDDVGHSRKNMIEVAVLHRLLRTLYKAWNGSKEKLSIGIISPYAAQVVAIRNKLGSKYEKLDGFTVKVKSVDGFQGGEEDIIIISTVRSNTAGAIGFVSNRQRTNVALTRARHSLWILGNGRTLANSESVWEDLIRDAKERHCFFNAEDDKELEKAILDAKKDFDRLDGLLHGDSFLFKNSRWKEQRYTQVLKAWDLLPLEDIERLVKRLDGIFKMFTDDFISHCNEKYMEGELEVPKIWTTSFDIVRYRTLRQDEIVNSPSGSASEVTCYVENSKVSESLLLMKFYSLSSGVVKHLLSDGDGRELELPFEVTDQELEIISFQRSSFILGRSGTGKTTVLTMKLFKNEQLYHLATEGFNEINTNNSKEVWQAKRIMGGVGGTEAATGQLASFLFVSGGNYDQESYLQDVDVIDGAAHFKDIPDSFVDIPPKTYPLVITLQKFLIMLDGTIGNSFFEKFDNARELSDFGVENAPISLRHFIRTREVTYEKFCSIYWPHFNDKLTKKLDSSRVFTEIMSHIKGGLRSGISCDGKLNEEDYVKLSDDLTMRQIALFKHIRKNVNEGFVFCGDTAQTIARGIDFRFEDIRSLYYNEFLLESNCKENDAQSVIDLLYHFFPSFVDILSPETSLIYGEAPILLESENEENAISRIFSNHGNVGGQMVGFGAEQVILVRDDAAKDEILKYVGKQALVLNIVECKGLEFQDVLLYNFFGSSPLKSQWRVLYEYMKEQGLLDGNCSFPSFKQAKHNILCSELKQLYVAITRTRQRLWICENVEEFSKPMFNYWKKKYLVQVRKLDDSLAQAMQVASSPEEWKSRGYKLLQQGNYVMATMCFERAHDTYGEKLAKAFGLRAEADRMHGLNPERASTARRQAAEIFYSIGKAEHAADCFYMLKEYEKAGEIYLEKCGESALERAAECFLLAGSYKTAAEVYARGNYFSKCLYVCTKGKLFDMGLHYIQYWKQHAKADVEMDQRSKDIEELKQKFLESCACHYHEVNDKTTMMTYVRAFDSMSSIRIFLQSLECLDELLLMEEESGNFLEAANIAKLRGKLLLGADLLGKGGQFEEAAILILWLKHKSIRGEVLTSRMILDHHFHLNISKYEWADELVLDLKRYSEEQICNNQVSTETLVYFWNFWKDEIMKILDYLDHAETGNYSIYGEFCLNYFGVWKQSKHSSTVYLLSNSEAEWLRNLDEKYVCGDQKQDSISLHQFVSAARRYWCSELLSVGLQVLKKLEALSNLSHRTSLSPFCQSKSLANIYEVASFLLNSKFLNNWRADKDLMSFVQLSTKHFFRYIFPLDWRDSLRENMISLRGAEISRNLLEEVISEKIRSYGYIKESGNDEPIIVKFHEALDNAYKANWRRIPDYMSPECLLYLVERYLFLLSSFKGVITTTQTTFVEWLIYQDGMPACSVSVKQQSLENILNFVIGMVQHFLHYTGETIEWIKKSHKNNVKECHSLVVLRLVVIMCLLHLNFGKCTDLLLGLLGQNHITRLLPWEFVDTLRRRQRYISSINVFVEAFKRIRNPLIIVNLLENCPKFPCKDAIFVDMSVNPCKEEILRLLFPKTQSSQHDKGKNFVPSPSSGSIPDDDLSTQNPNGSDRLINLVNFWEIVEVLKAAGGIKDQCTFMSNASKLRVYLGKFISLLNAAIEVGWEKKPADSEDSYLSREAISMLNEMEQLQTQLEPSELMKLESSISIVGALCEELQSRRSTLELLLFQQQDENLVSDASGSGGQCNVEEGSGSKAEASSNGKKPAPEATVACKTQGNTNKSKKNKKNRGRKPK >OMO77934 pep supercontig:CCACVL1_1.0:contig10667:17179:22898:-1 gene:CCACVL1_14737 transcript:OMO77934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MDLRRLALVALAVAVAVVGDFGGSSYAKVMTFDVKRKFSGKAKNLSALKAHDYRRHGRLLSAVNVDLPIGGNGHPSETGLYFAKIGLGNPSKDYYVQVDTGSDILWVNCAGCDKCPTKSDLGIGLTLYNPKGSTTSSLVYCDQDFCTSTYDGQLPGCKPDIQCQYNVVYGDGSSTAGYFVKDTVHLQQVTGNLQTGSTNGTVIFGCGAKQSGELGTSSEALDGILGFGQANSSMLSQLAAAGKVKKIFAHCLDNTDGGGIFAIGEVVSPKVNTTPMVPNQAHYNVVMKAVEVGDSVIDLPSDIFDSGDRKGTIIDSGTTLAYLPSTIYQPLIKKILAQQPSLRLHTVEDEFTCFQFSDNVDGAFPVVKFHFEDSLVLTVYPHEYLFQIREDAWCFGWQNSEMQSKDGKDMILLGDLVLSNKLVVYDIENQTIGWTEYNCTSSIKVKDASSEAVYSVGAHDIGSASSLTFGGILTFLSILIALLHTSIA >OMP08224 pep supercontig:CCACVL1_1.0:contig03924:18897:21622:1 gene:CCACVL1_01144 transcript:OMP08224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSAEQTLKDEGRPSDQSPPNQAQEWETLARAWVTAFPEAKAVNVNQVEVWVDSNFGSLPADLQSMPRSELIERLLSIQNYLRLPSQTQEKEPNPHDLPPARFQRTDQWIPVYSWLESLNTDEVVKSKDISDWLNDNPQIKDQLCSRHSRYHLMHYIKKCHLKIIKRREKKVGSLPSNKETALKVQKDVVVKQPAPVLSNPLNNIPKDSDLYIAKRNEALRKYEILLELEKKLAPMFSRKK >OMP08225 pep supercontig:CCACVL1_1.0:contig03924:23141:26521:1 gene:CCACVL1_01145 transcript:OMP08225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLFILLSLFLYFLSYGPATAAAASHAWHGDGVQPLSKIAIHKTLYALHENASVKAHPLVLGKSGGDSDWITVEVECPNPSNDDWVAVFSPAKFNSSTCPPVDDREEFPYICSAPIKYKYANESNAGYNKTGKASLKFQLINQRADFSFELFSGGLTDPKLVAVSNVVSFVNPKAPVYPRLSQGKSWDEMTVTWTSGYNIDEAVPFVEWGMKGESLTRSPAGTLTFHRNTMCAAPARTVGWRDPGFIHTSFLKNLWPNSEYTYKLGHKLLNGSYVWSKSYFFKSSPFPGQESLQQVIIVGDIGKAERDGSNEYANYQPGSLNTTDKLIKDLKNIDIVFHIGDIAYANGYISQWDQFTSQMEPIASTVPYMIASGNHERDAPNSGSFYDGNDSGGECGVLAETMYYVPAENRAKFWYSTDYGMFHFCIADSEHDWREGTEQYKFIEKCLASADRKKQPWLIFIAHRVLGYSSSYWRDGSFGETFGRSSLEGLWHKYKVDIAFFGHIHNSERTCPIYQYQCVNSEKSDYSGIVNGTINVVLTAFNHSSLMFEYKKSSDGNVYDSFTISRDYPDVLACVHDGCEPTTTAN >OMP08223 pep supercontig:CCACVL1_1.0:contig03924:14252:17995:1 gene:CCACVL1_01143 transcript:OMP08223 gene_biotype:protein_coding transcript_biotype:protein_coding description:RIO kinase MSITEEKPAEELPNGTAKYEEEVVEEEDLSWSSDSEIAEALDYLDSKDDEDSIDGAFSLNSRRPNAHGGLHSRHNSSTLQPLSNRNQKFSNHIRASPLEEWEGRINVGMSNSVTTAIRGSVRDMAIGKTKNTEKADRATVEQAIDPRTRMVLFKMLNRGVFNDINGCISTGKEANVYHATKSDGQELAIKVYKTSVLVFKDRDRYVQGDYRFRYGYCKHNPRKMVKTWAEKEMRNLLRLKAAGIRCPTPLLLRLHVLVMEFIGKTGWAAPRLKDAALSLDKLRECYVEMILAMRTLYQKCKLVHGDLSEYNILYFEGHLYIIDVSQSVDLDHPHALDFLREDCVHVSDFFKKHGVAVMTIRELFDFIVDPTISDDSVDSYLEQIQQKVLARGEMSVEDEIADSVFVQSYIPKTLDHVKNAEEDVIRITSGKDTRDLYYKTITGLKEALPEIQPSTAQTDQQQDANAIPVEGSSVDLAGPSNSQDNESEAESASGSETDEDEENSSGSEENGQAFDNSEKAPVDKKAARKENKKKVKEEKREARKTKVPKAVKKRKKKLAKAQKTR >OMO68871 pep supercontig:CCACVL1_1.0:contig12161:764:1082:-1 gene:CCACVL1_19781 transcript:OMO68871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTSFKQKLKRWRRGEGCANKESNKKTTTNRRGKGSKGSIANNLLVASGPNQPESDDKSHNLSLVYWSDE >OMO68875 pep supercontig:CCACVL1_1.0:contig12161:56827:58122:1 gene:CCACVL1_19785 transcript:OMO68875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPTLSPYSYFGFFSFIRAFNSWALGIKNASSPRKALLLYSKMHRQSVPFDSFSLLFTLKSCAPLRNYNLIAHLHSHILKLGFVTDVYVATSLLNAYVVASFDDARKLFDETPDRSSVTWNTMITGYSRNGDINKAQELFEAMPVKDIGSWSAMIAAFMNNRKWNSGFECFREMVVNERIKPDQVTVVSVLCGCAHMGSIGSLLGRSLHGFIVTNGWDLNVETGTVLVDMYAKCGLLLFACRVFKLMEQRNVRTWTALICGAAQHGYSDEALSLFEAMQQMGVRPNEMTFTGILNACARRGLVDEGRKYFNMINQYGLEPRIQHYGCMVDLFGKAGLLEEAYEVIRTMKFEPNVFVWSSFLSACKEYEQFQMAERVIEQVLKIVKPESDGGVYSLISDLYVLNEKWDDAERIRKLMVNVRKTRGSSFIRS >OMO68877 pep supercontig:CCACVL1_1.0:contig12161:65952:70350:1 gene:CCACVL1_19787 transcript:OMO68877 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like calcium-binding protein MMMTCICMVRYFKKLIALFLVLVFVLNGVSARFVVEKNNVRVLSPLNLRAKHDAAIGNFGVPDYGGFIIGSVAYPDKGANGCEPFNGVPFKSNSPRPTVLLLDRGECYFALKAWHGQQAGAAAVLVADSIDEPLLTMDSPEESSDANEFVEKITIPSALIEKSFGDSLKEALKKGEDVVVKIDWRESMPHPDQRVEYELWTNSNDECGIRCDEQMNFVKNFKGHAQILEKGGYTFFTPHYITWYCPQAFISSSQCKSQCINHGRYCAPDPEQDFGEGYQGKDVVFENLRQLCVHRVANESNRSWVWWDYVTDFHIRCSMKEKRYSKECAEDVMKSLGLPVEKIKKCMGDPEADVENEVLKTEQQLQVGRGSRGDVTILPTLVVNDVQYRGKLERTAVLKAICAGFKETTEPAVCLSTDIETNECLERNGGCWQDKQANITACKDTFRGRVCECPIVKGVQYKGDGYVSCEAFGPARCTINHGGCWSETKNGLSFSACSENQLKGCQCPQGFKGDGHRCEDINECKERSACQCDGCSCKNTWGSYECKCKGDLLYMREQDTCIERNGSRFGWFLTFLVLAAVAAAGLAGYIFYKYRLRSYMDSEIMAIMSQYMPLDNHQSNIEVHIAVAVAGASAHSQVSYELRLRILPRSPISFSTPLPNLVLLD >OMO68876 pep supercontig:CCACVL1_1.0:contig12161:59554:60028:1 gene:CCACVL1_19786 transcript:OMO68876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSMRSKREKRLRAIRREIVDPYYQKKDEAKLAALEAALAAPKLPASSASSSGMQLEAPATAPSSATINLNSMDVEMADDDQSKGKASLKPAGGVGKKSKIKFKLSKKKRRGKGKGRGKRNL >OMO68880 pep supercontig:CCACVL1_1.0:contig12161:78099:84005:-1 gene:CCACVL1_19790 transcript:OMO68880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYPEELRSPPVRLVALVGCPELHGVISSHLHTQQPPINTLALPDLSKLSLLIQNHHHPSNSSVGGGILKRDWLVKHRTKIPAVVGALFSWDHVSGDPAQWVQVCSDLDHLKAAIRPRNIKLLVVVLLHSEETSEDRLLALRKRAEIDAKYLLLFNPDPSQLNNSLQRLGDALAELATTFYRDEGRRIKARIEKKSFSSLDLQVRYCFKVGVYAEFRRDWVEALRFYEDAYHALREMVSTSTRLPPIQRLLEIKTLAEHLHFKISTLLLHGGKLIEAATWFNRHIISYKNLVGPPKVVFLHWEWLSRQFLVFAELLDSSSAALQSISSLPVATAEQPLTEWQFNPAFYYQSAAQYLKEKRSALEFAVSISESFNENDDGSAESVVPSIYVGQFARLLEQGDDLATQFLTDEEYTHYAIAEGKRFQDSFEIIAFFKKAHEIYSGRKVQRMCSRCAFEIAREYFCLGDFNNAKQFFDGVANLYRQEGWVTLLWEVLGYLRECSRKQGSVKEFIEFSLEMAALPVSTTGTIQSSQCGPGGPASLGQREMIHREIFALLNGESRLVSLEGSNDLKVPGDNNLHLEIDLVSPLRSVLLASVAFHEQIIKSGVSSLVTLSLLSQLPLLIEIDQLEVQFNQSECNFIIMNAQKCPLQADSSGQHDHRMESAPSLALVTNKWLRLTYDIKSEQSGKLECISVIAKIGPHFTICCRAESPASMDDLPLWKFEDRVETYPTKDPALSFSGQKAAQVEEPDPQVDVILGASGPALVGERFVVPVTIASRDHAIYSGEMKINLVDVKGGGLFSPRESEPFSLDSHHVELLGVVGPEGEDEPDKIKNIQQSFGLVSVPFLNIGESWSCKLEIMWHRPKPVMLFVSLGYSPNTNEINVQKVNIHKTLQVEGKNAISINHHFMLPFRRDSLLLSRMKPVPDLDQLALLPLHETTILVVSAKNCSEVTLRLQSMSIEADNDGTEKSCSIQHGGEDLGSAVLVPGEEFKKVFTIIPRADASKLILGTVHLKWKRHSGVEGRSGLTLMDAQVLTKHKLPDVLAEFSPLIVSLDCPPYAILGDPFMYSIKIRNQTELLQEVKFALADSQSFVLSGSHNDTIFILPKAEHIVYYKVVPLASGSQQLPRISLTSVRYSARFQPSIAASTVFIFPSKPHFKMAATTVERLESVVAD >OMO68874 pep supercontig:CCACVL1_1.0:contig12161:44653:50331:-1 gene:CCACVL1_19784 transcript:OMO68874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQELPGFYYDAEKNRYFPIKPRIPGSSSNASQSQKNPLSNSIDPEATKLYPNTGASVSRLLCLRELNGNAFTFDRGRHSFEEEFHKLQASKPVVWRYRDTVNMTNSALEQAQISVQTPEGETETDVLLAGSIDGSLSFLKVGKFGVHSDYGVIYVPDHVWPSGRPKSNEKPGHIWMHPSTSLEMSSRISCIRLPEKRPFFTNDDDFRVRQALITTLGSETAGGCVYILNLLEPVDLGPVAAHSQRLHAVASFNHTIWTSDYNPHTSRAVIGTNLGAALINVERGTSTWVCRSKSDVLAQQFDQTGNLVLCGFRNGAVVTIDVRENQERNSARLTRHRIPYFSSGRNKQKQWFELKGHICPSHTIYMPSSISSLVSLQSYDQYFLASSMDGSMKLYDHRLTKRGAVQSYGGHVNSHTRIKLGVDQSERFVMSGGEDCFLRLWSVKSGKLLFEEKFSDSVLTSVSWRRAKRCLRREDERPRYEEHPFECYNSCEAWLASQEGIFQMQWS >OMO68872 pep supercontig:CCACVL1_1.0:contig12161:16833:16940:-1 gene:CCACVL1_19782 transcript:OMO68872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEAPYGVTVFDIPPQQVRSLMEEDMLGLGPLRL >OMO68873 pep supercontig:CCACVL1_1.0:contig12161:39688:42721:1 gene:CCACVL1_19783 transcript:OMO68873 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5-3 exonuclease protein MGVPAFYRWLAEKYPMVVVDVIEEEPVVIDGVSIPVDTSKPNPNKIEYDNLYLDMNGIIHPCFHPEDRPSPTTFDEVFKCMFDYIDRLFAMVRPRKLLFMAIDGVAPRAKMNQQRSRRFRAAKDAAEAAAEEARHREELEREGRNLPPKEKEESQLVDSNVITPGTPFMAALSVALQYYIHLKLNYDPGWKNIKAVGSYEDKIFQKRAERIKREKAQDAEPQFQPDSLVPVARFHGSRLASGASPAPFQQTVLESNGNGSFGRPHKVRLLSPAAIVEAENHDIKTYVQEELKAKLNKAAIRDKSEDKIKLGEPGWKERRLMTDPNSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDEDRLLAEVAKIEHTLTEEEARRNSIMCEMLFVAASHCLSEQIFSLVKRCKQMTQVQRTELRSAIACSDDGMNGYISLCAGETQPPIFRSSIKDMEDILGNQVICCIYRLPKAHKHITRPPAGVKFPPKMVQYSDLKPAPMLWHEVSGRRPFGNGRPPGTAGHHVTDRHNPPGAISGHKFGEAEAEASHRLIANSLQLKVDHRNGFSKDMQAQAQAQAQAPPPSYSYATGHVPPDVHSYVNHGFHNQGQYRMAPPLRPPYQYHYHTNLNTTQAAAYKYNRHHPPPPRNYPNNGSMRMPRPVAQMPTEAAPCHPGGYDGNRRYQAPGIFSGSYQQYWGGRVGPHASQLNVSGGCISKTKR >OMO68879 pep supercontig:CCACVL1_1.0:contig12161:74823:76590:-1 gene:CCACVL1_19789 transcript:OMO68879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MSSIDEQGNELQQRTYWRWSKDEFLPEESFQNWSKYQAALSQICPRFKDRLISRSDDVIEISELRKQSENDMKRCLTWWDLTWFGFGAVIGAGIFVLTGQEAHKHAGPAIVLSYVVSGISAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFITAGNILLESIVGSAAVARAWTSYFTSLLNREANSLRIHTNLKEGYNLLDPIAVAVLAIAATIAMISTRRTSQLNWIATALNTVVILFVLIAGFTHANTENMTPFMPNGAQGIFQAAAIVYFAYGGFDAIATMAEETKNPSRDIPLGLLGSMSIVTVIYCLMALSLTMMQKYTDIDPNAAYPVAFQSVGMTWAKYLVALGALKGMTTVLLIGALGQARYTTHIARAHMIPPLFALVHPKTGTPIYATLLITICSGLIAFFSSLDALTSLLSVSTLFIFMMMAVALLVRRYYVREVTPRINLLKLVIFLLIIIASAMGTSAYWGLRPNGWEGYIVTVPLWFLGTMGISIFLPQQRTPKVWGGSTVVMLVYYIFIGLHATYDMAHQQQKLEAMKVKDEDEAPKA >OMO68881 pep supercontig:CCACVL1_1.0:contig12161:84712:89071:-1 gene:CCACVL1_19791 transcript:OMO68881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNMKIKRKEAGSASCRVGGRVGKSQRQKRDLRVGGSGVRVGQSKGKRERRKEKLELGAVCLWNLKHWDSYGPLQVEHFIFRRVREIVFKGRCVKGRS >OMO68878 pep supercontig:CCACVL1_1.0:contig12161:70710:74052:1 gene:CCACVL1_19788 transcript:OMO68878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKLGRVDPAIEVFREMPQRNCAPDAYTYSTLMDGLCKEDRIDEAVSLLDEMQIEGCFPTPVTFNVLINGLCKKGDLARASKLVDNMFLKGCVPNRVTYNTLIHGLCLKGKLDKAVGLLDRMVAGNCLPNDVTYGTIINGLVKQGRAEDAATLLISMEERGYEVNEYVYSALISGLFKGGKSEEAMKLWTKMMEKGCKPNTVVYSALIDGLCQEGKPNEAKEVLFEMIDKGCVPNAYTYSSLMKGFFKTGNCHEAVQLWKDMAEHNCIQNEVCYSVLIHGLCGDGNLSEAMMHWPLLVYMDISSTGISSRVTDNQSA >OMO88178 pep supercontig:CCACVL1_1.0:contig09093:19439:19510:-1 gene:CCACVL1_08541 transcript:OMO88178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGLVLISIPETWSISGQAKPTGV >OMO64484 pep supercontig:CCACVL1_1.0:contig12823:31518:33859:-1 gene:CCACVL1_21725 transcript:OMO64484 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-kinase anchor protein 17A-like protein MRPLETVPPTETLEIASGLSLVPRVKLNLTIHPALPSVSKPIDEWRLKRALIDFLKTSLSVSVTVPEEDIQIRRLKDLKKRKRDEPVAQGALFIRDLGFLNSRRKKKSEESDKEEEDVKELEKKFLDWRRYVAEKMDGIELNLEGVKYNLSVEIPASDDFERMRKDWEELNAFGNRGYSRGGRQEPDTIVLRGVPSRWFAEPRVSFKPSLLETHTIFSAFGKIRTIDVAEDNDFGKGSDEDDLDIVSGLHCKIVVQFEKHRDFYNTLKVLCGRSLQKQGSRLSADYEVTWDKDGFFRNSRSQNQEKNNRMQEPVVERYRTEAPRRESHTSQFTATDTRRKRFKIGLRGDKELPLNDGLMSGMTFGSPHIRCNPGSLRMAACFFPPTFHSS >OMO64486 pep supercontig:CCACVL1_1.0:contig12823:36470:39338:-1 gene:CCACVL1_21727 transcript:OMO64486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MLCACSGEQFRFEDAPQSPESLATRDFSASGLSSRTGDWESKLEDVQVDEAESTLKEALSLNYEEARALLGRLEYQRGNFDAALQVFQGIDVKGLTQRMTRAIVERTRPRKPRSKVDIIPPSVMSMHSVSLLLEAILLKAKSLEELGHFREAAKECKIILDVVEAALPSGMRDGIGEDCKLQDMFHKALELLPNLWTKAGFLNEAVTAYRRALVKPWNLDPQRLASLQKNLAATLLYGGVETSLSPHLQVWGSNTPNGNTEEAILLLLVLMQKVAYGEIKWDAEIMDHLTFALSVTGQFELLAGHVEQALPGIYERAERWYLLALCYAAAGQNEVALNLLKKVSGQSEAKHKPNFPALLFGAKLCSQDPNNARYGINFARNLIDLADQQNEHFKGQAHNFLGVCYGNAARISIADSERALFQKESLASLNTAALNRKDDPEVIFDLSLENAVQRNLDVAFENAMMYSNMVSEKSGKGWRLLALILSADQRFKDAETILEFALDEAGRLDHLELLRLKAVLQIAQERPKQAIETYRILLSLIQAQRESPPTNFVHEKSEKNMEMAAWQDLATIYTKFGSWADAEVCVNKAKSIAFYSPKSWHTTGLLFEAQTLYKEALVAFSLSLSIEPDYVPSIVSTAAVLIKLGSQSLPIARSYLMNALRLDPTNHDAWRNLGLIEKMEGSFERAADFFQAAYELKLSAPVEPFT >OMO64477 pep supercontig:CCACVL1_1.0:contig12823:2289:3443:1 gene:CCACVL1_21718 transcript:OMO64477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTLNPYSTTAKTAEIMSRYRPIAPKPEMPSSSVNESSGMSSKIRQSPYLRNLWPQLQARPTRTRKRGRAALSPPTLKRARTTTHHVLGLSSPSPLTSPAKNLALQGFSHGMIPQLSVANFVTSTTGGFESCTSSAATPTSLVTLPLLPCPSSVPVVSNKATIVNPSLNNPMERCGGENKVIDLNMVADVVPEEKDLLKQLQGVVVPTATATATGVIAPQPIRPVGSSISVKCINEEDPSLTPTIQVPKKPEEVEEEVESEALPAVISDSNNKIRLANSAYKEMVGQPECPWLDSMVAGGNSSSSCKRICGEVMLHLSESRVPVTSNGFSCWVRIEWGSQGKKNSISAFCDVMRLSCQSKDYLFTWRFHTRNNRKASQSSCNV >OMO64483 pep supercontig:CCACVL1_1.0:contig12823:29363:30172:1 gene:CCACVL1_21724 transcript:OMO64483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPFICGSSSLNHEEEDDDNNLVCSSPVSTPRKSGRNSFCKSHNNKNNKNPYSNRGLDKFSALLAELEEKRQKIYSQTGSQDTFVRFVYKNSTECVPIVVKVKDKKEEENKKKFADDTTKDHRTETNVVSEEKVMDKHPIETLNEGKEVIKKILQSVNEEADVKKNKKRFSWKKELQKWRRPSYYLPAFVILILLFLMFFGRSVTILFTCIGWYIVPIIQGESSGNVRRMKKKKNYGRKLSESKLVNEGFSSPRSKQSSPARDHHRKS >OMO64478 pep supercontig:CCACVL1_1.0:contig12823:4309:5770:-1 gene:CCACVL1_21719 transcript:OMO64478 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase MGGFLQRVGQNLVRGHGPALVSGIRCCSSTSNALMELGVNPGEVGMVSGIPEQQLKRRVIIYSPARTASQQGSGKVGKWKINFLSTHKWENPLMGWTSTGDPYANVGEAGLEFDSEEAAKLFAEKYGWEYQVKKRHTPLLKV >OMO64482 pep supercontig:CCACVL1_1.0:contig12823:24323:27051:1 gene:CCACVL1_21723 transcript:OMO64482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGQVVIGPPGSGKTTYCNGMSQFLKLIGRKVAVINLDPANDSLPYECAINIEDLIKLSDVMTEHSLGPNGGLVYSMDYLEKNIDWLQSKLEPLLKDHYLLFDFPGQVELFFLHSNAKNVVMKLIKKLNLRLTAVHLVDAHLCSDPGNYVSALLLSLSTMLHLELPHINVLSKIDLIESYGKLAFNLDFYTDVQDLSYLQHHLDRDPRSAKYRFVMFDVDLLISIFSSQDKESVGNLVKLIDKSNGYIFANIDASAVEFSKIAFTTAAVQEKYMKDDETFDDDN >OMO64481 pep supercontig:CCACVL1_1.0:contig12823:15048:16251:-1 gene:CCACVL1_21722 transcript:OMO64481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MAFAGTTQKCMACDKTVYLVDKLTADNRVYHKACFRPHFDQLFKRTGSLDKSFDGTPKIAKPEKAVDGEKPAATKVSGMFAGTRDKCVGCKNTVYPTERVTVNGTPYHKSCFKCTHGGCTISPSNYVAHEGRLYCKHHHGQLIKEKGNLSQLEGDSAKQKVAAES >OMO64479 pep supercontig:CCACVL1_1.0:contig12823:6425:8828:1 gene:CCACVL1_21720 transcript:OMO64479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex protein Exo70 MAANDEDSTLVKLESACSDLRTLLQTSIQMGKDLEEMERKFDDIDERLSTTAKRVAPLQSLAMAAKALETRINRAVSPALALLNSFKLSESLQNKLIELSKKLTVEKNPRKRLKKLLKYVECVDQLHVAINIISRDGEPVIQKLQEVVEFLSRTKATDHYRTQRLKETLVTLKVLYESEVEAMRFDGLLDEALLNLQDEFEIMLQQIKHQNIGEQQADDQEADQSQTVVSDLGTEMEVQVLKRIAEILAANDCLDICIDIFVKVRYRRAAKALMRLNPDYLRTYTAEEIDEVAWESLETAISLWIQHFELAVKTVFVSEKKLCNQVLGGLSEELVWLECFVKIADKIMAVFFRFGEGVARSNKEPQKLFKLLDMFDSLEKMKLEFADIFEGESGADICIRFRELEKLLVHASSKVFWEFGLQIEGTSDGFPPPQDGSVPKLVRYAVNYLKYLTSETYSVPMAKVFRTQQVWKAGILSKPESDENLLKDAIMNIMEALQRNIESKSSRYKDKILPHVFVMNTYWYIYMRSRNTELGMLLGEQYIKEKYKSVAEESAYMYQWQAWGPLVRLLDQKEDLQEEDINDSSRRNNGAFARGKMEAFFNCFDEISLRHREVYTIPDADLRAQLREATVKLVVPVYTEFLNTYSSLFPVKSYVSPESLQKLIGQVFNGTDRTGNTRVKSRDSKDRDRVPPRNSVSVDREVRILRRSRSNSIDV >OMO64480 pep supercontig:CCACVL1_1.0:contig12823:12445:14556:1 gene:CCACVL1_21721 transcript:OMO64480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPKDPTFSFSEFPEDIQLCILSFLSPPEVANFACTSKRLLSLCRTDSKLWFSLCQRRWGSKTQINKWGGGNITYKLLYKTLNHWENLIGIWRRCGRPNHSGQFPWLIVFEWGPSFVCGSRVCPSNNGTYNVSKAPVLWMGLSSDGQITNFLDLEAQSEIPSGDFDSWMEFVCLDQNLVPVNLNFLGEEHFIMEENSTFWNISKSKDGFKRSSSSMNLFDDGEEVNVVGAESGSPGSLPDRLVSEMYTHFANRTSLGGGGDRAWRRQRKKEKERQARRKWEPEHFLKVVDCLPTPDKPLQGLWKGISGDMKLNFYLVKYDEMGIVCQKVADLPSSYAPPVFWTSEPKFMESPFSPEEEHMYDVRMHLKPVATEDHSHSQCVMTGIEMVSHILRITSRFDITVPAFMGSASPQYGEGRVWQYKNGTFGFGFLEDHYIIDVKHIIQDGSLDSMNLL >OMO64485 pep supercontig:CCACVL1_1.0:contig12823:34219:35272:1 gene:CCACVL1_21726 transcript:OMO64485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSCFGGGDKEERREQDRLASAEARAKAAEAAQKRQEQFDQSAAGRAARAQMQGMAKQSANSNKGEPVLKWQMG >OMO63533 pep supercontig:CCACVL1_1.0:contig12943:9625:10416:1 gene:CCACVL1_22393 transcript:OMO63533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein A MEGNKRMTMVAIDESECSYYALQWALENLGDTLSASHLFIFNAQPLPNLAYLSASTYGVTPLDLIKTLQENQNNFSLALLEKAKDICSNHGVKAETMTEVGDPKERICEAVEKLDIQLLILGSNGRGALQRAFLGSVSNYCVHNAKCPVLVVRKSA >OMO63535 pep supercontig:CCACVL1_1.0:contig12943:34554:36500:-1 gene:CCACVL1_22395 transcript:OMO63535 gene_biotype:protein_coding transcript_biotype:protein_coding description:S1/P1 nuclease MEGCCRIQILLAALFSFILIPAAYGWGTDGHSIICKIAQSRLSEAAEEGVKQLLPKSAENDLGSVCSWADQVRFRYRWSSALHFINTPDICTYQYQRDCKDEDGEVGRCVAGAINNYTSQLLSYNSAATQAEYNLTEALLFLSHFMGDIHQPLHVSFASDRGGNTIDVHWYTRKQVLHHVWDSNIIETAEERFYDSDVDAMVDAIQHNITTEWADQVKRWESCSLNKTVCPDIYASEGIKAACDWAYKGVTNGSVLQDDYFLSRLPIVNWRLAQGGVRLAATLNRIFG >OMO63534 pep supercontig:CCACVL1_1.0:contig12943:32705:33747:1 gene:CCACVL1_22394 transcript:OMO63534 gene_biotype:protein_coding transcript_biotype:protein_coding description:UspA MEEEKQQFQKKVMVAIDERDCSYDALMWVKVETVTEVGNPKEIICNAVENYKINLLVVGDQADGILQRIFQESLSSYCLKNAKCPVLVVKKSDVQE >OMO63532 pep supercontig:CCACVL1_1.0:contig12943:4739:6815:1 gene:CCACVL1_22392 transcript:OMO63532 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA thioesterase MFQALVSPAHVVIPAFRANVTFLIPSQSYSISPPLMPSCFPSFSTSRNFAVEAFIDVKGGKGMSGFHEVELKVRDYELDQYGVVNNAAYASYCQHGAENMPLAVTDKVDGRHELLESIGVSCDGVARTGNSLALSELSLKFLSPLRSGEKFVVRVRISDSSAARIYFEHFIFKLPNEEPVLEAKATAVWLDKNYRPVRIPPEFRSKFVQFLRHEDTN >OMO93864 pep supercontig:CCACVL1_1.0:contig08041:9073:11968:1 gene:CCACVL1_06308 transcript:OMO93864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-hairpin glycosidase-like protein MAMSEAFLHLDPLLLSKSGANFGKRRNLGLVELHYNNFRTSRSELQSVGYFSTDRKNRAKGLCFLRCKCQKADSVSGVTADDGRPDSVSIYGMKNVNNVREFELNQLLKSGKEGFANGNTNGVGTVIDSKNSIEEEAWDLLKESVVYYCGNPIGTIAANDTSSSSILNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGNDSVTEDVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCTGDLSVQERVDVQTGIKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSVDLIRALNNRLVALSFHIREYYWIDMKKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEFMPARGGYLIGNLQPAHMDFRFFSLGNLWSIVGSLATVDQSHAILDLIEAKWSELVADMPLKICYPALEGQEWRIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAERAVMLAERRISRDKWPEYYDTRRARFIGKQSRLFQTWSIAGYLVAKLLLANPSAAKVLINEEDSELVNAFSCMLSANPRKKRGRKEFKQPFII >OMO93865 pep supercontig:CCACVL1_1.0:contig08041:12891:19214:-1 gene:CCACVL1_06309 transcript:OMO93865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase/arsenate reductase MDTLPSTSEIVESTDELEAELKMGEYGKNHYMPRSGNKYSIEEDINRLFEAIDIRTSSRVSGFSSEFGRDALRKSAMKRPVRVGSSQASGIGISESVSLKQALRGLCISQASEMAAMKKRLSKPAGSSGVSEAGTIKRLYRAVVVEANGSGIPLNEGKGNLVEISLVPEKITSNSSDKMPESLQVPDKEDFYQNSNASDKATTGKAIMTRLPSPNRVVSLVSGSGSEVSKPESKTFRSIDSCSINGASGEALEIGSSSIQVFVETAVPDKEPKGKLHAESSLSIASAADKVKSVCETPRLIKPVFRNKNFIRKKAKHEPVSAVSSSNSCNSCVGNDLGPSTSYLDSQTQEHASANGRKENMKVSPVSSSKNDSIEVNSSIVGTSFKSTSSSNCNNKSKALLNKADDRSRSRDKGEFSQSSKSSIGEYSSSTTTSEESNISGSSRSGSRPHMSKDLRWEAIRSVQKQHGSLSLRHFKLLKKIGCGDIGTVYLAELTGMNCLFALKVMDNDFLLSRKKMARAQTEKEIMQMLDHPFLPTLYAYFATEKLSCLVMEYCPGGDLHVLRQKQPGRSFSEQAASTKFSSSSSTAPPYLPFCHHSRFLSPKTSFFIPLFPSTKYPSLQNSKFPSHTHQNPAKSPAFGTLVKASMASSAPSTETKPFSVLFVCLGNICRSPAAEGVFRDVVKKKGLDSKFNIDSAGTINYHEGNMADPRMRAASKRRGIEITSISRPIRPSDFRDFDLILAMDRSNREDILEAFNRWKDRETFPADAHKKVRLMCSYCKKHDETEVPDPYYGGPQGFEKVLDLLEDACESLLDSILVENSNIRSS >OMO93866 pep supercontig:CCACVL1_1.0:contig08041:35423:39007:1 gene:CCACVL1_06311 transcript:OMO93866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWLDDIADDLQSLSFTSTSTATINRSTSSGSASNSGSSSLAPSAHGSFSSKPLRSGSLSLADIRFSLRLGSGDIGSVYLAELKSPPTPPDTNAANTNGNSTNSNGNKKSEVFFAAKVMDKKELASRSKEGRARTEREILESLDHPFLPSLYAAIDSPKWLCLLTEFCPGGDLHVLRQRQPLKRFPESAVRFYASEVVVALEYLHMLGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDDSVTTPQIISSDHQKPRVPPPQGEYPIDHPPFTSSSCIIPNCIVPAVSCFQPKRKRKKKTGHRGGPEFVAEPIDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFMYELFYGVTPFKGVDHELTLANIVARALEFPKEPGVPAAAKDLITQLLAKDPTRRLGSTMGASAIKHHPFFQGVNWALLRCTRPPYVPPPFSREVVSDESCPETPVEYY >OMO93868 pep supercontig:CCACVL1_1.0:contig08041:49734:50305:1 gene:CCACVL1_06313 transcript:OMO93868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTTLSHELRSYIQSQYNQGILDHNFDRVQSFQNEETPRFVMEVLGMFSHDADDMIKQVTTYLESPTVNFLSVVNVAHQLKGSSA >OMO93867 pep supercontig:CCACVL1_1.0:contig08041:41566:46869:1 gene:CCACVL1_06312 transcript:OMO93867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPQNGGANLSTIPEEEEQAIGPGPAPRARPKRPLQFEQAYLDSLPSANMYEKSYMHRDVVTHVAVSSADFFITGSVDGHLKFWKKKAVGIEFAKHFRSHLGPIEGLAVSADGLLCCTISSDRSVKVYDVVNYDMMVMIRLPYVPGAVEWVYKQGDVKANLAISDRNSTFVRIYDARAGSNEPIISREIHLGPVKVMRYNPVFDSVISADEKGIIEYWKPATLQFPENEVNFRLKSDTNLFEIAKCKTTVSAIEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDAPMYRLEAIDFGRRMAVEKEIEKTETAPQPNAVFDESSNFLIYATLLGIKMVNLHTNKVARILGKVESNDRFLRIALYQGDRSSKKVRKIPSTAANVNESKEPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPADELLAVSDIGKSVTTSLPDNVILHTTMGDIHMRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQAIEKVKTDKTDKPYQDVKILNVTVPKS >OMP03485 pep supercontig:CCACVL1_1.0:contig06064:26964:29861:1 gene:CCACVL1_02405 transcript:OMP03485 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MTSGGTANSSNGGSPIQGGPTTTRRRVADLPTADSDTQNCYSCSDHHHTLPTNDEEADNPNGYIPSGSSSCGSHYNMQHHPVIRYLLLRKKLLFSWVPDAWCLWVDDTCHWAVNMVHSLRSGRNMGRKILGVLLLMAVVSVFLKVSLLSNHVAEVNGKLKADNGLLILQTFKEDWSMAQRVVAETHNNEPQTEHSMPKRVLERVSTPEIWMKPNSDNYQQCISRPKNRITKKPLEKPPVSWSKASYYRSEVLPQLKRHKVIKFTHSDSRLANNGLASSIQKLRCRANYEALRYTKEIEDLGRILVDRLKNNNEPYIALHLRYEKDMLAFTGCIHNLTVEEGEELTAMRYSVKHWKIKEIDSVEQRRQGGCPMTPREAAVFLKAMGYPSSTPIYIVAGEIYGSNSLAAFRKEYPNVYSHSTLATEEELEMFKAYQNRLAALDYIVALESDVFVYTYDGNMAKAVQGHRRFEGFRKTINPDRQNFVKLIDQLDEGELSWEQFSSEVKSLHADRLGAPYLRQPGESPRVEENFYANPLPGCICGKSREHRITNLKFDQNLNAVQR >OMP03488 pep supercontig:CCACVL1_1.0:contig06064:46632:48278:1 gene:CCACVL1_02408 transcript:OMP03488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGKYQGDSEIQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSLRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEEYDEEEEVEYEQ >OMP03483 pep supercontig:CCACVL1_1.0:contig06064:6236:15490:-1 gene:CCACVL1_02403 transcript:OMP03483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L35A MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVAWYAGKRMAYIYKAKVKQNGSHYRCIWGKVTRAHGNSGVVRAKFKSNLPPKSMRLCVFNVRTGTLSASNYLIGLGSYDITGPAADVNMMGYANMEQIASGIHFRLRARAFIVAEPEGNRVVFVNLDACMASQIVTIKVLERLKARYGELYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVDGIEKSIIQAHENLRPGSILINKGELLDAGVNRSPSAYLNNPAEERSKYKYDVDKDMTLIKFVDEDWGPIGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFQQQNGIRADLYSLPFNNSATDRIPRRVSSIVPNVHDKRNELMELAASLKSSQGHPATRFLSVARRVRNALRQAERPQFVSAFCQSNCGDVSPNTLGAFCIDTGKPCDFNHSTCNGKNELCYGRGPGYPDEFKSTEIIGKRQFKKAVELFNKAKEKLKGKVGYQHVYLDFSNLEVSVSKKGGGSESVKTCPAAMGFSFAAGTTDGPGAFDFTQGDDQGNAFWRLVRNLLKKPDEEQVNCQKPKPILLDTGEMKEPYDWAPSILPLQILRIGQLVILNVPSEFTTMAGRRLRDAVKTVLTSGSNKQFDSNVHIVIAGLTNTYSQYVTTFEEYQVQRYEGASTLYGPHTLNAYIQEFKKLAAALINGRTVEPGPQPPDLLNKQISLLTPVVLDATPLGVSFGDVKEDVPSNSSFKQGDVVSVTFWSACPRNDLMTEGTFALVELLEDQKTWISAYDDDDFCLKFKWSRPAKLSPQSYATIEWTIPESVVSGVYRITHFGASKSLFGSIRHFSGLSIVFCMSVVLGNVSLRYLPVSFNQAIGATTPFFTAILAFVMMKKRESWITYLTLIPVVAGVIIASGAEPSFNLFGFLMCISATAGRAFKSVLQGILLSSEEEKLNSMNLLMYMAPIAVAILLPLTLLMERNVVAMTVILATKDIKIVYYLLFNSCLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFKNPVSFIGMLGYSLTVLGVILYSESKKGNK >OMP03484 pep supercontig:CCACVL1_1.0:contig06064:15967:18824:1 gene:CCACVL1_02404 transcript:OMP03484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPLLSEKRSESEESERLSSYQYVGRTGSVIPTASLAGTEVSIEEIRSATPFPGNYPPSFHTPLISAPEPHPHEQAILQQGPYTTDYGAYSNEFQRQLLDEVEIRELLIDHVGHRCCWGSRPARTWKIHAVEDCNVYVGTLETFLEEREVIRETEPYLGDKIDGKDNGPELGIWELDLRSEFPVLFVPYKETRVKIPHSETIEKCSGCAGRGGIVCPTCNADQEPGFYKENQMAQCPACYGRGLIAHRDGSDTICTKCNGKGKIPCATCGSRGLIKCETCHGNGSMVTRNIAIVRWKTLSTRKVSATSGAASVPDEVFHRAKGVQLCNTQAYQCTPAYFADSFFLNKFSSDIIADRASVPPTARVICERHTISVVPVTRVTMSHHRQSFSFYIVGYSRDLYLKDYYPARFCWGLCPCLEWLKL >OMP03486 pep supercontig:CCACVL1_1.0:contig06064:31218:33160:-1 gene:CCACVL1_02406 transcript:OMP03486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MAASFLHHLPHSFSLFSNSSKPKVQFFNSAKVVSFPRASSVETNGAVESPKPTRRGRKKKPTSSSPDTAPARKTRRKRKTQNENGSIESESEGEEEEFGDYDDGMDFPYEDPPLICCFGAAQKEFVPSVRVNEEQMDPDKYSHWKMLQWDPPEFARAPGGPPSNVAISHVRLGGRAAFMGKVGDDDFGNELVLMMNKEKVQTRSVKFDENVKTGCTYMKVKFDEKGKLKMETVKESAENSLLSSELNLAVLKEARIFHFNSEVLTCPSMHSTLFKAIAWSKKFGGLIFFDLNLPLPLWRSRDETREVISKACKKADIIEVSREELEFLLDEEYYERKRNYRPQYYAENFDQTKKRRDYYHYTREEIAPLWHDGLKILIVTDGTLRIHYYTPSFDGVVVGTEDVLITPFTCDRTGSGDAVVAGIMRKLTTYPEMFENQDVLERQLRFAVAAGIISQWTIGGVRGFPTESATQNLKEQVYVPSMW >OMP03487 pep supercontig:CCACVL1_1.0:contig06064:35130:42178:-1 gene:CCACVL1_02407 transcript:OMP03487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKRREENSVFAEEEEDQWDEKNWDDDDLNLNNIKIPIDENPKKHYPEVEAAEKDIKKEAPNEENLRSPVCCIMGHVDAGKTKLLDCIQGTNVQESEAGGITQQIGATFIPTDNIRDRTKELKADAKLNVPGLLVIDTPGHESFVNLRTRGSDLCDIAILVVDIMHGLEPQTIESLNLLKMRNTQFIVALNKVDKLYSWKVSPNAPIVNSIKLQSEDVKSQFDTRVRDVIFQFKEQGLNTELYYKNKNMDETFSIVPTSATTGEGIPDLLLLLVQWAQKTMVEKLTYHDEVQCTVLEVKVSKGHGTTIDVVLVNGVLHEKDQIVVCGLQGPIVTNIKALLTPHPMKKYRLKGKYMQHKEIKAAMSIKISAPDLDHAVTGTSIYVVSPNEDLEHVKEKAKEPMESILTKIDKNGEGVYVHASTLGSLEALLEFLKTPEVNIPVRGIGIGPVHKKDVIKAGSMLEKKKEYAAILLAFDVKVKPEAEEHADDLGVKIFTADVIYDLFKQFKAYIDGLKEERKKEAAKEVVFPCVLKILSNYNKDPIILGVEILQGILKVGTPICIPQRDFIDIGRIASIEINNKPVDVGMKGQEVAIKIIGSNPEERQKLFGRHFGLEDELVSHISRRSIDVLKAYYRVTDYEIRKYSPSVIAEVAYDPSQFKGNKDGGFMVLANYIGALGNPQNAKPEKIAMTAPVITKSPGSESEKIAMTAPVVTKGESNMVTMQFVLPSIYTKAEEAPKPLDERVVIREEGERKYGVVKFGGVATDEVVKEKVEKLRQSLERDGYKVTGEFLLGRYNPPWTLPPFRTNEDMNLSLTLINLGSSTAEQLHYKGDDFEKGKWQSQELACMLIVVTKLKPTLTPLAKSSAFQKPACFSSSIMGMVFGKIGVETPKYEVVQSSADYEIRKYSPSVIAEVTYDPSQFKGNKDGGFKVLANYIGALGNPQNAKPEKIAMTAPVITKSPGSGSESEKIAMTVPVVTKGEKNMVTMQFVLPSIYKRAEEAPKPLDERVVIREEGERKFGVVKFGGVATDEMVKEKVEKLRQSLERDGYKVIGEFLLGRYNPPWITLPPFRTNEVMLPVE >OMP03489 pep supercontig:CCACVL1_1.0:contig06064:49601:50671:-1 gene:CCACVL1_02409 transcript:OMP03489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFSISHYLTALTFLIFHLKISAADQSASFSFNSFGKDPKFDSNIALYGDAKVADDGSWIQLTNSVSWSAGRVMYKKPIKLVEGKVRNFASFSTYFSFSMSHENGDGLAFVMLPSSFDVNVMDNSSFGISPGVEKNNSRIFAVEFDTYKDAKYGDLNKNHVGIDVGSLVSAKVKNLSSVNLVLNNGEKLHSWIDYEASSKRVEIRLSQSGSTRPDDPLLSYSIGLSKLWNDEEVFVGLSSSNGNSSQTCFIHSWSFKLRQVPNWMHSQPLDPKAVAKNPKPLTTGHKSSNCSWRVLAVFILGLACGALIGSCLLYVWNILGDRRPVAPEECGLHPVDFDYKKVIVVDKAVKDGKN >OMO83553 pep supercontig:CCACVL1_1.0:contig09877:18021:21076:1 gene:CCACVL1_11350 transcript:OMO83553 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA/THIF-type NAD/FAD binding protein MESNGGDDVARIRRDIEALKDTKARIEDRISVLEAKLQETVSLAPRLQQNDGVYHVSCPPIPAADANLANGLSSDSVYRYSRHLLLPSFGVQAQSNLLKSSILVVGAGGLGSPALLYLAACGVGCLGIVDHDVVELNNMHRQVIHTEAYIGQPKVKSAAAACRSINSTIQIVEHREALRTSNALEILSQYDIVIDATDNAPSRYMISDCCVVLGKPLVSGAALGLEGQLTVYNYKGGPCYRCLFPTPPPTTACQRCSDSGVLGVVPGIIGCLQALEAIKIAAAVGEPLSGRMLLFDALSARIRIVKIRGRSLQCEACGENTTFNQEHFRDFDYEKFTQSPLSTSPPKLNLIAAESRITSKEYKERIVNGEAHVLVDVRPEHHYKIVSIPKSLNIPLASLEARLPEISSVLEEKKTNEGVESGANLYVICRRGNDSQRAVQYLHKMGFNVAKDIVGGLESWAHDVDPNFPMY >OMO83555 pep supercontig:CCACVL1_1.0:contig09877:24547:24639:1 gene:CCACVL1_11352 transcript:OMO83555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFELSGPQGMLSLSKNPWPKPEFDWSEAP >OMO83551 pep supercontig:CCACVL1_1.0:contig09877:4533:4985:-1 gene:CCACVL1_11348 transcript:OMO83551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30, bacterial-type MSAFNKFKASVPIAFSNNLYITLVRGMPGTRRLHRRTLEALRLRKCNRTVMRYNTPTVRGMIQQVKRLVVVETEEMYKARKENEAKHQALRPPIVVSHLPTSVTDSSK >OMO83550 pep supercontig:CCACVL1_1.0:contig09877:1143:3234:1 gene:CCACVL1_11347 transcript:OMO83550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MAKPCPKASSTSNPNAPAAYLTTKESPGATIPASPMALNKGYVDLVGGYYDAGDNVKFGLPMAFTITMLSWGVIEYGDEIAGAGEYSHALEAIKWGTDYFIKAHTHPHVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDEKNPGSDVAGETAAAMASASIVFRKTNPQYSHLLLQHAKQLFEFGDKYRGKYDESVKVVKGYYSSVSGFTDELLWAALWLYKATDKEDYLRYALEKASEFGGITWAINEFSWDVKFAGLQVIASMLLMEEKHRKMEYKNVLEQYRSKAEYYLCACLNKNNNVTNNVKRTPGGLLYIRQWNNMQYVSNAAFLLTVYSDHLQATNQRLRCDQGEVGPEEIFAFAKSQVDYILGANPMGMSYLVGYGLRYPQRMHHRGASIVSYKENRGFIGCTQGYDNWFRRKDPNPNIVVGALVGGPDQMDQFSDERENFMQTEACTYNTASLVGVLAKLHGLQERDFDSRSVASS >OMO83556 pep supercontig:CCACVL1_1.0:contig09877:25794:26692:-1 gene:CCACVL1_11353 transcript:OMO83556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L31 MSISSIFSSESGRLMNFMMTKIHSADKVYHLRAKREKAESVGQIAKFNRRYGLEKQENSENSENNQK >OMO83554 pep supercontig:CCACVL1_1.0:contig09877:21543:23405:-1 gene:CCACVL1_11351 transcript:OMO83554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate carbamoyltransferase MASSSSFSTCTLNRGSFAIKGISFNKEFNCNFSTLLHRLPVNAKLSADFTYSRLLTGEKPLKWEQSQRLSRNRMQCCALEIEDIPAYTNGKKFQLDDVIEAQQFDRDTLNAIFEVAREMEKVEKKSPGSQILKDTIRTVEGYSDIIVMRHFESGAARRAAATADIPIINAGDGPGQHPTQALLDVYTIEREIGKLDGIRVGLVGDLANGRTVRSLAYLLAKYQDVKIYFVSPDVVKMKDDIKDYLTSRGVQWEESADLMEVASKCDVVYQTRIQRERFGERIDLYEEARGKYIVDKDVLKVMQKHAVVMHPLPRLDEITVDVDSDPRAAYFRQAKNGLYIRMALLKLLLVGW >OMO83557 pep supercontig:CCACVL1_1.0:contig09877:27698:29639:-1 gene:CCACVL1_11354 transcript:OMO83557 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-L-galactose phosphorylase 1-like protein MMLRIKRVPTVVSNYQKDEAEDTARRSGGCGKNCLRSCCIPGAKLPLYAFKKVNNIETDKAVLETENTEPPVAFLDSLVLGEWEDRMQRGLFRYDVTACETKVIPGEYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEVLFQFEASEDGEVQFFPNAPIDVENSPSMVAINVSPIEYGHVLLIPRILECLPQRIDHESFLLALYMAAEAGNPYFRLGYNSLGAFATINHLHFQAYYLAVPFPIEKAPTKKITTLNGGVIISELLKYPVRGLVFEGGNSLQDLSNTVSDACVCLQENNIPYNVLISDCGKRIFLLPQCYAEKQALGEVSAELLDTQVNPAVWEISGHMVLKRRKDYDEASEENAWRLLAEVSLSDERFREVNALIFEAIASGEDGIENVNETFPEEPETNAQSLEDENAITQSSHHAMVAGTQECLVLQ >OMO83552 pep supercontig:CCACVL1_1.0:contig09877:8398:9786:1 gene:CCACVL1_11349 transcript:OMO83552 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein MEGKLEIVVNDDEEEKKRCKGLCLCCVIPRDVVKIILTYLPSVKDYMSFRGVSKCWLLAFTDCAASTQFMSPKRELRLPWFLLLSKKSEEKLRDYGSDCCRPILHTTGKLGNISDYDNGCNYATHSAPELQGTRILLSRLGWLLLFNQGNNDNSSSSLYFFNPFSKAKIVLPFMDVSKLVCPVFDISAPPTSPDCMILVASSENHDDRRYIIKIDMCRRGDSSWTCCFESKHRDSVIVNSFFVNGIWYLLDSDGQILAVDVASRSLRRNLEVGVKYLGDLGIKYLGDHDEEDEEDEDDSYYTDYKRVSYIVKRGEQVFLIIHGVWHRYEFELPKDLPPEPHHLAVTKIDYSYTLYLENYEEDSEYLFRVDVKKTFLKEREYSGVVVSSVHHDHCFNMDAWCAIHIYSNKIFPRWHRSLTNSLVFHKLRNTIAYCDVISGCCSQFVMWLDPIWVEPSLNLTWN >OMO83915 pep supercontig:CCACVL1_1.0:contig09829:622:717:1 gene:CCACVL1_11089 transcript:OMO83915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMHPATISSPWLIVAVRSHPKVTNTFLVTE >OMO61869 pep supercontig:CCACVL1_1.0:contig13399:111752:113154:-1 gene:CCACVL1_23201 transcript:OMO61869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Globin, bacterial-like protein MQSLQEKAAQWSGVETADAFAIDDTNLFQKLGLQTFINLSTNFYTRVYDDEEEWFRSIFANSKKEEAIQNQYEFFVQRMGGPPLYSQRKGHPALIGRHRPFPVTHQAAERWLHHMEKALESTPDIDADSKIKMMNFFRHTAFFLVAGDELKNQNQRVPCKHEAKKPASS >OMO61866 pep supercontig:CCACVL1_1.0:contig13399:103658:107143:1 gene:CCACVL1_23198 transcript:OMO61866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAQSKIDNEESVARCKERKILMKEAVIARNAFAAGHSGYAISLKNTGAALSDYGHGEAEEPLEHHHQIPPLDSTPQPPPPPPMMDNLPPPPPLPNFSPSPVAPIKRAISMPAMPVKSRKEFNSSLAIEEEDEEEEEEDEEEEHHHQGTEEERVNNNGESLRKDSRGPHREETTPPRTPENNNVGHHHPPPMPEAKNMAWDYFFMVDDNMPGPSLDLDNNNNVTENTNDDALENNVGLGVGFSGHSGIDSEIEPKTPERPPETVTVAVDVDDKGKQQVHIEHSKTAPAEFRRVVNAVSSVNLMKVLNEIDDHFLKASECAQEVSKMLEATRLHYHSNFADNRGHIDHSARVMRVITWNRSFRGMANGENGKDELDSEEYETHATVLDKLLAWEKKLYDEVKQGELMKLEYKRKVAWLNKQKKRGASAESLEKTKAAVSHLHTRYIVDMQSMDSTVSEVNRLRDEQLYPKLIMLVDGMANMWASMCVHHDSQLKIVEKLKALDIAHASKETTKHHHERTIQLHNVVLEWHSQFDKLVTHQKQYIQALNSWLKLNLIPIESSLKEKISSPPRAQNPPIQALLHAWHDYLEKLPDEIAKSAISSFAAVIKTIIIHQDEEMKLKEKCEETRKEFLRKNQAFEEWYHKYKQRRSGSDEMDAERGEDANAKDPVSERQFAVDSLKKRLEEEIEAHQKHCIQVREKSLGSLKIRLPELFRAMSDYSHTCSDAYEKLRIITRSQKPNSAPS >OMO61857 pep supercontig:CCACVL1_1.0:contig13399:10374:12183:1 gene:CCACVL1_23189 transcript:OMO61857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpv17/PMP22 MLRLWKWYQNCLATHPVKTQVISSGLIWGVGDIAAQTITHSTAKKHHHFQEKDKELRINWKRVATTSLFGFGFVGPVGHFWYEGLDRYIRLRLCLHPNSFRFVATKVAVDGFIFGPVDLLVFFTYMGFSAGKSVHQIKEDVKRDFVPAFVIEGGLWPIIQVANFRYIPVRYQLLYVNLFCLLDSCFLSWFEQQEDAPWKQWLNSFLPSKEKRRQGE >OMO61865 pep supercontig:CCACVL1_1.0:contig13399:97160:101991:1 gene:CCACVL1_23197 transcript:OMO61865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIEEIEKLEFKWGSKKGVGGKNKDIRFYESFTFDGLEYALYDDVYLYTDAENLPHIGKLIQIWEAPDKSKKVKVLWYFQAFEISRYLVVELTQPNEIFLACGKGPGLANINPLEVIAGKCNVVCISKDSRNPQPSDEELQMADYVFYRTFDVEQCIILDKIDDQIAEIDAKFIFNRVRSLEPNSGHTPGVDNKHASENAKETTERMTLRTSSYENRHPEDYKQEEVADGVAEKLAADDRQDNATFNKTASSLKVEEKSDLKVPSVNPVVSPREKPVSGGGTESGQPTKTDDRQGNTSCENIGLSHNIIDEKMDRVGNSFVSQVQVEEKLKFTEVLGESNERPRKKAKVDSSVNVSDDKNKIHVLKPNHDSDANTSKPIVPNFTASEDKSRHAIDPLGTAKESSKKLKVDDNVAKPTGGKSQKKPPVWNPNDGIKTSNKMIEVTRRPNSDKSNWFTELPWEETMREAYECGRLVLFQNLDPAYTSEDVESIVWSAFREICTAKMLHQTAYSSPHSGQAFAIFRTSEMAERVVRELDEKCLLLSNQRPLVARMPTVCFPKKQATFPGHLALDKLKSQREMKEAVSTSHASQPNTLQYEMAFEWRLLVKRSDLVWRQLYRCIFDISRTKRKLFCEASYQFGFLSRPSAYERWWKPDSTVFSQMLFLTKVRLCYLLNWIEYGKIKA >OMO61856 pep supercontig:CCACVL1_1.0:contig13399:6522:8869:-1 gene:CCACVL1_23188 transcript:OMO61856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQNQPTCPTCMTKDSCRTERSHAKLMKALIERGKPKEAQTIFDSLIKGGHRPSLVTYTTLLAALTIQKDFGSIHSIISQLEEYGMKPNSMFFNAVIRAFSESGNMEEAMETFWKMRESGLKPTTSTYNTLIKGYGTAGKPEESLKLVDLMSQEGDVKPNLRTYNILVRAWCKKKNMTEAWNVVSKMLASGMLPDVVTYTTIATAYSQNDETDRAVAMISEMQKNGVQPNELTCGIIIIGYCKEGRIKEALRFVYRMKELGVRPNLVIFNSLIKSFVDIMDRDGVDEVLTLMDEFRVKPDEFTYNTRATAYSQNDETDRAEAMISEMQKNGVQPNELTCGIIVSGYRKEGRIKEALRFVYRMKELGVRPDLVIFNSLIKSFVDIMDRDGVDEVLSLMEEFRVKPDVITFSTIMDAWSSAGFMGKCREIFDDMVKAGIQPDIHAYSILAKGYVQAQEPEMAEQLLTIMIQSGSRPNVVIFTTVINGWCSAGRMENAIKIFDQMCEYGISPNLKTFESLMWGYSEAKQPWKAEEILQMMKEYEVQPEKSTIFLIAEAWRATGLTDQANRILGTFKRNKEQKVETTKEIPNESSEEPYDKLTAMTSHANLLQILSVVAGDQKGSAVSLRRDRMVVRDADFPSNCSWLATKSMYLPLGCKFGVRYHPIVCRKQCQAQLGIYSQLTPSCRVVFLN >OMO61859 pep supercontig:CCACVL1_1.0:contig13399:33349:35854:1 gene:CCACVL1_23191 transcript:OMO61859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITQLPRFQYLVFRKPPPSFNIFPKPKLPNKFTSPKLFSVPKSTLSTTEPIPITHISLPEEEPRLEISLDKLFVPPETEVSCDDPNLSTRILKGSNIVLSKYARDAQVVQAEFVKSSVRTDDCPSDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFRINDKWYLVDLPGYGYAAAPQELRTDWSKFTKDYFLNRSTLVSVFLLIDASIPAKPIDLEYASWLGQNQIPMTLVFTKCDKRKKKKNGGKRPEENVRDFQELIRGFFQTAPPWIMTSSVTNQGRDEILLHMAQLRNYWLKH >OMO61860 pep supercontig:CCACVL1_1.0:contig13399:36608:53353:-1 gene:CCACVL1_23192 transcript:OMO61860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase, class-II MKNNNKSSSSTICVPGPIIVGAGPSGLAAAACLKEKGVPSLVIERSSCIASLWQLKTYDRLRLHLPKQFCELPLMGFPAGFPTYPTKQQFVDYLEAYARRFDIRPRFNETVSQAEYDPTLGLWRVRTTSSSVGEEEMEMEYVCRWLVVATGENAEAVVPEIEGMGEFGGDIRHTSLYKSGEEFRGKRVLVVGCGNSGMEVCLDLCNHNAKPSLVVRDTVHVLPREMLGKSTFGLSMWLLKWLPMRLVDRFLLIVSWLILGDTSRFGLDRPSLGPLQLKNLSGKTPVLDVGTLAKIKSGDIKVCPSIKRLKRHAVEFVNGKTENFDAIILATGYKSNVPSWLKEREMFSEKDGYPRRPFPNGWKGESGLYAVGFTKRGLLGTSMDAKRLAQDIERCWKEEAKHHEGALHIVEPSANASEAAKPNIKTIIGPIFRYLGLFFDNGLGEDSIRINHCSTPSRLVSGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCGACGYPAARLRKYNWSVKAIRRKTTGTGRMRYLRNVPRRFKTGFREGTEATPRKKAAAAAS >OMO61872 pep supercontig:CCACVL1_1.0:contig13399:122900:126741:-1 gene:CCACVL1_23204 transcript:OMO61872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDRRKRTTSPNKMPAQTMPTVKGKVTGDDSLSALDDSSSNSEVAKLRGRWELASVLNFLNVFEPVIGIDMKLTAEDIELGLVKPNASIAQLHIKLLKGIPPVSKLLNSSDAWVTALCKKLAMWWPWVAEGEIPITAHNGEEISRYKELDPTSRLILLKALCEIRADQVDTVSYINDALKSKKEISCFRKEKIGRNGNVSYWYDGNTVFGYRLYREINRTESQTKAKGKACSKVPTFCSHWETVAVDLEEFRGVADELLASKIASEVFIGQTIDSDAIPDVKKFQQKKERALKQKKKQEMMLNGLRCSSGAGVTRSCRIRRPISYTFDEYDRAIDEAIELTKRRKTVEEQKQEQRLVRQKFASNGVSDVEGAVSSGSSEGKGDSIVSDTEDDKLQQAGDDSFEEDADCSSMKDGDDNDGSDSGFSADEKENLVYKNHEKDTSRGSSWSDIPIHPDAGTGDVGGTKNRSRQRPVINSALDSVVLDSEDDISGEHTNSGVSSPENVPRVADLEEASDSE >OMO61863 pep supercontig:CCACVL1_1.0:contig13399:73956:75140:1 gene:CCACVL1_23195 transcript:OMO61863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHLSWVLPFLALAVVASQAALSPEQYWKYVLPNTPMPKVVQDMLLHPEKSTFLPRQIAQKIPFSSNKLPEILNKFSVTPGSAEAEMMKNTIKECEAPQIRGEKKYCATSLESMIDFSTSKIGKNVQAISTEVEKQTPLQKYTIAYGVQKISGDKSVVCHKQNYLYAVFYCHRSEKTRAYMVPLEGADGTKAKAVAICHTDTSAWNPKHVAFQVLKVEPGTIPICHFLPKDHIFLGPQVKA >OMO61862 pep supercontig:CCACVL1_1.0:contig13399:71361:72843:1 gene:CCACVL1_23194 transcript:OMO61862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRLCWVLAFLALAVVASQAALSPEQYWKYALPNTPMPKVVKDMLYPDMVEEKSTSVNVGGGGVNVNTGKGKPSGTSVNVGKGGVNVNTGKGKPSGTSVNVGKGGVNVNTGKGKPGGTSVNVGKGGVGVNTRKPGGGTSVNVGGKGGGVSVNTGHKKKPVIVNVGYSPFIYNYAATETQIHDDPNVALFFLEKDLHPRATMTLHFTENTETATFLPRQIAQRIPFSSNKLPEILNKFSVTPGSAEAEMMKNTIEECEGRQIKGEEKYCATSLESMIDFSTSKIGKNVQAISTEVEKQTPLQKYTIADGVQKMSGDKSVVCHKQNYPYAIFYCHKSETTRAYMVPLEGADGTKAKAAAICHTDTSAWNPKHVAFQVLKVEPGTVPICHFLPQDHIVWVQK >OMO61864 pep supercontig:CCACVL1_1.0:contig13399:77489:90638:-1 gene:CCACVL1_23196 transcript:OMO61864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAPRDRIDQFFASKKRKSLSPGLKSGRFEKNAKIAAESSPSAKGTLDNYLRTSQENNGIVHPSCTDRGHDPVKRNLTSEMDKSSKTDNEQSLLPAEVKSQNSGAFGDPGEGTAQDRENSELQQFATDFLSLYCSEIQSHAGSPSKPKVNDQKRHGSPSVLTEEDQRLKKINHISLQLQTEVGTVCSTKKNLEHEPGEFSLNPSREVSYKSVSGNMGSNLIELLGSLRKCTTETKPNLNAIECSTPGSSIIKACALRTPQSMRGSSMFSPGESFWNEAIEMADGLFSHNDVLSALVAEETDNRKSQNEVNNKFNSGNNILDDNLKDMPDECESRVKLKGVNTSLESAVKQKKDKEVTLLPVKHLDFSFEDKNLDESISYRTQRDSEVSECRLVNCKGPSTINTVTDPNEQQTIEEVQEEQKESASTHVVPKKEANLSSQDNNSITLTSPVGEAKKSSGNNECNETTTPLSSVALKDQLSLSSWLPIEICEIYKKKGIAELYPWQVDCLQVDGVLQRRNLVYCASTSAGKSFVAEILMLRRVVSTGKAAILVLPYVSICAEKADHLEVLLEPLGKQVRSYYGTQGGGTLPKDTSVAVCTIEKANSLINRLLEEGRLSEIGIIVIDELHMVGDQHRGYLLELLLTKLRYAAGEGMSESSSGESSGSSSGKADPSHGLQIVGMSATMPNVEAVADWLQAALYQTDFRPVPLEEFIKVGNTIYNKNLDIVRTIPRAVDLGGKDPDHIVELCNEVVQDGHSVLMFCSSRKGCELTAKHVSKFLKKFSVNVHGGNSEFLDISSAIDALRRCPAGLDPVLEETLPSGVAYHHAGLTVEEREIIETCYRRGFIRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYKQMAGRAGRTGIDTKGESVLICKPEEIKRIKGLLSESCPPLQSCLSEDKNGMTHAILEVVAAGMVQTASDINRYVRCTLLNSTKPFQDVVKSAQDSLRWLCHRRFLEWNDETKLYNTTPLGRAAFGSSLCPEESLIVLDDLSRAREGFVLACDLHLVYLVTPINVEVEPDWELYYGRFMELSALEQSVGYRVGVAEPFLMRMAHGAPIRTSNGLRDRMMTPRGKFENQIGIGNNTTLSDEQMLRVCKRFYVALILSRLVQEAPVGEVCEAFRVARGMVQAIQENAGRFASMVSVFCERLGWHDLEGLVAKFQNRVSFGVRAEIVELTTIPYVKGSRARALYKAGLRTPQAIAEASFPEIVKALFESSSWAEQESSAQRRMQLGVAKKIKNAARKIVLDKAEEARVAAFSAYKSLGYSVPQFSRPLVLSGSSGGVDATTTSVGDDGPDTVVGVDQIKNVNIVASAEINLATTLQCNSGMEIHAVSVEGPVTGNEVNTTIDQCRSVMMATTSGYQDQELQHGLNENLCIGNVDNACGKGPLNAVNTPGGIDSFLELWETAVEFCFDIYYNKRSEANSIAPFEIHGIAICWENSPVYYVNLPKDLMWAENRKTNFSSACISSDKHNSRPPEHILETAKLRWKRIGDIMEKCGIRKVTWNLKAQIQVLKSPAISVQRFGCMNVGVKDIGLEIVDNSCLLLPPVLINGGIDMCIAAWILWPDEERSSSPNLEKEVKKRLSNEAAAAANQSGRWKNQMRRAAHNGCCRRVAQTRALYSALWKLLISEKLIEVFTDIETPLVNVLAEMEVWGIGINMEGCLWARNLLGKKLRWLEKEAYKLAGMTFSLYTAADIANVLYGHLKLPVPEGRNKGKQHPSTDKHCLDLLRDEHPIIPVIREHRTLAKLLNCTLGSICSLARLSRSTQKYTLHGRWLQTSTATGRLSMEEPNLQCVEHMVEFSMSKDKNGSDVNVDQYKINVRDFFIPTQDNWLLLTADYSQIELRLMAHFSKDSALIELLSKPKGDVFTMMSALWTGRAEDSISTSERDQTKRLIYGILYGMGADTLAEQLNCTPDEAKEKIRSFKSCFPGVASWLREAISFCRQKGYIETLKGRKRFLSKIKFGNSKEKSKAQRQAVNSICQGSAADIIKIAMIKMYSVIVEGTDRLDAGSSVSTKFHMLKGRCRILLQVHDELVLEVDPSVIKEAVWLLRMSMENAVSLLVPLPVKLNVGRTWGSLEPFPADQFMANGVEDHVDENTKGNWLRCCLDRIVQQEASTIFLPSSR >OMO61871 pep supercontig:CCACVL1_1.0:contig13399:120921:122127:-1 gene:CCACVL1_23203 transcript:OMO61871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTRENRRRKIIERGADRLAFITGRVPNLPSQSHDPPDSNPPPPLSHGQDPPPNFSSHVAAGTLLLLLPSSVPIKMSPDANDKPFNPVFLKHDPVPDDGQSQMSACNGGIGKRSLSDRDQTSDESSKDPALDSSNEVNSLPVSFDDQSSFISTSGVRQHSETQTQKHNLFTTKQISSAIDASEKMRLLCSVVVAILVVLSHIGIPLLGNRFLGSIISFRPLYFVLLTNLTMVIARILFYNRGSSERVNREENRKNASADNNNWAEQLSKTFEVGLVVQKVIDAVFMDCSVYAVIVICGLSFT >OMO61868 pep supercontig:CCACVL1_1.0:contig13399:111127:111231:-1 gene:CCACVL1_23200 transcript:OMO61868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKLALGPSPTFADHGPQEPQVESNQHPCKIMV >OMO61867 pep supercontig:CCACVL1_1.0:contig13399:109552:109875:1 gene:CCACVL1_23199 transcript:OMO61867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKVWHRQSSGRETITLGRSYTQRRKEESSKPKWLTFWKKFRRERKNIFSSPVAFQGAAYDPDEYSQNFDQGTGWAEPDNLSRSFSARFADPSRISRRNHDFVSLI >OMO61858 pep supercontig:CCACVL1_1.0:contig13399:27702:32996:-1 gene:CCACVL1_23190 transcript:OMO61858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAQSQRSPSPSQPSGKSEVSDLKLQLRQLAGSRAPGVDDSKRELFKKVISHMTIGIDVSSLFGEMVMCSATSDIVLKKMCYLYVGNYAKVNPDLALLTINFLQRDCRDEDPMIRGLALRSLCSLRVANLVEYLVGPLGSGLKDNNSYVRTVAVIGVLKLYHISASTCIDADFPSILKHLMLNDSDTQVVANCLSALQEIWSAEASTSEEALREREALLSKPIIYYLLNRIKEFSEWAQCLVLELVAKYLPSDTNEIFDIMNLLEDRLQHANGAVVLATIKVFMQLTLSMTDVHQQVYERIKAPLLTLVSSGSPEQSYAVLSHLHILVMRAPYIFSSDYKHFYCQYNEPSYVKRLKLEMLTAVANESNTYEIVTELCEYAANVDIPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDYVTAEALVLVKDLLRKYPQWSQDCIAVVGNISSKNVQEPKAKAALIWMLGEYSQDMQDAPYILESLVENWDEEHSGEVRLHLLTAVMKCFFKRPPETQNALGAALAAGIADFHQDVHDRALFYYRILQYNVSVAERVVNPPKQAVSVFADTQSSEIKDRIFDEFNTLSVVYQKPSYMFTDKEHRGPFEFSDELGNLSIGVEAADNVVSAQRVEANDKDLLLTTSEKEETRSSSHNGSAYTAPYDGPATSVYASQTQLIETAISNPASAGQAQQASLAIDDLLGLGLPAAPSPPPPSPQLKLNSKAVLEPSTFQQKWRQLPVALSQEHSISPQGIAAFTAPQALLRHMQSHSIHCIASGGQSPNFKFFFFAQKAEESLNYLVECIINTSSGKAQIKIKADDQSTSQAFSTLFQSALSKFGSQ >OMO61861 pep supercontig:CCACVL1_1.0:contig13399:54897:63216:1 gene:CCACVL1_23193 transcript:OMO61861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYSDGSQKAQGARRAWWWGGNGV >OMO61870 pep supercontig:CCACVL1_1.0:contig13399:114776:117726:1 gene:CCACVL1_23202 transcript:OMO61870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEVEEMEKARTRKRPRLGWDVAPAGPEAQRALVTARRSSPPKRDDDHEGHYVFNLGENLTPRSKIASVVGTFGRVLECWDRQTRQYVAIKVVRSIRKYRDAAMIEIDILQHLAKNDQGTSRCVQIRNWFDYRNHICIVFEKLGPSLFDFLKRNKYHPFPVDLVREFGRQLLESVAYMHDLHLIHTDLKPENILLASSEYVKLPGYKRSSSDETHFRCLPKSSAIKLIDFGSTAYDNQNHSSIVSTRHYRAPEVILGLGWSYPCDLWSIGCILIELCTGAALFQTHENLEHLAMMERVLGPLPEHMIRRANRGAEKYFRRGSRLNWPEGAVSRESIRAVKKLDRLKNIVSQHVDSSRNSLSDLLEGLLKYDPSERLTARQALNHPFFKNELRN >OMO61759 pep supercontig:CCACVL1_1.0:contig13417:357:425:-1 gene:CCACVL1_23270 transcript:OMO61759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQACPLSFLVGFLDSTQYGQ >OMP11430 pep supercontig:CCACVL1_1.0:contig01298:1387:4068:1 gene:CCACVL1_00522 transcript:OMP11430 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MAAEASNPHRWIDPNSGFCPQTRTFHTLRPSVPLPPPSQPLSLPHYALSLLHSSSTPATTGGDTSFIVDITNGSNLSYSQFIAQVNSLAYFLREKYSLSQNDVAFILSPPSLHVPLLYFALMSLGIVVCPANPLGSVSEIAHQVQLTKPVIAFATSKTSSKLTSFKLGTVLLDSPEFLSFLTQPIVDNGIVNRVQHDTAAVLFSSGTTGRVKGVMLSHRNLIALIAGFYHLRQSHQTEEAPHPVSFFTVPLFHVFGFFMLARAFALGETAVFMERFDFEGMLRAIEKYKITYMPVSPPLVVALVKSDLTKKYDLSSLLLLGSGGAPLGKEVAERFKEKFPDVELVQGYGLTETGGGATRVIGPEESARYGTVGRLAENMEAKIVDPETGEALPPGQRGELWLRGPTVMKGYIGDEKATAETLDSEGWLKTGDICYFDSDGFLYIVDRLKELIKYKAYQVPPAELEQLLHSHPKIADAAVIPYPDEEAGQIPMAYVVRNSGSSITEAEVMDFVAKQACF >OMO59140 pep supercontig:CCACVL1_1.0:contig14052:15476:15562:-1 gene:CCACVL1_25053 transcript:OMO59140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASSTQVKILKFSRIKPSPDSPYSAP >OMO52680 pep supercontig:CCACVL1_1.0:contig15484:5874:5933:1 gene:CCACVL1_29117 transcript:OMO52680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAGRAGRSLGQRDPALT >OMO96341 pep supercontig:CCACVL1_1.0:contig07488:7424:9337:-1 gene:CCACVL1_04993 transcript:OMO96341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLDECSTDGDCESGLYCFSCSQGFSGSRCVRSTITDQFKLLNNSLPFNKYAYITTHNAYAIDGYPLHTPVPRVTFTNQEDMITSQLNNGARGLMLDTYDFDGDVWMCHSFGGQCHDFTAFGPAIDYLKEIEAFLSANTEEIVTLILEDYVGPSGLTKVFNDSGLMKFWFPVSNMPKNGEDWPLVSDMVTNNQRLLVFTSIESKEASEGIAYQWNYMVENQYGDGGMQPGSCPNRKESSAMDDKSKSLVLVNYFNSMSSKSASCKDNSGDLINMLHTCYGAAGNRWANFIAVDYYKRSEGGGSFQAVDFLNGKLLCGCDDIHTCVPGSTSGACTPP >OMO96342 pep supercontig:CCACVL1_1.0:contig07488:11636:12115:-1 gene:CCACVL1_04994 transcript:OMO96342 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone reductase complex 1 MLRQ subunit MGRWMKPEVYPLLGAMTFVTSLCIFQLTRNVFMNPAVRINKSDRSKAVLENYEEGEKYAEHGFRKFLRTRPPEVMPTINNFFSESK >OMO96343 pep supercontig:CCACVL1_1.0:contig07488:19290:19373:-1 gene:CCACVL1_04995 transcript:OMO96343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNSSIPHNASAITGAPIAVTGITPPCL >OMP05384 pep supercontig:CCACVL1_1.0:contig05414:6796:9845:1 gene:CCACVL1_01927 transcript:OMP05384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNPTDAMLEVRAAALNAAKRSSGGWQGYGLQE >OMP05383 pep supercontig:CCACVL1_1.0:contig05414:2531:2926:-1 gene:CCACVL1_01926 transcript:OMP05383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ctr copper transporter MKMGGQMTLFWGKNTADIFPGWEGGSLWSYLFGLIWVFLLSLMVERLSHTRFIKPGTHHVTAGLLQTSMYAIRVALAYLVMLAVMSFNVGVILASVAGYAVGFLVYGSRVFRNNSQLVAYEEPSDIPPLNC >OMO77398 pep supercontig:CCACVL1_1.0:contig10768:8232:11235:1 gene:CCACVL1_15022 transcript:OMO77398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mak16 protein MQHDEVIWQVIRHKHCSFMSKITTGIFCRNPYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHKPNDLWERVKLPRNYEKALEVIDKHLMYWPKLLVHKIKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEKKREARREEKAEKAAVLDKAIEKELLARLNNGIYDGIYNLPFNKFIDTLDADELQAEEENEEDAEVEYVEGDFEIEEEDDIEDLGMKDYHIGNDEVDDEDDEVDEAEERRVRRRSKSTHGKPDKDEPGTKLKKKARVHVEVEHDDGAERHKALF >OMO77399 pep supercontig:CCACVL1_1.0:contig10768:12064:17862:-1 gene:CCACVL1_15023 transcript:OMO77399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MVRKVKGKKKIMLLRISKRKRKDNRERKRLQSYYLTVWHGGRFVRDPYLRYEGGEKLRGPSDPETYNWKEITLLIGNQLKYQSYGNVYFHNSLCQTFSDGLQLLFDDTSVLRMIGIWQKVRLMTIYVEHDIVDDPVDPPLLLNISDVVGNDGGNFVDIEEVEGSNVIAEEGGGNNVSGVEAAEAVNEAAENVNGVSVEVEDVSPNIDGGSQGLSEIPVDLIDDNEEVLARNGIKKALLVRTKCLSDGEGDQELERGRELAFKAAGKVFRKLKKKGGKKAAGPSSVSVDDARVFIDILLRTPIRRLVDGGKGDLRGIRWRSRVELYFGGHVLKVFVGGHVWSCISEITCGIVRWRSRVECQLASDRVRLLVVIGGRAPGGRAPGIVGSAVSYSNIGNEYCCKRSEIMEEVKKQMKLAGPLIVVSLLQYGFLMISVMFVGHLGELSLASASMATSFAGMTGFSVMLGMGSALETFCGQAYGAKQYNMVGVHMQRAMLVLALISLPISLLWAFTAQIFIICKQDREISMHADVYARWMIPSILPYGLLQCLRRFLQAQNCVLPLMISTGIASLVHVLVCWTLILKLGFGNKGAALSIAISYWINVFILALYIKFSPTCTQTWTGFSIDGRKKLLAFLQLGIPSALMVCLEFWAYEGLVLMSGLLPNPMLETSMMSISLTITAMVFRILYGFGSAISTRVSNELGAGKPQAARVAVNVGMFLAVTQGLLLSLIGVAFRGKWGYLFTNEKQLIRYLASLMPILAVCNFIDGIQGALSGTVRGCGWQNLGAYINLGSFYLVGLPSAILFTFVFMIGGKGLWIGILCGSSLQAFLLTVITMRTNWELQAAKARERVYMSAQNFQEEENLLFNKDEKIKGLSALSNSTEMQDITI >OMO77400 pep supercontig:CCACVL1_1.0:contig10768:21426:21563:-1 gene:CCACVL1_15024 transcript:OMO77400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEPNQPVAQQSDLQAILHQQMQYCHQSRYFFLQPQSKSPVAYK >OMO96320 pep supercontig:CCACVL1_1.0:contig07490:45717:46223:-1 gene:CCACVL1_05000 transcript:OMO96320 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger protein 2-like protein MDYQPNTSLRLSLPSNQLNLELVLEPSSTSSSPHSPAEPRVFSCNYCQRKFYSSQALGGHQNAHKLERTLAKKSRELSSAVRTHGGSNSPSGSSSSGSISGSSHGQRVQSPVAPFDQHGRAGRFPAGEISYGRRDYGTSREGIGSWSKSYRPENVQEEFNHLDLSLRL >OMO96317 pep supercontig:CCACVL1_1.0:contig07490:17306:19618:-1 gene:CCACVL1_04997 transcript:OMO96317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHLLSVLIFFLLVNTCNSSNTFIALLDPLLKPSPFLSHELWYSSIVSSSSSSSIIHVYNAPIHGFSATLTPDQVKSIRKLPGILSLFPDRVLHLHTTRSPSFLGLELETPNPFILNTSQSNSIIGVIDTGIWPEHPSFSDHNLGPIPAHWKGQCENGFRFNHTNCNRKIIGARFFSGGYEAWFGKSGAPIIEFRSPRDSDGHGTHVASIAAGSPVTGSGFFGFAVGLARGVAPRARIAVYKVCWASGCLLSDICKAFESAISDGVDIISLSLGSSRLPFYLDLLSIVSYRAFSHGIFVAVSAGNEGPELASVANEPPWVTTVGAGTIDRDFPATIHLKNNVSIHGKSITLMQDDKLIRKYYYPVHSIGKFNSSSKFNFSGQLVEGKIVFCQAEGHVARLSLGAMLKRARAIAMIVSHGNVDPNGIVSEPHVIPTISIGGLEAKKIEKYILSNKNPRAKVCSQGTISMHAKPAPIVASFSSRGPNSLVPGILKPDIIAPGVNILGAWTDAMGPSGLALDYRRSSFNIMSGTSMACPHVSGAAALIKSNHPDWGPNLIKSALMTTSTNRKHYYDRHKQISGSLMHISDESTGNAATPFDIGAGHMLPIKAMDPGLIFDLGDQDYVSFLCGLNYTKEQLQIVTGKKSHCSNEQDTWQLNYPAIAVEAERVRHGPVVITRKVKNVNKGSRIYKAKLVGPKGYYKIDVKPKRLKFSGVSERLSFRVVVEKENGMQKGKNLWFGALIWREKGGKHNVKCPIVIFCGKEYLNGLKN >OMO96319 pep supercontig:CCACVL1_1.0:contig07490:39583:39750:-1 gene:CCACVL1_04999 transcript:OMO96319 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamyl-tRNA synthetase, mitochondrial-like protein MAFNWECDKFGFGSKLGKKKGGVLERFDGVGGFPISRITVIRGGFVEREREKTKL >OMO96321 pep supercontig:CCACVL1_1.0:contig07490:48102:50097:-1 gene:CCACVL1_05001 transcript:OMO96321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLKLSPISPRHHHCSLAKSMMRTTKLGAIMADLQDYDPTIIV >OMO96318 pep supercontig:CCACVL1_1.0:contig07490:30469:37414:-1 gene:CCACVL1_04998 transcript:OMO96318 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAWYMSNLFREEIQRIKANNQDISHKEAFSKTTKNKLMPSSLDYSSLQGYCSLRIIRVGTYGSPIPGNREELWRYMKDKSDSSSRPWALGGNFNTIASSADKSNFNSRDVNRCRKFQEQLYTGKEEDPINPGSPISFPTIPREELEFIHRPVSADEIEKAMFSIGPLKAPSPDGFQAIFFQKNWEIVKEDFISMVKEAVEKVRASRGGPEISHIFFADDLVLFSEANIEKVTVVKESLDDFSKWSRQTVSLAKSKIFITKNILRRLMRAICDASGIAKTDDLGRSTLIQSASGPIPYYIMQTTHIPIAICDEIDKLNRGFLWGSTPRKRRVHLMN >OMO86764 pep supercontig:CCACVL1_1.0:contig09420:18065:18136:-1 gene:CCACVL1_09476 transcript:OMO86764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSNFLKGVVKSRKEIYRDSMI >OMO75363 pep supercontig:CCACVL1_1.0:contig11050:3558:5996:-1 gene:CCACVL1_16191 transcript:OMO75363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVARNTAFAEKAKATAIGEVQADRCPNKKKSDINQRDDAGNN >OMO75365 pep supercontig:CCACVL1_1.0:contig11050:11444:13533:-1 gene:CCACVL1_16193 transcript:OMO75365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein MAGNAMAVICPSSLTLILLFAFTLAPVAHAIWLNVPTTGTKCVSEEIQSNVVVLADYVVVSEDHGLIPTISVKVTSPYGNNLHHRENVTHGQFAFTTQEAGNYLACFWSDSHSHGGGEVSVNIDWKTGIAAKDWESVARKEKIEGVELELRKLEGAVEAIHENLLYLKSREAEMRTVSESTNGRVAWFSIMSLGICIAVSSLQVLYLKRFFQKKKLI >OMO75364 pep supercontig:CCACVL1_1.0:contig11050:9119:10603:1 gene:CCACVL1_16192 transcript:OMO75364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLPDAIVQYILSHLNNARDVALCNCVSKRWKESLPYLRSLYFPRNSFDSHSGSDSPDTVIWKMLSTIVCLEELVVYSPFTSAGLASWLLLVHSSLKHLELRMDNITEHQTCLDAPSKLDCISAVKNLESLKLWGVLMANPPKWDVFKDLKSLEIVGARLEDPALSAALRACPNLTNLVLLGCEGIRSVSIELPFLERCKLDFYGVGNCSLSVTCPKIELLEVQGCSWIRVRETACLRSLSIANNAGRVYMVDFGKLSALEFLSMRGVQWCWDAISKMLELSSEVKHLYMKVEFTGDLETLLPFPEVDFVEFFNSHPKLQKFDIHGAMFAALCQKNSLKNVEPGFVIPCLEEVVVQVRSPLNAEQKMSTLESLLKYGKNLKTMVIRILQMKSSHSSTDDFFDEICRLRFMNRKVVRIE >OMP10526 pep supercontig:CCACVL1_1.0:contig02325:896:967:-1 gene:CCACVL1_00901 transcript:OMP10526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AHDSTLIVMDWYQDRVLDRQTSF >OMO83699 pep supercontig:CCACVL1_1.0:contig09865:3704:9548:1 gene:CCACVL1_11263 transcript:OMO83699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase/kelch, beta-propeller MPELVHIESPVMMPELVHGDQSNARDLAKEIVPCVESREEEEAKESNFFNDLPREVLSLQIVERLSYYDYNHFRAVNRICREVIPKKWWRNSLPLSPCLMTCKKGDSECQLIDPRLPKRNPWNNPNKFNDVRVCYSRDGWCVMLQGEENLFFWNPFTGEVIPIPPWPLLPGHTILGCVFSSSPDSPDCKLVLISDFIDVSIHYILVDGGDWNTYYAFKWSKNFKVSKNHNPVFYNEEVYLLDVEGKLGVFRKNFKFEVMEKPERPCISIYQSFLLEQGGKLLSVFVGLKGKWLRVFELNLSKMVWVEVDNLGDKTIYVSPRSSFSTAATMERMKNKTFCDIADMKNHVPMKSIPELRRRLIYPCSHGWLLLWEEDGDGCWLWNPVTLESKQLPSLALEPNQCIDLEINNGLNKALEIIAWMIRVLRRKIICSAFSKRRIGYAGRPLEEVTGVEVFKLNLVLGEWTKESGLVGNCIYFTVGGGDQSLYSFNMEDNSVSMFLPHPNLKAPWRSPRWIMPELVHREPPMLMMPELVHGEPNARDLAKEIVPCVEKSRKKKKQKNQIFLMTFLERICREVIPKKWWRNCLPLSPWLMTCKKGDSQCQVIDPRLPNRILWNNPNEFNDVRMCYSRDGWCVMLQGEENLFFWNPFTGEVIQIPQLPLFPGHTILGCVFSSSPDSPDCKLVLILEFIEVYIHYILVGGGDWSTYYAFKWLKNFKVSKNHNPVFYNEEVYLLDVEGRLGVFRKDFRFEILEKLQRPNCISIFYQSFLLEQDGKLLSVFVGLKGKWLRVFKLNLSTMVWVEVDNLGDKTIYVSPRSSFSTAATMERMKNKKTVMIRDCSWIDPRRWLEDK >OMO83701 pep supercontig:CCACVL1_1.0:contig09865:34823:40377:1 gene:CCACVL1_11266 transcript:OMO83701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKCRTKAMKIAAVADVLHLSSISLLGGADR >OMO83700 pep supercontig:CCACVL1_1.0:contig09865:31442:32191:1 gene:CCACVL1_11265 transcript:OMO83700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQKMVFMIKMSKPCDKCPKEAMQAASVPKKGTVESVAYKGAGDEKFMVVVIGDGVDSIGLTMKLRKKLRKSKATITLETVEEIKAEEKKPPAKAEEKKPPAKEEDKKPPAKVEEKKPPAAAAAAATPPQPHPQLGIYYPYYPETHQSSCVIL >OMO83698 pep supercontig:CCACVL1_1.0:contig09865:968:2424:-1 gene:CCACVL1_11262 transcript:OMO83698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLIPKSLDSTTSTEIHPLPLPITKARRENKEKSVFSERHNTLTEKYSDQNVNDSEDSGSEMGISLEKSLSDALGSFDNLFCRLCL >OMO55482 pep supercontig:CCACVL1_1.0:contig14682:24371:24565:1 gene:CCACVL1_27225 transcript:OMO55482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S28e MDSGVKNATVTKVIGRTGSRGQVTQVRVRFVGDSREITRNVKGPVRVGDVLTLLESEREARRLR >OMO55480 pep supercontig:CCACVL1_1.0:contig14682:4797:5897:-1 gene:CCACVL1_27223 transcript:OMO55480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MAISATIFPSHETSSSFLKISMANPMKFMIPFSQIKLVPKKSTQASSHSLIISNSIAPMAKDRIMSVSDPLSVFNFEDYMADKGKQVNQALIKAIPLKHPIAIHEAMRYSLLAGGKRVRPILCIASCELVGGDEAVAMPMACAMEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEETAILAGDALLSLAFEHVAENTNYVSADRVVRAIAELGSAVGSEGLVAGQIVDIKSEGKEVSLKELEFIHLHKTAKLLEASVVCGAIVGGANDGDVERVRKYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLVSDKATYPKLLGLDMAKKFAGDLMAQAVEELAYFDPAKAAPLYHLAKFIYNRDK >OMO55481 pep supercontig:CCACVL1_1.0:contig14682:8020:20680:1 gene:CCACVL1_27224 transcript:OMO55481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ia MATSPPFLLSSRSVYTLNPLLFSKRRHFCFPLSHSRFTSMKRRSFAVVASDNGVFTSPELAKSFDFTSEERIYNWWQSQGYFKPKFDRGSDPFVVSMPPPNVTGSLHMGHAMFVTLEDIMVRYHRMRGRPTLWLPGTDHAGIATQLVVERMLASEGIKRVELGRDEFEKRVWEWKEKYGGTITNQIKRLGASCDWTRERFTLDEQLSRAVVEAFVRLHEKGLIYQGSYMVNWSPKLQTAVSDLEVEYSEEPGTLYYIKYRVAGGSRSDFLTIATTRPETLFGDVAIAVHPQDERYSKYIGKMAIVPMTFGRHVPIISDKYVDKDFGTGVLKISPGHDHNDYLLARKLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWSELEETNLAVKKEPYTLRVPRSQRGGEVIEPLVSKQWFVTMEPLAEKALLAVEKGELTILPERFEKIYNHWLSNIKDWCISRQLWWGHRIPVWYIVGKDCEEEYIVAKSAEEALIKARDKYGKDVEIYQDPDVLDTWFSSALWPFSTLGWPDVSAEDFKKFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTLALGTAGQDLNLSTERLTANKAFTNKLWNAGKFVLQNLPNQDNFSGWQTIQAYKFDTEETLLSLPLPECWVVSKLHMLIDKATESYNKFFFGDVGRESYDFFWSDFADWYIEASKARLYHSGDDSVALGAQAVLLYVFENILKLLHPFMPFVTEELWQALPNRKEALIISTWPQTSLPRNNNLVKRFENLQALTRAIRNARAEYSVEPAKRISASIVANEEVIQYISEEKEVLALLSRLDLDNIHFTESPPGDAKQSVHLVASEGLEAYLPLADMVDISAEVERLSKRLSKMQTEYEGLKARLNSPKFVEKAPEDIVRGVREKAAEAEEKINLTKNRLDFLKSTVLVSQ >OMO55484 pep supercontig:CCACVL1_1.0:contig14682:33564:37843:1 gene:CCACVL1_27227 transcript:OMO55484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMLTNGKLFRIQNGIEKPPKFLRRKIFLSDEEDDSDAGGAAENGASEIKKAKAKKPKKQKVTVAEAASKIDAGELGAFLIDITSSYETQQDIQLMRFADYFGRAFASVSAAQFPWLKIFKESTVSKLVDIPLSNVPDDVYRTSVDWLNRRSSDALDSFVLWSLDSILADLASHQVVAKGSKKVTQQASSKSQVAIFVVLAMALRRKPDVLINMVPKMRENPKYQGQDKLPITVWMIAQASQGDLAVGLYMWVHVLLPMLSSKSSCNPQSRDLILQLVERIISSTKARSILVNAAVRKGERVVPPSALDLLMRMTFPAPSARVKATERFEAIYPTLKEVALAVSPGSKAMKQVAQQILKYAVKAAGEGIPELSKEASDTLIWCLTQNPECYKQWDAVYLDNLEASVAILRKLANDWKELSVKHSTLDPLRETLKSFRQKNEEALTEEDHDHALLKEAGKYCKLILGRLSKGHGCLKGVLLTSVVIVAGAAIMSQNVQFLDFHKLSAIFNLSKA >OMO55483 pep supercontig:CCACVL1_1.0:contig14682:25918:27202:1 gene:CCACVL1_27226 transcript:OMO55483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTPLLPQPQSQSQSQPLSPSASKLPVKRKTPFPHHQFLTPKLEPRPQFTHFASLDDDSPLSSSKPKPPPFKFHRIWTEPDEISFLHGLLHSYSLSFPKDLPIFYSSYSNSMSQPYTKSQLSEKLRRLRKKFRVISSRIARGLSLSSLSAHDQALFDLSTRLWSPEFASTSPFGKNSSGVNLGFAVDHENNGIVDGTTNDFEDCYLIDNNDVELKMVDEVNVNCDLGGGGVEVINGGGGIDGVVAKSVLNVFDECFKEVRMAFAMQGAGAVWMDAMERRWKQQRVSELDVFGRRLRLIMENSLSKNGETFHTRWLLSCDFNSHYDDQFENAYGSGLKRLFDSNICKFHIFSWNFNVELFDQ >OMP11302 pep supercontig:CCACVL1_1.0:contig01397:533:6561:-1 gene:CCACVL1_00578 transcript:OMP11302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSDGRLSSNFSQASSVKDFAENALFDASQYEFFGQNAMDEVELGGLEDGEENASVFASAEDDEYHLFDRGEVAGLGSLSDMDDLASTFAKLNRVVTGPRNPGVIGDRSGSFSRESSSAAEWAQDGEYVNWLDQNMFDSEDGQDGKRWSSQPQPSSARAPESKPLYRTSSYPQQPPQPHHFSSEPIVGPKSNFTSFPPPGSRGQSSPGHLKIPALTSGSQSPFSTASLSPLSNSSLHLAGLSHGLHYGGNMAQLTSPGLSFSSRSQNHWVNHSGLLHGDHAGLLHNMLQQQIPHKNGLMSPQLMSPQQHVQQQRLHHSVQPSLAHFAALQSQLYNAHPPSHKMMLGMADLRDQRTKSSQRNRQSMRFSQSSDTGSQKSESALVQFRSKHMTAEEIESILKMQHAATHSNDPYVDDYYHQACLAKRSSGSRAKHFCPSHLKETHSRSRGSGDHSSHLHIDALGKVPLSSIRRPRPLLEVDPPLGSGDGGSEQKTERPLEQEPMLAARITIEDGLCLLLDVDDIDRLIQFSQPQDGGAQLRRRRQILLEGMAASLQLVDPLGKVGHAVSCSPKDDIVFLRLVSLPKGRKLLIRFLQLLIPGSELIRIVCMAIFRHLRFLFGGLSSDQAAAETTSNLAKTVSMCVNSMDLRALSACLVAVVCSSEQPPLRPLGSPAGDGASVVLKSVLERATQLLSHPSGNCSMPNYAFWRASFDEFFALLTKYCVSKYETIMHSMHNQTEPSTEGIGSEAIRREMPCELLRASLPHTNEAQRKLLMDFSQRSVPMNGSNSHAGSTSQINSESVRG >OMP08161 pep supercontig:CCACVL1_1.0:contig03959:6367:6495:-1 gene:CCACVL1_01154 transcript:OMP08161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ICYITSKKRPTVRPHDEVGGKTAGSLIIKGGHFDQLGPTTKL >OMP08160 pep supercontig:CCACVL1_1.0:contig03959:2394:4753:1 gene:CCACVL1_01153 transcript:OMP08160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAIKSGALVTLPELQPSSEFFKEGASLRVTGKLQEYSAETAIAVIADESATLRIDTQHLRELSFRVGSIFQFIGELHIQPNNEAILQARTGRNVDGIDLDLYHQSLQLVRQFQAERMSNSTT >OMO88828 pep supercontig:CCACVL1_1.0:contig08920:107:956:1 gene:CCACVL1_08180 transcript:OMO88828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKNIDKAPKFQKRVTPLTLQRKRARIA >OMO85886 pep supercontig:CCACVL1_1.0:contig09561:7192:8052:-1 gene:CCACVL1_09936 transcript:OMO85886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQEATARSNRRKIREPPSIPFLWEERPGIAKKDWKPEVSSVTPTLPPTPIKLIASVPFNWEEKPGTPLPSYSQPADVAPSPMLITLPPPPVYSPACFNGCHGNDDKDDEWEKQQVMFEMDLEAFGFETDDSFSSAPSLLANCLVASTVISTAVPAQKTYQTDSSDHLGTPSSPASETDSTSSYATGTSSLVGASFLECLFPLLPSNSNFLEKARYQNHHGSQAQSDFDCESNNAVAIRRPQTLGELIMMSRRMSLQRKVVQMRERHLSMVTLNLRPVSPLLLPY >OMO85888 pep supercontig:CCACVL1_1.0:contig09561:10586:11080:1 gene:CCACVL1_09938 transcript:OMO85888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, AN1-type MDSEESMVPKLCLKGCSFHGSPQTDNLCSQCYKDFLKTELQQNQNRNPIDQNPLISTTNQPPKFCFTFNDPFRVNTIDTSCFSFGSTNSNNSGAAVLTNTKNRCDTCNKRVGLLGFDCRCGNVFCGKHRYPEEHGCCVDFKAIGREALVKENPVCKGDKLQFRI >OMO85887 pep supercontig:CCACVL1_1.0:contig09561:8802:9436:1 gene:CCACVL1_09937 transcript:OMO85887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVALILRQAIGREALIKENPVCKDERDDEKKQVENDVDILISGTYHQVSWIFSKARQCPLQR >OMO85885 pep supercontig:CCACVL1_1.0:contig09561:3888:6572:1 gene:CCACVL1_09935 transcript:OMO85885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MTLTRSNPNPNPQPELPPRKPTAATAMRLIVPLQGVVQGRGGLIFGSLIPCALFYFLQLYLKRNRSSNSRSNPPSPSTSSSNLAEMTRTSSRSNLLSRGSIGPVRISARATPIAKPNESPYYIGWDKASEDPYDRISNPDGIIQLGLSENRLSFDLIEKWMSENLRDSIMGGEGADLSISGIATYQPFDGTMELKMAMAGFMSRIMRGAVSFEPSQMVLTAGTTPAIETLCFCLADHGNAFLVPAPYYPGFDRDVKWRPGVELIPVHCRSTDNFTLSISALDQAFNQTRKRGTKIRGILLSNPANPVGNLLSRETLYDLLDFAREKNIHIISDEVFAGSMYGSEEFVSMAEVLDSDDFDKNRVHIVYGLSKDLSIPGFRVGTIYSFNENVLAAARKLTSEKGELELWDKLLNVAKVNVTPGSACHCIEPGWFRFCFTTLIEEDIPVVMERIRKVAETRTS >OMO85884 pep supercontig:CCACVL1_1.0:contig09561:296:2249:1 gene:CCACVL1_09934 transcript:OMO85884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MAGIVLCGLITDACGSHSIVGAFMLGVVMPNEDIIKRKIVDKLDDFVAGILMPLFFLTNGLRTNARYMASKTSWMMIWMVIVVSWAAKVVSVFIVTLFYRMPPKEGLVLGLLMNTKGVLALIIINTGRNIKALNNQSYPLMVLSILAMTALIEPIISFTYKPARHIVEHKNRTIQRLETNSELKILACLHSMRNLMGTLGLLDLSHSPNQTLISVIAIHLLELSERATTGMLIVHDEYRGTGTDSSRAKTETSQIVSAFENFGQIYEGMTIQTMTVVSPYTTMHMDICSLAEDKCVNLIVLPFHRELKPDGKIGDGDPNFTEVNENVFINAPCSVGLLIDRGIGSKRFDSQNISAKFAGQIAMVFIGGPDDREALAYAWKMAAGHVQVHLTVARFLPAKDPMKSNLENKIPNEVDREKQIDDDYIEELKLKTSMNNIVWINFVDKIVNSGDETLAALKAMGDGFALYVIGRRKGEESLVTSGLSDWGCCPELGTLGDTLGSSNFAWQSSILIVQQYISNDGNDDTSEDSCLVARDRE >OMP01027 pep supercontig:CCACVL1_1.0:contig06558:1904:2905:1 gene:CCACVL1_03191 transcript:OMP01027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIIALALAAKHSKSVKSITLVAPPYFPSKDGVEFTVMNRLTPKRLWPPHAFLSSIMAWYEHLGRCFCLLVCRNHRAWETLIKRLTGLRELNFMILDLMKHTHHSGWHTTHNVLYRGVKLMDQNLETLMKSRTKVHVVMGTKDKSVPPDCANNMKKKFPAVELNNIANAGHRSVVFRREKDFAEDLFKIWENAAASHHHES >OMP01029 pep supercontig:CCACVL1_1.0:contig06558:24164:26539:1 gene:CCACVL1_03193 transcript:OMP01029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MSIRLGYVPAIVVSSPHAAELFLKTHDVVFASRPKIQASEYLSYGSKGMAFTEYGPYWRTTRKWCTLHLLSASKVEQFAPVRKAELRSLVETLKEAAAAGETVDLSQKVGEVVENIMFKMIFGRSNGTFSLKPVIEETLHLVGAFNLSDYVPFLAPFDLQGLTRRLKISSKAVDEILEKIIDEHEQQMTNPEEQQLHRDFVDVMLSLLNKPMDPHDEEHKCIIERTNIKAILLDMVAAAFDTSATAVEWTMAELLRHPRVMFNLQQELENIVGRNRIVEESDLPKLTYLNMVIKESLRLHPVAPLLVPRESTKDITINGYFISKKSRILVNIWGMARDPNVWSDNAEEFFPERFKDSNIDLRGNDFQFIPFGSGRRGCPGMQLGLVNVRLALALLVHCFEWQLPNGMLPSELDMSEKFGLSLPRANHLLVKPTYRLRA >OMP01028 pep supercontig:CCACVL1_1.0:contig06558:4307:7194:1 gene:CCACVL1_03192 transcript:OMP01028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MSTTTTFLRNLTRKCSNDLLSASNFIVFSYLDCLDSMFCPIFKYLDEYFEGKASPCYCSNKAQLEENGVGTKESELSETLYRRKNVFRDMVNNLGFLKQFGNEETGSADSGSLLGNRWSECGCDSCVSWMKNGDQKLHVVVREPSPKAATKDSEVKKPVENVIFLHGIFSSSLFWTQTVFPNLSESMRNNYRLFAFDLLGFGKSPKPRGILYTLRDHVESIEKSLISPFQLNSFHIVAYSMGSIIALALAAKHSKSLKSITLIAPPYFPSKDDGASLAALNCLAPKTIWPPKAFLTAFMSWYEHLSRCLCYIICRHHRAWEVILKLLTRRRKLPFMLTDMVQHTHHSFWHNMHNVVCGGANFTDENIRTLIKSGAKINIIIAKRDKVVPSVSGKIIMEKFPNLKVDFANAGHRSVVLKREKEIARKLEQIWQNGRPNPYYMPEN >OMO60900 pep supercontig:CCACVL1_1.0:contig13647:9073:11482:1 gene:CCACVL1_23813 transcript:OMO60900 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex, subunit Nhp2, eukaryote MLAVAPIAKPLAGKKLCKKTLKLVRRAAEHKCLKRGVKEVVKSIRRGNKGLCVIAGNISPIDVITHVPILCEEADIPYVYVPSKEANTITRCPENSGVVLGNILVNYVHDLATAGSTKRPTCCVLVQTKPTKGELGPEEEEKLKADYSQIVADVTELTSTLF >OMO60907 pep supercontig:CCACVL1_1.0:contig13647:64715:67367:-1 gene:CCACVL1_23820 transcript:OMO60907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFELFNSIDWESESYPELHDFLPLPFLALFFPAARFLLNKFVFENLARRLVLGKGYTLRDFNTHDNRKKLDKFKESAWKCVYFFSSECLAIYVSYREPWFTNTKYFWEGPGDQIWPEQKMKLKLKGYYMYAGGFYMYSLFALIFWETRRSDFLVSLLHHIATIILIVPSYLFRFARVGSFALALHEGSDVFLEIGKMSKYSGLEWLASLGFILFVLSWTILRMILLPFWVIWSTSYEVLLTLDKEKHMVDGSIYYYLFNTLLICLLVLHTYWWILMIRVLIRQIHSGGQVDDVRSDSEGEDEHDD >OMO60906 pep supercontig:CCACVL1_1.0:contig13647:59177:59314:1 gene:CCACVL1_23819 transcript:OMO60906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGKPMQRIDLESRADLQGKQIEKSRTISCSQKKDYGYGVVPSL >OMO60902 pep supercontig:CCACVL1_1.0:contig13647:39981:41495:1 gene:CCACVL1_23815 transcript:OMO60902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQQLSYRVLSRRNPLFSSASILTNASFGLVSVKRVSQPWVLAVVSSLRSLWAGSEFWEQKSIALNSLEMSFWARVHWKQRSGGLSLWRRRRRCLEEKKVNKRRKRKYKGEAVAKGQGATVLVEGMLGFRFEGEEGGERRRERHDLKRKKSWRR >OMO60903 pep supercontig:CCACVL1_1.0:contig13647:45531:49904:1 gene:CCACVL1_23816 transcript:OMO60903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-arginine deiminase, Porphyromonas-type MEMKGTPSLLGYHMPAEWEPHSHCWMGWPERSDNWRDNAVHAQRMFTKIATAISKFEPVTICASAAQWTNARSQLPPNIRVVEMSMNDSWFRDTGPTFIVNRRESSSGTPENKIAGIDWTFNSWGGADDACYTDWSLDLHVARKILAIERLPRFSHTMVLEGGSIHVDGEGTCLTTEECLLNKNRNPNMTKEQIEDELRAYLGVKKIIWLPRGLYGDDDTNGHIDNMCCFAKPGVVLLSWTDDETDPQYERSVEAFSVLSNETDASGRKLQIIKLHVPGPLFMTDAEAAGVAQDGEAKPRLPGTRLAASYVNFYIANGGIITPQFGDQKWDDEAVRVLSQAFPDYEVVRIEGAREIVLGGGNIHCITQQQPAI >OMO60905 pep supercontig:CCACVL1_1.0:contig13647:57450:58199:1 gene:CCACVL1_23818 transcript:OMO60905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MLKVCSGGDGFPTNWARACDTCQAAACTLFCHTHAAYLCNDCDQQIHAADSMALSHQRVWICTVCENAPAAVTCRADAASLCINCDVEIHSVNPLARRHTRVPIPPLSGLACSTSNTYQDELPGLMFDMANEIAAAPEMNEGIDEDETDSWLLLEPDNTDNQTVSGFTYGEQVDEYMDVMDSCTEYQCQEQCSDQQQLPSFNFPEDSGSDSVVSVRTFEPKKQSPQRGETIAAAANIPAVSEYLLQHRT >OMO60908 pep supercontig:CCACVL1_1.0:contig13647:70774:71013:1 gene:CCACVL1_23821 transcript:OMO60908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSNSYDSAVSINSESWHWNASNECLLGALVVIIMVMALLFFKYSCSRLRPEIPIPPTHQYESDQKAEQVKNAVAEGDE >OMO60904 pep supercontig:CCACVL1_1.0:contig13647:50898:54932:1 gene:CCACVL1_23817 transcript:OMO60904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTFSGLNALYDAVNGGGDVWINDNRFRIVRQLGEGGFAYVYLVKEVMSDSSASSGLAKKVKDPSHLSDDGTYAVKKVLIQNNEQLELVREEIRVSSLFNHRNLLPLLDHAIIAVKPTQEGSWNHEAYLLFPVHLDGTLLDNSTAMKVKKEFFSTTDVLQIFRQLCAGLKHMHSLDPPYAHNDVKPGNVLLTHRKGQPPLAILMDFGSARPARKQIRSRSEALQLQEWASEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIVNAQIKWPAGPKPPYPDALHQFVTWMLQPQAAVRPCIDDIIIHVDKLISKFSK >OMO60901 pep supercontig:CCACVL1_1.0:contig13647:12280:18932:-1 gene:CCACVL1_23814 transcript:OMO60901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase MAQDTSSAAESPRPRSGLLRDQVQLVKKKDSDRYEIVPIEDPLSFEKGFFIVIRACQLLAQKNDGLILVGVAGPSGAGKTVFTEKVLNFMPSIAVITMDNYNDSSRIIDGNFDDPRLTDYDTLLENIHGLKAGKPVQVPIYDFKSSSRTGYRTVEVPSSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIRNKFNPFTGFQNPTYILKSTRLVTVDQIKDVLSEEHKETMEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIATILKRSSHVFSDDKVCVKIDWLEQLNRKYVQVQGRDRLFVKYVAELLGLEGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDIVSSPKEALSRASADRRMKYLSRISQSYTNQRDKNLPKLTRLAINSRRFDGRAPESPAPLVNPGVVTQLSEQISTLNERMDEFTSRIEELNSKFSTRKVSASQQNLAVQAEACNGSGPTSLFVTGLGNGSLTGSLMPHSSSSSQLARESPVMEEVLLIARGQRQVMHQLDNLTNLLHEYRGERSRQERVDRTNRTIDFETITVPLILTIAIGGLGVFLLRSMTSQK >OMO77854 pep supercontig:CCACVL1_1.0:contig10706:11708:12914:1 gene:CCACVL1_14762 transcript:OMO77854 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA processing MKNRKANGKGSGDGIEIGGPKPMSMKKNNMKRLGGSGLSLQAFANAKSTSSGYNPALIKQRREFYKNAKYVKKYKRSLKQQSQGNDAPSAIKPPEDENEDADGIRTSKKNKKKKSGSYSLKELYEKQREEKEKERMEREAIIQAKKEQKEKAEAQRKAGKKRMFKRTTHGQPVMKYRIQNLLQSIQSSSGASHSKS >OMO77853 pep supercontig:CCACVL1_1.0:contig10706:2129:3448:1 gene:CCACVL1_14761 transcript:OMO77853 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MADIHDHQFSVLFGLLGNILSFLVYLAPLPTFYRIFKKKSTEGFQSIPYSVALFSAMLYLYYAFLKKHDALMLYTINSVGCFIESFYLFVYLLYATKSARIYTTKLLLFFNFVLLGLIVVGTFVFSKGSQRVSIVGWICAVFSVCVFAAPLSIIRLVIRTKSVEYMPFSLSFFLTLCAVTWFLYGFALRDYYIATPNILGFTFGITQMILYLIYRGNNGSKEIMLPEIIKPHEQANGVVQISVINQPQEAAAANGVLGGIIGNSQIVPSELNV >OMO77855 pep supercontig:CCACVL1_1.0:contig10706:18480:21560:-1 gene:CCACVL1_14763 transcript:OMO77855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKMAYSFPDEVLEHVFSFIQTDKDRNTVSMVCKSWYEIERWCRRKIFIGNCYAVSPRMVIRRFPEVRSIELKGKPHFADFNLVPDGWGGYVLPWIAAMAGAYPWLEEIRLKRMVVTDESLELIAKSFKNFKVLVLSSCEGFSTDGLAAIAAGCKNLRELDLRESEVDDLSGHWLSHFPETYTSLVSLNISCLGSDEVSFSALERLVGRCPNLTTLRLNRAVPLDKIANILRRAPQLVEFGTGTYAAELRPDVFSNLAGAFSSCKELKSLSGFWDAVPAYLPAIYPVCSKLTSLNLSYATIQSPDLIKLVSHCPNLQRLLVLDYIEDSGLEALASSCKDLRELRVFPSDPFGAEPNVSLTEQGLVAVSLGCPKLQSVLYFCHQMTNAALITIAQNRPNLTRFRLCIIVPRTPDYLTLEPLDVGFGAIVEHCKNLKRLSLSGLLTDRVFEYIGTYAKELEMLSVAFAGDSDLGLHHVLSGCESLRKLEIRDCPFGDKALLANAAKLETMRSLWMSSCSVSYGACKLLGQKMPRLNVEVIDERGPPDSRPESCPVEKLYIYRSVAGPRFDMPPFVWMMDDEESALRLS >OMO77856 pep supercontig:CCACVL1_1.0:contig10706:22011:35146:-1 gene:CCACVL1_14764 transcript:OMO77856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQPNKKGRRPYSKQMQLGLAGWGLVPSNLKQKYSQPLTKPQMATPISPGRQRSFCRTPLHAWRLKHANFVEIFNRGRSRGGRLRPPPSIDPVFVSLRAGGLGGITNKQASKAYSKVQAIPLEGDERFPENRASSRATRIMRFLAPPLSPTHHHTLSAPKISSVFPYDIFPSKSRPPLCLPPQSLTYTRFLRLRFVANG >OMO77858 pep supercontig:CCACVL1_1.0:contig10706:40741:42639:-1 gene:CCACVL1_14766 transcript:OMO77858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKSIIQDMKEELGSISRKGFDVKFGYGMRSRSHRVVQDSSVAVDAFKQSCWANMPPELLRDVLMRIEASEGTWPPRKNVVACAGVCRNWREIMKEIVKTPEISGKLTFPISLKQPGPRDSLLQCYIKRNRSSQTYYLYLGLNQASNDDGKFLLAARKCRRPTCTDYIISLNGDDVSKGSSTYIGKLRSNFLGTKFTIYDAQPPNGGAKVTKSRSTRLINVKQVSPRVPAGNYPVAHISYELNVLGSRGPRRMQCVMDAIPASSVEDGGVAPTQTEFLHSNLDTFPSLPFFRSKSTRSESFQSGPLSVQKDGMLVLRNKAPRWHEQLQCWCLNFNGRVTVASVKNFQLVASPENGVAGQEHENVILQFGKVGKDVFTMDYQYPISAFQAFAICLSSFDTKIACE >OMO77860 pep supercontig:CCACVL1_1.0:contig10706:52697:53195:-1 gene:CCACVL1_14768 transcript:OMO77860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVPADIMWSEYIWFESSDF >OMO77862 pep supercontig:CCACVL1_1.0:contig10706:57728:58120:-1 gene:CCACVL1_14770 transcript:OMO77862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFMAYFASLALSSSQLHVEHSTISAAPAFLPSSPLSSPPSLPPDIEPIFPTPKGMAPSPTDESVPTIPSSPSPPNPDNLLAPGPGFALSPSGSLPASSSVSLTSARALNFKLFLGFLVVYLLQQQPSGV >OMO77857 pep supercontig:CCACVL1_1.0:contig10706:38934:39364:1 gene:CCACVL1_14765 transcript:OMO77857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGGPGGGPAGWGGGPGGPGGWGPGPGGPGGFGPGGPWGPGPGGPWGGGPGFGGPGFWPGGFFGGFADGLCNMISSCFYCLCCCWVLQDCFGGPRGGYGPPGPGGPPPF >OMO77859 pep supercontig:CCACVL1_1.0:contig10706:46866:52353:1 gene:CCACVL1_14767 transcript:OMO77859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Na+/H+ exchanger MALGDEDASVDSITLFVALLCACIVIGHLLQKNRWFNESITALVIGLCTGTIILFTSEGKSSHILVFDEQIFFMYLLPPIIFNAGFQVKKKQFFRNFATINLFGAIGTLISFVIITTGSMKLFKILDVGFLDVGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSIVLFNAIQKFDLSNLNSSIFLEFFGNFIYFFLTSTLLGVAVGLFTAYVIKKLYIGRHSTDREIALMILMAYLSYTMAELFSLSSILTVFFCGIVMSHYTWHNVTESSRTTTKHTFATLSFISEVLIFLYVGMDALDIEKWKFVSKSPGTSAGVSSILLGLVLVGRAASIFPLSFISNVIKQSQSDKITFKQQAIIWWAGLMRGSVSIALAYNQFTRAGHTQLRGNAIMITSTITVVLFSTVVFGLLTKPLIRLLLPRKQLHANGHSADSESSLSKSMDLRLPLVANGHSADSERGGESVDSIPRPTSLRMLLATPARTVHYYWRKFDNRFMRPVFGGRGFVPPAPGSPTEPLLR >OMO77861 pep supercontig:CCACVL1_1.0:contig10706:54689:57073:1 gene:CCACVL1_14769 transcript:OMO77861 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MVVEMMNKMGTSRWWWFESHHNGPKRSPWLQSTLSELDSKTKAMLKLIEEDADSFAQRAEMYYKKRPELISLVEDFYRAHRSLAERYDQVKSDPGTRLVTTLGSPFSSMKYCAEKTTNVMDKAYDSYSESFETEDYAESEVDDPELEDEETEEEVDNPQQEDKYETGTVIKEVDVQKENGTLLDQKVTEVSRDIYNDELMKLREEVERLKEENKVHKAQLMQKDEEKLEVIRQLSLAVQVLKDENMELRKKHTAVKETPKKWSPLEIQQTQGRTFRDVFQRMFKVY >OMO50706 pep supercontig:CCACVL1_1.0:contig16070:3945:10734:1 gene:CCACVL1_30310 transcript:OMO50706 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MNKLEEGGGITNPNSSSMGIAHHTSSDREKLNRLFDPLVMPLGPMTRARVKRYSIAGLIEDLGPMNEERLLCEGTMENQQGEQNQANLATMLKTLMQHLDNMDTKFDALANDVQQVKDGQNQQAQPPQQGVNAANNNERIQLPPPRQVVPRIDPMERLRQQELGGQAINEHMRPRRGVEREREEPKDNIKYKIPKFNGRGSPSDYLEWESKLDMYFDYHPHAEPKKVQIATLEFTKNALNWWNQLVQSRRRNLERPIDTWLSLKSFMRKRFVPSFYTTSLYQSLKSLRQGTRSVDEYYSEMMLLMSRADVDEAPQATMARFMAGLNREIHDIVEMQQHYDVEELLQHALKVEGQVKRNSAKKSFASSSSSWKTPIKKDGKSSNKEKRYGHYAKDCVNKKVMYFNEHDEIVSEDEEFALGSSGDGGDERGFAYDNEDDDDDGNTPALLNLVARRTLSAYVKGDVHYQRENLFHTRMTKEKSKIVSTSVNCVDGKSDLVDKHASSKKIAKECMFATKSEIKEALNDNSVLILLLLKNTLIPSGLPPIRGIEHQIDFIPGVQIPNKPAYRTNPEETKELEKQVGKLLQKGFVRESLSPCAVPVLLVPKKDGTWRMCVDCRAINNITVKYRHPIPRLDDMLDELHGACLFSNIDLKSGYHQIRMKEGDEWKTTFKSKLGLYEWLVMPFGLTNAPSTFMRLMNHVLRAYTGKFVVVYFDDILVYSRNLDDHVEHLRWVGIGAVLMQGGKPVAYFSEKLNGAALNYPTYDKELYALVRALQTWQHYLWPKEFVIHTDHESLKYLRGQQKLNKRHAKWSEFIESFPYVVRYKQGKENVVADTLSRSENSGFGKYYKHCGFLFKESRLSVPSCSLRIMLMKESHEGGLMGHFGVDRTYDILHEHFFWPKMRHDVGKYVASCIVCLQDKSTSKPHGLYTPLPIPHEPWTHISMDFVIGLPRSMRGKDSIFVVVDRFSKMAHFIECTKTDDAINVANLFFKEIVRLHGMPRTIGLIKKNLRTWEDCLPHVEFAYNRSIHSTTGCSPFETVYGFNPLTPLDLLSLPLSVQVDIDGQKKTDFVKELHARVRAQIEKKTQHYMKNANKGRKEIIFEPGDWVWLHLRKERFPEKRKSKLLPRGDGPLQVLERINNNAYKLDLPSEYGNGDGMMRQGLIMDMKSTMEIIERLIMDLKEAWKNLKKKLEEEGGIANPNSSSMGIAHHTSSDKEKLNRPFDPLVMPLGSMTRARAKRFKEALLGFVRTHLEGLKSIEDQLKSIEDHKPRNIPNDFKLCTLLEIVEP >OMO50707 pep supercontig:CCACVL1_1.0:contig16070:11500:12156:-1 gene:CCACVL1_30311 transcript:OMO50707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNYWLEEKEFSEIVKGMWDMEHNQHDNEWEVWLKLRRLKPSIKDWLSRSVFGKAKKIQEMEEIIQELEVSRRDNVNDEELRNEIIVKLKSELWKLYRVEELEWQQKSRLRWNKEGDRNTRFFHLMASSRNRTNAIKSLIHEGLLIEEPEEIKEVVADYFEGHYNKKLALKVSDMDGDFKRISDQMNDWLQRPFTETDVFEAIESCDGNKAPGQMVLT >OMO50708 pep supercontig:CCACVL1_1.0:contig16070:17246:31495:1 gene:CCACVL1_30312 transcript:OMO50708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MDESQKSRSETPTYTASASSKNNDNHIPDAQNWVEMEMEMEMDVMKLGPQQRHVFIEKLIKNIETDNLYLLHKIRKRLDRVGIELPKVEVRYKNLSVEAECDVVYGKPLPTLWNSLRTMVSYPVAKLIGSKSHQARVCLISDISGILKPGRMTLLLGPPGCGKTSLLKALSGNLNQSLKVRGEISYNGYKLEEFVPQKTSAYISQNDLHIPEMTVRETLDFSANCQGVGNRAEIMMEVRRRELEAGILPDPIIDAYMKATSVQGLKGTLQTDYVLKILGLDICAETFVGDALRRGISGGQKKRLTTGDIVVGPIKTLFMDEITNGLDSSTAFQIVACLEQFVHMTDATLLVLSEKDQAQYWYNNDLPYSHFSVDMFSRKFKETPLGKTIDQDLSDPYNKLQSHKDALSFTRFSISKWEIFKACMSRELLLLKRNSVLYIFKIIQLVILACITMTVFYKTRMDVDVIGANYYLAALFYTLMILVVDEYPEVYMTIAKLPVFYKQKMLCFYPAWAYSLPSVILKLPMSFLQSLIWTSITYYVMGYTPQVSRFFRQFITFFAVQSSAASLFRFVASLFQTFDSSLAASGIIIFLHCNVARLDEVALLGFSYVICRDRPLWNRISCSTLATESKRAGKNDYSPLKCKTINAAPGSSRVIVSHEKLSEVHKRDSDAKNHWHSSNDQEADSKGKGMMALPFEPLTLTFQDVHYFVDTPLEMRKRGHAQKKLQLLSNVTGALRPGVLTALMGASGAGKTTLLDVLAGRKTIGYTEGVIKVGGYPKVQDTFARISGYCEQNDIHSPQITVQESLVFSAWLRLPPDVDSKTKHEIVRQVLETTELDHVKDALVGIPGITGLSTEQRKRLTIAVELVANPSIMFMDEPTSSLDARAAAIVMRAVKNVVDTGRTIICTIHQPSIDIFEAFDELILLKNGGNLIYFGPLGQHSCRVIQYFESIPGVPKIKANCNSATWMLEVTSPSTEAQLGVDFAQLYEKSALYKNNKELVRQLSYPPPAAVVFMGMTSCSGVQAVVATERVVMYRERFARMYSSWAYALAQVVIELPYLFVQVLVFETITYPMIGYYGSTYKVLWYFYAMFCTLLYFNFFGMLCVSLTPYVTIAGALSSAFYPLLNLFSGFLIPQPKIPKWWIWLYYLMPTSWTLNCMLTSQYGDVKDEIMVFGESETVASLLEEYFGFHADRLPITAILLISYPMIFACLFAFFISHLNFEKSFPAYMKLREEQKLVQFLMALRNEFEQLRGSILHRSPLPTVHNVVSELIAEETRLKTQTPPVMNTQAVLIASSQVKPTNLNSGARGNQRIAIDECGYCHEKGHWKKDCPKRNKSRGILPNPSQGFQQGKTASRTMLPQQNSAFTAATSGYEPKQTSFGGNVNHDDLESIIARQIQQIMGSCIRNDLAKEICLTLQRKDLANSSAMSAVDQGINRAPSSGTSPSPWVLDSGASYHMTSDSSILENCLDLSQPLDIQIANGSLMQISKVGSVTTKSYPSGKFSIPNIFYAPQLSANLLSVGQLADLGCDIWFSDVFCVVQDRHTGKQIGIGRREGGLYMLEFLFVPPIKQNFVMPAFVLDKNSSSFHLWHSRLGHVSSSRLRYMSSVGLLGNVKYSDISDCKACKLAKFSTLPFNKSTSVSNAAFDLVHSDVWGPSPVATKAMVKTQFSSKIKVFRSDSGGEYTSTQFQELLASEAVLTSVYVINRIPSSITSGISPFERLFKVQPNYSELRVFGCVCFVLLPKNERTKLTSRSAMCVFMGYGIEQKGYRCYDPIARRIRVSRNVAFFEHIPYYTVPESSSTLTKDELHALDPFSNSDLFASNDIELATLLNSENLQNSTENSTGDSSSTNMEGTPVLPTPTLEHPSSSQSDPLLLQESHSISEDVDPVSTQQERRYPSRPHNPPPYLKDFKTKFSPPYQSFLASIHSFHEPTSFKEASVLPHWQQAMIEELAALEKNHTWDLVSLPEGKEAIGCKWVYKVKTKSDDSIERYKARLVAKGYDQEYGVDYEETFALVAKMTTVRTLISVAASREWPLFQMDVKNAFLSGDLHEEVYMQPPPGYSCPVKKVCRLRRALYGLKQAPRAWFEKFNDALKQIGFLQSNNDSALFHLSSNKVAYSPSGYVLSQSKYANDVINRARLTDERTVDTPIELNVKLRPTDGTLLPDPKLYREIVGCLLYLTVTCPDIAHAIHIVSQFVSAPRSVHWSAMVRILRYLRGDANDRRSTTGFCIFLGDFLISWKSKKQNVVYRSSTEAEYRAMAHSSAEIVWLESLLQDMGVHLPKPVSLFCDNKSAIQIAHNAVFHERTKHIEVDCHFIRQHVENGIISLPYISSSLQLADLFTKSHTAGRFKFLLGKLSMLDMSTLYLKGELESKEDIWEQLSNLQNKGRHSPRASKNFPVSTCFLNLLALKT >OMP11680 pep supercontig:CCACVL1_1.0:contig01036:590:658:-1 gene:CCACVL1_00347 transcript:OMP11680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRTAGLDEFEFQQIGKDHKRG >OMP11532 pep supercontig:CCACVL1_1.0:contig01196:52:3628:-1 gene:CCACVL1_00462 transcript:OMP11532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQADQCSAHHWLKSEHEGSGNQKLGIGRKMRS >OMO94177 pep supercontig:CCACVL1_1.0:contig07947:24452:24823:-1 gene:CCACVL1_06125 transcript:OMO94177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GQCRRNVSQPQDPHVPPADQGQAGVTPKFFDSAQAYTNWWNSIGVQTRPPQLGFASPPMAQGQNPNLPPPMYIPLQLVYIFPPVMDHTASSSTACLIAKKVKEAKDLGCKIFEGSDDVDKAKD >OMP12247 pep supercontig:CCACVL1_1.0:contig00239:7614:10231:-1 gene:CCACVL1_00064 transcript:OMP12247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MAMARDGLVPSFFSDISTRTQVPVKSTVAAGILAAILALFMDVSELSGMVRSFIPMNYKLVSVGTLLAFTVVAISILILRYAPPDEVPLPASLQESLSSLRMQLDDDSQRTEGKSINSVVDIVGQSSHQLEDGEASIQYPLIEKQITEDKRNQRRRRKIAGWNIALFCIGVIVFTSAASAEYLPSLLRFALGAVGAAILLCSLIVLACLNQDEGRHSFGHTGGTSKLCTEHGLDFAYSHGLRSRIFLEVRVAFNVQSAIFSDAFVVNLTASVDIITRLFPRATCFA >OMP07212 pep supercontig:CCACVL1_1.0:contig04584:138:236:-1 gene:CCACVL1_01347 transcript:OMP07212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDMPYPPGVEAPVVEPVGEIGSPDEIESER >OMO72424 pep supercontig:CCACVL1_1.0:contig11445:48097:51681:1 gene:CCACVL1_17818 transcript:OMO72424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anticodon-binding protein MPPLPSSLLNPRLSFCVKPLLLFTQSSLAPRKFSIPRQLVSGKPRTLSSLASAQSSATDNGSGGGRSGALTPPPVAEEVQRIDVNPPKGTRDFPPEDMRLRNWLFNHFREVSRLFGFEEVDYPVLESEALFIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPLKWFAVGQCWRYERMTRGRRREHYQWNMDILGVPEVTAEAELISSIVTFFKRIGITESDVGFKVSSRKVLQEVLSFYSVPENLFGKVCVIIDKIEKIPIDVIKKDLEATGLSNEAIEQLLQVLSIKSLTKLEEIIGGGGEGIADLKKLFSLAEKFGYSQWIQFDASVVRGLAYYTGIVFEGFDRGGKLRAICGGGRYDRLLSTFGGEDIPACGFGFGDAVIVELLKEKGLLPELSLEVDNIVCALDDDLKGVAATVASKLREKGQSVELVLETKPLKWVFKRAARTNAQRLILVGKTEWEKGMVSVKNLSSSEQYEIKLDELE >OMO72418 pep supercontig:CCACVL1_1.0:contig11445:4826:5200:1 gene:CCACVL1_17812 transcript:OMO72418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTELAVVPVRIAVVRHRIGLVRVRIAVVRVRIAVVRVRIVVVRFPIGLVRVPIALVRVPIVWLAVVRERLPRVWLVVVRVRLAALRVPLAVVRVPIAVGEFRSLLCEFGSLLSICKLLLADS >OMO72420 pep supercontig:CCACVL1_1.0:contig11445:17653:18012:-1 gene:CCACVL1_17814 transcript:OMO72420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MFRPFFHKLKKGFSVSAAASRLLTVNDDEFDEEMDTATAGVEEGYFTVFTVHENETKRFVIELDNLRNPAFLCLLEQAQEEYGFEQKGALSLPCRPQELQKILNDRKAKLAVTEVLGYL >OMO72423 pep supercontig:CCACVL1_1.0:contig11445:40553:42381:-1 gene:CCACVL1_17817 transcript:OMO72423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAKDVAKPEAEKKPAADGGAKKDDGKVTAVYKIDMHCEGCAKKIRRAIKHYEGVEDVKSDCGANKLTVIGKVDPGKVRDRLAEKTKKKVDLISPQPKKDAAPAAAGGGDKKPDGEKKAEEKKEKKKEEKKPPKESTVVLKIRTHCDGCIHKIKRIIMKVDGVQTVNVDGAKDLVTVKGTMDVKDLVPYLKEKLKRSVDVVPPKKDDGGEKKDGGEKKDGGEKKDAGGEKGKEAAAAGGGEKKEGGGEKKEGGGEKKEGGGGEAKMEVSKFEYSGYLPYQQPMYWNDGHVYGSSYAVESYHNPHPHEYMNQGYPNMMNQGYMHPGYANQGYMIDPRNHLHAPQMFSDENPNACSVM >OMO72422 pep supercontig:CCACVL1_1.0:contig11445:22606:23863:-1 gene:CCACVL1_17816 transcript:OMO72422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylphosphatase-like protein MATPQASGDSSQPSQAKTVRVVIKGRVQGVFYRNWTIENATQLGLKGWVRNRRDGSVEALFSGSPDSVQEMEQRCRRGPPAAMVTGLQVFPSNEDPGTGFERKPTV >OMO72419 pep supercontig:CCACVL1_1.0:contig11445:12881:13240:-1 gene:CCACVL1_17813 transcript:OMO72419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MRKGFHVLMSRSGAALIVDEEINIATPASADKFGEGSFTVFAVQGEEAQRFVIELDNLTNPAFLSLLEQAQEEYGNHQQGALSLPCHPEELQKILEDWKAEQPDAEGWDSGNATSIEGY >OMO72421 pep supercontig:CCACVL1_1.0:contig11445:21479:21789:-1 gene:CCACVL1_17815 transcript:OMO72421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGKLQSSLFLSNSNRIREGHFVVIAAEGQEPKKFIIKLDHLNNPEFLKLLKEAEEEFGFSHEGALELPCRPDELQRILSI >OMO50403 pep supercontig:CCACVL1_1.0:contig16194:15429:18638:1 gene:CCACVL1_30463 transcript:OMO50403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQNGNRRSSFSSSTTSSLAKRHASSSSSSSLENVGKVTASLAKKRVPLGNISNQRNSSRSSVSGSSLVPCSNKILKSRKTQPASNASLSGHVLPCANAKPSLVLPPKVVTTSFPRGNEVVLPPPPCSMDFSPTKSDGVSVSMDESMSTCDSFKSPEVEYMDSRDIPAIDSIERKTFCNLYISDHVEATGNICNRDAIVEMETDDKIIDVDDNYTDPQLCATFACDIYKHLRASELKKRPSTDFMEKIQKDINCNMRSILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNMMNRQRLQLLGVACMMIAAKYEEICAPQVEEFCYITDNTYFKEEVLEMESSVLNYLKFEMTAATAKCFLRRFVRAAQGVNEVPSMQLECMANYIAELSLLEYSMLRYAPSLIAASAIFLAKFVLLPSKRPWNSTLQHYTLYKPSDLSDCVKDLHRLCCNNHNSTLPAIREKYNQHKYKCVAKKYCPPTIPSEFFQN >OMO50402 pep supercontig:CCACVL1_1.0:contig16194:5202:8386:-1 gene:CCACVL1_30462 transcript:OMO50402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLQTPRVRIRYRHPEAKENNNVYIAQQIEEEGKICKRNLLLEMDSNDNDVAVYIDCKEPHFCAHIAHAIYKNLRSSEAKRMPCADFMETIQKDINARMRAVLIDWLVQVTIPNVDTKSEFEYIFFKIDGKETVDGRESKQEDGYWKDEISQEESSS >OMO50404 pep supercontig:CCACVL1_1.0:contig16194:19319:21451:-1 gene:CCACVL1_30464 transcript:OMO50404 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD40-like Beta Propeller MNPRTIFTYFLFIVFGTAANHGTTISAGGAGSIVFTTLGRTDYAWDIFTLPALDPPNPSTELKITDGEAVNFNGHFPSSSSSSIISLLRNRGLLQTPDPQAPLPLHLIYVTERNGLSNIFYDALPYDAPASTRSRSALEISIRVQAPLLTLEESKNRISMKDRPSLSGENLIYVSTHEDPGEPRTSWAAVYSTHLGTGLTQRLTPYGIADFSPAVSPSGNLTAVASYGSQGWDGEVEELSTDIYVFLTRDGTQRVKVVEHGGWPCWVDDSTLYFHRRSEDQWISVYRAILPKDNPLSTESVTIQRVTPPGLHAFTPATSPGNHKFIAVATRRPNSNFRHIELFDLVKNEFIELTRHVSPSTHHLNPFISPDSARVGYHKCRGESNGGENPQLLLENVQSPVPNLSLFRVEGSFPSFSPAGDRIAFVEFPGVYVVNRDGSNLRQVFSLNAFATSWDPVRKGVVYTSAGPEFSSVSTEVDIVSINVDDADQSNYKRLTLDAKNNAFPSPSPDGKLIVFRSGRTGYKNLYIMDAIEGEVAGLRRLTEGPWTDTMCNWSPDGDWIAFASDRDNPGSGSFSLYMIHPNGTGLRRLFKSGSGGRANHPSFSPDGKVLVFTTDYGGISAEPISNPHHYQPYGEIYTMKLDGSDLKRLTHNSYEDGTPSWAPMYINPVDVEGPKRPQCDFEDCHWLNEMPSLGAMFEPFALAKHQCGA >OMO50401 pep supercontig:CCACVL1_1.0:contig16194:599:4527:-1 gene:CCACVL1_30461 transcript:OMO50401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPCVSKVAKKKETSTCTKDNGLANSSLPTTGSLESCVYSSRTDAKWTGDDQPTTKVSGPSSPSNMRKPRSIDISPCISLCGSVSLEETMSTCNSLISPEFDYVENEDVLVKSTERNANNNLHISGHAQKEGKVCQRNILLEMGPNENADDKTSTDPQFCAHIARDIYMNARASEAKKRPSTNFMEMIQKDINASMRAVLIDWLVEVSEEYRLVPETLFLTVNYIDRYLSGNLVNRQQLQLLGVACMMIASKYEEISAPQVEEFCYVTDNTYCKDEILQMESAVLNYLNFEMTVPTAKFFLEQFVHAAQINQDQSLQFDCLANYIAELSLLEYTMLHYSPSLIAASAAFLAKFILSPSKKPWDSALGHYTLYQPSDMCDCVKALHQLCRNGGYANLPAIREKYSQHKYKFVAKKYCPASIPQEFFQDTTK >OMO73325 pep supercontig:CCACVL1_1.0:contig11264:9238:9309:-1 gene:CCACVL1_17330 transcript:OMO73325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPPPTTTANRHRPLFPATGDHPN >OMO57638 pep supercontig:CCACVL1_1.0:contig14345:4449:21961:-1 gene:CCACVL1_25722 transcript:OMO57638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNVIPCELALVEEVQDRMEAFKWDQLVRRIVGNNPISQGSLRNDRECVNRLDKDQGQTVFARKGKEKVNPNQKQYVGISKNPDRIGMLVEESSGESLLPAEVDINHNAKFIENLPELLPRNFETEITESNLDANHNTALHIYSTTGGLRGQRSILMIKLIVFKLVVRLPIKFVQLQKSTKFVQQLLDSKENGELEQMLRMRDKKQNTALHTLTLALMGDYLNVARLLLKEAPHLSSSVYSTSETPLYIAAGRLCYDLVAEILDNYSSTPLIGPHGRTILHAATMAYRPGN >OMO57639 pep supercontig:CCACVL1_1.0:contig14345:56368:56493:1 gene:CCACVL1_25724 transcript:OMO57639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNPQIVNQSLHSPKEDTPVHSRFLSSPYEFPHKDLHSPL >OMP10693 pep supercontig:CCACVL1_1.0:contig02020:5:331:-1 gene:CCACVL1_00814 transcript:OMP10693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Globin MGFTEKQEALVKESWEVLKQNIPELSLRFFTIILEIAPAAKDMFSFLRDSEEIPQNNPKLKAHAVKVFKMVRLH >OMO81107 pep supercontig:CCACVL1_1.0:contig10239:19299:22477:1 gene:CCACVL1_12605 transcript:OMO81107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MAINAMDNSKFCSFINILVFCFLCSNIVCNAAIGLTLKPEAYDVLDFGAAQNGSADSSEAFLEAWDYVCSKETEKVSLIVPENETFLLHPVTFNGPCKAKEIEFQIQGKLISPISPKTWEGHDKGKWITFSKVSGLKIKGNGEINGRGWGWWNQSCRDHRGLAMIFQSCKNSSLSEVRMVNSAQTHVLITGSDDIIVEGLMISAPETSPNTDGIHLSSARNIVIRNSVIGSGDDCVSIGDQTSNVDISRVKCGPGHGISIGSLGRAGNYVQVQNIRVSKVVFNGTTNGARIKTWQVGKGFVRGVTFENLIFHSVKNPIIIDQNYCDVRGACKELQTGVRISDVAYRNLWGTSSTAVAITLNCSRSVSCTGLLLHSVWLKSAMSGKNVTSTCTNAHGATVGVVHPAPCLQHHL >OMO81105 pep supercontig:CCACVL1_1.0:contig10239:10117:12487:1 gene:CCACVL1_12603 transcript:OMO81105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAFLLTAAAPFLPSQKNEVRGVMGQWGSSFHGKVGYNQSFLRRDFNIPRGGRIVVNAVLGRKKVQKKETVVPDPDYRIPIVLLGLAGGLTYTNNLLPAAPVGLLGLLLLFQTTRVRFVFDDEALEVKVGDQLEESGENVFVGGKTVGMTVKSLIYEFCYKQNGKQLYDVMVERAGPSKTSGPK >OMO81109 pep supercontig:CCACVL1_1.0:contig10239:43355:46639:1 gene:CCACVL1_12607 transcript:OMO81109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGEDTDQTMEWWRNGGAKIERWRRGKSVKVDNSKWLKLKPLS >OMO81106 pep supercontig:CCACVL1_1.0:contig10239:13317:17899:-1 gene:CCACVL1_12604 transcript:OMO81106 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex, subunit Nhp2, eukaryote MTGEPVNPKAYPLADAQLTTTIMDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTTNEGSQLRSQIQQLKEKTKILFDTWQLIIGNNQHFHSSTQSPNALHFISLHTGKSSHYFSPEIQSIRIFPENPFRRRKRKLSHFSMANAWKRDNSKTQKLLSPRTLISLLILSLFLYLSFSFLSPKNPTSIPTTPSYKTQKIAQNFPIPPFNCHDSPQSHPIIANIVENLKYPFIYSQADLGSLPDKPHKNLQRILKGKPFRRPDISATVQDFLEGKSKDGFVVDVGANVGMASFAAAAMGFKVLAFEPVFENLQRICDGIWFNRIEELITVFEAAASDRIGNITFHKLVGRLDNSAVSAVGAKLAFKSNEEIAVQVRTIPLDEMIPESMPVLLVKIDVQGWEYHVLKGAKKLLSRKKGEAPFLIYEEDERLLQASNSSAKEIRDFLSSVGYTHCTQHGTDAHCTKS >OMO81104 pep supercontig:CCACVL1_1.0:contig10239:3371:6274:1 gene:CCACVL1_12602 transcript:OMO81104 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme oxygenase-like protein MASLTPISQTHSLFKKPIVAATPPPSNFTSNFIPKDFPFSGYQSFKPPRTRMATRSRVVSATTAEKPKKRYPGEAKGFVEEMRFVAMKLHTRDQAKEGEKEVKEPEEQSVRKWEPSVDGYLKFLVDSKLVYDTLEGIIDKAAFPSYAEFKSTGLERSASLAKDLQWFKEQGYAIPEPSSPGVTYSEYLKELSEKDPQAFICHFYNIYFAHSAGGRMIGKKVAEQILNSKELEFYKWDGDLSQLLQNVRDKLNKVAESWSREEKDHCLEETEKSFKHSGEILRLILS >OMO81108 pep supercontig:CCACVL1_1.0:contig10239:22911:42694:-1 gene:CCACVL1_12606 transcript:OMO81108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPKQLLATIESSLLSPSPPSPAQRVELLHAIRSSVSTFRSLLSYPSPKPSDRVQVQSKEVRLPDSPPISLDDQDAQIALKLSDDLHLNEIDCVRLLLSANQEWGLMGRGPLEIQRLAAGLWYTERRDLIMALYTLLRAVVLDQGLESDLVADIQKYLEDLINSGLRQRLISLIKELNREEPAGLGGPLSERYLLDSRGSLVERRAVVSRERLILGHCLALSVLVVRTSPKDVKDVFSALKDSAAELSESNDTLKHQITYSLLFSLIIAFISDALSAVSDKSSVLSHDTSFRKEFHEIVMAVANDPNVEGFVGGVRLAWVVHLMLIYDEIGLSEAVSTASSNELGHLNLCLESVFANNVFHFLLDKVLRSAAYQNDDEDLVFMYNAYLHKLITCFLSHPVARDKVKESKEKAMITLNTYRTAGDFVHDSSLQDKQTAEGVPLPFVSLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLNMLGTLASSQEGASKVYELLQGKAFRSIGWSTLFDCLSIYDEKFKQALQTAGAILPEFQEGDAKALVAYLNVLQKVVQNGNPIDRKNWFPDIEPLFKLLGYENVPPYLKGALRNTIATFVRVSPVLKDTIWTYLEQYDLPVVVGSHIAISGQTMAAQVYDMQFELNEIEARREQYPSTISFLNLLNALIAEEKDVSDRGRRAYADPCEKWQLVVACLQHFHTMLTMYDIQEEDIDSVDQSQLSAVTQSTSPQMQLPVLELLKDFMSGKTVFRNVMSILLPGVNSVITERNSQLYGPLLEKAVQLSLEIIILVLEKDIHLADFWRPLYQPLDVILSQDHNQIVALLEYVRYDFLPQIQQSSIKIMSILSSRMVGLVQLLLKSNAAIALAEDYAACLECRSQECQVIENSGDDPGVLIMQLLIDNVSRPAPNITHLLLKFDLDTSIERSVLQPKFHYSCLKVILEILENLSRSDVNALLHEFGFQLLYELCMDPLTCGPTMDLLSNKKYHFFVKHLDTIGVAPLPKRNNNQALRISSLHQRAWLLKLLAVELHAAYLSSPHHREACQSILGHLFGQDVVETGTDIISQSLVLQNNREHTATRTISKTKVLELLEVVQFRSPDTTMKLSQIISNMKYDLLAEDILGNPTTSGKGGIYYYSERGDRLIDLASLRDKFWQKFHSVYPQLSNFGSEAELNEVRETIQQLLRWGWRYNKNLEEQAAQLHMLTGWSHIVEISASRRISSLENQSEILYQILDASLSASASPDCSLKMAFILSQVALTCMAKLRDDRFLCPGAFSSDSITCLDIIMVKQLSNGACQSILFKLIMAILRNESSEALRRRQYALLLSYFQYCQHMLAPNVPTTVLQKLLLDEQEGEELDLHFLQIDKEQAELARANFSILEREAQAILDLVLKDATQGSEPGKTVSLYVLDALVCIDNDKDKYFLNQLQSRGFLRLCLTSISSFACQDGGHSLDSLQRACTLEAELALLLRISHKYGKSGAQVLFSMGALEHIASCRAVNLQGSFRRVDTKLRRDVAVDIDKQRMIVTPLLRLVLSLTSLVDTSEFFEVRNKIVREVIDFVKGHQLLFDQILREDVFGADELMMEQINLVVGILSKVWPYEESGEYGFVQGLFSMMHILFSRESEAPTFSSSARSPEKKRMSELNAFRLCFSLCSYLYFLVMKKSLRLQVSDDSPNYHSPAGLQLPTLYLLCSLLNAVTNSLERAAEEKSLLLNKIRDINELSRQEVDEVISMCVRQDMVSASDDIQKRRYIAMVEMCQVAGNRDQLLSLLLPLAEQTLNVILIHFQDSSVVFGSSRSKESITYGARTDPGQDVSLLCGKLIPLLERLELLSEDKVGHNLKVFRRLVTSLKEMAIQKFTI >OMO50799 pep supercontig:CCACVL1_1.0:contig16052:29473:44091:1 gene:CCACVL1_30240 transcript:OMO50799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIRSTLPSRLRQLLSGETAIGPSIKLDSEPPPQIKAFIDKVIQSPLQDIAIPLSGFRWEYSKGNFHHWRPLFLHFDTYFKTYLSCRNDLLLSDKILEDDSPFPKHAVLQILRVMQIILENCHNKSSFDGLEHFKLLLSSTDPEILIATLETLSALVKINPSKVHGSGKLIGCGSVNSYLLSLAQGWGSKEEGLGLYSCVLANERTQEEGLSLFPSDVEHDREKSQQRIGSSLYFELHGLNVQGVEESSGSTSSTSRVIDMPDLHLRKEDDLLIMKQCIEQYNVPTDLRFSLLTRIRYAHAFRSPRICRLYSRICLLAFIVLVQSNDANDELTSFFANEPEYTNELIRIVRSEETIPGTIRTLAMLALGAQLAAYSASHDRARILSGSSISFTVGNRMILLNVLQKAVLSLKSSSDPSSLAFIEALLQFYLLHIMSSSASGSNIRGSGMVPTFLPLLEDSDPNHMHLVYLAVKALQKLMDYSSSAVSLLRELGGVELLAQRLQIEVHRVIGVSGGNDNSMIIGECPRYSDDQLYSQKRLIKVLLKALGSATYAPANSTRPQNPHDSSLPGTLSLIYGNADKFGGDIYYSAVTVMSEIIHKDPTCLPALLELGLPDAFLSSVLSGVLPSSKAITCVPNGLGAICLNAKGLEAVKETSALRFLVEIFTSKKYVLAMNEAIVPLANAVEELLRHVSSLRSSGVDIIIEIVNKIASFGDSSSFSGSSVEKANASTAMETDSEDKGNEEHCCLVDTVDSVTEGISDEQFLQLCILHLMVLLHRTTENSETCRLFVEKSGIEALLKLLLRPSIVQSSEGMSIALHSTMVFKGFTQHHSAPLARAFCSSLREHLKKALTGFGTASGSFLLDPRMMPDDGVFSPLFIVEFLLFLAASKDNRWVSALLTELGNGSKEVLEDVGRVHREILWQIALLEDAKLEMEDDGASASSAESQQRESSASDTEEQRLNSFRQFLDPLLRRRTPGWSIESQFFDLINLYRDLGRATGFQQRLGTDGSNMRFGAHYSTSSDASGSVNRKEHDKQRSYHTSCCDMVRSLSFHITHLFQELGKVMLLPSRRRDDTVNASPASKSVASSFASIALDHMNFGGHVNSSGSEVSISTKCRYFGKVIDFIDSVLLDRPDSCNAIMLNCLYGCGVIQSVLTTFEATSQLLFTVNRAPASPMDTDDGNLKQDEKEDGDHAWIYGPLASYGKLMDHLVTSSFILSPFTKHLLVQPLSSGDVPFPRDAETFVKVLQSMVLKAVLPVWTHPQFTDCSYDFITTVISIIRHIYSGVEVKNVTSSNSARITGPPPNETTISTIVEMGFSRSRAEEALRQVGSNSVELAMEWLFSHPEETQEDDELARALAMSLGNSEADTNVDATNESSQQLEEEMVHLPPVEELLSTCTKLLQMKEPLAFPVRDLLVLICSQNDGQYRSSVISFILDQVRDSSSASDSRNNSLLSALFHVLALILHEDVGAREIASKTGLVKLVTDLLSAWDSGSVDKDKRQVPKWVTTAFLALDRLLQVDQKLNSEIVEQLKGENVSSQQTSITIDEDKKSKVLSSFGSPRHIDFPEQKRLIEIACSCIRNQFPSETMHAVLQLCSTLTRNHAIAVCFLDGGGVNYLLSLPTSSLFPGFDNVAATIIRHVLEDPQTLQQAMEAEIKHSLVIMANRYSNGRVSPRNFLINLSSVISRDPVIFMQAVKAVCQVEMVGDRPYIVLTKDRDKDKSKEKEKEKEKASDKDKTQQTDGKGTLCNMNLAGPGNVHGKVSDSNLKSVKMHRKSPQSFVNVIELLFDSVSAFVPPLTDDQRTESVDAPSSTDMEIDIAAVKGKGKAIATVSEENEGSGQDASASLAKIVFILKLLTEILLMYGSSVHILLRRDAEISSCRVPHQKGSADLSTGGIFHHILHRFVPYSRNAKKERKTDGDWRHKLATRASQFLVASCVRSAEARKRVFTEINCIFNDFVDSCDGFRPPSSDMQTFVDLLNDILVARTPTGSCISAEASGTFIDVGLVASLTRTLEVLDLDHAESPKVVTGLVKALELVTKEHVHSADSSAIKGENSVKPADHNQSGRTDNVVDASQSMEMASQSNHDAVAADHVESFNTVQNYGGSEAVTDDMEHDQDLDGGFAPATEDDYMQETSEDARGLENGVDTVGIHFEIQPHEQENLDDDEDEDMSGDDGDEVDEDEDDDDEDHNDLEEDDVHHLSHPDTDQDDHEIDDDEFDDEVLEEDDEDDGDDDGGVILRLEEGINGMDVFDHIEVFGRDHGFANETLHVMPVEVFGSRRQGRTTSIYSLLGRNGENSAPSRHPLLLGPSSLRPTSQRQSENSHDTILSDRNSDSTSSRLDTIFRSLRNGRHSHRLNLWADESQQSSGSSAATVPQGLEDLLVSQLRRPPVPEKSSDHNTSAVEPQTHGEGTQLQESGAGARTEPVENNVNNENTNVPPSSAAIDSSVNADVRPAVSDSLQGTDASSMHSQSVEMQFEHNDSAVRDVEAVSQESGGSGATLGESLRSLDVEIGSADGHDDGGERLGSSDRTSDPQAARARRTNVSFGNSTSAGGRDAPLHSVTEVSENSSREADQDGPATEQQINSDAGSGSIDPAFLDALPEELRAEVLSAQQGQVAQPSNAEQQNSGDIDPEFLAALPPDIRAEVLAQQQAQRLQQSQELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAILANLTPALVAEANMLRERFAHRYHNRTLFGMYPRNRRGESSRRGEGVGSSLERIGGSLLSRRSISAKLIEAEGAPLVGTEALQAMVRLLRVVQPLYKGSLQKLLLNLCAHNETRTALVKILMDMLMLDTRKPISYSNAIEPPYRLYGCQNNVMYSRPQHFDGVPPLVSRRVLETLTYLARNHPYVAKILLQFKLPLPTLQELNIDQSRGKALMTDEQQIGYISIALLLSLLNQPLYLRSIAHLEQLLNLLDVIIDHAERKPPSSEKSRASSTEQVPASQISMSDADIASENRDAPSEVAESPIKTADLSAPSTSGASNEFDAQTVLSNLPQAELRLLCSLLAREGLSDNAYGLVAEVMKKMVAIAPSHCHLFISELADAVQNLIKSAMDELRMFGEAVKALLSTSSSDGAAILRVLQALSSFVASMIDKEKDQPLLPEMERSSALSQVWDINAALEPLWIELSTCISKIESYSDSAPELAASSRTSTSRQSGVTPPLPAGTQNILPYIESFFVMCEKLHPAQPGSGQDFGIAALSDVEDANVSAGQQKSAAPVSKFDEKHVAFVKFSEKHRKLLNAFIRQNPGLLEKSFSLMLKVPRFVDFDNKRSHFRSKIKHQHDHHHSPLRISVRRAYILEDSYNQLRMRSTQDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYERTQVTDYELIPGGRNIKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFNELIPRELISIFNDKELELLISGLPDIDLDDMRANTEYSGYSAASPVIQWFWEVVQGLSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSPDHLPSAHTCFNQLDLPEYPSKEHLEERLLLAIHEANEGFGFG >OMO50810 pep supercontig:CCACVL1_1.0:contig16052:171972:177735:1 gene:CCACVL1_30252 transcript:OMO50810 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MDGKTEMETRGRSGEEDDQLQRSTKKVKAPEGPSDGHVPAALSFKEAMLGGRRNMITRFDELGSLELDEGLISITQKDGWPSISLSENFKAHIRNQWKDCIIVKLLGVGFCVAKFSSLDDLRRVMDGGPWTVFGHYLTIRRWQPDFNPDTTTIESTVVWVHFPGLPIEYFHSRLLMAIGNTLEKAVKVFFEDRWLSVEYEGLKHICFQCGRAGTTEQCALCPKPAVTEIIPAGKGDDHSGAAGDFGPWMIVQPRKPRKSTNQNLKSRTLIMAGSGVKDGSRVSALAEGGENLNLDASSGNLSAARVSQAKCHMEDSGGRTEGSCDFLEDDDLMEDNFISAGLEAGEKSSDVRFRNPPDKNYSASGVLETQFQDARRGISTPKHLLMNFIIWNSRGTGNKTFRHHAIDMISTYKPSIMAVVELRVSGATARRVLRRLKMPKFHIADPEGFAGGIWLCWEESILSLEVVFSSPQLVHAFVERPGESKFLLSVIYASPILEIRRRLWDSLIEFAGSVDVPWLVMGDFNDVLSSNEKLGGSVPNIGRCLSFSSMILTYGLIDLGFNGSSFTWVNKRKGLARVQERLDRALANSDWRLRFPDAIVQHLPRLHSDHCPILIRCEPVLAMNRSQRPFRFQGMWLSHEGFTAMIDGLWNSLNGNLWGKGEKLTMSLQDWNREEFGNIFERKKKLRARIAGLQRSLSLHYSHQLQLLENGLIREYNQILVQEETFWAQKSRVQWLQQGEKNTRFFHLSTICRRRRNRITMLRDGNGDWVTEIGPLQELVLSYFRDLYTEEEEGKTMLEPLSQPVISSMDGIALAREISPCEVRTALFQTKPWKAPGVDGFQAGFYKKMLGFSGEGLSGFRPFRPISLCTVAYKLITKVLVNRLGPLLGDLIGHLQSSFIPGRQAADNIFIAQEMIHTVKKSRSKNGLMAVKIDLEKAYDRVSWDFLRDTIMAFNFPPSWINLIIFCVESASMSVLWNGEKTEMFHPGRGLWQGDPLSPYPFVLCMEHLGHLILDESQATCIRRVLDTFCAASGARVRLYKSKLYISPHVSRRNAQKTSEILGINSSNDLGKYLGVPLIHGRVVKDTFREMVDKVCTRLNGWKYKFLSLAGRATLISLVTSSIPTYNMLTVRIPQGTIVKLDSMNRRFLWGGSEEKRALHLVCWEDVCRPKIMGGLGLRSMALHNRVLLQKTTWRFLMEPNSLWVKLLRAKYAIPSDVVGFVNSHVCKPSWSYSWRGLFGAIGELSRGLKWRIGTGSHVGFWTDLWLDKPILTELDVIPSHANSAARVSDFIDATGHWNMDFIFAQVPLDVAMKIIAYPLPRVGVLHDAYVWASTPNGKFTTRSAYLNLLNEAGTCLEGSMSWMWKLPIPVRWTYFLWLARRGRLVTNELRLRWGLTTDASCVICGANLEDILHVLRDCIEAKRHVILFATTYWRLWTRRCNHTFQEEGLALDQSDVVQNIMISTTQICALQAPDSSLCLCTKHIHWFPPTSPSVKLNTDEASCGNPGLSGAGGLLRDFTDKWLVGFSAHLGITTNIAAELHALRLGLILAWDEGYRHVECEIDASVVLSLIDDADLKFQPFSSLILDIRELLKREWECKCLHTLREGNFCADVLSKMRCSLDEDYVVFRQPPVEMKGVL >OMO50804 pep supercontig:CCACVL1_1.0:contig16052:73726:75653:-1 gene:CCACVL1_30245 transcript:OMO50804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/Utp3/C1D MEEINKANENERLKKEANQLAAVLKEMKSGLDAVTAKVQALTAKVKANNLPTADGISYLEAKHLLLLNYCQSLVYYLLRKAKGLSIEGHPVVRSLVEIRLFLEKIRPIDKKLQYQIQKLTRASGSATQQVSSNDKSDDPQKNEDPLNYRPNPDMLISKADMMPEDGTGVYRPPKFAPAVVEEDHRMSRQEKNALRREKEALRRASQSAYIRDMMDDLEGKPEEVREIIGAESRELSRYMAKLERRAQQEEELFTRAPVTKKDKKIEKHLKKSRNGLLGLTDSFFDEIKTLPLGDDAGEKPTSFSNGNSGMGKHKKRKVRG >OMO50808 pep supercontig:CCACVL1_1.0:contig16052:127071:128534:1 gene:CCACVL1_30250 transcript:OMO50808 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEKENNSKVLHIVIFPWLAMGHLIPFFRLSKLLAQKGHKISFVSTPRNLSKLPKTPQNLSSQITLVSFPLPTLPNLPSFAECSMEVTYNQQQPLKHAFDLLRPLLTSFLESSKPDWIIYDYASHWLPPVAAELGISRAFFGVFTAACLSFTGPPSALIEGGADARLTPEDFTKVPKWIPFEFNLAYRWHEVAKYFEKTDEDKHGPPDTVRFGLTIQESDLVVVRSSFEFEPDWLNLLPQLYEKPVIPVGFLPPILEEDEIQDDDKWVGVKEWLDNQKVNSVVYVALGTEVRLSKVELSELALGLEKSGLPFFWVLKNSPGSTQSELEMLPDGFEERVKGRGLVFLGWVPQVKILSHESIGGFLTHCGWNSVIEALGLGRVLIMLPALNDQGLNARILQEKRVGVEIPRNERDGSFTSDAVAESLRLAVVEDSGEPLRETAKSMKSYFGDMGRNDGYVEKFVRYLQDEEMNKFQVSMNKIKNKMQMLY >OMO50806 pep supercontig:CCACVL1_1.0:contig16052:105074:109134:-1 gene:CCACVL1_30247 transcript:OMO50806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MAAANPQPLQALPFEDHAPGTIQIEDEDGDYEDDTMDEMEDSNVNSVNVAEHGIEVMRGVNGGGGAAGGAVVMASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGRDIPTGVPTIEVPFDQNNRGVGDVPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESTSTSSWDSSQTGLQDGNPRPETVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRNISMDQPETPLDVKPSIVEGDFSGNRDEHGNNLKQVTDESNNASVNPDEEDLHESAEDLTNSMPMGLVHPSADDDEQEPLVELANPSDTDIDIPSTFD >OMO50809 pep supercontig:CCACVL1_1.0:contig16052:129222:152352:-1 gene:CCACVL1_30251 transcript:OMO50809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLIRRTSSGQTGDSSAAHGDRLSPPTPRIRFSDEDDEAVLSTLWGRYENTVDKVEKKKLFQIFLKQFLTVFKNWEPVNSGQLPEAASTTLQPAEYSTSVNDVVVGCSAGHPSEIILALTEEIAQLTTLVSECKLIYIFPANIPAASISLVITSEGLPVLDALQIITRSMHNCRVFGYYGGIQKLTALMKGAVIQLKTMTGVLSGDESFSSLIAEKTGILQRVLVYVVSIICSFIDLNSNVNQNAHLYSNSEGFSVIGASTSVAFCNSLKGSLSETWLHWHQKAVVSVMEAGGLNWLVELLRVIRRLSMKEQRTDMSLQCLTLRTLCFALSDNPRGQNHFKSIGGLEVLLDGLALPSIDMLLSKSASPVEEQREQNTLLKIFQLHVLSLEVLREAVYGNVNNLQFLCENGRVQKFANSFCSPAFMLQEYKQQMKDSTPHGASQRTIVDLQNVNNAKSCLPEPFVPIPEKASYHQLWNDCVVKLTTVLCSFLAPEDVKVHGQANSGRFPPPISSVYAELSIKWVMRVLLTVFPCIKACSNQNELPNHLWVFISTLQHCVLNAFRKVLVSSPASLEVFRKEGIWDLIFSENFFYFGSALEEYSEEFSPFNEGSPDKLEKYSSSGSNAVKLNFSGVEIMQMEVISFVELAATSNGSVHNLPELSALLEALEQSACNPEIAGVVAKSLLRILQLSAEKTITSFKTLNAVSRVLKVACILAQEYKRSGSMSPVENNQVEEVSPHGYQRLDRSETPESWAKCMETCMELFMEFFSVADDGRSLVLHDSTCIDCLFELFWEQGLRNHVLRYILDLMKIVSLSEEDRKAMLYLCSKYLETFTLVKERVKDFAELSISLLVGMIDMLQTNPLHYQALFRDGECFLHVVSLLNGNLDEANGERLVLIVLQTLTCLLARNDASKAAFRALVGKGYQTLQSLLLDFCQWRPSEALLNALLDMLVDGKFEINGNHHIKNEDVIILYLSVLQKSSDSLRHSGLSVFQQLLRDSLSNRASCVSAGMLNFLLDWFVQEDDDSVILKIAQLIQVIGGHSISGKDIRKIFALLRSEKVGTQQQYCSLLLTTVLSMLNEKGPTAFFDLNGNDSGIIIKTPVQWPVNKGFSFSCWLRVENSPRNGAMGLFKFLTENGRGCFAEVAKDKLIYESINLKRQSVQMHVNIVRKKWHFLCITHTIGRAFSGGSLVRCYVDGDLVSSEKCRYAKVNELLTSCSIGTKITLPQNEEDDTLNFIQDLFPFHGQIGPIYLFGDAISSEQVKAVHSLGPSYMYSFLDNEATAFGDNPFPSGILDVKDGLASKIVFGLNAQASDGKKLFNVSPVLDHALDKNLFEATIMVGTQLCSRRLLKEIIYCVGGVSVFFPLITQSDRYDNVESEGLENKLLLPVAKERLTAEVIELIASVLDDNLANQQQMHLLSGFSILGFLLQSVPPQQLNMETLSALKHLFHVVSSCGFAELLIKEAISAIFLNPLIWLYTVYKVQRELYMFLIEQFDNDPRLLKSLCRLPRVIDMIRQFYWDNTKSRFAIGSKPLLHPITKQVIGERPGTEEIHKIRLLLLSLGEMSLRQNITPADIKALIAFFETSQDMACIEDVLHMVIRAVSQKQLLVSFLEQVNLIGGCHIFVNLLQREYEPIRLLSLQFLGRLLVGLPSEKKGPRFFSLAVGRSKSLIENSKKFSPRTQPLFSAISDRLFRFPQTDNLCATLFDVLLGGASPRQVLQKNSLVERQRSRGNNSHFFLPQILVLIFRFLSGCEDASARTKLISDLLDLLDSNPLNIEALMEYGWNAWLTASVKLDVVKAYRPDSRCQGDYETNEQNLVVRIFCVVLCHYIHLVKGGWQQLEDTVNFLLLQCEQDGISYRYLLRDIYDNLIQRLVDLSAEENIFSSQPCRDNTLYFLRLVDEMLISELANELPLPANISESDVDSLEVESQKDYSTALHEVLQGESDDKVSRDPRASGQPIASEEDKTTDDKWWKLFDNLWIVISEMNGKGPSRMVNRISGSAGPSFGQRARGLVESLNIPAAEMAAVVVSGGIGNALSGKPNKNVDKAMALRGEKCPRIVFRLLILYLTQSSLERASRCVQQFISLLPSLLVTDDEQSKSRLQLFIWSLLEIRSQCAMLDDGARFHVIAHVIRETVNSGKSMLATSMAGRDDSFDSSSHLKEMGSIHNLIQKDRVHAAASDESKYVKTLKSDRSRQLQELRVKLDEISSLEISNQKTFEDEIQSSLHSILASDERRRAAFLLAYEEEQQIVAEKWMHMFRTLIDERGPWSANPFPNDAVIRWKLDKTEDAWRRRQKLRRNYHFDEKLCHPPFTSPGNEVILPSNETKSSFVGHIPEQMKQFLLKGVRRITDEGSSEPGESDAESSEQLVISEDPSDSQTLEIVKSSSDQIDVVQEKKEFLSPSPETETSEVLVSLPCVLVTPRRKLAGELAVMKDVLHFFGEFLIEGTVGSSVFKNFNASSHSESGKADQKPKSFKWSIHVDINSEKGTSPDNIEADNVHKKQLKNVKRHRRWNIGKINAVHWTRYLLRYTAIEIFFSDSVPPIFINFASQKDAKDIGTLIVSTRNELLFPRGSSRDKSGTISFVDRRVALEMAETARERWKRRDITNFEYLMILNTLAGRSYNDLTQYPVFPWVLADYSSEVLDFNKSSTFRDLSKPVGALDSKRFEMFEDRYRNFCDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIEGTYRNCLSNTSDVKELIPEFYYLPEFLMNSNSYHLGVKQDGEPISDVSLPPWAKGSPELFISKNRDALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLDTMDDELQRSAIEDQIANFGQTPIQLFRKRHPRRGPPIPIAHPLYFAPASINLTSVIPSVSYPPSAVLYVGLLDSHIVVVNQGLTLSVKMWLTTQLQSGGNLTFSGSQDPFFGVGSDVLSPRKIGSPLAENVELGAQCFATMQSPSENFLISCGNWENSFQVISLNDGRMVQSVRQHKDIVSCVAVTADGSVLATGSYDTTVMVWEVLRVRAPEKRVRNMQTEIPRKDCIIAETPFHILCGHDDIITCLYVSVELDVVISGSKDGTCVFHTLRDGRYVRSLQHPSGTALSKLVASRHGRIVLYADGDLSLHLYSINGKHLASSESNGRLNCVELSGCGEFLVCAGDQGQVVVRSMNTLEVVRRYSGVGKVITSLTVTPEECFLAGAKDGSLLVYSIENPQLRKSSLPRNPKAKASVTG >OMO50800 pep supercontig:CCACVL1_1.0:contig16052:44934:50760:-1 gene:CCACVL1_30241 transcript:OMO50800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVDYHNEQQGDDADSPLCLSGSRLIQSGFLSSNFTVNAVMFVNAGGDVVKQEGTCTIDVCGDSFYEGGDVLRTNESIKDAGDMPSIYQSARYGNFTYRFSDFPPGDYLVDLHFAEIVNTFGPKGMRVFDVFVQEDKVLSELDIYSIVGVNKPLQVVDIRASVGEDKVIVIRFASVCGSPMVNGICIRRATKLPASQVKCDYFVCNNCAAEMEVPSPQKKNLRMKSTAKYEKRIEELKNLCQQKTDECYEAWMSLTAANEQLEKVRMELDNKFFQNRHLDQTLEKQSVKLKDVSTKYERDKRFWIASINELKRKIETMKEEHSQLSKKAHECADSIPELNKMILAVQALVAQCEDLKLKFNEEQAMRKKLHNQIEEAKGNIRVFCRCRPLSKVEASNGHATVVDFSAAKDGDIGILTGSSTKKTFKFDRVYTPKDDQVDVFVDASPMVTSVLDGYNVCIFAYGQTGTGKTFTMEGTEQNRGVNYRTLEQLFKIVEERKEISTYSIFVSVLEVYNEQIRDLLATAPTTKRLEIRQASEGFHHVPGLVEAKVENTKEVWDVLQVGNNARAVGSNNVNEHSSRSHCMLCIMVRANNLITGECTKSKLWLVDLAGSERLARTEVQGERLKEAQNINRSLSALGDVISALATKSSHIPYRNSKLTHLLQDSLGGDSKTLMFLQISPSEQDLGETLSSLNFASRVRGVELGPAKKQVDTAELQKLKQMLDKAKQELRSKDDALRKLEENFHNLEGKTKGRDQLCKIQEEKLNELEDQLASKTELCRQLERKLSQLSEKMMEKEEICSNFQLKVEEVENKLKECEQTVALTLQRKVKELDGILKHRTREFQHHTEMLQQKVNELESKLNMEREREGSVFRPQKVNELDQKFRQHHQEEIMQPVYSAEKLRGTPSATPALRSETIFGMDPPSLRSLTNSRATNMGSDLLRGTDSLRELRRKKQVQSKGAENNLLISSSASSLLDKKILSAESNKTRQIDPSKALMRITRGTRSVSTVDRTSYANKSSNNKMKTWLR >OMO50805 pep supercontig:CCACVL1_1.0:contig16052:84195:103797:1 gene:CCACVL1_30246 transcript:OMO50805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPVKFLFGFLMISITLWMVFIFASRLLAWILSRIVGASIGFRVGGWKCLRDVVVKFNKGAVESILVGEIKLSLRQSLVKLGFGILSKDPKLQVVICDLEIVLRPSTKSSQKAKSRKPRTSGKGKGRGKWMVVANIARFLSVSVTDLVLKTPKATIEVKELAVDISKDGGSKPNLFVKLHILPIYVHTIQLLSGINEKPSAPFFCEEFSLSCEFGHDREAGVVVRNVDINCGEITVNLNEELLSKKKKQSDAFSESDKVAGLTADSLTTKKPQKKEAAILALTKYTSMFPEKYPDVSEILADPSRKPTALILVVVIVCCCFSKYGICFNLPKLDVKFVHREHDLSIENNIMGIQFKSIKSRATEDVGESTRLDVQLDFSEIHLLREAGSSVLEIMKVDVVSFVYVPIQVSSVQYDSVAFQPISPIRAEVDVKLGGTQCNIVMNRLKPWLRLKSSKKKGMVLREETSTIEKPQSTESKAFMWTCTVSAPEMTIVLYSISGVPVYHGCSQSSHVFANNISSTGTTVHMELGELNLHMADEYQECLKESLFSVESNSGSMLHIAKVSLDWGKKDMVTSEDDGPRCKLVLSADVTGMGIYLTFKRVESLIITAMSFKALFKHLSAGKKATQSRAGRSSKPSGKGTRLLKFNLERCSVSFCGDTCLESAVVADPKRVNYGSQGGRVVISVSADGTPRNATIMSAVSDECKKLKYSLLLDIFHFSLCVNKEKQSTQVELERARSIYQEHLEEDKPDTKVVLFDMQNAKFVRRSGGLKEIAVCSLFSATDISIRWEPDVHLSLFELVLQLNALVHEQKIKGLGNEHVDSVSSVSDVEKKKEVTVVEPGHLDKTKKKESIFAVDVEMLSISAEAGDGVEALVQVQSIFSENARIGVLLEGLMLSFNGARIFKSSRMQISRIPKASSSSDAKVLVGTVWDWVVQALDVHVCMPYRLQLRAIDDAVEEMLRALKLIISAKTQLIFPIKKESSKPKKPSSTKFGCVKFCIRKLTFDIEEEPIQGWLDEHYHLMKNEACELAVRLKFFGDFIAVNQSPKMAETSDSASERKTQNDGVEIDVQDSSVNEKLQEIYEQSFRSYYMACQKLKPSEGSGACREGFQAGFKPSTARTSLLSVSATDLDVTLTRIDGGDDGMIEVLKQLDPVCRENNIPFSRLYGSNILLNTGSLVVQLRNYTSPIFSAISGRCQGRVVLAQQATAFQPQISHEVFIGRWRKVCMLRSASGTTPPMKTYSDLPLHFKTGEVSFGVGYEPVFADISYAFTVALRRANLSSRSPGLPVPPKKERSLPWWDEMRNYIHGNITLFFSETKWSILATTDPYEKLDKLQIVTGSMEIQQSDGRVYASAKDFKILLSSLESLVNSRGLKLSASPSGAFLEAPVFSLEVTMDWDCESGNPMNHYLFALPIEGKPREKVFDPFRSTSLSLRWNFSFKPLLPVLEKQSPSSSVSDCTVLDGTVDGAHPKPENVSIASPTVNVGAHDLAWIVKFWSMNYLPPHKLRAFSRWPRFGVPRIPRSGNLSMDRVMTEFMLRIDATPTCIKHTTLDDDDPAKGLTFSMTKLKYEICFSRGKQKYTFECKRDTLDLVYQGLDLHMPKVFINKENCIGITKVGQMTRKTSQSASMERVPSEKSNYMSSCTEKHRDEGFLLSSDYFTIRRQAPKADPARLLAWQEAGRRNLEMTYVRSEFENGSESDEHARSDPSDDDGYNVVIADNCQRIFVYGLKLLWTIENRDAVWSFVGGLSKAFEPPKPSPSRQYAQRKLLEENQKHGEPEMPEEDTSKSSSTNPAVSSPSQHVETSGNHSSVSHASGTESSSPAAIDLAKYEMVNDSEGEGTRHFMVNVIEPQFNLHSEDANGRFLLAAVSGRVLARSFHSVLHVGSEMIEQALGTGDVHIPEGGHDMTLKRMEFSVMLEHVQAHVAPTDVDPGAGLQWLPKIRRSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPDLKVKPLKELTFNSHNITATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSCPGEDDEDVGEEADEVVPDGVEEVELAKIALEQKEREQKLLLNDIKKLSLHSETSGDHLEKEGDWWMVNGGRSTLVQGLKRELVSAKKSRKEASASLRVALQKAAQLRLMEKEKNKGPSYAMRISVQISKVVWSMLVDGKSFAEAEINDMIYDFDRDYKDVGVAQFTTKYLVVRNCLPNAKSDMLLSAWNPPPEWGKKVMLRVDAKQGAPKEGSSILELFQLGSSYTWLSSTAMQVHNGIMALGMVEIYPLKIHLTETMYRMMWEYLFPEEEQDSQRRQEVWKVSTAAGARRVKKGSSTHDASALAIHSAKESEVTSKPSGSATSISSQPVLADSALASKAASGSGPELRRTSSFDRSWEETVAESVANELVLKNLGRDFGSMKSGPLVSVDQQDDSSKLKMKDTKSIKLGRSSHEEKKVGKSNEEKKSRPRKMMEFHNIKISQVELLVTYEGSRFVVNDLKLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKLHSQQPSTTAVPDSDLNLSDNDQIGKSDHPIALIKRPSDGAGDGFVTSVRGLFNTQRRKAKQFVLRTMRGEAENDFHGEWSESEAEFSPFARQLTITKAKRLIRRHTKKFRSRGQKGSSSQQRDSLPSSPVDPMETTPFESDSSSGSSPYEDFHE >OMO50798 pep supercontig:CCACVL1_1.0:contig16052:2865:6120:-1 gene:CCACVL1_30239 transcript:OMO50798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MVVNGMPVKRMKRRVTADLYDFLTFPSSASGSGVSMPFRTNVRAFLTKHALMPPPTSLFPHLMTWQILFRVGDATEGPDSSPAMVYLDVVEEDVARSRSIYCDQCRVVGWSGHPVCSKRYHFIIKADGNSIGGYHKPCVRCGDVLHLSELRCKSCSHVTTTDDLEDWVYHQLEDTTHLLHGVIHSNGYGHLLRVNGREGGSRVLSGCHIMDFWDRLCKTLGVRKVSVMDVSKKYGLEYRLLHAIIKGHPWYGDWGYEFGAGSFALTFDAYKSAVETLSSLPLSIFLTQGWKPSTRLQDVVSFYQSLSKQELVNIKDLFCFLVRLIHDSHKSSSRVEDATCKKPRTSSSGVVSWSRADAVRIEEAMFRVLRAVSGSNWVSSRALRGAVCRGASPELLDHCIMELGGKMAAEGMVVTSRRNPDSGALEYRLEPQNVSVITTRSDSSAAKCPPSEEVLKRDLRYLYETMLHPQTMLSYGNDLTRNLAISSAEKLLDCKQFVKDYMHEKLSVRNEAVVCLVCHLELVDQPEEVAPNPPAELLVLPLNATVSDVKVEASKAFQDVYLAFRRFQAEELVGFGGVEDSTQVKLLLGSTESVRVRGRCLGKNNLSKFRVERGIERWTVDCFCGAKDDDGERMLACDVCGVWQHTRCSGIKDSDAVPAKFVCYRCRESLHMTRTCGQSQCKDETVSSLGGNGSFGKSLTTP >OMO50807 pep supercontig:CCACVL1_1.0:contig16052:112034:115984:-1 gene:CCACVL1_30248 transcript:OMO50807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MYEQSQPMNIPAQIAGSVADVGEDVSASTSVDNHHTVSYDGHTLDDAVGVEDVGADPIYGSTPLVSASHFVDLPGVDCATQLTLSFRGQVYVFDAITPDKAVEIPSRCHQPHRAASLDRFRQKRKERCFDKKVRYGVRQEVALRMQRNKGQFSSAKKSDGSDSWGNSQDSGQDENLSETLCTHCGISSKCTPMMRRGPSGPRSLCNACGLNWANKGTLRDLLKKTRDHSQTPVEQGESDQANDSDCGTAIPTESNIVSFSNGDDTTLMAEAEQ >OMO50802 pep supercontig:CCACVL1_1.0:contig16052:60837:67369:-1 gene:CCACVL1_30243 transcript:OMO50802 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein MPRRSRSSGGSSGGWSAPRPRPRPRPRAAAARAPSSNPPPTPKPASNPPPTPKPAASAAPPIPKHASNPPPTPKPAASAPRPAAVQRESGGFGASIADGLAWGIGSSMGHRAAEAILGPRVVRHETVAAPESAAAATAAPAPNTNSMASSDACGGQSKALMECLNNYQSDISKCQFYMDVLQECRKSSGLGANNELKLRPSFNLIYPLYVSIRTVETGSSLKNQQCLTYWVLFALITMVELTLGEVLKWFPFWPYAKGFAIILLVTPYFGGASYVFKHLVEPYFIKQIRNIRFFPNKKGIALEAQNVIVDDADTSRLKNGPKLDELSANRGKFGCSSDIGDVTCSWSTHPKRFQKECSCVLCLISTSSEKCLKKHLPGKKHKTKEDEVRADKPASKVTCEVSSMPKKAQRVVFLGKLNLERWNGLINPITRSIRCCKWKKPEMGCIKLNTDGSVDLENAGFGGLLRDYKGDPLCAFVSKAPENDIFLVELWAIWRGLVLASGLGIKVIWVESDSLSVVKTINRQQACGSKSSGYLKQIWKLLSKFDSYRVTHSWRETNKAADHLSRMILKENDVVLWPAEFPDILHNIIKDDAQGKIYFRSVKF >OMO50801 pep supercontig:CCACVL1_1.0:contig16052:51994:52458:-1 gene:CCACVL1_30242 transcript:OMO50801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MLGLGRPFSFGCRQHHHDEHEDDIYNHGAPPDPCLVPVPVSLMVQSLKTQLPVMQYSRFVIKRSKSSGAAESESAHPITCIVCLNGVEPSDEVRELGSCNHVFHKDCLDAWIDHGNVTCPLCKSKLSNSNSRLGNDPWRMERMIYLFGQDVDFS >OMO50803 pep supercontig:CCACVL1_1.0:contig16052:68812:72862:1 gene:CCACVL1_30244 transcript:OMO50803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroquine resistance transporter-related protein MSFAATSSFRSIPSRFQTSHLPNSNPTKLHSLVLMSLPEKRSSFLPRLNLTRSVTKAPQFSSSVTRPNLTVRASSKTPDSAAPDAKLITISSALTIVLAVANRVLYKLALVPMKEYPFFLAQFTTFGYVVIYFSILFARYRAGIVTDEMLRLPKSRFVTIGILEALGVASGMASAAMLPGPSIPILNQTFLVWQLAFSTILLGRRYAINQIAGCLLVAIGVVVAVTSGSDTGQMLAGVDLMWPGVMVASAAFQAGASIIKEFVFIDAAKRLNGKSLDLFVVNSFGSGFQALFVLLLLPLLSNLKGIPFSELPSYMKSGAACFLKIGAETSGCEGAPLLPLLYIITNMAFNISVLNLLRLSSAVVSSLAVTLSASNPRTLLINPW >OMO60678 pep supercontig:CCACVL1_1.0:contig13683:4114:5392:1 gene:CCACVL1_23952 transcript:OMO60678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLVSRTGRHLQRYDNLGRRQVVGCIPYRYKCSSDGTISNDLEVLVISSQKGQKMMFPKGGWELDESLEEAALRESLEEAGVLGNVECELGKWDFLSKSHGTFYEGYMFPLLVKEELDFWPEQNVRQRAWLDVKEARDVCQHWWMKEALDILVERLSSLQQQKEEDISICSLI >OMO60682 pep supercontig:CCACVL1_1.0:contig13683:21524:24262:1 gene:CCACVL1_23956 transcript:OMO60682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MIFWSSIQWMNKRHEPIPGCQSDKPRSFTGQGCSPEALRLKNYMQAQVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIFMQSWTGTIIGPPNDKLEDYPILSQILPSFSQALFSSTVHEGRIYQLKLFCGKDYPDNPPSVRFQTRINMTCVNQETGAVEPSLFPMLANWQREYTMEDILTQLKKEMMSPQNRKLAQPPEGNEEARIDQKGLVLKCCIV >OMO60679 pep supercontig:CCACVL1_1.0:contig13683:7947:10189:1 gene:CCACVL1_23953 transcript:OMO60679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MNKLFRASFNLLNPALRIQFENPELSKFLIPISHFHSSTKILHPDSKLSVVFNELDELQSSAPVPNGAQSPPGVELPFDKRMPSKRNESTVQISHPWQEWVDLMECLLKRGYFDGDGNPFENGELGSKEANSIRTACLNFARDQFSLIRYFSRKDIQVISGCGCPSLDRKVVNSGKRLRAFVGIDEGNVCSSCNLRGDCDRAYVKAREDEGGRTVDVMRFLLTYGLDFITGSVENKPCQNKLVKESVRRLLKEMVEYGSQDLQPDMPKAAPSRRNDSLRDHLSTEGHTKVPMKQGDWLCPKCNFLNFARNIKCLRCDGLSEEKLRQLREDQDHLPLKKGDWICERCNFLNFAKNTRCLQCKEKPPKRHLNPGEWECESCNYINFRRNMICLKCDHKRPKAPDDSKAPAQLEHGNGDYINHNRSRFHSGGCEGNIDNSAGQYMNRLKGAGKWRFVHEQNKDRECLETSTGDSKFIDFPIVGGKTKLPHNTEVKENWKLEMAERSKSPTRMIENDGSKHSDIQRKMELLESSDDEEMTGWFARK >OMO60683 pep supercontig:CCACVL1_1.0:contig13683:30063:36387:1 gene:CCACVL1_23957 transcript:OMO60683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caleosin MAGSNLASKDVLDEGRPIPTDQNVLQKHVAFFDRDHDGLVYPWETFEGFRAIGAGYLLSIASSILINMALSGKTRPGKKFPNLLFPIEVKNIHMAKHGSDSGVYDSEGRFVPLKFEEIFSKFARTHGNALTGDELKAMLKANREPKDYKGWVAGYTEWITLYNLCKDKNGLLRKEIVKAVYDGSLFEHMEKERAAAKKKAPSPSIILKSPSKQQPNFHKNLENSIFLGKNWNISRETPGGSYFTYEVIDEGRPIPNDENILQKHVAFFDRDKDGLVYPWETFEGFRAIGAGYLLSIASSIFINMALSGVTRPGKSTNLLFTIEVKNIHMAKHRSDSGVYDSEGRFVPSKFEEIFSKFARTHDNALTGDEIKAMLKANREPKNYKGWLAGYGEWITLYNLCKDKNGLLRKEIVKAVYDGSLFEQMEREREAAKKKA >OMO60684 pep supercontig:CCACVL1_1.0:contig13683:39159:39890:-1 gene:CCACVL1_23958 transcript:OMO60684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVKFLSPKHAILVHGEKPKMATLKERIQSELGIQCYCPANNETVTIPSTHYVKADASDAFIRSCLNPNFKFSKSSPAEESDSASNESKTISRVHVSDERVTEGILVVEKGKKAKVMHQDELLLKLGEKKHEVQCAYCCPMHTETLEKSRSEDIDKSSLISLLWMKLSNELSEGNIQDLGEQLQVESFHASICLKDDCPHRISDNLRKDPESVFFCCSWSVADDQLAWKIISIMKNPTLHST >OMO60685 pep supercontig:CCACVL1_1.0:contig13683:48096:50544:1 gene:CCACVL1_23959 transcript:OMO60685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKTKFPFLLLLLLLISIFIIPSNSATDTFVFGGCSQLKYTPGSPYETNVNSILTSLVNSAMFSSYNNFTMPGSTSGETVYGLFQCRGDLNNGDCGRCVAKAVSQLGTLCLLSTGGALQLEGCFVKYDNTTFLGVEDKTVVVKKCGPLMSSSDTLARRDAVLGYLGASDGTYKPFRVGGSGDLQGVAQCVGDLSPSECQDCLSDAIGRLKTDCGAAKWGDMYLAKCYVRYSEGGDHSHAGNDTNNNDDEIEKTLAILIGLIAGVALLIVFVSFLSRLCEKGKGGK >OMO60680 pep supercontig:CCACVL1_1.0:contig13683:10747:12456:-1 gene:CCACVL1_23954 transcript:OMO60680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAMNGGEIQYERVEAVKRFDESKIGVKGLVDSGLSTIPRFFIVNHGIPVQVLDRTIGSIRAFHELPTEVKARFYRRDGSTGVSFISNVDLYHSKAASWRDTLQIRLGPTLPDLEEIPEGRHVWTIFGAYFTGETGSLPAIQL >OMO60681 pep supercontig:CCACVL1_1.0:contig13683:16545:16604:-1 gene:CCACVL1_23955 transcript:OMO60681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLHNHSSAKQQSQKQE >OMO83356 pep supercontig:CCACVL1_1.0:contig09899:9428:13555:1 gene:CCACVL1_11415 transcript:OMO83356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKKKKGRPSLLELQKRSLKQQQQQEQQHQLQQHHQQKNPNHLNPNSPLNSARRSTRRNANLDGTSPAPDWIAAGDDDDDERQQKKHKLLLGLNSSRNHQHYPNSSAPNSASYGSDSNADGEDPEASLKRRKVTALRPGSDQMGEKVSKATDTLHGSPVESGPTTPLPDKKLLVFILDRLQKKDTYGVFSEPVDPEELPDYHDIIAHPMDFQTLRKKLDGGAYTTLEQFEKDVFLICSNAMQYNSPDTIYFRQARSMQELAKKDFENLRQDSDEGEPQPKVVRRGRPPGKSLKKALESSSLDRVGSEFSSDATLATGPDASSLSNTYNLRKGTSSYKLRPADTSIRPSWVSHSNENYTSWSSEWENEFPASVVRAVMKYGKKVVVDENKRDTYNLSLASGHEQPIFSTLDGEPKQLIPVGLVAENGYTTSLARFAAGLGPVVWKIASKKIESVLPSGLKYGPGWVGENRIIEQPQFSSPEKQKSSHYQSDHHSGRLFSPPATSGGSNGSRFLLQGREEAESVRGVSSQNDCPLLYNRVGEMNSAPSHHFQQRPLLNSGMNGSIGGFGSGYYPQMGLITQPTNSMSEINSVPSQTQGMVSGSSSNMCPMPAGEFLSQEAKFADSSSTPHTRNSMTVAPDLVSHAATNFGLQRKPYNKQDFVAFPPDLNVRFLAPGSPSSSSVPIGSPQHPDLALQL >OMO88289 pep supercontig:CCACVL1_1.0:contig09051:9107:13674:1 gene:CCACVL1_08481 transcript:OMO88289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MVGSWGMMLSLDRKFGFLSESSRMVNAAAIWKAGHILFTLGCFVFAFVAGRKFLLWDAFSDYGRVADVFIQRRHLQRGNFSFGFVIFWKEKDEDFALEKADFLFLEGVRIRAFKARGSRKHSHAGRGISMLAAEKEIEIKGYSETKVVGRSYKDVVRSQNCDAEKNQLNMVSSTTNHVEVNKNSNLAKEGCVSLNVGPKTRSDEYGDDRHGGACDVVLNSDIPFEDMKWLERCIVGRLNPYISVDYVRDTVARLKLNATVIPLNDRPFESDGDGVVNVDGGSSNACSNSEEGMKSFYLEREILEVGRTINDDSIEMVRETVSNGPTVPGCPDEMPSRPGKHVESPENALSLALGEDLLVGGGFIVENGKEVDLQNVSTRNKEFSISVGEDGYLQNLELGPKKVLEECNGHTEALMCVGPEGMEESGAKHDGQRSEEGVSRGEVVPILKDSIMIKGKDTRKFRSRKIGSVSYWEKKKKLTKKAEKKKQKKSKKITHKLREASSLSLSGGDFENRNHVLMKEAKAALEGIANDFGYADTG >OMO99764 pep supercontig:CCACVL1_1.0:contig06814:18578:24947:1 gene:CCACVL1_03645 transcript:OMO99764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavodoxin/nitric oxide synthase MDSMLLLIATTSLAVILGFLVFLWKKWGSERSRYIKPLVASKPVSLKDDDAVVAAGKTKVTICYGTQTGTAEGFAKALAEEIKAKYEKAVVKVVDLDDYAGDDGQYEEKLKKETLAFFMVAT >OMO50736 pep supercontig:CCACVL1_1.0:contig16065:31637:38607:1 gene:CCACVL1_30291 transcript:OMO50736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor, mu subunit MASFESRSSSSTTINRRETVSPSEASLGVQEFAGFKVQNLNHSGGRLDLFDELKLKERFLSFKKHKYLEELEHFQTLKEAQSPKFMVIACADSRVCPSTILGFKPGEAFMIRNVANLVPPIENGPSETNAALEFAVKTLQVENILIIGHSCCGGIQTLMSMQDKEDSSFIKSWVTNGKVAKLRTEAAANHLSFDQKCRICEKESINRSLLNLLTYPWIKDRVKKGLLSVHGGYYDFLNCTFEKWTLDFKGNNRGEVAKGSAEIFFRKVKFWKEEDGQEEAPPVFNVDGVNYFHVKVVGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGVLSEDSLRKNFVLVYELLDEVIDFGYVQTTSTELLKSYIFNEPIVVDAARLQPLSPAAIFMQGTKRMPGTAVTKSVVANDPAGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLSGNPEIRLALNDDLSIGRGGGSAYDYRSSSGAGPVTLDDCNFHESVRLDSFDMDRTLALVPPDGEFPVMNYRMTQEFKPPFRINCLIEEAGHLKAEVILKVRAEFPSNITANTIVVQMPLPKYTSRVSFALEPGVIGQRTDFKEANKKLEWGMKKIVGGSEHTLRAKLTFSQESHANITKEAGPVSMTFTIPMYNASRLQVKYLQIAKKSSTYNPYRWVRYVTQANSYVARI >OMO50734 pep supercontig:CCACVL1_1.0:contig16065:24243:26799:1 gene:CCACVL1_30289 transcript:OMO50734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DMCESQKGPASKGESTAVSEIPDKSNDGGKESAAMRLEGEVSLGDLIWVKLRRNLWWPAVVVDEKGVDESSRPENRSEGEVLVRLYGSYEYLYADPVKYYSQFKTILEKSNGNCHEIFDKALEQDYSHLNSDKRKGQGSKSTDRSADASKGKKKSNQSGVTGNKKDMKNGKALSERVGKTNSKVSKQDGVKRKLGSSNQVSSRTDKRAKNKANEVNCKSPKQGSVQRKLNLDVQISERTSPGKSPESSARRTRVMQGLGLIAPLGSPFHKN >OMO50733 pep supercontig:CCACVL1_1.0:contig16065:18687:19691:1 gene:CCACVL1_30288 transcript:OMO50733 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dnajc14 protein MEENANRAEAIRLLGVAEKLLQNRDFNGSRDFAILAQETEPLLDGSDQIIAIADVLLAADKRINNHHDWYSILQIDRRCEDNDLIKKQLFEYPRVYEAAAAPRKVVETRNNVTEVRDGNVSGFAPRKRGRPRKNPL >OMO50731 pep supercontig:CCACVL1_1.0:contig16065:4094:4327:1 gene:CCACVL1_30286 transcript:OMO50731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QTPAASLHCKPSQIKPETPTPPSLILHYFIQETPLKVGTLYPKPTLAISGERNRCHTSKTWLNSPLNYPMKTPPTIL >OMO50735 pep supercontig:CCACVL1_1.0:contig16065:29558:30313:1 gene:CCACVL1_30290 transcript:OMO50735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPMKSLVGFENINPVNLTDGEDSILSERKPTDACKSHKEAERRRRQRINAHLSTLRSLLPSTTKTDKASLLAEVVHHVRELRRQVEDVARREGGGLRSNNQTELESWPFPGECDETALSFCDKEGKLLKATVCCEDRPGLNRDLSRAIRSVQARVVRAEMTTVGGRTKSVVVMQWNGDEEKIGPFKRALKDVAENRVSGLAHGAGSKRARVFGSDNSD >OMO50732 pep supercontig:CCACVL1_1.0:contig16065:17422:17817:1 gene:CCACVL1_30287 transcript:OMO50732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFTNVMVVLFTTLTGLNKLGVAEKKMHKWKRKDQSNKKSSENSNFDYFNEKISYQRKQVRHLKQISLRNQTFDKTVGLLARIVCVVFVRICNLFEPFVPSLPRIIRNKHFQTRFYVSDPRPTLHMKIYP >OMO50974 pep supercontig:CCACVL1_1.0:contig16016:2463:10895:-1 gene:CCACVL1_30088 transcript:OMO50974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGDFEAEEFRQFFDPNRKKLFQGEISIMDPARYPLQQGWDNNSALEGYSAVHEPNFRVGGGSYDERRFLDERYSRDNVYPRSAYHRDFLERDNHPAPPAASSMWSQSRRRSYDEEFSHDRDSRRHQKPYANSYSDMDSFRDHEIGSFQHFDKFRDGYRGVDNLHDHEFDRPSRYGGRERDDYSYDDYDYRHRSSHQSREDSHERDYEYGRHSYDSDYERGNRRDGNWRKRESRDRDRLSRERDQSPHRRHERSRSRSRGHDDRPRSRSPRGRSHGRSHREDSYDDGRHEKNEKRRDRDEKYQRDHFSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERHSGISRGFAFIDFPSVGAARTMMDRIGDDGLVVDGRKLFFEYSSKPTGGTGGHFGQDNSVKSSHSNHKSMTIPSDWMCIICGCVNFARRTSCFQCNEPRTDDAPPADISLSSSTSLGKKGSESGPTHVLVIRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVDDATKALEATNGTTLEKNGQILRVAYAKSILGPGSGTLGSSQSSSLAAAAIEAAAFSQQYDAIGWTPKEYNPDDKQSTNWPEQAVGSVAAQQDGSAPQSGFVWDEASGYYFDAASGFYYDGNTGLYYDGNSGIWYSYDNQTLQYIPCNDQNDNKTPGKQTEPAKGPNGPSNKKVVISAPAATVTSVEKAASLPDAVQAAATAALAAEKKEKEKSKEIKLASKSSILANKKKMNNVLTMWKQRSHEGQATRLALDDSHLSASGSAEDRPISAGQNAKSKVKFDVMGSKESNTLSSGVNTTMQAASMGGLESPVKPRPIGNSSGGTLMGVIRGSARGVVKSDTPYSGSSTGVSTSSAAVSNAEGSFSSTNSDIPTVMTPFRTDVSALGSYKPATASGSGKRRFSETPLSSTNKEQSQTTYRDRAAERRNLYGSSSSTGDDLPDHEFQNSNRDLAFKKFSSDPMPFPPGVGGGRGDMLDDVQSFEVITAEKAIDENNVGNRMLRNMGWHEGLGLGKDGSGMTEPVQAKAMDSRAGLGSQQKKLDPTLEVQAGDSYKTVIHKKALARFREMS >OMO50979 pep supercontig:CCACVL1_1.0:contig16016:30894:31769:1 gene:CCACVL1_30093 transcript:OMO50979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAYPAAAASSSAPTVSIVGPQFCAPHRVELAVTSSAGGDFVVTDVNSDNILFKVKGSGFFSMDDHDRRVLIDATGNPIVTLQPKSMSLHDRWQVFRGDSSESSDLIFTTKRTSMFQLKTKLHVFLANNTKEDVCDFKVKSSYSENSCVIYAGQSSTIVAQKHKKHTVQSVLAGKDKFMVTVNPNIDYAFIVALIVILDGLYTAALQEVLENLI >OMO50975 pep supercontig:CCACVL1_1.0:contig16016:11816:13130:1 gene:CCACVL1_30089 transcript:OMO50975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MADGNGSEKEAVVVSNKQVVIKNYVSGFPKESDMEIITGSIKLKVESGSKAILVKNLYLSCDPYMRLLMRPQGWEEYSLLKSSQGLFKIEHTDVPLSYYTGILGMPGMTAYAGFYEVCSPKKGEYVFVSAASGAVGQLVGQFAKLFGCYVVGSAGSKEKVDLLKNKFGFDEAFNYKEEPDMNEALKRYFPQGIDIYFENVGGKMLDAVILNMRIHGRIAVCGMISQYNLDQPEGVHNLMSIVYKRVRMEGFAVFDYYPQYGKFLDLVLPNIREGKITYVEDIAEGLENGPAALIGLFSGRNVGKQVVVVARD >OMO50976 pep supercontig:CCACVL1_1.0:contig16016:13379:14287:-1 gene:CCACVL1_30090 transcript:OMO50976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERMVPSMSLAQLCKATDDFRVANIIGFGKIGTMYRALLPNGRLIAVKKIYGSELITDEFVFELKTLGSFRHVNCVPLLGFCIESTARLLVYKYMSNGNLYDWLHPEQEGAKILEWPLRVKIAVGLARGLAWLHYICSVRVVHLNINSKCVLLDQNFEPKLSNFGKAMHMNPRDIGLNRPRGFSINNDFWEWDFMKKDVFDFGIVLLELITGENLSRGTKSKERFNGNVLEKWKCQLSTESKSEIQQGLDCEMLESLLGVAFNCVQPFAEQRPTMLEVYRTLRVFGQKYGVVDFFEIDGSR >OMO50980 pep supercontig:CCACVL1_1.0:contig16016:38536:39436:1 gene:CCACVL1_30094 transcript:OMO50980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYTYPTATANRPVSIIGPQFCAPHPVELAVIRNVKTITDGDFVFTDINGNILCKVKDQSGSFSMHDHRVLIDAAGNPILTLKDKLMSARDRWQVFRGDSTDSRDLIFSARTSMFHLKTKLDVFFANNTKEEVCDFKVKGSWSKKSCVIYAGETSTVVAQMHKNHTVQNVLAGKDEFMVTVNPNIDYAFIVALLVILGIHAAAMPDVTAELAAGSASGVVEGSLAFFLG >OMO50977 pep supercontig:CCACVL1_1.0:contig16016:24844:26880:-1 gene:CCACVL1_30091 transcript:OMO50977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRLGYKGLLHALVCSLLSALSICLVDDINCLKSIKGSLDDPLDHLKNWNFNNNTEGFICRFDGVECWHSEENKVLNIRLGNMGLKGQFPEGIKKCQSLTLLDLSNNELSGPIPSNISHIFRYGTSLDLSSNKFSGNIPESIANCSYLNKLRLDHNQLTGLIPPELALLNRLSNFSVANNQLHGSVPDFGDVGNTLNDERSYAGNTWLCGFPLEPCNDFKADFTFFFKRGFIIGFTLSLVSAITIFMSYCAPWVNRDQRNKLLRQFISTLILNRRIKNRENSHQTGKLLHLQDLLHENSKETSMLANLVTRMSYSDLSEATNDFSADNIIGVGQMGTTYKATLPTGWLLAIKRLFDTQTFDEHFTTELTTLGRLRHDNLVPLLGFCIESKEKFLVYKYMSNGNLYDWLHPADQGKAKFIDWPLRLKIAHGVSRGLVWLHHNCNLGVVVHLDICSKCILLDQSFEPKISNFGEAMLMKSNQTDWTSSFYVDSEFWELSFVKEDVYRFGILLLELITGYDPTKLAESSNYGDDQSLTKWVFDISERADFYDIVDKSLVGRGFDLEIFQIFRVACDCVQPNSDTRPTMLQVLKVIRTVGKRHDIKTSSELTKSSVSESSEAEITEHVGTMI >OMO50978 pep supercontig:CCACVL1_1.0:contig16016:28325:29181:1 gene:CCACVL1_30092 transcript:OMO50978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPVSIIGPQFCAPYPVELAVVRKVLTITDGNFVVTDINGNILFKVKGAFLTMHDRRVLIDAAGNPIVTLKQKFMTAHDRWQVFRGDSSESSDLIFTAKRSSMFQLKTKLDVFLANNTKEEVCDFKVKGSWLERSCVIYAGESSNIVAQMHKKHTVQSVLVGKDKFMVTVNPNIDYAFIVALIVILDGINTESKSGGGFDFGGLDFNL >OMO88238 pep supercontig:CCACVL1_1.0:contig09074:6232:9600:-1 gene:CCACVL1_08508 transcript:OMO88238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRLSSLLSLSYSSSSAAAATSLCSLGRLSRLQRGINSFSTSAAIDEPIKPSIQIDHTQLLINGKFVNSASGKTFPTYDPRTGEEIAHVAEGDAEDIDRAVAAARKAFDEGPWPKMTAYERSRIMLRFADLLEKHTEEVATLETWDNGKPYEQAANIEIPKVVRMFRYYAGWADKIHGLTMPADREYHVQTLHEPYGVTGLIIPWNFPLLLYSWKVGPALACGNTVVLKTSEQTPLSALYVSKLFHEAGLPPGVLNVISGFGPTAGAALCSHMNVDKLSFTGSSATGKVVLGMASSSNLKPVTLELGGKSPFIVCKDADVDKAVDLAHFALFFNQGQCCCAGSRTFVHESVYDEFVEKAKARALKRAVGDPFKKGTEQGPQIDNAQFQKILKYIRSGIEGGATLETGGEQLGSTGYYIKPTVFSNVKDDMLIATDEIFGPVQSILKFKDLDEVIQRANATSYGLAAGVFTQSLETANTLTRALRVGTVWINCYDIFDAAIPFGGFKMSGHGREKGIYGLSSYLQIKAVVSPLKNPAWL >OMO77226 pep supercontig:CCACVL1_1.0:contig10798:16585:19030:-1 gene:CCACVL1_15151 transcript:OMO77226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MGFEWSIVAVLVGAYVFLKKINEWYFVNSLGKKQSPLPPGDMGWPFIGNMWSFLRAFKSQDPDSFVNNLMKRHGRTNIYKTHLFGSPSVIVCSPELCRKVLTDDEHFKFGYPSSAIQLGGKKSLYGVSASEHRRLRRLTTDPISGQKALALYVGHIEDIVIPSLEHLASMNRPIKFFNEMKRISFKVAAQIFLGSTQDAVVSSMVKYYTELFPGVLSFPIDIPGFAFHKALEAREKLVKTIFQAEIDGRRARKTNVPNRKKGMVDLLMEVEDDNGEKLEDEHIIDLLLLILFAGHETTAQTVMWAIISLNEHPEMLQKAKEEQESIIKRRPSSQKGLTLAEIKQMEYLPKVIDESLRRSNFAFSIFRKAETDVELNGYTIPKGWKVLVWQRAVHMDPDIYSNPKEFLPSRWEDHKFKAGSFIPFGAGIRTCPGAALGKLEISIFLHYFLLNYKLEELNPKGPIKCLPSPHPADNCLARVVKLP >OMO77225 pep supercontig:CCACVL1_1.0:contig10798:3091:12618:-1 gene:CCACVL1_15150 transcript:OMO77225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MELDLWWYNICAAVLLGALYILVFTGFIGKVVEWYYVKKFGKKQSSLPPGDMGLPLIGNMLSFIRAYKSQFPESFINNLKKRYGETGIYKTNLIWKPSIIVCSPELCRKVLTDDEKFASGYEFSSLICGGVPFDIMSGSPGHKRFRRLTTSRINGHDSLSTLIGSIEEIVIRKLEEWTRMNHPIDFLPEVHKIAFKVITTIFLGPETDDSAIALMEKNYEYLFQGLFSSPINIPGFTFHRALKARKELATIIQAILAERREKESNVPNITKGLIDLIKEAENEEGEKMDDEYIIIVLIIFLVAGRETSGRAAAWATIFLHQHPQFLQKAKEEQEEIIRRRPSSQKGLTLEEIKQMKYLSKVIDETLRIRTNTFALFREAKQDTELNGYLIPKGWKILVWTSAVHMDPEIYSNPKEFNPSRWDDLRPKAGSFQPFGAGSSTCPGADLAKLEISIFLHYFLLNYKNIGTRLRNKVEDEFLVDNLVVYIEQEIAETFDLDSVLVLLGALTYILVFTGFIGRVVEWYYVKKLGKKQSSLPPGDMGLPLIGNTLSFIRAYKSQFPESFLNNLKKRYGKTCIYKTNLFWKPSIIVCGPELCRKVLTDDEQFTTGYEVSSLISGGKPFDIFSGPGHKRFRRLITSPINGQDSLSLLIGSIEEIVINTLKEWTRMNQPIDFLSEVHKIAFKVITTIFLGPATDDSSIALMEKNYTYLFQGLFSTPINIPGFTYHRALKARKMLVTIIQAILAERREKESNVPNIRKGLIDLIKEAENDQGEKIMDEEHIIVVLIIFLVAGRETSGRTAAWATIYLHQHPQFLQKAKEEQEEIIRRRPSSQKGLTLDEIKQMKYLSKVIDETLRIRTNTFALFREAKQDTELNGFLVPKGWKVLVWTSDVHMDPEIYSNPKEFNPSRWDDLRPKAGIFQPFGAGSSSCPGADLAKLEISIFLHYFLLNYKLEQVNPGGPINYLPSPEPVDKFRAKIIKLD >OMO89218 pep supercontig:CCACVL1_1.0:contig08825:1589:8771:1 gene:CCACVL1_07980 transcript:OMO89218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant MLYLRHGFRLEDGLRKIYSDESAMDMYSEMLPRNFIEVYIEHGIEGLDVMLELPAPGDDENEPFNDGGNGHGQVDQVQDAVVPDAPDEVVDGEVQHDPDDVQPAQVEEVVGLVEQVVQHGFEDNRGGANVAAEVNGEANGHAEQDAEYAYFNLFDIEVESDGEIPVEGVDPAYYLEVGLGEDSDDEEFVGGRNNIVRELNGGGRGARAQRDNVNMDDGIQLNSGVGGAENVGGEAQDYDDDFFYNEDHFGEVVEEETEMNDDATRRRGQFPVFDEFAEMPYIEKGMLFTNAEQFKRAVSIISVKSRKAVVWVKNHKNWVRGRCLVEGCPWHIYGAMDKWLESFQVKTFTKDHDCGNIYKTPRLSKKILVDLYKDDIREDPFIKSATIIKDVRRKYGFGLSFSMVRRATKEVFDQVIQNYSKEFGMLWDYAEAIRASNPGSTVKLKVHHEEGQSACVFDRFYVCFDALKKGMLDGNRPFIGLDGCWLKSLTKGELLVAVGRDGNNQMYPFAWALVQGENQYTWKWFIELLIEELDIVQDGMGWTVMGDQHKGLDKAIVELLPKAEHRYCARHVYVNFYHRGFKGEEMRQAFWKIAHANTIREYEAAMDELRKKGHLQPRILKKQPLTPWMVKMSVVSLFEEIRRKLMERIAQKIKDCRRWKGNIGPRIWRVIEKNSRIANYCEVVFNGAAGYEIMHGEERFIVNLAEKTCSCRRYTLSGIPCAHAITAIRDRRGKVEDYRSSWFHSETYLRVYGHVLQPMTGRLDWPKAPGNQLPIKPPPYRRIPGRPVTARRKKPDEPSRDQDNNPNLSRKGQIQTCTMCRQRGHNKRACRNNDRMEKQSEGTENRGSNTPSSNTNRSGGNSTRGRGKRPMSDCHQASATAGARVPTSAQAPATATATVTTPASAPQNATQAQAKKAKPKKKALPKFLTMPAPTGRCWQDEAGNFHGLKHGRSSPASMAKLKKSQSATQPAVSDLSSRSGTQTQAPLRRSPRNMNKTTNMDLNKANQSKGAKRKADDVVTSQGSQASSSKGKKSFLFLFLFQISSVTKIKFHNIQVIERPSADSNIVSKLEAQFPTLHYPKPETFSRGECTQNPVRFFAILSMQRSGSGWFETLLNSHINTLERVYNLDWFTSASKNECFATVGFKWMLNQVHLILLGSKELQDVGKANFSALFGTVLSVHIWS >OMO89219 pep supercontig:CCACVL1_1.0:contig08825:16713:16775:-1 gene:CCACVL1_07981 transcript:OMO89219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDTKPTYNERPTLSDSNI >OMO73776 pep supercontig:CCACVL1_1.0:contig11183:372:2968:1 gene:CCACVL1_17146 transcript:OMO73776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEIRDLSDPKTPKKIGGGRELRRVANKTLKHKETSAAPFLAPHPLNSMQYFYPQSFHYTQHALAKPNSQIYYFPPLMPLPAPQQYYPNAYSNAVWDSALPVSVKGEDIPSVKIPDSRRQEVKGSSEAVGLCGDRSSRSEKEGTASNTNYTRDPSRSVACDYEASSDETDDDTNQESATKKPRLNQINDHEYSMVADSREDNLETAPDLEKSDSCREPLSKTTKTTDDIHEMRKQRRRELNRESAKRSRLRKQQEYEELQRKIEELKADSNELCVQLKNLSKECGRLEDENNQIKEELVEMYGPSAIYDHEASCSGLGDHENECNVETNLDS >OMO73777 pep supercontig:CCACVL1_1.0:contig11183:3709:5501:-1 gene:CCACVL1_17147 transcript:OMO73777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MMGGRREGPLMRNPSHSSAKSRILMAVAIGISLGCVFAFLYPHGFFSYSAPAFHSRRSQIVSTKCESSERLNELKTEYRIASEKNAELIQQVKELTEKLRLAEQGKDHAQKQVEALGGPFGTVKGLRTNPTVIPDESVNPRLAKILENIAVQREVIVALANWNVKDSLEVWFASIKRVGIPNYLVVALDDKIAEFCESNDVPVYKRDSDKAIDSIGRTGTNHAVSGLKFRILREFLQLGYSVLLSDVDIVYLQNPFNYLYRDSDVESMTDGHNNMTAYGFDDVFDEPPMGWARYAHTMRIWVFNSGFFYIRPTIPSIELLDRVAGHLAREPKSWDQAVFNEELFFPSHPGYEGLHASRRTMDFYLFMNSKVLFKTVRKNARLSKLKPVIIHINYHPNKLERMKAVVEFYVNGNQDALKGFPDGSDW >OMO73778 pep supercontig:CCACVL1_1.0:contig11183:6714:16082:-1 gene:CCACVL1_17148 transcript:OMO73778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRKDGEAEPVVIGGMVLDIQATSSIPPHPRTTCPGKVHYVRGGVARNIAECMWKLGADPFMISALGFDMAGNLLLEHWKSAKLPTEGIRKHEDIKTPTVCHILDVTGEVAAGVASVEAVEMFLTPEWIQKFKHKIHSAPILMVDANLSPPALEASCQIAAESDIPVWFEPVSVAKSKRIVPVVKYITFASPNEDELIAMANALSSDNMFHPIEKNKYSTDALFQKLKPAIWLLLEKGVKILVLTIGSDGVILCSKGEPSSWRIVPEKAKKHGFSGQLFEDVTSRCPSNQFPNAKILERSHFWAVHFPALPASVVRLTGAGDCLVGGMLASLCAGLDVMQSVAIGIAAAKASVEADSNVPSQFSLATIAGDARTVYSAARILSHQSKLQWALPYGLNFAKSPKCIVLMRYLKDSLVFHVQALKAGFTQSIITYNKLIHLHSKQGLIHEAQKLFDEMPERNVFTWNTIISSYIKSQNLTQARALFDAAPKKDLVTYNSMLSGYVSADGYETHALELFNDMQTARDDDNIKIDEFTVTTMLNLSAKLSKLSYGTQLHCFMVKTGNAETGFAVSSLIDMYSKCGCFKQAFRVFKDGGELVDLVSKNAMVAAFCREGEMEMALELFWKEPELNDAVSWNTLISGFQQHGFVEESLKLFVTMGQNGIRWNEHTFTSVLSACSILKNLNAGKEVHAWVLKNGLISNPYVSSGIVDVYCKCGKMKYAEFMHQGSGRSNSFSVTSMIVGYSSQYNMVEARKLFDLLAEKNSVVWTALFSGYLKSQNCDAVFQLLGEFWDNEATIPDALILMNVLGACALQAALDQGKQTHGYMLRVGIEMDEKLFSAIIDMYSKCGNISYAEKMFRKANVKDSVIYNVTMAGYAHHGHESKVFQLFEEMLEQGIKPDVVTFIALLSACRHCGLVELGEKYFNSMTESYKILPESDHYTCMIDLYGRANQLEKAVAFMKVIPVKKDAAIMGAFLNACRLNKNAELAREAEEKLLEIEGDNGARYVQLANIYAAEGNWEEMGRIRKEMRGKVKKFAGCSWVFVDNEVNTFISSDRSHSKAEAIYAILGCLTRKLHETGEVGLRLLFSPISSNIVVRTACCTVGTVIPVYSTFKAIENKDQDEQQKWLLYWTVYGSFSVAEVFADKILSWFPLYYHAKFAFLVWLQLPSANGAKQLYASHLRPFLLRHQARLDQILEFIYSQLVTICCFDVPLAGYEFLVVERSDRLVELQSKFISAHRAEIRFARALFVKLMASVNQIARNLIDPVQRQPNHAIEGSREVDSDALSDCED >OMO71263 pep supercontig:CCACVL1_1.0:contig11679:5516:5770:1 gene:CCACVL1_18326 transcript:OMO71263 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide repeat-containing protein LKVFPGSNDRGEMQEKTELNKEEERIAVLIEKCPDIGVIRQNHAPTFTRLLPISAKCEDVELTKKLFDEIHERNSVAWSTMISG >OMO71264 pep supercontig:CCACVL1_1.0:contig11679:6522:12226:-1 gene:CCACVL1_18327 transcript:OMO71264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase MTVTPKISINDGKLVVHGKTILTGVPDNIVLTPGSGAGHVAGTFIGATASDSKSLHVFPIGVLEDLRFMCCFRFKLWWMTQRMGTCGKDVPLETQFMLVESKEEDDPNAPTIYTVFLPLLEGLFRAVLQGNDKNEIEICLESGDNDVLTDRGLHLVYMHAGTNPFEVINQAVKAVEKHMQTFSHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVEEGLKSLSEGGTPPRFLIIDDGWQQIENKPKESDCVVQEGAQFASRLTGIKENAKFQKNSQNNEQVSGLKHVVDEAKQHHSVKYVYVWHALAGYWGGVKPAAAGMEHYDTSLAYPVQSPGVMGNQPDIVMDSLAVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRSYIQALEASISRNFPDNGCIACMCHNTDGIYSTKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHAAARAIGGCAIYVSDKPGNHNFELLKKLVLPDGSVLRARLPGRPTRDCLFVDPARDGVSLLKIWNVNKCSGVVGVFNCQGAGWCKITKKTRIHDASPGTLTGSVCANDVDSITQVAGADWNGETVVYAHRSGEVVRLPKGASVPVTLKVLEYELFHFSPVKEITENISFAPIGLLDMFNSSGAVEQFEVQMASDKKPELFDGEVSSELTTSLSDNRSTTATITLKVRGCGRFGAYSSQRPLKCTVGNAETDFNYDSATGLLTLILPVPEEEMYRWPVEIQV >OMO65614 pep supercontig:CCACVL1_1.0:contig12636:1883:4301:-1 gene:CCACVL1_21469 transcript:OMO65614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGVETSSSCRRQRVCEEEEEDRISRLPDSILIHILSFLPTIDAVRTVLVPRFRHLWHFLPTLKFDHYWYTNYHYPQVDLPFFHEKFLDFVRHVLILHQNATINKFVLKLELNLLYSNLGEDISDHLEKYANRERRMASEVDSWIHFAMRKNVKVLNLDFLEIGDSQPNASYRLPSVVFRGKYLTELKLIACEIKPIGEIQLNCLKLLSLKECVLNDEIIKQIISGCSVLEELSLLGCYGLSRLAFRNPSIKSLILYHEALYNERLEISCPGIETLDMAGSMELVDFVDVSSIVVSSTSFCFGLENSSEKYQKVVELLKKLSRGKMFRTCNECILAMEKHNLFYGIRQQRAVACLVFSAWQLINQPDLLFGWKYLEFELHPTKWHQPGISCLLRTSPFLESLAMYIYTESNQLSPPNGYEWMESHSVDGENFWSSQEGTFHCLQNHLKTVKLYGYITEPYVFDIIEFLLKNSMVLEKLEISTQATFKPSQQALYSRWKAEYTKEQRVEFSQKLFSLPRASTRAVILFSP >OMO65615 pep supercontig:CCACVL1_1.0:contig12636:10162:13228:-1 gene:CCACVL1_21470 transcript:OMO65615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSVVETSASCKRQRVCEEEEEDRISSLPDSILIHILSFLPTIDAVRTVLVPRFRHLWHFLPTLTFDHSWYFDILKDDPPVEGPFYHEKFLDFVRHVLVFHENATLDEFVLEMDLNFQYSKDVETGNSSLDEDVEYASREKRMASEVDSWVYFAMRKNLKVLHLNFSQWTDPQPSASYRLPCVVLREIVMNDEIIDQILSACPVLEHLFLFRCYRLCRLGIRNPSVKSLELFHPFDDERLELSCPYIESLEIAGCMDWVFLADVSSIVVSCITFSFNLECLLERYQTVKEFFEELSHGKMFKPCNKCILVFRTWQLMNQPGLLFRWKYVEFELCPTKWHQPGISYLLRTSPYLETLAMYIPGRSGPFDDTHPPTNRPWMESFNLDGESFWSSQEGAFHCLENHLKTIKIYGDITKPYVIDMIDFLLKNAMVLEKLEISTLNTVTNSYRMSFL >OMO65616 pep supercontig:CCACVL1_1.0:contig12636:21256:23157:-1 gene:CCACVL1_21471 transcript:OMO65616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MVVAGPGFGASSRPNMKSFGLQILTGPWLMVFGSLLIMSAAGATYMFSLYSQVIKDSLGYDQTTLNLLSFFKDLGTNVGVPAGLLAEVTPIWFVLSVGAVLNFFGYFMMWLATNELKVFYKFLYISLGLAGFLMIIIIFEKQFAFTRPEYAGSAAMVTFLLFLPIGIVFLEEYKLWEAKNRALNDPSPLKIVTEKPNSDPVSTDQKPDISSSSSSTAKILTKKESSQDHDDQANVSCWKTAFKPPNRGEDYTILQALFSLDMFILFLATICGIGGTLTAIDNLGQIGSSQGYPKRSVSTFVSLVSIWNYLGRVTAGFVSEIFLTKYKFPRTLMLTLIMLFSCVGHLLIAFGVPGGLYIASVIIGFCFGAQWPLLFAIISEIFGLKYYSTLYNFGSVASPIGSYILNVKVAGNLYDNEARKQMRALGLERKQGEDLECKGVECFKLAFIIITAATLFGTLVSFVLALRTRKFYKSDIYKKFREEGKAAEMQMAAAGDTIVLPDVKVTGNGHGHAEGKGTGNGVQPEPAKVG >OMO79237 pep supercontig:CCACVL1_1.0:contig10463:45839:52854:-1 gene:CCACVL1_13819 transcript:OMO79237 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-dehydroquinate synthase, prokaryotic-type MEKRSLLFYTLLVIDFFLVTKQLDFVHGSPPKEAPEVKIYELKRGNMSVKFTNWGASIVSLVLPDKHGKLGDIVLGYDSVKDYMNDTTYFGGVVGRVANRIGGAKFTLDGTEYKLKANEGKNMLHGGPKGFSDVIWNVKRYKNDGHHPSIRFSYDSYDGEEGFPGELQVSVIYTLFKSEEGYDLSVAMRAKAIKKPTPVNLAQHTYWNLGNHDSGDILSQSILIYAANYTPVDSQLIPTGEIVRAHGTPYDFLYCHADEFIIGSRIKELAKGYDINYVLDGSRKKMDIAASVRDFKTGRMMGVHTNQPGLQFYTGNMIKDVKGKGGFIYKAHAGLCLETQGFPDSVNHPNFPSQIISPGKPYRHNMLFRLNHSKVQPFRRFRETKKTHLSINSSVVRMCALAVSDSPVSTSLYEQSKRVWIWTENSQVMTAAVERGWNTFIFTSENQELANQWSAIAFIDPLIIKEGGIFNSVGKRVATIFEVSTPAELKKLQPGDEHVGNVVIDLLDWQVIPAENIVAEFQGSQTTVFAVSKSTAEAQLFLEALEHGLGGVVLKAEDVQAVLNLKEYFDRRNEVHNRLSLSKATVTRVHAVGMGDRVCVDLCSLMRPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYVAVPGGKTSYLSELKAGKEVIVVDQEGKLRTAVVGRVKIETRPLILVEAKIDSNDQTVYSILLQNAETVALICPHEGKLMKKTVIPVTSLKAGDEVLLRLQGGARHTGIEIQEFIVEN >OMO79236 pep supercontig:CCACVL1_1.0:contig10463:30575:34745:1 gene:CCACVL1_13818 transcript:OMO79236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSGDIQVGPWNVAKPKGKKKKADEEEEPSCWIKFRFMGSCLSPRPKVERSISTTSTPYAESKSTNESNDTSTGQPVVPVVSSSTTTNAESIPSTPNLSAELKIASQLRKFSFNELKLATRSFRPESLLGEGGFGCVFKGWINEYGTAPVKPGTGLPVAVKTLNHDGLQGHREWLLIYVHWHLFVVCGLVILLLNVNHSINLWFALSEYVIEALFTSNNENHIFPGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPCLADRRRFYRLIDPRLEGRFSIKGAQKAIQLAAHCLSRDSKSRPLMSEVVEILKPLPNLKDMACTSSQFQAMQIERMGSTSKSRTGGRVQAGLPMKSGQPTRTLSSPNGPQFSPYNNNHPHRSPKPSSVGQPLR >OMO79238 pep supercontig:CCACVL1_1.0:contig10463:56913:61232:-1 gene:CCACVL1_13820 transcript:OMO79238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MAKKISFLFSLLLIVAFAIANGSATKEMVELYELKLGNMTVKLTNWGATIVSVILPDKYGKLGDIALGYDSVREYMNDTSYVGSIVGRFANRIAGAQFTLNGVHYKLVPNEGKNMLHGGPIGFSDVVWRVRKYKKDGHSPRIVFSYDSFDGEEGFPGDVKLYVSYTLLYGNKLAVKMKAEALNKATPVNLAQHTYWNLGNHNSGNILSQEVQIFASHYTPVDSEHIPTGEFECVEGTPYDFLQPHTVGSRIYKLPNGYDINYVLDGDLGKMKKVAVVKDNKSGRVMELSTNQPGVQFYTANFLKNVKGKGGYVYQPHGALCLETQGFPDAVNHPNFPSTIIYPGKDYKHVMVFKFSISS >OMO79235 pep supercontig:CCACVL1_1.0:contig10463:9286:10918:1 gene:CCACVL1_13817 transcript:OMO79235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPPHAFMFQSHEDHHHHNLPSPTSLTSLPSCPPPQLFHGGAPFMMKRSVSFSGVDKSHEEVHGDDELSDDGSNLGEKKKRLNLEQVKALEKSFELGNKLEPERKLQLAKALGLQPRQIAIWFQNRRARWKTKQLEKDYEALKKQFDSLKADNDALQAQNKKLSAELLALKTKDSNEISIKKENEGSWSNGSDNSCDVNLDISRTAVITSPAPPSQLSSKHLFPSSLRPATMTQLLQGSSRPDLQCLKLDHQSVVQEETFCHMFNGVVDEQQGFWPWGTVTEQQNFH >OMO54189 pep supercontig:CCACVL1_1.0:contig15039:2971:6003:-1 gene:CCACVL1_27985 transcript:OMO54189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-ACP thioesterase MLASASTSTCNKSTICLQPLKTSSNVNYGKRVSLKIKSKAQDFAHNGSAGQLATSTIRRILHDDMEMSTLLPAAAMELLTLEGRLMNGGQIFQQNFLIRSFDVDPDYKVSIKAIMNYLQDASVNYRKKMGMSGENLLGHVTPEMSKRDLLWVYRCMHIKVDRYPSWNDFVQLHHWFSTSGRTGLRCHWVINDIKTGETLVRASSLFVMMNKKTRKVCKLPEEVENELKPYQTINAESIVENERNSCPKVETMNHIRNGLTPRWNDLDYNYHVNNSKYVDWILESVPRSPIHNQELCSINIEYRRECLKDDVIQSLSKVVTDEQTNHQGIELEHLLRLESGPEVLRAKTAWRPKSVCRKSYED >OMO54190 pep supercontig:CCACVL1_1.0:contig15039:22641:25281:-1 gene:CCACVL1_27986 transcript:OMO54190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-ACP thioesterase MAASSNIIASTFFVLNSSSSLSSWNSRNNKSKICLQRSNRRSSSNKFNCPGLKIKCLHDDAQPLLLNGERRSLKSMVESPKYKDMTSVLPESMEHLITFEGRLIRGGRFFENHIIRSSEIDPDNKVTARAIMNFLQLRSTFVLNLKIHRACYRDLKFIGQNVLDAIVQEASLNHMKKRGMSTNALFGVTPEMSKRDLLWVFRSMHIEVDCYPSCLAVLMNKKTRKTCKLPEEVKEEIKHFVHTDAKPIVEVERNLYPEIETMNHIRNGLTPGWHDLDCNYHVNNAKYLDWILESIPGSIIYSHELSKIKLEYRKECLKDDVIQSLSKVVTNEIDQGIELEHALRLESGPEVVRARTAWRPKSINQT >OMP00858 pep supercontig:CCACVL1_1.0:contig06602:886:1239:-1 gene:CCACVL1_03276 transcript:OMP00858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSQVRISGIPASKDLFQCVRFRRDGVSDSTPY >OMO71254 pep supercontig:CCACVL1_1.0:contig11685:25948:26741:-1 gene:CCACVL1_18334 transcript:OMO71254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA oxidase/dehydrogenase, type 1 VCYEFCSKLLENAVCEEDTSVKLNYSCCFKAIFTWNNMKILQECREACGGQGLKTENRIGHLKGEYDVQSTFEGDNNILMQQVSKALLAEYVAAQKKNKAFKGLGLEHMNKPCP >OMO93503 pep supercontig:CCACVL1_1.0:contig08097:27639:30100:1 gene:CCACVL1_06479 transcript:OMO93503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2/LPE10 MEESHGHILPFDVPESTTSSYNNERLNSSTQSSRVSGFPGVKKRGHSSRSWIKIDLDGNVKVLELDKAKIMRQCSLPSRDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITAEEVILMNSLERNVVQYESELCKRLQSNKDQADDLPFEFRALELALELTCMSLDAQVKELEMEIYPVLDELASSINTLNLERVRRLKGNLLALTQRVQKVRDELEHLMDDDGDMAEMYLTEKKLRSEGNTPTELNTLSIFSGGTRVGSKSAPVSPVASTNGAHRLQRAFSSIVTSSKQGSLISSSDSGENIEQLEMLLEAYFVVIDHTLSKLLSLKEYIDDTEDLINIKLGNVQNHLIQFELLLTAATFVATLFAAVAGVFGMNLATSVFDYPSGFNWVLVITGFGCALLYFCFLLYFRYKKVFPL >OMO93499 pep supercontig:CCACVL1_1.0:contig08097:3851:6138:1 gene:CCACVL1_06475 transcript:OMO93499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQSEPSHDPEVVGKAFAKQFYTILHEEPTYAFKFYQDSSVLSRPGPDGVMKSVTGVKEINELILSLDFKSYRAEIIFADAQLSFARGVIVLVTGYLFGADNVRRKFTQSFFLAPQESGYYVSNDVFRYVDDIEPQPVAVANNDVDERTQAALSPDPELTDEPENAVANNYIAPLANGDANGDANGEEVSHPSDDNRKDAVVENGDVAEHVVPSSEIVQKDSLPLSEISTPIISEDAPKKTYLSVVHALSKNSSPFVLRPPVPKPKALEQPRRVAAPEAPAPKSNNKALERNNSFSGKYTSIFVGNLPMDATEEMLSEAFTKFGPIKPHGIQIRSFKDTRNCFGFVEFESAASVQSALTASPFTIGNRKANIEEKRGGGNGGRQGRGGHRDENGYRNDNAKGRGNLNGGRNSGRNEKNESSGQARGNGGRNEDSNKKVHQNGGPKVTREDQNRS >OMO93502 pep supercontig:CCACVL1_1.0:contig08097:23511:25150:-1 gene:CCACVL1_06478 transcript:OMO93502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDHRIKTSNQSRVHIGSDWSGRNKHSDRHSEFPIGSRTGRLSSEGGGSSGHSSEESESSKYSRRHLDLDDEIESSKYPIRHLPFDFEVTSSGSSRHSDTYPDVMNNRGGNASNPFEISPELFKGWGNDNDYEIHPEVIDGSASSKRSEVIQEESHRNECDRVILSTEKLRLSSSSSSSSSSDDADIKLSKSRSGITSTSSPNFDKSLKDEHHAHGNKFSKSEESYNVPADLTWASQVSSVTHESTSTKSPPVQVMDRLGEYDPCRIPTNVFARNQSTAPADWSIASNDSLFSIQVGNNSFSRDHILNLKSGELLMSAGRSATVHGQAAAAAALGG >OMO93504 pep supercontig:CCACVL1_1.0:contig08097:30466:35134:-1 gene:CCACVL1_06480 transcript:OMO93504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELRLISVSILLILATLEDVASHGDQPFSNIAVQKATFALSNQAYIKVSPTVLGLKGQNSDWVTVEFSSPNPSINDWIGVFSPSNFSASTCPAPADNPWVAPPLLCSAPIKFQYANYSTPKYKDTGKGSLRLQLINQRSDFSLVLFSGGLLNPKVVAVSNKVAFLNPNAPVYPRLAQGKVWNEMTVTWTSGYGIDEAVPFVEWAPKGGLPVRSPAGTLTFDRNSMCGEPARTVGWRDPGFIHTSFLKELWPNTLYTYKLGHILSNGTYVWSQQYSFRASPYPGQNSLQRVVIFGDMGKEEADRSNLYNDFQHGSLNTTNQLIRDLNNIDLIFLIGDLCYANGYLSQWDQFTAQVEPIASAVPFMIASGNHERDWPGSGSFYENMDSGGECGVLAETMFYVPAENREKFWYSTDYGMFRFCVADTEHDWREGTEQYHFIEHCLASVDRQKQPWLIFLAHRVLGYSSATFYADTGSFGEPMGRESLQKLWQKYKVDIAIYGHAHHYERTCPIYQNICTNKEKSHYKGTLNGTIHVVAGGGGASLAEFTTIKTKWSLVKDYDYGFVKLTAFNHSNLLFEYQKSSNGKVHDSFTISRDYKDILACTVDSCSSTTLAS >OMO93501 pep supercontig:CCACVL1_1.0:contig08097:18015:23189:-1 gene:CCACVL1_06477 transcript:OMO93501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMIIARKKNLRSGNNKKSPLPQHPQNHLAAVVGLGFLPVDGDGTGVAVALPTVVVATAVEEFSPAKMAYLWLLQQIKDSHHGAGTLQRTQITAPGLGFHAIQGLRSSPSTSLVVVDKVMKALRTLLLFSNMLDGVIPNELGQLHKLEILDVSRNNLNGFIPAELGDCVQLSVLALSNLFDPVPRGQSSNKELSSTLSLTAMTYEYNHFQGSVPMEITTLPKLKILWVPGASLEGKLPSNWSGCENLEMLNLAQNNFSGDVFGVFNGCKKLRHLDLSLNRLNGELDEKLPVPCMTLFDISGNLMSGSIPRFNHSVCPSVFSSYELPRNNDPASAYLSFFTYETHRGMLFPFSSFKAVSVHNFGGNNFSGSLPWLPIAPTRLDKHTDYAFLAGGNMLTGSFPGSLFGNCNKLHGMIVDVSKNRLSGHIPSEIGAICGSLRFLDVSENQLTGVIPQSVRELKSLVFLDLSGNRLRGPIPEGLHQLTHLKHLSLASNNLTGAIPSSFGRFVSLEVLELSSNSLSGEIPGDLVNLRNLTCLRLNNNKLSGKLPSGLSNKKCLPTLDVSFNNLSRSFPLNGNAVNSITVRGKPFLHSHHVFSLSVRTGDTTTSTGDSQDGTDPPSASSNATVDKSLDSIEIASIASASAIVSVLLVLVVLFFYTRKWVPTSRIQVAEPKEITAFVDIGVPVTYETIVQATGNFSAGKCIGNGGFGATYKAEIAPGTLVAVKRLAVGRFQGVQQFHAEAVI >OMO93498 pep supercontig:CCACVL1_1.0:contig08097:167:2175:-1 gene:CCACVL1_06474 transcript:OMO93498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIALIVSGIRIDFSSLRLDSVSPSSKTQQVVSLEDLKAALQRRGPDSLGTKKLLLLHSINLLNSGNSLVSSVTEETHVLDNGSNVPESFAELVFVGATLQLRGVTPVSQPLVDSYGNILVYNGEIFGGLEIGSDNNDTQVLMQYLRNCCSCHSQEHATTCNSNGQKKGYVPDVLSQIKGPWAIIYWQESSKTLWFGRDAFGRRSLLVHWPSLEDPRFLLSSVSPTSSSLQSSDFEVENGTNGIKFWEELSCGIYSMSMDATHLDGGFLGEITKHEWTNVMLKELIEWERASVEPKPEELYSSRFKTLTVKSDMDSASSGPIPAQNVLTALRESMMRRISLHNIYQAVKDGARQKENVPVAVLFSGGLDSMILAALLDEYLDPN >OMO93500 pep supercontig:CCACVL1_1.0:contig08097:11168:17370:1 gene:CCACVL1_06476 transcript:OMO93500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase MTNLSLQIEKYPRDLLQRFMSSTESQPSTRSEGEEEEEEQGLELNLGLSLGGRFGVDKNAKKLTRSSSIAGSIPIFREDIDANTPPAVSNYPTLIRTSSLPTETEEEWRKRKELQTLRRMEAKRRRSEKQRSSREKMEVNLLEEEKQTGRNSIPPFGLHSWAAAARQVILGGGSEVLGMKGKGGGGAAGFSQPFMQPCSQGSVESQGGSSSSMSEMENKALQDEIPSMLWTQKAAFGFDHKSSEAGSIPVPLGLACLRSLEQAVAVKQEAPVAPNPCKIKAIKRQWVLRGQRKVKPAELPDWRLKLSVRRWKTRGKKKVKWSDGKKFEDKFVETLQKYGYKGSYMSKEWLRKPVFIQSFAPTSLKYVSNLTKLPKILLIADPTIRTEDTNQTFSEITSDNYFKFIKKYVVGIGPWKETIVPSTNNYTTEATDLVSRAHAHGLQIQMSSARRTGNKLQAKEEPLNPNRYSNSDLGANVKTTSYEIYT >OMO79916 pep supercontig:CCACVL1_1.0:contig10363:64943:65011:1 gene:CCACVL1_13315 transcript:OMO79916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALNATNRAYELRLSCGRLDF >OMO79918 pep supercontig:CCACVL1_1.0:contig10363:88904:92630:1 gene:CCACVL1_13317 transcript:OMO79918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MGFSRPQKPGNGVSTRWVSLFCIASFFLGVLVVNRFWAISDPVNMDEEASSDASVQAGDILSQVSQTHDVIMTLDKTISSLEMQLAAARAAKADSEEGSPMVTKSGTENLKERPKVFFVMGIITAFSSRKRRDSIRETWMPQGEELKRLEKEKGIIMRFVIGHSASPGGVLDRAVDAEEEQHKDFLRLNHIEGYHELSSKTQIYFSTAVAKWDADFYIKVDDDVHVNLGMVGSTLARHRSKPRVYIGCMKSGPVLTQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVNRHILHRFANEDVSLGSWFIGLDVEHIDDRSLCCGTPPDCEWKAQAGNPCAASFDWSCSGICKSVERMEEVHQRCGEGDEAIWHTSF >OMO79913 pep supercontig:CCACVL1_1.0:contig10363:54779:57686:-1 gene:CCACVL1_13312 transcript:OMO79913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 MASSLSTLNHLGSSLCPRKIDNAKLSSSLFSSPNNLSSNPNISLQFLTKKQSPLISSTPRFLTVIAMAPPKPGGKSKKVVGMIKLALEAGKATPAPPVGPALGAKGVNIMAFCKDYNAKTADKAGYIIPVEITVYDDRSFTFILKTPPASVLLLKAAGVEKGSKDPQQEKVGKVTIDQLRAIATEKLPDLNCTTIESAMRIIAGTAANMGIDVDPPVLEPKKKKELV >OMO79919 pep supercontig:CCACVL1_1.0:contig10363:97269:100416:1 gene:CCACVL1_13319 transcript:OMO79919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVSVFVEILRKPTIGDVLSELMMFIAPIWVAVIVGVLVGWAWKPKWANLGREMLVDCSGSKDSAPASSESSSKGFGSISSLNLVKFQLPSCISWITDDGAHKDSFSSPPTVDSDCSSSRSKKEEQTGFVTDEDLEYLCKLVEEKDGGPAWIQMMDRSTPTMGYQAWRRDLETGPPQYRSRTVFEDATPEMVRDFFWDDEFRMRWDDMIVSASTKEECPTTGTMVVHWVRKFPFFCSDREYVIGRRIWESGRFYYCVTKGVPYDSVPRKNKPRRVDLYYSSWCIRAVESRKGDGQLTACEVLLFHYEDMGIPWEIAKLGVRQGMWGAVKKIDPALRLYQKERAAGAPLTHCAFMAQINTKVSADYLRSLESSSNNDSSELETHNSSEKPTGRNIPKLIVIGGAVALACSLDRGLLTKAVIFGVARSYSFFTLDYSPQECFWKHGMEGRVSGQFILEQKSCAPLEISYVSSQNHAYEVEDSYPPFINPLEVT >OMO79907 pep supercontig:CCACVL1_1.0:contig10363:19844:21136:-1 gene:CCACVL1_13306 transcript:OMO79907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGREGFSNEEEQNMGTKGKLPFSYSSSSSPSSSSSQHKTQIAHPLTNSRWEQPAQPLSHQIYDGHHQMSPNWLGNRYEPEEDNEASTEAAAAADDSNNSKRLDSDSSATAAAAAINIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSTNEKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKDKKLDAGDIVSFQRGVGEIGKDRLFIDWRRRPDAPDPASFHHQAHHHHHFSLHHHRSIPWSSSLMRPPPGRDHHLINPLSRNSYYGGFPTVGNNVVNPGVFYLRSAAVAAAAPQMGMGMMEWQPQAGGVVEAPIVFDSVPVVQGKAAAKRLRLFGVNMECPISETSTDECEILSTTTIANATPPHHQFSSSSSAQQNPLQLRLYNGTPLPQTTDFLNIANKGKASMSLDLDI >OMO79914 pep supercontig:CCACVL1_1.0:contig10363:59272:59412:-1 gene:CCACVL1_13313 transcript:OMO79914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFVKPFIEALSMKQVTTIAKLSDFIAFLYTIQAYSACHISIGNVP >OMO79908 pep supercontig:CCACVL1_1.0:contig10363:30461:30715:1 gene:CCACVL1_13307 transcript:OMO79908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFSTGSSGSGSPQMSTEAFMDQMKLQLAQAYAQEFVELSW >OMO79910 pep supercontig:CCACVL1_1.0:contig10363:44967:46156:1 gene:CCACVL1_13309 transcript:OMO79910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MAAATKLLLADLASAVKQVPSNYIRPISDRPNLSDVLQASHVSIPLIDLQGLYGPNRSDILKQISLACQTDGFFQVKNHGISDAMINNMLSLAKEFFQLPESERLKNYSDDPSKANRLSTSFNVRTEKVANWRDFLRLHCHPLEDHVPEWPSNPPSFRNDVADYCTSVRGLVLRLLEAISESLGLKKDHIDKTLSKHGQHMALNYYPPCPEPELTYGLPGHTDPNLITILLQDDVPGLQVLRNGKWIAVNPIPNTFIVNIGDQMQVKFLFLVFCRKIIIIGLN >OMO79912 pep supercontig:CCACVL1_1.0:contig10363:49667:50959:-1 gene:CCACVL1_13311 transcript:OMO79912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MEVHNSEPGQIIEIRGDVAAAEARQGGGTICGGAACGFSDAQTSSKDAKERATSMRKLLMAVVLCVIFMSVEVAGGIKANSLAILTDAAHLLSDVAAFAISLFSLWASGWEATPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAIVRLIHDNGEVQGFLMFVVSAFGLVVNIAMALLLGHDHGHHHGHGHDHGHGHGHGHEHHHSHGGNDHDHHDHEHGHDIEAHNHGLSITSHHHHHHDHGSNSKPDIENHHSHEDDLTVPLVNSDSKSGTKATRKKQRNINVQGAYLHVLGDSIQSVGVMIGGAIIWYKPEWKIIDLICTLVFSVIVLGTTIRMLRNILEVLMESTPREIDATKLQKGLCEMDEVVAIHELHIWAITVGKVLLACHVLVKPEADTDMVLNKVIDYINREYNISHVTIQIERQSMVQ >OMO79906 pep supercontig:CCACVL1_1.0:contig10363:4193:10296:1 gene:CCACVL1_13305 transcript:OMO79906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIARAFNSIISAITYCFFGYRDHEKKPVTNINYYNMPKGRPLSLQTVDLKVRMCCTGCERVVKNAIYKLRGIDSVEVDLEMEKVTVIGYVDRNKVLKQVRRAGKRAEFWPYPDVPLYFTSTSEYFRDTTNEFKESYNYYRHGYNQGHRHGNIPVTHRGDDKVSNLFNDDNVNACCLIMQRNSQAFCWVVLGFGRMMTESTKAINVFSNSTSSSRLSPLALPFTLNNSLNRQESFDPLLNSSSPSTSSTSGLDQPFSYLSLGRGYYISWPSDADSTFPAALASVYNPDFEPNSPFAYNLFEESPLRNHFISPTHQFSQTSSSLGNVGYNRGLQGIAVNQQGSEIFNNNDQIQRRSDGSLSCTCLLEQGTTVEGSKHFSKMSPVSHGKGTEVIRKDVRTRPADKEKIHTESSILPLANSEVDLLNKCTSSALSFSPRPQDTLNQLSYSGPLMTWSHCDPTIVPNERSVSHLGSCEQVSKENVVSVGSDVVNNMNSYFGHVLPSMMDTYMVQNPLDKVACHDQVIIENSEKRKVVEHVHDESKYPSIKAKSKLQIACTNVSEDLSLEQHGAKAAIPDNQSSTNFDDSDVDSPCWKGTQAYKSPFRDSMAVNSEDSNGQSPSRVSVPLKSGHSKNETVARNSLNPLAPVFIPGNSKLKVDYHQKECHGDSSSSSQKIAPLDGTSSSREHKSTKSVIANTCPPERINDIEPRCSNDASDSKKEYSVPYKHGSSAVDSSGSFQPYLRVQYVTSESQVVIATSVAGSTEGIATATSTAIHNALDRVEDIAHIGRTTGSSVHTTEEIALNIHSIGVDGDGFSDFTQRLPKLLNSMPPKIDVKSIINTMQYLSELLLLNSSFDLGSLSEHENDKLLNIINMLYVVRSKAGQIDARPVSSQ >OMO79920 pep supercontig:CCACVL1_1.0:contig10363:101478:101732:-1 gene:CCACVL1_13320 transcript:OMO79920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMFSVFDALCAEFLGQTIKPSFASTSATSKDGYSFFKTNKAGVAESMKKTQQEGNVEKKQQRAPRFAPELDGINCFETLVSY >OMO79921 pep supercontig:CCACVL1_1.0:contig10363:105913:106601:1 gene:CCACVL1_13321 transcript:OMO79921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSGPLVATVLAASTVALTSSSSAGQNDFSYPLISSNQGYNSTAKNGASRNCTASEKEKFAPRFDGLRFIETLITAHR >OMO79909 pep supercontig:CCACVL1_1.0:contig10363:31853:32956:-1 gene:CCACVL1_13308 transcript:OMO79909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSDSECHFKCLSRDLLAHIMVRLDGSSLASAACTCSELHGLAQDQRLWKHLCHSTWPSTSLKEAHHLFSSFTMEGFNRFYADSYPLILHDRNSDVIPIQTHLSPSDFASFIDVYYRDKCILSRVLDGMPNTAVCGDGQSNDFLRWFLNCPFRLVLFDVDSVEGDEVRSGNDEYGYTQMLSPRFMAPVQIGKTKDHSKELVEGLRLSWVLFDKKRGRAVNLSSWKPVLVKKIWATDGDYLMHFGCIVPVEENVLPHKLAKCLIMARCSLAGKEGYLRWKEISMHFEDTIGAHINGDKSLIIMNRALYCLRSNKPLVVEKAYEQFEEQKQEIIRKKKLKDTIADWLFLSFEVSIFITFGYYYFFSPI >OMO79915 pep supercontig:CCACVL1_1.0:contig10363:63448:63922:1 gene:CCACVL1_13314 transcript:OMO79915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKKCIPGTMLLLNLVNYFKFFFREAFTHLGLLKPPAAAAEEEGFYSPFNGIILLTDSRSGSLVPVPTQLVTAMIKKKVPVVEYGNFMERIGDELDEEQLANCRVCTVCLESMEENDKMRELYPRCIQII >OMO79911 pep supercontig:CCACVL1_1.0:contig10363:47423:47821:1 gene:CCACVL1_13310 transcript:OMO79911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTDEEDKQAQQYAMQLVSSSVLPMALKAATVLGVLEIIHRAGSGALISPSQIASQLPNLTNPNAPLILDRILRLLASHSILTCSLVTDHGNVVRLYGLAPVAKYFIRNNDGASLSPMLEFSHDKAITDMW >OMO79917 pep supercontig:CCACVL1_1.0:contig10363:65854:82953:-1 gene:CCACVL1_13316 transcript:OMO79917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLLRKEVASELMVYSYRHGFSGFAAKLTESQAQKLSELPGVVRVIPNSLHRLQTTRSWDFLGLSSHYPTNILQNSKMGDGVIIGVFDTGKVNGFTGLTYPEGTGLDPNSASACQDLSLNSTLVAGKVLLCFASVTRRVAIRSAAETVKEAGGVGLIIAKNPTDALVECTDGFPCIEVDYEIGTRILYYIRSTNSPTVKSSPSKTLLARTKDASGFPLFAEGSPQKPANPFDFGGGIVNPNGAADPGLVYDMDTSDYIHYLCAMGYNNSAITRLMGQAIVCPVEKPSILDVNVPSITISSLRNSVTLTRTVTNVGAPNSIYRAVIEPPTGVIVTVRPDILVFNSTIKKISFHVTVSAAHQVHIVYMGERQHDDPNLITKAHHDLLASIIGSKEGAIDSMVYSYRHGFSGFAAKLTEFQARQISELPGVVHVIPNRFHSLQTTRTWDYLDLSSHYPPNLLNDTNMGDGIIIGLLDTDCNRKLIGAKYFIDGFLAENEYQPFNTSSIPDYISPRDGYNHGTPTSTVAAGSFVANASYKGLAMGTVRGGAPRARIAMYKVCWNTSQGLYCSSADILKAFDEAIHDGVDLLSVSLGPPQFPLFAEVDERDGIAIGSFHAVAKGIPVICAAGNGGPDAKTVINTAPWILAVAATTLDRSFPTPIILGNNITILGQGLYTGKEIGYIDLVFPEIVAGACDSLSFNHTSVNGKVVLCFTSLADTVGVAAVISAESAVRAAGGVGLIVAKNPSDFMVPCGDDFPCLMVDYELGTQILLYIRSVSLDSQVAPTWKTDPFGQPIFAEGSPRKLANPFDFGGGLVNPNRAAKPGLIYDMDTDDYVHYLCALPVGYNESAISQLVGRAISCPSPTPSVLDVNVPSITIPNLRDSVTLTRRVTNVGPPNSIYKQMVEPPFGIAITVTPDTLVFNSTAQEISFQVRLTTSHQVNSGYRTAVFLLVNLLLILNGENRYVTPVRAESRVHIVYMGERQHDNPNLITKAHHDLLASIIGSKEGAMDSMVYSYRHGFSGFAAKLSEFQARQISELPGVVHVIPNRFHSLQTTRTWDYLDLSSQFSSYLLNDTNMGDGIIIGLLDTGKEIGYTDLVYPERPGLRPHLAGVCESLSFNYTSVNGKVVLCFTTVARRSAVTSAASAVRAAGGVGLIVAKNPSDVMGPCGDDFPCLVVDYELGTQILLYIRSASKEAAKNSMLYSYKHGFSGFAARLTESQAEEIAAFPGVVQVIPNRIHKLHTTRSWEFMGLNYHSSKNLLTESNMGEGTIIGVIDSGNKGQSIDTRLHSHGFTGITYSDRIAANSSDNSAEACLPGSLNATLAAGKIILCFGQSETQDIFSAAISVVEAGGIGVIFAQRNSDGLDYCHFIPCIKVDYEVGTQILSYIRRARSPIAKLTSQTGTDGSDIAEEGSTRKPANPFDIGSGLVNPNRAIDPGLVYNAGIDDYILFLCGCGYSSRSVTDLTKAQINCTKTRLDVLNLNLPSITIPNLTKKVTVTRIVTNVGAIDSVYKAQVQAPQGIKMKVEPQILRFNKTTQILPFKVTFISTLKVHGGDYRFGSLIWTDGKHIVRSPISVRAIWFGSYTN >OMO58373 pep supercontig:CCACVL1_1.0:contig14241:79099:79257:1 gene:CCACVL1_25470 transcript:OMO58373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQEKLLHQPLTAAPRSSQNKSLFHVPLWDTAVSSLLSPKVTLRLEAKKRL >OMO58368 pep supercontig:CCACVL1_1.0:contig14241:4569:5960:1 gene:CCACVL1_25463 transcript:OMO58368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSIHINQENAENTHHAVKITEADGNWLASMEAKINQRPKLLNESAGKLCCCIFRLPKSLVLTNDKAYEPQIVSIGPYHHGKEQLTMIQEHKWRFLRSFLSRLQGIISFSHLVQLIASHEETIRESYSEFINLNSRQLIEMMILDGCFIIELFYKVFRSDPSDDREPILSMAWILPFIARDFLKLENQIPFFVLEILFQQTRSFLGENIPSLKILTMGFFNNVIGRPFQVLQRYEHLTGKHILDLFRLSLIPKHLHHKKLNHGNVSQIQTAEKLHLVGIQFEPIRENISDSFLDIKFDNGVLRIPTLTLDDFSSYLLQNCVAFEQCYHHHCSNHMTTYATFMGCLINTPRDAGFLREKNIIENYLGADEQVAYFFNNLGKDMLTDINHSYLTGLFEEVNQFSSSCNIAHRNRKSRDTNNYVGSKRSFSWVIIAFILLMLIVIQVLLVIRPSLHAALLKSVQS >OMO58371 pep supercontig:CCACVL1_1.0:contig14241:31361:32740:1 gene:CCACVL1_25467 transcript:OMO58371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEMNGLGDTNQANVGISQAERDWIAAMELKINQPPKLLNESAGKRACCIFKVPQSLVGINENAYRPDIVSIGPYYRHEQHLAMIQEHKWRFLRNIHVRGVRLNDLYRAINSKEEEIRECYSATIEHGSNDLVEMMVLDGCFIIELFWNVHELKHRRRDELDDPILKMASVVPLIARDLLKLENQIPFFVLEKLIEPPILALGGNHPSMKNLTLGFFNYAVGRPNQMLQPHENLSCKHILDLFRLSMVPLPPSSPRLIPNNASFRLIPSAHKLRLAGVKFMPKQSSDSFLDIEFKNGVLQIPNLMLDDFATSLLLNCVTFEQCYDYCSNSVTCYATFMGCLINTPKDAEYLCDKKIIDNYLGANEQVACFFNNLGKDLPTDIHESYLKELFEDVNEYCSEGLRVCWAGFVNTYFRSRWSIISAIVGFLIVVSALVQAIFTLYPYVHSSPHKTRRKRLY >OMO58369 pep supercontig:CCACVL1_1.0:contig14241:8655:16803:1 gene:CCACVL1_25464 transcript:OMO58369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVAGCSEIKGDCEEILRRFQALAI >OMO58370 pep supercontig:CCACVL1_1.0:contig14241:18587:18978:1 gene:CCACVL1_25465 transcript:OMO58370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFIIDPAFGNSETLARQIALDVDAGGTPLTESYGGCDVAAAITVLSGMHGQKNDWIVKGHPPDDPPPPPPPPPPSTSTSQIYILTDSMDSESFRFYWG >OMO58372 pep supercontig:CCACVL1_1.0:contig14241:71865:72288:-1 gene:CCACVL1_25468 transcript:OMO58372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARELQYENSIHRTERISFKPKDWPKWLPWPPKKPIKVPKIDPPPPAPRCLKVGKKIVCQKPRCLKVGKKIVCQKPPATATVP >OMO58374 pep supercontig:CCACVL1_1.0:contig14241:85245:85918:1 gene:CCACVL1_25471 transcript:OMO58374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dimeric alpha-beta barrel MTGFKHLVVVKFKEDVAVEEILKGMEKLVSEVESVKSFEWGQDTEGLDMLRQGYTHAFMMTFEKKEDYTAFVSHPSHVEFSATFSTAIDKIVVLDFPCVLAKAPA >OMO63933 pep supercontig:CCACVL1_1.0:contig12878:3676:4329:1 gene:CCACVL1_22162 transcript:OMO63933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKTKTAIGSISMDKKTAPESDHQNQNGLPPAMHKKKKSANLFKAGLSLLRSKSRKSSKSQSKSSNHQADHDHHHVGSKFSWKGLVVSMRPMHLQGHRSHIPTLAIEAKPATSLPENKQTTLGQYHEEARANTAPFCPNVKESPSTISMSSYGSASDMGQYESPLSVLPDQIKSGHTTFWYGDDEEDDSGDEMIDAKAEQFIAQFYQQMRLQNMNR >OMO63936 pep supercontig:CCACVL1_1.0:contig12878:9476:11169:-1 gene:CCACVL1_22165 transcript:OMO63936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTVIIKKPSTKGEGFDSLSMRTLVADIHQPPANKGMAHARKFGI >OMO63934 pep supercontig:CCACVL1_1.0:contig12878:5964:6287:-1 gene:CCACVL1_22163 transcript:OMO63934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYGSGQRPSSYDRRMEIVSGKSSSIGGNQIFSGRSRSPDLQQAQNRTTRASQGTSKPWGFTDPEMKRKKRIAKYKVYTVEGKVKSSLRKGLRWIKNKCSQIVHGY >OMO63938 pep supercontig:CCACVL1_1.0:contig12878:19296:22402:-1 gene:CCACVL1_22167 transcript:OMO63938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALVAMGESEEQRQPLLYNPSSDGNEDEIAASSTSDQPDAPKLTLNDSNQRLVSLDVFRGLTVALMILVDDAGGAFPSINHAPWFGVTIADFVMPFFLFGVGVSISLAFKKASSKPLATKKVILRTIKLFLLGLFLQGGYFHGRNDLTYGVDVAKIRWLGVLQRISIGYLMASVSEIWLVNSVTVDSPTAFVRKYYVQWILATLLLSFYMCLLYGLYVPNWEFQAPSLNLFTNGSQTQIVQCGVRGSLEPACNAVGYVDRYFLGEQHLYQRPVYRRTKECSVNSPDYGPLPPDSPEWCLAPFDPEGILSSVSEILILPRGISAAQ >OMO63940 pep supercontig:CCACVL1_1.0:contig12878:25262:27290:-1 gene:CCACVL1_22169 transcript:OMO63940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MEEKLSSVAKKFTPSPIQELSDLAQRCNAINLAEGFPDFPAPSCIKNAAVSAINSDFNQYRHVQGICDELALIMKRMYGLNVDPLTDIAICCGQTEAFASAIFAIIDRGDEVVLFDPCFETYEACITMAGGIPVYVALDPPQWTLDPDKLMRSFNSRTKAIVLNSPHNPTGKVFSIAELEVIAEACCKWDCLAITDEVYEYITFDNQKHVSLASLPGMQERTIITSSLSKTFSVTGWRIGWAIAPPSAASAIRNIHVKITDSAPAPFQEAALTALRSPAEYFETLRAEYQSKRDFAVELLTSVGFQIQFKPQGSFFLFAELPKNCLLSDVEYVKELIKQAGVVVVPGRGFFHGESSLDQSSKECCSYQSRYIRVAFCKSNATLTAAARKFGELMNATGYPRLHF >OMO63935 pep supercontig:CCACVL1_1.0:contig12878:8352:8939:-1 gene:CCACVL1_22164 transcript:OMO63935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMAPDKQSDYNQQKPSSSSLKLFGFSLTDDQEEILEKAADDEDFGESRKFECPFCRRVFANSQALGGHQNAHKRERQRARRAQFQHHHQRYVAATPVLSSHAVRTSIPPTAFPRGFTTTNGSSGKFVAPTTGYCPSRPLLLPSTPSQYAPRIYLAQPLHFATATPVFTEFSGKLPEGPDIGSIDLHLKLSPSG >OMO63937 pep supercontig:CCACVL1_1.0:contig12878:16307:16591:-1 gene:CCACVL1_22166 transcript:OMO63937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDQKAIADHQTVDVDTQSYNTEKNRTERSASSQESTKFVHFSKALAHRAVYGGSSSRRSSGTRKIRTNDARSLPSRLSKVSLADDDDDDSEN >OMO63939 pep supercontig:CCACVL1_1.0:contig12878:23085:25047:1 gene:CCACVL1_22168 transcript:OMO63939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MEMERRRKKRKLCMNPAVVIRTLVVLVILLGFIALVAFLVIRSRRHRPPPVQDNYTIALQKSLMFFNAQKSGKLPANNNVSWRGDSCLDDGNGYLNGLQGGYYDGGDAVKYSFPASFAMTILSWSVLEYSAKYEGAGELNHVKDIIKWGTDYLLKTFNSTADSVDNVVSQVGEIDNSSKEAKTNEGNCWIRPESISYTRPAIPCSTCPALAAEMAAALAAASIVFKDDQGYSKKLVHGADILFKFATKGEGENYAGGSDPPSHYNSSGFWDEFVWGGAWMYCATGNSSYIDLVTKPGLAKHDDAFWGGPDCGVLSWDNKHAGAQLLLSRMRLFLDYGYPYEEMLKTFHDQINEVMCSYLPDSSNFNRTRGGLIQLNHGKPKPLQYVMNAAFLAALYTDYLQAAFIPGLQCGSKFYPKEAFRDFAKAQINYILGRNPKSMSYVVGFTDHFPQHVHHKGASIPSDIKHQNCQEGLKWRDSKEPNPNTIVGAMVAGPDKDDGFQDNRSNYNYTEPSIAGNAGLVAALVALLDKSSPGIDKNTVFYAIPPMSIPQPPPPPPYKP >OMO63941 pep supercontig:CCACVL1_1.0:contig12878:30600:33693:1 gene:CCACVL1_22170 transcript:OMO63941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREENLLKKRREGLLAQQQQPQQQQLLSSSAASEKKLESLPAMVAGVWSDDRNAQLEATTQFRKLLSIERSPPINEVVQSGVVPRFVEFLARDDFPQLQFEAAWALTNIASGTSENTRVVIDHGAVPIFVKLLGSPTDDVREQAVWALGNVAGDSPKCRDLVLGHGALVPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPSFEQTKPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPTVLIPALRTVGNIVTGDDMQTQCIINHQALPCLLNLLTNNYKKSIKKEACWTISNITAGNVDQIQSVIEAGIFAPLVHLLQNAEFEIKKEAAWAISNATSGGTHEQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGEAEKNLGHTGEANVYGQLIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWVEDDDEPLPPGDASQSGFQFGGNQMPVPSGGFNFS >OMO81825 pep supercontig:CCACVL1_1.0:contig10097:1153:7374:1 gene:CCACVL1_12176 transcript:OMO81825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidohydrolase 2 MEFAELREAIGKIKLVDSHAHNIVPFDSSFPFINSFSEATGDALSFAPHSLSFKAPNSTLSTFPFLFKLYLNEILYSLSMRNLREVAELYGTKSSLDAVEQYRNSVGLQAIGSKCFEAAGISAILIDDGLKLDKKHDIQWHKQFVPYVGRLLRIERLAEEILDGEMPGGYTWTLDAFTETFLKSLSGLEINPHVSKEDAEIGLSEVLHSGKPVRITNKSLIDHILTRSLEVALQFNLPLQIHTGFGDTDLDLRLSNPLHLRTLLEDKRFSGCRIVLLHASYPFSKEASFLASVYPQVYLDFGLAIPKLSVHGMISSVKELLELAPIKKVMFSTDAYATPETYYLGAKRARDVVFSVLRDACIDGDLSIVEAIEASKDIFAQNAIQLYKINLDVNICDSKASESSTYMIGTNVPEHSVSLVRVIWVDASGQHRCRVVPKKRFDDVVKKNGVGLTFASMAMTSFVDGPADGTNLTGTGEIRLMPDLSTRKAIPWTKQEEMVLADMHLKPGEAWEYCPREALRRASKVLKDEFNLEMNAGFENEFYLLKKLEREGKEEWVPIDSKPYCSSSGFDAISTLFQEIVGALNSLNVSVEQLHAEAGKGQFEMAIGHTACAYAADNLIFTREAVRAIASKYGMLATFVPKYALDDIGSGSHVHLSLWQNGQNVFVASDPSSQYGMSKVGEEFMAGVLNHLPSILAFTAPVPNSYDRIQPNTWSGAYQCWGNENREAPLRTACPPGIPNGFVSNFEIKSFDGCANPHLGLAAIIAAGIDGLRRHLRLPEPIDANPATLEGKLPRLPSSLSESLEALENDNVLREMIGEKLFVAIRGVRKAEIDFYAKNKEAYKQLIYRY >OMO60585 pep supercontig:CCACVL1_1.0:contig13707:15713:15856:1 gene:CCACVL1_24027 transcript:OMO60585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQVEDIILLLLLFEVFEGLCRRCFRRRRCLSVEGNMDMDMGYLAW >OMO60586 pep supercontig:CCACVL1_1.0:contig13707:27814:29342:-1 gene:CCACVL1_24028 transcript:OMO60586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEQGFPMAVPSYYEVLGLNVDASVEDVKRAYRKLAMQWHPDRWTRTPSLLGEAKRKFQQIQEAYSVLSDQRKRTLYDAGLYDPEEEDDEGFSDFVGEMISLMAQTRKEEKGCSLEELQKMFWDMAKEFQSPSWFCTSSSTFEESSGSSKRARWDSNRMSDRPSHLSVPGFNMYQTSSY >OMO60591 pep supercontig:CCACVL1_1.0:contig13707:39326:39391:1 gene:CCACVL1_24033 transcript:OMO60591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDRTTDFHTTRTRPTSEQD >OMO60588 pep supercontig:CCACVL1_1.0:contig13707:33075:35245:-1 gene:CCACVL1_24030 transcript:OMO60588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCNLKTVNSPLTPAIGKRDLLPTNTSVFVASKSKTAFVPLQVFITTGNGRSLFRFPKPSIDLFKPEKPTLVDRFQQAKVKCEKGRITTKTKSSSVSRRDVMESLTTGILGLALLPKPAEARISRLEMKKMILEKLEELKQKAGLSKPKTENEMKLPKIENDVKPPTKPSPEDKQSPTKPSPEEKKPPTLPLPPPELTAKPLVEATLSDIPPPKGAL >OMO60589 pep supercontig:CCACVL1_1.0:contig13707:37702:37902:1 gene:CCACVL1_24031 transcript:OMO60589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGASGKSRAGTTLISTPQRYNAAKAKPKRPFTSGLFPFTASCKVGSPNPAKKKQIPIHELARGRD >OMO60581 pep supercontig:CCACVL1_1.0:contig13707:758:898:-1 gene:CCACVL1_24023 transcript:OMO60581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTTACHQGKTSVALTLRKMSDYTESHAENHRLPPGEDISSSHIE >OMO60583 pep supercontig:CCACVL1_1.0:contig13707:4697:5673:-1 gene:CCACVL1_24025 transcript:OMO60583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYAMHVLINEWQPPASSPHRKPPQIKPKTPKPTPPSLILYHFLQETPLKPAPFTRNLPLPFSAKGTDGTPLKLG >OMO60590 pep supercontig:CCACVL1_1.0:contig13707:38322:38444:1 gene:CCACVL1_24032 transcript:OMO60590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAKAKAFLTQTKFSPTFARRATACLTKTLALVEAFCNA >OMO60587 pep supercontig:CCACVL1_1.0:contig13707:31455:32570:1 gene:CCACVL1_24029 transcript:OMO60587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MSPIKTSFVFLITIVFIAPAAFARSPHVINFRWRNLYPEGMAWDPSAQHFIIGSMNQRSIHSVSDAGVIETIVSDLTLPENVTVLGLAVDSTKKRLLACLHSVAPLPPFNALVAYDLRTRRRLFLSHLPSDPDFPISSTGRGRDVANDVAVDFKGNAYVTNSVGNFIWKVNENGEASIFSRSPAFTRYPSVMDPNDPFNDCGLNGIAYVSKGYLLVVQSNTGKMFKVDEEDGTARTVLLNKDLVMPDGIAIRRDGVVLVVSSKYLWFLKSSDSWGEGVVYDKTALDEESFATSVVVGEEDRAYVLYGHVMEGIMGKGEMREKFEIVEVRSEKESGDEHVWVYVMIGLGLAYFMFWRFQMGQLVKNMDKKIN >OMO60582 pep supercontig:CCACVL1_1.0:contig13707:4008:4217:1 gene:CCACVL1_24024 transcript:OMO60582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNQMKLLPCPRFDEIEIRGNKQTEPQRQRRRSTILTLVEQLYMIKDNCRLQDNKVTNWKWKVVPVQRQ >OMO60584 pep supercontig:CCACVL1_1.0:contig13707:9481:13917:1 gene:CCACVL1_24026 transcript:OMO60584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEIEEPFSISFQAESLHSGSISFGRFETEPLAWERRSSFSHNRYLEEVEKCSKPGSVIEKKAYFEAHFRRKALLLQGSSEGQNGGEDQTCDSDVVDNEEYQTGENDAAENIGYRDEYDNTSKGSHYHHFDENGLDADYEEDLYHGNEGSLLHHENEENQFDHENEGSLFHHENEENQFDHANEGRHCTHFDESPYGSEYLGEGELVECERRYHILVDSVPEDIKPHETQNESGCDKLHMSNDKPENEVNENHDGNSVKIDESCMPKEPSPKAGTSLEVDTTSLGSWQNHSPKTAIESKATKAMLKSPVSPDHSQKNFSRDASRVAAKIQVKREKDITGKMKPDKLSLRTATPTRRPVHRSPKKDDSERSNAKLSTESKSIKGPMTKKVIEAQPVSSKKIEPVARQTPNRPKQTVNPTKADVKSSAGSFHFKSGERAERRKEFYMKLEEKMHAKEAEMNQIQAKTQEKTEAEIKQLRKSLNFKAKPMPSFYHAATAPGSTGNKAASSTMKPAKGREKPASPGIGVSSRSSSHSKEANKQALSASGPVRELNSPTVESSQARTTSSTPAAICLKSPESRTPREKEGSNLPKHRISESSKIIKDHKNGSRPKVGGQRNSSEMVRKNMKGAGISSSSPMGRLAVVAS >OMO80345 pep supercontig:CCACVL1_1.0:contig10331:3443:5006:-1 gene:CCACVL1_13010 transcript:OMO80345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSLGRISSRLDEWVLCRIYKKNSSAQKPLASSTVSSKEHSNNGSSSSSSSQLDDMLESLPEIDDRFFTLPRMNSLKTLQNDEKMGFQNLGSGNFDWASLAGLSSVPEMMPVGQTQAQSQAIPSYGNNDAYVPTMPPNLCQMDTSTNKIGNSVEEEVQSGIRNNHSGFLQQNSSVLTQNFSSSMDPYGFRYPTQSSGFGFRH >OMO80346 pep supercontig:CCACVL1_1.0:contig10331:11298:14188:-1 gene:CCACVL1_13011 transcript:OMO80346 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MEREIDIEAQNKVREDNLEATSIFKQANQPVVLKFVDVVYTIKLTKQGNNFFGKKSKSEEKVILNKINGIVEPGEMLAMLGPSGSGKTTLLTALGGRLGGRLHGTITYNGKPFSNSTKRNTGFVTQDDVLYPHLTVTETLVYTALLRLPNSFTKEEKITHAEAVITQLGLVRCKNSIIGGLFLRGISGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTTAQRIVSTLLELAKGGRTVVLTIHQPSSRLFYMFHKVLLLSEGNPLYFGQGSAAMDYFSSIGFAPSVAMNPSDFLLDLANGISSNELQEDQSTVKKTLIAAYKSNIADKLKDEIEEIESHSPQGLEKKKFARWATNWWQQFTILLQRGVKERKHESFAGLNIFQVLIVAFLAGLLWWQSDIAHLQDQIGLLFFASGFWGFYPLFQAIFTFPQERMMLEKERSSGMYRLSSYFMSRTIADLPMELILPTAFIIITYWMAGLKPSAGNFLHTLFVVLFSVLVAQGLGLALGALVMDQKKATILGTVIMLAFLLTGGYYIQHVPAFISWLKYVSISYHTYKLFLGSQYKPNETYPCADSGKVCLVRDFPSIKTVGLDGQVLSAIALAIMFVLYRLIAYFALGRIGVTKKLEN >OMO80348 pep supercontig:CCACVL1_1.0:contig10331:24778:25505:-1 gene:CCACVL1_13014 transcript:OMO80348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIQRYSSAAMMSFHRNFLATKTATTNKMANHLMGNKAYCDVFINHRGIDTKRTIATLLYDHLSRLNLQPFLDNKNMKPGDKLFDNIDKAIRNCKVGVTVFSPNYCKSYFCLHELALIMESKKKVIPIFCDIKPSQLRLVNDGKVPAKDFQRFKLAIEEAKYTVGLTFNSSKGNWSDVVKNASDIVIESLVEIESEEQMVKNSTNAPMTL >OMO80347 pep supercontig:CCACVL1_1.0:contig10331:20631:22966:1 gene:CCACVL1_13012 transcript:OMO80347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 1 MSITTIIQVSNLFSFFFVFVHLLLYSISNAQALDTESQDVKRYQFPDNFLFGTATSSYQVEGGYLEDGKGLSNWDVFTHIPGKINNDENGDVADDDYHLFLVCQALDPLVFGDYPPEMRQYHENALPRFSPEEAEYVKGSIEFIGLNHYSTLYAKDCIHSSCQSGGDHFIKGFAYTTGDRDGIPIGEPTGVDRFYVVPRGMEKVIDYISKRYNNIPIYVTENGYSPPMPQTEQAPDLLHDVDRIKFHKTYLAALARAIRYN >OMO58296 pep supercontig:CCACVL1_1.0:contig14253:7933:7992:-1 gene:CCACVL1_25499 transcript:OMO58296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPGKHDALKLGASMYSK >OMO58297 pep supercontig:CCACVL1_1.0:contig14253:11981:12550:1 gene:CCACVL1_25500 transcript:OMO58297 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA binding protein MEPKVPRPRGRPRKRKRPEEENESAAGDLKSDNSKSKKRAFLMRSVALVGRYVLKEFGGNVYLGKIVSYDTGLYRVDYEDGDFEDLESGELRELILEESYIDDDLSRRKVKLDELVLRRIAKKERELEEEKKKVEALKNEINRVETSAVSELSGGMTVENDGEQLEDDYEMIQSFGFHSYAKIHCAMIE >OMO98850 pep supercontig:CCACVL1_1.0:contig07002:45323:48198:1 gene:CCACVL1_04029 transcript:OMO98850 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 protein MASSKERETFVYVAKLAEQAERYDEMVESMKNVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEEGKGNEVNAKRIKEYRHKVESELSNICNDIMTVIDEHLIPSASAGELTVFFYKMKGDYYRYLAEFKSGNEKKEAADHSMKAYETATTTAEAELPPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLNEESYKDSTLIMQLLRDNLTLWTSDIPEDAEEAQRVNGTSKAGGGDDAE >OMO98848 pep supercontig:CCACVL1_1.0:contig07002:8201:13779:-1 gene:CCACVL1_04027 transcript:OMO98848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase/epoxide hydrolase MNSSVELNHSSNPQESKILAVILDLDGTLLDTERCGKGVLKEFLAKYGKELVKEREGCKRVGATHKESSAAIVKDYDLPLTPHQYTNEILPMYRAKWPLAKPLPAANRLIKHLHKHGVPLGLASNSIKEYIEGKISHQQGWKECFSVILGSDQVQSGKPSPDIYLEVAKRLNVDVASCLVIEDSLVGVRAAKAAGMNVVAVPPRSEADSSSMADIVLHSLLEFQPELWDLPPFEDWIDNALPLEPIHVKVQYQDGSVWENTEDEISVIPDQVYGVYFGWTKVDKRIIKIVASIGLQGTAKRNIQMCIVDENTEEIADQQIELVLVGYIRGFNSEVSKASRKDQKRSSKLKFSKIIECDELKLEIKSLNQQLTDEFKGTKAEEKESAPSATATPAVLFLLALALDLAPEKISAAITHHEQQCHELQPHVRVARGETVLVTRWIPPPADTVKINVDAAFDESPQWAGLGVVIYDSYGAVLICATKQCVFIQDSLFADVFSIRLGLQVARDEAFCCCILESDCLVAISVR >OMO98851 pep supercontig:CCACVL1_1.0:contig07002:49344:49974:-1 gene:CCACVL1_04030 transcript:OMO98851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRTRKSPQFSAKSSATRSKHHRKIPREKSYYYSDDDIDNVDTCLSHYPVESNGDDELEQQDNHEDDYDNEVDDASEYQSESMANDPKPSNNGSLGASASSNSVTNSEIWTQSHVTEAISSYINIAPFPIFRGGPNECPVTHLSRFAKVCRANNVSSVDMMMRIFPITLEDEAGIWHTIKPKFQSS >OMO98849 pep supercontig:CCACVL1_1.0:contig07002:16937:43661:1 gene:CCACVL1_04028 transcript:OMO98849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERVLHQVLLGYLGRYVKDFSKDQVKVTLWNIEVELKDIDLILEAFDYLQLPFALKQGRVGRLSIKVPWNLISGEPILIALENVFCSVSPRDDHEWKMDAVETRELAGKKAKLAAAELAKLSRRVSDNKGGWSFIPYVTAKVLENIQVSIRNFHVLYSDKQSNSEQVMFGLRFSSLTMLKQNPVGLRAGQVSKIVEIEGLEIYCSIFKEADNMLSLISAEDSKSWCNSRSVGDKSEHILEPVNVSLSLLVNRSGKLNDLPQYSISAKITCLVVSLNETQLQQILILSDYLRTSQLREKYGRYRPWSCPLSRKEAGWQRLWLNYAQQSILSDVHEKLKKTSWRYLGQRLSNRRKYVNLYKTKLEFLRQDQAIDESIICELEQMEKESDIDDILSYRSRAEHELQEVLSKSLTTNISVEKSRQDDQSSGKSRGWLNWLSRGMLGAGGTDASSQFSGVVSDEDVQDIYEATKFYPLVMSGVDADANDKIHTRVIEFCIDEISATLWSMNFCQEISRLNLHEGVVKCNLQEEVVTVIAFVKSGEMVNSSNEQVIRLMSGLEKNVGEDLPLFRVQVDFYAKPDAELSVNVMLHSLEVSYEAAFFQDLIEFFAIIRSFEFQNERVLSSLDGIEDVKSRLLAKAEYILSSHKKVTWDVSITNIAMNIPLKNAVLEEFNMVLDLGSLLFTSKTELDSLSSSIDEQLFIQKNSVDSSSSEWLTSFQLQHLYNYFETKLVDFEVKLVKPNHPQTISIVKKFCACTTLASCIIPNESRLKQLEVYVAVSSLDANFSVSIFESVVALEGLLNIQSSRSEPVMMETTDSVHNVSRHPRASIFGFTVTANIKSSNFYIDLANDGENSSSIMLALRNLDLRYSFMEYESCWICLQAMEVTADTGGERNNHVLCSFGDLSASNTENLYDMPIKFGDASDDFCDMNISSKACFLLYYEGHGNMGFVNHKFRVYLNDAELHCYPNIFGLLVGFYERICSSIPLNASENSLHPTFGGKSTIKMPVFEFPRFGFSNFSEVGTSDYASISVDCFPFVTVNNSGSLGSLESSLRYTAPDWRKLFHLRDKKFRSPNSSLKKGTKPFHSSPLKSKMGLVAFPTSGSADANLVAIDINLSGVKLHFHDSSCIIGTITLPTSKSSLWIYDDCMDLVSSSEGVILSSSWCTNYLHDFLWGPSLPNLSPILNIRVRKGSFESLTSHLEVSFGIQHVCCILPAQYLAIIIGYFSLHDWSSHSSLQPVSENMDSQTENDIIYKFEVLESTLILPTECDEQQFLRIEIQQLCGSFVDNCALGDVFKDIPPEYLVPGDKVARTNNCLNIFGRDLSLSFMLFEDDCLTFVPGSKPRNFPLIAPFGADVWIRIPSEIQSLSGRSSDSTCIMSRIGVCHALFDDVYFIGGFEALLEIVDQFSFVYDDSKCYTSDVLQFLQSKFRKESRAVSPAVSDMTCTEVKCHVESLLIQLNRLGKDLVLLEPVATAEMNFICSVSLINETPISLDLSFFSLSLCSLLNSVVLARCSNNSTRSVLDLTLLESDKHQSEFHICLPSLEIWIHYSDWTEVLDLYDSYGQKVAKTAKLDSSSRSSVMNTVCSVQNESEPVPQISVVKSGASTFDVPLSSMEEPVVLTVRSENIGITFHFPISATGDENRELLFTKFGPENVSSTHVEGKHCKLLTFMTHSKSSELIISGENAKFNCILEKTSGTVGFQGDECVNSWPLFQIFQVNVETEIFNIQEKAVKVNLGVQCDRLDVWLSHQIFFLLHDVRFNVPGSRSSQHNFGSMDFKIQLRKGSLLLSDGRWNCSGALLEILLRNFLLHVNMTQNSLESAVACDLQVNYNNIHKVFWEPFLEPWKFEMRVLRKQELNALLDNSIITDIHLLSTGQLNFNFTEPVIETGFRTVEMFKDACGFVEQDFSEKQRFLNHQLTENISGGRYAPYILQNLTSLPLVYCVYQGLANSDLFDVSKEDGQVVQPGASVPIYLHETPVEQLFRYRPNHSSDNLSERQSDGVAHHLMTIQLDGMSVPSAPVSMDLVGLTYFEVDFSNTSEYNVKMKENGAADAKNGFIVPVVLDVSMQRYSKLIQLYSTVMILNTTSMPLELRFDIPFGISPKILDPVYPGQEFPLPLHLAEAGRMRWRPLGDSYLWSEAYNLSDLLSLESKIGFLRSFVCYPSHPSSDPFRCCLSLQHISLPAADRLKKGPANHVGPTLNQSIQSCNKSLNGTGQTKNRFIHQITLSTPLVINNYLPEAISLTIESGGITRTALLSKVGTFFHHVDLSHDLILEFSMHGYRPSVIKFPRTETFSTTAKFSGTKFCQSETVSFDPDMSNGPIYVTMEKMMDVFSGARELFIYVPFLLYNCTAFPLIISEFTNGINGTVCTLPSCYNQVDDELFQGRKDGLSLLISDQHSYVGAPQNDSLGCSFLKNHIASTRKTGNNGLVKKECGTVKACIYSPHPISTASEIVVCVGNSLDGHKSEHMPNPLWSEPFPLVPPSGSTTVLVRQPSSNATLILSVTSSAAAGPLTGRTRTITFQPRFVISNACSKDLYYKQKGTDIVYHLGVGQHSQLHWTDTTRELLISMRFDEPGWQWSGSFLPDHLGDTQVKIRNYASGAMKMIRVEVQNADVSIRDEKIFGSLQDNSGTNLILLSEDDTGYMPYRIDNFSKERLRVYQQRCESLDTIVHPYDSCPYAWDEPCYPHRVTIEVPGERIVGSFSLDDLKEYMPVHLPSTSEKPERMLLLSLRAEGATKVLSIIDSTYHILKDIKNQSTIRLQGKQKQEDKQEKSVDYKEKFSLTIPYIGISLVNSHPQELLFASARNIKIDLLQSVDHQKLSFQISSLQIDNQLHNTPYPVILSFNNDYRSNRVGQIIKDDSPKSKAEKGLPNPSDSFEPVFYLAVAKWIKKDVSLVSFEYISLRVADFRLELEQEVILSLLYFFKAVSPGIQSQVLTISDPNYSVGFMHGQTSEHVKAKEQLRGIGTLVLSKSDETVGLSPFIVPIGAPWQQIHLLARRQRKIYVESFELAPIKFTLSFSSSPWMLRNGVLTSGESLIHRGLMALADVEGARIHLKQLSIMHQMASWESIQEILVRHYMRQLLHEIYKVFGSAGVIGNPMGFARNLGAGLRDFLAVPAKSILKSPTGLITGMAQGTTSLVSNTVYALSDAAFQFSKAAHKGIVAFTFDDQAVARMEKQLKGEASHSRGIINEVFEGLTGLLQSPVKEAEKHGLPGIFSGIAMGVTGLVGRPAASILEVTGKTAQSIRNRSRLYHMGLQHYRVRLPRPLSRELPLRPYSWEEAVGISVLLGADEGKLKDEVYVMCKALKEPGKFVILTERLVLVVDCPSLVDLGKPEFRGVPADPEWVIETEISLHSVIHTDLDDGVVHIVGSSSDALLRQKQQLSRKDGGTRKRWNNPSTPLPLFQTNLELASEEDAGVFLRVLLSAIDQGKEQGNREFLLHRNNIK >OMP11505 pep supercontig:CCACVL1_1.0:contig01226:5956:10316:-1 gene:CCACVL1_00479 transcript:OMP11505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSMEPVAPESMTNQASKIKKKNKKKNKSAKVEDQSEEILEQKNQETEEFEPKESKKDKKKRKKEKENKKEGEAQEKNHEESTDEPKKNKKKKQKQEEENGEINETRKAKEDSVQFDGNDKVVVSGMNAEEAKYAPLKTFSDSKLPQNVLDCCKDFSRPSPIQAHAWPFLMDGRDFIGIAKTGSGKTLAFGVPAMMHVLSKRKGKSSKGKNPLCLVLSPTRELAEQIFSVLCNAGNSCDVKSVCLYGGTPKGPQISSLKSGVDIVIGTPGRLKDLMTMEVCQLKEVSFVVLDEADRMLDMGFEEDVRFILGKTCSARQMVMFSATWPTAVHRLAQEYMDPNPVKVVIGSEDLAANHDVMQIVEVLDERARYERLTALLKKYHDKRNRVLVFVLYQAEADRIENMLQRSGWNVVSIHGRKPQHERTKALSLFKKGSCPLMVATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGRKGVAHTFFTQQNKGLAGELVNVLKEAGQVVPPALLKFGTHVKKKESKLYGAHFKEIAADAPKAKKITFDNSDDEN >OMP11504 pep supercontig:CCACVL1_1.0:contig01226:2227:5040:-1 gene:CCACVL1_00478 transcript:OMP11504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAEIPVSFILEKISYFLQNEIQILQGVPEELESIRDDLETLKASLRAADLVEDSDHQLKQWVRQVRDIAYDIEDAIDDFTYHHADQHGNRIHGFLYKFCCFAKTLKGYHRTADDLRKIKSRIGNVSAWQSNNNDRFKKTDQGSSSRTPVNDALILDSVDLVGTEEPKEKLVKWLVESNSRRRKVVSVFGMGGLGKTTLVKQVYDDERVKKRFDVHVWITLSHSLKLEDLLRNIVQQVFTAIRKPVPEGIDDMKSEWLKVAIKPYLQQWRYLSLRETEIKIIPSSIGKLQNLQTLDLKHTHVTELPVEILKLKQLRHLLVYRYEFKYYSRFHSKYGFKAFAGIGALKSLQKLCFIAADHKNPSILGEIGELTQLRRLGIMNLRKEDGMALCSSIQKLTNLEALSVVSSVKDEVIDLQHLSSPPKLLERLYLTGKLEQLPEWIPRLQSLVIVYLKWSRLEDNPLVSLQNLSNLVHLELLQVTTGDTLSFKAGGFRKLKVLGIDKFDELKCIEMEKDALPVLEKLSILRCKSLETVPLGIEHLTMLKVLEFFDMPEELIKTLSPNAENGDYSKVACIPEVYYTYCRDGEWEVFALESWGERVLNAKETVESHIGSIVKK >OMO68264 pep supercontig:CCACVL1_1.0:contig12242:23187:29346:-1 gene:CCACVL1_20004 transcript:OMO68264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLYSSSRPSPSSSSSSLSTSQQSFASRLLLLLTLLPLTLALFAFVLQWRGGLTDPITRWSPDPFQFPGMANSASNSPSDRTSGSGCVDLLGQSRSPAFPYYKDWKFDFGSDLRPKICIISSTSAGLEQTLPWLFYHKVIGVSTFFLFVEGKAATPNVSKVLQSIPGVKVIYRTKELEEQQAKSRIWNETWLASFFYKPCNYELFVKQSLNMEMAIVMAREAGMDWIIHLDTDELIYPAGAREYSLRQLLSEVPGNVDMVVFPNYESSVERDDIKEPFTQVSMFKKNYDHLPKDVYFGNYKEATRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYMKTPNEIKLDEAAVLHYTYPKFSDLTSRRDRCGCKPTRDDVKRCFMLEFDRSAFIIASTATEEEMLRWYRERVVWTDKALNLKLLRKGILTRIYAPMVIIQGLRESGIFTSVIQSAQTTLSKEKFLTSIESSNSTRVDEPKIMSSRKIGQKESQATARRMLEIANELSYDSVVPPLSPPVPDGIHEGI >OMO68263 pep supercontig:CCACVL1_1.0:contig12242:334:4018:-1 gene:CCACVL1_20003 transcript:OMO68263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKRRSFSDSSSIADNNIGKKLKGAIDNNNTKKNNNPISDLPLLKEIRDNPGKIEAMTVQQLRAALRDAGLPAKGSKRDLVSALACYLANDIDGENSLSAEKHDPSIANESMSLRMEARSVENKVQDVNTISEVSRVQRSRRTVKRLHRNGEAVEVDTEVVSIEQKLSVKTDGASGTKPSQRKRKVSSDVNSKDLSAENETAVPVNQSEPWTIFAHKKPQKGWIVYNPKTMRPAPPTGSTKSMKVLSWNVNGLRALLKLEGFSALQLAKRENFDVLCLQETKLQAKMQTTISTLSSSFQEKDVESIRHSLIEGYDNSFWTCSNTKLGYSGTAIISRIKPLSVRYGLGISDHDSEGRVVTAEFDSFYLLCVYVPNSGDGLKRLTYRINEWDPSLSNYIK >OMP10464 pep supercontig:CCACVL1_1.0:contig02486:122:1005:1 gene:CCACVL1_00962 transcript:OMP10464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASEYRHLDDNDYLQMLAVLVRRQFPDCGVDNITERYLSQLQAKHIEAIWKDATEAFRNTFKFLHQTLNLIGPGLVPFRYLYMVLAAYFFRRKQPDYALLKRANLRTASYNSKSRLYRAVVGFYASHEPPELGGAICQAGGAELLGKDRVDSLMNIAYLTQLTNLVISDQNPVDYLKPFVEAAGFDPGVGKPLAGPEVKELQNSRNCRQRTRALHRVTRHTSH >OMO90486 pep supercontig:CCACVL1_1.0:contig08471:27357:29378:1 gene:CCACVL1_07358 transcript:OMO90486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MENYKPYIAMLFVQFIYAGMALFSKAAIAKGMNPFVFVVYRQAFATVALAPFAFFLESKQTSLSYTLLCKIFLLSFCGLTLSLNLYYIAINYTTATFAAATTNTIPVLTFIIAVCLRMESLSLRQLPGIAKVLGSVISLSGALVFAFVKGPAIKLMNCHPATQKQTADSLMKSYSVSEWIKGSLIMLSANTAWSLWLVLQGRMIKQYPAKIRLTAFQCFFSCIQSTVWAIAVERNPSAWKLGWDVHLLSVAYCGVIVTGITYWLQVWTIEKKGPVFTSIFTPFALVITAIFSVFLWRETLYWGSFGGVVLLVGGLYSVLWGKNREERKRVTNEQNQDSPKEEQVVLECITHH >OMO90485 pep supercontig:CCACVL1_1.0:contig08471:22333:24282:1 gene:CCACVL1_07357 transcript:OMO90485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKQGFFSVVRGLSPSRSRAKSPARSASPVSRSVRRRRSSNHHVSQPEPLILRSGSLRLAEALSPLKEGPDPDGNDGGDSRMEGKWAPWMKGQLARAPSISTSTCKRSDLRLLLGVLGAPLAPVHVSSLEPFPHLSIKDTPIESSSAQYILQQYTAASGGQRLQNSIHNAYAMGRVRMIASEFETANKVTRNRNSSKAAESGGFVLWQMNPDKWYVELALGSSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPRTTASMFTNSRCIGEKKINGEDCFILKLCADPATLKARSEGPAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQNNGGDAVYWETTINSFLEDYRPVEGIMIAHSGRSVVTLFRFGDTAMSHTRTRMEEAWAIEEVAFNVPGLSMDCFIPPAEIRLASISETCEYSQGPRVKTTVATAPYRARVDLVDRRSS >OMO57853 pep supercontig:CCACVL1_1.0:contig14305:9110:12041:1 gene:CCACVL1_25676 transcript:OMO57853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEEEIECSEKPNEKLVCMAYRVKQKHLAVATTSTDTGKAIVCVPDRVKEKLFGVYVQIQMSKVVALYDSASQRNLISHQLVKQLNLKSTPHPEPYPLGWLNKDAELQVVFGSPYLWDRDAIFYRRENVWRIVKDGVGYRICPAKDARKLSLVSAQQAKHLVNTSKRPPLKVANVVMYREPSDSVIYKEQYIDDSCFQNTYRQLEAGNSIDGYHLEDGLLFKGNKVCVPLDSRLKLIREAHNSLVAGHFGIHKTVANLQSLFINK >OMO57851 pep supercontig:CCACVL1_1.0:contig14305:4797:6812:-1 gene:CCACVL1_25674 transcript:OMO57851 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide repeat-containing protein MRDLHQVHALFLKTGQMHDPLAAAEILKFCSLSSQRDLQYARKVFRHMREPNCFSWNTLIRALSESDEKDDPFEAFLLFFQMLCSGIVLPNKFTFPSVLKACATTGQLLEGEQVHALAVKFGFEKDEFVASNLVRIFSCVLLCPMSFTPT >OMO57852 pep supercontig:CCACVL1_1.0:contig14305:8233:8703:1 gene:CCACVL1_25675 transcript:OMO57852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MSALENKLESMMDFLKQSTQAKDVMELAKTEPVAQPVAEQRVVEPFKMEVLIEIPIYDGELDPEKLNGWIKQLEVYFSTKPYTDQQRISFARLRLGNHAVTWWESFNSGLEAKGMAPIQTWQEFTATIKNQFYSLGYEEELKGKWQFLRQKKGQTV >OMO54262 pep supercontig:CCACVL1_1.0:contig15021:2995:9803:1 gene:CCACVL1_27936 transcript:OMO54262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c1 MRFRKGSKVEVLTKKEVPTGAWRCAEIISGNGHTYSVKYGWFPITGEAATVERVPRKAIRPCPPPVNGCDDWVSGDVVEVFDELCWKPAVIVRVFGGNNFSVRILGSNSELKAHKSRLRVRQSWEEGNWFLVGKGSSNSGGQLKRKRPAPGFYDVGGQKKRVMIEKGSIGAKRIIVRLPSPASEKVDAFVPPNKIVGDRFMPSSFNRNDDTMSCVSSVGSCSGLGNNRLNLSHSPVGNGCENLEDYCSDADSYSERRSVEEESSVSSGVELGTDFHRSELHAYQQALAALHASGPLTWDKEVKILDSYSLLLNAAWGLRASCPWLGRFLPVVKDCFREVLIGMSWESAGACRYYNFPVAIKLRKKSQIAAMQKPGELVPAGSAIQKGKKTAAHQEGRYLFIKEVCDDDTTCRFETWRIRSHVFSFWTGLGHKIRTGVQGYGKLSFLSRLTRQTHDAKTLPAVHNQIITQDRDRLGSTGINSLRWLALFGAGFSGLLGCATIAYSDEAEHGLACPSYPWPHKGILSSYDHASIRRGHQVYQQVCASCHSMSLVSYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPEPYANEQAARFANGGAYPPDLSLITKARHDGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVTFLAWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVLKSRKLVVDAVN >OMO54263 pep supercontig:CCACVL1_1.0:contig15021:10382:11751:-1 gene:CCACVL1_27937 transcript:OMO54263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKAIPNWEISGVVEYIKSGHKQGDMLLVVPEGAFAVRLGNEASIKQKVKVTKGAFYSLTFSAARTCAQEEKLNVSFSPNPEPKDDSLFPIQTMYSSNGWDSYSWAFQPSQSEIEISIHNPGVEEDAACGPLIDSVALKTLNPPKRTRSNLVKNGNFEEGPYIMPKTSWGVLIPSQIEDDHSPLPGWIVESLKAVKYIDSEHFSVPEGKRAVELVAGRESALAQVVMTRIGWTYVLSFAVGDANNACAGSMLVEAFAGKDTVKVPYQSNGKGGFKRATLQFKAVSTRTRIMFYSTFYHMTSDNSGSLCGPVVDDVKLISVRKLHHL >OMO55383 pep supercontig:CCACVL1_1.0:contig14710:63987:65746:1 gene:CCACVL1_27291 transcript:OMO55383 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1 complex, subunit F, eukaryotic MAGRAQIKTSSSALIAMIADEDTVTGFLMAGVGNVDLRRKTNYLIVDSKTTVKAIEDAFKEFTTREDVAIILISQYVANMIRFLVDSYNNPIPAILEIPSKDHPYDPAHDSVLSRVKYLFSTESVASGSIIDHHIAIPLIYFPVIDYAYPVRITSSLWRSYISRCVCYID >OMO55384 pep supercontig:CCACVL1_1.0:contig14710:69446:72650:1 gene:CCACVL1_27292 transcript:OMO55384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVFSVEEISDHFWTSPSAAAAAATTAPAAVDDDNKPGSKMNRSASEWAFQQFLREEADNKSEDEEEEKEEDKEELKMMKKNGITKSLNGCLENNKNNSNNNVPMDSEEYQAFLKSKLNLACAAVAMSRASLVKPQDSAARADSGSQSSSTPQMGSKATSKGAGDKDGNALVGISSLPIGQMKSVAQVRPSTSGSSREQSDDDEVEGENETLDNMDPADAKRVRRMLSNRESARRSRRRKQAHLTELETQVSQLRVENATLVKRLTDISQKYNEAAVDNRVLKADVETLRAKVKMAEEMVKRITGLNPLFQASPEISTMGVSSFDGSPSDTSTDAAVPVQDGQKHPFYQAATNNPIPTHDPRVNNALADISSVENIPPTSGGSTITGSKIGRTASLQRVASLEHLQKRIRGDAALVGPQSNGKQ >OMO55385 pep supercontig:CCACVL1_1.0:contig14710:75666:85969:1 gene:CCACVL1_27293 transcript:OMO55385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Twin-arginine translocation protein TatA/B/E MDMTSMTLSASRTPLPSLPFSSSQSNFISNSNTVSLLSKPKSLNKSVFVVGQPRRSTGAVPSRKGLICNSLFGLGVPELVVIAGVAALVFGPKKLPEVGKSIGKTVKSFQQAAKEFETELKKPAEESASSEENPTPVIEEKKQDVEVSSSKESEGLSDDFFEQILAVPPGYGGAGGGGGHEMGSATLPMVLQLGTSGGGAAGGSGGDGGGGGGGFRGMGIGVGMGMMPLGLNLEHGFLRHEDGVVVDNNNNNNNNNNASCSAASAVSGISERDSMHLTSLFSPFGQMQAQQIRPSPPPPQPPNQLHQPFHSQPASGPVAAAPHPPAIRPRVRARRGQATDPHSIAERLRRERIAERMKALQELVPSCNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGAAGAVAQLVADVPLSVEGDGIEGGSQPAWEKWSNDGTEQQVAKLMEEDIGAAMQFLQSKALCIMPISLASAIFRTHQPDAPTIVKPETNTPS >OMO55382 pep supercontig:CCACVL1_1.0:contig14710:59000:62193:-1 gene:CCACVL1_27290 transcript:OMO55382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPERRSNREKRQCRIQEGSEKRKNKNLKWDRRNCAEESLEKTKIRTQR >OMO55378 pep supercontig:CCACVL1_1.0:contig14710:40618:42399:1 gene:CCACVL1_27286 transcript:OMO55378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYSRKTLRERQTGYVPADVVEGILPELPAKSLLRFKSVSKQFDSLITSSDFIDRHVSNQRTTKDPQFLLLSSNSSLTRKIVIFKRVLNSNELTINSNGISTINDLPKDWYDISSSFDGIFCFSGFLNIIVYNLSTTEFRILPRSETLKLGNLTKRPTKKGRLYNPNQQLGIGRDLVTKQYKIVKVFNPVDDRRQARECEIFTLDPNPNASWKVLGVVPYKIDTTATHVYVNGSMYWFTDKKFHGNENEVIVMFDLHMEKFQAIPHPSCCSDPDKPRKTMQLGSLRDSLCLTERIDWKEVNIWIMITCKSNVVTWEKLHYLRLIMTIHHEILTFGIAKHKDGTVLVCGGDGGGFVATRFCPLVPLYGQRLPFRIKTP >OMO55380 pep supercontig:CCACVL1_1.0:contig14710:51574:54553:1 gene:CCACVL1_27288 transcript:OMO55380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium ion binding protein MAAGGLTVLDGTHLRSLNLSLPELNAAVTGAQLLEIANSKVSTSLFGLSLPENIKASAVSCVIAGSGVDDVTFQKQELAGEKASKVLSDYISAIADQLKDYPLVVSILDGSTLKLFLEDEDDYAMLAENLFTDLDIEDKGKICKSEIRNALLHMGVEMGIPPFSEFPLLNDILKKHGAEGEEELGQAQFAELLQPILQETADALAEKNVVVIQNIEVVNGSKLRKFLADEKQFNNVIHRVLEEKKNGKDDLGKRELIRSFLEKHGKDLGLPPVESNEAVILLYGSVFPEVESKESVAQVDDGFREYVKDILEKFAEQLEANPVYCELDN >OMO55379 pep supercontig:CCACVL1_1.0:contig14710:42820:44466:-1 gene:CCACVL1_27287 transcript:OMO55379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHGRKCQKRMTKTDNWPQDLLLSTLSILPPKSLLRFKSVSKHWKSLIVSPDFVEHHLQTQQNQEYPQLIFVSPGSRTDIYLESVTVVDVPRVEAEGADTKSRKGFQRSPLNICHLPYDFYFFSNSCDGVFCFFGNTNIFVYNPGTREFRMLQIENEGSPIVPSYFSSDCFGQAFSTHHLIGFGRDQVTKECKIIRIFLPKERDEHNIQECEVFTLNSNTRASWRSIGKVSYLIGAAQKPVNVDGVLYWMLDPRHANPSEMIVSLDLHTERFQPISHPSCCSEASNDLPRRYMGLLSLRNSLCLAEPRRALHYNIWIMNRGDGIWEKLFSIDWVLMTYRSPLAFPIVELKDGTFFVSHCGDNLQIYYPEEKCFSEVLLKQGKSVVASAYYESLVPLYGEPLVY >OMO55376 pep supercontig:CCACVL1_1.0:contig14710:18032:19708:1 gene:CCACVL1_27284 transcript:OMO55376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MDEANPEFLNKGDNAWQLTAATLVGLQTIPGLVILYGSMVKRKWAINSAFMAFYAFAAVLVCWVGWGYQMSFGDKLVFFLGKPAVALDEKYLLKQAFSGSFPNATMVFFQAVFAAITLVLIAGALLGRMNFHAWILFVPLWFTFSYTIAAFSIWCPQGWLSKLGVIDFAGGFVIHLSSGVAGFTAAFWVGPRAEKERVNCPPNNIILMLVGAGLLWMGWSGFNGGAPFAANTLSALAVLNTHVCTAISLLTWLLLDSFFFGKPSILGAIQGMITGLVCITPGAGLVQCWAAIIMGIISGSVPWFTMMVLHKKIKILKLVDDPMAIFHTHAIAGGLGGILTGFFAVPKLCRIFSGVSDKYIGLAYGLQNGRTSAGFKQTGIQLGAMLFVIILNIVMTSIICWFIGLMVPLRLSNEELDVGDDAVHGEQAFSLCHDREKFVTSRHNKVYDTDELSYVGSKSISSIQMV >OMO55377 pep supercontig:CCACVL1_1.0:contig14710:26030:27226:-1 gene:CCACVL1_27285 transcript:OMO55377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTQKIMANRGKERPRETDYDDAVLFPHDVIEDILAKLPVKTLIRFKSVSKQWCFSIDNPNFTRQHLSNQRKKDAGFLFAYRGYNDTTGFSKEIGLCSMAENYKISRIRFSNILPQDRYNMSHSCDGIICFYGQFNIHLINYSTLEIRTLPPGILSSPHLCQYTYPDPYFPGGFIHVGLWRSPRYQLGIGCDEVTKQYKIVKVFDPVLDDFWLGNSNCEIFTLDRNPYAYWKYIGFVPYKISVTANPVHVNGAIYWFTDEIYHGNKSEVIVMFDLHRENFQAIPHPSCCSDPTKPRNTMQLVTLRGSLCLLERLIDESELNLWIMKTCEISKVVTWENTHFLKFTRQIGPRFAVAENKDGEIVTYDWEDQGGQMFAATTFIDSLVPLYGQRVQHIDI >OMO55375 pep supercontig:CCACVL1_1.0:contig14710:8201:8980:-1 gene:CCACVL1_27283 transcript:OMO55375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGEVLSEELIVEFQEAFCLFDKDGDGCITMEELAIAIKSLDENPTEEELRNMINEVDIDGNGTIEFGEFLNLMARKLKEAEAEEELKEAFRVFDKDQDGYISPNELRQVMINIGEKLTEEELDMMIREADLDGDGQVNYDEFVRMMLAA >OMO55381 pep supercontig:CCACVL1_1.0:contig14710:56270:58235:-1 gene:CCACVL1_27289 transcript:OMO55381 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MGSSDGTYGAYTYDALEREPYWPSEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTKEVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARISGVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAITSTDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKNLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQIEKEKSQGIDLSVYGSSKVVGTQAPVQLGSLRAADGKE >OMO55386 pep supercontig:CCACVL1_1.0:contig14710:87974:96303:-1 gene:CCACVL1_27294 transcript:OMO55386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med14 MAELGQQTVEFSTLVSRTAEESFLSLKELVEKSKSSDQSDTEKKINLLKYIVKTQQRMLRLNVLAKWCQQVPLIQYCQQLTSTLSSHDTCFTQAADSLFFMHEGLQQARAPVYDVPSAVEVLLTGSYERLPKCIEDVGMQSSLNEDQQKPALRKLDTLVRSKLLEVSMPKEITEVKVSNGTALLRVDGEFKVLVTLGYRGHLSLWRILHLELLVGERSGLVKLEEMRRHVLGDDLERRMSTAENPFNILYSVLHELCVALVMDTVIRQVQALRQGRWKDAIRFELISDGGSGSSTQVNQDNESDSAGLRTPGLKLVYWLDFDKNSGPSDSGSCPYIKIEPGPDLQIKCHHSTFVIDPLTGKEARFSLDQSCIDVEKLLLRAISCHKYTRLLEIQKELVKNVQICRAASDVVLHSPADESDSENKKKDAKLENKEHEGQEVLRVRAYGSSFFTLGINIRNGRFLLQSSQNILAPSALLECEEALNQGTMNAVEVFTSLRNKSVLHLFAAIGRFLGLEVYEHGFAAMKVPKNLVSGSAVLVLGFPDCESSYFLLMELDKDFKPLFKLLETQPDPSGKGQSFYDLNNVLRIKKIDINQMQMLEDETNLSIVDWGKLLTFLPNIVGPNQTSEHGLLSEFNLDGSMQIAGGPSSSFSSIVDEVFEIEKGTSATTFPSQNFSSFSSSPASHMGSVPMNLHSVKAGTASPNPASHYGGSLYPSSGLKGSHHSSSFGSLSSATGRSTAAKKLSASKSDQDLASLRSPHSVEIGALDEDQLRMLNDASKDALSATQAAETTISHGTSHDAAKHDKNPRKRTVSDMLSLIPSLQGIEAVAGFGKRRKTSDVPYTQQPSSQVLMSSEMISKSETYSYGNLIAEANKGNAPSSIYVSALLHVVRHSSLCIKHARLTSQMEELDIPYVEEVGLRNASSNIWFRLPSARGDSWRHICLRLGRPGSMYWDVKINDQHFRDLWELQKGSNITSWGSGVRIANTSDVDSHIRYDPDGVVLSYQSVEADSIKKLVADIRRLSNARMFSLGMRKLLGVRADEKPEDGSANPDVKTAVGVKSAVEAADKLSEQMRRSFKIEAVGLLSLWFCFGSGVLARFVVEWESGKEGCTMHVSPDQLWPHTKFLEDFIDGAEVASLLDCIRLTAGPLHALAAATRPARASPAPGVPGVSAPISSMSKQSGYIPSQGLLPSSSTSNVNQAASAPAGNTAASASASSLGNHGLHGAGMLVNPGRGGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKRFAVDMRCFAGDQVWLQPATPPATPPRGGSSVGGSLPCPQFRPFIMEHVAQELNGLDSGFTGGQQAVGLANSNNPNSNSGPQLSANGNRVNLPTSAAMSRAANQVAGLNRVGNALPGSPNLAVVSSGLPIRRSPGSGVPAHVRGELNTAIIGLGDDGGYGGGWVPVVALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKDNEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQQQNNANAQEELSQSEISEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLAQTQGGDIAPAQKPRIELCLENHSGVNADDTSESPSTTKSNIHYDRPHNSVDFALTVVLDPAHIPHINAAGGAAWLPYCVSVRLRYSFGEDPNVSFLGMEGSHGGRACWLRLDDWEKCKQRVARTVEVSGRSPADASQGRLRAVADNVQRALICAFKD >OMP12168 pep supercontig:CCACVL1_1.0:contig00331:272:337:-1 gene:CCACVL1_00091 transcript:OMP12168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWRIKAEVGEFLVDLAKINKE >OMO68476 pep supercontig:CCACVL1_1.0:contig12223:37432:39222:-1 gene:CCACVL1_19935 transcript:OMO68476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHTRGIGVQAGCRDSLKDRHLVFFYRQIFRHLTKFFLIYLGFVFNYCRTPLICHHFVQIEIIPPELPGTFWPKKKLPPNLDIEIGNFIPSEREDIVAPDHAPELPEEIVSIIANLIPSSVFAAFSGVCKAWRSVSLNIKWKPGHRIPCLLVSADTEHNHKQKIFNLYRNKLYELELPHVSGKRCFGSPHGWIVTITSDLEQAHLINLVSQVQVNLPHLNTIRDQMGLLVSPYIHKCILLKIIAEVDDENQFLVVVIFGENHCLAFAKPRSVQWTIIGGLEGVKDMALYKGQLYAIFRDGRLLSFASADLESTQRTPFEQRYGTNRFKVYIFQADINGNGGTWQRLDHLGDRALFVGEGNSWSVTTTNDLNCRQSCIYFTDDNWDQNGRGGSHDSDIGLYDIVSNRIGRLEFGSHSPSDHSSRTIIMAADPFISKYILFKKDETFVVVVIFGPNYCLPFAKPESVQWKIVEGLEGVKDLVLYKGQLYAIFGQGRLQRFESVWKQG >OMO60150 pep supercontig:CCACVL1_1.0:contig13774:3535:8387:1 gene:CCACVL1_24365 transcript:OMO60150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine-tRNA ligase, class IIc MEWPAKKVRETFFKFFEGKNHVNWKSSPVVPVNDPTLLFANAGMNQFKPIFLGTVDPNTGMSKLSRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKEAIEWAWELLTKVYCLPTDRIYATYFGGDEKAGLPPDNEAKDIWLKFLPPGRVLPFGCKDNFWEMGDTGPCGPCTEIHYDRVGNRDAALLVNNDDPTCIEIWNLVFIQFNRESDGSLKPLPAKHVDTGMGFERLTSVLQKRMSNYDTDVFLPIFDAIQQVTGARPYSGKVGPDDTDTVDMAYRVVADHIRTLSFAIADGASPGNEGREYVLRRILRRAVRYGSEVLKAPEGFFSRLVSIVVQVMGDVFPELKQHEARIRDIIAAEEASFGKTLVKGIEKFKKAAQDVQGKTLSGQDAFILWDTYGFPLDLTQLMAEERGLIVDVEGFNNAMDEARAKSRSARNKQAGGAIVMDADATSALQRKGVSPTNDSFKFIWFQDHESVIKAIYTGSEFVESAIAGDDVGIVLESTSFYAEQGGQVFDSAPQNLHLKIVFVLHFQVCYEPL >OMO99575 pep supercontig:CCACVL1_1.0:contig06862:12668:12736:1 gene:CCACVL1_03730 transcript:OMO99575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKTVLPDQCALSTTTETNLIK >OMP07939 pep supercontig:CCACVL1_1.0:contig04120:802:1116:1 gene:CCACVL1_01188 transcript:OMP07939 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine/threonine-protein kinase SMG1-like protein MKLPRWKQTVAVKNQKGVNLARQRYDQKQKQELRRQLHTHVSELRTSLLSEREVSKSFKKREEEEEDREYSTAVLSLSSSLQNANHHLSHAISGPTSLHLIRRL >OMO83144 pep supercontig:CCACVL1_1.0:contig09930:36029:36172:-1 gene:CCACVL1_11544 transcript:OMO83144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGTQIPATSMVAIAGLPPPIWNNDTNPWIAQSKGIKTCHTSASSGLR >OMO83143 pep supercontig:CCACVL1_1.0:contig09930:1092:2163:-1 gene:CCACVL1_11543 transcript:OMO83143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVLLTRRYGAILETFKKQDPSLMGRMRLHCGFSP >OMP11408 pep supercontig:CCACVL1_1.0:contig01312:3056:3166:1 gene:CCACVL1_00534 transcript:OMP11408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFARLFSFNFDFSATFMVIIVGPEFDVLDRFFLTSE >OMO97142 pep supercontig:CCACVL1_1.0:contig07273:5702:17239:1 gene:CCACVL1_04637 transcript:OMO97142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERDQARASLEEEREKNRILTAEGIRASARAEHLRKSRARVKKRELRLESDVIRRKKEIAALTKEVQEAQNHIVGCKISNMVNSWEEYDDTLNDVLAEIGEGVGIARELKKQVEEVIGAVFPTSPCGQKLAECMHNVLHNVHILILAFNVGGSP >OMO85523 pep supercontig:CCACVL1_1.0:contig09610:20796:21796:-1 gene:CCACVL1_10130 transcript:OMO85523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCSAPKSRYDESYHTTAASTSANLSSAPSSSQGPRPRAPTYSLAQIQRATHNFDPEKEIGEGGSCRVYRGTLQNMDVAIKRFTDASDESFNSEMEILTEIYFSNLMNSRLAGFLGSCSEANERILVFEFMANGTLYNMLHVRTDDSTPNYRQRLVIAQDIAKRFLLLL >OMO81194 pep supercontig:CCACVL1_1.0:contig10230:20986:21987:1 gene:CCACVL1_12559 transcript:OMO81194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 17 MNGQKISYFFRPLWDKPPAPFRRLPHYYAENVSMEHLCKLHGWSKRSQPRRIFDAIIFSNELDLLEIRWHELNPYVTKFVILESNTTFTGIPKPLFFASNRTRFAFAKEKIVHGVFPGKIASPGSHEDPFVLESHQRGAMNGLIRSAGISNGDLLIMSDTDEIPSPNTMKLLQWCDGLPHIMHLELRHYMYSFEFPVDYSSWRASVHIYSPLTQYRHSRQTDVIFSEAGWHCSFCFQSLEEFVLKMTGYSHADRVKTSDFLNHDRIQKIICKGDDLFDMLPEEYTFKELIKKMGSIPHSASAVHLPAYLLENADRFRFLLPGGCVRNRDLTSF >OMP04718 pep supercontig:CCACVL1_1.0:contig05684:6515:8672:1 gene:CCACVL1_02143 transcript:OMP04718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKVSDTTSQRILKIVARRDGNGKQNLTFF >OMO88744 pep supercontig:CCACVL1_1.0:contig08944:6055:6144:1 gene:CCACVL1_08231 transcript:OMO88744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSPILLRSGHSNELSKLHCLRVKPLVRKF >OMO96811 pep supercontig:CCACVL1_1.0:contig07373:2241:4082:-1 gene:CCACVL1_04766 transcript:OMO96811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNHCSPNDYLHHSERTSAPTSSVRR >OMO58866 pep supercontig:CCACVL1_1.0:contig14100:17408:17527:-1 gene:CCACVL1_25301 transcript:OMO58866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYGKLTPSSDLLPPPFCTIDKGNHKIKGCKSGSDSAY >OMO58865 pep supercontig:CCACVL1_1.0:contig14100:5232:5360:1 gene:CCACVL1_25299 transcript:OMO58865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RNQVKELPQMAERSKEPQRQAVFDEDCCSDDDSNDEEGSESC >OMP11270 pep supercontig:CCACVL1_1.0:contig01425:577:2255:1 gene:CCACVL1_00588 transcript:OMP11270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase class-III SGVHHALNPDPYRGVFGSDGEKYAKDVQDLIQFGTSGNVAGFISEAIQGVGGIIELAPGYLPSVYKIIKEAGGLCIADEVQSGFARTGSHFWGFETQGVVPDIVTMAKGIGNGIPLGAVVTTPEIAEVLTRRSYFNTFGGNPVCTAAGLAVLNVIEKEKLQDNAFVVGSYLKERLTALKDKYDLIGDVRGRGLMLGVELVTDRNLKTPAKLETLHVMDQLKDIGVLVGKGGYYGNVFRITPPLCFTKEDADFLVDAMDHTMSKM >OMO54087 pep supercontig:CCACVL1_1.0:contig15058:20370:20432:1 gene:CCACVL1_28070 transcript:OMO54087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGELGLSGQIKKSRLKLL >OMO88189 pep supercontig:CCACVL1_1.0:contig09087:7925:8044:1 gene:CCACVL1_08534 transcript:OMO88189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEQSQYIWRKTISHIEVGMGSGVFMSYCSARFVLISD >OMO88188 pep supercontig:CCACVL1_1.0:contig09087:5170:5755:1 gene:CCACVL1_08533 transcript:OMO88188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEIARSKQPGELLNWEDIKKMRYSWNVACEAMRLAPPLQGAFREAIHDFTFAGYSVPKGWKLHWNVNSTHKNADCFPEPEKFVPSRFEGNGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHNVMKRFNWEKVLPDEKIIVDPMPMPAKGLPIRLFPHKA >OMO88192 pep supercontig:CCACVL1_1.0:contig09087:39418:43890:-1 gene:CCACVL1_08537 transcript:OMO88192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAESMDKSDVCPNAIRAFLDFLVEPRLPARYSIRDTPNTDKQKTVAQQVYAVVLLYNYYHRKNHPNLKYLDFEAFGKMAFTLKPNLKAYLKLIPNNFQLSNIETQLSWTEKAIKDACDVSTSLDASRAAPNIKGWPVSRVAVLLIDKKKEACALQSDVITHGVCSVIEKKVDGTGGSSDSMKAKQAGNKRKRTNKLLQDAALEQVAFSAVKEAANNGIDQKDLTIIKSHDVYSLDKEEAATRFYIMHCLNGDIDSSKWEWMPIKVVIDRLQGPLVIETTSTWLHSSVVEYFHLLPYAQIISKWFSGEMNPERSQDDESVQEDVSLNGSQKTEKPGEPEVLNNTTTNQINDGLEEASINTNSAESETQHKNNEHCKNGILDDTIDGNMDVDNQSVGDSEKMLTSKNIAEKIQLDDDQLIVMNSCPKSDFDGTSTINKFKVVTPVLQNAKDCKHQNATKKEPEHNNMPSCQDGIFGGHLAINESKSKYSAKILKAIESKEDIFSETALQILYGKRDERVLQVRNMGDEIAEYDQMIQKIFNGGRGDELDLKMNLILECCKDELDIVCQENNWVLPTYHVFPSDGGFQAKVTVKGLDFETSVGDACPKAHQARVSAAAQLLTKWRSMST >OMO88191 pep supercontig:CCACVL1_1.0:contig09087:30985:38737:1 gene:CCACVL1_08536 transcript:OMO88191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPKRSNQEDGPDSRPVRTSDRLKRRPKLYGRQFYYYSQTIRQGRKKKTKTRTAASQIARIIRSRDHPVRTSNSNSGTPNLRRSSRKRRVSVNLTGYTDSSGSEDEDMMRPSYRPSRNRVDNSGSQDDSPSPKRKKTMETKETPRREGLRPRRSKAVAIKRINLDYGDEQDTSEEKIGEDETENGNDLDDDAADDGQNDGEGDAEDEGDGGEDDGEDDVDDEEGEEEQEGRKRYDLRNRADVRRLSMDESKQRARSPRRVLHQGMGTKVSRDVKKGGSRVHKRHRIARAEDSDDSLLVDELDQGPAIPWGRGGSRSGPPWLFGGLDMHGTAAWGLNVAASGWGHQNQNDAFATLTSGIQTAGPSSKGGADIQPLQVDESVSFDEIGGLSEYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKQPPSKELKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFLIDVDSVKVEKYHFIEAMSTITPAAHRGSIVQSRPLSLVVAPCLQRHLKKAMDCISDIFPPLTVSSELTKLSMLSYGSAIPLVYRPRLLLFGNDGSGLDHLGPAILHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRTTPSILYIPQFNLWWDNAHEQVRAVLLTLLEELPSDLPILLLGASSVSLAELDGNPYSVFPQRSVYHMDKPSTEDRSLFFDRLIEAALSVLLEAVTKKSQESVSIPELPKVPKVASGPKISELKAKVEAEQHALRRLRMCLRDVCNRILYDKRFSVFHYPVTDEDAPNYRSIIQNPMDMATLLQRVDSGQYLTCSAFLQDLDLIVTNAKAYNGDDYNGSRIVSRAYELRDAVHGMLSQMDPALIAYCDKIAAQGGPADMPDDIGVSTLPSVPVVQLGTTTRASARLRNAQPEVTLQTYEVKRPKKNVDTVITAEDKSRVPDSVQTKSSETETQEANEIHCERPESTPSDGNRQETCTEASELIDGSESQDIRMSDGEIPKQVESVKQLFVERTENYGIPQLERLYTRIMKGIFETRDKGVGDDPKPAILKFLLNFAEDEANF >OMO88190 pep supercontig:CCACVL1_1.0:contig09087:11420:11773:-1 gene:CCACVL1_08535 transcript:OMO88190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSPPSSLELSRAFLFRFGALIEVRVSGVFLGIGSKLSFDSSKFRGWQQPEMGKMMEVMLRLLEMVELLLKSSTETDSEEDEGHRLEQELKEAFKVLDKDQNGFASVAEPCHVVAK >OMO88193 pep supercontig:CCACVL1_1.0:contig09087:45620:48508:1 gene:CCACVL1_08538 transcript:OMO88193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptor protein ClpS, core MAVVAGTATTSSFTLVKLQRPPSPPTWRKIWAGMGNPGGRMGSKLMGVKARFGSFKTGGAGVLERPNLDLSQFDPSTKVLEGGDMGRMRDNKGTGSGDSYRVLLIDDARHSEYLVAKVLPQVVPSVTPDDARKLFHVSRENGVAVVIVTVKEHAEFYSQMMVRGGLRSTIEPDSNIA >OMO73351 pep supercontig:CCACVL1_1.0:contig11256:6845:6910:-1 gene:CCACVL1_17319 transcript:OMO73351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLPSAVTTVNRHYRAQKYH >OMO57977 pep supercontig:CCACVL1_1.0:contig14287:48758:51409:1 gene:CCACVL1_25627 transcript:OMO57977 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MASGGGRSHRQDFVSLAALISREMKNEKMEKPTVRYGQAAQSRKGEDYFMVRTDCQRIPGNPSSTFSVFGIFDGHNGNAAAIYTREHLLSHVLSAVPRGLGREEWLHALKRALVAGFVKTDKEFQNRGETSGTTATFVIVDAWTVTVASVGDSRCILDTQGGAISTLTVDHRLEENVEERERVTASGGEVGRLSMVGGPEVGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSKAGGRLIIASDGVWDALSSEVAAKSCRGLPAELAAREVVKEAIRTTGLRDDTTCIVVDIIPPKISEEPLPPKKQNIQNILRSLLFRKRSHDSANKLAKKLSAVGIVEELFEEGSAMLAERLGNDDSAVQTTSGLFTCAVCQVDLAPSEGISVHAGSIFSTSSKPWQGPFLCADCRNKKDAMEGKRPSGVQVA >OMO57978 pep supercontig:CCACVL1_1.0:contig14287:52285:52488:-1 gene:CCACVL1_25628 transcript:OMO57978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNLTDFIITTIYYKQPTLSVILCSIRETNLTKFPAMEDMGAISEVTVSIFFFFSRVKEHNLEDKNQN >OMO60436 pep supercontig:CCACVL1_1.0:contig13720:226:7160:1 gene:CCACVL1_24152 transcript:OMO60436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase MAELPLEPSLSRTLIEANENGCLSQALTIVAMLSAETNLLPGRSKSDDKKRKHSSLELPDGSGFGDHIQFLQIYECWDENDYDIGWCKDQELQMRGMTFVKEVRKQLSQIMQKIAKGSSDVRANRSRKGHQKYRNLRKALCVGYASQLAERMRRHNGYRTLGFKPQLVQVHPSSVLVPDDDGLYPNNVVYHELVATTRPYMRNVCAVERQWVMPILEKLEKLDVNKLSGGGLVHVEEGAEGNVSDLPKGEAEAVTVPEDREIKEFSASRMLPEAGHTISLVRQQFLLLLHTKSHGKGLDTLIVALEDVPSVDIMTEVLRRLKCSSKPDKRLILIGPPGSGKGTQSPIIKEDYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLQKQGTKIDKVLNFAIDDSILEERITGRWIHPSSGRSYHTKFAPPKVPGVDDVTGEPLIQRKDDTAAVLKSRLEAFHKQTEPVIDYYGSKGILANLHAEKPPKEVTTEVHKVLS >OMO60437 pep supercontig:CCACVL1_1.0:contig13720:11386:12104:-1 gene:CCACVL1_24153 transcript:OMO60437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transport factor 2 MSTQSEPSHEPEVVGKAFAKQFYTLLHEDPTQAFKFYKESSFLSRPDPDGVMNSVTGVKEINELILSLDFKSYRADIISVDAQLSFAKGVIVIVTGYLIGDQDNVRRKFTQSFFLAPQEGGYYVLNDVFRYVDDEEPQPVAVANNDTAPLAIGDANGEQVSHSSDDVESWVVVEHVVPSGGEEVSHSSEEVSHSSDYVENWVVTEHFVPW >OMO81741 pep supercontig:CCACVL1_1.0:contig10115:13787:14272:-1 gene:CCACVL1_12251 transcript:OMO81741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQYRMLSKGTPIPPSAPGKGGDPSPPHNALKFRMLAKGTPIPPSAPGKGGDPSPPHNALKFRMLAKSTPIPPSAPGKGGDPSPPHNALKFRMLAKSTPIPPSAPGKGGDPSPPHNNAFNFRMLLKNTPI >OMO81740 pep supercontig:CCACVL1_1.0:contig10115:13375:13452:1 gene:CCACVL1_12250 transcript:OMO81740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCGTCYGAYKDVGRMSTLGQAMIL >OMO73456 pep supercontig:CCACVL1_1.0:contig11226:10372:13497:-1 gene:CCACVL1_17246 transcript:OMO73456 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like, alliinase MVKTSFKFNSKYGVCLVSSVILNLFFVLNTYVGGNWNLNLSWTSRAAAEAEAVAALFCSGHGRAYLDGLVDDGNGEPVCECNSCYSGSDCSHFTPDCIANANDGDPLFLEPFWSQHAANSAVVAAGWHRLSYSYPDDTNISKELERLIRKLHAVVGNAVTENRYIIFGAGSSQVLTAAVYALSPENSSLPAGVVASVPYYALYRKQVEHFNSQKFKFEGDAHQWMNKSDSSKYMIEYVTTPNNPDGRLNKPLLQGPNVNTIYDRAYYWPHFTPIPAPADEDLMVFTLSKLTGHAGSRFGWAVVKDETVFNRMTDHMGLNSMGVSRDTQLRAFKLLTTALKGDGRGLFHFGYHTMKTRWERLSSIISLSKRFSLQKINPQYCTFYNKVREFSPAYAWVKCEREEDTDCYKVLQEAKITGRAGNAFSAEDRYVRLSLIRSQDDFDLLIEHLNKLVSDEDGVKIM >OMP05893 pep supercontig:CCACVL1_1.0:contig05150:5618:5692:-1 gene:CCACVL1_01798 transcript:OMP05893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESIESREKTKLGKISWGEQGIEK >OMO86515 pep supercontig:CCACVL1_1.0:contig09469:14732:14965:-1 gene:CCACVL1_09586 transcript:OMO86515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HKNSKPMFRTQNCATRRQKKEDYHSITVMPDKLNFKPVKLSGNQSDLQRELTVKGGRTSLLGDRILSQGFLLGVNIK >OMO86514 pep supercontig:CCACVL1_1.0:contig09469:12595:13660:1 gene:CCACVL1_09585 transcript:OMO86514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MVFNTRYSGEGKEDGGPGVEEEEHVQALFTMLAHLYSFCISDNMPWMRGLDLEGHEKNLKEATRVIAMYHDPIIHERIKQWREGKKEQPEDLLDVLVSLKDDNGDSLLSAEVIKAQIIGKARVHGSSMTTMLFARILHGFSWSIPSDEGRIDLSEDKGNLHLARLLVAVAKPRLLQHVYPC >OMO81383 pep supercontig:CCACVL1_1.0:contig10186:3646:3732:1 gene:CCACVL1_12437 transcript:OMO81383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISHNAIAHALICVRGVEKSSKLVQWR >OMO81384 pep supercontig:CCACVL1_1.0:contig10186:15870:17752:1 gene:CCACVL1_12439 transcript:OMO81384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLSKVAHQRGSGHYIRFTPAGDGDEQHQHQQEEQESYSQEIQSQSQIPMMQQQRRNNQSDMFFGQQSQATELSAMVSALTNVVSSQRAVSATADWGGGYGGGAGLVTSSFGHSGSAASPSPSYNSSSASPSGSGSGSGLWIGQKRGREEEGSGSAQLIESVTRVQRSFPDFIPSTLADSSSGATTTVTEETTNIVAPPSTTTETSAAAAASYEETGERRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPENVRLVPQPMQNFPATQTSVSSSLTTHFPPSHSTPLPTYYQPQPVQSPTADMLRDYWHYSQLLQSSTDFHAPQATTTSLLEQMIQSSQLANIQQQPPLLSSSFSSLPSSFAASASSSSSASASFPLLFGEQQQQQMGIFRQPSNQNQASGPDFPAPPWSHPGHYPSSTG >OMO61364 pep supercontig:CCACVL1_1.0:contig13511:1256:2825:-1 gene:CCACVL1_23578 transcript:OMO61364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLQRAISNEENDQIQDHNRDVDHGHHDDDEHIRDIHALTPPHPPAAVNRTTGRWDTASRRSSSLSMSSEVASSENFTTMSREFNALVLAGSSIENNDSDSVHTLNNHNHLTRIGEEEVPEETNPLAIVPDSNPYDQGPEQRRVGSVGSSAVASSGGGGSSSSHGEVSVLRVKKEEVESKISAWQNAKVAKINNRFKREDAIINGWESEQVQKATSWMKKVERKLEEKRARALEKMQNDVAKARRKAEERRASAEAKRGTKVARVLEIANLMRAVGRAPAKRSFF >OMO53290 pep supercontig:CCACVL1_1.0:contig15248:15589:18677:1 gene:CCACVL1_28745 transcript:OMO53290 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MVSFLGCINAGQIGLGSRLLASDPERPWVSDNGTFAFGFSPSDIRDRFLLGIWFADLPGDRTLVWSANRNSPVTKDAILELDTTGNLVLMDGDATVWTSNTSGTRVEFAVMSESGNFILYASNNRIAWQSFAHPSDTLLPNQPLSVSQELTTSTSASHGGYYALKMLQQPSSLTLALTYNLPEPNDANSPDAYTNYSYWSAPDISNVTGEVVAVLDEAGSFGIVYGESSNGAVYVYKNDGDYNGLSSASNQSNVRLSVLRRLIIESNGNLRLYRWDNDVNGSRQWVPEWAAVSNPCDIAGICGNGICNLDRSKTNASCTCLPGTSKVGGAGGSYYCSQNSSLTGKCDSRNRNYQTSQFKIATVQQTNYYFSYYSVVANYSDIPTVSKCGDACLSDCDCVASVYGLDDEKPYCWILKSLDYGGFEDPGSTLFVKVRANVSLGGGDQTRGSGEGSGNGHEKILVLPIVLSMGFLIGLLCLLLYYNVHRRRHLKKAMESSLIFEGALMNFSYRDLQLRTLNFSQLLGSGGFGSVYKGSLGDGTLVAVKKLDRVLPHGEREFITEELTNGKPIKVADRRLGGTVDEEELTRALKVAFWCIQDEVSIRPSMGEVVKMLEGSMDINSPPMPQTVLELVEEGLEQVYKAMKRDFNQSSSFITLTTTHTSSQATCSYSTMSPR >OMO53289 pep supercontig:CCACVL1_1.0:contig15248:11200:11280:1 gene:CCACVL1_28744 transcript:OMO53289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKTVALKHIATKGMLQPVNTSKQI >OMO53291 pep supercontig:CCACVL1_1.0:contig15248:19729:27383:1 gene:CCACVL1_28746 transcript:OMO53291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLQEHSKILKEFVLTAEKQEQRKQFYAKKTEQLTIGTLHSGKREQTEELAASEPEKFISHHVKSLTGHDPHSTPTLNASYRGIDETAQRLYGSHFVENGRDVLREEEFPEVSPEFQETFLGHTSPITRCRFSASGNNIASASVDGTVRIWTYDSSIPATRNATIYCGAEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLSTSEAFPNVLDLKCSPVEPIFVSAASSRRPSSNSIDSLGYASLTVWNMKTWKAMTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSAISSLLFGPDETSIFSLGSDGKIFEWSLQNQGRLLWSRTCSWFSEYETSKFCRDEMALDANGRQLLVTSGSVRGPIYQVRGHSNGLRTLPHNAAVTTVDWHPTLPVFLTGSADNSVRVTSIL >OMO53292 pep supercontig:CCACVL1_1.0:contig15248:33765:42240:1 gene:CCACVL1_28747 transcript:OMO53292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Timeless protein MGSKIDMEGLSGICANLGILEEDEITKQMVYTKDDHCLDALKDLLRFLRRDDPQTRDVFKQVCRWNIVSKNLIPIIEYCQNDRMLVLNAVKILVFLSMPVEPSSTDIPQQIEYLWNMKFSLTNSDAVAVIVSLLEGPLENLEYEVFTEDDWKLVQLVVTLFRNFLAIQEFSLLQKAGQFLSLRDRFLELLFRENVMDLIIVITQQIGGSCGYLRQDNLLLLETFHYIFMGQDPELISKAHLKGSKDGSTAVYKGKPGSTSANALLKSHKGHGISTQKIVRGHGLLPSTRNSILELLHDFVNQFLSGGYNVLMKSIREDIEKEHHAIQKVDIMVFFKVAEFVTSFQYHKFLASKSPIENTSEASADKYADSTFFNGDICGPIAASMNESMFQLVFSRWCDAFEGLKQTNDYKFLSAASSLMKNMIRLLDLVLNLYPEDSKEPRTARILLYKLFYDQTDQGMTHFLLNSIKMFNYHKQPKSDLADLVEMMHIVMQLMENLQAHGSLRVSKKSRKGRKKKAVSDNVTESEQFGVNAAALDVVGTSDCNEPEKFMSEKESPMKGTSDKIEYTGTPLSVELGTSETKMGCAGDLPQVDNNTSHQAEDDLCCSVDDSYGDEQPPSVNEVDFKVSTLISAFANCSIIQNLCWLLKFYRSNSINTNHYIIGMLRKITDDLELAPMLYQLSLLTTFYDIMVEQKSSPSEDHREVVDFVTSLVKNMLKKMKKQPLLFIEILFWKTRRECHYINVEYLLHELGHWKKGIRNQDTIPGDGEVGSSQPSAWAGRSIADALGDDEADVVISHELGNQNGENSMESESEKIYVRKRKLVLNDEMETKLRELYDKFKDYPNCSRLIAESLDPDGGISPAQVSNKLKQLGLKIAPRKRIRADVRSFNAGADQEGGESALCDSNDLDGSSQRQPLNTRKRVCAFSKDQEAMIKNLFEQFKDHRRCSYMIATALDADNMFTAAQVSRKLKQLGLRIPQQKRSDGKAHLRDEQLNDLSAHESHDSEDETLISFRNRNKDKERLFNRELAEQNAEGNISDDNDDEPLSSILKHSQA >OMO52800 pep supercontig:CCACVL1_1.0:contig15431:3711:4517:1 gene:CCACVL1_29081 transcript:OMO52800 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein E6-like protein MASLAKPITFFFLVVFLSSSVQIQARQSKFFSKIFHLGAKISPQLEATTPLAAPAPAPAPLSGAPESQDPYYGLYGHGQGTGLFPPAKETGFSSSSSSNIPTSTTTFEDDLLAEELAADDEKYETGYEKINYNNNNGYTTSSSNYNNGQTLGNYNNNGYNTNYNTNGYGNGYSSSNYNNGQTLSNYNNNEYETERQGMSDTRFVEGGKYYYDVKNENYYPNNGYESGKGTTENEGYFGNAENSNEFNSMEEFQNKENQYKESQEEYVP >OMO85419 pep supercontig:CCACVL1_1.0:contig09621:9783:9887:1 gene:CCACVL1_10196 transcript:OMO85419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTGRENLTRAEKPRAKKKAEQMTTPAWNSRPI >OMP09804 pep supercontig:CCACVL1_1.0:contig02982:1957:5072:-1 gene:CCACVL1_01034 transcript:OMP09804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLVLSTIQWKMYLVTPLTYLDHIARRVGVKGI >OMO58755 pep supercontig:CCACVL1_1.0:contig14172:3756:7149:1 gene:CCACVL1_25372 transcript:OMO58755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEPQHFDLQDNSGFGDPKSWLSGDNGISDSPTHHRTQSSLANSAPGSGNVDRVLYNDLVEMIPLVQSLIATDIKGRNAAQSIPVRKKKDQIDKNGSNNEDGDAFSIFSSRSLSCEKENEELVALREQVEDLQRKLLEKDELLKSAEISKNQINDVYAELDKFKQQAAEKDSIFKSIQLQLSDAKIKLADKQAALEKTQWEAMTSKQNVEKLQNDIDSMQGEFSSFMLLLNGLTKNKASIQAEDYDIAPYHLDHLPYIDDMDEKETKKMEEARQAYVAALAVAKERQDEKSLAAAARARQDACVLLNENAEFDPERIEFEAIYGSLGFDPKI >OMO61439 pep supercontig:CCACVL1_1.0:contig13482:5468:5623:-1 gene:CCACVL1_23515 transcript:OMO61439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYNHIRLFPATLSHSSKGFVIRSSTRYDEATAASVDRQDAGKREYLATQI >OMO79053 pep supercontig:CCACVL1_1.0:contig10517:12874:14738:1 gene:CCACVL1_13925 transcript:OMO79053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKESRFAPKSRGKVISAH >OMO79054 pep supercontig:CCACVL1_1.0:contig10517:17653:21168:-1 gene:CCACVL1_13926 transcript:OMO79054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCLSGGGRTYGLELEIIKSSSTCSTRTCQTSSSPSSTLSESSNSPLAISTRKPRTPRKRPNQTYNEAAALLSTAYPNIFSKPRKFTNPHDSNFFHESSELLVPFRGIDDSDSGFLLQNSPIPGKPIEPKLGSFCPTTSGEVNSHGGGGGGSNSMETSEFQEVEDFDAESILDEEIEQGIDSIMGNLSVTLQESSQGQGDHLQFSQIGSCWPMGLGLGFGGKFEFGLGLGLGLRGSTRGIRALRHVDEGNWWNFPTVDVLKISPKTNTNNKLTPTTNSNRAEKKKKKVADIVAAAEAKSTEMPKENQNSNPNSGLQLKLNYQEVVTAWSDRGSPFSDESPASEPPGNDVYARLAQIDLFSDGGGVREASVLRYKEKRRTRLFSKKIRYQVRKVNADQRPRMKGRFVRRPNSSSTSEER >OMO55669 pep supercontig:CCACVL1_1.0:contig14616:5611:11351:1 gene:CCACVL1_27101 transcript:OMO55669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MGASESSGERQLDQTPTWAVAGVCAVIIIISILLEKVLHKLGTWFTERHKRALFEALDKVKAELMVLGFISLLLTFGQSYIARICIPIDIANTMLPCKANSEKETSTSSEEEHRRRLLWIDRRYLAAAATAPKCKKGHEPLISTEGLHQLHILIFFLAVFHVLYSFVTMMLGRLKIRGWKVWEKETLSHDYEFSNDPSRFRLTHETSFVKAHTSFWTRIPFFFYIGCFFRQFFRSVGRVDYLTLRNGFITVHLAPGSKFDFQKYIKRSLEDDFKIVVGVSPVLWASFVVFLLLNVKGWQALFWASIIPVIIILAVGTKLQAILTKMALEITERHAVVQGIPLVQGSDKYFWFGRPQLVLYLIHFALFQNAFQITYFLWIWYSFGLKSCFHANFKLAIIKVSLGIGALCLCSYITLPLYALVTQMGSRMKKSIFDEQTSKALKKWHMAAKKKHGKGGKTPTRTLGGSASPASTANSTGHTLHRFKTTGHSTRSSYSYEDQDMSDLEGEPLSPTSSTNLIIRVDHEEQAAGTSETHHIEGKSEDDFSFVKPALEP >OMO66874 pep supercontig:CCACVL1_1.0:contig12492:2611:4005:1 gene:CCACVL1_20958 transcript:OMO66874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAICIVLTYYTFGFAPAASRL >OMO96654 pep supercontig:CCACVL1_1.0:contig07411:5747:6970:-1 gene:CCACVL1_04844 transcript:OMO96654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQQEPAQSGGKDEIEDGLKEG >OMO78472 pep supercontig:CCACVL1_1.0:contig10580:1305:1385:1 gene:CCACVL1_14362 transcript:OMO78472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLPQFTRVTQSPSSRFNEESQHI >OMO76677 pep supercontig:CCACVL1_1.0:contig10879:4283:6004:1 gene:CCACVL1_15498 transcript:OMO76677 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MASQTVTTKKTACVVGGTGYVASLLVKLLLEKGYSVNTTVRDPDNQKKISHLVTLQKLGDLKLFKADLTDEGSFDAPIAGCDLVFHVATPVNFASEDPENDMIKPATQGVLNVLNACAKAKTVKRVVLTSSAAAVSINTLNGTGLVMTEKDWTDIEFLSSAKPPTWGYPASKTLAEKAAWKFAEENSIDLITVIPSLMTGPSLTPDVPSSIGLATSLLSGNQFLINAMKGMQRLSGSISITHVEDVCRAHVFLAEKETASGRYICCAVNSSVPELAKFLNNRYPDFKVPTDFGDFPSKAKLIISSDKLINEGFSFKFGIEEIYDQTVEYVRAKGLLK >OMO76679 pep supercontig:CCACVL1_1.0:contig10879:14049:16801:1 gene:CCACVL1_15500 transcript:OMO76679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNNQLQAEQQIVLSNYQPNSEAFGVIQQRRSANYKPNIWKYDFLQSLGSKYDGEEYKRRADNLKQSVKAMFDEAAKLNFNAVQATLQKDLSEVSRWDFSEIQQLPECMKICFRALYDITNEIAYDIQEHNGWINVQPLLHLRKAWAGFCKALFVEAKWYNEGYTPSLEEYLSNALISSGGIVISVHSMLSVGPHDQILEEMLNFLGQNEDLVYNVSIIIRLCNDLGTSVAEKERGDAPSSILCYMREVNVSEEQAQEHIKDLITNTWKKINGQSFNISQSPSLQSFVKVTTNIARMVHCLYQFGDGFGIQDKETRRHILSLLIEPYKLD >OMO76678 pep supercontig:CCACVL1_1.0:contig10879:6195:8197:-1 gene:CCACVL1_15499 transcript:OMO76678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDITLGLIFGIIIGLVFGVVGAVSVMICIWYRKKVKKHSGNNDEESVTGRGERIPIRLNGENSSTIMSDSNPQEASRTSDWSNMPQWLEGLKSKSVGTACGIPKYSYKELQKVTYNFSTNIGQGAFGPVYKAQMATGQTVAVKVLATDSKQGANEFLSEVLLLGRLHHRNLVSLVGYMAEKGMHMLVYAFMSNGSLATRLYSEKYKPLSWDLRVRIALDVARGLEYLHYGAVPPVVHRDVKSANILLDASMRARVADFGLSRQEKSNNGKSAKVKGTFGYVDPEYASSLNFNKKSDVYSYGILLFEIISGKNPQKNLMEFVELAAIDVVNDSGWHEIADPRLKGKFDLKQLNFICALAYDCVKENSNLRPSMREIVVALTEICKLRNSNLYYRQCSRAKREGTTFELDLQDKAGPSQTPVERGIEMVELDDKNLFHFAI >OMP05450 pep supercontig:CCACVL1_1.0:contig05384:19:792:1 gene:CCACVL1_01897 transcript:OMP05450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MDKDACEMGEKKNDKGEFVLRVDGGATVNNLLMQIQVNGIQYVSAEVMPTGKADVIYSFEKDGSIVAMVGDGINDSPALAAVDVGMAIGAGTDIAIEAADYVLMRNNFEDVIRNHCW >OMO83251 pep supercontig:CCACVL1_1.0:contig09918:4288:7320:1 gene:CCACVL1_11481 transcript:OMO83251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCGVVGDEVKSKILIFGPSGYLGKYMVKASVAMGHTTYAYTRPPTPNSSPSKLELLKEFESMGVTLFYGELDEHEKLVSVLRQVDVVISTLAVPQHLDQLKIIAAMKEAGTIKRFVPSEFGHEVDKVSGALPPFEALLANKRKIRRAAEAAGIPHTYVAANSFLAYFVDYLLHPHEKRDEVVVYGTGEAQVVFNYEEDVAAYTVKAATDPRVANRSIIYRPPANIATQLEIISSWEKKTGQTLKRVHVPEEEIVKLSETLPFPDNIPVSILHNLFVKGDSMSYEIKEGEDLEASALYPDYKYTSVDKYLDICVVNPLRPKLAAFA >OMO98352 pep supercontig:CCACVL1_1.0:contig07119:10199:10387:-1 gene:CCACVL1_04236 transcript:OMO98352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQALASEEVSCEIASRNPRRVVEQNSVGERSSGAVEKIMEAAANFAKEVDELYGDLGLPAS >OMO98353 pep supercontig:CCACVL1_1.0:contig07119:17742:18842:1 gene:CCACVL1_04237 transcript:OMO98353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFTSQMVPEWQEAYMDYNSLKTLLKELHGFQATNQTSSCRQQRPESKDDTLQSFSGLTQRYNNNSGPLTPSSPDIEDQQPILVNSVSRNGSQSYQTTFLMQADEGGEYELVFFRWLGNDGGSDLSEDAGFELGSVDLVGQIRLREEEGADFRQCDVDLLDELFEPEDVQRILCIPLTILPTWDTLIWNHSNGLYTKKSEYHLARRLLRKEFLLVENRSEMWRVIWGACLTKD >OMP02174 pep supercontig:CCACVL1_1.0:contig06302:5853:6815:-1 gene:CCACVL1_02894 transcript:OMP02174 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase, subunit D MMAQEHAHSSAVERLLNCEVPLRAQYIRVLFREITRISNHSLALTTHAMDVGASTPFLWAFEEREKLLEFYERVSGARMHANFIRPGGVAQDLPLGLCRDIDSSTQQFASRIDELEEMSTGNRIWKQRLVDIGTVTAQQAKDWGFSGVMLRGSGVCWDLRRAAPYDVYDQLDFDVPVGTRGDCYDRYCIRIEEMRQSIRIIVQCLNQMPSGMIKADDRKLCPPSRGRMKLSMESLIHHFELYTEGFSVPASSTYTAVEAPKGEFGVFLVSNGSNRPYRCKIRAPGFAHLQGLDFMSKHHMLADVVTIIGTQDIVFGEVDR >OMP02175 pep supercontig:CCACVL1_1.0:contig06302:7308:7862:1 gene:CCACVL1_02895 transcript:OMP02175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSFPIAHSPFTRFSKRRRKGGQQVLRALCPTHLSRSKCSSPVPPNAPISRQRSCECAVMLRMLRHRIDRPSSRSFSVHSLYISDTQGRTRWEGSSPFLCPADHSAGILHSRLRLTATRGCSCRYVSLSGSLAPPVISFYDMLLSSPYSICRLVISASLRVSTPERNGGLHSVTPRSPSKRSK >OMP02292 pep supercontig:CCACVL1_1.0:contig06283:1120:1191:1 gene:CCACVL1_02843 transcript:OMP02292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AHDSTLVVMDWYQDRVLDRQTSF >OMO62848 pep supercontig:CCACVL1_1.0:contig13139:256:1215:1 gene:CCACVL1_22606 transcript:OMO62848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M10, metallopeptidase MAASSKKVFPLLGFLLFALLLPSIQSNPVKNPFGFIKNLEGCHKGQTVKGLQDLKHYLEKFGYLNYKQEANKGNKRVMKNHANDDEFDDLLESAIKTYQRRYHLKVTGGLDADTANQMMKPRCGVADINVVNNGTNRHNHHRRSIHTVGRFQFFRGSPKWPADQTHLRYRFLTDVQVPGTENLRSIISRAFQRWTQVSHFTFEEVAESSVSEIEIGFHRRQALDRNDEGFDGPLGTFAHAMLPPGGRFHYDADETWSGNPGPSELDLESVAVHEIGHLLGLRHSEDPQAIMFAYFDYGITKRDLHRDDIQRIRALYGSA >OMO62849 pep supercontig:CCACVL1_1.0:contig13139:5572:6495:1 gene:CCACVL1_22607 transcript:OMO62849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M10, metallopeptidase MAASSKILPIFLTFLLSLLLLPSIQSKPVKNPFGFIQNFQGYHKGQTVKGLQDVKLYLSKYGYLNLDQVKVDEFDDALESAIRTYHRRHSLKVTGGLDAETVNQMMKPRCGVPDIVNNTKIHNHHDHSKSIHTVARYRFFPGNPRWPDSQTELRYRFLTDIQVPGTQNLRSSVARAFQKWAQVSQFTFEEVDEDEDSEIVIGFHGMQGRSSDDPGFDGPQGTLAHAFAPTRGIFHLDADEDWSGDNSPGPSEIDLESVAVHEIGHLLGLGHSQVPEAIMFPTFEAGTTKRNLDSDDIEGIRNLYGLQ >OMP11604 pep supercontig:CCACVL1_1.0:contig01111:13:78:-1 gene:CCACVL1_00408 transcript:OMP11604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLVIRNSNPIVKIMGRQSR >OMO58497 pep supercontig:CCACVL1_1.0:contig14220:1747:3369:1 gene:CCACVL1_25454 transcript:OMO58497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARNTKLFSISNPEHRSFIGAPTELRRGGLSGGGDRSG >OMO75893 pep supercontig:CCACVL1_1.0:contig10956:17755:18380:-1 gene:CCACVL1_16003 transcript:OMO75893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNYLQRRIIKMRYCSTHTHACYRYPGSTISR >OMO97087 pep supercontig:CCACVL1_1.0:contig07289:55705:55764:-1 gene:CCACVL1_04654 transcript:OMO97087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVGKKFDKATEPLELNL >OMO73570 pep supercontig:CCACVL1_1.0:contig11215:28058:32889:-1 gene:CCACVL1_17217 transcript:OMO73570 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase MGSGEWCLQKRESFRSDSFSGNDNVPETGCLSIIVLGASGDLAKKKTFPALFHLYCQGFLPPEEVHIFGYARTKISDDELRNRIRGYLVSDRSSSPSEDVSKFLQLIKYVSGSYDGAEGFQLLDKNIAEHEFSKSSQEGSSRRLFYLALPPSVYPSVCKMIRQYCMNKSDLGGWTRIVVEKPFGKDLESAEQLSSQIGELFDEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNVQIVFREDFGTDGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKDDEVVLGQYEGYRDDPTVPDHSNTPTFASVILRIHNERWEGVPFIMKAGKALNSRKAEIRVQFKDVPGDIFRCQKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMSTVQSELDLSYRQRYQGVTIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHRIDNGEMKPIPYKPGSRGPAEADELSAKAGYVQTHGYIWIPPTL >OMO73574 pep supercontig:CCACVL1_1.0:contig11215:58700:61580:1 gene:CCACVL1_17221 transcript:OMO73574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MPSVAVKLYSVFFKFILKHRLQNRIQGPTDESSNPYGVTTRPEESVSASNPSFTDGVATKDIHIDPFTALSIRIFLPESALVPPEQPEPKSKPGSSQHDDSNSIYNRRNSYGPPNMGVSRNDSRRTSFEGLNLRSESNAYRGYSPLPQNCRKLPIMLQFHGGGWVSGSNESVANDFFCRRIAKLCDVIVVAVGYRLAPENKYPAAFEDGLKVLNWLGKQANLAECSKSMGSGALGVGAEFKKAEVQRHIVDAFGASMVEPWLAAHGDPSRCVLLGVSCGANIADYVARKAVEAGRLLDPVKVVAQVLMYPFFIGSVPTHSEVKLANSYFYDKAMCTLAWKLFLPEEEFSLDHPAANPLIPNRGPPLKLMPPTLTVVAEHDWMRDRGIAYSEALRKVNVDAPVLEYKDAVHEFATLDMLLKTPQAQACAEDIAIWVKKYISIRGHEFSY >OMO73573 pep supercontig:CCACVL1_1.0:contig11215:46212:57046:1 gene:CCACVL1_17220 transcript:OMO73573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory B subunit, B56 MYTNCLLLGLDPSIIGLGASNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPAQSARDFDRVWPIFDSAQSRDFRKVVQGIISELEAQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFAADVASNPLPAPLTDVAFSHAATLLPVTKARIALERRRFLKNAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGELWDDLVSTSSQNSHLVSKATRLWESILARKSQHEVLASGPIEDLIAHREHRYRISGSSLLAAMDQSSQVPYSDVLSAQSGDLDDNEGNDGYHARVNDETLSRVDDRSGRVQQTVDVAEIIRRWTHALQRIHKQSLQLAKANDGEGPDILRSGHDGGTSGHAESLATTLAEHQQHLASFQVLINQLKEVAPSIQKSISDCTEKVNSISSNLPSMAKHRGQATSPMPAQSSGRTLESSSDDVGDITSKMSTVQLDKVSASPALKLPQLFSLTPNSSGKGGNMHKRHTLVPQTNQMETLSERSSVDQPLSNNRLDNTPQDSDSSYVQNLKRSVRQAALSTPSCNSDSSRDSQSDESSEHFFVPVSTTNFPRGGPENKVGSLRSKRLFSTQTDNSLLDSNTSDGHIESNYDDLPNMLNNLDSLNDFDQVNGFLSAAGSSFAASDGQRSFFDMEEVQDQVFSPPLLMDTSLLTDSYEDLLVHEKRFSIQVKMIKQILNRLPRKPSKSSENREGGGGTSTSSSNASTNSRNSDPAGNRYANSSGASFSGFNSTPSLGLNQGNKFAQVVNAKLNGNMVASSFEALPSFRDVPNSEKQNLFIRKLNLCCIVFDFTDPTKNLKEKDLKRQTLLELVDYISSSTGKFSEIVMQEIVKMVSANLFRALTSPPRENKVLEAFDLEDDEPSMDPAWPHLQVVYEFLLRFVASPETDAKIAKRYIDHSFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPYIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCIPMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPAEFQRCMVPLFRQIGRCLSSSHFQVAERALFLWNNDHIENLIKQNRKVILPIIFPSLERNARNHWNQAVQSLTLNVRKIFSDSDPELFEECLQKFQEDEARENEVKSKREATWKRLEEIAAMKAASNEPVLVSPKITTRKSSG >OMO73576 pep supercontig:CCACVL1_1.0:contig11215:78111:83944:-1 gene:CCACVL1_17223 transcript:OMO73576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MRDRRKHDVASWSRFFWFTAVVVVSCVLFTGFSLSTFRLFIGETFRPVLGSTRQSSTRNAVVSNEVAVIPVLSVRETVLLPDEVLLFLKYPPSVRFFTKEELVCVYLSAGSSSEPRLKQPAVGVDNEQLGEQIVRCPSCPRGMTVTVDSKSYGVIPAGPTHLWDTLAYEALIDKDNTTVVFVKGLNLRPERISNASRFECMYGWDFMKLSLLLRSEVLSIAQEIVRCKTPLSILNGQQRVNGIVKVSVRIKGSGALPSIARLGHLGNPGPDPAPIRKPHEMCICTMARNQARFLKEWVMYHARIGVQRWFIYDNNSDDDTDSVIESLFDANYNISRHFWPWIKTQEAGFAHCALRARDFCDWVGFIDVDEFLHLPSGLMLHDLIRNLTSTTSLHTQSRYIPIGELRVSCHSFGPSGLKHVPRQGVMIGYTCRMMAPERHKSIVKPEALNSTLINVVHHFHLRDGFRFLDVNRTMMVVNHYKFQVWEVFKEKFYRRVATYVADWQDEQNVGSKDRAPGLGTKAVEPVDWSNRFCEVVDTGLKDRVLQNFAHPGTSLLPWQDANDVIEGFSSEEKRFSFPFRVLRLNLERKSKKMAREEPRQTQQDSKQTNPIENTQSLDTLFSPRFKSVAAMAGWDEEALMVASLVVEDTPDRQSKHKRRSDLIFKTPPSTNSRRKRRAQRSSPVSTPIAPLNLEEEETPKKESEKESKKQRIDVKEEKKIEVKEPNEQPPAVSCSNPAPALHCMDKLREELSCAICLEICFEPSTTPCGHSFCKKCLRSAADKCGKRCPKCRQLISNGRSCTVNTVLWNTIQLLFPQEIEARKAAGLNNRELERQTPESGSRSSRRTRSIRPPMVSNSDATVRGRAVMSQNEEAALALRLQREDLSRLVSADASTRRRRGTPNQDEDAALALRLQREEFLESFRGGTHQQSRTAPLSVARENLRAMASRAINIRQATIPIPNTPAIPGTGSGAQLPPGGAGQLPPGGAAGQLPPGAGQVPPEDAGFLPPGGVVPFPPTPILPGEGMFPPAMPFPFPIDGGLPGFGNLDKPKRTCIEPCVQKCKFKRSRLRVKLCSKLCYLRCGIRISDQVLGCTSHCANSVSPTAISDDRDAQSVVNSCFQRCNKD >OMO73569 pep supercontig:CCACVL1_1.0:contig11215:22978:25210:-1 gene:CCACVL1_17216 transcript:OMO73569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNFDAFGNSLSSWSCNWDMEKTHVSGSSISESKGFSEVVNDDIVDRLPADPFGMEIRSTLTAAISLIQDFENDFGSDFCVFGMQGGDEKKVADQQHLFKGINWVWNGSMSFQEEGCSSGFYGFGSGDVNKKIGDQSSLVKGLNWVWNGSMSFLEEEGSFEINEISIPNDDFNGFGIDNGFSTGSFVINNVGKELEDCKGDFPDVSEGGAPNDALFFALGCLSVKDLLAVERVCRSLRDTVRNDPLLWRRIHIEHSLNKKITDDALLKITSRAQGTLQSLSLVGCIGITDDGLKRVLERNRGLTKLSVPDCTRLSIEGILFNLRAFRSTGSPGIKHLRLGGSFGVTEEQFKELKFLLGVDNPIKTGAQKLQNFWGQLHRLRDDDRAIDIEVCPRCQKLKLVYDCPSESCRRTNHAGQMCRACLICISRCIRCGCCFKNCDYEETFTLDFLCFFVGSRSGILKRSQKVRVPPLRSTPSFIKRGGISCVFIASSEDVLLPLAANIDKTSAQCKPSR >OMO73572 pep supercontig:CCACVL1_1.0:contig11215:42892:43704:-1 gene:CCACVL1_17219 transcript:OMO73572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDKKKPSGLKKLLRCFVPPFSLKRQHHHHHRHYYLTPNNHDQKQASSNSLSQDVISSKQESITPAVDSEAPEDGTTARPNGVERCDSNHLTLSRSLLRARSDEFIENMKLEWQKEFASKQESITPEVEHEAPQDETTALANGVERCDSNHITSSLLRARSDEFIENMKLEWQKSYYEEFTSKQESITPEVEDEAPQDETTASLSEGVIASKQESITPEVECEAPKDENARFLEFIKQTKKFMKLEWQKSEEFEFRDNLPPTPRVVLCV >OMO73568 pep supercontig:CCACVL1_1.0:contig11215:10833:11006:1 gene:CCACVL1_17215 transcript:OMO73568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKENDTRFSSKNHACEPCRSFGQKCSNLVKRQRAKFYILRRCIAMLVCWRDRGDP >OMO73575 pep supercontig:CCACVL1_1.0:contig11215:64122:75922:-1 gene:CCACVL1_17222 transcript:OMO73575 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI inositol-deacylase PGAP1-like protein MAPPSLLGPPELRQPTPKPQQPKTTTAGGPSDPFVDVMVANFNKANTVSVSVSPPMGYTENHSATFLSSGNPCLDFFFHVVPDTPPESLKERLRLAWAHNPLTTLKLICNLRGVRGTGKSDKEGFFTAAFWLHNNHPKTLACNLDSLADFGCFKDLPEMLYRLLEGYDIRKIRKEEWNQRKAGARRRFMMRHPRFRHNNNSQRKTNKKKVAAKPKEVRVSNSPERSKMDKEKASALRKEKKIAMAKKVLERYSRDPDFRFLYERASDVFAECLKADMESMKSGQLKKIGLAAKWCPSIDSAFDKSTLICESIAKKMFPRENYPEYQGIEEAHYAYRVRDRLRKEVLVPLHKVLELPEVYIGTNRWDSIPYNRVASVAMKFYKEKFLKHDNERFSKYLEDVKSGKSTIAAGALLPHEIVASLDDSDGGQVAELQWQRMVNDLLQKGKLRNCMAVCDVSGSMQGIPMEVSVALGVLVSDLSEEPWKGKLITFSANPELQMVKGDDLKSKTNFVRRMKWGMNTDFQKVFDLILKVAVEGKLKPEQMIKRLFVFSDMEFDQASTSRRWETDYQVIVSKFSAKGYGESIPQIVFWNLRNSRATPVPGTQNGVALVSGFSKNLIKMFLDQDGDINPEAVMEAAISGERRNSSSNISNEDSRMRGFRPGLRAMVLVIGVIWLGVAAMYGLLKPIANNCIMTYMYPTYIPISTTEGVSSLKYGLYLYHEGWRKIDFKEHLKKLNGIPVRSLAAESDRAYQGGPLERTFYREAYLATDEGGSGDMAGFRLPNQYTNKLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESRDAREREGAATTGGLPRSVILVGHSMGGFVARAATIHPHLRKSAVETILTLSSPHQLPPLPLQPSLGYYYESINHEWRKGYEVQTTRTGHYVSGPKLSHVVVVSICGGYNDYQVRSKLESLDGIVPPTHGFMISSTSMKNVWLSMEHQAILWCNQLVVQVSHTLLSLIDSRTGQPFSDPRQRLATFTRMLHSGIPQSFNWKMQSQSPWSTLGAVKDEKDTAGSQVQTSSDCPSSFHWSDDVLERDLYIQATTVTVLAMDGRRRWLDIEKLGSNGKSHFIFVTNLAPCSGVRIHLWPHKGKSASDLPAGKRVVEVTSKMVQIPAGPAPRQIEPGSQTEQAPPSAVLYLGPEEMHGFRFLTVSVAPSPTISGRPPPATSMAVGQFFNPDEGEIELSPISMLLSIRYHKDVILKEDHPLALNLSFAISLGLLPSTFSLKTTGCGIKDSGLLDDAGDAENTKLCKLRCFPPVALAWDPTSGLHVFPNLYSETLVVASSPALWTSTGAEKTTVLLLLDPHCSYKASSAVSVTTAASRFLLLYNSQIIGFSVAVIFFALMRQAHARPVPSILQAVDSNLRMPFPFLPFSVVPILISLFFSFLTSHPFPPLPSFTIVSVICYLFANGFIILLILVSQLLFYVAAYLHVLIKRRWKLWEGNSCFLFLQRIINLCSSFFSLRVVRVLRANPLLVPISAAIVLSTFVHPALGLSILLLFHAYCCHSSLCNAMTASFRSHAQEKDSGYKSAGDYLSHQSSSKPGSPSKENSSSYSQTQEDTFHHRHGLLTLHLLATLMFGPSLVSWLQFGLIVKSLTALSTHSGAGTETGFHLPNRRDIFLSLRYGPERHALEEDGIGIDTRDII >OMO73571 pep supercontig:CCACVL1_1.0:contig11215:39377:41950:-1 gene:CCACVL1_17218 transcript:OMO73571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESAKAKLVAAKEKFGREIRVFETGGLPTPSEVSNTEEPDDFYEFTAEDYYRVMASKKEDKYLKTRKIREAEEAARRSRITKVVMRIRFPDNHTLEVTFHPSEKVQSLVDLVKKVIARPDLPFYLYTTPPKKQLKDLTLDFFAAGFVPGAIVYFSYDLPKGDDAEAANSGPFLQEEIMSLKGLDLIAEQLEPVQSAPEPTAASAVPPPVMQESKPGEKKPAKPKWFKM >OMO49361 pep supercontig:CCACVL1_1.0:contig16524:29336:29716:-1 gene:CCACVL1_31054 transcript:OMO49361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRLNKFNSSDCDETLEIDKIKESRFTFGDSKPQNAKHGRKLEIKSFLQKDSFGKFIVSGKKRSKNKDNQSDKKFTPYMGKRKLDFKVGGGRLGPPSLMAGHDKKRLKLVKDFDPNETHDQDKNM >OMO49363 pep supercontig:CCACVL1_1.0:contig16524:37059:37121:1 gene:CCACVL1_31056 transcript:OMO49363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIITKQNSCDNPYQTTNKD >OMO49366 pep supercontig:CCACVL1_1.0:contig16524:43097:48956:-1 gene:CCACVL1_31059 transcript:OMO49366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MEKAEEVDLPPPPPIPPNVKPESMKPPKYSIVSRPGVGRSGRQIKLVANHFKVAVNATDAVFYQYTVKITSEDDRAIESKGIGRKIVDKLYNTYSSELAGKRFAYDGEKSLYTVGPLPQKKFEFMIVLEESFAKRESGSPDSGGIGKRAKRSFRSKTYKVELSYSAKIPLKSISLALKGAESDNTTQDALRVLDIILRQQAANRGCLLVRQSFFHDDSQSYVDVGGGVSGLRGFHSSFRPSQGGLSLNMDVSTTMILTPGPVIEFLKANQNARDPQAINWQKAKRMLKNMRIKTRHNNMEFKITGLSEKNCHELYFPMKVRDGSNSGEEQTVEISVYDYFTKNCQIELVYSAYMPCIDVGKPKRPNYLPLELCSLVSLQRYTKALSVSQRSSLVEKSRQKPQDRIRTLTNAVRSNQYDEDPLLSECGISIGKQLTEVDGRVLETPKLKVGERDTCFPNKGRWNFNNKKFLDPKAIERWIVVNFSARCDISRISRDLINCARNKGINMQRPHTLIEEDRNAAFGSPVARVEEMFESIKKKVPGPPDFILCVLPVRKNSDIYGPWKKKCLSDMGIVTQCISPGKINDQYLTNLLLKINSKLGGINSLLEIEHPSNLPLIKDTPTLILGMDVSHGSPGQSDIPSIAAVVGSCYWPLISRYRASVRTQSPKVEMIDALYKPLENGNDDGIIRELLLEFFNTSKGRKPKQIIVFRDGVSESQFNQVLNIELEQIVKAYQHMGEEDVPKFTVIIAQKNHHTKLFQANGPENVPPGTVVDSKIVHPRNYDFYMCAHAGMIGTSRPAHYHVLVDEIGFSPDQLQNLIHSLSYVYQRSTTAISIVAPICYAHLAASQMGQFMKFEESSETASARVTSAGGIQVPELPRLNKKVAGSMFFC >OMO49362 pep supercontig:CCACVL1_1.0:contig16524:30933:31091:1 gene:CCACVL1_31055 transcript:OMO49362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGKKTQKSFEKGEQEQTKKTKQGRIGIATSSIRTGGGVNSLWEDMAALGS >OMO49365 pep supercontig:CCACVL1_1.0:contig16524:42001:42081:1 gene:CCACVL1_31058 transcript:OMO49365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERAEKVHEVSPGPRSIIRHLLVPQ >OMO49367 pep supercontig:CCACVL1_1.0:contig16524:50546:52156:-1 gene:CCACVL1_31060 transcript:OMO49367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKPGPVINFPTANQKGIHKKRSGSLSTTAELYLRTNTRSIATEAVLMYKPRQIRNPPTESHLIPTRTFLFL >OMO49360 pep supercontig:CCACVL1_1.0:contig16524:12184:12255:1 gene:CCACVL1_31053 transcript:OMO49360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLAMKMAAMFGGDSDDDEET >OMO49368 pep supercontig:CCACVL1_1.0:contig16524:52956:58295:1 gene:CCACVL1_31061 transcript:OMO49368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MEEVSTGTVPRVPAVKPDSKPSSFEGVPPPLEADPATTSATGDAALAEVAEVDKDLLCPICMQIIKDAFLTSCGHSFCYMCIITHLRNKSDCPCCSQFLTNNQLFPNFLLDKLLKKTSARQISKTASPIEQFRQALQQGYEVSIKELDSLLSLLAEKKRKMEQEEAERNMQILLDFLHCLRKQKVDELNEVQTDLQFIKEDINSVERHRIDLYRARDRYSVKLRMLGDDSSTRKPWPSSIDKNSSGIVSSSLNIRGGMSAGSLQNKKIDGKAQVSGHGAQRKDALSGADSQGISQSGLTVARKKRVHAQFNDLQECYLQKRRQLANQLHIKQESDKNVIHREGYNAGLADFQSVLSTFTRYSRLRVIAELRLGDLFHSANIVSSIEFDRDDELFATAGVSRRIKVFDFSMVSP >OMO49364 pep supercontig:CCACVL1_1.0:contig16524:39419:39553:-1 gene:CCACVL1_31057 transcript:OMO49364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTMFFMRLDSDEVLGRLPPPATALSLVGSSMAIKIFNPTLLNM >OMO70224 pep supercontig:CCACVL1_1.0:contig11852:3484:7595:-1 gene:CCACVL1_19050 transcript:OMO70224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKLGDSLFRNSKEIVCKPGNETLRFSVSQVNILNKNSEEVEKVMQEFKGMHFKDPQIDLTNCERMQPKVVKTDFDCQYVFHDAFFKYPTKPKLTTHGISIMKENNLRNTVPVPVPRHWCQKRKYLQGKHGIEKQPSQFTDFTATGIEKNHAAEAKSDSSRDLTVGNGVTSLLSPIGTEHFPVAARISFLWAAQKPLSTQPLDCSALGVPNSVESEKPAPSAPAAASLLPGQMELYDNFKLLTVTLGTKNHLEQCLSFFDEADLTLAMDNSHGADITAQATICAQCMIAVTLLQAHADSELVPIIAAIDAF >OMO70227 pep supercontig:CCACVL1_1.0:contig11852:16537:20159:1 gene:CCACVL1_19053 transcript:OMO70227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKQQGKKRGRTEEDKEDWHYKKNNNIKIKQQSAKNKKEKKEHCNNKGKKTHQLEDSNSNSNSNSNSSSSSNSCNENNHNHHNISSENHVGLGVFDFPWMHEGIVSNSEDWNYIVENTLFSSAVEETCSGEVKFSDILSDDSVETSPTMALMNFDEYKCVFNEIESVDCNGFGLEMEGADCIWGSLLNQPLLSSTRVAVYVYAYAYASSQFSTSAVASAFIPCQQIPTPASSSSSSSGIIDDKNLLQKSLDPSGTGLHVLDLLDQGALEPDRSLYHLLLRKCTRLGKPQLGKIVHAHIVNSVFRHDLIILNTVLNMYAKCGCLDDARNLFDEMPVQDMVTWTTLITAYSQHGRPFDALLLFPRMLSLGFLPNQFTLSSLLKASAALPITPHIHFHGTQLHALCFKYGFHSNVYVGSSLLDMYARSGCMDEARLIFDALHTKNEVSWNALIAGHARKGQAESALWLFRKMLRQDFQPTHFTFASIFGACASTGSLEQGRWVHAHVIKSGGEIIAFMGNTLLHMYAKAGSIQDAKRIFDRLAKRDVVSWNSILTAYAQHGLGNEAVQWFKEMLQIGVAPNEITFLCLLTACSRAGLLDQGQYYFKLMRNYNIEPQISHYVTIVDLLGRAGRLDEAMRFIREMPIKPTAAVWGALLGACRMHKNLELGTYAAERVFELDPHDSGPLVLLSNLYASANRWGDVARVRKLMKESGVKKEPACSWVEIENIVHFFVANDDAHPQIEEIHKKWEEISLKIKEIGYVPDTSHVLFYMDQQEREVKLQYHSEKLALAFALLNSPPGSTIRIKKNIRVCGDCHSAIKFASQVVGREIINVIGMGQQMRWRHHGVAVEGRLTRRVQMGRKSGIERQAIDRAFTSEPYLDLLAVPVSDGAYFQPECLPYFQPKQLKNSFE >OMO70225 pep supercontig:CCACVL1_1.0:contig11852:8704:9300:1 gene:CCACVL1_19051 transcript:OMO70225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQKLTKPSALSLLLATIALMATQGNALVPYARSSLWDMMFPEDPFRILEQTPFPPTAPRGVETLSQSLALARADWKETPQAHVIALDIPGMKKDDVKIEVEENRVLRVSGERKEEEQVEGEKWHRAERTNGKFWRQFRLPNNADLEHIKAHLEDGVLKITVPKFAEEVKRQPKVIDIAAEKEGSGQDISATKAAQK >OMO70226 pep supercontig:CCACVL1_1.0:contig11852:10012:15136:1 gene:CCACVL1_19052 transcript:OMO70226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAEKSPISTGEEDTEMGGVGVGDGDEGDSKESPKSSSKSDSDSESSDSEDEGEQKQQLQTLESELSTNPSNYDAHVEYIKLLRKSGEIEKLGEARENMNSLFPLSPSMWMEWAKDEASLLGDSGFEAVVKLYERGVSEYLSIPLWCEYLNYVQEHDPKVRECSADGISKARNLFERAVTAAAIHVSQGSEIWDAYTQFEQAILLTIDQSDNQAKEKQIQRIRSIFHRQLSIPLANLKSTLRAYKAWEVEQGNALDAESDSVDGILPHVASAYQKAEEMFNARAHFEEQITRQDISETDKFQQFMSYLEFEKSFGDPARVQILYERAIADFPVSSDLWLDYTRYLDKTLKAGKVVKDVYSRATRNCPWVGQLWVRYLLCLERGRASEKEISAVFDKSIQCTFSTLEEYLDLFLTRVDGLRRRISSDIGDDVLKYPLIRESFQQAADYLSPHMKNTIDLLRLHAYWAHLELKLGNDLVAARGVWESLLKTCSMFEAWQGYIAMEAELGHINEARAIYKRCYSKRFSGTGSEDICHAWLRFEREFGTLEDLDRAVQKVTPRLEELRLFRLKEESKSLTEATDQREQANKKAGREKRKSGPSTNDEQSPAKRQKSSSKNQMNLLEKKKTEGKKSAEANDGEERKVEAQKQVDEQQMKDTDPHKSRAIYTDECTAFVKDEDLHQFFSDVGGVASVRIMHDRVTGKSRGFAYVDFEDDEHLAAAVEKNSQLLFGKSLKIARSNPKSGRKEFTARRGTGGHERARNRGRADGSSASKESSESSNRSRAPQATGGNRVDNFQLKGKNTFAVPRRVRPLGWTANKPETKEEGDEKPKSNDDFRKMLMKS >OMO94589 pep supercontig:CCACVL1_1.0:contig07832:66016:68692:-1 gene:CCACVL1_05919 transcript:OMO94589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fibrillarin MRPPRGRGGGGGFRGGRGGEGGFRGGRGGGDGGFRGGRGRGASRGGGGRGAPRGGGRGRGGGRGGGMKGGSKVVVEPHRHEGVFVAKGKEDALVTKNMVPGEAVYNEKRISVQNDDGTKVEYRVWNPFRSKLAAAILGGVDNIWIKPGAKVLYLGAASGTTVSHVSDVVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKAGGHFVISIKANCIDSTVPAEAVFQSEVKKLQQEQFRPAEQVTLEPFERDHACVVGGYRMPKKQK >OMO94588 pep supercontig:CCACVL1_1.0:contig07832:54867:65705:1 gene:CCACVL1_05918 transcript:OMO94588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPDHNPIQSWAPSSGVLPGGTSTDDVVPLEGTGDSDNYQNDDEFEVEAVVGSSSLIWATKLPRIKVTEQLTC >OMO94590 pep supercontig:CCACVL1_1.0:contig07832:70423:71271:1 gene:CCACVL1_05920 transcript:OMO94590 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAFPQEIEVTISSAKDLKNVNWRHGPIKPYVVLWVDPKNKCSTKVDEEGDTCPVWDDTLVIPLTAPIDDDTTIFIDVVHAGSEEETKPLIGSAKLKLREVMEEVGVGERYNKTLQLKRPSGRPHGKVNVDVLIRERPRYPAPGSYNAPPYGVPPPASTRDYPAPPPPYGYPYAQAAPPPPNPYYNAAPAPPAGYPYSAYNYNAQPAYGQAPYSEGSGGYNYYGQEEKKKSKFGGMGTGLAVGAVAGALGGLALAEGVDALEDHFEDEVAEKVEEDQDGGDDF >OMO94585 pep supercontig:CCACVL1_1.0:contig07832:47760:49302:-1 gene:CCACVL1_05915 transcript:OMO94585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRDEVLPDVCNKVPVIERVDEDTDVLSLHIGMAFDSVEFAMLYAFYGKRYGFGIIQRDNKRLSGFVQRVHFACSREGCPRRNAVQMCFTRPSQEAQCLAGMGIHWDGSAYKVKSVIHEHSHNLAPKKGVGFQCNKGEFEENWTQMVVTYGYGENRWFTKLYKNREMWVPAFVRENF >OMO94587 pep supercontig:CCACVL1_1.0:contig07832:51065:52392:-1 gene:CCACVL1_05917 transcript:OMO94587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASREVAKFFYEDVDDFKFLGQAQAAVGGDMAVHED >OMO94580 pep supercontig:CCACVL1_1.0:contig07832:8743:9001:1 gene:CCACVL1_05910 transcript:OMO94580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQVEEVVVEEEAGERTQKVRKKEGRGRRRKSTVVAGGGSDASSGSGGEGRIN >OMO94581 pep supercontig:CCACVL1_1.0:contig07832:25994:26284:1 gene:CCACVL1_05911 transcript:OMO94581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSASVNEPNSASQPANTQSPSYTQPTQNTANASVNARKVNPVAINKQKKSAASSSATGSTVGSGAATVRAKASASPAVMAKATSDYRTEKALK >OMO94586 pep supercontig:CCACVL1_1.0:contig07832:50095:50157:-1 gene:CCACVL1_05916 transcript:OMO94586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEITGFLEGETDGFNRT >OMO94579 pep supercontig:CCACVL1_1.0:contig07832:2704:6017:1 gene:CCACVL1_05909 transcript:OMO94579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MAIILLVGHLNNAVIQVGALAICMNFNGWEGILFIGVNAAVGVRVSNELGLKHPRAAKYSVYVTVLQCLLIGLLCMVAVIIARDHFAIIFTSSEEMQRAVADLAYLLGVTMVLNSVQPVISGVAIGGGWQSLVAYINLGCYYLFGLPLGFVLGYIADLGVMGLWAGMIAGIALQTLLLLLVLYRTNWNKEIWSALAKAFHDGSDELQLFALNQKAFMAKQSGRTLFEYLTGIFQELDHRDKVVMKDPDDVEAYQKSIERLRVHIFLAGLDNVYEQIRGEILKKESIPTLEDCYALIQREAVS >OMO94582 pep supercontig:CCACVL1_1.0:contig07832:29983:30063:1 gene:CCACVL1_05912 transcript:OMO94582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPPFPSPQIGIRDSTPTLTESAA >OMO94584 pep supercontig:CCACVL1_1.0:contig07832:39489:40799:-1 gene:CCACVL1_05914 transcript:OMO94584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFKSLFILFDVGTTLAPSSKLAMLYLDRVVVGERYIPRVPPRVNGWDDKKAKQRLKKETEYRRQRARGGAPLVSGGNLR >OMO94583 pep supercontig:CCACVL1_1.0:contig07832:34638:38553:-1 gene:CCACVL1_05913 transcript:OMO94583 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein FAR1-RELATED SEQUENCE 5-like protein MAALLLSTSIALASIKFPMVTGFFPHALVEFVAQVRIIVPLFLVNNVSRAFFGDVISFDTTYLTKSYNMPFASFVGDEEAHYVVMAKKKTPTYFTGALHFLQMLYLDRVVAEERHVPRVPPRVNG >OMO94578 pep supercontig:CCACVL1_1.0:contig07832:1448:1930:1 gene:CCACVL1_05908 transcript:OMO94578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein FFLVDLLCTSSEDQYSITNQAKASGRFSLRIGHGSYLYPTISTLNVKDSVSSADKMEATAATPLLNSAAPPVTTSPTTLLDDNGDYAPPRSFRDVKSMFWIETVKTWKIAGPIGFQLICQYGVNSVTNIFVGHIGNVELSAVTIALNVFGTFSFGFLVCS >OMO65313 pep supercontig:CCACVL1_1.0:contig12669:13068:15558:1 gene:CCACVL1_21559 transcript:OMO65313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCNVLSIWWLSVDLDKEVGNVDGSVEEKTAEPEGSEILEPYVGMEFESEDDARNFYTEYARRVGFVVRIMQRRRSGIDGRTLARRLGCNKQGFSPNNKGSFGPEKKPRPSAREGCKATILVKMEKTGKWVVTRFEKEHNHPLIVTANGFSTTGDKDKKIEELTMELARQEQLCSAYREKLFSFMNSVEEQTEELSSKIQVVVDNVRKLEAEMQRFSHRR >OMO95350 pep supercontig:CCACVL1_1.0:contig07693:4651:7589:1 gene:CCACVL1_05427 transcript:OMO95350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALQHSWVSSSSLKVKTTRLFSSSSNHHNINNSPFKLGFSQSLKVKTTRLFSSSSNHHNINNSPFKLGFSQSRNQSNDEIETPHSQAQLISQETAPVVDPVKLAFQKAKAYKNTQVNPDSEIVPGTDEIASGKTDESGVTGSQQKQSKSVEKKEKLTISSIDFLGLGFADKKTSRGLPPGLIPVPDPFPEGDLPEVEIIVGDTTKFGDGEATSTTLDLDLEAKPSESEEKDSDFYNPKVSTWGVFPRPGNISKTFGGGRTIRPGQVLETPEDRAAKDKRTRELLSAYKKQVGLNVDPNLRSECDKAMKDGDSLMDSGKLKDALSYYEKVMEKLPYKSELHGLAALQWSICQDSLSRPNEARIMYEKLQSHPNAEVSKKARQLMFSFKAMEMMKVKGSNLSLKNTGYQNYFEAFIEDKANYTLEGAEIPEFGALNQALPYMIFLLSPIVAVLFIAIQKGYAH >OMO95349 pep supercontig:CCACVL1_1.0:contig07693:2119:4185:1 gene:CCACVL1_05426 transcript:OMO95349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEEEKGERIFKDIRRYLCEYCGICRSKKSLITSHILSHHPEKINDGGKEEEEEVSSLNKCEECGATFKKPAHLKQHLQSHSLERPFVCLVDDCRSSYRRKDHLNRHLLQHQGKLFKCPVENCNKEFAFQGNMKRHVKEFHDEDSSSPDAGTQDQHICPEVGCGKVFKFASQLRKHEDSHIKLDSVEAFCSEPGCMKYFTNEQCLRAHVQSCHQYITCEICGTKQLKKNIKRHLRSHDTGVALERIKCDFQGCPHTFSTKSNLRQHVKAVHENVKPFACSFSGCGMRFSYKHVRDKHEKSGCHIYVPGDFVESDEQFHSRPRGGRKRTCPTVEMLIRKRVTPPQMDTMIDPELTLGCS >OMO50908 pep supercontig:CCACVL1_1.0:contig16031:38855:42122:1 gene:CCACVL1_30150 transcript:OMO50908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLNESNNSNWLGFSLSPHMKMEVATDHPQHRHHHQYHHHHTQPSASAASSVTVSNALPTSFYLSPHLNASGICYGVAENGAFTSPLSVMPLKSDGSLCIMEALSRSQSEGIVPTSSPKLEDFLGGATMETHQYGSQERETMALSLDSMYYHHQNADQETNRQHSLDLLQEPFSQTHPFYSGLACQTLYPSTLEEETKDAQLSADCNSQIPQIGEEAMPCFKNWVARHYSTHSSLEQPMTGGMEDDGAAASGSVGTMGCGDLQSLSLSMSPGSQSSCVTAPRQISPSATECVAIETKKRGAGKVGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVERIMASNTLLAGELARRNKETDSNNEANDYNAPTLTNGETIQQPEISNVSGSDWKMALYQSPQQQQNGGAVESLDQKSMSNGGNYRNPSFSMALQDLIGVDSVNSSQPLVEESTTKLGTHYSNPSSLVTSLNNSREGSPDKTGSTMHFAKPPLASKFISPTNGVSWFPSAQLRPAAAISMAHLPVFAAWNDT >OMO50907 pep supercontig:CCACVL1_1.0:contig16031:27263:28833:1 gene:CCACVL1_30149 transcript:OMO50907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLSSTSGALSVKRCSPKFTAPILSQSLHSGRRPKLIPTRNSRKPPHLTLAKAEGGIDSASAPKQSPSPPPPFNNDETVFVGQEDVPLEGVIQFEKPDPSSRLIKWGRVALFAAGDVLALLLFSAIGRYSHGLPIFSEDTIRTADPFLAGWFLSAYFLGGYGEDGRGANGLSKAVIAAAKSWGLGIPLGLVIRAARSGHIPPYTFALVTMGTTSVLLIGWRTLIISIFPEETKKKNDVYRRGSPFELFE >OMO50909 pep supercontig:CCACVL1_1.0:contig16031:46727:47116:1 gene:CCACVL1_30151 transcript:OMO50909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVADGHVALPQPSLLTAPP >OMO50910 pep supercontig:CCACVL1_1.0:contig16031:47681:48135:-1 gene:CCACVL1_30152 transcript:OMO50910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCHGMASWKLTGAGRFGSKRNSIPN >OMO50906 pep supercontig:CCACVL1_1.0:contig16031:24986:26327:1 gene:CCACVL1_30148 transcript:OMO50906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEPGKLFVGGISRETTDQVLREHFIKYGAVMSAVVAKDRITKSPRGFGFVVFAYPSYADKALQETHVILGRTVEVKRAIPRSEHHHNRVQLFLEQLNHNPNHHPSNGISGTDIEAADNNNQFRTSKIFVGGLHPTVTDEVFRKYFERFGTITDVVVMHDSSTNRPRGFGFVTFESEETVENVMQKSFHELNGKFVEVKRAVPKEGGNHIHNKPRFGGVSYYNGVQPAVYSSNSHGYGMFPSYSPLLGYNSVARYICGTGVYGSGYPTVGYDRIGYGITPVTPTARGAFCSPVMLGARVFPLPYSSASIYTTYMNGGAGRLGTGYYGNGILGTGVDGKLNQVLGGFGGLPANAMPTQIEGVNLDGDSSEQDQKVVDGQRKPLPMVAS >OMO50911 pep supercontig:CCACVL1_1.0:contig16031:61580:63737:1 gene:CCACVL1_30153 transcript:OMO50911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDNDDVGFKHRHESLINCPSSRMNTNPLSDKVDGMAMSSISMYTKPSNASDPFFASGWDPIISLSQGESLGGSSMVSHGEFANSHYPLLMENQGISGASHFSQYQSDPSFVELVPKLQGFGSGNLSEMVNSFGLPQCSQIANSRFPPNYALNAEGGNERGSTNSTQSRDDNQISEEGGLVTSPNGKRRKRVPESSSPLGSYQNVDEEPQKDPSGESSDVPKEQDEKKQKTEQNTGANSRGKQVAKQAKDTPQTGEAAKENYIHVRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNIDLERLLSKDILHSRGGSAAILGFGPGINTSHPFSPGIFPGTMPGIPSTNPQFPPMPQTALDNEFQNLFQMGFDSSSAMDSLGPS >OMO70384 pep supercontig:CCACVL1_1.0:contig11834:44258:44582:1 gene:CCACVL1_18953 transcript:OMO70384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQSPPFNILLPSDLATSSLHGSV >OMO70383 pep supercontig:CCACVL1_1.0:contig11834:40908:43762:-1 gene:CCACVL1_18952 transcript:OMO70383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPHHQRKKDGSNNNSSRTSPSRIEDTEFVNSLLASKSGDFDEEVSSLDVVPVQVSNEAVLDSSLNDQISSQLKEPLPKENIEVSRVKLQQFSKSDNGNLSAIHPMRALEDENLDYDSNASSSSFEFHKGERAGHNSMSRSYSRPMSSKWNDAEKWIMNRQNMQAAYAKKNAFHNQANRFPMTNMGRIAPESAHYDQRPAVNRIADTKRVDFCQPAVQMSFEKFSFIPAGAHPISAQAYGGNLLSDHCPQSKDLREVAQRDLSCTKSSAEDTTVVPAIRSVSMRDMGTEMTPVASQEPSRTATPVGATTPLRSPTSSIPSTPRGGAPASTPLDLTTDDELQHPNNGKKELSEQELKLKTRREIVALGVQLGKMNIAAWASKDEKGNNTSSVETSNMEELEQIEYEKRAAAWEEAEKSKHTARYKRDEIKIQAWESQQRAKLEAEMRRIEAKVEQMRAQAQAKMVKKIAMSRQRSEEKRAAAEARKNQDAEKTAAQAEYIRQTGRMPSHYICCGWL >OMO70379 pep supercontig:CCACVL1_1.0:contig11834:3521:7315:-1 gene:CCACVL1_18948 transcript:OMO70379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVKSELPTGRRFKLSHFLLGIGVLYLIFIAFKFPHFLEIAAVLSVDDSYDGLDGKVAGDVNDADLSKPLVNSVYKDTFHRKLEDNLKQDAPLRPSKEPLEERKGEVQPIKPLQHKYGRITGEIMRRMNKSSELSVLERMADEAWTLGLKAWEEVDKFDAKDIGLNSLFEGKPESCPSWLSISGEDLASGDRLMFLPCGLKAGSSITVVGTPHHAHQEFVPQLAKLRLNDGLVKVSQFMVELQGLKSVDGEDPPKIFHLNPRLKGDWSHHPVIEHNTCYRMQWGTAQRCDGLPSKDDEDMLVDGNRRCEKWIRDDVADSKESKTTSWFKRFIGREQKPEVTWPFPFAEGRLFILILRAGVDGYHINVGGRHVTSFPYRTGFSLEDATGLAIKGDVDVRSVYATSLPTSHPSFSPQRVLEMSPKWKAFPLPKRSVKLFIGVLSATNHFAERMAVRKTWMQSSPIKSLDVVVRFFVALNPRKEVNAVLKEEAAYFGDIVILPFMDRYELVVLKTISVCEFGVQNVSAAYIMKCDDDTFVRVDTVLKEIDGISREKSLYMGNLNLLHRPLRNGKWAVTYEEWPEEVYPPYANGPGYVISIDIAKFIISQHGDRKLRLFKMEDVSMGMWVEQFNSSKTVQYSHNWKFCQYGCMVDYYTAHYQSPRQMICLWEKLSRGRAHCCNFR >OMO70381 pep supercontig:CCACVL1_1.0:contig11834:18770:31207:1 gene:CCACVL1_18950 transcript:OMO70381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPHKSKRMKVLVQENNTWGFWVEKKKIGGG >OMO70380 pep supercontig:CCACVL1_1.0:contig11834:9369:13111:1 gene:CCACVL1_18949 transcript:OMO70380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSIKFLYQDRCIPAVKPGCNHLQTLFVGSFPAGILQVRVGLNKPSRRRANLFHSIKCEKKEDRIEHVSVERHPYHVYQDSTSGQLEPASGARASIPGEELWPEGTANRVRAARAPEPTGTSKGSPSFGQNPGSRRKNYKTSATAESSQMNVETSDPVIPEIVEETVEDPKDSSSEYVIYQTEYEENTETEYQLDKKFGRPHPFIDPEVKKPMEEPLTSEELWWNWRKPDKEQWSRWQRRRPDSETVFLKAMAETGQVKLYGEHPTLTETSLYRARRHLFKEERLKAEQERLEKIGPIAYYSEWVKAWKRDTSREAIQKHYEETGEDENTQLIEMFSHQTDREFRIMMGTDIRIKRDPLAMRMREDQIKQIWGGDPVYPTVNYIQDPDEVIDYRGPDFHEPTPNMLAHLKEHGKLISREELEKILAKEKTEELEMTDMDDAMARAVDIGENEDEEEEEEDSEADGEEEEEKITRNWSVLKSTPQLKKSKAKPKKDDKMSLEEAIDDSENLTDFLMDFEEDE >OMO70382 pep supercontig:CCACVL1_1.0:contig11834:31557:37593:1 gene:CCACVL1_18951 transcript:OMO70382 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MRLSSAGFNPQTQEDMAGEKRVLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADIETDEVYAQMTLQPLTPQEQKEAYLPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDKNQLLLGIRRANRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPSSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPPGLPSFHGIKDDDLGMNSPLMWLRGDADRGMQSLNFQGIGVTPWMQPRLDASMLGLQTDMYQAMAAAALQEMRGVDSSKPATASLLQFQQPQNLPLRPAALMQPQMLQQSQPQAFLQGVEDNQHQSQAQAQAQTQQHLLQQQLQHQNSFNSQQQHQHQQQPQPQHPLSQQHQQLVEHPQIHSTVSTMSQYASATQSQSSPLQGIPSLCQQQSFSDSNGNPVTSPIVSPLHSLLGSFPQDESSSLLNLPRSSPVITSAAWPSKRAAVEVLSSGSPQCVVAQVEQLGPPQTNMSSNSISLPPFPGRECSIDQEGGNDPQSHLLFGVNIEPSSLLLQNGMSSLRGVGSDSDSTTIPFSSNYMSAAGTDFSVNPAMTPSSCIDESGFLQSPENGGQGNLQSRTFVKVYKSGSFGRSLDISKFSSYNELRSELARMFGLEGQLEDPLRSGWQLVFVDRENDVLLLGDDPWPEFVNSVWCIKILSPQEVQQMGKRGLELLNSVPVQRLSNGTCDDYVSRQDSRNLSSGIASVGSLDY >OMO84703 pep supercontig:CCACVL1_1.0:contig09716:16976:18365:-1 gene:CCACVL1_10698 transcript:OMO84703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DHVLPCTCRGNAELHGPGLKGHNGRQAIVFKLRMPHLSSRAMLASRP >OMO84702 pep supercontig:CCACVL1_1.0:contig09716:3464:3802:1 gene:CCACVL1_10697 transcript:OMO84702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWLRAKKLEIKWKRKQMERIKRRNKLTIGLINCANELVDEFLVAAELENANTRNEEGIQEAIQGVNDLNLHGSNNIRAVDNGSAAVGGNNGYNEDGERGYDGGHGGERHE >OMP05480 pep supercontig:CCACVL1_1.0:contig05365:12692:13427:-1 gene:CCACVL1_01881 transcript:OMP05480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MDFTRFECYSILAFFMIIMMSLIFASAYDLLDPNGNINIKWDITSWTPDGYVAMVTISNLQMYRQIMSPGWTLGWTWAKKEVIWSMVGAEAADEGDCSDFKRNVPHSCDKSPAIVDLLPGVPQNQQVHGCCKGGVLGSSGQDRAV >OMP05479 pep supercontig:CCACVL1_1.0:contig05365:6960:8206:-1 gene:CCACVL1_01880 transcript:OMP05479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASKNPSCCVSLSSFYSPLITPCPDCACGCLNKENCTMRDSTISSSTVVTSNYLQTNGDTQLLQCTKHMCPIQVHWHVKVNYRKYWRVKISITNFYYRMNYSHWNLVVQHPNLNNVIQVDNFTYKLPLYQSTDDSGLFYGVKDQNELLMGAGASGNVQSELIFEKDESAFTLEQGWAFPRKVYFNGDECMMPPPDTYPFLPNSPSPIAPSLFVIAFLFILLAFSSFLCGLRIERQKRKRKVLLLGWKNSVKCYEALNL >OMO72283 pep supercontig:CCACVL1_1.0:contig11472:27110:27496:-1 gene:CCACVL1_17868 transcript:OMO72283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSMPKISYQRLKNEGNWFDDADHHESLFKKRRKWTSSAGLRRFGIRKRPKLRIPGLRRFLRKRSRFFSRVKLSWEKALKRLKNGQAHMNDLFGGNFLVLQPNHTPFASGQKKVFRDHGLPAGTIIS >OMP10300 pep supercontig:CCACVL1_1.0:contig02645:32:391:-1 gene:CCACVL1_00999 transcript:OMP10300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWAENFESTSHNKLLVSKKGVRPHLWAEIKPNNIRILRFNCPSLLSQRLKRPKKPSATPKDKQSNSTVLERNRKSHEDTLSQLVSSVQPVETAREIVDHMEKDVEEKESLSFLHQWEL >OMP01113 pep supercontig:CCACVL1_1.0:contig06529:22659:22748:-1 gene:CCACVL1_03158 transcript:OMP01113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVNTIGSYSSSFELGSFALLCTSEDGGT >OMP01114 pep supercontig:CCACVL1_1.0:contig06529:48391:48459:-1 gene:CCACVL1_03159 transcript:OMP01114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAFEIDGFPLRARAWPDFHF >OMP01115 pep supercontig:CCACVL1_1.0:contig06529:55692:55766:-1 gene:CCACVL1_03160 transcript:OMP01115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAAKASSQSQKSEGADDEDMDPTI >OMP01112 pep supercontig:CCACVL1_1.0:contig06529:16164:20914:1 gene:CCACVL1_03157 transcript:OMP01112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MSIRLGYVPTIVVSSPQAAELFLKTHDIIFAGRPKVQVSEVVSYGTKGLAFAQYGSYWRTVRKWCTLQLLSASKVEFFAPVRRMELESLVKLLMNAAAAGEVVDISAKVAELIEDITYKMIIGRSKDDEFDLKPLIQEGLRLSGTFNIADYVPFLAPFDLQGLMPRFRAIRKGCDEFLEKIIDEHQKRNKGQPNQHGDFVDVMLSYLNQPMNPNEEKLYLIDRTNIKAIILDMLAAALETSAVAIDWALAELTRHPRVKSCLQRELEKVVGMNRKVEEADLPKLTYLDIVIKEALRLHPVAPFLIPHESMEDATINGYYIPKKSRILINTWTIGRDPNVWSDNVEEFIPERFANSNIDLRGHNFELLPFGSGRRGCPGLQLGLTTIRLVVAQLVHCFEWELPDGMPPNDLSMKEKFGLTVPRAEHLLAKPVYRLHEC >OMO83415 pep supercontig:CCACVL1_1.0:contig09883:6060:19431:-1 gene:CCACVL1_11398 transcript:OMO83415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARSSSPMTSLYVGLPLVAFCSSMLAAMACCNWISSSAMVDGLIQEHLNAESVIVKDAFANGSLCCFAFLLSSVDPCGVVWRPLFDTKLRRIVLVNFL >OMO68556 pep supercontig:CCACVL1_1.0:contig12209:3822:3887:1 gene:CCACVL1_19905 transcript:OMO68556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVAAIAVDKNSETHHFNDAER >OMO61178 pep supercontig:CCACVL1_1.0:contig13571:8627:9181:-1 gene:CCACVL1_23709 transcript:OMO61178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSTADPPASTTGVGLGYGIAIAVSILVLISTIMLASYACVRVKASGNNRRPRSSSSSSSTNTNNDSSEMSHRPAIGGSIDPVTLDLGLDGPIIESYPKIVLGESRRLPKPNNGPCSICLTEYQPKDTIRCIPECQHCFHVDCIDEWLPMSATCPLCRNSPAPSQGPTPSATPLSELVPLAFHGR >OMP06243 pep supercontig:CCACVL1_1.0:contig05011:4896:8608:1 gene:CCACVL1_01659 transcript:OMP06243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MSRSEGKLKSSSSELDLDRPNIEDYLPSGSSIHEPRGKLRLRDLLDISPTLTEAAGAIVDDSFTRCFKSNPPEPWNWNVYLFPLWCFGVAVRYLILFPARVIVLTIGWIIFLSSFIPVHFLLKGHDKLRKKMERVLVELICSFFVASWTGVVKYHGPRPSIRPKQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVAKKLREHVQGVDNNPLLIFPEGTCVNNQYSVMFKKGAFELGCTVCPVAIKYNKIFVDAFWNSRKQSFTMHLLQLMTSWAVVCDVWYLEPQNLKPGETPIEFAERVRDIISVRAGLKKVPWDGYLKYSRPSPKHRERKQQSFAESVLQRLEEK >OMP06244 pep supercontig:CCACVL1_1.0:contig05011:9865:12187:1 gene:CCACVL1_01660 transcript:OMP06244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding Lysin subgroup MGFASGKPFLLLALFIALTLNYSTAQIFNCSSPRSCHALVGYRVVNNTDLDGIQSLFNNVKNLRNLLGANNLNSSTPRTFNVSAKQVIKIPVNCVCFNGTGTSGGVPVYKVQPDDGLYHIAAEVFSGLVLYPQIQQANGIVDANKIEIGQELKIPLPCSCDEVNGEKVVHYAHVVESGSTLAVIARQFGTDEETLSRLNGGVTDSTLKADQAIDVPLKACNSSIRSDSPDFPLLVANGTYVFTANNCVKCTCDAANNWTLQCEPSGNKPSNWESCPTMQCENNLTLGNSTGSGCSRSICSYAGYSNSTTTIFTTLAQDPSCSTSTPSNGVPRISLNWGLLFILFLLCFHLFQ >OMO56539 pep supercontig:CCACVL1_1.0:contig14505:58:5995:-1 gene:CCACVL1_26470 transcript:OMO56539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSLQDWYLDSCKRHEVLPNSTVLSWFSKAKTQKSSQEKCKIVVSLKELKDDDIPPLIDVFLAIDSSDIDAVDILHHESTYVLNEEYILALMNAIKLKLQLVDLNIASLKKDFLRDLCNIGLPCHVLKLRSNHIKKLNMVGRFMQLDTLNLDFCTSLTTLQKDCFSCMPNLMRLSMCETRVANLWTTTAVLAKLPSLLELRFQNCLCCKDTGPCCSSFSKIENLENVRPCSNVINICSYNDELSVVTGCDTLLQASSDDETVIGLLSLQDPEVQRAKDSNSLEVDDVKLSGYLQNQLEASTSPLPDLSEQNKLQNESSYNIMQMQNNDEHPLHVQKLALKNVTGILNKDIWHHPSPICFEKHYREYMIASLPRLEVLDNLPITKLERETSKITFSEYYEYLPYKHNSKESVVNILQNREMGTNGIYCRNSSKLKQAYSYGKSQCFFSRSLSAAKFGSSTWPFLHPVSSFSHISKEENKRLRPRQFEYHPSSSSLMAFGTLDGEVVIINHETGNIVGYNSSIGMMNSVLGLCWLKKYPSKLLTGSDNGRLKLFDVNHMSAKLGVTSCSSDAVLTFEDFEQLTSVHINSTDDQFLASGYSKGVALYDISSGRRLQVFTDMHQEPINVAKFAHHSPFMFATSSFDKDVKMWDLRVRPERPCFTASSSRGNVMVCFSPDDHYLLVSAIDNEVRQLLAVDGRVHMNFNINSTGSSHNYTRSYYLNGRDYIISGSCDEHVVRICCAQTGRRLKDVYIEGKESGNSIFVQSLRGDPFRHFNFSVLTASTRPTSKQEIIKVNLLASSDYADEISHGQNIHPSSGLGEAVATSASPPAPMPPPGFCESKCAVRCSKAGRQDRCLKYCGICCRECSCVPSGTYGNKSECPCYANLKNSKDQPKCP >OMO56542 pep supercontig:CCACVL1_1.0:contig14505:16449:17318:1 gene:CCACVL1_26473 transcript:OMO56542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDCLLLLLKDPETRYKVIDIAAMSLVDLVELKSLGEKEKVGERITQTLLQDYYKIKYGFLKLKSKKAEKALDELWELKVENIKRDKLMSEQDMKDRQVFIGKLKKQGNQKFWSGKIEKACKIYSKALDLCPLNMRKERIVLHSNRAQCYLLLKFPAGAISDTTRALCLSSPLSPHSKSLWRRSQAYDMKGLAKESLMDCLMFINSRMKSSDEEIKKRVRVPYYAARMINKQMNATWIFANAKSKFCSKKEERLIDESKGKYQLQEMLLRLMEAKDKGNFNFPGKPIT >OMO56540 pep supercontig:CCACVL1_1.0:contig14505:9777:10907:-1 gene:CCACVL1_26471 transcript:OMO56540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative copper ion binding protein MDRRSAFAVALICIVVAGVGGQEPAAAPTKAPPAATTPATAPATAPASKPKSPAPTAAPTTSPPTSSPPTSSPPAAAPEKSTAAPAPSKSAPVSSPPASSPPAAVPVSSPPAPVPVSSPPAATPPESSASPPAPATAPTTVEVPAPAPSKSKKKSKKHNAPAPSPDLLGPPAPPTGAPGPSLDASSPGPSVAADESGAETMKNMQTIMGALALGWAAIALIF >OMO56541 pep supercontig:CCACVL1_1.0:contig14505:11272:11535:-1 gene:CCACVL1_26472 transcript:OMO56541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAILFPVTGDTVSVPHNHLPVILDVAISYWFVTLRYMTLQTLPFQLSHEPITPVSGPQKPLKIKPKKEISAKPTRKLINQGLIGL >OMO78806 pep supercontig:CCACVL1_1.0:contig10537:368:3371:1 gene:CCACVL1_14085 transcript:OMO78806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRLLNVTLKGNDYLVRWGIFPAASANYLSNTTALSIILRLRDHRMQLPERFGNYKLVEWNAEPQRKLSWWQNHYLSVVLGFLITLVLGLSATGIWLVHRHRRQSVGAYEPVGNGPRGDSIGPTHRTKWAVTFSYVVPTLHVKINAP >OMO78808 pep supercontig:CCACVL1_1.0:contig10537:9077:10486:1 gene:CCACVL1_14087 transcript:OMO78808 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAKNLHVVMLPWSAFGHLVPFFQLSIALAKAGVKVSFISTPKNIQRLPQVPPSLATLLDLVVLPLPALDKQLLPEGAEATVDIPADKIPYLKFAYDLLRHPVKLFVSEQRPDWILTDIISFWVGEISQEYQIPALYFSVYSASCFAFFLPKLFPDANAQEASRPSLESLTKPSEDENSSSSIAFKSFEAANMYDDLHAQNASGITDNDRFLRILQGTKAMAIRTCPEYESESLNICREITGKPVIPVGLLLPEKPPEGRRITDQSWIESFDWLDAQKPGSVVFVGFGSECKLSKEQVHEIAKGLELSNLPFLWALRKPDWSIDDSNALPPGFSHRTRGRGVVCFGWAPQLEILAHPSIGGSLFHAGWGSIIETLQFGHCLVVLPLIVDQPLNARFLVEKGLAVEVERSEDGSFNGADIAKALILAMVSEEGDNLRLRAKEAAGIFGNRKLHDSYFDRFVEYLQNNGAAS >OMO78810 pep supercontig:CCACVL1_1.0:contig10537:14680:17664:-1 gene:CCACVL1_14089 transcript:OMO78810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel MscS MAAIRLSRLRSLSNSLHSSSKSPSLPLCNSMNLSRRADQNYVRQSYAYMHGGFNKREPQDSKFNSNRTLMDTGFHKTNAMSTLCSTSSNLRFRSAFPFVSMSPVFRHQSNSMSFSSKADKSVEPIVSASASSGGEIAGSDSSVVGSEWVDKVKEAWHSVVDGIRYTGDKAKEASNELQPHVEQLLDSHPYLKDVVAPVGFTLTGTVLAWVVMPRILRRFHNYAMQRSVLSSGSLLGDQVPYEKSFWGALEDPVRYLITFMAISQIGMMVAPSTIASQYVAQAWRGGAILSLVWFLHRWKTNVLDRMLAAQNLAVTDREKLLTVDKVSSVGLFGVGLMALAEACGVAVQSILTVGGIGGVATAFAARDILGNVLSGLSMQFSKPFSLGDTIKAGSIEGQVVDMGLTSTTLLNSEKFPVLVPNSLFSSQVIINKSRAQWRGMMSKIPFNVEDVDKIPQISTDIKSMLRSNPKVFLGKEAPYCFLSHIESSSAELTIGCNLRHMSKEELYSAQQDILLQSVQIIKKHGAALGSTYQGTTRKEAILFASLLMAQQNASRKVRQYLD >OMO78811 pep supercontig:CCACVL1_1.0:contig10537:21321:25071:1 gene:CCACVL1_14090 transcript:OMO78811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQFTIPWKFPKLVVSSICLISVLCLFYTVSFSNVPSSSNQELSIIKTIHVVEQNTTPPPVPSPKSKPPEKTNLHHLVFGIAASARLWDRRKNYIKLWWKPRQMRGVVWLDKAVKNGSGDHLLPPVKISSDTSEFKYKNPKGHRSAIRISRIISETLRLGFKDVRWFVMGDDDTFFLPDNLVRVLSKYDHNQFYYIGSSSESHLQNINFSYGMAYGGGGFAISYPLAKVLAKMQDRCIQRYPGLYGSDDRIHACMAELGVPLTKEPGFHQYDVYGSLLGLLAAHPVAPLVSLHHLDVVEPIFPNMNRVQALKRLKVPINLDSAAVMQQSVCYDKTRSWTVSVSWGYVVQIYRGIFSVREMEMPARTFLNWYKRADYTGFSFNTRPVSRHSCQKPFSYYLSNALYNDGKNQTASEYVQHKVSSSSDCKWKMPDPSRIERVEVYKRPDPNLWDKAPRRNCCRVLPTKKKSTMVIDVGVCGEDEFIELR >OMO78807 pep supercontig:CCACVL1_1.0:contig10537:3874:4188:-1 gene:CCACVL1_14086 transcript:OMO78807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MAGSGVKDRCLKYCGICCEKCNCVPSGTYGNKDECPCYRDMKNSKGKSKCP >OMO78813 pep supercontig:CCACVL1_1.0:contig10537:28936:35173:1 gene:CCACVL1_14092 transcript:OMO78813 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding 8 MAQQHTMATHKPHEDPFLKSFGHKTSCNYRIEFGFFTMFIALLIWSLGTFLHNGLPTITPKLAASYGVKVWQAKLYDVALWIGLVGNICLAFLFYPVTRGSSVLPLLGLTSESSIKYHIWLGHITMALFTTHGLCYIIYWAATGQIIEMTKWSYSDISNVAGEISLLGGLFLWAATIPQIRRKTFELFFYTHYLYIIFVLFFVLHVGIGYAFYMLPGFYLFMIDRFLRFLQSRRSVRLVSSRVLPCQTLEINFAKSPGLSYNPTSIVFLNVPSISKLQWHPFTVSSNSNLESDKLSVIIKCEGSWSTKLYQRLSSPSSTDRLEVSIEGPYGPASNHFSRHDTLVMVSGGSGITPFISIIREQIFRSKMSKTKAPNMILIAAFKNSSDLTMLDLIFPITGSPSELSNLQLQIEAYVTREKAPATDNTKRGRSIWFKPHQTDIPMSAILGPNSWLWLAAIISSSFIIFLILIGIITRYYIYPIDHNKNEFSMAKKAVLYILAICFSIAVTASGAVFWNKRRFAMEANQVQHIEGQNPEGTPDLIPSDRELESLPSQSLAEATNIHYGERPDLKRLLFECKGSSIGVLVSGPKKLRHDVAAICTSGLADNLHFESISFS >OMO78809 pep supercontig:CCACVL1_1.0:contig10537:12669:14081:1 gene:CCACVL1_14088 transcript:OMO78809 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MANDLHVVMLPWSAFGHLIPFFQLSVALAKSGIKVSFISTPANIRRLPKVPSNLENLIDLVDFQLPAALDEQQLFPEGSEATVDIPPKKIQYLKIAYDLLRHPIKQFVSDQRPDWILTDVTPHWVVEIAQDHQIPLIHFSVFSASAYSFLCNPSCLTGDGQKRMRSCPETLTSPPEWYDFPSSLAYPSFLATGMHEGLYKRNASGISDAERVAKTIQGSKALVVRSCPEYEAEYFKVLERIIDKPIIPVGLLLPEKPERRRITDKSWVKVFEWLDEQKPKSVVFVGFGSECKLSKEQVHEIAYGLEQSGVPFLWALRKPDWAPSDLGALPPGFSERSHGRGVVCFGWAPQLEILGHSSIGGSLFHSGWGSIIETLQFGHCLVVLPLVIDQPLNARLLVDKGLAVEIERKEDGSFSKEDVAKALRLAIVSEEGESLRVRAREAAGVFGNRDLQNSYIDNFVQYLMKIGAAN >OMO78812 pep supercontig:CCACVL1_1.0:contig10537:26081:27373:1 gene:CCACVL1_14091 transcript:OMO78812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTVDNRRFISDSSDSHLLSSPNSFSLCAGSLLPRLPPIYHTARVFKAPSKYVFDVKDPGMHMSVNGDKVENFEGLNLQAFEVVHRVTVGGPKVTPFNDTLWRTWVPDNEYLKSSEGSTSMYFGGRIRYHVGGASREVGPDNVYNSARLIESKNASIPNVKLTWEFPVTEGYKHLVRMHFCDIASISLGLLVFNVYVNGNLAYKDLDLSAATNFMLASPFYVDFVVDGDHSGVLSVSVGPSNNSMAYAVDAILNGVEIMKMNNSVGSLDGDLPAESVLKCWPRRSPGILLPLIALVCLLLSLSAIIHRRNARVDSVPWSKLPVDAPEISPKEGKHQLSSKV >OMO90161 pep supercontig:CCACVL1_1.0:contig08515:27172:30396:1 gene:CCACVL1_07504 transcript:OMO90161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEEMTIREIKKREMGPNPKQKIQKLKKDQTRYPKAKGPKAQS >OMP07415 pep supercontig:CCACVL1_1.0:contig04457:148:234:1 gene:CCACVL1_01308 transcript:OMP07415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSVDTLLLGSSARASRFESEWRHNIF >OMO63705 pep supercontig:CCACVL1_1.0:contig12916:34394:36182:-1 gene:CCACVL1_22346 transcript:OMO63705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MELLIGLAELFSVYKIEYISIILATIIAVLGCFKFILSPVLGKKLPPGSFGLPLIGESISFVRAQKQDRTPEWILSRIKKYGPVFKTSILGSKMVIMTGQAGNRFVFSGGDNGISGNQPTSVVRVLGKNSLFEMSGSRHKLIRGAIANFVKPESIQRFVAKIDSLVQQQLLKELHGKDSVKIAPLMKKITFSVICSIFFGLPDGKEKDQLLEDFTLTLKGVWAIPLDFPGTALHRAMQARRRVCKLLSNLIRMRKEKEEEGTVDPNDENIISSLLVLRDENGQPLQEEEILDVFLSLIMASHDTTAVLMTLFIRHLSRDAEVLSKVLEEQNEVIKALKGNDGKLTWSEIQMMKYTWRAAQELMRINPPILGSFRQVTKDITFDGYDIPKGWQIFWVAPGTHLDSNIFENPDKFDPSRFENSSKSFPPYTYVPFGAGPRICAGIEFARVEVLLIIHHFVTKYNWTEMVPNEPIIREPMPYPAMGFPVKLYPTLK >OMO63704 pep supercontig:CCACVL1_1.0:contig12916:31824:33604:-1 gene:CCACVL1_22345 transcript:OMO63704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEVLTSLAEPFSVFKLEYISIILATIIAVIGCFKFIVSPILGKKLPPGSFVLPLIGESVSFLRAQKQDRTPEWILSRIKKYGPVFKTSILGSRMVIMTGQAGNRFVFNGGDNGISCNQPTSVVRVLGKNSLFEMSGSRHKLIRGAITNFLKPESIQRFVAKMHSLVQQQLFKELHGKDSIKIAPLMKKITFNVTCSIFFGLPDGKEKDELLEDFTLTVKGVWGIPLDFPGTTFHRAIKARSRVCNLLSNLIKIRRKQEEEGTVDPNDDNIISSLLVLRDENGQPLLEEEILDVFLSLIMASHDTTAILLTLFIRHLSRDAEVSSKACLKMMKYTWRAAQELMRNNPPMLGSFRLVTKDITFDGYNIPKGWQIFWVAPGTHLDSNIFENPGKFDPSRFENSSKAFPPYTYVPFGAGPRICAGIEFARVEALLIIHHFVTKYNWTEMVPDEPITREPMPYPAMGLPVKLFPKN >OMO63702 pep supercontig:CCACVL1_1.0:contig12916:24:593:-1 gene:CCACVL1_22343 transcript:OMO63702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSMASLSSSPSSSKVSLQPFLKREEEKKKLKNDSMYEKIDEWMRDSVVEIVKKLPESPLLVHVYSDNNTTKTRTEKAEEDNWVMVKQKWEKGETPMPDGVIFVEQIQDDEQGEKGGEKNEEVSRAWGIVVQGKGEEGCGPPACYLLKTSKVGSGFGLRCTHFCLVKVNNFRETAFSQFKNCWLLQGN >OMO63703 pep supercontig:CCACVL1_1.0:contig12916:17926:28103:1 gene:CCACVL1_22344 transcript:OMO63703 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-specific lipid-transfer protein 1-like protein MDQRTVQSKQKKQKQEQPENKTNKEINAGATTPKIRMDLCECFHEAAVHGGFKPERAALLNGYCDMVLHQPRKLTLLPPSPDLDCKKRVPFRIFDRSSFAIVNE >OMO63706 pep supercontig:CCACVL1_1.0:contig12916:37306:41086:-1 gene:CCACVL1_22347 transcript:OMO63706 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MITQTSISIVDMMTPGQSLKDNETLVSAGGLFELGFFSPGSSKSRYVGIWYKKVSSRTVVWVANRESPLFDRSGVLIFSDQGILTIVNSTRSVVWSSNTSTTVQNPVAQLLETGNLVVKDRKPENILWQSFDYPCDNVLAGMKIGKNFITGFESYISSWKSSDDPAPGQFSWRIDPTGFPQLLVRKGTEIVYRAGSWNGLYFTRRKPNNKPNPVYSCQFIYDTNEVSYKCELLNNSVISRYAMNPSGLLQRFMWNERKQEWEIFATSQADQCAVYGLCGAYASCNPDSSSAPCSCLEGFVPKSPTSVDWSDGCVRRTPLVCDGGGDGFVKYTRLQLPDTSKSWANKTMSLKECEEMCLANCSCTAYANLDMVSATGCLLWFDELIDMTEFAADGQDLYIRLAKSEIGKPGLEQNPIH >OMO91070 pep supercontig:CCACVL1_1.0:contig08386:71:3182:1 gene:CCACVL1_07221 transcript:OMO91070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde oxidase/xanthine dehydrogenase, a/b hammerhead MTAKDIPGENLGSKSIFGEEALYADEIAQCAGDRIAFVVSDTQKHADLAANLAVVDYDRENLEPPILSVEEAVERCSFFELPPSLYPVQFGDFSKGMAAADHQILSAQVQLGSQYYFCMETQTALAVPNEDNCMVVYSSNQFPEFAHDTIAKCLGVPGHNVRVISRRLGGGFGGKAIKAIPVATACAVAAYKLQRPLRIYMNRKTDMIMAGGRHPMKITYSILGTIKKYDWGALCFDVKVCKTNLPSRSAMRGPGDLQGSFIAEAIIEHVASALSVEVDSVRNINLHTYNSLNFFFETSAGEPPEYTLPIIWDKLANSSSFYQRIEMLKEFNRCNIWRKRGISRVPIVHEVALRPAPGKVSILRDGSIVVEVGGIEIGQGLWTKVQQMTAYTLSLVKCGGAEELYEKVRVVQADTLSLIQGGLTAGSTTSQNNCEAVRLCCNVLVERLNSLKEKLLEKMESIEWETLIFQAYLNSVNLSASSYFVPDVSSTHYLNYGVAVEVNLLTGETTTLQTDILYDCGQSINPAVDLGHIEGAYVQGIGFFMLEEYPTNSDGLIIANGTWTYKIPTVDTIPKQFNVEILNKKRLLCSKASGEPPLLVAVSVHCATRAAIKEAKRQLLSWSGRQNESDSTFQLEVPAIMPVVKERCGLHCVESFLQWTMGTK >OMO91073 pep supercontig:CCACVL1_1.0:contig08386:19997:24962:1 gene:CCACVL1_07224 transcript:OMO91073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fibrillarin MPTSSLQAVMVVVALEEEEDEAPAAEAGKEDALVTKNMVPGEAVYNEKRIAVQKEDGTKVEYRVWNPFRSKLAAAILGGVDNIWITPGAKVLYLGAASGTTVSHVSDVVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVHVIFSDVAQPDQARILALNASYFLKAGGHFVISIKANCIDSTVPAEAVFQSEVKKLQQEQFKPFEQVTLEPFERDHACVVGGYRMPKKQKA >OMO91074 pep supercontig:CCACVL1_1.0:contig08386:26574:26753:1 gene:CCACVL1_07225 transcript:OMO91074 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde oxidase 4-like protein MAAIKEARKQLFSWSGQKESDSALQLEVPATMPVVKELCGLGSIKKFLHWTIVNTIGTK >OMO91071 pep supercontig:CCACVL1_1.0:contig08386:4122:5756:1 gene:CCACVL1_07222 transcript:OMO91071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQDDNNNINIISHNPFLTQPSTHWTRLDDKLFEQALVMFPEETPDRWLKIAERVPGKSPMEVKAHYEMLLYDVCEIEAGRVEVPSYADDSFSVLSSDFDNQISFEPSKPKHHGESERKKGTPWTEDEHRSCLYIVELCDSNLELIFIDIENRLFLVGLSKFGKGDWRSISRNVVVTRTPTQVASHAQKYFLRQSSVKKERKRSSIHDITTVDSNTMDVPVDQNWIHRPGAPVTMHQFSPSSHLPAQGGSLGYQNYGFPM >OMO91072 pep supercontig:CCACVL1_1.0:contig08386:11022:17826:1 gene:CCACVL1_07223 transcript:OMO91072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde oxidase/xanthine dehydrogenase, a/b hammerhead MGEVTETRTESLVFAVNGQKFELYNVDPSTTLLEFLRSQTPFKSVKLSCGEGGCGACVVLLSKYDPVIDQVEDFTVNSCLTLLCSVNGCSITTAEGVGNSKDGFHPIQKRFAGFHASQCGFCTPGMCVSLFSALVNADKTNRPEPRRGFSKLTVSEAEKSIAGNLCRCTGYRPIADACKSFAADVDMEDLGLNSFWKNGESDEVKLSRLPSYNHNNASSNFPEFLKKELKAGANLASKGYHWYSPVSLEQLQSLLQGVEENDETSMKIVVGNTGTGYYKELVGYERYIDLKYVPELSTIRKDQSGVEIGAAVTISKAIKALKEENDYDPHRGKMVFEKIADHMEKIASAFIRNSGSVGGNLIMAQRKQFPSDMATILLSVGTLVNIMTGQKHEELSLEDFLGMPPLHPKSVLLSIKIPCWVSRKKDVSSESDTKLLFETYRAAPRPLGNALSYLNAAFLAEVSAFSDSTRIVLNNCWLAFGAYGTKHAIRARKVEEFLAGKMLGASVLYEAIKILETIIVPEDGTSSPAYRSSLAVGFLFEFLSPLVDTPPEISNGCLNGYNTTEGLMDSKLKQNYDQFGETKPPTLLLSAKQVIHSSKEYHPVGEPIQKTGATIQASGEAVYVDDIPSPSNCLHGAFIYSTEPLARVKGIKFKYGSLPNGVTGIISVKDIPGKNVGSVTGLGEEPLYADEVAQCAGDRIAFVVADTQKYADLAANLAVVDYDKENLKPPILSVEEAVERCSFLEVPPFLYPEQVGNFSEGMAEADHEILSAKLKLGSQYYFYMETQTALAVPDEDNCIVVYSSTQSPEYTHDTIAKCLGLPGHNVRLITRRVGGGFGGKALKSIPVATACAVAAYKLQRPVRMYLNRKTDMIMAGGRHPMKITYSVGFKSNGKITALKLDILIDAGIYPDVSAIMPEHMVGTLRKYDWGALSFDIKLCKTNLPSRSAMRAPGEIQASFITEAIIEHVASTLSLDVDSVRTINLHTYNSLGLFYKNHAGEPLEYTLPSIWDKLATSSSFYHRTEMIKQFNRTHKWRKRGISRVPTVHEVGMRPTPGKVSILKDGTIVVEVGGVELGQGLWTKVKQMTAYGLSLVQCGGTEELVEKVRVIQADTLSLIQGGMTAGSTTSEASCEAVKLCCNVLVERLTALKERLLEQMKSIDWETLILQAHMTSVNLSASSLYIPAISSISYLNYGAAISEVEINLLTGETTTLRTDIIYDCGQSLNPAVDLGQIEGAYVQGIGFFMLEEYPTNSDGLVTANGTWSYKVPTVDTIPKQFNVEILNSGHHQNRVLSSKASGEPPLTLAVSVHCATMAAIKEARKQVISWSGQKESDSALQLEVPATMPVVKERCGLDSIQKFLQWTIVNTIGTK >OMO91075 pep supercontig:CCACVL1_1.0:contig08386:32254:40043:1 gene:CCACVL1_07226 transcript:OMO91075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde oxidase/xanthine dehydrogenase, a/b hammerhead MGEVTTAAEETIRKQSHLVFAVNGRKFELSDSDIDPCTTLLEFLRYQTPFKSVKLGCGEGGCGACVVLLSKYDPVLDEVEDFTVSSCLTLLCSVNGCSITTAEGVGNSKDGFHPIQERFAGFHASQCGFCTPGMCVSLFSALANADKTNRPQPRPGFSKLTVTEAEKAISGNLCRCTGYRPIADACKSFAADVDMEDLGYNDFWKKGESDEVKLSRLPCYKNGKASSKFPEFLKKEINAGANLASKGYRWYSPTSLEQLQSLLPQNEDNDGTSMKIVVGNTGVGYYKELEQYDTYIDLKHIPELSIIRKDKTGIEIGAAVTISKAIDALKEENLGDFHLESKTVFKKIADHLEKIASGFVRNLGSIGGNLMMAQRKHFPSDIATILLPLGTTMNIMIGKKLENLTLEGFLGRPPLDSKSILLSIKIPRWEVRRNASSETDNMLLFETYRAAPRPLGNALPYLNAAFLADVSFGRNSTGVMLNNCQLAFGAYGTKRPIRARKVEEFLTGKFLSVDVLYEAIKLLETIVIPEDDTSSPAYRSSLAVGFLYEFLSPLFHTSTEITGGLPNGYGIKSPTMLSSSKQVIQSSKEYHPVGQPVIKAGAAIQASGEAVFVDDIPTPSNCLYGAFIYSTEPLARVKGIKFKSGSSPVGVTAIISVKDIPGKNLGTSTVFGEEPLYADELTQCAGERIALVVADTQKHADLAANLAVIDYDKENLEPPILSVEEAVERSSFFEVPPFLYPDQVGDFSEGMAEADHKILSAEIKLGSQYYFYMETQTAFAMPDEDNTMVVYSSNQCPEFAHDTIAKCLGLPANNIRVLTRRVGGGFGGKALKANSVAVACAVAAYKLQHPVRMYLNRKTDMIMAGGRHPMKVTYSVGFKASGKITALKLDILIDAGAFVETSVFMSSLIIGPLKKYNWGALNFDIKVCKTNLPSRSSMRAPGEVQGSFIAEAIIEHVASTLSIEVDSVRCINLHTYNSLSFFHKNNAGEPLEYTLPSIWDKLATSSSFFQRIEMVKEFNRCNKWRKRGISRVPIVHEVNVRQAPGKVSILKDGSIVVEVGGIELGQGLWTKVKQTTASALSLINCGGSENLLEKVRVIQSDTLSLTQGGFTGGSTTSESSCEAVRLCCNVLIERLTALKERLREQMGSIDWDTLILQAHFASVNLSANSLFIPEASSTHYLNYGAAVSEVEVNLLTGETTILQSDIIYDCGQSLNPAVDLGQIEGAYVQGIGFFMLEEYPTNSDGLVTANGTWTYKIPTVDTIPKQFNVEILNSGHHKNRVLSSKASGEPPLTLAVSVHCATRAAIREAKKQLVSWSGRNESDNSIFQLEVPATMPVVKQQCGLDTIQKFLQWTMATK >OMP11507 pep supercontig:CCACVL1_1.0:contig01224:6485:6940:1 gene:CCACVL1_00476 transcript:OMP11507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MANPLGTVEQMKQVFQKYDKNGDGKISREELISIMAALDSPPTPEEVDRMMSEMDKDGNGYVDLDEFLAFQANGNSDDGSQCGEKELKDAFDLYDMDKNGLISVTELHAMLKRLGEKCSLSDCKRMIKQVDKDGDGNVNFEEFKKMMKATN >OMP11506 pep supercontig:CCACVL1_1.0:contig01224:289:393:1 gene:CCACVL1_00475 transcript:OMP11506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVGLQLARGGLEKNRLEKSPIGVLQGFSLTIGAN >OMP11508 pep supercontig:CCACVL1_1.0:contig01224:12167:12226:-1 gene:CCACVL1_00477 transcript:OMP11508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDFLLLQWFKKVDWSP >OMP07023 pep supercontig:CCACVL1_1.0:contig04721:904:969:1 gene:CCACVL1_01395 transcript:OMP07023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGHLYYNVQNQNRADLYSE >OMO58753 pep supercontig:CCACVL1_1.0:contig14173:7045:10278:-1 gene:CCACVL1_25374 transcript:OMO58753 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-aminoacyl-tRNA deacylase MVTLVVATSADPASIGPASSLLAMPGWHPGPSLQDAASYINKEVRLIKLDKRLVVENHLDKRWEEATGETVNDVVFLSKHVASSNRPALTIHPIGTPHIREGEALNAGGKPGWAAPPNPRIGPWFRLLKNIADSHNLTPEFEVTLEATHHGPEIDSPTMFVEIGSTEEYWKRQDAAQAIALLVWEGLGLGGRTAVGDWNRDSDRNAILLGIGGGHYVPRHMDIVQKDGVWVGHLLSGYSLLMEDPGEAKVPKNSTGVGGTWRETITVAFETTKMAFPGGEVLAHLDHKSFKSWQRNAITGFLAEQKIKIGKPGDF >OMO58752 pep supercontig:CCACVL1_1.0:contig14173:4003:6296:-1 gene:CCACVL1_25373 transcript:OMO58752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MAVTSKPLLLIAIILAAVYSTHALKQEVTRIQFYMHDIIGGPKPTAVRVAGRSNFSSPDPIAATFGSIYMMDNPLTATPNINSTLVGRAQGLYGMSSQEKELSLIMTLTYSLTTGPYNGSTFSVLGRNPVMNQVREMPVVGGTGKFRLARGYCLARTYSMKEFDAVIGYNNKTMCTPWTLTRFVRWRVKDLASCFLACRFPLEGETETRHSASSQVPIANMVFETHDDTGGDYNNKKSKKRLSRRNRGNNGDRQVNPSPTVENENGSGGGGGDNSSWRGFSDEEYIVFCFREDGAFDVVKDYKSSEEYIHSRKSSSPQKLNNAAEDAERDNNKQSSNEGIRSNEEEVINIPAKEGDGEGNSSICLEIELGSGSLRRRRLRSQSQVEGTPNQGVASVESSDSNQSDGSTGSFAFPVLGWEWMGSPVQMPKLDSDSEGEEEGMSPSKNKALSVRFQCLRF >OMO53267 pep supercontig:CCACVL1_1.0:contig15258:106145:109275:1 gene:CCACVL1_28767 transcript:OMO53267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MQRKGSNTMLSTMVFRTRISSLLISMFAIFASFYVAGRLWQDSENRVYLIKELDRITGLGQSAISVDDTLKIIACREQHKRLSALEMGLAAAKQEGFTLKRSADTNGADSKRRPLVVIGVLTRFGRQHNRDAIRKAWMGSGATLKKMENEKGIVSRFVIGRSANRGDSLDRSIDNENRQTNDFIILDQVEAPGELPKKAKMFFALAAETWDAEFYAKLNDDVYVNIDALGATLTSHLDKPRVYIGCMKSGEVFSEPGHKWYEPDWWKFGDKKSYFRHASGEMYVISRALAKFISINRSLLRTYAHDDVSAGSWFLGLDVKHIDEAKFCCSSWST >OMO53260 pep supercontig:CCACVL1_1.0:contig15258:6230:12764:1 gene:CCACVL1_28758 transcript:OMO53260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASILNKTSVALALWSYITVDVETVIGLSSRRRILLYPHLLSFFPFPLFKPDSNPLQAVSTAAQLKALQISSELRSKAKSLKDFFYLHKSSYSKLSLQTLNSTINLRIPPLIPTGLIEEHSEDMVGYLIFIRPYLMDDIKVSRDVIFIDQERRPEPNEDVWESCYDNAFKS >OMO53266 pep supercontig:CCACVL1_1.0:contig15258:102974:103105:1 gene:CCACVL1_28766 transcript:OMO53266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRGWIQRGGKALRGDRRAAIVGGDWWATIIKEVERERRDGI >OMO53265 pep supercontig:CCACVL1_1.0:contig15258:67274:68983:1 gene:CCACVL1_28763 transcript:OMO53265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFSISKFIITDFLSSTTTQASASPKFPCFPLLQKSVSFPHSASHSQRKIVTFVSSKSSEAEEVPAAEDEWLNRLPDKNKPLYSHSLPCIEAWLRSLGFYQSREDRAVWLIEKPDWHAHLSLDVTDLYIRYLKSGPGNLERDMERRFSYALSREDIENAILGGP >OMO53268 pep supercontig:CCACVL1_1.0:contig15258:110771:111285:1 gene:CCACVL1_28768 transcript:OMO53268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKASMLGSLFMVQIKWRGRSRWKLEVVTLQPFSDRNFPVTKKNVMFIGNRSHADAGGEARNYPSTGSAVLLPINVDKSSEANGLGDMVLLPNDEIVRRLLHCLSPEFGKIKSQESKRKKLTRER >OMO53262 pep supercontig:CCACVL1_1.0:contig15258:17844:19292:1 gene:CCACVL1_28760 transcript:OMO53262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MSLDDYTPIEIEEPDNTMNPTEDNLDNPNPTETTISENTTRISLVMQEARCMDSLALPMILTSILLYCPSMVSILFLGHLGELALVDGSHAIGFANITGYSVCSGLAMGMESSLLHPLGIYLRVQSITMPITLCYALATLLHIPITYLLVLVLKLGIRAVALGSSWTRINLVGSLIIYLKISGVYKRTWCGISWDCFRGWRSLLNLSIPSCLSVCLEWWWYEIITLLCGWLSNPQATVALMGILIQTTSLIYIIPSSLSFGMSTRVRNELRANNPLKAKLAATVGLFSTFILGFSALAFAILVGKKWVTIFTKDPEIIALTWMVLHILGLRELGSCPQTTGCGVLRGTARPKLGANINLGCFYLIGMPIAVWLSFFAGFDFKGLWFGLLAAQVSCMVTMVFIVARTIGTFKP >OMO53263 pep supercontig:CCACVL1_1.0:contig15258:53420:53503:-1 gene:CCACVL1_28761 transcript:OMO53263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKDAGSGDSTTRRSQTQSRAAAFLS >OMO53264 pep supercontig:CCACVL1_1.0:contig15258:64815:66055:1 gene:CCACVL1_28762 transcript:OMO53264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase/Amb allergen MAKMNAIDKCWRGNPLWRSHRQKLATCSVGFAGKMTNNIGKGVMRYKVTDPSDDPLNPKPGSLRHGATMIKGKVWITFKKNMVITLQRPLLISSFTAIDGRGVDVHITGAGCLLVYQATDVIIHGLRIHHCKPQPPSSVMGPDSKVIPLGKMDGDAIRLVTARKVWIDHNTMYDCHDGLLDVTRGSTDVTVSNNWFRNQDKVMLLGHDDGHLRDKNMKVTVIFNHFGPNCNQRMPRVRHGYAHVANNFYQGWEQYAIGGSACPSIKSEANFFIAPKGDNKEVTWRQGSQVDTWKFYSVGDIFVNGASFSTQTGHGGAKPHYTPEQSFKVEDANSVEGLTSSSGVLQCSRTLTC >OMO53270 pep supercontig:CCACVL1_1.0:contig15258:114490:130465:-1 gene:CCACVL1_28770 transcript:OMO53270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAAEARVAQRKRGGPITHRSQDRNLALIKSGFRSAISDYLSVEKTNQSLSLSLLRQIGQVISHHYQVRAIISSKEEIKETSSVKKQKIPEVRSPGTISTSSKIIPLEVPSPVAKVFPTVSPIAPSSLSNQENVERSIALFFFENKLDFSVARSSSYQAMIDAVGKYGPGFTGPSAETLKTTWLDRIKSERSRLKHMFNSPEYSTNSSYANKPQSISCIAIVEDNDFWRAVEECVAISEPFLKVLREVSGGKPAVGSIYELMTRAKESIRTYYIMDEGKCKTFLDIVDRKWRDQLHSPLHSAGAFLNPSIQYNQEIKFLGSIKEDFFKVLEKLLPTPELRRDITNQIFTFTRAKGMFACNLAVEARDTVSPGCAC >OMO53261 pep supercontig:CCACVL1_1.0:contig15258:17056:17208:-1 gene:CCACVL1_28759 transcript:OMO53261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEYSKINDMDVNKKQCTIKVAVIRKGKEKKYKNGSSSGTRMLLIFVDEE >OMO53269 pep supercontig:CCACVL1_1.0:contig15258:111772:114037:-1 gene:CCACVL1_28769 transcript:OMO53269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDFRNRTNPPYEAQIPMYRQHSTSSSSGPSSHPMYGASLYPRIGQQPGHTVLPPAPRTSSFHQSSSPSSSSGLGIRVALKPEYRITPPPQLSPQVGNIPRSNFQFDFEFERKLLAESEKESMNWSRLGLENLPSKPTESTSSSSSSTGANADPVVSKYITSGLNREAVAVAVANYGDNPTKVREFVNGYNLLREMGFSSNNVAEVLLMYDNDTDKALAHFLNSSS >OMO92449 pep supercontig:CCACVL1_1.0:contig08218:19048:21837:-1 gene:CCACVL1_06842 transcript:OMO92449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSPNFTISFSLLLWTALLASVSSDLASDRAALVALRAAVGGRSLLWNLSSSPCNWTGVHCAQNRVVELRLPGMGLSGQLPIAIGNLTQLQTLSLRFNALSGPVPSDLANLASLRNLYLQGNAFSGEIPGFLFTLQNLVRLNLANNNFTGTIPESVNNLTRLGTLFLENNHLSGSIPDINLPSLEQFNVSFNQLNGSIPRGLSGKPQSAFLGNSLCGKPLVSCNGTESGGSKLSGGAIAGIVIGCVVGVLLILILLICLCRNKSGKKTETRDVAVAPPKQAEVEIAREKPAGEGDSRSSGLSGVVKKDAKSSGSGSKNLVFFGKASRVFDLEDLLRASAEVLGKGTFGTAYKATLEMGVVVAVKRLKDVTVPEKEFKEKMELVGSMDHHNLVPLRAYYFSGEEKLLVYDYMPMGSLSALLHGNRGAGRTPLNWDTRSGIALGAARGIAYLHSKGPGISHGNIKSSNILLTTFYEARVSDFGLAHLAGPTSTPNRVDGYRAPEVTDARKVSQKADVYSFGILLLELLTGKAPTHALLNEEGVDLPRWVQSIVREEWTAEVFDLELLRYQNVEEDMVQLLQLAINCTAQYPDKRPSMAEVTGQIEELCRSSSEKESQQILDADDGSSQQAQSGAPPS >OMO84092 pep supercontig:CCACVL1_1.0:contig09793:14736:15557:-1 gene:CCACVL1_11002 transcript:OMO84092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYQHQAKKKPHDGSRTYSFDVSKTNEVFDFLLKSGIIKLPPEHVLPSSDDVKGREYGKYHDSWRHSTKNCTMFRNIVQEMIEKGILKFLELPKKYIRVDKNPFVAPVHMVALNLLKDGQLTSKDARKKEMTPKGAKSATTSSLKGDFQQEDKVHRHSSRRNGDEVESKPLGSHLSWLLSLTMATMRLKAPRRKLEYYLTDNEEKYLMASRSSAKKKQVQRKLDFSSHTPLQKEEKAIETCLKLGGQTKCLSSISQAKQDGNWRIIHNGVPLV >OMO84091 pep supercontig:CCACVL1_1.0:contig09793:9957:10448:1 gene:CCACVL1_11001 transcript:OMO84091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKESKQTSKSTSQTPSELESLLDSKFEEKLSSFKTEFKNEFKLEILTEFHEMLETVVAKRELAGKGATDSPMSSNSKPPKNDAPPPPPGWPPLASPWPMAGQFLTESQAWIPPTTSMIVPRQYKLNLPKFNGENFRGWLFKLLQYFEAERVPDDSRVRVAM >OMP03276 pep supercontig:CCACVL1_1.0:contig06107:4914:4985:1 gene:CCACVL1_02480 transcript:OMP03276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDNQKQEVINKGLPRAGLTAS >OMO57282 pep supercontig:CCACVL1_1.0:contig14414:4402:10243:-1 gene:CCACVL1_25858 transcript:OMO57282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrogenase, E1 component MALSHLASSSSRSSPLLKPLSSAIFLRRSISTDTTPMTIETSVPFTSHQCDTPSRSVETSPNELLTFFRDMALMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITKKDCIITAYRDHCTFLGRGGTLLEVFSELMGRKDGCSRGKGGSMHFYKKDSNFYGGHGIVGAQVPLGCGLAFAQKYSKDETVTFALYGDGAANQGQLFEALNISALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDALAVKQACKFAKEHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIRKLILAHDLATEKELKDIEKEVRKEVDDAIAQAKESPMPDSSELFTNVFVKGYGAESFGADRKEVRATLP >OMO57283 pep supercontig:CCACVL1_1.0:contig14414:19748:25434:1 gene:CCACVL1_25859 transcript:OMO57283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLSILSFPPLFPATKHSQINHLHSLPSSLPFCRPKSIFSPLSFRLSFKSRRSPFSGEPQLSDADEEEDDEDDDDDDYEAADEYEEYDGASAEDSDDVQQSEDEAEIPVDLSNRHKEATWERVERLSKLVREFGEEMIDVDALADIYDFRIDKFQRMAIEGFLRGSSVVVSAPTSSGKTLIAEAAAVATVARRRRLFYTTPLKALSNQKFRQFRETFGDNNVGLLTGDSAVNKDAQILVLTTEILRNMLYNSVGMSSSGNGLLHVDVIVLDEVHYLSDISRGTVWEEIVIYCPKEVQLICLSATVANPDELAGWIGQIHGKTELVTSSWRPVPLTWHFSTKTSLLPLLNEKGTQMNRKLSLNYLQIAASEAKSYRDDGSRRRNPRRRGRNGNFDSIGSLSEQPLSKNDKNMIRRSQVPQVVDTLWHLNAKDMLPAIWFIFNRRGCDAAVQYVEDCSLLDDCEMSEVELALKRFRLQYPDAVRETAVKGLMRGAAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRTSNGRIQLSPNELLQMAGRAGRRGIDEKGHVVVVQTPYEGAEECCKVLFSGVEPLVSQFTASYGMVLNLLGGAKVTRRSNDLDENNALQARRTLDEARKLVEQSFGNYLGSNVMLAAKEELARIQKEIEELTYEISDDAIDRKSRKLLSDVAYKEIADLQEELRAEKRLRTELRRRMESKRFSALKPLLNEFENGHLPFICLQYKDSEGVQNFVPAVYLGKVESLDGSKLKMMVNSDDTFVLSSVGTELNAGEPDTDQDVEPTYYVALGSDNSWYLFTEKWIKTVYRTGFPDVALTHGDALPRQIMRTLLDKEEMQWDKLADSELGGLWFAEGSLETWSWSLNVPVLSSFSESDEVLHVSQEYTESVEHYKEQRTKVARLKKKIARTEGFREYKKILDTARFTEEKIKRLQARSNHLINRMERIEPSGWKEFLRISNIIHETRALDINTHVIFPLGETAAAIRGENELWLAMVLRNKVLLELKPPQLAAVCASLVSEGIKVRAWKNNNYIYEASSTVLNVVSLLDEQRNSFVELQEKHEVAIPCCLDSQFSGMVEAWASGLSWRELMMDCAMDEGDLARLLRRTIDLLAQIPKLPDIDPLLQKNASAASDVMDRPPISELAG >OMO57284 pep supercontig:CCACVL1_1.0:contig14414:27069:31187:-1 gene:CCACVL1_25860 transcript:OMO57284 gene_biotype:protein_coding transcript_biotype:protein_coding description:paired amphipathic helix protein Sin3-like 4-like protein MLLESVNVTTKRVEELLDKINNNTIKIDSPIRIEEHFTALNLRCIERLYGDHGLDVMDVLKKNAHLALPVILTRLKQKQEEWARCRSDFNKVWAEIYSKNYHKSLDHRSFYFKQQDSKNLSTKALLAEIKEISEKKRREDDVLLAIAAGNRRPIIPNLEFEYPDPEIHEDLYQLIKYSCGEMCTTEQLDKVMKIWTTFLEPMLGAPSRPQGAEDTEDVVKAKSNNVKNVGESEGSPGAGAVAMNSKHLNPSRNGDESIPPEQSSSSRSWLLNGDNGGRQDGSADTDRVGHKNDSSSDAPQQDRMQVNPANGDEISGISKQVSSGERNVNTSLVAGVEQSNGRTSIDNTSGPSANPSRPGNAAVEGGVDLKSSNENLPSSEVGNCSRPILSGNGMTTDGIKSHRYNEESAGQVKVEREEGELSPNGDFEEDNFGDYGEGGLETAHKVKDGAANRQYQRHGEEEVCCGEAGGENDADADDEGEESAQRTSEDSENASENGEVSGSDSGEGDSREEQEEDIDHDDHDNKAESEGEAEGMADAHDVEGDGALLPFSERFLLTVKPLAKHVPSALHEKEKDSRVFYGNDSFYVLFRLHQALYERIQSAKINSSSADKKWRASSDSNPTDLYARFLSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQAVASDEMDNKLLQLYVYEKSRKSGRFVDVVYHENARVLLHDENIYRIECLSKPTHLSIQLMDYGHDKPEVTAVSMDPNFAAYLHNDFLSVVPEEKEKPGIFLKRNKRKCAGGDELSSTSQVTEGLRVVNGLECKIACNSSKVSYVLDTEDFLFRVRQRRTSQLTGSCHNQSKASNGDSIRLQRQQRYQRLLLAYTSMA >OMO88598 pep supercontig:CCACVL1_1.0:contig08984:4772:5356:-1 gene:CCACVL1_08298 transcript:OMO88598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDDIDIGILREIQRKLFLRQLELRLDCVFDRAISNFQRKLNQGLKELSNARVAVRDDAPVTREIEVSSLDKEGITVATNINGEAATFTQLQLPPNVSPLKPELLEPIGESPLEEPNLATKEEQSESIKSGDFIDDAIPATDTKLLMPPAATLVPIESSNAFLLEGPKLSTGLVVEPHFVLNISTQKSTSAWDS >OMO88599 pep supercontig:CCACVL1_1.0:contig08984:33879:34108:-1 gene:CCACVL1_08299 transcript:OMO88599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEPSGRLLYYSYSPRSTTQVARARSS >OMO88600 pep supercontig:CCACVL1_1.0:contig08984:38191:40029:-1 gene:CCACVL1_08300 transcript:OMO88600 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein MESSSRSRQVTKFRWKIENFSLIETKKHYSDNFFVGGNPWRVLIFPEGNTVEHYLSIYLVVADAATLPSGWTRYARVRFSIINQIKPKSSTYKETSHKLNKKECDWGYTEFLSLSELHDPRKGYLKNDTCLVEVDVSTDESIDLPAPEFIVESDPNEADHGKPALKKQKTAITNPEEEINASALALVLSCLEQLPSNAQPCSSIETIKPKEYPSEEDMDALFTSLESVLASHKVYSSEEVKEAISIIEEALCMAPASATFFEIGKLSTLDKAFKVLSSSDCSSALTVEQKTELLALEKNLKEVPQRVAKATQDKALLSEKESLKLTLTRDLEHSLNFFKQGKAELIQIEQKIASLHEQVDEEEKKKTDILAARAEKFKITNDKKMELEALGKEWPEYEAKAKAAEDELKTVAAEWSRMKDFISSTKESFRKGTD >OMP11446 pep supercontig:CCACVL1_1.0:contig01281:2816:5005:-1 gene:CCACVL1_00512 transcript:OMP11446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDIVVLGVEKKSTAKLQDSRSVRKIVSLDDHIALACAGLKADARVLINKARIECQSHRLTVEDRVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTGVPSLYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKDTSGQETIKLAIRALLEVVESGGKNIEVAVMTKEHGLRQLEEAEIDAIVAEIEAEKATAEAAKKAPPKET >OMP11445 pep supercontig:CCACVL1_1.0:contig01281:1917:2021:-1 gene:CCACVL1_00511 transcript:OMP11445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQVAEPARTAPKLLTKLHLSAFVVALAQQRLA >OMP11447 pep supercontig:CCACVL1_1.0:contig01281:5970:6323:-1 gene:CCACVL1_00513 transcript:OMP11447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKWSSVALVLALVVVHASARNVPAGGGVAGLNDQKNFLTYGGVGGYSGIGANGMPIGGIGSVGGLTGLDGTGGVGGLVGMGFGGGAGAAAGGAVGGVGGGADAGIGNGSGSVIHFP >OMO58366 pep supercontig:CCACVL1_1.0:contig14244:170:2703:-1 gene:CCACVL1_25474 transcript:OMO58366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTYVKHLNIPRGVAGACRPDLGYEHFK >OMP02054 pep supercontig:CCACVL1_1.0:contig06331:10117:10293:-1 gene:CCACVL1_02933 transcript:OMP02054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSYIKGANSDITTSPWTDLTIEGPDDESTTRHFSLGYETSVKAKSDCLTLELTSRIMS >OMP02053 pep supercontig:CCACVL1_1.0:contig06331:8941:9096:1 gene:CCACVL1_02932 transcript:OMP02053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAAGSTQITFLMFRLAVEFCLKAVHMESPGQLVSLSYFISFVTFSLFAA >OMP02052 pep supercontig:CCACVL1_1.0:contig06331:4601:4885:-1 gene:CCACVL1_02931 transcript:OMP02052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLLNRIRYSIDRSCSAIAIGNVLPVQNWGIPPTARRLQIRGEETSARVDKV >OMP02051 pep supercontig:CCACVL1_1.0:contig06331:58:782:1 gene:CCACVL1_02930 transcript:OMP02051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEDKRVSSRQRKHPSHTTRARELLAHRLKGRDGSRIDKKASNRRTYYMIFFFECPVPRRRTT >OMP04034 pep supercontig:CCACVL1_1.0:contig05854:10560:12258:-1 gene:CCACVL1_02209 transcript:OMP04034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADLDIIGEIDWDSLFLEHNFPDFADILENSNTSEPESVTEAPQPSSIPSLPDDNAAVSSWIGEIEKVLMEDDQLNDKIQTPPISDDFFADLLVDSPSGSCGEVIDDVAAADPATPAASAGSDADADADAAAIQEQNKAQDDNDDDDEDDPAAKKRRRQLRNRDAAVRSRERKKLYVRDLEIKSKYLEGECRRLGRMLQCFVAENQALRLALNKGCAFDASTKQESAVLLLESLLLGSLLWFLGIMCLFTLPVLPNSLLEAVPLVNEEKRSLERVAPRGAGSNLVGFSFVKTRRCKASRTKMKDFDVSGILLGNFSSVLA >OMP04033 pep supercontig:CCACVL1_1.0:contig05854:1307:6240:-1 gene:CCACVL1_02208 transcript:OMP04033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDYGIPRQLSDLQKHRSQYQPELPPCLQGTTVRVEFGDTTTSLDPADAHTISRAFPHTFGQPLAHFLRATAKVPDAQIITEHPAIRVGIVFCGRQSPGGHNVIWGLQKALEIHNPNSTLLGFLGGTQGLFAQKTLEITDDILSTYKNQGGYDLLGRTQDQIRTTEQVKAALAACNDLKLDGLVIIGGVTSNTDAAQLAETFAEAKCPTKVVGVPVTLNGDLKNQFVETNVGFDTICKVNSQLISNMCTDALSAEKYYYFIRLMGRKHSHVALECTLQSHPNMVILGEEVAASKLTLFDITKQICDAVQARAAQDKNHGVILLPEGLIENIPEVYALLKLLLYPESDDSAQLSQIETEKLLAHLVETEINKRMKAGTYKGKKFNAICHFFGYQARGSLPSKFDCDYGYVLGHICYHILAAGLNGYMATVTNLKNPVNKWKCGAAPITAMMTVKRWSENSNALSIGKPAIHPATVDLKGKAYELLRQNATRFLLDDIYRNPGPLQFDGPGADAKAVTLSVEDQDYMGRIKKLQEYLDKVRTIVKPGCSQDVLKAALSVMASVTEVLS >OMO55959 pep supercontig:CCACVL1_1.0:contig14569:3084:5060:1 gene:CCACVL1_26860 transcript:OMO55959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHSWRYLLFRNCSRSHHRVPNMSQVLLPSIPPRTHSFHNISSHSRFAPSFNMRKELSVLNYTNTPISCYSFSSEAAVEQKDPDHVLVTVNDIFTRFSDSKDITRELESNDIVISHDMILKVLRNVESSPDVARRFFDWVLESNGEKLSSKSFNKMLCILGVNGLVEEFWSLVDVMKKKGYGIHGGVRDIVAVKFEKEGLQSDLERLKGVFASGSVDNSMEKVCARVCKIVRSNIWGEDVEQSLRDLNISFSNDLVKMVVENLGTEPAKALIFFRWVEECRLVKHDELTYNAMARVLGREDCIDRFWKVVDEMKSFGYEMETETYVKVLGRFSKRKMIEDAVQLYEFAMAGSNKPSASCCTFLLRKIAVSKPLDMRLFFRVVRIFKDSGNVLTNSTVNAVLKSLTSVGRLGECNKVLRVLEDGGFIADDNLQSKITFRLASSGKKDETAEFMDYLEASNTNIDHKVWASLIEGCCAAGDLETATGHFQTMVEKEGVSHAGYAFEWLVNSYCIRKRATDAYKLLHKYVTENELRPWHSTYKELIRKLLAQGGFEDALNILGLMKDHGFPPSVDPFIGYVSKSGSSDDAFAFLKSMTTKRFPSMSVVIRVFEALLKAGRQSEAQDLLSKCPGYVRNNADVLNLFCSMKSVQVVAAPPVVA >OMO55960 pep supercontig:CCACVL1_1.0:contig14569:6028:7209:-1 gene:CCACVL1_26861 transcript:OMO55960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MQLLKSIFSTSDFVGLRLRQKPFIFLLFHFSSSSSSSVSVLNTPLFDYLVNNLNFTRTQALSVSNRFPNMKSIGKAQSVANFFQSLGFSNAQIALAISKEPQILVANVDKNLRPKAKVFQDLGISRPGLCELLSKNSPLLCCSLEKKLIPSIQILKKVFGDRDNEVLVQVFNRGNGLISRDSLKKVSRNIEYLESCGIVGSQLSNLLKRQPRIFKMQESALRDVVSRASDMGLSTDRMLVHAIHTLSCMNDQTFKKKWELLKSYGFSENDCLVMFRKCPVLFRTSMVKIELGIEFFMNVVKVDKNVLVSRPNLLMSSLGNRVIPRYKVLQIIKSKKLMKREPSFLYILDYSEEEFLEFISRFTADVVELLVAYKGHLLQTSSDSEEVEEEEET >OMO55962 pep supercontig:CCACVL1_1.0:contig14569:17372:20676:-1 gene:CCACVL1_26864 transcript:OMO55962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Protein CPR-5 MDVRSSPSSPSLQPNGSPACVSTLPPNDDSNPTVENHSSNRKHLVNRKKKKTIDKDEPSSSSCSSSIQRGTRVSSRRRFPRVRFGPARRVEVGDVESIALPLGMSFAAVVAQVLEKKDVANERLSPDYLSVICTSAVRESLANVFGDKFDCFVRNFEKSFGSTLRTLRLINDSSKHKEKYLAHRNTVESSTSDEISSRTRCYRSPVDVKDSHSEVDLPCTTQNELNIHEAVQDSIQNDSMNRELVISQQVNQLACITPSTGSVVNQSVLSTIEKSVVEQVRSNDLKTVELGLTMRKLKLKETQLALNLESNHLERSKLAMGISRASFKAEKFKNQLEDTRHAELLKKCIDCLVAGLLIMSFFLTYGAYVYSYKRISDATSSCNPLEESKSWWIPKPMASFNSGLHTLKCQVQVVSRMMFGVLLILAVAYLLMQRSATSKQTMPVTFILLLLGVACGLAGKFCVDTLGGSGYYWLFYWEILCLVHFLSNVFTSVVFFILHGPVNVSPGMRHSTLLPYWIRRSLFYSVMLLVLPSLCGLMPFASLSEWKDHVLGLVLGANDES >OMO55961 pep supercontig:CCACVL1_1.0:contig14569:7713:13675:1 gene:CCACVL1_26862 transcript:OMO55961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGTKRKAASSKQEEKKKEEATKPSSSKENQKDNNKPAPKAKRAKTSKPEPEPEPEYFEDKRNLEDLWKVVFPVGTEWDQLDSVYQYNWNFSNLEDAFEEGGKLHGKKVYLFGCTEPQLVPYKGENKVICIPAIVAVVSSKPPSDEIGINSVQREVEEVVPMKKMKMDWVPYIPLENRDSQVDRLKSQIFILSCTQRRAALKHLKIDRLKKYEYCLPHFKNPLKEDELEQSTEVIINFPAEPKPVLCEFDWELDELDEFTDKLIEAEELDADQKDAFKEFVKEKVREAKKANRKAREDRKKALEEMSEEDKQALENIRFYKFYPVQTPDAPDVSNVKASFINRYYGKAHEADMVELQACSISSSSWSYLRLGKAQVELSCIKVMKVIMKGEGSS >OMO55963 pep supercontig:CCACVL1_1.0:contig14569:22950:23624:-1 gene:CCACVL1_26865 transcript:OMO55963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, CBFA/NFYB, DNA topoisomerase MTGKRNQSSSSPIGSPSSGNISDSCSKEQDRFLPIANVSRIMKKSLPANAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFENYVGPLKVYLNKYRETEGEKNSMARQEDQAPSTPAAVNEFNKVVNMNNNIAVKGITDHNNFQGYGSGFFSLGAQVTAHHQVQSYGDNHGGMSFNTSRIRENGDGSRTMAAAAAAAHLHRVDW >OMO58704 pep supercontig:CCACVL1_1.0:contig14184:13420:13494:-1 gene:CCACVL1_25411 transcript:OMO58704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQRHLDDQDNRLHTMARQTKRK >OMO58703 pep supercontig:CCACVL1_1.0:contig14184:3728:3853:1 gene:CCACVL1_25410 transcript:OMO58703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKDGILDGDDGVISTGFASEKLDRKMMIEELESMEPWRC >OMO84696 pep supercontig:CCACVL1_1.0:contig09721:5113:5202:1 gene:CCACVL1_10706 transcript:OMO84696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DRSQFPEIVLLQVAVESSPATLRQMGNGA >OMP11198 pep supercontig:CCACVL1_1.0:contig01484:3410:5283:-1 gene:CCACVL1_00629 transcript:OMP11198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-ketoacyl-CoA synthase MKNNQNLVQVVPAETRTSSYSLSVKLKYVKLGYHYLVSNALYLMVVPFFCIILSHLSSYTIEDFTQLWDNMRLNYVTMWVLSVSIVFLTTLYFKSRPRKVYLVNFSCYKPEKDQMLSKELFMQLSAKIGSFTEESLAMQKKVLERSGYGQKTYAPKALMCVPHNQCMEEARKETETVMFGAIDELLAKTGVNPRDIGILVVNSSMFNPTPSLSAAIVNHYKLRGNILSYNLGGMGCSAGLISIELAKHLLQMQRNSYALVVSTENITCNWYFGNNRSMLVTNCLFRVGGAAILLSNRSSDRRRSKYELVHTLRTHKGADDKSYNCIMQEEDEVKKVGIRISKELMSVAGEALKSNITTLGPLVLPLSEQLLFFVTLVARKVFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKSLDLTKWHMEPNRMTLYRFGNTSSSSLWYELAYSEAKGRIKKCDKVWQIGFGSGFKCNSAVWRALKTINPDMEKNPWMDEIHEFPVEVPLVAPIVY >OMP10680 pep supercontig:CCACVL1_1.0:contig02045:1294:1353:1 gene:CCACVL1_00822 transcript:OMP10680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKKLALTSDSSSEDEGQ >OMP11707 pep supercontig:CCACVL1_1.0:contig01008:1035:1145:-1 gene:CCACVL1_00323 transcript:OMP11707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTASRKRKRKKKTNPKRKRERCEKPENGAEIGSI >OMO72927 pep supercontig:CCACVL1_1.0:contig11334:24821:25150:1 gene:CCACVL1_17511 transcript:OMO72927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQQQALTERLDELSRDLSTGRHEGRADGGEGSVNRGRRKRRGVGEIPEATPFVPKCTKLDFPKYDGSIDPLGWINRWSISSGIKIHQQNKKWGWLPSILREMPSCGS >OMO72930 pep supercontig:CCACVL1_1.0:contig11334:66510:66762:1 gene:CCACVL1_17514 transcript:OMO72930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVGTDNNGDAIHVQIPRRAVNIHRPFILEDSLYVLSGFRVTMPQIRFIAMNRS >OMO72926 pep supercontig:CCACVL1_1.0:contig11334:23542:24144:1 gene:CCACVL1_17510 transcript:OMO72926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MDHPTITWDAFAQQCSLQFGPPIRRNKLGELVKLSQTGSVEDYQRKFEQLAARAEVLEDNNKVDQIEEHLEDLDIAENPLSNYSACGQAIFRGGK >OMO72925 pep supercontig:CCACVL1_1.0:contig11334:20976:22482:-1 gene:CCACVL1_17509 transcript:OMO72925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAFKLPYISPLYSPQNSTSTFWPLRLPNYNTKLLSPSPTYAQVRKSDSFVIGYRYRYSGNEDGEEEEEENCSFDEAVSLFNQSEYYKCHDLLEALWHKAEEPTRTLIHGILQCAVGFHHLFNKNHRGAMMELGEGLCKLRKMNFKTGPFYDFEQDIAAVLDFIYNTQIELAACGDDICVTMEQSEKSYLLLGGYAAGQHVYHLQTDPNQAVYIVFCPQRSYGSAQDSTASPRVRLPILKAAEGHLLVYK >OMO72924 pep supercontig:CCACVL1_1.0:contig11334:3414:18822:1 gene:CCACVL1_17508 transcript:OMO72924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl/glutaminyl-tRNA synthetase, class Ib MGVKDENSYKTLDLFLKIGLDERTARNTIANNKVTANLTAVIHEASLTDGCDRTIGNLLYTVATKYPANAILHRPKLLEYVVSYKIKSPAQLEAAFSFLSNVASENFKQIDFEEACGVGVEVSVEEITQTVNEIFEEKKAVILEQRYRYNVGELLGSVRDKHRWADAKIAKQLVDAKMYELLGERTAADDVKPSKKKEKKEKPAKVENKVAEVTPAPPSEEELNPFSIFPVPEDNIKLHTEVFFSNGSVLRCCNTREMLDKHLRVTGGRVFTRFPPEPNGYLHIGHAKAMFVDFGLAKERGGCCYLRYDDTNPEAEKKEYINHIEEIVQWMGWEPFKITYTSDYFQELYDLAVELIRRGHAYVDHQTPEEIKEYREKKMNSPWRDRPIAESLKLFDDMKSGLIEEGKATIRMKQDMQSDNGNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCIVDSLENITHSLCTLEFETRRASYYWLLHVLGLYQPYVWEYSRLNVTNTVMSKRKLNYIVTNKYVDGWDDPRLMTLAGLRRRGVTSTAINAFVRGIGITRSDCSMIRLDRLEYHIREELNKTAPRVLVVLHPLKVVITNLEASSVMDLDAKKWPDAQEDDKSAFYKVPFSNVVYIERSDFRMKDSKDYYGLAPGKSVLLRYAFPIKCTDVILADDKETVLEIRAEYDPSKSKQKGVLHWVAEPSPGADPLKVEVRLFEKLFNSENPAELDNWLADLNPDSKVVIPAAYAVPALRNAAVGNTFQFERLGYFTVDKDSTAEKLVFNCTVTLKDTYNPLKVLDVLISSKTKVICVISWGVAEIPQIVTNYKEKSIEGLSLGFLITWIIGDLFNLFGCMLESTTLYTMTTSILTAQTVYYSHIYPRLKCRRLCQRGSTEHQPEAVEKAGKHIKNFGVKQVIDADRLSSPIPLPRKSSGRELYYMSARSLSRSHTPTTGSFLAQRMSPPHNGNSVEEPLLSAPISTQSASPTSTKSLLCLVSVLMFIGTLNFQLSAWNNLQMKHEKGFVIQLGRKLLQVFQSAVKSGSGGGSGVGTFLGWAMAAIYMGGRLPQICLNGLNPFMFIFALVGNSTYVASILVNSVDWSIIKPNLPWLVDAGGCVLLDTFILIQFIYFCNWAPQVSEDKQENLSAS >OMO72929 pep supercontig:CCACVL1_1.0:contig11334:59672:63294:1 gene:CCACVL1_17513 transcript:OMO72929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQATATILGMRFLGIDSNGDAIHVQVDARSISAYRPLIIEGALYILSNFQVGTPRIQLIAMRSTLMIWLTRTSLMEPVDHDLTPFPRHWFDFASETQLNRLANTNRFLTVREPIEARRRPLLTEVLRKNLKPANCDAYILQQPHIQSAMYTNGLANFVFPELNINTANPTPQPVDLTAPSNNYKLNSDEEVVYLFQAEDILQVQLMIYTYRTINSRLLAYQLRFKIGTENSLVHHETVAEPFQAPTNFTALYYNARGAALPSFRAHLLELVDEYHLMVIIITETRLGAGDANPVASILQ >OMO72928 pep supercontig:CCACVL1_1.0:contig11334:39235:45083:-1 gene:CCACVL1_17512 transcript:OMO72928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPASSRFAPVDTPNLDLASTVSNTTAETLKKLNPIRNFEVFDLSNHHWIGLALRYVSHPPLYFLLTVQSLRDKHREGIWIKLVSCQVKGQ >OMO72931 pep supercontig:CCACVL1_1.0:contig11334:72059:72535:1 gene:CCACVL1_17515 transcript:OMO72931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MMKNSSMCLRPNNTSSSVGNSPIFQPHLTSPLLTYHLRFNIGRANSLLQLSTITPAFEDDTDLTAIYYNARGAALFAFRAHLQELVNEYRPMIIIMTETKLGIGEAHQLASALQYNQVMSAAATRYYGGIWLFSDLRNFSLEPILQGDNQITVNLLKV >OMP05843 pep supercontig:CCACVL1_1.0:contig05180:11:2594:-1 gene:CCACVL1_01812 transcript:OMP05843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVWLVGLAGGFKMPLPSTCPMEFASMPEHFVEDAMELLIFASRIPRALDGVVLDDFMNFIIMFMGSPQFIKNPYLRAKMVEVLNCWMPRRSGSSATSTLFEGHQLSLEYLVRNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNTWKQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKELEAEMSNTAEWERRPAQERQERTRQFHSQENIIRIDMKLANEDVSMLAFTSEQITAPFLLPEMVERVASMLNYFLLQLVGPQRKSLTLKDPEKYDFRPKELLKQIVHIYVHLGRGDAKNIFPAAISSDGRSYNEQLFSAAADVLHRIGEDMRIIQDFIELGAKAKAAASEAMDTEAALGDIPDEFLDPIQYTLMKDPVILPSSRITVDRPVIQRHLLXDS >OMO62235 pep supercontig:CCACVL1_1.0:contig13300:8549:8644:1 gene:CCACVL1_22949 transcript:OMO62235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPLVQIEQTLLRRQVLRVELETLSYCKN >OMO62234 pep supercontig:CCACVL1_1.0:contig13300:7432:7770:-1 gene:CCACVL1_22948 transcript:OMO62234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQENSPVVSIDGHENVPANDEDALLKAVAHQPVSVAIDAGSMDFQFYSEQLA >OMO62233 pep supercontig:CCACVL1_1.0:contig13300:5832:6500:1 gene:CCACVL1_22947 transcript:OMO62233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase MsrA MADPANSSSKSNNNPALDPDSDQPDNPAHELAQFGAGCFWGVELAFQRLEGVVKTEVGYSQGHVPDPNYKLVCTGSTNHVEVVRVQFDPQVCPYTNLLSLFWTRHDPTSLNRQGGDVGAQYRSGIYYYNEKQAGLARESLEAKQLELKDKKVVTEILPAKRFFRAEEYHQQYLEKGGRFGDKQSAEKGCNDPIRCYG >OMO62236 pep supercontig:CCACVL1_1.0:contig13300:14130:14228:-1 gene:CCACVL1_22950 transcript:OMO62236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4e FKLCKVRSVQFNQKGIPYIITYDGRTIYYPAL >OMO67975 pep supercontig:CCACVL1_1.0:contig12336:8508:13463:1 gene:CCACVL1_20157 transcript:OMO67975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MSHASGLKRINTRVDDPAARTCEGLQLFKEQGETECQVSSTVESQVQVNFLMAENIVASCLTGAVSQAGADLTNYATSKISLSQSMEKNYETLGSEVKKLQALRDDYEREVKKHKMKTTTSTYDVWLRTVNRALDKAKDLEDRFKEDRKLSSRYIHVKRRSSYSGKLEKMIEEIVKLVEDGKFLGGFLVDKPLEPVLKVSAPDIKGFPSLQRPLEQVLELLRNDKLKGIGICGTLGVGKTTIMQNLNNHDEVAKMFDIVIWANVSSERSEEKLQEDIARRLKLKLEGIHPEDVARTIAEELNSRKYLLLLDEVLDSIDLEDVGIPPNKNGSKVVLTTEFRHVCSSMADRLIEVRPLSTNEAWKMFQQMVTDVVDLPDIEPVAQLVAKECDRLPLLIKTVAGAFKLKDSVSEWRKGLKDLRKWPEIEIPGLTELHSFLKFCYDQLKDDQKRKCFLYGALYPAESKIYTDYLLECWAAEGLVGNVSGKRRFQDARDEGYDTLKYLTNVSLLEKGERMIYVQMNNSIRQVALYISSQDPDCKFLTGMSENSSDFLEENDWQQAKRISMIDKKLSELPESPNCSMLLSLLLQRNSNLTEIPQSFFESMKTLLVLDLYGTGIDSLPSSLVKLTGLKGLYLNNCIHLTELPPEVGELHCLEVLDIRGCRISFIPFHIKKLVNLKCLRVSYYKSSNPNDCPDMEIDCNVIQFLVKLEELMIDVVSYDHWCNEVVDVLRQVGTLENLTTLRICFPKSEVLKGFMQHSPSWKDRQQLTSFRFFVGCQNRKRPQILECFKYKINRYLRYCLGKHSDDSTICDLLAETDALELIEHKDILSLTDFEDAASLKRIRGCLVERCSKMTTIADGNNMTEDRVILPNLEQLLLVNLQSLQTVFWGSLSTRSLSKLHTLVVTSCPILRKIFSLGVIQQLSKLCKLVIQYCPQLEELIEKPYRAGQVSPAFPCLETLILIEMPKLRTICVDKSLAWPCLKELQVYGCPELKSLPFDKDSAANLKSIEAERVWWEALSWPPNVVKEQLQPICNLSQLSIVQAAMPLIRTDLKYECI >OMP11057 pep supercontig:CCACVL1_1.0:contig01624:1178:3374:-1 gene:CCACVL1_00695 transcript:OMP11057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, subunit alpha/beta MMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYESVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMVEPSGISYKYFGAAIGKGKQAAKTEIEKLKLSEMTCREGVIEVAKIIYKVHDEAKDKAFELEISWVCDESKQQHQKVPNDLLEEAKAAARTALEEMDAD >OMO67254 pep supercontig:CCACVL1_1.0:contig12455:21964:22347:-1 gene:CCACVL1_20657 transcript:OMO67254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFRSKSCGDGRMQQQIESYNNGGPNSSYGNGIHSMQDLRCYSASYASAVRHSQTQTQNNDAKFKKGKSTNGSSSKSWSFKDPELQRKKRVASYKVYTVEGKVKGSLKKSFRWLKDRYTRVVYGWW >OMO67265 pep supercontig:CCACVL1_1.0:contig12455:94722:99352:1 gene:CCACVL1_20668 transcript:OMO67265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDRAAAEEEVVKAGEEEDDEVGPMAEDDEGQVTSLTLERVAAAKQFIESHYKAHMKHIQERKQRRSVLEEKLASSDVPEEVQINMLKDLERKESDYMRLKRHKICVDDFDLLTMIGKGAFGEVRLCREKKSGDIFAMKKLKKSEMLSRGQVEHVRAERNLLAEVAGDYIVKLYYSFQDVEYLYLIMEYLPGGDMMTLLMREDTLTETVARFYIAQSVLSIEAIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCRNLSSINENETLEDENLNESMDVDGNFPESGTGRRWKSPLEQLQHWQHNRRKLAFSTVGTPDYIAPEVLLKKGYGTECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWKNHLKFPDEARLTPEAKDLISRLLCDVEHRLGTLGADQIKAHPWFKDTQWDKLYEMEAAFKPQVDGELDTQNFMKFDEAECPPARTGSGPLRKVYKPFF >OMO67259 pep supercontig:CCACVL1_1.0:contig12455:61758:76379:1 gene:CCACVL1_20662 transcript:OMO67259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene cyclase-type, FAD-binding protein MECIGARNFAAMVVSTCATWRSRNRNLMRTKTAISSHHRYISLKVRASGAGSESCVAVKEDFADEEDYIKAGGSELLFVQMQQNKEMDKQSKLADKLPPISIGDNVLDLVVIGCGPAGLALAAESAKLGLNVGLIGPDLPFTNNYGVWQDEFKDLGLERCIEHVWRDTIVYLDDDKPIMIGRAYGRVSRHLLHEELLRRCVESGVMYLSSKVETIIEATDGHSLVVCENNRDVPCRLVTVASGAASGKLLQYEVGGPRVSVQTAYGIEVEVENCPYDPSLMVFMDYRDYAKQQVPCLEAQYPTFLYAMPVTSTRVFFEETCLASKDAMPFDLLKKKLMSRLETLGIRILKVYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPNYASVIAKILKKNHSTGMLIGETNNENISMQAWNTLWPQERKRQRAFFLFGLALIIQLDIEGTRTFFHTFFRLPSWMWKGFLESTSDLPIDQVPYLKKAYDKLEPQLTEFLKSSPQIKWIIHDFAPHWVPPVASQLGINLVYFSIYSASTAAFSGPPSEILHSSRRRPEDFTVVPDWMDYPNNIAFKLHEIVGHQVCMDSVSDFERAGTALLNCKILTLRSCVEAEPDAFRVLNKIYQKPVVPVGLLPPSMPSDEDTGDENWGTLKKWLDSKQEKSVFYVALGSEVGLEIERNDIDGSFTSAMVAESIKRVMVDPEGEPLRANAYAMKDIFGSHGLSNKYLAEFTRSLEEFEEPSEVSKFLAQKGHRISYISTPKNISRLPKLPPYDLSSNNITFIELPFPQNIDGLPPGAESTGEIPVHKVPYLKKAYDLLQVPLTEFLIKTSDVNWIIHDLFPHWLPGIATPLGINLVLHSTCNATTHAFFGSPSALLGDLRTRPEDFTKVPDWIDYPCNNIAYKLYEMVTHYECMDEDVSDFQRIGMVIQGCQFVILRSSSEFEPDEIRLLPLILFSGASSDQGLNARMLHSKKVGLEIERNEVDGSFTSDLVAQTIRKVIVEPEGEPLRANAWAMREIFGNEEMNNKSLEEFARFIEEFAP >OMO67256 pep supercontig:CCACVL1_1.0:contig12455:46170:47477:-1 gene:CCACVL1_20659 transcript:OMO67256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myristoyl-CoA:protein N-myristoyltransferase MSENSSPPGSPKENPDQNSEVNQLSKDDSSLETIVRRFQDSMSLAAKRHKFWETQPVGQFKDVGDTSLPEGSIEPPTPLSEVKQEPYNLPSQYEWTTCDMDSEETCNEVYNLLKNNYVEDDENMFRFNYSKEFLSWALRPPGYYKSWHIGVRAKASKKLVAFITGIPARIRVRDEVVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWHAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSPATLGFRKMELRDVPAVTRLLRNYLSQFAVAPDFDENDVEHWLLPTEDVVDSYLVESPETHEITDFCSFYTLPSSILGNQNYSILKAAYSYYNVSTKTPLLQLMNDALIVAKQKDFDVFNALDVMHNESFLKELKFGPGDGQLHYYLYNYRLRNALRPSELGLVLL >OMO67257 pep supercontig:CCACVL1_1.0:contig12455:48279:53449:1 gene:CCACVL1_20660 transcript:OMO67257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGDATNWDEEAYRETILREREIQTRTVFRTVWAPSLNPNPECVVVASSDGSIASYSISSCVSKLPIGFCSARAQRLLPAEPEGFLEGHDGPAYDLKFYGNGEDSLLLSCGDDGKIKGWRWKEFTESEVPITFQGNHMKPVLDLGNPQHKGPWGALSPIPENNAIAVDPQGGCIFSAAGDSSAYCWDVEKGEIKMVFKGHSDYLHCIVARAYGNQIITGSEDGTARIWDCKSGKCIKVIDPMKDKKLKAFISCVALDASESWLACGSGRNLSVWNLPASECISSIQTRSSIQDVVFDDNQILAVGADPLLRRFDMNGTILSEIQCAPQSAFSVSLHPSGVTAIGGYGGLVDVISQFGSHLCTFHNPPPPFCSRCKDRPYRSTRFFRLFFSIATDAKVINVVCFDKAAKVIFGCSAQEFFDFAACHPFAVKNACHALVGEMLQVTLTKPKMGKADHLRMTAVVPLRSDFQPVIQTLKELYQVSNGAKPT >OMO67264 pep supercontig:CCACVL1_1.0:contig12455:91917:93386:1 gene:CCACVL1_20667 transcript:OMO67264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MDNGFNGNGKKRGRKDDESLVNEEFMKKNGVGDILSSLLLLDEEAKQEQHQWVTDSEQERAFFQSNYQEKVQEMNNYFDQFQTHYSEMDELDTSRNKRARNSTVAAVASVAAAATTTVSAGSDNAGTSQSGSGSAPHRRLWVKDRSKDWWEKCSHPDFPDEEFKRAFRMSKATFNMICEELEPAVMKKNTMLRDAIPVRQRVAVCIWRLATGEPLRMVSKRFGLGISTCHKLVLEVSTAIKTVLMPKYVQWPDENNMKRIQEEFEAATGIPNVGGSMYTTHVPIIAPKVSVAAYFNKKHTERNQKTSYSITLQGVVDKRGVFTDVCIGWPGSMSDDQVLEKSAFYQRATRGLLKDVWVVGNKGYPLMDWVLVPYTHQNLTWGQHAFNEKIGEIEKVGKDAFARLKGRWSCLQKRTEVKLQELPVVLGACCVLHNICEMNNEEMDPALQFDIFDDVSIPENNLRSMASAQARDHIAHNLLHHGLVGTGFL >OMO67255 pep supercontig:CCACVL1_1.0:contig12455:34214:39866:-1 gene:CCACVL1_20658 transcript:OMO67255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane bound O-acyl transferase, MBOAT MAISESPEILGTTATVTSNSHSDSDLDLFSLRRRTATTVATRVSDSDGLANGEVVDDKDRVESLNLMNNVGESANVIPAISDIRFTYRPCVPAHRRIKESPLSSDAIFRQSHAGLFNLCIVVLVAVNSRLIIENLMKYGWLIRAGFWFSSKSLSDWPLFMCCLTLPIFPLAAFVVEKLVQQNQISEPVVVLLHVIISTSAVLYPVIVILRCDSAFLSGVALMLFACIVWLKLVSYAHTNHDMRALAKSPEKGNEDHLYDVSFKSLVYFMVAPTLCYQLSYPRTPAVRKSWVSRQFIKLVIFTGLMGFIIEQYINPIVQNSQHPLKGDLLYAIERVLKLSVPVLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTVEEPVHKWMVRHIYFPSLRRGIPKGVAIVIAFLVSAVFHELCIAVPCHVFKLWAFIGIMFQVPLVLITNYLQKKFTSSMVGNMIFWSFFCIFGQPMCVLLYYHDLMNRKGKVD >OMO67263 pep supercontig:CCACVL1_1.0:contig12455:88007:89051:-1 gene:CCACVL1_20666 transcript:OMO67263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLSPSAAIVLQNKLSRTSFSAISTNTISKTSHSDFRNERFKGLCLKTKATGSVEGSVIEKESADKLDYGVVGVHHVGILCENLERSLEFYQNILGLEINEARPHDKLPYRGAWLWVGAEMIHLMELPNPDPLTGRPEHGGRDRHACIAIRDVSKLQAILDKAGIPYTLSKSGRPAIFTRDPDANALEFTQVNA >OMO67262 pep supercontig:CCACVL1_1.0:contig12455:83674:87560:1 gene:CCACVL1_20665 transcript:OMO67262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSSASRGGAPTSMMTVVVAVKGGSSGGRGSRRAARWAVENLKADRFLLVHVMPAVTSVPTPSGDRIPITEMDENVVEMYVKEVKLQFEENFVPFKKLFKSKKMETLVLEDDNPAIALLAYVSEFGIDTLVLGSCGSNCITRKLKGPGIPRIVLKCAPDSCEVHVVYRQRIITKSANRLSTSGPSHRPPMFTGTFQTESSTGINHISHTANASIESVPNSYGIGSLSELDYPYSRAISSDDRAYQERFHQNIRENMESSTLGNSNSVASTNSERLDLQAEVEQLRLELQNTVAMYKQACEELVHTQNKVQLLSSECLDEARRVNAAVEREETFRKIAAEEKAKYLQAMKEVEEAKNLLSREAYERNVAELNALKESLEKQKIVDALFCSDRRYRKYTREEIEVATDFFSESNVIGQGGYGKVYKCSLHRTPVAVKVLQPDAVDRKQEFLKEVEVLSQLRHPHIVLLLGACPENGCLIYEYMENGNLEEHILLQNGKPPLPWFIRFRIVFEVASGLAFLHNSKPDPIVHRDLKPGNILLDRNYVSKIGDVGLAKLISDVVPDNITEYKESVIAGTLCYMDPEYMRTGTIRPKSDLYALGVTTLQLLTGRHPNGLLLTIENAITKGSLADILDKSVTDWPLAETEELARIALKCSKLRCRDRPDLDTEVLPILKRLVDVADASLKLEKNNVYAPSHYFCPILQEVMDDPHIAADGFTYEHRAIKAWLEKHNVSPVTKLRLQHSTLTPNHTLRSAIQDWKSRVTLSST >OMO67252 pep supercontig:CCACVL1_1.0:contig12455:6864:9029:1 gene:CCACVL1_20655 transcript:OMO67252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSNGTDKFQRKNSITLRRSKPDTLLNVEKTVSFKNNNIVVGNGDQEDRAVAGSNGLNHKPMPTLSLPKSCPEPFVFFSPKPVSELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKLLDLAELKKSSVSFFNVEKPETAVSRWARAKTRAAKVGKGLSKDEKAKKLALQHWLEAIDPRHRYGHNLHLYYDVWLDVGDGKEVNLDKCSRKKLQQQCITYLGPKEREDYEVIVENGRLIFKKTGSSVDTIGKSKWIFVLSTTRALYVGQKEKGKFQHSSFLAGGATTAAGRLVAHDGVLEAIWPYSGHYHPTEENFMEFINFLEENHVNLTNVKRCAVDDDYVQSAPAEESKKPDVSDEGDSIRGVKIPETDQQQQDEKIINEEKPVFHLARRLSSKWASGVGPRIGCVREYPTDLQSKALEQVNLSPRVAPSPRFLKYGPIPSPRPSPRVHLSPGISGIGLPSPRPLPSPRPISAAS >OMO67253 pep supercontig:CCACVL1_1.0:contig12455:16032:20616:1 gene:CCACVL1_20656 transcript:OMO67253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLATPPARAIGPGVGSSSAMPPAIGNADRNTDDLRAAGLSSMESSRRRTSGPLMNSGSYAKQKSPVANDPGITKESLFAAQSGGSSSRRVAVSSSRDAFAGSEFDPQRSRTTDASPGALQKISSRQRSPVESSDPKRTSSGKNTTNAKNYEAALKGIEGLQFENDERVHY >OMO67260 pep supercontig:CCACVL1_1.0:contig12455:78635:80152:1 gene:CCACVL1_20663 transcript:OMO67260 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGKSIIKSQDLQFLFDPRTALKASVFFSLKKILKAMDDRKEKLHIAMFPWLAYGHIMPFLQVSKFLAQKGHRISYISTPKNISRLPKLPPNDLSSSNITFIELPFPQNIDGLPPGAESTGEIPVHKVPYLKKAYDMLQYPLTEFLKTSDVNWIIHDFAPHWLPGIATPLGINLIFYSIFNATLFTFLGPPSALLGDYRRSRPEDFTQVPEWIDYPSETAYKLYEMVNHYECMDEDVSDFQRIGLIVQGCQFVILRSSFEFEPDEIRLLAKLYQKPVVPIGLLPPSLISSNQLVKTDEKWEGIKKWLDNKGDKSVFYVALGSEVTLSQEFMHELAFGMEKSNLPFIWVVRNRPLVLEGQKGQDMIPPGFEERVPDRGLVLRDWAPQLQILAHSSVGGFLTHCGWSSIIEALSFGRALILFSGASSDQGLNARLLHSKKVGLEIERNEVDGSFTSDLVAETIRKVMVEPEGEPLRANAWAMREIFGNEEMNNKSLEEFTRFIQEFAP >OMO67258 pep supercontig:CCACVL1_1.0:contig12455:54062:56394:-1 gene:CCACVL1_20661 transcript:OMO67258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPKQKWTAEEEEALRAGVAKYGKGNWKSIQRDPELSPFLFARSNIDLKDKWRNLCGGPGGQGSRSSKPKASSDGSTPPIAVPVKPIALPKPVIHDSSKTESLAPNQYNEMIIEAISALKEPNGSDSRAIVSYVEQRLEVPQSFRKQLCSRLKRLVEVEKLEKVENCYKIRKKDEMFGTKTQLPQPKGTRLKQLQNSCDMVKEASDTAADLIAQSENKDYMAMEAVKESDRISKMAEDMDSLLQLAKEIFERCSGGEIVHINRLYRLT >OMO67261 pep supercontig:CCACVL1_1.0:contig12455:81117:82544:1 gene:CCACVL1_20664 transcript:OMO67261 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDQRNEKLHIAMFPWLAYGHIMPFLHVSKFLAQKGHRISYISTPKNISRLPKLPPHLSSKISFIELPFPQNIDGLPPGAESTGDIPVHKVPYLKKAYDTLQVPLTEFLKTSDVNWIIHDFAPYWLPEIAPPLRINLVLFSIFNATSFAFLGPPSALLGNLRTRPEDFTKVPDWIDYPCNNIAYKLYEMVTHYECMDEDVSDFQRIGMVIQGCQFAILRSSFEFEPDEIRLLRKLYQKPVVPIGSDQGLNARLLHGKKVGLEIERNEVDGSFTSDLVAQTIRKVMVDPEGEPLRANAWAMREIFGNEEMSNNSLEEFTRFIEEFGSPSGAGQV >OMP00334 pep supercontig:CCACVL1_1.0:contig06685:9193:12490:1 gene:CCACVL1_03374 transcript:OMP00334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Binding-protein-dependent transport systems inner membrane component MTLAVSGISFPAFALGMLLMEVFSVQLGWLPSIGADTWKHYVLPSLTLGAAVAAVMARFTRASFVEVLQEDFVRTARAKGVRETASNPAIAAQAATEPVRTPWTEFWRKFRKQHLAMGAGVFVIALALIAILAPHIVPFDPENYFDYDALNAGPSAQHWFGVDSLGRDIFSRILMGTRISLEAGFVSVIIGAIIGTVLGLLAGYYEGWWDRIVMRISDVLFAFPGILLAIGIVAILGNGMINVIFAVAVFSIPAFARLVRGNTLMLKHLTYVEAARSIGASDWTIIMRHILPGTISSIVVYFSMRIARADMVTAPHVAIFPSLAIFLTVLALPTIGALPAGPRNSITDVTGVTVGHATIAGGDIQTGVTVVRPHAGDPFVDKVPAACAVINGFGKSVGLVQVEELGVLETPIALTNTFAVGAVAQAQIRQAVAANPQIGRAWSTVNPLVFECNDGFLNDLQAFAVQDVHYEAAYAAAAETFEQGAVGAGRGMSSFGVKGGIGSASRVVLLADGTQRTVGALVLSNFGVTGNLTLAGRHIGAELAKALAARAPEPEKGSIIMLLATDAPLDARQLRRLALRAGHACDAGPRHDTRIASRPAVPSRRRQRGTSHRARAVPCRSRHRPRRQHAPRIDRTDLTHQMRILISADIEGVANVFHPEQTRAGNAEYERARRWMTAEADAAVRGAFDGGATEVLVNDSHGGFRNLIPDSIDRRARFVLGKPRYLSMMAGVDGCNAVCMIGYHARAGSRGVLAHTINSFAFTRVWFNEQELGEAGLYGALAGERGVPVAVASGDDVFIKETKPLLPHTTFVETKQAEGQNAGTSLSPEQSCEAIYAAVKATVQRGHFSMPLRIQAPIVCRLQTQTPALADLFCQWPALERVDGTMLRFAADSVEHAVRMLNSLSAMSAMLR >OMP00335 pep supercontig:CCACVL1_1.0:contig06685:20026:21285:-1 gene:CCACVL1_03375 transcript:OMP00335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRNHTNATGRGIARRAPGARMASSYHRAPGLQPIRTAQKWTKFAIPEGKLLRICQQFHLSDMPASIRPARAGNIRAGQPVQQCPVQRSSVLNLWRMARIRKLHQRRTRNRLGSGPTQFRVVAQAGAHFRGRRVLGDGGGVLLADQQQCPGAHLAEFVHHRLCVDHVVQQGRVPGHKVSPRPAIHAGQHLHPGLVVGAPLARVVSGLLIGADALGGGLLLTCKPLVDRHGWHALGLPVFQANRVDHHQPRNFGRIHQRIARGQHAAGRVADQDGLLNAQRGQQRMRVARQLLERILIRTRLARFAEADLVRRDHAIAGARQRLDRAIPGGRAEVLAVQQHCDLAVGLLGLDVQIGHLQRIALGFELEQVDRRRIVEALQPGAIRGAALSGGDLRGHTRHCQGGNQRNGNRAKQHQHTP >OMO59032 pep supercontig:CCACVL1_1.0:contig14062:2692:4896:-1 gene:CCACVL1_25148 transcript:OMO59032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MDPSLKGLFDSVDRFRLNEDTVLALSSRNFDNRFKNENYVDVPTLQPAPFSTNLALSSSMNQQGDFNEDYDFSDVVLKYISQILMEEDMEDKTCMFKESSAALQAAEKSFYEVIGERYPPSPKYEPKSYTDQSQESSDDQSCWTCSTSSINGYSSNLVDPGRNLDLREQRSLIFAPQVNSQSSHSSSNSTGSVVDGFVDSPVSTLRLPEVFSDSESVMQFRKGFEEASRFLPNSRSLFADVENDGLFLKEVTEEAKDMVVKVEQNEFSHDGSRRKKNHHPADVDLDSGRSNKQSAVYTESTVSSEMFDNVLLNCQSITDLQKAWQNETSKNVQQNGQSKGSTGGKTRGKKQGGKKNVVDLRTLLTLCAQAVATDDRKSANELMKQIRQHSSPIGDGMQRMAHYFVDGLEARSAGSGTQIYNAAVNMPTSAADVLKAYHLFLAACPFKKLSNFFSNKTIMNLAENATRLHIIDFGILYGFQWPCLLRRLSSRPGGPPKLRITGIDLPQPGFRPAERVEETGRRLANYAETFKVPFEFHAIAQKWDTIQIEDLKIDRDEVVVVNCMYRLRNLLDETVVVESPRNKVLNLIRKMKPDVFILGIVNGAYSAPFFITRFREALFHYSTLFDMLETNVPRETPERKLIEREIFGWEAKNVIACEGAERIERPETYKQVQVRMMRAGLRQLPLNEEIWKMAKERVHTCYHKDFVIDEDNRWLLQGWKGRIVYALSSWVPAS >OMO59033 pep supercontig:CCACVL1_1.0:contig14062:13253:16292:-1 gene:CCACVL1_25149 transcript:OMO59033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKKRLDYGFKTFNVPDVPRAPRSTRRRAVSKRTDDENQTSAFELLASLAGKLLQESESSASSNASEGSDHVSVGKDVVKQEIQYDNKPLKTECVEQGSCEGSVVASESTTEMHVENDAILERTSIKTQPACSEQISGDLKPVVCKGNVAGNVDGCSPNLEELCDGKSENGIKQEREVKCLENGPLNIANTCSSKDPMELSMAFAQPISSDTDVKLPSRRDSVPNASFSRHRNGFKLDSRDDDEKFSRFNKLSNRFKTSKPPTRIGDRRIRKLLTSKYWKTAPKLKDFEHCRADGGIKRLYRKRKTYNYDRCQYDTLYKRRKFFDRSSIVTSDGGISSESVSNSPEKVMNGDKSSSSAMSHGGHQASHQSQDSHVKFSIKSFKIPELYIEVPETATVGSLKRTVMEAVTALLGGGLRVGVLLQGKKVRDDSRTLSQTGISCEDNLDALGFTLEPGPAKAPSPVCSEEPPLLLSCDAAIQNLPSSPVAPAVDTGVPEATPDPTPLTDSATHVDSNYELDSSQTDMLTDESLSDSRALVPVPVPVPPMNVEALAVVPVNQKIRKSELAQRRTRRPFSVSEVEALVQAVEELGTGRWRDVKLRAFENADHRTYVDLKDKWKTLVHTAKIAPQQRRGEPVPQELLDRVLAAHAYWSQHQAKQQGKHPAGTLRLTDAPADRNGVAAIPTVTM >OMO92105 pep supercontig:CCACVL1_1.0:contig08263:11326:16112:1 gene:CCACVL1_06919 transcript:OMO92105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSATELYEVGMRFKAGKREGMFNIEFVRDKTLIIPTLMIDHDSERLFHNVIAFEQFNNGHCSIFMDYTRIIACLIKNANDVALLSSLGIIENMLGTDEE >OMO92104 pep supercontig:CCACVL1_1.0:contig08263:1975:3410:-1 gene:CCACVL1_06918 transcript:OMO92104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MGLTSLQVCMDSSDWLQGTIHEESGMDSSSPSGDMLTCSRPLIERRLRPPHDQALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVASKKSSNDHSPNNLTAAASSHHNHNPTDLHLSFPHEMHLSHHLNNILATHGTTNTANFMESKYNSLFENPTRPIDFMESKLEAIVGSSRINNYDFMGNNNGDLGMVGGLTGEMGHMGLCSAPYGGMSQLDGNGTGTSFMLPYEDQHAVVEVKPNTKLLSLEWQQDQGCSDAGKDHTYGYMSNLGSWTGMINNYGPSTTNPLV >OMO52929 pep supercontig:CCACVL1_1.0:contig15394:34306:34485:-1 gene:CCACVL1_29003 transcript:OMO52929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLPRTYRNHHGFVRHRPNSSSCNQEEAATATTTVTTSSLPRLLHVEDSFKPIFMVR >OMO52927 pep supercontig:CCACVL1_1.0:contig15394:16501:18118:1 gene:CCACVL1_29001 transcript:OMO52927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M48 MPILFHASSASFRAERVSFSPPILRQNLTSKSKPQWPQSLILSRTSFTRLDVVSVATVAGGAARRLSYRRSGLAPATRSFYHSNRNLPWQISSKSTTQVELVGHTVLLLMGIWFMFTIKLERIPYTERLHFTLCTGSEEELMSKTEDWEKSEKRIIGKILPASDPRVIRVQSIARNLIHGMNKGLMLQNSKLIPSLTKEYHTAVQGNYRQKLAIKHLDGKVWEIYVADKDDKINACCGLNGKIVIYSGLLKNLKSDEEIAAIIAHEMGHAVAWHIAESMVRMEAEADYIGLMLMASAGYDPQVAPNLYENQFPLMFPEIAPDVYEILDYWVSFVSTHPSGKKRAKLLKEPRTMDLAKQNINFTI >OMO52926 pep supercontig:CCACVL1_1.0:contig15394:1878:2078:1 gene:CCACVL1_28999 transcript:OMO52926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGYDPQAAPHVYEKDRLDSFGFLFAPRFSGKKRARLLKKPKTMEQAKQVFEQVKAGNGVPSFV >OMO52928 pep supercontig:CCACVL1_1.0:contig15394:29087:30560:1 gene:CCACVL1_29002 transcript:OMO52928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M48 MVFSYVKSKSKPILFYASPASFRADRVSLSPPILGLLLQNLTSRFKHHSPQSLLLSRFTVAGGAARRLSNPRGGLAQSTRRFYHSNRKLPRRITTSTTQGVVVVVGSAVLLDKDTIDAFCSLNGKIVISAGLVNHFESDEEIATVIGHEMGHAVARHVAEKMVRVVVLLILIIWAILSGKFNLEHSILVILVENFFSRRREAEADYIGLMLMASAGYDPQVAPTLYENWGGGNSFISTHPPNKERAKLLKEPKTMELAKQVYEDVKAGNPITSFV >OMO64186 pep supercontig:CCACVL1_1.0:contig12853:17081:17164:1 gene:CCACVL1_21969 transcript:OMO64186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVQDMDSLPLSLGELVKVLNEDEELL >OMO64185 pep supercontig:CCACVL1_1.0:contig12853:10135:15285:-1 gene:CCACVL1_21968 transcript:OMO64185 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 MATRTMKPLLSDLIPTVTCVPTNYVRPESDRPNLNEVTFDHSIPLVDLQGLFGPNHSSVIKQIGEACRNYGFFQVKNHGISKEVIDKMMNVAKEFFHLPESERLKCYSDDPMKTTRLSTSFNVKTENVSNWRDFLRLHCFPLEDYVHEWPTNPPSFRDDVGEYCKNTRGLALRLLEAISESLGLEKEYLNCALGKHAQHMAINYYPPCPEPDLTYGLPGHADPNVVTILLQDDVPGLQVLKDGKWIAVKPIPNTFIVNIGDQIQVLSNDEYKSVLHRAVVNCKEERISIPTFYCPSPDAMMGPAPPLIDGDHPPLYTNYSYAEYYQKFWIRGLNAETCPGAKLSASNIAAQMPTENQAAPIMVDRIARLLASHGVLRCSVVGLERLYSLSPVAYYFVPNQDGVSLGPCLALVHDKIFLDSWFHLKDAILQGGVAFDRAHGTNAFEYGGVDARFNQVFNTAMFNASTIVTKRILECYKGFQGIQQLVDVGGNQGITLHYIISKYPNLKGINFDLPHVIHHAPSYPGVEHVAGDMFESVPQGDAILMKMILHDWGDEQCLRLLKICFKAIPDNGKVIVVDSIIPIMPESSHAAKAQFLGDILMMTQNPGGKERTKQEFMALATQAGFKRVNFECSLMQPRGRGNQQNEMAEMWRAIESLTQTVEGLRQALQCQEPMGVPDGVRNQEVGDLVGEDLEDVNPLHEAGAANQAARGGLEARLLHALDLSGGGAKVDVADFHGKSHAEDYLDWEANLENYFEWKTMAEKRKVLFVKLKLKETALQWWKRVEEQRARQGKPKIITWEHMKRKLRKQFLPADYEMELYEKFHDLKQDNLSVEEYTWKFINLSIRAGLSETEAQQTARYLAGLNLSIRDEMGVVRLFNLSDAEQYALMAQKKLARHGAIQKNNSEGVSTSSKASLTSDKGKSVAINSPSVGNSKAGKNSLTCFHCGERGHYSYACPERG >OMO64184 pep supercontig:CCACVL1_1.0:contig12853:4655:4768:1 gene:CCACVL1_21967 transcript:OMO64184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERQLPPYISGFVHSWPITTPTSSKPPFSTWDSDFQAP >OMO72754 pep supercontig:CCACVL1_1.0:contig11396:13254:14808:-1 gene:CCACVL1_17617 transcript:OMO72754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAIPDKKPLQIIHARLSDLRPGLMAYVITRVARKWETVLPGGTIPINGDLLLVDDRGGSIEVVIPHDCMRRFSSDKVVKGKVYKILHFEVLDRKKKYKSIPAEFTIYFNSSTKLIELTEGINMYPMYFFRFADMIEIRERSKKDPVFTDVIGMFVGYGEPIAISVDSGTRMSDKLDVNLRLLRSFIIVFCLLYSSLYVETKFISSSSTTKVYVNPDILEAEEIRQRFKNDLAPVQLLAPEHTS >OMO72755 pep supercontig:CCACVL1_1.0:contig11396:29208:30848:-1 gene:CCACVL1_17618 transcript:OMO72755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKTSGGSLKLLPLDFHLGTKHELPPWMQL >OMO72753 pep supercontig:CCACVL1_1.0:contig11396:3218:5783:1 gene:CCACVL1_17616 transcript:OMO72753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1-like protein MEGSKYVQEVLGKDGDSLEKNEVILDERDPIWLELRHAHIAEVNDRLHEKMSTFITQHKATQHISTRYGNELSMRELQKIVQALPQYSEEIDKLSLHIEIAGKINKIIGDLRLKELGQLEQDLTFGVAGMKDVIKFLKMNENATHENKLRLLMITAAIYPEEFDDEKGLNLMKLAKLTDEGMNAVNNMRLLGGFSGIFSLSSDFRKELIEKLAKGELSKKEYPCLNEPIASFCGSSPSSGLHQAFARSMRTRPLTWAHHRNSTSDSVPKHGSSDFQKMGQRIFVFIVGGATRSEIRACHKLTNKLKREVVLGSSSLDDPSHFVSKLKQLMSVHRLSPDNL >OMO87839 pep supercontig:CCACVL1_1.0:contig09166:14325:15908:-1 gene:CCACVL1_08734 transcript:OMO87839 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEMSSESQIWVVPFFGQGHLFPSMELCKHIASRNFNTTFIISSNLLSSVPASFQEHPLIDVAEIPSSPPSTPPEPNSDLPHHPRHHHSGLTQGIEKLLENASRRPSCAIIDVMMDWTGDAFKKFKIPTIGFLTSGACASTLEYAMWKDRIVDIKPGETRLLPGLPEEMALTDSDLKRGPPPPGVGGRFGFRRGPHGPPPPPGHGFPLGPPGGPGPQRRGPPQRGGQPPWVEVANHTVALMINSCNELEGPFFAYLANQIGKPVWGVGPLLPQELWKSSDSLLHDREIRANKQSNVTEDEVMEWLDSKPRGSVLYVSFGSEVAPTKEELEQLADALEASNRPFIWVIQPGSGRPRPPPGPPQFLGNQPDPNDVPMEAEPEPEPESYFPHGLDQKVGKRGLIIQGWAPQLLILSHPSTAGFLSHCGWNSTVEALARGVPLLAWPIRGDQHYNAKLVVNYLKVGCRISENPSQERIMKDDITNGIEKLMGNDEFKKQAVIISDIFHHGFPASSAAALDAFKEFILNQNAN >OMO87837 pep supercontig:CCACVL1_1.0:contig09166:944:2348:1 gene:CCACVL1_08732 transcript:OMO87837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLQSQTFISRSIVWPEQSHIHRHACIKLSDHRHGSSKIHGNRRQVETERGTCFNGFVVHCCTSSSSPPPSAAASSAEAAVKQLVNGIAEENNNNNGRLKDEEEQPFYLASEYGWKVRRMAENQDEMRKVARIQAEAFHQPMALFDDYFFEFFQAEVLAGLIYKLRNSPPDRYACLVAESPTDANSESQKDLVGVVDVTALRDNDVLKHLDGAQEYLYVSGLAVSKSFRRRKIASCLLKACDMLSILWGFNFIVLRAYEDDFGARKLYSNAGYRVVSGDPPWFTSWIGRRRRVLMIKQCTNHFLNLL >OMO87840 pep supercontig:CCACVL1_1.0:contig09166:17269:19468:-1 gene:CCACVL1_08735 transcript:OMO87840 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like protein MAMKSKVLIIGGTGYLGKRLVKASLGQGHETYVLHRPEIGVDIEKVQMLLSFKQQGAHLVSGSFSDQQSLVNAVKLVDVVICAISGVHIRSHQILLQLKLVHAIKEAGNVKRFLPSEFGTDPARMENAMEPGRVTFDDKMVVRRAIEEAGIPFTYVSANCFAGYFLGGLCQPGFILPSREHVSLLGDGNQKAIYVDEDDIATYTIKTIDDPRTLNKTVYIRPPKNILSQREVVQIWENLIGKELHKSSISAQEFLASMKEQNYAEQVGLTHYYHVCYEGCLANFEIGEGAEEASKLYPEVKYTSVEDYMKRYL >OMO87838 pep supercontig:CCACVL1_1.0:contig09166:7016:14076:1 gene:CCACVL1_08733 transcript:OMO87838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVVYEGWMVRYGRRKIGRSFIHMRYFVLETRLLAYYKRKPQDNQVPIKTLLIDGNCRVEDRGLKTHHGHMVYVLSVYNKKEKYHRITMAAFNIQEALIWKEKIESVIDQHQEAQIGNGNKYVSFEYKSGMDNGRNASSSDHESQFSAQEDEDDANPNLLRRTTIGNGPPESILDWTREFDSELSNQNANNQAFSRKHWRLLQCQNGLRIFEELVEVDYLPRSCSRAMKAVGVVEATCEEIFGLVVSMDGTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPVFVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPQPGYVRAHVESGGFNISPLKSRNGKPRTQVQHLMQIDLKGWGVGYMSSFQQHCLLQMLNSVAGLREWFAQTDERGATPRIPVMVNMASSSVSSKKTEKIDELAAPAASSLDQINHASRNSVMMDEYSDEDEEQMPEAEQEAYPTKSDNDVKRTALEEEPVEKIDLSCFSGNLRHDDRDNARDCWKISDGNNFRVRSKHFCYDKTKIPAGKHLMDLVAVDWFKDTKRMDHVARRQGCAAQVASEKGLFSLVFNLQVPGSTHYSMVFYFVTRELVPGSLLHRFVEGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEVDVDIGSSTVANGVLGLVIGVITTLVVDMAFLVQANTTDELPERLIGAVRVSHIELSSAIVPKLESDPS >OMO87841 pep supercontig:CCACVL1_1.0:contig09166:30353:32197:1 gene:CCACVL1_08736 transcript:OMO87841 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like protein MGKSKILVVGGTGYIGRRIVKASLAAGHETYVLQRPELGLDVDKLQMLLSFKKQGARLVEGSFNDQQSLVDAVKKVDVVICTMSGVHFRSHNLLLQLKLVDAIKEAGNVKRFLPSEFGMDPSRMGNALEPGRVTFDEKVVVRKAIEAANIPFTYLVANCFAGYFVGNLSQLERLTPPKDKVFVYGDGNVKVVYNDEDDIARYTIKAVDDPRTLNKTIYVRPEDNILTQNQLIQKWEKISGKKLEKISISEQDFLASMKGLDFAGQVGVGHFYHIFYEGCLTNFQVGEEIEASKLYPEVEYTRMDNYLKIYV >OMO87843 pep supercontig:CCACVL1_1.0:contig09166:36094:38606:-1 gene:CCACVL1_08738 transcript:OMO87843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFLISRSSSRTRNVIQILQPFLQNSHFFPTSLHSSKPLPRNSRGFSSEPSAFGSDNRVPATVITGFLGSGKTTLLNHILTAQHGKRIAVIENEFGEVDIDGSLVASHSSASEEDIVMVNNGCLCCTVRGDLVKMLLELVRKKKDKFDHIVIETTGLAKPAPVIETFCSDELVSQYVKLDGVVTLVDSKHAMKHLNEVKPRFVVNEAVEQVAYADRIILNKIDLVTEGDLEKLTDKIKHINSMAQIKRAKYGAVDMDFVLGIGGYDLERIASEVIVDDSHCGHHQHDAHGHHKEHHHHDHMHDSAVSSVSIVSEGTLDIDELDDWLQRLIEEKGEDLYRMKGVLSVNCYDQRYVFQGVHSTLDGCPGKMWEPDEKRMNKLVFIGRNLDESALRKGFKGCLV >OMO87842 pep supercontig:CCACVL1_1.0:contig09166:32989:34564:-1 gene:CCACVL1_08737 transcript:OMO87842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLSYGGGCGGGGGGSYGYENGVVMTRDPKPRLRWTADLHDRFVDAVTKLGGPDKATPKSVLRVMGLKGLTLYHLKSHLQKYRLGQQGRKQNAADQNKENGGNSYVQFSNHSPGSISNSPRADNGQIPMVEAIKNQLEVQKTLQQQLEVQKKLQMRIEAQGKYLQAILEKAQKSLSFDMNCEGNMEETRSQLSNFNLALSNLMENVNEAAADRKSNIVEMNNVDVFKKADCSAFQNYGVGGELREENNDVKLKVEGDSINFDLNSSKESYEFVAVNGNELQSHLFSYKS >OMO49758 pep supercontig:CCACVL1_1.0:contig16409:6430:6924:-1 gene:CCACVL1_30817 transcript:OMO49758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWLEKLFLTGTTLATILTEAQSSVPIPTPWPEQFHATLCMTLYSGGHQITDLWYDWPKGRNVNLQQKQLGVYMYDIEWNNGTSFYYTLGSNGSCQVIDFGVGIPRPDFLDGAHYLGTQVKDGFLCNVWEKVDFIWYYEDVATKIPVRWDFYDGMPFLLLSLLI >OMO87616 pep supercontig:CCACVL1_1.0:contig09214:1175:5883:-1 gene:CCACVL1_08882 transcript:OMO87616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPPVEGVAGGGTAYGWNDGGFHVSNPLKGSIDPTEVPTADLVHVWCLPSTANVGPQEMPRNLEPISLLAARNERESVQIAIRPKVSWSGSGVAGVVQIQCSDLCSASGDRLIVGQSLTMRRVVPILGVPDALVPLDLPISQISLQPGETSAVWVSVDVPNAQPPGLYEGEIIINATKADAESSSQCLGKAEKHQLFMELRNCLDAVEPMDGKPLEEVVERVKSATTSLRRALLSPSFSEFYSDNGPVDMMDEDAISNLSIRVKLSVTVWDFTIPITPSLPAVFGISDTVIEDRFGVEHGSKEWYDALDQHFKWLLQFRISPYFCRWGDSMRVLTYTSPWPADHPKSDEYFSDPRLAAYAVPYSPVVSCNDAAKDYLQKEVQILRTKSHWKKAYFYLWDEPLNMEQYENLRSMASGIHAYATDARVLTTYYCGPSDAPLAPTPFEAFVKVPKFLRPHTQIYCTSEWVFGNREDLVKDVISELQPESGEEWWTYVCMGPSDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVPSAEIRFRRGLPPGDGVLYYPGQVFSSSTQPVASLRLERILSGLQDIEYLKLYASRYGREEGLALLEKTGVYLGPERYTHEHMAIDIMRGEIFSTCRSS >OMO87617 pep supercontig:CCACVL1_1.0:contig09214:9707:12698:1 gene:CCACVL1_08883 transcript:OMO87617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALANASAIVDQRQKIEQYKHILSTVFSSNDIVQAKKFIDHMLSDDVPLVVSRQLLQTFAQELGRLEPEAQKEIAHYTLAQIQPRVVSFEEQVLIIREKLAELYESEQQWSKAAQMLSGIDLDSGMRSEINAEAFINKASFLVSNSQHEVLILQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDETIDEDALEQALSAAVTCTILAAAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELKPHQKALLPDNFTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIPPHKAEKIASRMIYEDRMRGSIDQVEAVIHFEDDTEELQQWDQQIVGVCQALNDILDSMAKKGMSVPV >OMO78148 pep supercontig:CCACVL1_1.0:contig10629:11628:12605:1 gene:CCACVL1_14632 transcript:OMO78148 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH interacting protein 1 MKNQLFEQVIGVPMIKSTAYRSTPRRYLPDANGQYRLSSAEGSSTYRKGKGNFVLKRMNKFAHGVREHVRLGPKITETVKGKLSLGARILQVGGVEKIFKQLFSVREGEKLLKACQCYLSTTAGPIAGLLFISSEKIAFCSERSIKIPSPDGELLRVHYKVLIPLEKIKEVNQSVNMKKPSQKYMEIVTVDGFDFWFMGFLNYQKALKYLQQAISQRLLEDVQVTF >OMO78147 pep supercontig:CCACVL1_1.0:contig10629:6043:8835:1 gene:CCACVL1_14631 transcript:OMO78147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIPFSNTIFTPTNLSVSKSSAARLHVRVLVSATSIKNTLNPSNYNTQINLFAPSNSPLWTAADIAQAVGGRIVKWGPPGTISTDTRAIEPGQWFFAITGENFDAHDFVTPKLSKSGCVGVIGNRVCENWDAGFVQIDGDSVNSLVKMATFARSRFLGKVVAVTGSVGKTSTKAMIALALESLGSGIYQSYGNWNNRIGVALSLIGIPRNVEIAILEMGMSGKGEILELARMGRPNIRVILNVGASHLEALGSLVEVAMAKGEILEEAKPGDICVLNADDPLVVSLPVPAGVRKVLFGRSLDCDVRLVVADSVHGGLGVKVVLEKNMEMVEFVIPGPGLHMALNACAAAAIVTIFGVPLSQIGRSLSRYVPVNMRSEFVVAKSGIKILDDVYNANPLSTKAAIDMLTSIDCNGKRVAVLGDMLELGPVEMEYHEEILNYCLDSRIELIGIAGKRFLAAAEKMKLIRKINIVHAANAENLVPKILKCLNINDVILVKGSRSMQMEKVVDALKAMHSFTPSSFSENPD >OMO78146 pep supercontig:CCACVL1_1.0:contig10629:4109:4537:-1 gene:CCACVL1_14630 transcript:OMO78146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAKPKLPPAERAAMLKSRYSRLIPKARGGKIDDQIQSHKELRKEEINDQIQRHKELLQKRREESRCRVLGMKPTAAFDDNTKIFREFSPLCGTSSLPYRFHGSSSLENFGLHLRTYTYDDIEEALRLDDAERDIEEGEIV >OMP10753 pep supercontig:CCACVL1_1.0:contig01968:922:981:-1 gene:CCACVL1_00799 transcript:OMP10753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAAKKHKPEKTIWAPATPS >OMO85308 pep supercontig:CCACVL1_1.0:contig09638:15091:15405:1 gene:CCACVL1_10284 transcript:OMO85308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHGQKNFLPLHPLTMGFGLLFRLDESSLGSHLNERRVWGEEVGSNDVEESGSPMDNCESKSEEGGETIDVAELAVVDKNGVNDVGNVNVSVIVDSGIAYVSPY >OMO70980 pep supercontig:CCACVL1_1.0:contig11761:2166:3344:1 gene:CCACVL1_18533 transcript:OMO70980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTYKIPKTTQTGSRTKVARKTPCLLPGDIVINILSRLPVKNLIRFKSVNKQWGNLISHPRFARMQMKQCENQSKRVLISTIPPLAIDYEAYSAGDCKAKFKLVYPAAFMKKGPKFGIKLVGSCNGLICLIIDNDELILWNPSTWESRELPKLPGSYAPDRFRFGFTFGFGFDASNDAYKVLQLGIPRVGDDDNNALNSIKIEVLTLESNVWRRLQDLQSGLQLSGNGIFLYGQLHWIGKKAIAANLRSYVIVSFDLAIEKFHKAVPIPDHEEKTISYPVLGVSGNCLFLFFKRGESFYEGWITKEYDINTSSWNRMFKVGIDRLPGYRTWRRELCYTKTGQVLIDYDRMELVLYDPKRGTTQFLASTDWGSRLHSILYTESLVSPIMMKQ >OMO70981 pep supercontig:CCACVL1_1.0:contig11761:5174:6542:1 gene:CCACVL1_18534 transcript:OMO70981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MTDSSEPQSPEKGTQQSETEPQQSEQVCNFFRKPTKGKNIRKRAVNDDDDEESKNEASLLQNLKKAAKPDNKLYFSTGRSKSADVAADSNTEPDKPIFQFESSKEIQVQSDSRATATLETETEFTKDARAIREKVLKRAEEALKGKGTSSGGEKLYTGMHGYVDHKAGFRRELTVASEKAGGSHGPLRASAHIRVTARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQLEKEWEEAEKARKRNLAMGLDDDDEAGVNQSDDDDDDSLPFACFICRKPFVDPVVTKCKHYFCEHCALKHHQKNKKCFVCNQPTLGMFNAAHEIRKKMAEEGKR >OMO70982 pep supercontig:CCACVL1_1.0:contig11761:9128:12640:-1 gene:CCACVL1_18535 transcript:OMO70982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLHIPFLLLATSILLSSSISFVSSISQFQTAHQNQCLDDQRSALVQLQLGLYHSQNFTFSSKAELWDLNLTTDCCTWKGVTCDGLGHVIGLDLNYQNLSGSFQSIFNLHHLQKLNLAGNNFFNTSLFPSHFERLANLTHLNLSASCFHAQIPLGFSYLTRLVSLDLSFQDMCYWRNDQPDEYISYIDQSGYIYVHINHALKLEKPNLETMIKNMSSLTELYLDRVNISTQSSEWCETISLSLPKLRVLSLESCGLTAPLCSSLSRLQSLSKLNLDDNPISYLPPNFLEISSPLVSLSLVNCNLSGHFPTEIFLLPKMQRLDISHNPNLGGQFPEFPTNNTSLQDLWLSYTNFSGKLPESIGNLKLLTSLAISSRQFSGPIPSSLANLSNLVELDLDSNNFSGKIPPFHRSGVPNLSFLSLYGNSLSGSIPSSIFTLPSLRWLVLSGNQLDGEIDEFKNASSSLIQSVSLGENHLRGSIPDSIFQLPMLESLDIGYNNFDSMKLDLFFQINNLRWLDLSNISLSIGSHNNKSLVFPQLEELSLRSCNLTEFPEFIKTQDKLAFLDLSNNQIHGFVPNWLWKPTLYSLTLSFNAIDFPKQIPFGHANSSFPRLRSLYLRSCNISMFPPFLRNQDKLEYLDLSNNRIRGSVPNWVWKKSLQSLDLSNNHLSSLDQFLPNQSLPSSQNTSPSPICNSSQLNSLDASYNNLSGPIPNCLGNITSLSYLVLQGNNLTGNLPNFAEAAQLQFLIVSDNRLEGKLPTSLANCTRLMVLDVGNNAMHDSFPFWLGNLPALEVLVLRKNRFYGEIKHFSELGNVFPALDVLDIASNNFSGEALSLDFLQATQLRTLKIGGNKFQGKLPRSLANCTHLEVLDLGNNMVHDTFPCWLEKLPSLKVLILRGNTFYGTIESCGKSENVFPMLRILDIASNNFSGELPIQFFQSLRGMMQITDGSRSNKPDYIGEDYYKDSVTIVNKGFEILYEKILTILACLDVSNNNFHGPIPEEVKYLKSLKMLNFSHNSFYGHIPALEDLTELESLDLSRNNFSGKIPPQLTSLTFLAALNLSYNQLEGSIPQSNQFNTFTNDSYRGNPRLCGLPLTRGCNEVSTPTPPAREDVDSWGDGISVWKIGLIGYASGLVVGLCVGFTVLNEFGNRWLDKFTRNKQRRRRRSR >OMO62625 pep supercontig:CCACVL1_1.0:contig13230:9621:10330:1 gene:CCACVL1_22712 transcript:OMO62625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHSTSSTDITQINATNHLPLKLNSTNFPSWQLQLDLNGYVDDTHPCPAKSPTTGEGKALKTEIMSSKEVTFSE >OMO72605 pep supercontig:CCACVL1_1.0:contig11430:2877:12310:-1 gene:CCACVL1_17679 transcript:OMO72605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSTALSAASVCTLIRQPISPPPHLPHPSRRRHISLTPLSLTIVSSSSSPFSLTRLSLFFTASWSPAPKVATVGLGLSTFKETWSEEGGKLPYLLLFSNNSMRTPSTERFIVHHSAPGCATRDAKIDFARCTL >OMO72607 pep supercontig:CCACVL1_1.0:contig11430:24033:28853:-1 gene:CCACVL1_17681 transcript:OMO72607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNINSNGDRGLRELNHRRSDGNKITTNGVVAEEHVTLEGDELKVDEIAQNVKDACVGAVQAPAVLEMPQQQSQNAMVCWERFLHLRSLKVLLVENDDSTRHVVTALLRNCSYEVIEAANGLQAWKILEDLTQHIDLVLTEVVMPCLSGIGLLHKIMTHKTRKNVPVIMMSSHDSMGLVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSVKSKSVEKSDNNSGSNDEDDNASIGLNVGDGSDDGSGTQSSWTKQAVEVDSPRPVSPWNRVAECPDSTCAQVIHSHAELSGNKWVPVTAARECQERDEQADNVAMGKDLDIGMPRNVDIQVDRPVEVPIKTVGTNQVNLLEMGSTKFNEQMDKRQLDLNCESPSSKVKSEAANQTGITSKTSDLQKESAEYEASNRLSKISDNNDKAINDPKELASIELGLKRLRGVKDTGIAVRDERNVLRRSDSSAFSRYNTASNASKVPIKTGNSSALDINSEVTRKGSVCDARSHLINDPPNQCSNVGSNNIDMGSTTNNVFAKPAVLKNKSAASSSVRSSHPSSTFQPIKIDLLSASQKVALDNADDVNTTAVLAQPSGTHKELQMQHLPNHYDHHHHIAHGMQQQQQPPEHDDSSLKRMAADAPHCGSSNVLGGPIEGNAGNYSVNGSVSGSNHGSNGANGSSTAVNNVGTNMESDNGIAGKSGSGDASGSGSGSGSRADQSKSAHREAALTKFRQKRKERCFRKKVRYQSRKRLAEQRPRIRGQFVRQAVNNKDPASEGNSCDK >OMO72616 pep supercontig:CCACVL1_1.0:contig11430:71700:74527:1 gene:CCACVL1_17691 transcript:OMO72616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSSPSPNPNPPPQSSTSTTSSDASGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKAAHPYPQKASKNVLVPLQASMAYPSSINTIGPGFAPWDEASMLVNTPTSKIMPPQDEFTSLRGAEADIGSKGVARIGNSGVSAIGSSSRTIPSSDVPKQGKQATMLHGIPDFAEVYSFIGSVFDPDTGGHVQKLKEMDPINFETVLLLMRNLTVNLCSPDFEPIRKVLSSYDISTKTVGAAAGIIPHSQMNDISC >OMO72615 pep supercontig:CCACVL1_1.0:contig11430:67320:67388:-1 gene:CCACVL1_17690 transcript:OMO72615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQTKDARLRLAWLRRFGANE >OMO72617 pep supercontig:CCACVL1_1.0:contig11430:75296:75412:-1 gene:CCACVL1_17692 transcript:OMO72617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCTKEGEEPRLGQLCRIRATCGERRKARSREVGKYE >OMO72623 pep supercontig:CCACVL1_1.0:contig11430:112184:112255:1 gene:CCACVL1_17698 transcript:OMO72623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEFELLGEPPDDLRTILEAGS >OMO72608 pep supercontig:CCACVL1_1.0:contig11430:33597:34990:-1 gene:CCACVL1_17682 transcript:OMO72608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase MAAITRFSKPSAFSHIRRCLYSSSAAAAATNESSADVISSFGNYKITRRDPSERNVQWVFLGCPGVGKGTYASRLSNLLGVPHIATGDLVREELAASGPLSKQLTEIVNQGKLVSDEIIISLLAKRLEAGEAKGESGFILDGFPRTINQAEILKDVTDIDLVVNLRLPESVLVEKCLGRRICGQCGKNFNVASINVKGQNGSPGISMAPLLPPPHCTSKLVTRADDTEEVVKERLRIYNEKSQPLEDYYRNQGKLMEFDLPGGIPESWPKLLEALNLDDYEERQSIAA >OMO72613 pep supercontig:CCACVL1_1.0:contig11430:55514:56682:-1 gene:CCACVL1_17687 transcript:OMO72613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRQFCISEMQSIIDEETKLRFGQGLSQWQSQRGSGIEMCKQRELKISSALLLLDVFDLAGFHIDMYCSSIADEGHVKVAEQVQFLLIQAGFKLKKEDMDLRDTRCFKYALEEQQRERFAQRFKDSNLFTKAKEREVAAVAVAVGSGNQMNSFFSLCTRSFHTSGREKSKFVGTQIGRRIHKSWRFENKWAVFVPAIKRGITRII >OMO72619 pep supercontig:CCACVL1_1.0:contig11430:79911:82051:1 gene:CCACVL1_17694 transcript:OMO72619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L4/L1e MAAAAAAVRPLVSVQTIESDMATDAAPTVPLADVMKASIRPDIVSFVHDNISKNKRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRKWHRKINVNQKRYAVASAIAATAVPALVMARGHRVESVPEMPLVVSDAVESVEKTSAAIKVLKQVGAYPDVEKAKDSQGIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEVANVERLNLLKLAPGGHLGRFVIWTKSAYEKLDSIYGSFDKPSEKKKGYVLPRSKMVNADLARIINSDEVQSVVKPIKKETKRATLKKNPLKNLNAMLKLNPYAKTAKRMSLLAEEQRVKSKKEKLDKKRKPITKEEAAAAKAAGKAWYKTMISDSDYTEFENFSKWLGVSQ >OMO72609 pep supercontig:CCACVL1_1.0:contig11430:35328:37467:1 gene:CCACVL1_17683 transcript:OMO72609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MAISLSRCFLPNTFQSNGNPNQRLTRVQSAGVSEDTVDLLDTVKVFDLNGNGIPISDLWKDRKAVVAFARHFGCVFCRKRADYLASKKDVMDKAGVALVIIGPGSVEQAKVYADPSHSSYEALRFVSGWTTTFTPKAGLKIIQLYMEGYRQDWKLSFEEDTVKRGGWKQGGILVAGPGKRNISYFHKDKEAGDDPDIEDILKACCS >OMO72611 pep supercontig:CCACVL1_1.0:contig11430:42029:47391:-1 gene:CCACVL1_17685 transcript:OMO72611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDFVIILGSSTPPTNLHHQHSSKPKKPNSSFNKLSPSKKHPHSIPAVYSHQHSYPLLPSSSSVRWDPASGRRDSPLTYYAEMASKLAEDGRLEDFGMIVETVVASGADSSRFVSMLNVEFVSKGVALSVREGNVKSVIEVLKKVEKLGVPPVKLVDGFGLDSMKGELRRIVGSGEVEEAVDLLEVLRGFQFTIKEVVDPSYIIKVCVDKRNPDLAIRYACLLPHAQILFCSIINEFGKKRDLVSALTAYEMSKKNLSAPNMFLYRAIIDTCGLCGDYMTSRTIYEDLVDEKITPNIYVFNSLMNVNAHDLGYTLVVYKDMQNLGIKADMASYNILLKACCLAHRVDLAQDIYNEVKHLESTGVLKLDVFTYCTIIKVFADARLWQMALKIKDDMQSAGVSPNTVTWSSLISACANAGLVERAFQLFEEMLLAGCEPNSQCCNILLHACVEVGQYDRAFRLFQRWKGGQEGHTSNIDSMLSTKQLNNRTSIPTAPNSVTNSNPPSFAKLSFKPTTATYNILMKACCTDYYRAKALMGEMKSLGLSPNHLSWSILIDICGASGNVKNAIQILKTMRVTGIKPDVIAYTTAIKVCIGSKNLKLAFSLFEEMKRHRVQPNLVTYNTLLRARSRYGSLHEVQQCLAVYQDMRKAGYKSNDIYLKELIEEWCEGVIKGNHQKQEGLSSSERTDSDRPHSLLLEKIAVHLQMSTAGIPAVDLRGLTKVEARIVVLAVLRMIKENYVQGHSIKDDMMIILGVSKGRSDASKENSEVKDAVMKLLQGELGLEVLLVEPQIKKERQIDLQGDPVLLEIAGKNSLSTEPLSSTRRPVVLQRLKVTRKSLYHWLKRRADVIRR >OMO72620 pep supercontig:CCACVL1_1.0:contig11430:91116:94055:1 gene:CCACVL1_17695 transcript:OMO72620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLSVVLSGGCGGDDDSAVEMAGAKLRQFSGCCNNNNNNNNQMESSGSFDSSVVNADTTTTTGDDDSSSNAAATDTIAYSFGILKTTQEEQGDNNNNSNRTISLFPVNVEGGGGKSTGSSQKQWLDLGGSREASELRSGAAEQRITAHQQQQQQQLQQVQLRHQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVDAARAYDKAAIKCNGREAVTNFEPSTYEGELISDPENGDRNQGLDLNLGIAPPDSQKENDNTNNYAIQHGWDGMPLERGARIENSAPGAMRVQPSLGQAMAFKHLPNWNGANPSFFPVYRERAIEKRIEVDSAPSWAWQMQSPYGGANPVPLFPTAASSGFSSSSITSPSAAAGQLRNIPNTTFLHHHHFPPAITNPNISNFYCRS >OMO72610 pep supercontig:CCACVL1_1.0:contig11430:40138:41391:1 gene:CCACVL1_17684 transcript:OMO72610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spo11/DNA topoisomerase VI, subunit A MADKKKRRRTDSDSDSQPFKHLLKSDEQILQVLKSFSSSFASSSTSKPLTLADLSLASTCREVSDLPLSSVQSNIESLVLQLAHQILSGQGFSFTVPSRASTNQLYVPELDRIVLKDKSTIRPFSHISSVRKTTITTKILSLIHQLSLKNIHVTKRDLFYTDVKLFQDQMQSDAVLDDVSCMLGCTRSSLNVIAAEKGVVVGRLIFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMQSDALFILLVEKDAAYIRLAEDRFYNRFPCIIVTAKGQPDVATRLFLKKMKTELKLPVLALVDSDPYGLKILSVYGCGSKNMSYDSANLTTPDIKWLGIRPSDLDKYKIPEQCRLPMTEQDIKTGKDLLEEDFVKKNPAWVEELNLMVKTKQKAEIQALSSFGFQYLSEVYLPLKLQQQDWI >OMO72606 pep supercontig:CCACVL1_1.0:contig11430:14639:16807:-1 gene:CCACVL1_17680 transcript:OMO72606 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEVKEAEKIAIAKPVASRPTCSGFKSFSELLASAINASPSNTCPETAVPAIRPKTVRFKPVLLNRAPSAVVSSQAELSGIGVPSSSSDKVLKSVVKPTVVYKPQAKLVSKTTVSLLANMGNFSANINKQMLQSTEASIQHPNQEKRNFRSRVTPNLHHSTPSAAETNQTSEPSKLGSESMEEDPKSLPAAANSDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSFDGQIAEIVYKGEHNHSKPQPAKRNSSGTQGLGITSEGTGQDTDNSLWSNNINPNERNESSEGRVENQNEVGLSAFPSYQAKAMLPYEHVATAAVNAVGTSENSVGLSGECEEGSKEGEDEEPRSKRRKGENQSSEVGTSGEGIQEPRVVVQSSNDSEIMGDGFRWRKYGQKVVKGNPYPRSYYRCTSLKCNVRKHVERASDDPRAFITTYEGKHNHDMPLRSMNHVASDPDSNLPASKDKR >OMO72614 pep supercontig:CCACVL1_1.0:contig11430:62565:66126:-1 gene:CCACVL1_17689 transcript:OMO72614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDIQAGIGSLSSHSFWKTSQKSLSSYSSSSFKIFCSKKDSQQPQQNGDGNGDKFSTDWDKAWSSFRKQSKKSFSQFSPNKYVTWNPRQSNYPLSEEVDPIKRTERSNLMLWTSPGFTLVGAIVIVSFLLLYTLLAPQFVRFKYSNNMGSLALEPAQVVELLPTLEDACRLDDKDSLILIISSMKKMFGESECCHNFSLLYNIISKIIKHDSVRCATALLEGEVTGIKVDIDAFFQTHSEDSTGWTPEQSIFRLIILLCTKSHNLSDRLEELRSLVENGKKEEVEEEIVNCVIEGKVMGLGALILAAPQKVTTTKFSFDDIARSNRSMTIRQYLLGEI >OMO72625 pep supercontig:CCACVL1_1.0:contig11430:140426:146717:-1 gene:CCACVL1_17700 transcript:OMO72625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRALSPTPKRASKLSRGFLPLKSESVQPTSGRQLADNEEDRISELVDDILIIILSFMKTKEAAVTCVLSKRWRYLWASLPRLDFSCDANLRERVVGYSEQQHKERLQKETLIFINWVNSVVKMHQAQTLEECRIDFPFDEEHFNHVVDAWIEFALSKKVQRLVLDFSMAFGILSFPSIYNFPDVLSRMTSSLFDFSLDGYTFPNFRLPVTSVLSDVALVGLTSLKTLYLNCVNVKQEVVDHIISHCPVLEEFSLFFSAFVGYFKLAGTALKLKHLTLIRCRGLRCIEICDTNIVSFKYDGWKRSTKLHLKNLPRLVELYVKMWDSPISHAFDPISGLFSHLESLALSLGGFVNERAYDRIPRPRDVDYFDIVSYPSKLFKLRHLVVNMEMIGDFGLLKLVPLINACPYLQRFVLQLSWDEKHIRMAKRVIKTIECPHQHLKVVELAGYYGRVSDVELAMYFIQNAVALERMIIIPKSTYQDLPEIETENEIVDKKEIKREEKARQSAIGIALVERRYPPKRLTDIIQFSMRKRALSPSPKIAKGKKRRILKLCRSFLPLKSEKSESVQPTSGRQSADHNEEDRIGELADDILIIILSFMKTKEATATCVLSRRWRYLWASLPRLDFSCNAYLREIPYSEQHREETFEKESLIFIHWVNSVVKMHQAQTLEEFRIDFPFDKEHFNHVVDSWIAFALSKRVQRLVLDFSPTFGFMRCPSIYTFPDVPSPVTSSLSDIPPYLLSLVTSTLSDVSLAGLTSLKTLYLNCVNIKQEVVDHIISYCPMLEEFSLFDSAFVGVGYFKLAGTALKLKHLTLIGCRGLRYIEICDTNIVSFKYDGLFSHLESLTLLFSGFLNDRAYDHIPRRRDVDYFDIVAYPNKLFKLRHLVLCWPNGDDIRMTEGMLQLRWPNRIRLTKKVGETNGCSHQHLKVVELAGYYGRVSDAEFAMYFIQNAVALERMIIIPKSTYQALPEIDTEVVDKKEIKREEKARRLAKQQLEGNVPPTIDLVIH >OMO72612 pep supercontig:CCACVL1_1.0:contig11430:48386:51252:1 gene:CCACVL1_17686 transcript:OMO72612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MPPKQASKAELAKKQKIVEDKTFGLKNKNKSKNVQKYVQNLKQSVQPKPDPSKVAQKKKKEEEKAKEKELNDLFKIAVTQPKVPVGVDPKSILCEFYKVGQCAKGFKCKFSHDLNVQRKGEKIDIYSDKRDEETMEDWDQETLEKVVQSKTKEYNQNKPTDIVCKYFLEAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEESDKITIEEEIENQRTKTKTSTPMTPELFMEWKKKKMAERDESLAAQMAERAKNDRMSGRELFMSDASLFVDDAEAYEKYQREEESDVPENKANNDSAAGGPSTSAADAEALPDDDEDELDMDELNELEASLAKTSIQIQEPNAET >OMO72618 pep supercontig:CCACVL1_1.0:contig11430:76205:77461:1 gene:CCACVL1_17693 transcript:OMO72618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med4 MLQHQIVQSPARLGLTNPNSPSLQNPTPPKYPSSQTQLQPQPQPQPPQPPQQQPSSNLSASTTSSTLLSLLPPLPRAQSLLLQMASLASKLFEVSPNRAVWVSTFRGSFPSFLSSQIQSMPPPPLESTPTTTKEVLSLFTSLQTQLFEAVAQLQEILDLQDAKQKVAREIRSKDSAILGFASKLKEAERVLDILVDDYSDYRRPKRLKLEEEAGESDDDSCTTTVASQLDLSDILSYAHRISYTTFAPPEFGAGTAPLRGAMPPAPQEEQMRASQLYNFADLDVGLPKTVETKEKAVEAIIEPPPAQPEANPLANLASLLPPNFSIPSGWKPGMPVELPKDLPVPPPGWKPGDPVPLPPLDSLPIPRMEEPHLRPVPPPGLHKPNEPIQVRHVELDILDPDDDSSDYSSDEGSSDDED >OMO72621 pep supercontig:CCACVL1_1.0:contig11430:99684:104867:-1 gene:CCACVL1_17696 transcript:OMO72621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAEKDNTEGRCPACRLPYDKEKIVGMAADCERLVAEINSERKQKPQKAKPKAPEGRMHLSNVRVIKRNLVYVIGLPLDLADEDLLQRKEYFGQYGKVLKVSISRTANGVIQHSANNSCCVYVTYSKEEEAVCCIQSVHSFVLEGKPLRACFGTTKYCHAWLRNAPCNIPDCLYLHGFGSQEDSFTKDEIVSAFSRSKMQQTIGASINLHRRSGNVLPPPLPEYTSNGISSSAKPVAKTHPNNVGNENRESCVDGGTGNSTALPAAASWVKRVSASLSPVPNMSASGTISNNHPDAYAGPHIPSEVVSTKKSNDMKRTLIAEESSEIHPDCRTDSLEFKEYPDSNYKTCTSNRKADTLSNTSSAPVTCGEPDTVASKVTGVAASINIERSINYCSRSSYSSGSDDENSYTDGDFQGLSSICNLSHTKIKESAPVHDSSFSTHTSLCLPRGLSSQGDINEQSYRAPSLPVQNKSMTSKDLLDFEDKQLRSLEDICNLPSASFSDSLQQNSSGLSYNSWQKGEIKHQNELLAHSRILPVHEKVSFPMTYENLVSSNGFHNDLDGDVGDLDMSFDYSSMSGSANNKGINNAVSVENYTPDVGEDRIISKILSMELDPWEDSLTSPDSLSKLLRETKEQRGSLKPPSLRKATDNNQSRFSFARQEGFSNQASDFNDSVGSIMDFNKCSAHHHDYNAINDLCIDNYQNPYSLYSSQEPSNLLNNHNFASSKLSAPSRAPPPGFLTSGRMNLALDSAASHLLQTSEPQIKNSGSIGEVGFLDPAILEVGQGLMAMGLNKSGFDTRTSASHHSSFDHKPGFNTRTSAPQHSSFDHDARLQLAMQQSLYEHQNLGFQDHSRNRLSQSNDAYGMSPTLLDQSPAYNPFSFPQSTTQQLRNAHLSNGHGGSWNDARSYNDLRLPELLKNGGLGFSTFTPTYEDLKCQMSSSSNLYNRGFAM >OMO72624 pep supercontig:CCACVL1_1.0:contig11430:137059:137806:1 gene:CCACVL1_17699 transcript:OMO72624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFRYDSRPRCWQRRAFRQHPRRPHNRLPGPPTSGPRVFPTPPQQWAHAKAASPEESSQVLTTFWATLQLWLRKRIRPPQNAQRLETVAVVDATLYFNRLTAQTVNDGKAITWLCTYSWYGADSASVGDYKDLADKIYKCIERRVNNIDASF >OMO72622 pep supercontig:CCACVL1_1.0:contig11430:107728:109396:1 gene:CCACVL1_17697 transcript:OMO72622 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase, plant/fungal/bacterial MAKKCYPKVSEEYQKAVQKCKRKLRGLIAEKHCAPIVLRLAWHSAGTFDVKTKTGGPFGTIRHPNELAHGANNGLDIAVRLLQPIKDKFPILSYADFYQLAGVVAVEITGGPEIPFHPGRPDKNEPPPEGRLPEATKGTDHLRDVFGHMGLGDKDIVALSGGHTLGRCHKERSGFEGPWTNNPLIFDNSYFKELLSGEKEGLIQLPTDKALLEDSVFRPLVEKYAADEDAFFADYAESHLKLSELG >OMP03674 pep supercontig:CCACVL1_1.0:contig06011:8338:9912:-1 gene:CCACVL1_02319 transcript:OMP03674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHTQRSQQKMAKLGLEVRMEAMKKQMKNNVMADVEELQLLEPSPFTEEIDRGQPPYGFQMPTIEAYNELGREVETNQSSPGQRLRAICCHSSPKEWTQNSELRFKSFLSKKPLKRSQSWGERSRPTKALQLKNAPTLKRQQQPGWRKTTTKATKRGREVEIREKTMTTTPCSTLLKRGSMLNTPRARIHAQSSSVENSCSKLIERGSMLNTPRARIHAQRSSSENPCLTFLERESTLNAPRARIYAQCSSSEKPCSTLLE >OMP03673 pep supercontig:CCACVL1_1.0:contig06011:4724:5752:1 gene:CCACVL1_02318 transcript:OMP03673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKTNERGKNNKNKFNIFYYIKSRNKGGSSIVELNSKSDQGKKVSKRKATEHVDTVVAFLKWHRDSDVGAILPGNAMVPEECIAMVPKECTLSAKLLETDSAFESASVARKIASGMLAPADKDKLNTPCYVNSSASVHHAYLALMHALKTDEQARAEIKKVSDFQEQNFQLKEKVKKMKNIEAEVKDLRAEVASLKKKLAEAEIEKGRAVREARSNFQRTPEFVAAAKEANKEAVIGTFGLCLDEVRYVYPDLDLSMVSLKNLKTAHAYGVEGDTTTLAAEVNAVSPTPEDGTELCADGIRGDATS >OMP03675 pep supercontig:CCACVL1_1.0:contig06011:24778:24924:1 gene:CCACVL1_02320 transcript:OMP03675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSILSLATPVKSMKTWCNAVAPGANLLSSVAHNGICSIGVAVARLES >OMP05295 pep supercontig:CCACVL1_1.0:contig05452:2041:8378:1 gene:CCACVL1_01964 transcript:OMP05295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine amidotransferase subunit PdxT MAVVGVLALQGSFNEHIAALRRLGVKGVEIRKPEQLQDISSLIIPGGESTTMAKLAQFHNLFPALREFVQMGKPVWGTCAGLIFLANKAVGQKEGGQDLVGGLDCTVHRNYFGSQIQSFEADLLVPELASKEGGPETFRGIFIRAPAVLEVGPEVQVLADYPIRSNKVLYSSAAVEIQEENAVPEKKVIVAIKQGNLLGTAFHPELTEDTRWHSYFLKMAEAAMVHGDDKGNKGNGNNENGNNGNGNGNGNGNGNGNGNGNGNGNGNNGNGNGNGNGNGNDNGNGNNGNGKDKNKNDKDEENYKVLKPYNGQEQALCKGKGACSNKILVCPAECPERKPKKNKKHKACHVNCGSKCEATCKWRKPKCDGYGSLCYDPRFVGGDGVMFYFHGSKDGNFAIVSDDKLQINAHFIGTRPQGRTRDFTWVQALAVIFDTHTLVIAAKRVSHWDDNVDALSVSWDGETINVPYDGEAEWRGNGEEREVVVERTDDKNSVKVKVAGLVEIDIRVRPIGKEENRVHNYQLPGDDAFAHLETQFKFINLSDFVEGVLGKTYQPDYVSPVKKGVPMPMMGGEDKYQTPSLFSTLCKACRFERPSAVATI >OMP05296 pep supercontig:CCACVL1_1.0:contig05452:10458:11622:1 gene:CCACVL1_01965 transcript:OMP05296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root cap MGTRYYSFILVTLALVFAFIEAIQAQNPGKGNSSKKAPFDAASTHYEVLPSKDGRESVNCLNRGRCQYKQLTCPAECPERKPKKNKKVKGCFVDCSKCEATCKWRKARCDGYGSLCYDPRFVGGDGVMFYFHGAKEGNFAIVSDDNLQINAHFIGTRPQGRTRDYTWVQALAVMFDSHTLVLAAKRVSHWDDSFDALTVRWDGKEIEIPTDGEAEWRITTDKREVVVERTDDTNSVEVRVAGLVQLNIKVRAIGKEENRVHNYQLPDDDAFAHLETQFKFINISDEIEGVLGKTYRPNYVSPVKRGVPMPMMGGEDKYQTPSLFSPLCNVCRFKGQAAFASSI >OMP05297 pep supercontig:CCACVL1_1.0:contig05452:12516:18528:-1 gene:CCACVL1_01966 transcript:OMP05297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASTKILVLLLCLAVTVVTTSFATSVTYDHRAIVIDGKRRVLISGSIHYPRSTPDMWPELIQKSKDGGLDVIETYVFWDVHEPVRNQYNFEGRYDLVKFIKLIGEAGLYAHLRIGPYVCAEWNYGGFPVWLHFIPGIKLRTDNEPYKAEMQRFTAKIVEMMKAEKLYASQGGPIILSQIENEYGNIDGPYGAAAKSYINWAANMAVSLKTDVPWIMCQQDDAPDPIINTCNGFYCDQFTPNSNKKPKMWTENWTGWFLEFGGAVPYRPVEDIAFAVARFYQRGGTFQNYYMYHGGTNFGRSAGGPFIATSYDYDAPLDEYGHPRQPKWGHLRDLHKAIKLCEEAMVATDPTISSMGPSLESAVYKTGSGHCAAFLANINRNSDANVTFNGNSYHLPPWSVSILPDCKNVALNTAKINSMTVMSSFSQSWNSSETFGSSWSYINEPVGISKADKFSKVGLLEQINTTADASDYLWYSLSFNITGDEPFLEGGSQANLYVESQGHALHAFINGKLAGSGNGKNSDSRVTLKIPITVATGTNTIDLLSVTVGLQNYGSFFDLKGAGVTGPVKLNGLKSGSTIDLSSQVWTYQVGLQGEDLDLPSGTSSQWVSQPTLPKNQPLIWYKTNFDAPAGSESVALDFTGMGKGMAWVNGQSIGRYWPANISPNSGCTDSCDYRGAYNSDKCLKNCGMPSQQLYHVPRSWLKPSGNILVLFEEIGGDPTQLVFTTRQTGSLCSHVSESHPLPVDMWSSTKTRKKPSPTLSLACPSPNQVISSVKFSSFGTPQGTCGSFSHGLCNSTATRALSIVQKACIGSSSCNISVSTKTLGDPCHGVEKSLAVEVSCT >OMO61954 pep supercontig:CCACVL1_1.0:contig13388:8619:9224:1 gene:CCACVL1_23122 transcript:OMO61954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MRARPRHLFYKFEAAVPIVRGKWQQQARRKEFKAEKSMERSNKIREIVRLQQILKKWRKIANTSKSSNISNNNGGGGSSKSIKFLKRTLSLSEKDNSAVREVRKGYLAVSVGEEQKRFIIPTEYLSHPAFHILLREAEEEFGFQQAGILRIPCQVSVFESILKMVEEKKDYSNSILMMQLDCASETQRATPTHHPQSPMCR >OMO77796 pep supercontig:CCACVL1_1.0:contig10712:118740:119651:-1 gene:CCACVL1_14834 transcript:OMO77796 gene_biotype:protein_coding transcript_biotype:protein_coding description:EID1-like F-box protein 3-like protein MSATQRQRFNPMSQDCGSHDSGILDERILLLVLECVKWDLQIICLTASVNHKLRAIAKRLLWRKLCVYRAPRMASALTNGSPNGRIGGGWDALAKLMFFCCGCESTRHFKLSRPTPGHFAKASRFSKTSGRSFLSKWCRGDLLFVSDPCEHSMGDKEDDLGIYRGVFRGFMRSKTRACLIRKQVAFDERVRCPYCGTRVWSMTTARLIPKSAARRLGSRDGKLEYFVCVNGHLHGTCWLVPLSSDEEACDDDDDDDVDEDDGVGSEDLDGDGEINGVAYGRHTATNGSTSSVGEDVFGNGPIN >OMO77780 pep supercontig:CCACVL1_1.0:contig10712:15469:16506:1 gene:CCACVL1_14818 transcript:OMO77780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MASLPLLGLLSLLLTTTTATSTTAVRHGIIRKPSSDVFPMFREAPAFRNGDNCGSNASDIIHIAMTLDANYLRGTMAAVFSVLQHSTCPENMEFHFLWGKYEPEVLESINSTFPYLNFRVYSFDPNRVRGKISKSIRQALDQPLNYARIYLADILPPEVKRVLYLDSDLVVVDDVLKLWEVDLEGKVLAAPEYCHANFTKYFTDLFWSDKSLASTFDGRNPCYFNTGVMVVDVDKWRQGEYTQKVEEWMAVQKQKRIYTLGSLPPFLLVLAGNIKAVDHRWNQHGLGGDNIEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCIVDHLWAPFDLYSPSIESLEG >OMO77790 pep supercontig:CCACVL1_1.0:contig10712:75427:81100:1 gene:CCACVL1_14828 transcript:OMO77790 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MGRVIRETAKPSTSSASSVSATTTSTSVTETVNGSHQFKITGYSLSKGLGIGKYIASDTFMVGGYLWAIYFYPDGKSPEDNAAYVSLFIALASEGTDVRALFELTLLDQSGKERHKVHSHFGRTLESGPYTLKYRGSMWGYKRFFKRTLLEQSDYLKDDCLSVHCSVGVVKSHTEGPKIYSIAVPPSNIGHHFGKVLESGKGTDVSFEVDGEVFPAHKLVLAARSPVFRAQLFGPMRDQNTKQIKVEDMEAPVFKALLHFIYWDSLPDMQELTGLNSKWASTLMSQHLLAAADRYGLDRLRLLCEANLCEDVAINTVATTLALAEQHHCFQLKAVCLKFVAMPENLRAVMQTDGFEYLKESCPSVLTELLEYVARVNEHSVIVCRHGNEAILDGSDANGRRLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPDGVDIELLHDATRREARGG >OMO77786 pep supercontig:CCACVL1_1.0:contig10712:54558:58045:-1 gene:CCACVL1_14824 transcript:OMO77786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MVPWGGISCCLSGAALYLLGRSSGRDAELLKTVTRVNQLKELAQLLDLESKVLPLIVTISGRVGSETPINCEHSGLRGVIVEETAEQHFLKHNDAGSWIQDSALMLSMSKEVPWYLDDGTGRVHVVGARGAAGFALTVGSEVFEESGRSIVRGTLDYLQGLKMLGVKRIERVLPTGSSLTVVGEAVKDDIGTVRIQKPHKGPFYVSPKTIDQLISNLGKWARWYKYASYGLTIFGVFLITKHAIHYILERRRRRELQRRVLAAAAKRAGPDNEDSIGKAENGAEAKRDRIMPDLCVICLEHEYNAVFIQCGHMCCCTTCSSHLTNCPLCRRRIEQVVKVFRH >OMO77782 pep supercontig:CCACVL1_1.0:contig10712:19397:20821:1 gene:CCACVL1_14820 transcript:OMO77782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFKSVFADDDPPKTQSDSDSDSPKAKDSIPDSSPKNPYPDPNPNASAWSFGGLIKTIATRSESVIETYRRDLQEFGSGLKKEIEVAQGSLGNVGHVIDEFGNTVLKGTAQIINQGKEAILAAENESDSPSSESSSKKLSTQRSLNSKSYSRFDAQVRVIQRDVNTYSEEPEDLEDYKKWKSGFSLEEKKEEIERLMEEIGEMRSIYNRVVGVSNGIDHETFWCSGGNVVTEKVNLDKDEDSVSKSVQKAASEGKSDNGESSNGNGKDSDFSVVSSHQSMPDEEDLGWDEIEDLSSIDDNKNVDDKKGTHGGSPSSSNRADLRKRLSTAEEDEDLSWDIEDDDEPVKA >OMO77784 pep supercontig:CCACVL1_1.0:contig10712:21990:27236:-1 gene:CCACVL1_14822 transcript:OMO77784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MPMAAGPASPRERAQAVGPALVPMMQAVPAVADVLAKDTIISWFRGEFAAANAIIDALCGHLAQLHGGAGGSEYEAVFAAIHRRRLNWIPVLQMQKYHSIADVAVELKKVAANNCGGGIRGDKDELKGGIGGEGVRFDDEKQKENEKVAEKVMENEGNGEVAREEEEEDSPDSDITDSGSQEVQHVEENIDICSNHEQCDARASEIKLTKGFSAKEHVKGHMVNVVKGLKLYENVFTDSELANLSDFVSELRAAGLNGELTGDTFILFNKQIKGNKRELVQFGVPIFGHIKEEPAINIEPIPALLQSVIEHLIQWQLIPEYKKPNGCIINFFDEGEYSQPFLKPPHLEQPISTLLLSESSMAFGRTVVSDGEGNYRGPLQLSLKTGSLLVMRGNSADMARHVMCQSDSKRVSITFFRVRPETNQGQSPPTTPQAGAMTLWQPGVPGPYGMSNGALNGYEAMDMMPKWGVLHGPVVMLAPVRPVVVSPKKLPRGGTGVFLPWTMGSRKPAKHLPPRAQKGRMLALPPVESHAPESTSEPSITVEGKSV >OMO77791 pep supercontig:CCACVL1_1.0:contig10712:82243:87747:1 gene:CCACVL1_14829 transcript:OMO77791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease MEDVLNVPNCVIKVDIECCQACPMKLKRKLQKINGVYAIDIDTKNGLVSVRGMVKPSILIKMISQKLGKKAELYSYEKNPKIQNKIPDDEIDVSSPCQYEIKDQSCSFSDESHDDHEYNCDDEVQGSIPEGTQYWNQPQFAVKKKKQGLAGLFGKKSNVEPTMVGNFGGPPGPGGYARLPPQLPVPPLPPPPPPPYFVPPSYSNGRYPPSYPYSPPYKIAKPPKAYPYDFYEKKGPAMGNSAFHAFRDDNVNACSIMAKDWASASGGAGVEASALCSSSILSSERHRCPACYKQFKRKLHLIEHMKISYHSVHEPRCGLSSDIGPLAKQDCSKIFLDQGCNLCLKLFDSPAALNKHQEECRLNAPVPLKTKIMPCIESHVIMSGSTMDEKPSGKVHRAIALDCEMVGGGIDGSIDLCARVCLVDEDENLIFHTYVQPQGPVSNYRYEVTGITEDHLRDAMPLDEVQDKILKILYNGESRGRIHLDEGKARLLVGHNIQQDLDCLRVKYPRQLLRDTSKYRPLMKTNLLSYSLKHLTKKYLGYDIQSGIHDPFEDCISVMRLYKRMRSQDHQVRKKALRNDKADSGLESIRSTDLEKMTPDELYEMSTSDYKCWCLDLGEKCSLGS >OMO77785 pep supercontig:CCACVL1_1.0:contig10712:36854:44080:-1 gene:CCACVL1_14823 transcript:OMO77785 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 Ubiquitin ligase MALLGGVICCLGAAAISLLGSSCLRDAEFLKTVTRVYSLNDLAQMLDLKSRERSRRPLIVAISARVGSETPISCRYSKTDLRAVIVEETAEQKFLKQDYKGSWIRHSEWKLPTREEVPWYLDDGTGRVYVVGALKASDFALSVGSEVFEHSLGLDCRQRQSCHHFLCAEKEKDCKHCPKMLGLQRYERVLPMGTTLTVVGEAVKDDNGAIRIRAPFLFHGEYGIRQPFYVSRKTIDQLISDFGDQARDAKFLKTVTRVNSLNDLAQMLDLKTRERSRRPLIVTISGRVGSETPIKCRYSKTDLRAVIVHETAEQKFLKQDDKGSWITHSELMLSTRNEVPWCFYGTGRVHVVGAQNASGFALSIGSEVFEQSLGSDCTHCPKMLGIKRYERVLPMGTTLTVVGEAVKDDNGAMRIRAPFLFHGEHRIQQPFYVSRKTIDQLISDLGDLASTIRRISSRQPTPNSSPAKLHAPRNNPSFPSNSVSSPIRTLDHTKPKVTTLQRPKHKQGSELVPIKFDYTTTSPNGSSQAPLARMAHQAKTAKPPSTGMVQPGKPTSGVASRIGPDQNSGQSASSKGNNGQIQVQFERNQANNKEESAGKKPTHYDDTFTAFIHRTKKRMSHDQEHGENNSAKGDGNHGHGHHKDHHFSDFIDKTKRKIRTTSSLKDRSESFFK >OMO77794 pep supercontig:CCACVL1_1.0:contig10712:102067:104703:-1 gene:CCACVL1_14832 transcript:OMO77794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPPSLTIGPCCLKCGAPDSSRLSVVARYLCKSKVGQSLQRIDVGRKLFPGEVVQSALQPPHFSLDLRCFAASRVSVEQTEQTFTSTSQVDELKKLSTYMFRTEIGGQVKIFVRKKSVKYVVDIEVSSLQLSGDNNKLVLSGGVYRSDHDVKTNNIETPFIARSSSELALELEFEAKEAPFYFSFLLKASSDANSSGLEIRSHRKTNFCVPIGFDQGYPVPLGLSFSNDGSMNFAVYSRNAESLVLCLYDDTGSEKPALELDLDPYVNRTGDIWHASLEGAWTFVSYGYRCKGDGDAFHAERVLLDPYAKIIGSSIPNHYESGLLLKHLGRLCKEPAFDWSGDVCPNLPLEKLVVYRLNVMHFTEDKSSKLPANVAGTFSGVTEKVQHLKYLGINAVLLEPIFTFDEQKGPYFPCHFFSPTSLYGPSNGSISAINSIKGMVKNLHANGIEVLLEVVFTHTAEGGALQGLDDESYYYRNRVEDLEEKNALNCNYPVVQQMILDSLRHWVTEFHIDGFCFINASCLLRGFHGEHLSRPPLVEAIAFDPLLSKTKVIADCWDPHEMMPKEIHFPHWKRWAEMNTKFCSDIRNFLRGEDALSSLATRLCGSGDIFSDGRGPAFSFNFIARNFGLPLVDLVSFSNAELASELSWNCGEEGPTSNTAVLERRLKQIRNYIFILFVSLGVPVLNMGDECGQSSGGSLSYGSRKLLDWNAMTTGFGIQTTQFISFLSSLRVRRSDLLQRRNFLKEENIEWHGSSQSPPEWEDPSCKFLAMTLKADKAESLLSSEASELKGDLFIAINADDKTENIILPPCPEGMAWRRLVDTALPYPGFFLADGKAVLEQMAGLVAYEMKSRSCTLFEACTEDSDIVPSLHSHH >OMO77778 pep supercontig:CCACVL1_1.0:contig10712:8658:9754:1 gene:CCACVL1_14816 transcript:OMO77778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-binding protein MTMMQSAWFISLFLSILICFQTLEASPRSTNSFPLVRNISKIPQGSYGIKGLSHATLAGSVLHGMKEVEVWLETFAPGARTPIHRHACEEVFVVLKGSGTLLLASESDENKEFPGKPKEYSVFSNSTYHIPFDDVHQVWNSNEHEDMQVLVVISRPPMKPFIYEDWSTPHAAARLMSPMVFDVQSPPKPKDEL >OMO77789 pep supercontig:CCACVL1_1.0:contig10712:67361:70501:-1 gene:CCACVL1_14827 transcript:OMO77789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLCLMASNGYPPGLVFHQEQGVSRMIKEGQSYMPSQLMKQSIVQTGSFDLKHSQFQEQPKPVTALCEPKLIVDVDPAAQSPMVLDKSDAYLDTALLSFGIAEKCTRHEKIMRFLMSGSNEMEKGELDLSLLSDLMELQPLMFGVHQQPYASSLIYPSTIPDAHKLLPDFVGEMLRDSKITVNPDGQVVLTGSGTEMKDILSIVAEFYLSSNSTRWRKQLALVPHFDGWSQSSEAHAMPSPQLTVASIAPAKSPEKIKLKPSRKKNSRKLAKERDLYKTNYFHACESLLSLMINKRRHGRTAILSLKKSGPELPELLTQFSAGIAGTGLAVLFSVICKVACGRVPFCSSKIFSTGLGFALVWLSSAVNRLRDTVVHISKNSSKLSMKEEEMIKRVDKSVNEIYFRAATLMAIAVLRFA >OMO77787 pep supercontig:CCACVL1_1.0:contig10712:59960:61460:-1 gene:CCACVL1_14825 transcript:OMO77787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MALNLHSPLKLISLICFFFPAISPVMSLNYPAVFNFGDSNSDTGGIVAGKAFPLIPPNGETYFLKPSGRLCDGRLIIDFLMEAWELPYLNPYLDSVGSPSFETGCNFATGGSTISPANAASVSPFSFNLQLTQFFRFKKKSLELLKDHEELQNYLPAEDYFKQGLYMFDIGQNDLDGAFYSLKSEEKVLALIPKLLSALKYGMKKLYDSGARNFWIHNTGPLGCLPRIIASFGKNPSDLDEFGCVISHNRAANVFNKKLHDEVCVNFMTQQLPEANCTYVDIYSIKLDLISNYSEYGFQQPLAACCGYGGAPLNYDTMIPCGVTKDLNGTKVTASACENTAEYINWDGNHYTEAANRFVADSILTGNYSDSPHLRIDTSYFT >OMO77776 pep supercontig:CCACVL1_1.0:contig10712:2460:3526:1 gene:CCACVL1_14814 transcript:OMO77776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYALMSTPLCNFGVSKFSLPISSFNSKYNPNPKFLSCRKALKIHANEVDSQVETVEEEPKEEKLEAEDEAKNGSKKNSSTSSPSSTAPIDKDLKKVVQKTAATFAPRASTASKNPAVPGTALYSVFEVQGYISMLLGGALSFNLIFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLLIPLLNVIIPFFWKSFAIVWSADTVAFFAMYAWKLGWLQKTE >OMO77793 pep supercontig:CCACVL1_1.0:contig10712:97999:101125:1 gene:CCACVL1_14831 transcript:OMO77793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLFPLTCAPPFQPLLPPSNVRISRFGTTYSSGAVLRSMRKEEPLLEGMPKEYYDDEWQARQREKTKELHRLRREEEEEEERKIDEYREIGMRLKGYPEEDVIKARKLVSSFIRAEEEVEERIEEAAERGELTELVLMVIWNRLDLARRDDEKDAIRSLDLLYRRVETEILKREATPAMRLLNDLLNMHDGFDDEGWLKECKKRMANTFLREDPFSILVPSGFDIEKHDGPLPMPLEADDVLLRVDFVREVDALLQEVRSEQNEAQSMEGGFEPESVAVRLKEQEKKRTIRQVESLLDLAINLKW >OMO77779 pep supercontig:CCACVL1_1.0:contig10712:11690:14181:1 gene:CCACVL1_14817 transcript:OMO77779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-binding protein MMLRPCFVFFFFFTNLLIFSQVLEASRCSITGLPLVRKISELPQDNYGRGGLSHITVAGSLLHGLKEVEVWLQTFAPGSRTPIHRHSCEEVFVVLKGSGTLFLASSSHKYPGKPEEHFIFSNSTFHIPVNDVHQVWNTNEHEDLQMLVIISRPPVKVFIYEDWSMPHTAAKLKFPYYWDEQCFQDDQKDEL >OMO77797 pep supercontig:CCACVL1_1.0:contig10712:122905:122976:1 gene:CCACVL1_14835 transcript:OMO77797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTIKPHSLSHQPLWRLFHFLS >OMO77792 pep supercontig:CCACVL1_1.0:contig10712:89463:97334:1 gene:CCACVL1_14830 transcript:OMO77792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSIQAASPVWDSVMEQIKFAQDRNTDPLLWAVQLSSSLHSAGVSLPSIDLAHLLVSHICWDNHVPIAWKFLEKALIAKLVPPVLVLALLSTRVIPNRKLHPAAYRLYMELLRRHAFSLKSEINGPNYPKIMKSVDDVLHLSEIFGLQVSEAGLLLVEFVFSVIWQLLDASLDDEGLLELSPEKRSIWPTLTQDMEIDNPENFNEKRNEQHDVLCKGNTTMAIEIVGEFLQNKVTSRILFLARRNMPTHWGAFIQQLRILAAQSMALRNSKYVTPDALLQLTSDTRKVLSRESKIISQEFHAVIGSGSLTSSSGQYHGTSSSGHWLPIDLFLEDAMDGSEVAATGAVESLIGLVKALQAVNGTTWHDTFLGLWIAALRLVQRERDISEGPVPRLDTCLCMLLSITPLAVANIVEEEESELIDENDCSTTNQTKEKQPQGRRRKDLISSLQMLSDYEALLIPPQSVRTVANQAAAKAIMFVSGLTVGNGYYESMSINDMPMNCSGNMRHLIVEACIARNLLDTTAYAWPGYVNARANIPRSVPNQVPGWSSLMKGSPLTPTLINALIATPASCLAEIEKIYEIATKGSDDEKISAASILCGASLVRGWNVQEHTILFISFLLSPPVPADYSGSDSHLISYAPFLNVLLVGISSVDCVQIFSLHGLVPLLAGTLLPLCEVFGSIAPNVTWTLPTGEELTSHAVFSNAFTLLLRLWRFDHPPLEHVMGDAKPVVSQQSPEYLLLVRNSRLSDFGKSPQDRLRSKRLSKSLNVSLDCIFMDSFPKLKRWYQQHQECIASTLSGLVQGTTVHQIVDALLHMMFRKISRGGQSSATSGSSTSSASGTEDVSVRLKVPAWDILEATPYVLDAALTACAHGRLSPRELATGLKDLADFLPATLGTIVSYFSAEVTRGIWKPAFMNGTDWPSPAANLSVVEQQIKKILAATGVDVPSLAIGGNSPAMLPLPLAALVSLTITYKLDKATERFLILVGPALNSLAEGCPWPCMPIIASLWAQKVKRWSDFLVFSASRTVFHHSSDAVVQLLRSCFTSTLGLSPSTIYSNGGVGALLGHGFGSHFSGGMSPVAPGILYLRVHRSIRDILFMTEEIVSLLMSSVRDIASSGLSREKLDKLKKAKFGLRYGQVSLGAAMTRVKLAASLGASLVWLSNGLSLVQSLIKETLPSWFIATHTQEQDDEVGGAVAMLGGYALAYFTLLCGAFAWGVDSTSPASKRRPNVLGAHLEFLASALDGKISLGCNYATWRAYVTGFLSLMVGCAQKWILDVDVDVLRRLSHGLRQWKEEELAMALLGLGGVGAMSAAAELIIEIGD >OMO77795 pep supercontig:CCACVL1_1.0:contig10712:110078:115393:-1 gene:CCACVL1_14833 transcript:OMO77795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQDITVSAAINLLSAFAFLVAFAILRLQPINDRVYFPKWYRKGIRSSPRRSGAFVTKFVNLDWRTYIKFLNWMPAALRMPEPELIDHAGLDSVVYIRIYLLGLKLFVPLAVLAFGVLVPVNWTGGTLEHIKNLTFSDIDKLSISNVSEGSKRFWAHITMSYVFTFWAFFVLYTEYRTVAAMRLRFLASESRRPDQFTVIVRNVPPDPDETVSEHVEHFFSVNHPDYYLTHQVVYNANTLAKLVDKKKSLQNWYTYYSNKYEKTLQRPNTKSGFCGLIGATVDALDYYSSEIEKLSEIEAAEREKVMNDPKAVVPAAFVSFKSRWGAAVCAQTQLCRNPTIWLTEWAPQPRDVYWDNLAIPYFELTVRRLLMAVGLFFLIFFFMIPITFVQSLANIEGIEKVFPFLKPLIEMETIKSVIQGFLPGIALKIFLILLPTILMAMSKIEGFTSLSSLDRRSAGKYHLFILVNVFLGSIITGTALQQLKTFLDQSPTEIPKTIGVSIPMKATFFITYVMVDGWAGIAAEILRLVPLIMFHLKNAFLVKTEQDRDQAMDPGCWDFATSEPRIQFYILLGLVYSAVTPVLLPFIIIFFAFSYVVSRHQVINVYDQNYESGASFWPDVHRRLIISIIISQLLLIGLLSTKQIKQSTIALVPLPVLTFWFHRYCKGRFESAFVRFPLQEAMIKDTLERATEPNLNLKAYIQDAYIHPVFKGTDLERPQSVFEDENNPLVPTKRNSKQNSEAGSTTG >OMO77783 pep supercontig:CCACVL1_1.0:contig10712:21180:21239:-1 gene:CCACVL1_14821 transcript:OMO77783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGIPLALNASIKEMMEE >OMO77788 pep supercontig:CCACVL1_1.0:contig10712:62961:66152:1 gene:CCACVL1_14826 transcript:OMO77788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAIRNPSSRRLLSFSSPIYWSSRGAISSSHFSVSDSIYGNEAAIPANANPWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAVAFDEIDKAPEEKKRGITIATAHVEYETVKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNEEIGKNAILKLMEAVDQYIPDPVRQLDKPFLMPIEDVFSIQGRGTVATGRVEQGTIKVGEEVEILGLTQGAPLKTTVTGVEMFKKILDQGQAGDNVGLLLRGLKREDVQRGMVIAKPGSLKTYKRFEAEIYVLTKDEGGRHTAFESNYRPQFYMRTADITGKVELPENVKMVMPGDNVTATFELISPVPLEAGQRFALREGGRTVGAGVVSKVVS >OMO77781 pep supercontig:CCACVL1_1.0:contig10712:17427:17987:-1 gene:CCACVL1_14819 transcript:OMO77781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQENKFDQRLGICQRLFNFIMNTFIGRGMKRVTLGQPLPQGSTNGAQEPLISHANLKDDSDSLIQIHFKKTEEEEELQYWTPIDKLGSSVHVAEKDESQGRTQLRIDGESSRAPKIVIPITNSVNEEEKKKKAGNKFPSPTAIQPDASKPILTGLGLNINEISETFIQNTKERLSRNVSLMEPEES >OMO77777 pep supercontig:CCACVL1_1.0:contig10712:4866:6464:-1 gene:CCACVL1_14815 transcript:OMO77777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MIRTGVNCEVMKIRSCAGIAKSSFLLAHSELPALTFHKPKLTWTSTLNIPSNCESKFGLVTRVHCFVANRTYSSTSVSPSRSKRNNVRLGQKQGKSSSLYSRPSLAEMKNDRIAIRAKVYEFLRGLGIIPDELDGLELPVTVEVMKERIDFLHKLGLTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKSTFTEFLRRYPQVLHASVVVDLAPVVKYLQGLDIKPNDVPRVLERYPEVLGFKLEGTMSTSVAYLVGIGVARREIGGVLTRYPEILGMRVGRVIKPFVDYLQGLGIPRLAVARMIEKKPHILGFGLEERVKPNVESLVEFNVRKTSLPSIVAQYPEIVGIDLKPKLHGQRSLLQSIIDMGHEDFGTVVEKMPQVVSLSNTSVVKHVDFLKDCGFSLQQVRTMVVGCPQVLALNLDIMKLSFDYFQMQMQRPLDDLVAFPAFFTYGLESTIKPRDKIVAKKGFKCSLSWLLNCSDEKFKDRMNYDSIEMEEMETVPSFDMNSLMEPRSDESDSEYEDDSDDE >OMO78182 pep supercontig:CCACVL1_1.0:contig10624:8805:11279:1 gene:CCACVL1_14591 transcript:OMO78182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEDNKDEKFEQVEEKLMSHFEELYNSGTASEGKASGSKESTFATASGSSKNFRNELKHRHFTLSYVNPI >OMO78185 pep supercontig:CCACVL1_1.0:contig10624:30441:32976:-1 gene:CCACVL1_14594 transcript:OMO78185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEIGLLTGKKKLGIFEILKQAILIPCKNINFIFFQERIAEKNDCKERIAEKNDCKERIAEDKADEETGERDESAVFRVACMALLVKYLEWTAIWNMSIVISILEGIHGADALGLSAYFCRGGERQSFLLMLVFFIWGVVLRLACFHGGCSNEKNWRFILQVSLICMGTVMKWVVCVIFFCHRKEQNEQKVDDEEAGEQGQVKALDI >OMO78186 pep supercontig:CCACVL1_1.0:contig10624:33737:41338:-1 gene:CCACVL1_14595 transcript:OMO78186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQSEKKAVLKMDFYNEKQKQKVMKEVSGVPGIRSIVMDMEESKLILIGCFDVFRLLYKLNKECGNCAELVLALPYNAQEEEEKKKAEAAAEEQRKKDARNGIMVLKHRVFNFHHNSLFSLFCCVKNYGKSLESNHSVGFVRFVVEIATTETTTMEGQGEKKAVLKMDFYNEKQKQRVMKEVSGVPEIRSIIMDMEESKLILIGCFDVFRLLYKLNKECGNCADLGWP >OMO78183 pep supercontig:CCACVL1_1.0:contig10624:12143:15432:-1 gene:CCACVL1_14592 transcript:OMO78183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADSFLADLDDLSDNEANVPEEENDDVTNMEEDIDGDLADIEALNYDDLDSVSKLQKTQRYNDIMQKVEVALEKDSDLSMVLEDDPEYQLIVDCNALSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEDVLQKTIEACDRALALDMAKKKVLDFVESRMGNIAPNLSTIVGSAVAAKLMGTAGGLVALAKMPACNVQLLGAKKKTLAGFSTATSQFRVGYIEQTEIFQTTPPALRSRACRLLASKATLAARIDSIRGDPSGGAGRTLKEEIHKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGSGKLRVSIGQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELTNPQAHAHQLGSGTQSTYFSETGTFSKIKRT >OMO78187 pep supercontig:CCACVL1_1.0:contig10624:43093:47676:-1 gene:CCACVL1_14596 transcript:OMO78187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVYVGNLDPRVIERDLEDEFRVFGVLRSVWVARRPPGYAFVEFDDRRDAIDAVRELNGKNGWRVELSHNSKGGGGRGGRRGGGGDDLKCYECGEPGHFARECRLRIGSRGLGSGRRRSPSPRRRRSPSYGYGRRYVSGAIVHVGEDLLDAAAYHLVVAAATAGRLHIVILVVIHLMLMGEM >OMO78180 pep supercontig:CCACVL1_1.0:contig10624:1931:2739:-1 gene:CCACVL1_14589 transcript:OMO78180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSNSSSRVMSSKRPKRKGCPKGTVPIMKYTSNPIADPKNGVKYYATMATNREEGATYHGGTALMGVFSPHLQYLQSSRANIWVQNGPQSHLNSIEAGWAVHEILYNDPYTRLTAYWTADNFGTTGCYNLVCPGFVQIDSSVYLGQSYPVDPFNRDVILNFIVERI >OMO78188 pep supercontig:CCACVL1_1.0:contig10624:48367:52762:1 gene:CCACVL1_14597 transcript:OMO78188 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0606 protein C11orf41-like protein MGFRGCPCLSCQKKFPPLPKPKVVAAAAAAQEVKKDEGKKKEVEQYEKKEVGYYNGYNNNVYNIPNYGRIQNPHYNWCSGCNIPNPNYVQLAPRYRAALALITWGVGPEMKSIGL >OMO78181 pep supercontig:CCACVL1_1.0:contig10624:6137:6397:-1 gene:CCACVL1_14590 transcript:OMO78181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGKYPEPNIQIWKSGYFIRARYVNEHYKLVDAEPDRMVKYLNSDSSCYRLLYYTYPSVFNQVVRYGGPGGTAIECRWIPPLLSK >OMO78190 pep supercontig:CCACVL1_1.0:contig10624:57008:57475:-1 gene:CCACVL1_14599 transcript:OMO78190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILWEKSEAWRWLVRRTRESKPFFLAFATICGVVPGVIGYGVMQVTNTRNPQLEAQLRQNARPESLMVGKVNKERLAEYLGELQRKEDTNDRYVAALRGETLIRKPYQRIQPIPKQNNSEDTKPKA >OMO78189 pep supercontig:CCACVL1_1.0:contig10624:53122:54583:1 gene:CCACVL1_14598 transcript:OMO78189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVALLRVGFDDEREFKKIMKAASSVFGVESIAMDAGKKILTVIGEFDPPYVSKQIEKVCRSVEVVSLGPAKEEKKDDQGKKKIKKKEDDEAKKKVGEIICMLPAGPNMNPNSELLRHPIPTNSPYPYPYPYPYGYYDYNYHHRRQEDRSCLKFSKPSMEQKVVFRVSYGNAKQKNKVMEAAGVPGVDSIAMDEDKKLLTVIGNFDLDHPVDYLIIKVREACPVEVVSIGPAKEEEGR >OMO78184 pep supercontig:CCACVL1_1.0:contig10624:25791:29801:1 gene:CCACVL1_14593 transcript:OMO78184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine/spermine synthases family MAEESAAPVSCSDLPVKRPREEEEEENGVSAAAMETEVDNNNVKPADGISSVIPGWFSEISPMWPGEAHSLKVEKILFQGKSDYQNVMVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKQFFPDVAVGYEDPRVNLHIGDGVAFLKAVPEGTYDAVIVDSSDPIGPAQELFEKPFFESVAKALRPGGVVCTQAESIWLHMHIIEDIVANCRQIFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPSVDFKHPVNPIDTDENCSKSKRPLRFYNSEIHSAAFCLPSFAKKVIEAKA >OMO78191 pep supercontig:CCACVL1_1.0:contig10624:62084:67131:1 gene:CCACVL1_14600 transcript:OMO78191 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MEEEDRIEEGSSARLLSGRTYSGSTGGGVGESRWVDGSEVDSESLAWSFNDENEGKEGYGSLRRRLVKKPKRVDSFDVEAMEIAGAHGHHPKDLSTWRTLALAFQTLGVVYGDMGTSPLYVFSDVFSKVKIESEVDILGALSLVMYTIALVPLAKYVFVVLKANDNGEGGTFALYSLICRYAKVNMLPNRQPADEQISSFKLKLPTPELERALSIKEALERKSSLKTLLLLFVLIGTSMVIGDGILTPAISVMSAVSGLQGAVKGFDTNAVVVVSIVILVALFSIQQFGTSKVGFMFAPALALWFFSLGSIGIYNIVKYDVSVLKAFNPAYIYFFFKKNSRDAWSALGGCVLCITGAEAMFADLGHFSVRAIQIAFTFVVFPCLLFAYMGQAAYLMKYPDSSARIFYDSVPDSLFWPVLVVATIAAMIASQAMISATFSCVKQSMALGCFPRLKIIHTSRKLMGQIYIPVINWFLMVMCVIVVSIFRSTTDIANAYGIAEVGVMLVTTTLVTLVMLLIWQTNLFMALCFPLVFGSIELIYFSAVLSKVLEGGWLPLVFATFFLTVMYIWNYGSVLKYQSEVREKISMDFMLELGSTLGTVRVPGIALLYNELVQGIPSIFGQFLLSLPAIHSTVVFVCIKYVPVPVVPQEERFLFRRVCPKDYHMFRCIARYGYKDIRKEDHHAFEQLLVQSLENFLRKEAQELALESHLNEIDIDSVSVSSRDYGTQGMYGSEDLRTPLMHDSKLDEEGTSTAEEASPPLPSSVMSSDEDPSLEYELSALREAIDSGFTYFLAHGDVRAKKNSFFLKKLVINYFYAFLRRNCRAGAANMSVPHMNILQVGMTYMV >OMO51943 pep supercontig:CCACVL1_1.0:contig15667:1542:2325:1 gene:CCACVL1_29482 transcript:OMO51943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKRTLSDVEEAAALLWDGEASVDGGIQGQQRHGAWWNKLRRLSFREAMVSVLGPFYGFLICLMHLGSERRAAHSCESEFARLLPEAGNFRRSFTIYWEAAKGVPRIIEG >OMO51944 pep supercontig:CCACVL1_1.0:contig15667:12034:22208:1 gene:CCACVL1_29483 transcript:OMO51944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MVVGGGGGAASEFRERERRGQIGERVKVRKRMMEKKRTLSDVEEAAALLWDGEASVDGGIQGQQRHGAWWNKRRRLRFREAIVSVPSFDGRRGGANPSPHVPDSRTLRTLGSVPPDPDVATHGLEEHNGANEDHGDVIDGSLEEHGDGSTSDRPLLGEAAQHRPCDLEMPSHYRPRDITMPGHNRPRDMTLPGHNRPHDPLAIPLGPITRARAKRTRSRLFSCSFLYVYAAPIRIRRWFGVGYAPTPPLYKGSSISCVTNPIGDKLYKIGIKWYYEGEQDQTNLAFLLQTLMQRLDNLDTKFNAMAEDVQQVKDGQNQQAQPLQRANAARNNEEVHPPSPRQIARIDPMERLRQQELGGQAHNENMRPRRGIEREEPKDNIKYKIPKFNGRGSPSDYLEWESKLDMYFDYYPHAEPKRVQIATLEFTENALNWWNQLVQTRRRNLERPINTWLGLKSYMRKRFVPSFYTNGLYQELQSLRQGTRSVNEYYSEMMLLMSRAEVDEAPQATIARFMAGLNREIHDIVDMEQHYDVEELLQHALKAESQVKRYKKSFTSSSSSSWKTPIKKDDKSTKEKELAQKGTTPKTDFKSSSSSSSKNHVKCFKCHGFGHYAKDCVNKKVLFVNEQGEIESEDEEITLGSSGDGEDEVEVQTDDDSDDGAGFALKSLVARRTLSAYVKDDVNNQRENLFHTRIRNLDEHVSHLRCVLDVLRVEKLYANLKKCTFCTNKLVFLGFVVSSQGIEVDEEKIKAIKDWPTPTNVGQVRSFHGLAGFYRRFVKDFSTLAAPITSVMKKNAPFKWGDEQQEAFETLKEKLTNVPLLVLPNFNNTFEIECDASGVGIGAVLMQGGKPVAYFSEKLNGAALNYPTYDKELYALVRALQTWQHYLWPKELVIHTDHESLKYLRGQQKLNKRHAKWSEFIESFPYVVRYKQGKENVVADALSRRFSKMAHFIACTKTDDAINVANLFFKEIVTLHGMPRTIKTQHYMKNANKGRKEVIFEPGDWVWLHLRKERFPEKRKSKLLPRGDGPFQVLERINNNAYKLDLPSEYGNVSATFNVSDLSLFDSDADLRTNAFQGRGDDAPRAYHGLEEHNGANEDHGDVIDASLDEHGDGSTSDRPLLGEAAQHRPCDLEMPGHHRPRDMTMPGHNQPRDMTLPGHNRPHDPLAIPLGPITRAQAKRCSSVGRLGENNGANGDHVSNKHGDVMEVQEAAMVDPGLNQSLEEHGVGGITHAAQHRPHDRPSHAPSHGATGHNRLSHGASAHNRPFDPLAIPKGPMTRARAKRLKEALLGFVRSHLGGLESIEEQLENIEVDITKNNPIDSKMFTLLEIDDH >OMO70432 pep supercontig:CCACVL1_1.0:contig11828:7305:9635:-1 gene:CCACVL1_18921 transcript:OMO70432 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MSKLYPSESDLLYEEELLRNPFNLKLWLRYLVAQPDASFQKKFLIYERALKSLPGSYKLWHCYLLERLKFVRDFTVTHPQWVSLNNTFERALVTMHKMPRVWIMYLSALTQQKLITKTRRTFDRALRALPVTQHDRIWEQYLGFVNQNGIPIETQIRVYRRYLKYDPSHVEDFIEFLVNSTKPQEAAERRKRMEKARDIFEEGMKSVVTVRDFSVIFDAYAQFEESMVNDKVENMDLGNGDHSKLKSKFAKNLLKGFWLHDKNDVDLRLAKLEHLMNRRPELANSVLLRQNPHNVEQWHRRVELFEGNPTKQILTYAEAVRTIDPMKAVGKPHTLWVAFAKLYETYNDLSNARVIFDKAVQVNYKSVDHLASVWAEWAEMELRHGNSKAALGLVRQATAEPSLEIKRKVGSNGNEPIQMNLHKSLRLWTLYVDLEERLGSLESTCSVYERILDLRIATPQIVLNYASLLLEKKYYEDAFKVYERGVNIFKYPHVKDIWETYLSNFMNRYGKTKLERARELFEQAIKKAPADEVKPLFLQYAKLEEEYGLRNRAMKVYDQATKAVPNHEKLGMYEIYIARAAEHFGLTKTREIYEQAKESDLSDKDLKTMCLKYAELEKSLGETDRARGIYVYASQFADPHCDADFWDKWTDFEVQHGNEDTFREMSRIKRSVSASYSPTAVFAGFARKKEDKGSLDSLERVKRQKAS >OMO70434 pep supercontig:CCACVL1_1.0:contig11828:14223:16752:-1 gene:CCACVL1_18923 transcript:OMO70434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptobrevin MVQKSLIYAFVARGEVILAEYTEFSGNFNSIAFQCLQKLPSSNNKFTYNCDGHTFNYLVDNGFTYCVVADESAGRQVPIAFLERIKDDFVAKYGSGKAATATANSLNKEFGPKLKEHMQYCVEHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHQQAQDFRTTGTKIRRKMWLQNMKIKLIVLGILIALILIIVLSICHGFNCGKK >OMO70435 pep supercontig:CCACVL1_1.0:contig11828:22702:22938:-1 gene:CCACVL1_18924 transcript:OMO70435 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein PHLOEM PROTEIN 2-LIKE A9-like isoform 2 MHLDGLGAPVFLIAKLGKKGRYKWKRIKKLEALPKEPITVPSADDPFVINVPSETDRVDTKLYFGRCTKFGVANGRVV >OMO70433 pep supercontig:CCACVL1_1.0:contig11828:12666:13523:1 gene:CCACVL1_18922 transcript:OMO70433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPKKSKRKSNTLQVESTKATSTPGDFSFSITKVAVSQICKSVGFRRSQVSALETLTLVATKYLEALGKSAASFANAAGGRTQSNLFDLTNALHDLSFQTGFLGASTLYDSSCCLLKSSLLEDLSGFVSSTVEIPFAKPIERSSEGYREEVKASSSSSPGELQRGCHVPEWLPGFPDLGSNEECNKKRVNGEELWENSSSVLGCQTQSNGFEVKTKGKLAKKRTRVKFRINGGVKREHLNRYRGNVCFNSSNDEDAEAESEKPVKSKEAQNQILVYKRRKLKNV >OMO58230 pep supercontig:CCACVL1_1.0:contig14257:965:7157:-1 gene:CCACVL1_25526 transcript:OMO58230 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase assembly factor ATP10, mitochondria MEFYFLIRHSFLHFSLLISLISFSYAYGTEFKIPRLGALRRKVGSEPETTSTTSSSVSNDFETLFYNQTLDHFNYRPESYTTFPQRYVVNSKYWGGSKTSSPILVYFGAEESLDFDLAGIGFLTDNAPRFKALLLYIEHRFYGKSIPFGSREVALRNASIRGYFTSAQAIADYAAIILHVKKAFSAKNSPVIVIGGSYGGMLAAWFRLKYPHVALGALASSAPILYFDEIAPQIGYYAIVTKDFKETSESCYETIRKSWDEIEKVASKSNGLSILSKKFKTCQKLKRTFDLKDYLDSIYSEAAQYDHPPEYPLNIVCGGIDGAPKGTDTLGRIFAGVVAYMGNKSCYDMDEYNHPTETYMGWRWQTCSEIVMPIGHGNNDTMFPPAPFNLNRFIKKCKSLFGVQPQPHWVTTYYGGHDLKLILHRFASNIIFSNGLRDPYSSGGVLENISDSVVAVYTVNGSHCLDILPERKSDPQWLVKQRKTEVQIIESWIAKYYTDLIATANKILIPAAAAVKFPGLEVTHSDGKTLKLPITFNGNEVDADKLAVPKASLVCLSFRASSQAMIDSWSKPFYEAHRESNKVQLYEVSFVDSWLLCLNPIKRLLLRTMRKSSHGEDTLQRQIVYSFGDHYYFRKELKILNLLTGYVFLLDKFGRVRWQGFGLATQEELSSLLSCTTLLLEEK >OMO58231 pep supercontig:CCACVL1_1.0:contig14257:12809:15682:-1 gene:CCACVL1_25527 transcript:OMO58231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S28 MNCPTFSFQWLQILIISLLLSISVSSSAAHFFNNIPRLSLILEEYSHDQVLSATSVSEDLQTFYYTQTLDHFNYNPQSYSTFQQKYVMNFKYWGGANASAPIFVFLGAEASLNRTLPAIGFLDDNAPRFNALIVFIEHRYYGESIPFGSREEAYKNVSTLGYFNSAQALADYAEIIMYIKKELQATHSPVIVIGGSYGGMLASWFRLKYPHVALGALASSAPILYFDNITLHPEGGYFALVTKDFRDTSETCYQTIQKSWSEIDKVASQPNGLSILSNKFKTCNPLSGSSELKHFLKLMYANASQYNRAPLYQVSTICSGIDGVNSSSGSTHQDDDVLSKISAGVAAFFQNSSCYVNPTTNISETLIGWEWQTCSEMVIPIGIGNGTMFEAAPFNLSSYINGCESFYGVPPRPHWVTSYYGGHHIKLILERFGSNIIFSNGLRDPYSSGGVLKKISPSILSVTTVNGSHCLDILPEKETDPDWLIRQRKTEVRIIKGWISKYYADLKASKH >OMO71258 pep supercontig:CCACVL1_1.0:contig11683:1395:1931:1 gene:CCACVL1_18332 transcript:OMO71258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-trans-poly-cis-decaprenylcistransferase-like protein MDLLKFTFELSTEKSSIAHRYNIKFHFSGNLDLLSEPVTNAAKELMAKTANYSKFVFTFCIAYTSTDEMVHAVQVACKERQSEMRELKGIAGDYEPEKPVKVVDIEKHMYMAIAPDLDIVVRSAGENRLSNFLLWQMANIDLYSTPTLWPEMNFWHLVLAVLDYQRNHSYLEKKKKLL >OMO74720 pep supercontig:CCACVL1_1.0:contig11097:2369:15802:-1 gene:CCACVL1_16506 transcript:OMO74720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDPEDVFKDDEDDPDNESFQQSESSKEYVVYLVDASPKMFSTSCPGQDQEESHFRVAISCIAESLKTMIISRSYDEVAICFFNTKEKKNLQDLSGVFVFNVPEREHLDMPTARLIKEFDGIEESFMKEIGSQYGIVPGSRENSLYNALWAAQALLRKGSAKTADKRILLFTNEDDPFGSLHGAAKADMTRTTLQRAKDAQDLGISIELLPLSHPDEEFNVSAFYADLLGLDGEEVAQFMPSAGQKLEDMKDQLRKRMFTKRVVRRIEFHITNELSIGLNTYALFRPALPGAITWLDSVTNRPLKIERSLICEDTGALIEEPPKRFQSYRNDYVKFSVDEISEMKRISPGKLHLLGFKPLSCLKDYHNLRPSTFVYPSDQEVVGSKCIFIALHRSMLRHKRFAVAFHGSVSHPQLVALVAQIFPDTEDDAPEADEEQIQKAAALIKRIDVKDFSVCQFANPALQRHYAVLQALALEEDDIPETVDETLPDEEGLARSTVLKAVEAFKLSVYGEHYDVESDHLDKEKVGDASRKRKAEDAAKLSANYDWGNLADNGQLKDLTVAALKTYLNAHNLPVTGKKEALISRILTHMGKLIDSKSNFSDLYIVTNGTVSVNPSGPMCGNIQNVTFPFWGGDRAESCGHPELELTCQAGGVPQISIKSVNYLVIEININIQAFTVARTDYLNNLCPQKLANTTLDFALLDYAWNLENVTLYYDCPKISNQSSGFPSQFNCSNNGTGRVLNYYMLASAFGNLSAESKGGLGNCRSNVIVPAFYTAAQSIVTNPTPDTVILPLRNGFGLKWDANIASKCQKCEGSGGRCGYNKTSSGFTCYCPDHTDSSTCNLPGTNGMSLKIKLIIGFGVAGAAVLVVCLMVFTIRHKKQNVILMNFQKGRKKNSERIEAFIMKYGSDLAPKRYSFSDIKKITKSFKDKLGEGGFGTVFKGKLSDGRLVAVKVLSESNGDGEEFINEVASISRTSHVNVVAFLGFCYEGSMRALIYEFMQNGSLDKFICHQGSTDDDQTHNLLEPKTLFEIAIGIGRGLEYLHRGCNTRILHLDIKPHNILLDENFCPKISDFGLAKLCERKESILSMISARGTIGYIAPELFCRNFGGVSYKSDVYSYGMMVLEMVGAKENVHVAGSITNEMNFPLWVYEHLQQETYFNNNLQGITAEEEEITKKMIAVSLWCIQTNPSDRPSMTKVLEMLQGSLQSVPIPPRPFLFSPPRSSKPNSSSAISIFASSITMNVSEYE >OMO87467 pep supercontig:CCACVL1_1.0:contig09233:1:418:-1 gene:CCACVL1_09008 transcript:OMO87467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRGSRSEKVKRIFQQFDSNRDGGLNRDEMAALVVAVNPRVKFSDEQINAILDEVFRTYGEFIDGEKGLTYDGLLRTYDDGAGDVDRDFDALGLELNFDENKGLSIVSEASSSSIVDERVMESQKKQRTAAWAVSPHH >OMO87468 pep supercontig:CCACVL1_1.0:contig09233:3068:7795:1 gene:CCACVL1_09009 transcript:OMO87468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMSEEEVEFQEQGPSGQERIFVSIRLRPLNEKELARNRISDWECINNDTIIFKNSLPERSMFPTAYTFDRVFGCDSSTKQVYEEGAKQIALSVLDGINSTIFAYGQTSSGKTYTMRGITECAVAELYDYMERHEEREFVVKFSAMEIYNEAVRDLLSSDSTPLRLLDDPERGTVVEKLTEETLRDREHLRELLAICEAQRQIGETSLNETSSRSHQILRLTIESSARQYAGAENSSLLLASVNFVDLAGSERASQTLSAGARLKEGCHINRSLLTLGTVIRKLSKGRNAHVPYRDSKLTRILQNSLGGNARTAIICTMSPARSHVEQSRNTLLFASCAKEVTTNAQVNLVMSDKALVKQLQRELAKLENDMKSLGSTSIKGETTKLLREKEQIIEQMAKEVQELTRQRDLAQHRVENLLLSVREVQMLKQAEYSSSRSSGVDEVPFMVEYNKLRNTVVPRAPSVKFLLGFPEKPEDYFLLDGSTPKFPGPDPCQGWEDTSQGTDRNSEDNCKEVRCIEIEDSSTEMNKGAVVSCLKPDNDEGKLAMEETIVKETKSDKVSIDPEEQEGKLAITGAVIKEIEADDLSIAHEEKEGKVSVAEDILKKIGAGDMEGKLTLTQSSTEKLETDDVSSDHEENQVQLDMTGTVKENVVVSSPQKEILLPNPIYTDETYKALTHKIEELQRTIAFLVRVHHSEQSPCFSDGSNSSAASMTRSKSCRAAITTVPSPSEQYESTPPSTGFGKDFLERPTGLKCNNRNESTTSFSSYYGEVQSLKDSEAEDTLPGLESLPRWEKSIGDDYVRRRTVSSPDVVTGGTETKLLSDSEKQIESNVAEKTTDNSSMQLQPSWPVEFEKQRRQIIELWDACNVPLIHRTYFILLFKGDPSDSLYMEVEIRRLSFLKNSMSFGTNTWKDSPFDASSSSLKDLVRERGMLSKQLQKKFSRKQREELFKKWGIPLDSKQRSLQLARRVWSDTQDMVHVKESADLVAKLYGLVEPSQAPKEIVGLSLLPRAITRKSYSWKHGIPPLL >OMO87474 pep supercontig:CCACVL1_1.0:contig09233:35608:37300:-1 gene:CCACVL1_09015 transcript:OMO87474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLTWFRVTRGSKSEEDEKQTGIAEGRGRGAVYSARPSNSRNNDANVRFSLSSTNSINATVSTASVPQVTAVFYSQFLSPFVSFLRAY >OMO87471 pep supercontig:CCACVL1_1.0:contig09233:19863:23056:-1 gene:CCACVL1_09012 transcript:OMO87471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MAEEISKAELQSSESTFAKTRSLWPSVLRWIPTSTDRIIAAEKRLLSLVKTPYVQEQVNIGSGAPGSKVRWFRSSSNEPRFINTVTFDSKEGSPTLVMVHGYAASQGFFFKNFDYLAKYFKVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKDLSNFILLGHSFGGYVAAKYALKHPEHVQHLILVGPAGFSSESDGKSEWLTRFRATWKGAILNHLWESNFTPQKLVRGLGPWGPNLVSKYTTARFSPRYSAEGVLTEDESKLLTDYVYHTLAAKASGELCLKYIFAFGAFARMPLLNSASEWKVPTTFIYGVQDWMNYQGAQEARKQMKVPCEIIRVPQSGHFVFLENPSGFHSAMLHACRRILSPHPDDESFPEGLVSA >OMO87469 pep supercontig:CCACVL1_1.0:contig09233:8288:11862:-1 gene:CCACVL1_09010 transcript:OMO87469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRHISIGLCTPALTPLPHRRKPSKLYHPSTATTVCSASKWADRLLADFQFLPTTDNSSSSSTATLSPPFPPPLAPSPPERHVSIPLDFYKILGAEPHFLGDGIKRAYEARVSKPPQYGFSQETLINRRQILQAACETLANAGSRRNYNQGLVDDERDTIITQVPWDKVPGALCVLQEAGETEVVLQIGESLLRERLPKAFKQDVVLAMALAYVDLSRDAMALNPPDFISGCEVLEMALKLLQEEGASSLAPHLQAQIDETLEEITPRCVLELLALPLGDEYRMKRNEGLRGVGNILWAVGGGGAAAIAGGFTREDFMNEAFLCMTASEQVDLFAATPGNIPAESFEVYGVALALVAQAFLSKKPHLIRDADNLFKQLQQAKVAALPDPVSLYTPRENREIDFALERGLCSLLVGELDECRFWLGLDSDSSPYRNPSIVDFVMENSEDNDDKDLPGLCKLLETWLMEVVFPRFRDTKDIQFKLGDYYDDPTVLRYLEGLEGVSGSPLAAAAAIARIGAEATAVLDHVKASAIQALQKVFPPRRAEEGMRHQLDDERNSFFPVVEDEEGQENSDNENYALLTEIPGKSSLEETHEQETITDKIKDSSVKIMTAGVVIGLMTLVGLKFLPGRSVIRKEINPAMASNVTNVGSVDENTLQELPRMDARIAESIVRKWQNIKTQALGPDHCLDKLPEVLDGQMLKTWTDRAAEIARLGWIYDYNLLNLAINSVTLSLDGQRAVVEATLEESIRLTDVHHPENNATNVNIYTTRYEMSSSRSGWKITEGFQIVDNVKRN >OMO87470 pep supercontig:CCACVL1_1.0:contig09233:14918:18328:1 gene:CCACVL1_09011 transcript:OMO87470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITATFLIFLLTFNLVFSSYAQRSAVTLAEIRALTSFKRDIFYDPLGALDGWDASTPSAPCDWRGIVCYENRVRELRLPRLQLGGRLSDQLSNLRELRKLSLHSNNFNGSIPDALSQCALLRAVYLQYNSLSGNLPPSIFNLTNLQVLNVAHNYLSGRIAADIPWSLRYLDLSSNEFSGEIPSNFSAQSQLQLINLSYNQFSGGVPASIGKLQGLEYLWLDSNQLYGTLPSAIANCSSLVHLSAEDNQLKGLVPGSIGAVPNLQVLALSRNGLSGAVPESIFCKPSGNASPSLRIVQFGFNEFTEVDKPQNIKSCVSVLEVLDLHENHIRGVFPSWLTSLTTLRILDISWNFLTGSLPVEIGNLFNLEELRVANNSITGSVPSQLQKCSSLKVVDFEGNRLSGKMPAFLSQMRSFKSISLGRNSFSGSIPFGFGSVSGLETLNLGGNNLTGNVPEDIMRLSNLTTLNLSHNKFSGGVPNGIGDLSSLAVLNLSACGFSGKIPGSIGSLMKLTSLDLSKQQISGELPIELFGLPSLQIVALQENRLSGDVPEGFSSLVGLQYLNLSSNEFTGRIPATYGFLQSLVMLSLSYNRVSGMIPAELGNCSDLEVLQLRSNRLKGNIPGDFSRLSRLKELDLGMNNLDGEIPEEISRCSSMTTLLLDGNHISGNIPDSLSRLSNLTTVNLSSNRLSGAIPSSLSSISSLKYLNLSRNNLQGEIPNALGSQFDDPSVFAMNRELCGKPLNKECANVRNRKRRKLILLIAIAAGGAFLLAICCCGYVYSLLRWRKKLREWATGEKKRSPARASSGADRGRGSGENGGPKLVMFNNKITLAETQEATRQFDEENVLSRGRYGLVFKATYQDGMVLSIRRLVDGSIDETTFRKEAELLGKVKHRNLTVLRGYYAGPPDLRLLVYDYMPNGNLATLLQEASHQDGHMLNWPMRHLIALGIARGLAFLHSLSVVHGDIKPQNVLFDADFEAHLSEFGLERLTIATPAEASSSSTPVGSLGYVAPEAALTCQPAKEADVYSFGIVLLEILTGRKPVMFTQDEDIVKWVKKQLQKGQISELLEPGLLELDPESSEWEEFLLGVKVGLLCTAPDPLDRPSMADIVFMLEGCRVGPDIPSSADPTSLPSPI >OMO87475 pep supercontig:CCACVL1_1.0:contig09233:38088:42580:1 gene:CCACVL1_09016 transcript:OMO87475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNKRPPPDPVAVLRGHRASVTGACFHPSTPLLFTGSSDGELRIWDTIQHRTVSSAWVHSAAHGIIAVAFSSLLGTNKVISQGRDGTVKCWDIEEGGLSREPLLTIRTNAYHFCKLSLVKKPISNVQKAYHEVQGKETVGIESSNDIRGKTAQDQVEDSNTVEETCDGGLNYVAMAGEQSSEVDIWDLNTGERSARLPQDNFVGSSSFSTKQRGMCMSVQSFLQPGSQGFLNVLAGYEDGSILWWDIRNPGTPVTSVKFHSEPVLSLCIDGSCNGGISGAADDKIILYNLDHPMGSCVVKKEISLERPGISSTSIRPDCKIAATAGWDHRVRIYNYRKGNPLAILKYHHATCNSVSFSTDCKLMASASEDTTVALWELYPPQT >OMO87473 pep supercontig:CCACVL1_1.0:contig09233:28061:35300:-1 gene:CCACVL1_09014 transcript:OMO87473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MESDDGSNSKAGQELQFDLGNNVELGLENVSLQNSLKPRNKKMDGSGGSKKRVKVAALEVGFDSDDDEPIGSLLKLKKPKNPKKVKAALEGSAEKFQKVEVKAGKKVGEDEEDLGGMNDTLASFRKKLKGPKKDIEPGTMKGRGFALNEEDGGVLDGKSVTKTVEKARDIGEDGSVVVTDKRVERKQSGKVRRAKLDTKAKAIGVDDLEGLESEVEEDQNKGALWHGEGSNQPLDGKSEESLSTFVHKAQSGSQKKSHPNSSLRENDKATHHTSVSKKPSRICDGASHPASGSSLLQSTSKECNTAGNQRFDDGMCQQETILEAHDVNVQKECGEDPCDKDGGQHSNIQLRDNCSAIDQRGTSESEGLKDGLELQYTGKTGSASPSPEISLVGGKNSNNGLLNKSKENAYEEVAELESGHVFNQCPEHSKQIQHNLPLSAVGSLKMEETCSDGVKACTEDKSLETPFYPDELTPSNQRYNSDLHQPSEDASDGACVPSRDGFLVNEEANGDSPTSLTPDEYESCHEDAVSLPGSDIKDSKSSAVPRAGRSIKKRRHGDMAYEGDADWENLINEQGFFGSQQFVDGDRSITAREKFDEAAVSAGLKARAVGPVEKIKFKEVLKRRGGLQEYLECRNHILGLWSKDVTRILPLVDCGVSDTPLEGEPPRASLIREIYAFLDQSGYINFGIASKKEKAEELSAKHDYKLLGEKNFDGSSGASVADSEDGVAFILGQVKTSEASLEAKNSVRLDDQNQASEATTCEVLVDSSTPEFPNVKVQEECTSDNCLKNDSIDAKQSPGLVNLHVPRADLSCDAVDSGVAPLVIPEERDDLQHVQSTAYDNPHSNSHLQDDSDVRKKIIVVGAGPAGLTAARHLQRQGFSVVVLEARDRIGGRVYTDRSSLSVPVDLGASIITGVEADVSTNRRPDPSSLVCAQLGLDLTVLNSSCPLYDIVTGQKVPADLDDALEAEYNALLDDMEFLVAQKGEKAMRMSLEDGLEYALKRHRMAEVGADIEETESHSSVNALFDSKTNAIGNFLEEKGSKGEILSPLERRVMNWHYAHLEYGCAASLKEVSLPHWNQDDVYGGFGGAHCMIKGGYSTVVESLAEGLPIHLNHVVTDILYSPKDSGIDDGQHRQVKVSTSNGSEFSGDAVLITVPLGCLKAGAIKFSPPLPQWKHSSIQQLGFGVLNKVVLEFPEVFWDDTVDYFGVTAEETDSRGHCFMFWNVRKTVGAPVLIALVAGKAAIDGQRMSSSDHVNHAVIVLRKLFREASVPDPVASVVTDWGRDPFSYGAYSYVAIGASGEDYDMLGRPVDNCLFFAGEATCKEHPDTVGGAMMSGLREAVRLIDIFSTGNDYTAEVEAMEAAQRRSESERDEVRDITKRLEAVELSNVLYKNSLDRARLLTREALLRDMFFNVKTTAGRLHLAKKLLGLPVDSLKSFAGTKEGLTTLNSWILDSMGKDGTQLLRHCVRLLVLVSTDLLAVRSSGIGKTVKEKVCVHTSRDIRAIASQLVNVWLEVFRKAKASSKRKYLKDSASGKPPLRSHHGAFENKRSLQDPLSAGSQYPFNVRENGKSIEMKSEAVNLVMSEEEQAAFAAEAAARAAAKAAAEALASTEANCNKLLQLPKIPSFHKFARREQYAQMDEKKWPGGVFGRQDCISEIDSRNCRVRDWSVDFSAACVNLDSSRMSADNLSQRSHSNEIASQLKLREHSAESLAVDSSIFTTAWVDTAGTGGIKDYHAIERWQSQAAAADPDFFNPSIHVKDEEDSTTSSRQPTWKQDVRANESSISQVTVNKERIKNNPRGADRIKQAVVDYVASLLMPLYKAKKVDKEGYKSIMKKTATKVMERTTDAEKNMAVSEFLDFKRKFKIRSFVDKLIEKHMATNPIRKP >OMO87472 pep supercontig:CCACVL1_1.0:contig09233:24762:25755:-1 gene:CCACVL1_09013 transcript:OMO87472 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-ATPase proteolipid subunit MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >OMO93849 pep supercontig:CCACVL1_1.0:contig08051:8443:8547:1 gene:CCACVL1_06327 transcript:OMO93849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACQRKWEYSCGSGIPKLFKDGKGSEGRSKICH >OMO51800 pep supercontig:CCACVL1_1.0:contig15715:15152:18797:-1 gene:CCACVL1_29583 transcript:OMO51800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNRHGHHHLLLPLLLLSAVLAAADDGDTILKIASSFRPPPSGWSSTSSDNFCTWPGITCDKSSRVTAINLSTKGLSGTLAPEISSLSQLRTLALQRNSLSGTVPSFANHSSLQFIYLDGNAFTEVAPGAFSGLTSLQTLSMTDNKKLSPWTFPDLSGSSSLIELQLGNASLYGSLPDTFATWGSLTSLRLSYNNLSGTLPASLGDSSIQNFWINNQDGGFTGTIEVLSNMEQLSQVWLHKNMFTGPIPDLSKCQSIFDLQLRDNQLTGPVPGSLINLPNLKNVSLANNKLQGPFPKFPSSVEKVAVDGTNNYCTTTGDPCDPQVAIMLEIAGGFGYPVFLSDDWSGNDACKWSFVTCSQGSVITVNLAKKDLTGVISPAFGNLTALKNLNLNDNGLTGPIPDSLTKLSSLQVFDVSNNNLTGDIPTFPTPVKFTYTGNSLLGKSGGSGGTSGSGGSSGGSDGKSGSGNGKNSTALIVGIVIGVLIFVAVVCFVSYKYIMNKRYGKIGKMEGSDTEKGVVKNGAIGGGTNGYGGVAAEMQSQSSGDHSDRHFFEGGNVVISIQVLRQVTDNFSEANVLGRGGFGVVYKGELHDGTQIAVKRMECASMGTKGMNEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGTLGQHLFEWRELGYAPLTWKQRVTIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPEGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMEIITGRKALDENLPDEKSHLVTWFRRVLINKDNIPKAVDDTINCDEETMASIFKVAELAGHCTAREPFQRPDMGHAVNVLGPLVEQWKPSNHEDEESSGIDLHMSLPQALQRWQADEGTSTMYGDFSYSETQSSIPSKPSGFPDSFRSSDCR >OMO51802 pep supercontig:CCACVL1_1.0:contig15715:45899:47299:-1 gene:CCACVL1_29585 transcript:OMO51802 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MPRPHFLLVSYAAQGHINPTLQFAKCLIRIGAHVTFTTSLSARRRMTEVPKAEGLSFVTFSDGYDDGLKPNDDREKYGAEFKRRGTENLNNLIASSNEEGKPITCIVYSLLITWAMEVAREHQIPCAILWIQPASVFDIYYFYFNGYEETIKRQAEGEPKCLIKLPGLPPLANRDLPSFVGNQSAYPAAINFFQKQFELIAQETNPKILVNTFDALEPEALNAIEKFKMIAVGPLIPSSFLGRGTDPLDGSLKADLFQGSKDYVQWLGSKPNSSVIYISFGSIAALAKQQVEEIARALLAGGRPFLWVLRNQKLNGVIETEQDKLSCKEELEQVGMIVPWCSQLEVLSHPSLGCFVTHCGWNSTLESLASGVPLVAFPQWTDQPTNAKLIEDVWGNGVRVRGNEEGIVERDEINRCLDLVMGDEERAKEVRRNAQKWKDLAREAAKEGGSLDRNLKSFIDDLAHKD >OMO51803 pep supercontig:CCACVL1_1.0:contig15715:53458:53604:-1 gene:CCACVL1_29586 transcript:OMO51803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GMKNNPCPRSPKMLPVPAPMESDSPAGSGPIDIPIFSPLKLPSLRTYL >OMO51798 pep supercontig:CCACVL1_1.0:contig15715:1175:8188:-1 gene:CCACVL1_29581 transcript:OMO51798 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MSSLTSSFVDNYNSVQFLFTRSKLHCSKTLGTKLPGVKLRFSPASKFRVFCESKTQELQIRKCSPLLEKASLPNNGSLVSNEWKAVPDIWRSSAEKYGDRLALVDPYHDPPSTMTYKQLEQEILDFAEGLRVLGIKPDEKLALFADNSSRWLVADQGIMATGAINVVRGSRSSVEELLVIYNHSDSVGLVVDNPEFFNRLAGTFQSKAKMRFVVLLWGEKSCLSNGETESVPIFSYKEILELGRDSRVARTDSHDASYKYEAISSDDVATLVYTSGTTGNPKGVMLTHNNLLHQIRNLWVIVPAEPGDRFLSMLPTWHAYERACEYFIFTRGIEQVYTTVRNLKDDLRHYQPHYLIAVPLVYETLYSGIQKQINSSSTARKLIALTFIKVSLAYMELKRIYEGLCLTRDPKQPSFILSMLDYLSARILAAILWPVHVLANKLVYQKIRSAIGIQKAGTTGGGSMPMHIDKFFEAIGVKLQNGYGLTESAPVIAGRLPHRNVLGSVGHPIQQTEFKIIDSETNEALPPGSKGIVKARGPQVMKGYYKNPSATRQALDEDGWLNTGDIGWLAPHHSAGRSRQCGGVLVLEGRAKDTIVLSTGENVEPLEIEEAAMRSSLIQQIVVIGQDQRRLAAIIFPNKDEVLRAAKDSSIVDADASDIGKDKMARLLYEELRKWTSECSFQVGPILIIDEPFTIDSGLMTPTMKIRRDKVVDKYKKEIANLYK >OMO51801 pep supercontig:CCACVL1_1.0:contig15715:34498:35171:-1 gene:CCACVL1_29584 transcript:OMO51801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFSEEFAALLGKYFPRFTVALIAELYYAKELEAADLSHCNKTLTIV >OMO51799 pep supercontig:CCACVL1_1.0:contig15715:9004:10570:-1 gene:CCACVL1_29582 transcript:OMO51799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MALLAFLLASLVVGSVAEISNKIGINYGREANNLPSPYQSIELMKSMKAGRVKLYDANPEILKLLSGTKIDVSVMVPNDEIVHIASSQAAAEQWVHNNVMAYYPDTRIRFILVGNEVLSYNTSRLWHNLVPAMKNIKKCLTSLNVKNIKVGTPLAMDMLQSTFPPSSGTFRSDISDSVMKPLLKFLNSTKSFFFLDVYPYFPWSANPMNISLDFALFNGKENITDPGNGLVYTNLLDQMIDSVVFAIEKLGFRHIRLAIAETGWPTAGDIDQVGANIQNAATYNRNLIKKITAKPSLGTPARPGIIIPTFIFSLYEENQKTGPETERHWGLLHSNGTPIYDIDLTGTRPISDYKPLPEAQNNVPYKGKVWCTVAPGANLMNLPSALSYACGQDNQTCSALAPGKECYEPLSAFWHASYAFSSYWAKYRSQGATCFFNGLARQTTTDPSRGHCNFPSVTL >OMO50114 pep supercontig:CCACVL1_1.0:contig16316:8744:10151:1 gene:CCACVL1_30622 transcript:OMO50114 gene_biotype:protein_coding transcript_biotype:protein_coding description:GINS complex, subunit Psf3 MANYYNIDDILTEEEFVPVVFHKAANGVIIDPSSETNSVEQGAKVELPLWLAQELCLRQAVSISVPACFNQKTRLEIQADAACVDLRSRSPYFYEFGCKIAPLVGDKTVEVLLLSAFKIRYKEILTKAYTAAHTATSKFLTLLTKEETNLYEAAQSSMAAFKKWRKGGPRLQRASVLGRKRKPIE >OMO50113 pep supercontig:CCACVL1_1.0:contig16316:597:3297:-1 gene:CCACVL1_30621 transcript:OMO50113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spo11/DNA topoisomerase VI, subunit A MEGNTHSRDLLRKIKEITLWILDNLSQGRSPLIGIQRFRTYCTDLGSNCNCSSIKPNGHEILTFQKESHVHRIDVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDRAINDICILLQCSRHNLNVVSVGNGLVMGWLRFFEGGRKFDCINSPNTAYTIPVLVEEVKDIVSVAQYILVVEKESVFQRLANDQFCSKNRCIVITGRGYPDVPTRRFLRLIVEQLCLPVYCLVDCDPYGFDILATYRFGSMQMAYDAKFLRVPQIQWLGAFPSDSDYYGLPKQCLLPLTTEDKRKTEAMLLRCYLHQEKPDWRLELELLLQRGVKFEIEALSVHSLSFLSDVYIPSKIKEGTYICHI >OMO53189 pep supercontig:CCACVL1_1.0:contig15305:22025:22994:-1 gene:CCACVL1_28817 transcript:OMO53189 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEGQDHIEDFGDHDQLPIGYRFVPTDEELVNHYFMNKVLCNPLPASTFHEIHATKFYSMPPKSLVEFASDERQWFFFIDDDDDDDDDEKKKGIRRVGDGVGFWHSNGDEEEKPILDPNGNVLAFKLHLIYFSGTLSNPKKTHWSMDQYRLPNQFYSLHNLKEEWAVGRLRRGRDYNFGF >OMP10862 pep supercontig:CCACVL1_1.0:contig01830:1131:1481:1 gene:CCACVL1_00769 transcript:OMP10862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TDSLKDTEASMDDSSEQNLDNLDKIGNDLLTKLVSAVNLETGLLEPIDPDEKVTNADALIDFASKLVAERNRRRQAQFST >OMO83763 pep supercontig:CCACVL1_1.0:contig09857:30318:33512:-1 gene:CCACVL1_11214 transcript:OMO83763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYKLASLRQVHGRLALKFLNFFIKQPGLELNHLTHIFSLTTHVLVRARMYDYAKSMLRQLCRIGVGSSSVFSALMDTYPLCNSNPSVFDLLIRVYLRDGMIDDALETIRLMCSRGFKPSIYTCNMMLGSMVKDRRVGSVWSFFKELLGWGICPNSATFNILINILCVEGKLTKAGYLLRKMEDGGYSPTVVTYNTLLNWFCKKGRYKLAFELIDHMASKGIEADACTYNTLIDDLCRNNRSSKAYLLLKKMRKRRISPNEVTYSTLINGFVKEGKIGIATRVFDEMSNFNLSPNCATYNALIEGHSLDGNFEEALRLMDIMEQVEPQPNEVSYGALLNGLCKHAKFDLARKFFERMKAKGMGFSCIPYTEMIDGLCKNGVFNEAIQMLNEMFQDGVAPDIITFSVLINGFCKAGEIKNAKEVLCKMYRNGFRPNNVIYSTLIYNLCKIGHVKEALKIYSIMNRSGHAAENFTCNMLVASLCRNGKVRQAEDFMCHMSRIGPNPNSVTFDCVINGYGNVGDGLKAFSLFDEMVRLGNDPSFFTYGSLLKGLCKGGHLVEAKKFLTKLHYIPSAVDNVVYNTILAATCKSGNMWESLVLFDEMVQFGIVPDCYTYTSLLNGLCRKGKIAAALLFFGKMIEKGTFIPNQVTYTCLVDGLFKAGLSRAAFYFYEEMEKEGLSLDVFAYNVAIDGTSRMGEMEKANNLFSMMRSKSLCPNLPTYNILLHGYSKKNDIQTCSVLYKLLISNGLLPDKLTSHCLILGLCKSGMLDVGIKFLRKMISEGVEVDQFTFNMLITKCCERSETGKAFDLVKIMNFLGLSPDVETLNAIINGLNRNHALQESHIILHEMAQKGFLPKGRQYITLINDVCRVGNLRTAFQLKDEMASFGITSRDVAESAMVRGLALCGKVEEAILLLDNMLRMRLVPTIATFTTIMHMYCKENNVVEALKLRSKMELCGLKLDVIAYNVLISGLCADGDIAAAFELYQEMKQNGLWPNATTYTVLLDAHLTEGNSTFIGDVLLKDLKARGIISCDWDGGIDQYHKALLIAMERLKYLQKRRRDVIG >OMO83762 pep supercontig:CCACVL1_1.0:contig09857:24543:29320:-1 gene:CCACVL1_11213 transcript:OMO83762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site MGENQSSDKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPESPPKADHHVKPGVDPGKPQPKIRTKDDEDGLEGLTAFKF >OMO83764 pep supercontig:CCACVL1_1.0:contig09857:36373:36489:1 gene:CCACVL1_11215 transcript:OMO83764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKIREEEKQEKSDQEDSTDACARELEIKRRSRMPMK >OMO83760 pep supercontig:CCACVL1_1.0:contig09857:5645:9991:-1 gene:CCACVL1_11211 transcript:OMO83760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor 1-related protein MGRGKGRKDDKPNGDEQKCIFDPSSITIRHKKSDVFPGDFNNCLEEGFYYVKSDGDGGCRWVRLSSSDLKAYASSIMAFDQKHKCIFDDPSSIRHQISDGDYNKLPKHPTEEGYRRFLGRLPRLAPIASASDLKAYASSMASDQKQKQKRIFDLSYVGEELSSPDPDPHKHFLILRELLHDFPIYFPDPDPHMAAVPAAEPLESYSLTGAAAVGLDSRIYRIGGRCFSGRDDGGCTAAGCNGGCHAQVLLVSRPISLMNAVWEDTEDPTNKQILLHFQGSESLCKYNVRSGIWDLDEKFGWDEEFPFSPLNDEMWPSNAVVDDFLYCCSSNGDLFAYDLKGEKWHHTHGLDPSLRTVYKYQPSRLVPFGRMSNDLESSTTTPLVNGDEETISTVEWLMTFIFYGVLTCKFLPAVIVGAVVVSNSGVSHFALHTQAGLAIYITSIVLWFLTPCCLTCFGNRFPCNCLALLSPVFFAIALGFSCSYSNGRGKTVLEALILVIVATVSLSLYSLGAMIKRANFNLHPPFIVTFFVLLCIYVSIQIFNPFAKLSTSIWGFLAALFCWANAYCIGYSTVKLFDSVMRESIVPSPVFDSLHSTFTGTSSS >OMO83761 pep supercontig:CCACVL1_1.0:contig09857:12210:24048:1 gene:CCACVL1_11212 transcript:OMO83761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MGNEGYFPLFETKKAKGIALYRVFAASVFVGICGIWAYRVRNLPRNGEDGRWVWIGLFAAEIWLGFYWLLTQALRWNPIYRHTFKDRLSRRYENELPGVDVFVCTADPVIEPPMMVINTVLSVMAYAYPKEKLSVYLSDDAGSYLTFYALLEASQFAKYWIPFCKKFNVEPRSPAAYFKSSISFLHDTKEAKELATVKIGLKYGCPVEDVITGLSIQSRGWKSVYYNPARKAFLGVATTTLAQTLVQHKRWSEGDFQILLSKYSPALISHVPREGEDGKWVWIGMLAAELWFGFYWFLTQAHRWNLIYRQPFKDRLSRRFGNDELPGVDIFVCTADPAIEPPLMVINTVLSVMAYDYPPEKLSVYLSDDAGSQLTFYALLEASQFGQYWVPFCKKFNVEPRSPAAYFDSISNTDESKLANESTVMKMGLKYGCPVEDVITGLAIQCRGWKSVYLNPERKAFLGVAPTTLAQTLVQHKRWSEGDFQILLSKYCPVWYGHGKISLGLQLGYCCYCFWASNCLAVLYYSIFPSLYLLQGIPLFPKMKIGSLAVILLALLCSLNAVYGIRFVIDREECFSHNVKYEGDTIHVSFVVIKTDSSWHSSHEGVDLVVKGPTGDQIQDYRDKISEKFEFVARQKGVHRFCFSNKSPYYETIDFDVHESHFTYYDQHAKDEHFNPLLEQISKLEEALYNIQFEQHWLEAQTERQAIVNEAMSKRAVHKAFYESAALIGASVLQVYLLRRLFERKLGMSRV >OMP07360 pep supercontig:CCACVL1_1.0:contig04495:911:973:1 gene:CCACVL1_01320 transcript:OMP07360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IEQTTLLYSKSREHSLLRDTP >OMO99284 pep supercontig:CCACVL1_1.0:contig06917:17646:17762:-1 gene:CCACVL1_03870 transcript:OMO99284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein REKGERERTEGKPLEEEDSTEALVRDELGGVVVAGEKG >OMO66336 pep supercontig:CCACVL1_1.0:contig12555:8770:10374:1 gene:CCACVL1_21202 transcript:OMO66336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDNEPNPSQIKSLINGSLIVDLNKKRKLEAENLGLPISKHQCWNRSLELKPPAFSGIPEVEGYNSCTSKPKGGASNYDVSETGSAKDSNSFGEDSYSLHAEAKFGTEEAKYSLYDRSSSFSDWASSSRESHYSSDSTTVASEGVEREVLSSPAGEPEIADVDLTQIPEDSHVEYGSHVDYIYSGYGNYTIEQYQEKEIEEILNSNGANQNVYVLSSGRWSVNQEAPKTTRRPTIDQEFEQYFATLML >OMO66340 pep supercontig:CCACVL1_1.0:contig12555:31614:36459:1 gene:CCACVL1_21206 transcript:OMO66340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M41 MMIFSRIGRSLARSSRSTFRTNVISRNVLNESRLSTPVGNACNSRVNEGIGLVRGYFALGGIGKQLVSNSRLSNLDSILANPRVRRFFCSDGPKKSKFENYYPKLRKKTPKANEKKSESKEDSSGGDPENSQNLSKLISSLVTPLLLFGVVYSSIFSGPNDQKQISFQEFQNKLLEPGLVEKIVVTNKSVAKVYVKSSSNANQTADDTIISRGPPNGTPTRKNVGQYKFYFNIGSVDSFEAKLKEAQEALGIDSHDHVPVIYVEQMNWFNELMRFGPSALIVAALILSIRRMQSGFGAGGPGGKGARGIFNIGKATITKMDKNAKDKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSMSGSDFMEMFVGVGPSRVRSLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGRDQIFQIYLKKLKLDHKPSYYSQRLAALTPGFAGADIANVCNEAALIAARNESARITMEHFEAAIDRVIGGLEKKNMVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVSIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRASEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQRDAFEMTKPYSSKTGAIIDTEVREWVGKAYERTVQLIEEHKEHVAQIAELLLEKEVLHQEDLIRVLGERPFKSSEPTNYDRFKEGFQDNDESKDTTTETKTADDDRPTPLEPEVVPA >OMO66337 pep supercontig:CCACVL1_1.0:contig12555:18689:18886:-1 gene:CCACVL1_21203 transcript:OMO66337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSNSMEDGCTTPKHRIPAAFHCPPPPRKKPEGGAKRAPPKNGYFQPPDLDALFALQPRREACA >OMO66342 pep supercontig:CCACVL1_1.0:contig12555:52291:54251:1 gene:CCACVL1_21208 transcript:OMO66342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSTVLVTGAGGRTGQIVYKKLKERSDQFVARGLVRTPESKEKIGGADDVFVGDIRDSSTLVPALQGVDYLIILTSAVPRMKPGFDPTKGGRPEFYFEEGAYPEQVDWIGQKNQIDAAKEAGVKQIVLVGSMGGTNLNHPLNSLGNGNILVWKRKAEQYLADSGVPYTIIRAGGLQDRDGGIRELLVGKDDELLQTETRTIARPDVAEVCIQALKYEESKFKAFDLASKPEGVGTPTKDFKALFSQITTRF >OMO66344 pep supercontig:CCACVL1_1.0:contig12555:58580:60829:-1 gene:CCACVL1_21210 transcript:OMO66344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MGMDPRFRGHSGFQLRNQTMSVFPNQPVSVFPNQNFVTVPRFQNTYIDHNFREFHYHPNDPTPSNTAPVPSLSHEEDPSDDCDFSDSVLRYISHILMEEDKEDKSCMLQESLDLQAAEKSFYDVLGKKYPPSPDQNPSFFYESGENPNSYIDDSLVGNYNSFVDNCWMQNLGDYGNGTSQALTLPVPSISRSSYCSSIASIDGMMESPNSTLQVPDLNGEIQSIWQFRKGVEEASKFIPRSEDLFSNLEVYGVESQGWTNGVVVKEEKKDDVEYRNRPSGSKGRKISHRDDVDSEDERSSKQAAVFEETDMRSEMFDMVLLCSTGKEPTKFTAFRESLRNGTGKIVQQSQNGAPKGPKAGKNRGKKQNGKKEVVDLRTLLIHCAQAVAADDQRTANELLKKIRQHSSPFGDGNQRLAHCFADGLEARLAGTGSHIYKSLVSKRTSASDILKAYYLYVAASPFRKVSHFICNKTINLASRKSMKLHIIDFGILYGFQWPTIMERFSLRSNGPPLLRITGIDFPQPGFRPAERVEETGRRLAAYAEEFKVPFQYNAIAKKWENITLEELDIHDDEFVVVNCLYRSKNLLDESVAVDSPRNMVLNLIKKINPKLFVFGIMNGAYNAPFFVTRFREVLFHFSSMFDMLETIVPREEWERMTIEKEIFGREALNVIACEGWERVERPETFKQWHARNLRAGFLQVPFEREIVKEATYRVQSFYHKDFLIDEDSRWLVQGWKGRILYALSAWKPA >OMO66341 pep supercontig:CCACVL1_1.0:contig12555:44988:46614:1 gene:CCACVL1_21207 transcript:OMO66341 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IA, variant 3 MEFENRYRLAQSAKYDCLLFDLDDTLYPISSGLARECGKNIKDYMVEKLGIDKDKIVELSNLLYKNYGTTMAGLRVFLLFTFSLFSISQNIIFIDEWYKFNIFTNADRVHAVKALSKLGLEDCFEGIICFETLNPTHKNTVSDDEDDIEFLGSSAATTKTDVPSSSPEIFDIVEHFANPKPGATLPKTPIVCKPQESAIELALKIAKINPQRTLFFEDSVRNIQAGKRVGLHTVLVGTSQRPKGADYALESIHNIKQALPELWETDMKKSEVKYPNQVPVETSVTA >OMO66343 pep supercontig:CCACVL1_1.0:contig12555:54909:55656:1 gene:CCACVL1_21209 transcript:OMO66343 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane protein insertion efficiency factor MAVINALNYHKPFSPLITQSKNPNSNSIKFDAQIPNQAFRFHVPARRNLTVNGSLQNSDQNTPQDDEVESLGVKAALSMLRFYKREISPLIPKSCRYVPTCSEYSMEAYKKYGVVKGTVLTAWRLCRCNPLGGSGFDPPRWFDEERPTEE >OMO66338 pep supercontig:CCACVL1_1.0:contig12555:19686:22000:1 gene:CCACVL1_21204 transcript:OMO66338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLRPPWVRALWLGWVAKANRRTPICSRWKEAAKPKPTLLSLTVKTHVFVLTFNKGWRGRLGFTKSKPNTYKTSLTLKQLLGTRLFS >OMO66335 pep supercontig:CCACVL1_1.0:contig12555:3885:7097:1 gene:CCACVL1_21201 transcript:OMO66335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MKRGFGSLPVTAVLLAIAFIYFSTVFIFIDRWFGLMTSPGIMNAVVFTGVAVTCVLNYAFAILTDPGRVPSNFMPDVEDAEVPVHEIKRKGGDLRYCQKCSHFKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHANYKVFFVFVVYAVTACIYSLVLLVGSLTNDSQNDEQQNADSSRTVYVISALLLVPLSVALSVLLGWHIYLVLHNKTTIEYHEGVRAMWLAEKGGHVYKHPYDLGVYENLTTVLGPSIFCWLCPTSRHIGNGLRFRTPYDGMSASTSK >OMO66339 pep supercontig:CCACVL1_1.0:contig12555:23720:30765:1 gene:CCACVL1_21205 transcript:OMO66339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M41 MEKDNQKDDWLDGSSEDEIVRELLDDESPFFVLPQATIQARSRTLLEEEEATKRMISNVYSGPRIEDIENALSGTSWKDQSQSQQQTRLNSLLERGLSKIENKYTLKLKCFGNGMADDGYKWRKYGQKSIKNSPNPRSYYKCTNPRCSAKKQVERSRDDPDTLIITYEGLHLHFAYPYFPLNNTLEQPNLHNQDDSPTKKPKKAISEAESQAFGTSQAQETAANVNHEPLLPSSFDEGGCPQEMGNLEGFGQQGLLEDMNVVISRILLMNESRLFRPVGNACISRVNQGLVRGYFAPAGIGKQLFSKSPWSNLDSVLANSRVRRSFCSQGPKKSKFGNFFPKFLKRTPKANEKKSESKVMSIVLLLGMVYTSIFSDQKQISFQEFQIELLESGLVEKIVVSNKSVAEVYVKSSSNANQTTDDTNTSQGGTPTRLNVGQYKFNIGSVDSFEAKLKEAQEELGIKSHDRVPVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSMSGSEFRELYVGVGTSRVRNLFQEARQCAPSIIFIDEIDSIGRARGLKRGNNDNEGDNTLNQLLVEMDGVRTTSGVVVLAGTNRPDILDEALLRPGRFDRKITIDAPDIKGRDQIFRIYLEKLKLDHEPSYYSQRLAALTPGFAGAEIANVCNEAALVAARNESAQITMEHFEAAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVSIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLLGKISTGAQNDLKKVTKMTYAQVAVYGFSDKVGLVSFPQRGDGFYSNQTGAIIDTEVREWIGKAYERTVQLIEEHKEHVAQIAELLLEKEVLHHEDLVRVLGERPFKSSEPTNYDRFMEGFQENNESKDTATEMKATDDDRSTPLEPEAVPA >OMO51613 pep supercontig:CCACVL1_1.0:contig15775:140:715:1 gene:CCACVL1_29684 transcript:OMO51613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MQWFEIPGFNTVHILNAWENGDDEIVLVGSNVVSMENIFNKTSRIRLEKVTIDMKTKKYSRSLLSGKNLEFGSVNPQYVGKKSRFGYLGINDQAMKMTGVVKIDLEAGYEVGRRLFGPGCYGGEPFFVKNTTKSDDDEDDGYLMCFVHNEQTNESKFLLMDAKSPQLDVMAVIRLPRRVPYGFHGLFLNKD >OMO51615 pep supercontig:CCACVL1_1.0:contig15775:23489:23950:1 gene:CCACVL1_29686 transcript:OMO51615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQVLLRSPPVRRRQPYTLPDDSKATRKGKKFGEVAGGTAAECAAVFCCCPCTVMELLILGLYKVPTGLCKKAWKRRQRHHLMKRNEVLLGPTMSGPTREEIEAELDRVVGRTGLGIDDQDDGSARTVDMENEMWDRFYATGFWRSPSQKDT >OMO51614 pep supercontig:CCACVL1_1.0:contig15775:16280:20708:1 gene:CCACVL1_29685 transcript:OMO51614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNGMMDPELFRIAQEQMSRMSPAELAKIQQQMMSNPDLMRMASESMKNMTPEDLRHAAEQLKHTRPEEMAEIGEKMANASPEEIASMRARADSQITYELSAAQMLKNQGNELHKQGKFGDASEKYLLYNIIGFYFELDAEERLAREGNHQAPRGVVIEEITEEAPSTSSFNVKSSSTEYSLKQPQESSGYPKSENGKKVADLTTNSECLQALKDNPEAMRSFQKFMFNADPDTLAAMSGGKVGEVSPDMFKTASNMISKMSPEELQKMVQMASSLHGENSYTGPLQNGFGPGSIPPNVTPDMLKSASEMMAKMPPEELQKMFEMAASLKGKDSIPTSTAVNSGRLGSDAKVKYAEPETESTTNESNGFGQTSSSSHFPNSMNAQSSFPTSTADLQEQMRNQMKDPAMRQMFASMMKNMSPDMMANMSEQFGLKLSREDAEKAQQAMSSLSPEDLDRMMRWVDRIQKGAESAKKAKSWLLGKPGMILAICMLILAVILHRLGFIGS >OMO60473 pep supercontig:CCACVL1_1.0:contig13716:2197:11538:1 gene:CCACVL1_24120 transcript:OMO60473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKFGARDVLGFKVLFSLAIMYGLMSLLAHSVLYTKFIEPLGIDAPLNRFSEARAIEHVRVLSEEIDGRQEGRPGLREAARYIKAQLEMMKERAGPNFRIEIEEDVVGGSFNMMFLGHSISLGYRNHTNIVMRISSNNSQDTDPSVLMNAHFDSTLDSPGAGDCGSCVASILEIARLTIDSGWVPPRPIIFLFNGAEEVFMLAAHGFIKTHKWRDSIGAFINVEASGTGGPDLVCQSGPGSWPSIIYAQSALHPMAHSAAQDVFPVIPGDTDYRMFSQDYGNIPGLDIIFLLGGYYYHTSHDTVDRLIPGSMQARGENLYRTLKTFAESSKLKSAHERESLSASDDYNDDRAVFFDYLTWFMIFYSRRVAVVLHSIPIAIFLVMPFFLRMLNFGLSCAFAAFFDFVKGMILHATGVVLAIIFPVIFSILRLLFSSYGMNWFANPYLSFLMFIPISLVGLLIPRIVFRLFPLSQDVAVVKMSREALFDEARFWGAFGFYASFTLAYLVAGLSGGFLTFFTSASMLLAWIAFYLSVKFSGHQSVRSTAIYVIALIPSLTYSVYFGGCLAQFLIEKMGMMGAVPPPYGYYIPDVGVAAIVGIVTGWCVGPVIPICGNWLARSSILKFLVHLSVIALALSSQFFPYSRDAPKRVVFQHTFLTTDGNQVVDSSYDFSVVDSNSLLFLFKYAPEVAKELHIGPEFSFDTANMSNQRTFMALFPVSFLFSGSLKFPASNDQILKQYKHFPHLYTNMPQVKSDDGSRRVYLELSLGSLEEIWVAVLNIAGPLSSWSFADNKLPGRGTWALLESLVEVARIESEKLRQQKKIKKSSRREGFKAGFVFHEKDVGVAVGDGQKPKFKLTFKTTKKHDDDVSVLPKIRKLDVVQEYDQELEDLIIKPSAKKIKKDHNPNPNPNYKGKAKMIQEDDQQKPAKRSKKGHPAPVCPNPNSNPSLPEHVKEYIINKGGSDIALVIQKRLYFTDINPTASRLSIPISQIKTSTSSFLTESETVDLERGIAKDVSLLEPSMKESVVTFSRWNYSGTNSSYVLKGQWNAVVQHNGLKAYDMVQLWSFRVASNLCFALVKLDNVPSSS >OMO60474 pep supercontig:CCACVL1_1.0:contig13716:12066:16843:1 gene:CCACVL1_24121 transcript:OMO60474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22/L17 MSNFVILEAKEGLPSSVNLLISDEFCVIELLLIPEGNVVTKRAAGPQKELEKRRTLGCAVKYSREPDNPTKSCKARGSDLRVHFKNTRETAFAIRKLPLGKAKRYLEDVIAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDTLFISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEFQTLSPSLRSSLLFPGFTYNSIEQRNLGIGVQMDWQGQKLAEQIMQIMLLASAVIATAAGYILGSFQMMILVYAGGVTLTTLITVPNWPFYNRHPLKWLDASEAEKHPKPQVAVSSKKKTAKK >OMO60475 pep supercontig:CCACVL1_1.0:contig13716:18501:21279:-1 gene:CCACVL1_24122 transcript:OMO60475 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ribosome biogenesis GTPase RsgA MPITSFSLFRCRAPAITTTLLRHLTPNRHLSILAAKQSPSNPRQNVSRRPQPNKNLLKAKHTFKDYSSLAPVLSPNETPPLSESQAVGTVAAAQANFMRVIVQPEQKQVPPSGPGGENDSGAKVGVELLCVVRALLKKIKRRVLVGDKVVVGSIDWVDRRGTIENVFQRSSEILDPPVANVDHLLVLFSMEQPTIEPFTLTRFLVEAESTGIPLTLALNKTELVDEETLVSWKQRLQSWGYRPIFCSVETNQGLDSVAFYLRDQTTVIVGPSGVGKSSLINVLRSNHRPRDAVEGDNWFDPIMGSKWFEDQRVGEVSTRSGRGKHTTRHVSLLPLSGGGYVADTPGFNQPSLLKVTKQSLAQAFPEIRKLLKDSEPAKCSFNDCLHLGEPGCIVKSDWERYSYYFQLLDEIRIREEFQLRTFGTKREGDVRYKMGDMGVQQAEPRLEPKKYRRPSRKRINQSLLNELDELDDDDDDLDLEDDPIIRAIENENQ >OMO99500 pep supercontig:CCACVL1_1.0:contig06882:2242:2685:-1 gene:CCACVL1_03775 transcript:OMO99500 gene_biotype:protein_coding transcript_biotype:protein_coding description:disease resistance protein RPS2-like protein MGSFAALTTLYLHACQELTYVFKSSMLESVSNLKELQIDDCENMKTIISTDHGVSECGSISLTSLTLDDLPELVSIWDGTDQTKLLFENITVYDCPQLKQMTIDSELEQTLKKISGEQDWWEWEENELRSKFEAIFNPIKEGDVHTS >OMO59455 pep supercontig:CCACVL1_1.0:contig13959:7882:19307:-1 gene:CCACVL1_24816 transcript:OMO59455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDISNVADDGLATTNLLVALDSSEREQRCEGATLLNKENSRRKKKR >OMP05131 pep supercontig:CCACVL1_1.0:contig05517:29455:29736:-1 gene:CCACVL1_02056 transcript:OMP05131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDEAKPSAYFHLQLQTYKPRRLLTVKWSNQNQTAIDGVNRINGKSTKGKRERKGSPPHARRQAVWQMNGRGWAHVSGCPLITPGSRAFTYYA >OMP05129 pep supercontig:CCACVL1_1.0:contig05517:9384:17453:-1 gene:CCACVL1_02054 transcript:OMP05129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MARSSLLYCCFSLRHLASPSSKSFFYVPATLALFTILSILFHISTTSTLFTSHFHTDKLFVELPHGSSPSSPMTQNVSSFSFHNDSLKNGTFDLPEEAPFKIVDAYGDGGQDGTPSQLITSRSHFGSKGNFDAEAFHDEDIFLEDYREMNKSFKIYVYPHKKNDPFAHAFLPVDFEPGGNYASESYFKKALMKSHFITKDPSKAHLFFLPFSIARLRHDNRVGTGGIQYFIRDYILNISQKYPYWNRTGGADHFYVACHSIGRSAMEKAEEVKLNSIQIVCSSSYFLSGYIAHKDASIPQVWPRTGDPANLTSSTRNKLAFFAGSMNSPVRQKLLKYWRNDSEIAAHFGRLKTPYADELLGSKFCIHVKGFEVNTARIADSLYYGCIPIIIANYYDLPFADILNWKSFSIAVVTIDIPLLKKILQGITSDEYLSLQHNVLKAPLFLSWGSLLLIATQSLSSAEELFYSSRYDPQRKQWSTGSLFGLYGNYVNNTEVYRDKDLFLEDYKEMNRSLKIYIYPHSRDDPFANVLLAVDYDPKGNYASELYFKKVLWKSHFITKDPNEADLFFMPFSMVEMRLDPRIGPEGTQDFIKDYILDISHKYPYWNRTNGADHFYVSCHPIEQHGMDKMFEAKFNVIQVVCSSSYFVAGYIPHKDASIPQIWPRPADPPNFASSKRKQLAFFAGTVNSYVRVALLQAWGNDTDILAHFRQVRTSDADHLLGSKFCLNVKGFEVNSARIADAIHYGCVPVILANHYDLPFADILNWESFSVVVHYMDIPVLKNILQRISLEEYSMLHSNTLKVRRHFQWNDPPVDYDAFYMTMYELWLRRSSIRVRLTASLIFPYQPDHEEMIPFSIDNTAEDLYFDLSRTASYAKQNQWSIGDLFGLFSGETMNNTEIYHDPDIFLQDYKLMNDSFKIFIYPHKADDPFANVLLPVDFDPQGHYASELYFKKSLFKSHFITKDPNEADLFYMPFSIVEMRHDPRIGPEGIQDFIRDYIFNISHTYPYWNRTGGADHFYVACHSISRIAMDKVAEAKQNSIQVVCSSTYFVTGYFPHKDVAMPQIWPKEKDPKKLTSSKRKQLAFFAGQVNSPVRAALLKYWRNDTDIYVHFGRFEKDDGEQQLHSKFCLHVKGFEVNTARITDALHYGCVPVILANHYDLPFADIINWKSFSVVVHYLDIPVLKKILQGISFEEYSWLQSNALKVRKHFKWNVPPVDYDAFYMAMYQLWLRRSSIRVRLSSSKELI >OMP05128 pep supercontig:CCACVL1_1.0:contig05517:1285:3138:-1 gene:CCACVL1_02053 transcript:OMP05128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MGKPSSFLLYKISEHRFPAAFKGFFYFLPISLALTTLLLIFIYITTTAYYVTNSHAQTTLYLETLPTISSSNYSLDHTVTTFPFDQTVENDDLVADPSSLATLARTSKWLGNLFGLTNGNYTNNQEAYHDRDLFLEDYKQMNKSLKIFVYPHPKDDPFANVLLPTDYEPTGNYASELYFKKSLMKSHFVTKDPNEADLFYMPFSMSTMRTDPRIDVEGIPDFVKNYILNISHKYPYWNRTGGADHFYVACHSIGKTAFDKSFVARLNVIQLVCSSTYFPTYYFPHKDASMPQVWPRIGEPTNLLTSKRKRLAFFAGAVNSPVRIALLKAWANDTEIFAHSGRLQTPYSEQLLGSKFCIHVKGFEVNTARVADSLYYGCVPIILANHYDLPFTDIVNWRSFSVVVHHRDIPVLKNILQRISIAEYEMLQSNVIKVRKHFQWHPSPIDFDAFHMSMYELWKRRSVVRVRLTPSVEFM >OMP05130 pep supercontig:CCACVL1_1.0:contig05517:19563:19634:-1 gene:CCACVL1_02055 transcript:OMP05130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPINMLRRRGGGRHLAKALKW >OMO58813 pep supercontig:CCACVL1_1.0:contig14130:8342:12550:-1 gene:CCACVL1_25342 transcript:OMO58813 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-box binding, MFMR MPSNGTPPHPYDAMYPHGRIYAHPSIPQGSYPFSPFVMPSPNGIVGASGNTPGIIEKDGKTSQLKEKLPIQRSKGSLNMITGKKNNPENIKECLCLTEEFRQLPKDHRAREDRLEFEELGFELRFCLLFCGLENVGKDAFSVKAEKKRQRERMAEIEKVKKRKEERALEKAQHEKEMALLGRERARAEFQDWEKKEEELLHFLLLELYPIAYKRRERQVQGIVLMDFYLKEFPWNIHHNPEFFSDPHDVDPSIFEHWDVFELEDLEMGTSRMLAG >OMO70291 pep supercontig:CCACVL1_1.0:contig11844:2573:2695:1 gene:CCACVL1_19001 transcript:OMO70291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QNGRNPGGRNVAECRLDTAPIQMYSRMLNVSKWLHVCISP >OMO70303 pep supercontig:CCACVL1_1.0:contig11844:75245:78772:-1 gene:CCACVL1_19013 transcript:OMO70303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEPSVTRWSFLGTNSNGALSNGVDWRPQKSLLPAFESAEMRALGESLCRDIVRGNPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSVVSKWRGDSEKLIKVLFDLARHHAPSTIFLDEIDAIISQRGGEGRSEHEASRRLKTELLIQMDGLTKSDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARKAMFEELLPEQPGEPLPYDTLVERSEGYSGSDIRLLCKEAAMQPLRRLMSVLEDRHDEVVPEEELPKVGPITAGDIETALKNTRPSAHLHAHRYEKFNADYGSQILQ >OMO70300 pep supercontig:CCACVL1_1.0:contig11844:65445:66974:1 gene:CCACVL1_19010 transcript:OMO70300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytomegalovirus MALSSAFRERLEQMEHSRNERLNLLQAEKELQASKYQVLESKLAHIRTMEQRCLLLDQKIAAQNFRISSIKSEIEDLDAKYTSSSQQLKVLKSEIEELEELEKERDEFYLSKSSEINEFKENVERFLKESRIQVQELRDYSNKLKSTFMELQGNNGYLNNSEIAEAEMKRAELLAMKESLSKSLASNYRVRAELQKQVDNMLIAQNQERLKPFQSRKKQRVLKSEIEELEELEKEKDEFYLLKSSEINKFKENVERFLKESRI >OMO70305 pep supercontig:CCACVL1_1.0:contig11844:90894:92367:1 gene:CCACVL1_19016 transcript:OMO70305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MVVQSQPALEHYSLIKTCRPSSVFTGIPVIDFKDPEAKSLIVKACEEYGFFKLVNHGIPMEFMTRLETEALNFFNIPQSEKDKAGPPDPFGYGSKRIGPNGDVGWIEYLLLNTNPQVTSLKTQAIFQENPEIFRSAVNNYIEAVKGMAFEVLELMAEGLNIEPRNALSKLIKDEKSDSCFRLNHYPACPEQLQALSGRNLIGFGEHTDPQIISVLRSNNTSGLQICLRDGTWVSVPPDQSSFFINVGDALQVLTNGRFRSVRHRVLADSLKSRVSMIFFGGPPLSEKIAPLPSLLKAKGEESLYKEFTWWEYKTSAYKSRLADHRLGLFEKTAANIN >OMO70296 pep supercontig:CCACVL1_1.0:contig11844:41175:41468:1 gene:CCACVL1_19006 transcript:OMO70296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITSTVIAIAGVILGWITIEIACKPCLEKGRQAIDRSLNPDYDPDDDINVAPANTDTDTIRDPLIPPPDVNPKPKPDIDIDLHATPSPSSSIKAV >OMO70294 pep supercontig:CCACVL1_1.0:contig11844:20396:24929:1 gene:CCACVL1_19004 transcript:OMO70294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAAAATAVAMVKFLKPNKAVTAHTATVWLQKLRVIRKDYARPLRT >OMO70297 pep supercontig:CCACVL1_1.0:contig11844:42446:49236:-1 gene:CCACVL1_19007 transcript:OMO70297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPSTKRSLSNANSNATATASATASSSSSSPHFQPPMKKAKSQAVACSLDPNKNGLHHPHHNQDDNDGVFDPSSMALDDDSKSDDSRAPAAANLSRKKATPPQPAKKLVIKLVKAKPTLPTNFEEETWAKLKSAINAIFLKQPDSCDLEKLYQAVNNLCLHKMGGSLYQRIEKECEEHISAALRSLVGQSPDLVVFLSLVEKCWQDLCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLAPEVEHKTVTGLLRMIESERLGEAVDRTLLNHLLKMFTALGIYSESFEKPFLECTSEFYAAEGMKYMQQSDVPDYLKHVEMRLHEEHERCLLYLDALTRKPLIATAERQLLERHIPAILDKGFMMLMDGHRIEDLQRMYSLFSRVNALESLRQALSSYIRRTGQGIVMDEEKDKDMVPSLLEFKASLDSIWEESFYKNEAFCNTIKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFPKGKKELAVSLFQTVVLMLFNDAQKLSFQDIKDSTGIEDKELRRTLQSLACGKVRVLQKLPKGRDVEDDDSFIFNEVFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >OMO70299 pep supercontig:CCACVL1_1.0:contig11844:59662:60849:1 gene:CCACVL1_19009 transcript:OMO70299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MIIPYVFLLFSLLCFSVNSLGINYGQVGNNLPPPDKVLELLSALKLTKARIYDTNPQILTTFANSNIELIVTIENEMLPVVIDPQQALQWVSTHIKPYFPATKITGIAVGNEIFTDDDTSLLGYLVPAIVSIHNALVQLGLDKYIQVSTPNSLAVLEESFPPSAGSFKSEVSGVMSQFLQFLSSTGSPFWINAYPYFAYKDSPNKIPLDYVLFNRNPGMIDPNTKLQYDNMLYAQVDAVVYAMFKLGYGGIEVRVSETGWPSKGDDDEIGATVQNAAVYNRNLLRRQMSNEGTPLRPNMRLEVYLFALFNEDMKPGPTSERNYGLFQPDGTMAYNVGLSALSTTSSTSSASISLTSSASKVKT >OMO70301 pep supercontig:CCACVL1_1.0:contig11844:67651:68139:1 gene:CCACVL1_19011 transcript:OMO70301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHAPIQIPRRALVISVNPSVVAMVMSAVFIVLLQLMFFYKIVAIIVATIGSMATGAYIHKIVETYRNIHYIFVQDKFDIMDEISIDGGERLRVDDIHIWSTTFKKVEETPEVQGRTGGGGGGGSGSGSEEQGGGGGSGRGSEEQGGGGEGEGQEIVTTTT >OMO70293 pep supercontig:CCACVL1_1.0:contig11844:15043:15819:1 gene:CCACVL1_19003 transcript:OMO70293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIWGGFLAIPQVIKAPTLVVD >OMO70298 pep supercontig:CCACVL1_1.0:contig11844:53055:53423:1 gene:CCACVL1_19008 transcript:OMO70298 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MAAAAASRHQENVKVVLIDTQYVETDPHSFKSVVQKLTGKDSCVAWIDEKSFGGNVGIIKKQKKDVAVQNRTTAAPNQIRSCGGAVVGSGGAMLSKGLSFKDLDRMILEAPPVDELRWLWAD >OMO70292 pep supercontig:CCACVL1_1.0:contig11844:10762:12582:-1 gene:CCACVL1_19002 transcript:OMO70292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MASSTGSWAKAQIFHPLSSSSSSTVDLGLSPKSISWNKPVPTLKRTNNINIIHSALHSPSVLHFPKQQPYQIPREETNVKPKTQTQNNQPQWNLFQKAAAMALDMAESALVSRERQHPLPKTADPRVQIAGNFAPVPEQPVKQSLPITGTIPSCINGVYLRNGANPLFEPVAGHHFFDGDGMVHAVTIDNGKASYACRFTETQRLLQEKEHGRPVFPKAIGELHGHSGIARLMLFYARGLFGLVDHKQGTGVANAGLVYFNNRLLAMSEDDLPYHVHINSSGDLETVGRYDFDEQLKSTMIAHPKVDPVSKELFALSYDVVQKPYLKYFRFSPEGKKSPDVEIPLPVPTMMHDFAITENFVVIPDQQVVFKLQEMITGGSPVIYDKNKKSRFGILSKNASDSDDIIWVECPDTFCFHLWNAWEEPETDEVVVIGSCMTPADSIFNECDESLKSVLSEIRLNLKTGESTRRPIISESEQVNLEAGMVNKNKLGRKTRYAYLAIAEPWPKVSGFAKVDLFTGEVKKHIYGDKRYGGEPFFLPRDENSESEEDDGYILAFVHDEKTWKSELQIVNAMTLELEASVKLPSRVPYGFHGTFVDAKALANQA >OMO70302 pep supercontig:CCACVL1_1.0:contig11844:73422:74772:1 gene:CCACVL1_19012 transcript:OMO70302 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGT1 protein MAEDLALDLEELRQLQSIAKRPRVLSLISSEISNLEKLQTSKEVAAGSQIPTPISTSVKAPINPALSYVTLASFSWDQDNDKVKIYVSLEGVDQEKIQTEFKPTSFDVKFHDVQGKNYRCAIPKLNKEIVPEKCKVLVKPTRVIITLFKASKGNWSDLHYKEDKLTPNLDKERDPMAGIMDLMKNMYEEGDEDMKRTIAKAWTDARSGKTADPLKGYR >OMO70295 pep supercontig:CCACVL1_1.0:contig11844:38670:39887:1 gene:CCACVL1_19005 transcript:OMO70295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVRLSSQQAPVHKLGDSQITLSPKFRLAVIQSSLLNPSSEFELSLQSEPLIPGLPDDVALNCLLRLPVESHAACRAVCKRWHLLLGNKERFFTRRKELGFKDPWLFVLAFHKCTGKIQWQVLDLTHFSWHTIPAMPCKDKVCPHGFRCVSLPHEGTLFVCGGMVSDVDCPLDSVLKYEVKKNRWTVMNNMNSARSFFASGVINGKIYVAGGNSADLFELDSAEVLDPTMGNWHPIANMGTNMASYDSAVLNGKLLVTEGWLWPFFVSPRGQVYDPSTNNWESMAVGLREGWTGSSVVVYGRLFVVSELERMKLKVYDQDSDSWDAIEGPPLPEQICKPFAVNACENRIYVVGRNLHVAVGYISSLNPPSSSEKKSNFSVRWHVIDAPGRLSDLTPSSSQVLYA >OMO70304 pep supercontig:CCACVL1_1.0:contig11844:83165:83251:1 gene:CCACVL1_19014 transcript:OMO70304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPSLPRGTRRTTEGVEYELDSMARGL >OMP08175 pep supercontig:CCACVL1_1.0:contig03944:7127:9132:-1 gene:CCACVL1_01151 transcript:OMP08175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQAVEGAAVTALRSVLLRVRQAAERAGTQTERVRVVAVSKTKPESLIRQVYDAGHRSFGENYVQEIVQKAPQLPDDIEWHYIGHLQSNKVKMLLGGVPNLAFFHGVDNEKIANHLDRAVSSLERNPLKVFVQVNTSGEISKSGIDPSACVRLAEYVKSRCPSLKFSGLMTIGMPDYTSTPENFRTLSNCRVEVCKALGMAEDECELSMGMSGDFEQAIEMGSTNVRIGSTIFGPRDYSRKLQN >OMP08174 pep supercontig:CCACVL1_1.0:contig03944:4494:6549:1 gene:CCACVL1_01150 transcript:OMP08174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor Cwf15/Cwc15 THYPICHSEIENSNSQPPGLVCTSVSDDEDDTEAALAELERMKKDKAEDKEKQEQEEQLKAEEESQLFKGNPLLDNNNNPTSFGVKRRWDDDVPFKNQARGETKLQKRSINDTMRNDFAKKFLQRHMK >OMP08176 pep supercontig:CCACVL1_1.0:contig03944:9563:11290:-1 gene:CCACVL1_01152 transcript:OMP08176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561, eukaryote MSKANTTKCKKLTTLQAQFAWNYYNKTFINILIGSKMVPEMGWLAWGVNPDLPQMVGTRAIIAINLPNGSAPVNTYNITIDTKLRCRLNPSDIEVRVLNKTAMYIPKTGFFTMFASLVLPESYNISSLNHVWQVGYLADGLEPKMHSTTLQNFDSKETLDLKTGRCQNIGHNRQHLRKIHGILNMMGWGTLLPIGVIIARYLREFPFKLDTWWFTLHYSCQALGYILGSIGWGLGLLLGHESKYYCFRPHRLLGICIFGFTTLQMLAFRLKPAREDEYRKHWNVYHHFLGYSLLVMIPVNIYQGIKILKPDNRTWKWAYNGILVLLGMVVLALEIYTWAKFLWKKYRKPAPATAPTPPPTSEPTPAPDNVTSSEMGKQKLHEETSK >OMO87296 pep supercontig:CCACVL1_1.0:contig09253:522:2632:-1 gene:CCACVL1_09139 transcript:OMO87296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIVAQLQDYFSDASFMVFNFREGDRRSQISDILSQYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYSGEQKTLEMVYKQAPKELLHLLTTLNPQPSQLRYLQYISRRNLGSDWPPSDTPLFLDCLILRVLPSFEERKGCRPVIRVYGQDPKTPADRSSKLLFSTSKTKKQVRHYPQEECALVKIDIHCRIQGDVVLECINLDEDLVREEMIFRVMFHTAFVRANILAFNRDEIDVLWDTRDQFPKDFRAEVLFTDPDAVVPPLTRVVANEDGNEIESASPEEFFEVEEIFSNAVDALEGKVDDENPTVHDNKPDSREHKDVWREDVDPPSFLDCASDDGNHKQDVKVDSSMDAVKDIAVDDVNYKLNKMDSDLNAVKDIAVDDGDIKVGSVVFTVDVLGDSETKEVTGDELDKLEQIEDKGDREENVPLKNSESKVSQQRLKNDVSKPKTEKALPTSKNRSGLGPKPASDSVLVKPKSKQLEPQGPPARQAKPNSVSRWIPPNKGSYTNSMHVSYPPSRYNSAPPVLSSSVASKESNSGANLKGSTGAVVTKDIASEQRSQKAEPTKPSDSPKEIPATPVAPTSPPGLLQPVSIPPSPSPPVSPLPRTAAA >OMP02429 pep supercontig:CCACVL1_1.0:contig06252:352:426:1 gene:CCACVL1_02802 transcript:OMP02429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALRFVISKTIQNSTNAPRKA >OMO51115 pep supercontig:CCACVL1_1.0:contig15969:6176:9301:-1 gene:CCACVL1_29997 transcript:OMO51115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGEDVNSQMPLAFRMQPNLQERMMFIHTATDELVELHTAHSNNEMENNLAVESTAHIDSFRESKRAEWIMDTGEDVNSQMPLAFRMQPNLQREDVILAS >OMO51114 pep supercontig:CCACVL1_1.0:contig15969:691:852:-1 gene:CCACVL1_29996 transcript:OMO51114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYQEMERFGMEKGYKLRAVDKRQILLQKPQRKADDVLYGEEKEGVPEKKPT >OMO51116 pep supercontig:CCACVL1_1.0:contig15969:20117:21388:-1 gene:CCACVL1_29999 transcript:OMO51116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MLTLNLIPASPVTFSKSTHQFHPLKRIHNPKTFAYSPVFVNKSSPESPKVCNFSKIHGYPFGKIRALCTKTTHFTDIPENPSRKPGSHVTRAAAASESNPEGEADAAQVAAKSKAKTLQLALVFGFWYFQNIVFNIYNKKALNVFPFPWLLASFQLFAGSIWMLVLWGLKLQPCPKITKPFIVALLGPALFHTIGHISACVSFSKVAVSFTHVIKSSEPVFSVVFSSFLGDTYPLKVWLSILPIVMGCSLAAITEVSFNFQGLWGALISNVGFVLRNIYSKKSLQNFREVNGLNLYGCISIISLLYLFPVAVIVEGSQWVQGYHQAIATVGKSSTFYLWVLLSGIFYHLYNQSSYQALDEISPLTFSVGNTMKRVVVIVSTVLVFRNPVRPLNALGSGIAILGTFLYSQATAKKKSAGGEKKS >OMP03638 pep supercontig:CCACVL1_1.0:contig06020:7866:9586:-1 gene:CCACVL1_02335 transcript:OMP03638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin MATIHVPNQVSFVEDAEVLRKACQGWGTDEKAIITILGHRNAAQRKQIRQAYEQLYQEDLIKRLESELSGDFERAVYRWILDPADRDAVLANVAIRKTCPDHHVIIEIACTRSPEELLAVRRAYQARYKHSLEEDVAVHTKGDLRKLLVALVTAFRYDGDEINARVANSEADILHDAIKEKAFNHEEVIRILSTRSKMQLLATFNRYRDNQGTSITKNLLGDSGDEFLVTLRTAVRCLNDLKKYFEKVLRNSINRVGTDEDALTRVIVSRAEKDLKEIKELYHKRNSVPLEQAVAKDTSGDYKTFLLTLLGKED >OMP06274 pep supercontig:CCACVL1_1.0:contig04994:11712:12119:1 gene:CCACVL1_01645 transcript:OMP06274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, AN1-type MSDHANLPPLCAKGCGFFGSLETKNLCSKCFKDFLKDTLASEFEAKAKVSTPSSHPVSGRDSSSDSAPPKFNNRCESCNKKVGLMGFSCRCGKVLCGVNRYPKEHSCNFDFKTFDRLALAKENPLIKGDKLESRI >OMO64490 pep supercontig:CCACVL1_1.0:contig12822:20196:21071:-1 gene:CCACVL1_21716 transcript:OMO64490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPEKINGRKKLPSIPANYISLAQLQERWIKERKQKEKEENKEPEPELGEKEEKKEPEPELGEKEDQVEERVNDKVDGRGSRKSGQFRRRDRENWKRVADRNPSEEEKAADSAVKESDGVEKEKNGDKLKNKKSKWKKWKKKNKEEKARAENGGEEVIGTAANAPLPASVECDKEKEEVIADEVHAPKQAGAEEEDVEARTEDRTMEIGRKFGGMSMKGEYRIVDHRRYGRQNGGFNHRGGNWDLNGSHHEKFGRRRELNQRNEGMVWVKKGEGSDGNVGGISRNSVDGN >OMO64489 pep supercontig:CCACVL1_1.0:contig12822:18063:18203:-1 gene:CCACVL1_21715 transcript:OMO64489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHANGRGKKKAKVKGVKKNSSPQIRSMLPALHFDLHYCKPTSTKP >OMO64491 pep supercontig:CCACVL1_1.0:contig12822:22060:22773:1 gene:CCACVL1_21717 transcript:OMO64491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSDSDSDSDNERDQYDQNQRRPQPQQATYAYAPPAAPPAQGQQQSPYNYPPPAQGYPQQQQPPPSYPPVGYPPQGAGAAPQPPQPQTVIYNQAPPQQQQQQKPPVGCLEGCLAAVCCCCILDLCFEEALD >OMO64488 pep supercontig:CCACVL1_1.0:contig12822:2381:4695:1 gene:CCACVL1_21714 transcript:OMO64488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPEKEKREAFGTHYRVKEKPEKIWSSS >OMP11637 pep supercontig:CCACVL1_1.0:contig01068:1763:2113:1 gene:CCACVL1_00371 transcript:OMP11637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARISKRLSPKDVEESLAFPLPRILSVEEGEIFQLQVRDKSDQAWTFSCSIQQHQEMGLALTVGWLEFVGAKGIKADDEVILQGEFMGSQDMKLEFLIEVKRKIKLFGKDMWGNLV >OMP11638 pep supercontig:CCACVL1_1.0:contig01068:5302:5700:1 gene:CCACVL1_00372 transcript:OMP11638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNGEQPRDRFSKFLTQVEAEKRIIVFPLNHVPGIFAFEEGRLTCMDVVSGDGAWSFLASFIKDEEIGNVVSISWPQFASEKGLKANDEVSFFKQAGDHGNEEEQGPWKKFRIQVRRQIRLFGQNIWGDLMV >OMP11639 pep supercontig:CCACVL1_1.0:contig01068:7116:7469:1 gene:CCACVL1_00373 transcript:OMP11639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFSKLITKTAVEKSLLIPTCYFNGLHLEEGEGQFFHVNVIDDQTGKNWTFPCFIQQNEGLESSDLSIGWLRFVRDKDVRVGDMIFLYQKSFDDNMKIEVKRKIRLLGQDIWAPLV >OMP11640 pep supercontig:CCACVL1_1.0:contig01068:8862:9248:1 gene:CCACVL1_00374 transcript:OMP11640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFTKLLTQTDIERRLSVPTHILNSIPFVDEDDRHADLQVKDSGGGLWTFRCICRDGAYAKPVFSKGWLEFVHAKKLKVDDKVVLFKDKDDNQGAVSYRIEVRREVLRLMGQDIWIDVEQLHLYGIG >OMO65271 pep supercontig:CCACVL1_1.0:contig12675:51895:52251:1 gene:CCACVL1_21568 transcript:OMO65271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNNSSSSSLSNSKSQTRMTCLCSPTTHPGSFRCSQHRNSIKRPGGSSRRRARVVPVSSNNWELSTVAKANSIKALLLQMIKPSSHDLRRRRNFQPKPSRFCLLNGNGNSFGIAVL >OMO65270 pep supercontig:CCACVL1_1.0:contig12675:43512:48054:1 gene:CCACVL1_21567 transcript:OMO65270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFRSNPYALFLLFLFSLFLFRHEVVSEASNVRTLHVPGQYKSFVFGERGHSEVIPWKKIEKRKLAEGSEGGNSSLILAEKRTYRKDPLNHFKKYTGGWNISNVHYWASVANTAAPFFVIAAAWFVIFALCLFIICIRHCCCRLDNYGYSRIAYALSLIFLILFTVAAIIGCVVLYTGQGKFHSSTTNTLDYAVNKADVTAESLRNLSDYLSAAKKITVDSVVLSPDIQKSIDDIEKKINSAATLLSTKTGDNKDKIQHGLDSMRLALIILAAVMLFLAFLGFLFSIFGLQCVVYTLVILGWILVAGTFILCGVFHLLHNVVGDACVAMDEWVQNPTAHTALDDIIPCVDNTTAQETLSQTKSVSYQLVNVVNGVLNTVANKNFPPQSKPLYYNQSGPLVPLLCNQFYTNLTDRQCAPGEVSLYNASEVWQKYTCQVSGSTCTTPGRLTPEFYTQMSAAVNVSYALYRYGPFLVDLQDCTFVRDTFTEVSHDYCPGLRRYSQWIYIGLVIVSAAVMLSLIFWVIYARERRHRVYTKQYDARSEGLDK >OMO65274 pep supercontig:CCACVL1_1.0:contig12675:85959:89454:1 gene:CCACVL1_21571 transcript:OMO65274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLLIRSHPILWPGVRTQRRSVRRPRADC >OMO65272 pep supercontig:CCACVL1_1.0:contig12675:55961:56293:1 gene:CCACVL1_21569 transcript:OMO65272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MASAFTKVFLVSIFLFSSIINLHIAIGAEYDVNGDDGWIVPKHNSDNQMYNKWERSNRFKVNDTIRFMYKKDSILV >OMO65273 pep supercontig:CCACVL1_1.0:contig12675:83376:84011:1 gene:CCACVL1_21570 transcript:OMO65273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSKRSSGSGPVLRSLSPSPSGRLSSHYASHSPSSSSSSAFAFSTSSFSSRSSTFFNQQRSVSPPRVNMYNHSQSAASVRFSLDNRPISPNRSITTVRRNTEALKNLQSKQPKRTCMCSPTSHPGSFRCSLHKSFNNSHAGSGYAPSNRLNARRSAMTNSLVRIGGVEGDLVKRALSALIRPSSHQQRRRTAFQPRPSRLSIMSKAEDL >OMO51204 pep supercontig:CCACVL1_1.0:contig15932:21866:23263:-1 gene:CCACVL1_29936 transcript:OMO51204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDEVEYRCFIGNLSWSTSDRGLKDAFEKFGNLLEAKVVLDRSSGRSRGFGFVTFDDKTAMEEAIEAMNGMDLDGRNITVDRAQPHQGSGRDYDGDRNRDRGRDRDRDRGRGYDSGRGSNGGECFKCGKPGHFARECPSEGARGGKYGGRGDRYSGGGGGGGANGGSGGRYGPDRNGDRFGGRSRDAGSRGGPGGDRYNRDRSGPYERRGTGGPRSG >OMO51203 pep supercontig:CCACVL1_1.0:contig15932:18306:19176:1 gene:CCACVL1_29935 transcript:OMO51203 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAFLGSASELLGGSRQQPEDFTVRPVWMDYPNNIAFKLHEMVSHQECIDSVSDFERFGILLSSCKMIILRSCLEFEPDAFRVLKRIHQIPIVPVGLLPPSMQRNELDRGDDQNWEGLKKWLDNKQEKSVFYVALGSEVNLSQELMHELAFGIEKSGLPFIWVVSQSDKMLGQ >OMO49807 pep supercontig:CCACVL1_1.0:contig16396:9426:10385:-1 gene:CCACVL1_30799 transcript:OMO49807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAITSLNRIEKEEGDRPRDVLTNGDFPLTKDETKGSKKIINVYECESEDFGECWERFKEACEDCPEYSFNDEGLLQYFHESLKILGKRVMGALCQGSYLGNNPREMIKRLESMANVASGGSMMDQTTKEARVLINDLARDIYSSKDVDSTNRGLEEKDTSQDPLRSPSETPNTQQSAILGTSTLKDDINAQPLKEETTEAIEIDKMDEHHKQYKKGHEDQLKTKTPFDRIVEERRNPSNSYWPIRITSNT >OMO49806 pep supercontig:CCACVL1_1.0:contig16396:6477:8125:1 gene:CCACVL1_30798 transcript:OMO49806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGENQEVNMGTMLQIIMQQIGTLSTRMDMLANAQQTREVQNQQRANQAQLLPPREVARRDPMESEGSSSSSKTHINLLSEEKGDFCLDSSGNGDDDEAAINDGDELASLSLVASRTLRAYVKGDVQRENLFHTRMYANGKPSSVVIDGESFTNIVSDYLVKELQLPTSRHPKPYSLGWLNDREEIRVNKQVLVTLSLGRYKEDVLCDVLPMRACHVLLGKPWQYDNKVKHDGETNKYSFKCGKVPITLVPLSLQKALKDKIKLRDDFAKLDAEFRAKEKSKSEPKIDDCFDDKTALVVKIVLGEKKEISKEVLKECMLATKSEIKNALHDESILILLLFRNTLMATNNLTGDIPSKIVFLLSDFVGIGVVLMQGGKSAAYFYEKIPSGLPSIRRIELHPNVVQNQFIESFPYVVRYKQDLKTNLLQGGGNDPPKAYHGGNDAPRTYHGLEDSNGEHGKDVQGLQGSMKMREDHGDIDDHVPSTKRMPFHPLKMPLGSMTRARAKKFKDALMGLV >OMO50837 pep supercontig:CCACVL1_1.0:contig16046:16945:17646:-1 gene:CCACVL1_30216 transcript:OMO50837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPSFPGISSLRLEPDSRKYSTASLATDKTGTHEDVFTMTFLVNVYAKCGAMENARKVFDTLPRKNVVAWTTLMTGYVHNDQPAAA >OMO50838 pep supercontig:CCACVL1_1.0:contig16046:18843:22753:-1 gene:CCACVL1_30217 transcript:OMO50838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase MAMAISLRRLSSSIKSPIRPLFSGSSINFMSTAAAEKEKARANWIHQLNAPLEEIDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFGLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYYQLEKSAVLFRPKLIVAGASAYARLYDYARIRKVCDKQKAIMLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKQGKEVMYDLEDKINQAVFPGLQGGPHNHTITGLAVALKQVQTPEYKAYQEQVLCNCSKFAQRLLENSYELVSGGTENHLVLVNLRNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFEKVADFFDAAVKLALKIKAETTGTKLKDFVATLQSDNYQSEIAKLRKEVEEYAKEFPTIGFEKESMKYKD >OMO92402 pep supercontig:CCACVL1_1.0:contig08222:15391:17580:-1 gene:CCACVL1_06857 transcript:OMO92402 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 MDMINVNIVNNGEKQPNELLQAQAHVWNHIFNFINSMCLKCAIDLGIPDIIQSHGEPMTVPQLVASLPNINSAKASNIYRLMRVLVHSGFFAKQNLGENGQEQGYGYVLTGASRLLLKGNPLSVTPFLKAMLDPALTEPWHLLGAWFQNDEPTPFGTAHGRPFWEYMGHDPKLNHLFNEAMASDARLVTTLLLDDKVKGAFDFEGLKSLVDVGGGTGTVAKAIADAFPHLDCIVFDLPHVVVGLEGNGNFKYVGGDMFEAIPDADAVLLKWILHDWNDEECVKILKHSKEAISRCGKEGKVIIIDMVLKEKNQKAEESSVETQLFFDMLMMVLVTGRERNEKEWAKLFFSAGFTHYKITPILGLRSLIELYP >OMO92403 pep supercontig:CCACVL1_1.0:contig08222:21128:22349:1 gene:CCACVL1_06858 transcript:OMO92403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYHVKAVISLIFFFDFVSIDGGKRKGTDSHYFSFRFLIATLTPPIGISPTSASLQTPIIHCISWF >OMO92401 pep supercontig:CCACVL1_1.0:contig08222:11912:12058:1 gene:CCACVL1_06856 transcript:OMO92401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEVHVSDPGAHFSATVSSPLSRTSLDVRSEEGVRGEQEPKFIRPAV >OMO78291 pep supercontig:CCACVL1_1.0:contig10605:36308:38923:1 gene:CCACVL1_14513 transcript:OMO78291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKISEQMRESGFGTAVTGSGPDINYGLSQCYGDLSLLDCVLCYAEARTVLPQCYPHNGGRIFLDGCFMRSENYSFFEEYTGPNDRAVCGNTSRKGSSFQQSAKQAVSNAVTAALGNDGYAKAQVAVSGTNETAYALVNCWRTLNESSCRACLENASASMLGCLPWSEGRALYTGCFMRYSDRDFLNKEPGNGISRGTIIIIVVSVVSSLVLLAVAVAIGVYIRKHRYIQKKRREYSDSLVTIAWKHFQLGTVEEIYDPNLMLQNYSNSRNVKDEVFRVVHIGLLCAQETPSLRPSMSKVLQMLTKKEEDLPAPTNPPFMDEKTMELNDTTENKGYPFNLADTDSIATVTHSSFYAR >OMO78293 pep supercontig:CCACVL1_1.0:contig10605:42333:44914:-1 gene:CCACVL1_14515 transcript:OMO78293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLIMKRLEGSNRPNEGGASSEEDLSGGEIDGQDAQPRSEDRDLKDRLLRRFGSHISTLKLEFSKKKKKGKLPREARQTLLDWWNVHYKWPYPTEADKIALAETTGLDQKQINNWFINQRKRHWKPSESMQFAVMDNLSGQFFTEE >OMO78286 pep supercontig:CCACVL1_1.0:contig10605:2642:4937:1 gene:CCACVL1_14508 transcript:OMO78286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MEVEGAKPESNPPKFTLPVDSEHKATEFRLFSVAAPHMRAFHLSWISFFSCFVSTFAAPPLLPIIRDNLNLTSTDIGNAGIAAVSGAVFARLAMGTACDLFGPRLASASLILLTTPAVYFTSIASSPVSFLLVRFFTGFSIATFVSTQFWMSSMFSAPVVGTANGVAGGWGNLGGGATQLIMPLVYELIRHSTGAVKFTAWRIAFFIPALFQTLSAFAVLIFGQDLPDGNFSRLQKSGDKAKDKFSNVFYHGVTNYRGWILALTYGYCFGVELAVDNIIAEYFYDRFNLKLHTAGIIAASFGLANIISRPGGGMLSDIVAKRFGMRGRLWTLWVVQTLGGVLCIILGRVGSLSGSIAVMIAFSLFVQAACGLTFGVVPFVSRRSLGVISGMTGGGGNVGAVVTQLIFFKGSRYSKEMGITLMGVMIICCTLPMCLIYFPQWGGMFCGPSSKKTEEDYYMSEWNPNEKEKGLHQASLKFADNSRSERGGRSKAAAIPTDESSPTQV >OMO78294 pep supercontig:CCACVL1_1.0:contig10605:49964:51021:-1 gene:CCACVL1_14516 transcript:OMO78294 gene_biotype:protein_coding transcript_biotype:protein_coding description:homeobox knotted-1-like protein MEEMYGFHSTGEYGHDKALMSPENLLLPSEYQAWLCSTGRVPMFGSDELISATASACISEAASITPEIQREEDMSTIIKAKIASHPSYPRLLEAYIDCQKVGAPPEIASILDEILKENDVNKRDIVPTCLGADPELDEFMVSFFLLLSS >OMO78297 pep supercontig:CCACVL1_1.0:contig10605:81084:84074:-1 gene:CCACVL1_14519 transcript:OMO78297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKKHVLSEPLSPRGKVFSRLGWGLVASLIVITGLLLNNSLKSPVVGSLFQGSYSVTNVTVSLRSSSSFPPPVSISNATEEELQKPLTTEKIVGTDHNVPKVAEKQEFLEEEGDIEFDLEDGVDVDIEGTQLGNSDEGSKNGNFGAQEANGRVVPENENLLGSNGDEVIMEKTQFGNSSEEVNNATLAVASEEETLLGSNGDEVTMENTQLGDSSDTANNATLAAENVNADNVIGGELVDNGNVGNLSSNAEVVAVTPSLGEEKATELFDQGNLVEGNRTINNPPSDELQVMSSFENCDFFDGRWVKDDRYKPYYRPGSCPYIDKDFNCQRNGRPDNGYIKWRWQPNGCSLPRLNGTDFLERLRGRRLVFVGDSLNRNMWESMVCILRRSVKKKKRVYEISGRSDFKKKGIYAFRFEDYNCSVDFVAAPFLVKESTFKRENGSIETLRLDLMDPATSMYHDAELMVFNTGHWWTHDKTSKGEDYYQEGDYVYPRLKVLKAFQKAITTWSKWVDNNIDSSRTQVFFRGYSVTHFWGGQWNSGGQCHQETEPIFNETYLTKYPSKMRAVEHVLQNTKTPVMYLNISRLTDYRKDAHPSIYRREYKTEEERQAATRVQDCSHWCLPGVPDTWNELLYASLLQAGRGVWRN >OMO78287 pep supercontig:CCACVL1_1.0:contig10605:14965:15078:-1 gene:CCACVL1_14509 transcript:OMO78287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFTVKEATLMLEKAQQSSTQTPSLIVQPYRTMKRS >OMO78295 pep supercontig:CCACVL1_1.0:contig10605:56576:74314:-1 gene:CCACVL1_14517 transcript:OMO78295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEITCAILTVIVHHKTSASLAVAKGGRAALVVYKKDKGFKG >OMO78296 pep supercontig:CCACVL1_1.0:contig10605:80148:80219:1 gene:CCACVL1_14518 transcript:OMO78296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLNSTIDIPPPRTEEDPLVAS >OMO78298 pep supercontig:CCACVL1_1.0:contig10605:88194:92648:-1 gene:CCACVL1_14520 transcript:OMO78298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKSLVLFRLSFLVLALMGISSATLSPTGINYEVVALMAIKSNLHDPYNVLENWDINSVDPCSWRMVTCTPDGYVSALGLPSQSLSGTLSPSIGNLSYLQSVLLQNNDISGPIPDTIGKLENLKTLDLSNNTFSGEIPSSLGDLKNLNYLRLNNNSLTGSCPDSLSNIGGLTLVDLSYNNLSGSLPKISARTFKVIGNPLICGPKAGNNCTAVFPEPLSLPPDGLKGQSDSGSKGHRVAVAFGVSFGAAFFIILCIGLLVWWRYRHNQQIFFDVNEQYDPEVCLGHLKRYTFKELRAATDHFNSKNILGRGGFGIVYKGCLNDGTLVAVKRLKDYNIAGGEIQFQTEVETISLAVHRNLLRLSGFCTTENERILVYPYMPNGSVASKLRDHIHGRPALDWTMRKRVALGTARGLVYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRAANQKGVMLDWVKKLHQEGKLSLLVDKDLKGNFDRIELEEMVQVALLCTQFNPLHRPRMSEVLRMLEGDGLAEKWEASQKIDTPRFRSYDNHPQRYSDFIEESSLVVEAMELSGPR >OMO78285 pep supercontig:CCACVL1_1.0:contig10605:660:1112:-1 gene:CCACVL1_14507 transcript:OMO78285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MAKQHISLSLAFLAAAIIIQCAAQATAGRELQEAAGATKLAPEVELILNELIGITERAKEKVSFLGGSQMVQACDKSYGSALESLNKALNLVKANGKKEDIKASIDAAYNAYGDCDMVFAESGRPSPFVPSNMNLKALVAVFMDVEKKQA >OMO78288 pep supercontig:CCACVL1_1.0:contig10605:15418:17581:1 gene:CCACVL1_14510 transcript:OMO78288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MEISSTITETQPQKFALPVDSEHKATEFRLFSAAAPHMRTFHLSWVSFFSCFVSTFAAPPLLPIIRDNLNLTATDIGNAGIASVSGAVFARIAMGTACDLFGPRLASSSLILLTAPAVYFTSIASSPVSFLLVRFFTGFSLASFVSTQFWMSSMFSAPVVGTANGVAAGWGNLGGGATQLIMPLVFAVIRDIGAVKYTAWRIAFFIPALFQTLAAFAILIFGQDLPDGNYQRLQKSGDKQKDQFSRVFYHGISNYRGWILALTYGYCFGVELTIDNIIAEYFYDRFNLKLHTAGIIAASFGLANLFSRPAGGMISDMMAKRFGMRGRLWALWTVQTLGGVFCIILGKVGSLGVSIAVMLIFSVFVQAACGLTFGVVPFVSRRSLGVISGMTGGGGNVGAVLTQLIFFKGSKYSKETGITLMGVMIICCTLPILLIYFPQWGGMFCGPSSEKVATEEDYYLSEWSSKEKEKGLHEASLKFADNSRSERGRRVHSAAMSSSEATPTNV >OMO78290 pep supercontig:CCACVL1_1.0:contig10605:28137:30951:1 gene:CCACVL1_14512 transcript:OMO78290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFLFFLILLFSFSAKLCLSDPRATEAALVCKNNRTAAPADRQTFVSNFLAAMDAVTPLIVRQKYAAVVNGTGNTKVYAYGECMKDLDQIDCNICFAQCKTQVLRCLPFQLATRGGRLFYDGCYIRYDEYNFFNESLGVGDRTVCATKDVASSINQTVFEANVKQLVRNLSVEAPKNDNFFVGSVSRGNVSVYGLAQCWELVNSSACENCLANAVLNISSCPPKEEGRVLNAGCYLRYSTEKFYYNSTASPPIRNRGNRKLAIILATTFSSVALTLIIATALFCLNKKLEKKKRERKQLGALSPIVNKSKLNFSYESLERATNYFHESNKLGQGGSGSVYKGTLPNGKVVAIKRLFFNTRQWVDHFFNEVNLISGIHHKNLVKLLGCSITGPESLLVYEYVPNQSLHDYLFVKKDVEPLRWEARYKIVLGTAEGLAYLHEESKLRIIHRDIKLSNVLLDEDLTPKIADFGLARLFPEDKTHISTGIAGTLGYMAPEYVVRGQLTEKADVYSFGVLVTEVISGKRNKFCSQDSVFILHKVWNHYDADKLCDAVDPAIEDNFREKASRLLQIGLLCVQASAETRPSMSTVVKWLTEESHEIPEPTQPPFLNPSSSLEGSQSISVAPYNSQPESYSQSSGSGNSLTQSIIEPR >OMO78289 pep supercontig:CCACVL1_1.0:contig10605:22344:24919:-1 gene:CCACVL1_14511 transcript:OMO78289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MKRSRRLCILAFMAIIFLIFITSSTTSVEAKKHSVKKSKPHKSKHQKDKKGNPSPDSSSAPAAPLPNYPTGSKIFDILSFGAKGDGVSDDSKALEAAWKAACKVPGATLEIPSEFKFLIMPITLQGPCMPHLVFQIDGALLAPPKVSSWAKFSLFQWINFKWVHNFTIQGTGFVDGQASEWWTPSDDIYYIQKKSKHIPSWKPTALRFYSSYNVTVQDIKILNSPQCHLKFDNSGGITVNNITISSPESSPNTDGIHLQNTRDVEIQHSNIACGDDCVSIQTGCSNVHIHHINCGPGHGISLGSLGKDKTVACVSDIVVEKISLLNTMAGVRIKTWQGGVGSVKNVSFSNIQVSDVKVPIIIDQYYCDKSVCKNQTGAVAISGVTYDQIIGTYTAQPVHLACSNAIPCTDVDLTNIQLKPSSGYGGLGQALCLNSYGKSLAPLLPSGIDSCLRRDGGAVKRIARSREHVCF >OMO78292 pep supercontig:CCACVL1_1.0:contig10605:39623:41791:-1 gene:CCACVL1_14514 transcript:OMO78292 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiE/COQ5 methyltransferase MASLQLSVPNIVGSRFKRRPVRCAVERQDLFNRIAPVYDNLNDLLSLGQHRIWKRMAVSWSGAKPGDSVLDLCCGSGDLTFLMSEKVGSYGRVKGLDFSKEQLSIASSRQYFLSKACYNNIEWVEGDALDLPFSNGYFDAITMGYGLRNVVDKRQAMREMFRVLKPGSRVSILDFNKTTQLLTAMFQEWMIDNVVVPTATVYGLAKEYQYLKTSIKEFLTGEELEKLALEVGFSKARHYEIGGGLMGNLVATR >OMO59423 pep supercontig:CCACVL1_1.0:contig13973:20751:21068:1 gene:CCACVL1_24835 transcript:OMO59423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRPPSSYGAVYVPPHHRLRSPNGADFSPSVIRSKVCDNENAAVVSTRGTAAPVHYSQQQPKSLQSHHRQQQRTCNGDNPQGNSAPDDGISEDGSDRELDLSLQS >OMP04988 pep supercontig:CCACVL1_1.0:contig05553:7916:8179:-1 gene:CCACVL1_02079 transcript:OMP04988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNKQKKSFSFFSFFKAKKGRKDQDYYSYSAGDDVWGTRKVYPSDEDSTFGRGVVAEPGIDKKASAFIANFHATRVSEAIHHQQAS >OMP11629 pep supercontig:CCACVL1_1.0:contig01084:10232:10399:1 gene:CCACVL1_00383 transcript:OMP11629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPGTLGVKIRCPDPEANSRAHPPPPHVLPILTLNLAGVEQASKFAVVGSTKSNT >OMP11628 pep supercontig:CCACVL1_1.0:contig01084:371:463:1 gene:CCACVL1_00382 transcript:OMP11628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVENSGNKRKTVTGTAFSRESKFPAPTR >OMO99631 pep supercontig:CCACVL1_1.0:contig06844:18404:23651:-1 gene:CCACVL1_03700 transcript:OMO99631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPPEKTVRFQDWKSEKRTEGHYSNSRINSGRIRFAINSFSEKFQRGVESGSERIKGMRKSLKSSSFNRVVAKGFGSSTKVLDPQGSFLQRWNKIFVLSCIIAVSIDPLFFYIPIINDDKKCLALDEKMETTASVLRSFTDIFYIIHIIFQFRTGFIAPSSRVFGRGVLVEDSWEIAKRYLQSYFLIDILAVLPLPQEDFYLLLFGMNFPLQSLILRLFFLFFPLIFKVPMFEKMDEQLLDAMCDCLKPVLYTEESYIVREGDPVDEMLFIMRGKLLTMTTNGGRTGFFNSEYLGAGDFCGEELLTWALDPHSSSNLPISTRTVRALTEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLREEENRLQDALANAGGSSPSLGATIYASRFAANALRALRRNKTRKARVAERVPPILLQKPAEPDFTAEEQ >OMO99630 pep supercontig:CCACVL1_1.0:contig06844:13159:17640:-1 gene:CCACVL1_03699 transcript:OMO99630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNACVEEWLSQRHKIKKKLRSGQVLLGAGWAFHGTIFLLSSVISVSLDPLFFYLPVVNEDKKCLFMDGKLGTVAIILRSVMDGLCIISVACRVFVPYKNKRGNPTTDAWSMARSYFPSSFLLVEILAVLPLPQILVLVIIPIVRDVKFLDAMNSLAIIVICQSIPRILRIYAPFKRDGRTSDKLAEAAWAKAACNLLLYMLAGHALGALWYFLAIERETACWLRACANTSRCVNRSFYCNNHLGDHTFINESCPISNPDPKLFDFGIYLEALQSGVSQMVNFPQKYFHCFHWGLQNLSSLGQNLSTSTSIGEDCFAVFITMSGLLLFLYLIGNVQVHTYVPELVKLSLFVEQIYLQLKTMKSEELRLKTQEIEQWKLFKQLPDVLQNKIKKYQKYKWQESRGVDVEKLLYNLPKDLRIEIKRHLFFDLVRRVPLFYEMDHAGLLESMCDRLKPVLCTEMSYIVREGDAVEQMLFITQGKLVIMRKNHLRAGFFNSGYLGAGDFCGEELLTWALDPHPHSSSNLPISTTNLRALTEVEAYALMAEDLKFVASQFRRLHGKRMLHIFRFYSQEWRIWAAFYIQAAWRRYINKKLEKSLREEENRLQDALANAGGSGSSLSFGATIYAARFAANALHARKARVAERLPPMLPQKPAEPDVTDEEF >OMO99629 pep supercontig:CCACVL1_1.0:contig06844:2950:7983:1 gene:CCACVL1_03698 transcript:OMO99629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLAVLPPLLYKHEVLWQQTLGMNFDAIRAAILE >OMP05512 pep supercontig:CCACVL1_1.0:contig05340:3852:3944:1 gene:CCACVL1_01874 transcript:OMP05512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDRAVRGGGKKKKQHRRWRFHGVWTSSG >OMO92975 pep supercontig:CCACVL1_1.0:contig08148:28346:30754:1 gene:CCACVL1_06700 transcript:OMO92975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPGEAGALRLHVYNPLQLGLGVHGLGRIGFGL >OMO87271 pep supercontig:CCACVL1_1.0:contig09260:8961:12625:-1 gene:CCACVL1_09155 transcript:OMO87271 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma-70 MMATTAVIGLSTGKRLLSSSFSYSDIIEKLSYANDYGCSHHPTSSTKSLIVAKKSSNCSQNLPSSNRRTQSIKALKEHVDSATVISTAEPWFQGSNDLDEENYDLDYSVDALLLLQKSMLEKQWTLSFERTVFTESPGRKTHKKIPVTCSGVSARQRRLNTKRKLLRQNKSVIQPSAKQLRSLISPELFQSRLNGYVKGVVSEELLSHAEVVRLSKKIKVGLSLEDHRSRLTERLGCEPSDEQLATSLKITRAELRSKVIECSLAREKLAMSNVRLVMSIAQRYDNMGAEMSDLIQGGLIGLLRGIEKFDSSKGYKISTYVYWWIRQGVSRALVENSRTLRLPTHLHERLGLIRNAKNRLEEKGITPTIDRIAESLNMSQKKVRNATEAVSKVFSLDRDAFPSLNGLPGETHHSYIPDNHVENNPWHGVDEWALKDEVNRLITITLGEREREIIRLYYGLDKGSLTWEDISKRIGLSRERVRQVGLVALEKLKHAARKKKMEAMLVKQ >OMO87272 pep supercontig:CCACVL1_1.0:contig09260:15420:25940:-1 gene:CCACVL1_09156 transcript:OMO87272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVGPSNQGETQGGSEEGFRVQWQPRQLVFGAYTPQDEADRKLRVVVRRPLVARLTKDIIETYQICNPQFKYSEELNPKRFLTSPSVGVLNDGYDNVNSDLILTVNFVLINLETQRRYIVKDVLGHGTFGQVAKCWVPETGSFVAVKIIKNQPAYYQQALVEVSILTTLNKKYDPEDKHHIVRIYDYFVYQRHLCICFELLDTNLYELIKINHFRGLSLSIVQLFSKQILRGLALLKDAGIIHCDLKPENILLCTSVKPAEIKIIDFGSACMEDRTVYSYIQSRYYRSPEVLLGYQYTTAIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMIEILGAQPPDYVLKEAKNTSKFFKCIGSIHNVENGELSIGSRSTYQALTEEEYEARELKKPLIGKEYFNHKNLEAIVTNYPYRKNLPKEDIIKESQIRLALIDFLRGLVEFDPAKRWSPFQASKHPFVTGEPFTSPYRPPPETPRLPVAQNIKVDHHPGGGHWFAAGLSPNIPNRNRVSYHNSPHFPMVPYGHANSYGSIGSHGSYNDNAGLGSSYGSYGDSSNMFAYYSPVGPSAMNMHPQAGASMLGSSPDARRRIMQYSHGNGLGVSPSAGNFAPLPLGTSPSQFTPPSSYSQVSGGSPGHYGPTSPARNSCQGSPLSKMAAAGQFNRRKSWGYSGSSQSLEGPSSSNWQGPVTDGTTSSQAEGNSQVASGLPSHIHSNSNTANWKQQRGSIGMVTGYSNIQNMPNSIGSNVQLQHSTGVSQDKSESSMSLPDPGDWDPNYSDELLLQEDGSDESCVTTEFSRGLHIGSADSSVGVGRFNHVSSTSSNLSIQSRQNGPIGFSHGEVGSPPSANEPHAGYPRFMSKHSHFMPHITQNSPSRLGQQMAPRYNHGRSTGARGSEWNHMKVQLPPSNFNSGGPRSPGNSSFSNGMPWGRRVNHPVSNIPPASRGRKDYGRIA >OMO87273 pep supercontig:CCACVL1_1.0:contig09260:34952:38178:-1 gene:CCACVL1_09157 transcript:OMO87273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGCRHGDGNQNRPEKLDFALINLDPTRLGHLVMVGEFTNGSSCICKVSNLRPT >OMO72663 pep supercontig:CCACVL1_1.0:contig11424:22409:27878:1 gene:CCACVL1_17672 transcript:OMO72663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANTVENLPPPLDATAEQPPLFDGTTRLYTCYTCPFAQRVWITRNYKGLQDKIKLVPLNLQNRPAWYKEKVYPENKVPALEHNGKIIGESLDLIKYVDSNFERPSLLPEDPEKKKFFEELLSYLDQFLRIVYTSFMGSDHPKEAVDPVFDHLENALHKFDGPFFLGEFSLADIACIPFIERFQIFLSEVFQYDIVAGRPKLAAWIEEMNKLDAYKQTKLTDLKEFVAYYKQRFLLRALKTLFSLLCFSPFAFKLSFPSKTETKISKSKATMSDYLNLPQELLVDILVRLPIEDVVKSTAVCKSWNSLIKTPTFTSAHLQKTISFNNSTNTHHLLFRICPKESIFQERVEEKYSLRFDNEDVDEYKQLNLPRNVQSFMGCFRVAGSINGLVCLDDDMRSYMDTFFLWNPTIKKVVRVPEPGVTFDSHGGFDACTGFGFDSKTNDYKLLRFVELVDYAAPGKEPMVEAEIYSLNANCWTSITHIAPKYGLVLRTPKTYGNCFVNGAIHMLVGDKDRNLILAFDVSEEVFREIPLPECLSNYYLRLKCTELLTYGQSIAATTWDWDCRENHLWVMKEYGVAMSWTKVFTEVAESVPRVLFFRKEEGQVFVTVQGGWIASVDIKKKNKQYAEVFGVRAVDSSIGYPVIEGFVESLVLLDKVDACWDLDACGEDPQVDTKSVDDDSDEKGAPEVDESNEDPEVDEKGAPEVDESNEKGDPEVDASSVDDDLDEYFSADDDSNEHMSATSTSLQNVVDESAEGIPEENEDDSSYYEPNDASIESERAL >OMO72662 pep supercontig:CCACVL1_1.0:contig11424:18175:21038:1 gene:CCACVL1_17671 transcript:OMO72662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integral membrane protein TerC MGLASAVHHGGGIRIALNYELRIAPISRPVLPPKWTRLRFAHPRLSTAYSVYHNRRSQCFPVVFSKGMEQEDQLSTDSEEERISSHSHSDSKEKLQKSEAYSSSVKTVAFWVATAVAFGVGVGVKEGVGRASEFFAGYILEQSLSVDNLFVFVLIFKYFKVPHAYQSRVLSYGIAGAVIFRLSLILLGTATLQRFEAVNLLLAAVLLFSSFKLFSDEEDDSDLSDNFIVKTCQRFIPVTGLKHFGQATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFSSNLFAILGLRSLYTLISEGLADLEYLQPSIAVVLGFIGCKMILDFFGFHISTEASLGFVATSLGAGVLLSLAKKAD >OMO72659 pep supercontig:CCACVL1_1.0:contig11424:1501:2462:1 gene:CCACVL1_17668 transcript:OMO72659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDEGLRTVECLRGRLLAERQASKSAKEDAQVMGNKLIELENKLREETKLRTKAEKRLKFLRKKLESLNIILPSLEESEQSSSSENCAVSCVSSTSSTSVSKETEESNSKSQNAVPEISKEMEENASSTCTSIKEFEIPLNIEENSNSPKSAKSDTTKDSSHADPKIDDTSSSSLKASTMENENEDDDYVDDSLALVPMEQPETKQVAPEIKIPSKSIGEVLDTLKHARESIQSSMELRRRQMIRVGPS >OMO72660 pep supercontig:CCACVL1_1.0:contig11424:6037:7648:1 gene:CCACVL1_17669 transcript:OMO72660 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MAVIQANNLLEDQSQLESGPLISTNSGPYGTFIGVYDGHGGPEASRFINNNLFSNLKSFASEHQEVSINVLRKAFAATEEDFLSLVRKQWISKPQMASVGSCCLTGLICNGMLYIANVGDSRVVLGRRTENGSKEMMALQLSAEHNASLDSVRDELRSLHPNDPQIVVMRHKVWRVKGLIQISRSIGDAYLKKAEFNKEPLQPKFRLPESFRKPILSAEPSLLVHKLHPDDQFLIFASDGLWEHISNYEAVKIVQSSPRNGIARRLVKAALKEAAKKREMRYSDLKKIERGVRRHFHDDITVIVVFLDHCLINGNPSFTFPVSIKGGGGLPAAAAVW >OMO72661 pep supercontig:CCACVL1_1.0:contig11424:12803:14373:-1 gene:CCACVL1_17670 transcript:OMO72661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTVEKSAATATGKTTPASVAASAANPMAETKPKNVESAPPSTAHSDPPTSAAPSASETSVKDSEGSKTSGTTVGSVSGDSSGPVNDIQKKMRRAERFGVPVQLSEQEKRNSRAERFGTAPSSNGSEASKQSEDLKRKARAERFGLAVPPTASDEEAKKKARLARFAPPSKTDAVEEEKRKARAIRFSNPQSSSLPEVNGKGNIEAEAPIAGKAGGVA >OMO59814 pep supercontig:CCACVL1_1.0:contig13872:5395:6391:-1 gene:CCACVL1_24599 transcript:OMO59814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAFVAQSKNRSAVAYNEESLDNVRAN >OMO59940 pep supercontig:CCACVL1_1.0:contig13837:3379:12477:1 gene:CCACVL1_24520 transcript:OMO59940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAYLTYRWEVSTGGDGANGGTVGGGGIKVIPVADM >OMO76636 pep supercontig:CCACVL1_1.0:contig10885:4522:5478:1 gene:CCACVL1_15525 transcript:OMO76636 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MLPYSDDLGTLPPGFVFQPTDQQILKYYVRPAIETGYLPNFMTYCDLYTKEPWNLFDKNFAGYFWIYTPLKNKTIVCGQHMEKKPRRKKKKKEEINCEYIHRRAGCGYWDGRTAAKEIRDYNGKLLGRQKYFTYNNTDAKGLSGNNGKWVMHEYSLPEAEGLNNAALCKIQCKPSVKPKKRQGKGKTQRMSVNPTPQKKGRVDDHNEETKCNGDPNEFVRTILLENPSPPHVDEIEPQSHQDSLGQVADFTDTNPNDLETFGKPVDWDNLQVIGPMDTVGSDECSCSGGLVCLAGFDELDIIPSGFDDLDIEIESYGF >OMO76637 pep supercontig:CCACVL1_1.0:contig10885:7721:9176:-1 gene:CCACVL1_15526 transcript:OMO76637 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MLTPYQALSQGQTLISPGNVFELGFVSFNNYTLYVGIYYKNIVPRRVLWVANRENPITNSSSSSTTLMIADDGNLKLTNGMHGSDVVSSTKVPIQSNNNSVAVLLDNGNLVLKDNSSGEILWESFSHPGDTFLPGMRIGIMNVQTGDETFLVASKSSDDPSPGSFVGRVVTQSAPIIETFIWNGNDTHWRSGQWDGLRFVGIPQMDSVYFNGLSFISNSQDGSQYFTFDSNSLIRFWYLSPQGPLQLINWDEGSKEFVVELQVPDNQCDIYEACGPNGICNKDKSPICQCLEGFEPKSNQEWSKGNWTDGCVRRVELVCDKNNSSSSDGFLKLSGVKLPDRSQYQKPQENTGDACGFWCLNNCSCMAYSYVTGIGCIIWSGDLIDVQSFSSTGEDLFIRVARSEIGTIHIYARKKKNDIKSKVILPVVAASIAFFILCTLLAYGFFRWRVANQTGTD >OMO89475 pep supercontig:CCACVL1_1.0:contig08706:34975:36880:-1 gene:CCACVL1_07810 transcript:OMO89475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKEGDKMASMDIIPAARHKDLDQSEEESANNDKNGSGPWLLFISENGYGKRVPLSSFRQLPLNRVGLIGYKFSSEDRLAAVFVVGFSVAEDGESDEQVVLVSQSGTINRIKVRDISIQSRYARGVILMRLEYAGKIQSASLISASAYEVPEMQLEEAAAEDISVASS >OMO94171 pep supercontig:CCACVL1_1.0:contig07950:31337:34176:-1 gene:CCACVL1_06132 transcript:OMO94171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STFTKDFDLLEEYFAKLQVENCSFGVKNLIDLGLNFDKPSKQWKNKHRRTKAQGPLLKYKEEKEEEDDDGTPLGPEPISTYPALSMEEFQDQMFARMDDQDTKLSRMEAILGRIEHHLFGKDS >OMO94170 pep supercontig:CCACVL1_1.0:contig07950:11270:28714:1 gene:CCACVL1_06131 transcript:OMO94170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLRLDPARTRPCTDFQFFPPSVAAILSHSSLLHCHQDSRHQSVTQPNTPTLVTAALSSLGEDRLHLSLDLVFGGVDLVKKAGIVLRRLRGSTSEFWSLTTVDFYSDMVVRRQIRLGGDSEKEKEEGGNLGPKKKP >OMO94169 pep supercontig:CCACVL1_1.0:contig07950:3849:3944:-1 gene:CCACVL1_06129 transcript:OMO94169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETRKYYRALRNQREEKGSKQLLTTESKTA >OMO87598 pep supercontig:CCACVL1_1.0:contig09217:76:496:1 gene:CCACVL1_08889 transcript:OMO87598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLTEENTGTERVIHKARKITFADEAGGKLCHVKVFKNDINGTASSVECGESFHFSSTRNQRLTTVESKRKGNGEEIQLQAFNFGRRG >OMO87606 pep supercontig:CCACVL1_1.0:contig09217:37089:42350:-1 gene:CCACVL1_08897 transcript:OMO87606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MHRHDKYGDTDDSDTDQLRTTSASNLKIQQAESQSFIGINYGQVADNLPSPAATAKLLQSTAIQKVRLYGSDPAIIKALANTGIGIVIGTANGDIPALASDPNFAKNWVNANVVAYYPSSKIILINVGNESEPPSAGLFDPSFADVLKGLLAFNNATGSPFAVNPYPYFAYRSDPRPETLAFCLFQPNSGRFDANTKINYMNMFDAQVDSVRSALNAMGFKNVEIVVAETGWPYKGDSDEVGPSIENAKAYNGNLIAHLRSMAGTPLMPGKSVDTYLFAIYDEDLKPGPSSERSFGIFKSDLTMTYDIGLSKSSQTPSVTPAMPKTPATPSAQPKKAAWCVPKAGVSDAQLQASLDYACGQGIDCSPIQPGGACFEPNTLASHAAYAMNLFYQSSDRSALDCDFSQTAMLSSNNPSSKPSVPIPSPTISTSHGREALLKVIRSWASKKFMTGCVILLPMAITFYVTWGFVHFVDGFFSPIYDQLGINVFGLGFATSITFIFLVGVFMSSWWGASVLTLGEWFIKKMPLVSYIYAASKQISTAISPDQNSNAFKEVAIVRHPRMGQYMFGFITSTVVLQKGVGEEELCCVYIPTNHLYLGDIFLFSSRDILRPNLSVREGIEIVISGGMSVPKVLTTVDAPGLPASRIVNFEASV >OMO87600 pep supercontig:CCACVL1_1.0:contig09217:7327:8800:1 gene:CCACVL1_08891 transcript:OMO87600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIRKLCPNLDNQDGLETVLEVPIPEEMYTKMGSNAALRWHNMRALMKAQSAVDKSTHLQAKSDNEFLALLKLVGAPLIPFQVHLENHTFTTPMNSKDCSIEASTAKYIVQQYIAATGGHLALSAVTSMYAVGQVKMLGSDMLREDIDHIVNARGNCEVGGFVLWQKNPDLWYLELVVSGFKVSAGSDGKIAWNQSSSQPGHANRGPPRPLRRFFQGLDPRCTASLFLDAVCVGEETIENEDCFLLKLDAAANALKVQSSAQTEIIHHTIWGFFSQRTGLLVKFEDKKLVRMKPTNGNDSVFWETGIESTVKDYRYIDGIKIAHSGKTVTTLYRYGKSHNHKRRIEETWRIDEVDFNICGLSNETFLPPADLKREQESGGDNHQ >OMO87607 pep supercontig:CCACVL1_1.0:contig09217:44775:49371:-1 gene:CCACVL1_08898 transcript:OMO87607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSDFAQKLLDDLRLRKERMSAASHSSKGTNPTIADAYAYSKRTYKSSGEPKSLKTTSFRAGSTQNRPSGGSKSVNTGQVSSQIVPFGRGQKTEQMGDLSMALAFALENGGKLRTTDSSGNSSIFSFLHNISRRKMNFGKMERSNSIVRRHQSSSSQLPALSHIHIEEISRGAQKLNQILRACSNGLNFDRYSIEIGRELLKGAMDLEESLRLLVNLQEASDYLVTPQRKSRITLLEEDEDDDESIVNIADQKQLDRPRFSFDRPPRNYDDIQEVARNDLRMRLAALTYVSDVTSSRHEKKVLPASNSHSHRRSASYTPELKTHSAFSEQNHSSSFHSKQEKSRIPNVIAKLMGLEELPGNADSKVTVQKESGKQKVEGKITKKPAQESNKKAEQRKKDTANLVPSHVKQKSMLASKIPLVQDTVTSQAGKTVTTRNGNTKVAVHEKLLPRKDLEDLKPVTSSRATIKIDKQQSENTQLNHNSGSRKEVQERERKQDIIKHRDQMGTERSVSREPVSKDEMQQMRPYMQKRSEAVLTLQEKPEYSESMVHRENRYSNKLLGNQQKLQKNHGFQQVHTLQKSELQEKRHQIEDREQQSAKQKLQGRKEKGNEPISSNFSKPMSGATNLPKKQPQMNQAASSRKGSPEHIDVTQFNGLPDDRHHETHARDRSSTNLNFKMKDSISRNFSQHNTRADLESELAKARILFAVDEKPVQIQTTMKAKSAKGHKLEIPRKINEVMTKKVGSVYNLPKTLKHHSSILQEGKQTRSEKLVISRQADQMNDGRFEEVEEQIRLSNKSVENLQPSSVAQELQKEAQDNSIFCSPLEAECQGLNESEALAPKDSCENKVQMDTEVQQAQEPDFGRAEECVSTNSASNSLDGTHEESTEIPYTPQSENQTTYTSDMPEPLTESENHLKQILMKSQLFMNTAEALFKLDIPISILHANGQDYHDQESKIILDCGYEVMKRKGRRQELSVHPFLKVSITSKKSKSLDDLVKQMCKDFDKLKLYGRDGREDSPFEDYLPKMLEADVYNKEPDINCMWDLGWNNMMFTFVEKDEVIKDVEKYVLNGLLDEITRDLCTCITVSA >OMO87602 pep supercontig:CCACVL1_1.0:contig09217:15598:16600:1 gene:CCACVL1_08893 transcript:OMO87602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQDSPAATYSISIPSQQQTTVTVKKDSSGVTGFFGKSGYKIWVLAAILLLAFWSMFTGSVSLKWSSGDLTRLSDDSDFTIHDDLDVLELEEREKVVRKMWDIYTHSANVRLPKFWLEAFEAAYEYLSSDVPGVRDTAVSEIAKFSMRSLYFDPPPAQSQV >OMO87605 pep supercontig:CCACVL1_1.0:contig09217:29254:35228:-1 gene:CCACVL1_08896 transcript:OMO87605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucose isomerase (PGI) MASKTLICDAEPWKDLKAHVEDIKKTHLRDLMNDQERCQSMMVEFDGMLLDYSRQRATLATMDKLYKLAEGASLKNKINRMYSGEHINSTENRSVLHVALRAPRDAVICSDGKNVVPDVWNVLDKIKDFSEKVRSGSWVGATGKPLKDVIAIGIGGSFLGPLFVHTALQTDPEAVESSKGRQLRFLANVDPIDVARNITGLNPETTLVVVVSKTFTTAETMLNARTLREWISSALGPSAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPTVEKFLKGASSIDQHFQSAPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGVPLPYEAGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGIVKSQQPVYLKGEVVSNHDELMSNFFAQPDALAYGKTAEQLLKENVAEHLIPHKTFSGNRPSLSLLLPSLNAYNIGQLLASYEHRIAVEGFVWGINSFDQWGVELGKSLATQVRKQLHASRTKGEPVEGFNFSTTTLLKKYLEASSDIPADPPTLLPRI >OMO87610 pep supercontig:CCACVL1_1.0:contig09217:56829:61929:1 gene:CCACVL1_08901 transcript:OMO87610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDKVALLRSDIQFYVPGGSSAFPCRWSNSHHDQENESLKQESKIKELRAALGPLSGRSSKYCTDACLRRYLEARNWNVDKSKKMLVESLKWRSSYKPEEIRWHEVAVEGETGKVYRANFHDRHGRTVLILRPGKQNTTSLDNQLRHLIYLIENAILNLPEGQEQMAWLIDFTGWTLSTSVPIKTARDTINVLQNHYPERLAMAFLYNPPRIFEAFWKIVKYFLDAKTFQKVKFVYPKNKDSVELMKSYFDEENLPTEFGGKAILDYNHEEFSKQMTQDDTKSANLWGFDDKLQTLGNGHSGPEVAPEPLCLAPPAS >OMO87601 pep supercontig:CCACVL1_1.0:contig09217:9412:11556:1 gene:CCACVL1_08892 transcript:OMO87601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTKKLSPPTNFDFDYDDEAFFQLQEMMWGNHKKRPKTVEPLDEDRLKPILEVPISEDMYTGGGMDNNITETCWRNLRHFHDRRMIISYEDLLNSDQCLEEYLLDEEFSDLMNLVHSPFLPIITTTTIQPQTELFLSLDIENSTLEIAKAKYILRQYIGASGGQALLNSVKNIYVVGQTKLRGPEETHRADDNVHVEGNYKSGSFVLCQKNPNLWYLEMVVHEAIKIQKEILVHSFSTGCDGNVSWHQTSWSPNRANKEPPRLLRRLFQGLDPKSIANLFSNAVCVGETTVKNEDCFILELKIEPTTLKLQSYLNSDIINHSTWGYFSQKTGLLVQLTDRMLLRRKVSEETDEDAFVIIRLESQMEDYRKVDGIIVAHAGKTYASLDNYGGNDNGRSKVEEIWKIEEVHFNVHGLSMVNFLPPSTN >OMO87599 pep supercontig:CCACVL1_1.0:contig09217:1729:2721:-1 gene:CCACVL1_08890 transcript:OMO87599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRNFKLKLSRVIPSFQLCRSKKDSSLPENSVHRLSPVNPKAIDIGFPTLPAPPPTTPDYSFIKRLSPKIASSVSCGCKAKSSTQFFSPEPSFESPDCSWKKEATRLQIVTKAQNSKLPWKNDDDATSFSEESNGDIASIVLSKYKVKKKNSEKTKMEKVKAIKSVSSRESGCFSSEETGNEETETLISTSMSFSDDSSIEFEHPIEKKVNTSKKLIRLRSFGSRKYRGSKSSSENEGSMLRRMTPGAAAEGKVKESVAVVTKSEDPYEDFKRSMLEMILEKQMFEAKDLEQLLQCFLSLNSRQYHEIIVEAFTEIWEALFCDYPMNVM >OMO87603 pep supercontig:CCACVL1_1.0:contig09217:17647:25572:-1 gene:CCACVL1_08894 transcript:OMO87603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSSASNSRHHLTVNPPHPISKDVQGSDNPIPLSPQWLLPKPGENKPGIGTMESHPAPYLAHGSRSDVVKPSGNGEEMHDALKKKDVFRPSLLDMETGRRDRWRDEERDTHSSARKDHWRDGDKELSDTRRMDRWADNLPSRHYGEARRAPSERWTDSGNRDSNHDQRRESKWNTRWGPDDKDTEGSRDKWTDSGRDGDMPLDKGLSHLSSHGKDEREGDHYRPWRSASSQSRGRGEPPHHQTLTPSKQVPTFSYGRGRGENHSSTFSAGRGRGSSGGNSVASITSHRQPLGNISDKSEIGHGEPSPLRYSRTKLLDVYRRTDMRSYQKLIEELVQVPSLAQDEPLEPLALCAPSSDEMVVLKGIDKGDITSSGAPQMPKDGSTGRNPTEFPHSRRNRIGSREDLPPTLDDSKDGSADIPKSNYSNYLDGSPLEKHKGYPDGKFKSEAVDDSGPHRKADEVPISREPSSQLTNSTNPGTIWRAPSMVERSHTHDWKEMSNDVRSRNPDMSWSQPQKDTINQRESNVMNASFARDEANWPTSQDPILKRQMSGVLEREHEPRKLPAPEDLLLYYKDPQGEIQGPFSGIDIIGWFEAGYFGIDLKVRLASAPKDSPFSLLGDAMPHLRAKARPPPGFSVPKQGEPSDVSSRPNFSSFGKVHAGASEVDMIRNEPRPIHGSTTEAENRFLESLMSNTMSNPSQGLQGYVANNSSSIPAPGVESGSDLYLLAKKMTLERQRSLPKPYPYWPGRDAAAMVSKSEIIPESPAPHTKILTSLTDNTLQPPHSQGADFMSILQGLSDRSAPGANNSGGAGGWSNFPAQGAVDPLQDKIELHHAQNFPTQAPFGIQQQRLQTPTPPSLTSLLGQTMDNPSSILTPDKLITSGLSQDTQLLNMLQQQYLMQQLQPQATVPTQQMLLLEKIMLLKQQQKQEEQQQLLRQQQLLSQVLQEHHSQQRFGEPSYGHLQAAKMTAGNASLDPNRLQSSQDMLQIGSQIKLPGSQDEHANNFMNIPPQVTKDIGYAVSSESSLPFPHQMFGSINRQKSWGTNAPEHINDMQQFLPAATSAGSSLSSEAMNLSSQEASLVQEPLVASDFHAIKPEQPLDDAQKIDEIVPITTPTDGANSATLEQHGIADATTCNIDSPINDGVQPSGAIDEQVEIERTNDQPPVAREVKNVEPREVKKASEKKSRKQKSSKSQASDQAKGVAKASSSVQSKPSETEEPAVGDPAGDNLYGTSPGKREDNKSKVAPSNAAMQEESGLSGSFPVPTTPAQRAWKPAPGFKPKSLLEIQLEEQRKAQTEIVVSEISSSVNSMSLTPWAGVVASVEPKVSKESQREAVIVESAIGKPESSANLKSKKSPLHDLLAEEVLAKSSERDADIPDSISAHVTTTNVEHVDDDNFIEAKETKKSRKKSAKAKGTAAKVPVPITPDVPVSASPVEKGKSSRPAQQEKEVLPSIPSGPSLGDFVPWKGEQVNASAAPAWSAESKKIPKPTSLRDIQKEQQKKNPSVQAVNPIPTPQKSQPTQSTHSAGSSWSINASSPLKAASPIQINSHASQSKHKGDDDLFWGPIDQTKQDTKQADFPLLANAGSWGTKNTPVKVASGSLSRQKSVGGRPMERTLSSSPASAQSSLKGKRDTLTKHSEAMDFRDWCENECIRLIGTKDTSFLEFCLKQSRSEAEILLVENLGQFDPNHEFIEKFLNYKELLPTDVLEIAFQSRNDPKFTEVATGNVNSGNNFAGDFDQDFAAGQDGSSKAGGKKKGKKGKKVSPAVLGFNVVSNRIMMGEIQTVED >OMO87608 pep supercontig:CCACVL1_1.0:contig09217:52024:52221:-1 gene:CCACVL1_08899 transcript:OMO87608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSGEEKVLDADSVTSTCCSVIGPEYYGIGLIGRIREFGHLGWEDNIGACKVLDEMAISGFHV >OMO87604 pep supercontig:CCACVL1_1.0:contig09217:27063:27791:1 gene:CCACVL1_08895 transcript:OMO87604 gene_biotype:protein_coding transcript_biotype:protein_coding description:DeoxyUTP pyrophosphatase MAQADQLNYSPEIKEPSPKVPKLSQNEVHEVAQGPVSLLKVQKLSEKAVLPTRGSPLAAGYDLSSATDTKVPARGKALVPTDLSISVPEGTYGRVAPRSGLAWKHSIDVGAGVIDADYRGPLGVILFNHSDVDFEVKVGDRIAQLIIEKIMTPDVLEVDDLDSTARGAGGFGSTGV >OMO87609 pep supercontig:CCACVL1_1.0:contig09217:52720:54530:1 gene:CCACVL1_08900 transcript:OMO87609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSEICRWISNLRTLCEAP >OMO95248 pep supercontig:CCACVL1_1.0:contig07707:1443:1943:-1 gene:CCACVL1_05474 transcript:OMO95248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MEEVRETAMTYYAKLPQSHKDEATNFFESLDSDGDGKINVEEFMAWVKKRGFKSITRSERLFKELDKDENGTLDFNEVVTLFYLNKSGRFVFCDGNGCGAFLKGNYFTCVKCFKAGTSAAGGSCDLCCSCYRDNNFNHRDDHTTFVDNYALLLSIWRQDKPSSVELE >OMO95249 pep supercontig:CCACVL1_1.0:contig07707:4666:5163:-1 gene:CCACVL1_05475 transcript:OMO95249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MEEVRETVKAYYAKLPESQKHEATKFFNSLDKDGDGKITVEEFMGWVKQKGFKSLNRYESIFKELDKHKNGTLDFDEVLMLFYLYKSGRFVFCDGCGAFIKGVYFTCLKCFNAGKSAEGCDLCCSCYGGNNFNHRADHATFVDSHALLISIWRQNKPSSSAAVIN >OMP02241 pep supercontig:CCACVL1_1.0:contig06292:46292:46717:-1 gene:CCACVL1_02856 transcript:OMP02241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLEDFELNSMQRGTDSVKDVFKQTMLDQDTIFRKQVHELHRLYSVQKTLMKDLPPMKLEKYWQADKQSLPQETGLTSYSIPMVFEAPVF >OMP02238 pep supercontig:CCACVL1_1.0:contig06292:17694:18566:1 gene:CCACVL1_02853 transcript:OMP02238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWVKSLQCKSRAFEDVYHPNPKHLIPSSSCRRSSQSIKDVIETTIKRPKKSNPKPSPKLLPKSSSKSDTDSNNPPPRPRRSSSFPSRPARNPDPVLPALTELPEGHPSRNVVEIIFHTSWSPKAFTGRIEMIFKVQNGPRTVTRFEEYRETVKTRSGSGSGGLASADEENARCVADGNEVMRFHCLGPTSGNCGMNDTWLFSGGKGAAICTYSGSGGAHESAGGGRGRRAMLVCRVIAGRVSKRVGFGYESLLDGRVGYDSVSGDNGELLVFDPRAVLPCFLIIYKL >OMP02240 pep supercontig:CCACVL1_1.0:contig06292:40739:45972:-1 gene:CCACVL1_02855 transcript:OMP02240 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MGINSLSVGGFSDPLDLKLSLSLGVANRTKEDKKRSWCEKKPSTFSGIVIDLEESSERTSDSGGKHVSQVTISSDPITSRSLKKDLYHDSAESSSFVADSKCFQDQNYSNHGVKRHQNLPCENFLARKKQFISHGLRHLDLNEVQLDDTSCHLHDTVAPPSTASSSVDFIELVSSSQETLCPTTFLTKEKEFSNETFEMLEQNGDVNLALMNFNTKDRRTEIQARDSELCGRNECERSLIDPAIHISEDLGSHNRKSKDGKDELMPELETIPALGSNSACIVARQVGCEKTEAGDTVLLCSDQIQKMIEDEHPENSPASGKSSCISDNDSRTAMTMESEIEPYKLNVPASDEFSGIHGGSHIADTLSGEQDQRSSDSNEIRPESYNKGEESAGVDDLIQIAAESLIHMSLETSPCYQESSIQAGSDDLDNEDKEQPQCSCDSFELMTLKLTESSVDDYSVTSKAFEISDSERKDCGIKLKRGRRMKDFQRDILPGLASLSRHEIREDINILEGVLRSREYKKMRAKMGNGESWCTPNHMTEASGICVSMNPRWEFKVHEVAQSSFRRANYLFNCISDQNQKRSIEDLSLMAQETVNGFRKLLTLLDDGSGSKQSSECKRIRKGPLLKSHTINPTELMESSSSQPQILRQMISNQPKTAGMNYMIPRNVVVLPNLIMGLQLHHSSILPQSMEKKIILPKTSSVSDQDDQSCLFLSKRRDHDEEEASTKCAASTGGCHCSKRRKLRIKKTIRVPAVSNKLSDIPPDDFSWRKYGQKPIKGSPYPRSYSKCSSLRGCPARKHVERSLEDPNMLVVTYEGDHKHSKITFQAPNLIV >OMP02239 pep supercontig:CCACVL1_1.0:contig06292:20843:24629:-1 gene:CCACVL1_02854 transcript:OMP02239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYRDKDPRIHGIQSKIRVVPNFPKPGIMFQDITTLLLDPKAFKDTVDLFVERYKGKNISVVAGIEARGFIFGPPIALEIGAKFVPLRKPKKLPGKVISEEYELEYGRDCLEMHVGAVEPGERALVVDDLIATGGTLCAAMNLLERVGAEVVECACVIELPDLKGRERLNGKPLYVLVESH >OMP02242 pep supercontig:CCACVL1_1.0:contig06292:52243:55012:1 gene:CCACVL1_02857 transcript:OMP02242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase FMO MKFSNLTLKFQLSTWQRHQHPNHPYPATWRLSALELRASLRPGSFAGRATRWSCSNGAMKLGAPGSTLLREVMGYRDFPFVTRPGRNRDPRRFPGHREVLLYLKDFAREFGIEEMVRFETEVVKVGILENGKWEVRSKKTTSFDNENNETCNHDNEFVNRSEVEIYDAVVVCNGHYTEPRIADNIPGINLWPGKQIHSHSYRVPEPFTDQVVIVIGSSASAFDICRDIAGVAKEVHVASRSVADETYTKQPGYDNLWLHSMIDSAHEDGTVVFRNGKVVLVDVILHCTGYKYHLPFVETEGIVTLDDNRLGPLYKHIFPPALAPWLSFIGIPWKVIPFPLFELQSKWVAGILSGRITLPSQEEMMDDIMAFYSTLEVSGIPKRYTHLMGDSLFEYSNWLATQCGCEEYEEWRKQMYYATAKNRIPRPETYRDEWDDHDLVPEAHEDFVKYTSKQKS >OMP02237 pep supercontig:CCACVL1_1.0:contig06292:10779:11387:-1 gene:CCACVL1_02852 transcript:OMP02237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase type 2/haloperoxidase MGSPEATSPSKPSLLHPLITLDATVSHFLHVLFKPILPTFLLLLLEYSADFRFSFPVSLSLFLASSSLSSLSVPLILGLLLDLALIGLIKLTFRRARPHYNPNMSAVVHADSFSFPSGHASRVLFLASLFHLILQNDDGIVSDLIQRWIKFEPGFVLLGIWVWAIVTATSRVLLGRHFLFDVLAGAFVGVLEGIVAFRFLRF >OMP02243 pep supercontig:CCACVL1_1.0:contig06292:57349:65347:1 gene:CCACVL1_02858 transcript:OMP02243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase FMO MSSGSGCPPFDFSAKYYHVGEGALGCVRQSSFFEGKAVLNQGLGYALILGFGAFFAIVTSLLVWLEKRYVGSNHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWQYGISGPFWYASGATIQVLLFGVMAIEIKRKAPYAHTVCEIVKARWGNGAHIVFLVFCLVTNIIVTAMLLLGGSAVVNALTGVNIYAASFLIPLGVIIYTLAGGLKATFLASYIHSVFVHVALVIFVYLVYTASDELGSPSIVYNRLREISSKSRICHEPVSHNGQSCGPISGNHKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFALATSLGLGAVALDLPLTEDEASHGLVPPATAMALMGKGGSLLLLMMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPHASGKEILRVSRAVVLGFGCFMGLFALVLNKAGVSLGWMYLAMGVLIGSAVLPIAFMLLWKKANAIGAITGSIVGCIFGIITWLSVAKVEYGHINLDTTGKNAPMLAGNLVSILTGGAIHAVCSLLWPQNYNWDTTRQITMVEKEKSDLPAEEFKEEKLRKAKSWILKWGFGFTLVIIVLWPLLTLPAASQSPISRHVAVVGAGAAGLVAARELRREGHSVVVFERWNEVGGTWVYTPKVEPDPSGVDPNRPIIHTSLYSSLRTNLPREVMGYRDFPFVTRPGENRDPRRFPGHREVLLYLKDFAREFGIEELVRFETEVVKIGILENGKWEVRSKKTTSVDNENNDTCNGDDEFVNTRSEVEIYDAVVVCNGHYTEPRIADDIPGINLWPGKQIHSHSYRIPEPFTDQVVIIIGSSASAADICRDIAGVAKEVHVASRSVADETYTKQPGYDNLWLHSMIDSAHEDGTVVFRNGKVVLADVILHCTGYKYHFPFLETKGIVTLDDNRLGPLYKHIFPPALAPWLSFIGIPLKVIPFPFFELQSKWVAGILSGRITLPSQEEMMDDIMAFYSSLEAVGIPKRYTHLMGDSLFEYINWLATQCGCEEFEEWRKQMFYATVQNMIPRPETYRDEWEDRDLVLEAHEDFVKYTSKQKS >OMO90228 pep supercontig:CCACVL1_1.0:contig08505:23779:23868:-1 gene:CCACVL1_07456 transcript:OMO90228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPNIWSKEETKANANAKQIDRLEKSRQ >OMO90227 pep supercontig:CCACVL1_1.0:contig08505:18816:23458:-1 gene:CCACVL1_07455 transcript:OMO90227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MGLLLCLLFFAGFFFTAPFLASSRTLAESPQPTTVLDVGEALEKTKYVLSFQPANKAALDQSLSASASSSSSLSLEIHSRASIHKSSHFDYKSLTLSRLQRDSARVRSLTTRLDLAVNGISSSDLKPLDNGMGGFTAEEIESPVISGSSQGSGEYFSRLGIGKPANQVYMVLDTGSDVNWVQCAPCADCYQQTDPIFEPSSSSSYSPLTCETQQCKHLDTTECRNGTCLYEVSYGDGSYTVGDFVTETITFGSSTVDNVAIGCGHNNEGLFIGAAGLLGLGGGALSFPSQLNATSFSYCLVDRDSDSASTLDFDSPLPPNAITAPLLRNSQLDTFYYVGLTGISVGGKMLPIPESVFQMDESGDGGIIIDSGTAVTRLQIDTYNVLRDAFVQGTNNLKSAESVALFDTCYDLSNKSSVEVPTVSFHFPDGKVLPLPARNFLIPVDSVGTFCFAFAPTPSSIAIIGNVQQQGTRVGFDLESRFLLMPADQFISPTISQNMNEMMSYPRPDKNIVGPVRSESFAFYVDAPPSPSSSPINFNTTNRNSPSTPSSSSPNKSQSCLILSIILLSIFTVTCSVPIIVFYAYGPRSPVFHVQQVALRYPIFYEGPTRLSSLLNITMAVENPSTVIGLFFQDPNSIVLRYNDMPLSCGVIPPFYLAPKNETVLQPALSGLGVALPDDVRKNLEDEHRNKKVPLRLDLTAKLRFKFSSSLKFKATLEVSCALVLENLDNCNTPPKLVSQSCNSASSYWIPAIKA >OMO90230 pep supercontig:CCACVL1_1.0:contig08505:34202:35977:1 gene:CCACVL1_07458 transcript:OMO90230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLSEIGDNTFIAAAILAMRHPRRPVLLGCLASLIVMTLLSALVGWAAPNLISRKWTHHITTMLFFAFGLWSLWDGFMEDGDDDEVAEVEAKLDADLKPNKGKGKFKEQVNDESKKEKRSFLTRFFSPIFLKAFSITFFGEWGDTSQLATIGLAADENPMGVVLGGILGQALCTTAAVLGGKSLASQISEKIVAILGGLLFIIFGIQSFLSPVES >OMO90229 pep supercontig:CCACVL1_1.0:contig08505:29546:32604:1 gene:CCACVL1_07457 transcript:OMO90229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLSEIGDKTFFAAAILAMRHPRRLVLSGCLSALIVMTILSAVVGWAAPNLISRKWTHHITTVLFFGFGLWSLWDAFMEDGEAEELAEVEAKLDADWKKANAGTVKESSKADEDLKKERRPFLTQFFSPILLKAFSITFFGEWGDKSQLATIGLAADENPFGVVLGGIVGQALCTTAAVLGGKSLASQISEKIVALSGGVLFIVFGIQSFLSTVES >OMO90225 pep supercontig:CCACVL1_1.0:contig08505:4458:7627:-1 gene:CCACVL1_07453 transcript:OMO90225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFKAATPTNPDMFCHSSFFLRGDDCNGRNQARFSDLGELDHPAAAFHHDDAVDLSPSSIFSLKSSNVGVVATGLHYGALNTSIGATEIVSTGTGCLDTGQFMYQKGTTFGASLGNGHIENWGESGLADNSQQTDTSTDVDTDDKNQLHGVQHGAIITVDSVDQSKTKTGDQKILRRLAQNREAARKSRLRKKAYVQQLENSRLRLTQLEQELQKARQQGMFIASGLSAEHGHSIGNGALAFGVDYARWLDEHQRLINDLRSAVNSHMGDNELRILVDAVMAHYDEIYRLKSIATKADVFHMLSGMWKTPAERCFMWLGGYRSSEFLKILGNHLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQSLVDTLSSACLGPTGSGNVADYMGQMAIAMGKLATLENFLHQADLLRQQTLQQLHRILTTRQAARALLVINDYTSRLRALSSLWLARPRN >OMO90232 pep supercontig:CCACVL1_1.0:contig08505:44109:50548:-1 gene:CCACVL1_07460 transcript:OMO90232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med25, von Willebrand factor type A MAEKQLIVAVEGTAAMGPYWHTVVSDYLDKIIRCFCSGELNGQKTSTSNVELSLVTFNTHGSYCACLVQRSGWTKDVDIFLQWLSAIPFAGGGFNDAAIAEGLSEALMMFSVASNGNQTQQNVDGQRHCILVSASNPYPLPTPVYRPQIQNLEQTENIEAQTESRLSDAETVAKSFGQCSISLSVICPKQLSKLKAIFSAGKRNPRAPDPPVDNSRNPQFLVLISENFMEGRAAFSRPGVPSLPSNQSPVKMDMASVTSVTVPPPTSVPSANGSMMARQPVSVGSVPTATVKVEPTTITSMGTGPAYPHIPSVPRAPSQAVPTLQTSSPSTTTQEVITSGDNVQEIKPSVSGMTQPLRPVPPAANVNILNNLSQARVMNSAALTGGSSIGLQSMGQTPVAMHMSNMISSGMASSVPPTQTVFSNGQSGMTSLTGSGALTGTAQVPPNSGLSSFASASSNMAGNSNIGISQPMGNVQGGVSMGQSVPGMSQGNVSGAQMVQSGVGMSQNMSGLGPSTVSSGTGTMIPTPGMSQQVQSNMQSLGVSNSSAASMPLSQQTSSALQSAQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASETFIEPEDFGFCSFRLAAHWPQTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQISSQHQQQQQLQQQQHQQMQPQLPQMQQQQPQLPQMQQQQQQLSQMQQQQLPQMQQQQQPQLPQMQQQQSQLPQMQQQHQLQQLQPQQQQQLQQQQLPHLQQQQQLSQLQQQQQLSQLQQQQQQLQQHPQLPQQAQLPQMQPQQLPQQQPMVGSGMGATYVQGPGRSQLVSQGQVSSQAPPNMPGGGFMG >OMO90231 pep supercontig:CCACVL1_1.0:contig08505:37192:42578:-1 gene:CCACVL1_07459 transcript:OMO90231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptor protein ClpS, core MVSASPPPKEVVESKEKWVEGDPSRRAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWGPGTMVLVLSWLMTLNTMWQMIQLHECVPGTRFDRYIDLGRYAFGPKLGGWIVLPQQLIVQVGCDIVYMVTGGKCLKKFMEIACSSCTQLKQSYWILIFGGIHFFLSQLPNFNSVAAVSLAAAIMSLSYSTIAWAGCLGHGQIDNVSYEYKSTSPTDYMFRVFNALGQISFAFAGHAVALEIQATIPSTPERPSKIPMWKGAVGAYFINAICYFPVAMIGYWAFGQDVDDNVLMALKKPGWLIASANLMVVVHVIGSYQVYAMPVFDLLERMMVKRLNFPPGIALRLITRSAYVGEKHSLCRGPCTNRSILMAISTTGPGKGAGVLDKPVIERTTPGRESEFDLRKSRKIAPPYRVILHNDNFNKREYVVQVLMKVIPGMSLDNAVNIMQEAHYNGMAVVIICTQADAEEHCMQLRGNGLLSSIEPASGGC >OMO90234 pep supercontig:CCACVL1_1.0:contig08505:62177:64062:-1 gene:CCACVL1_07462 transcript:OMO90234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINMDFAQKMRRCHEYVEALEEERRKIKVFQRELPLCLELVTQAIEACKKEISGGTDYMQGQSECNSEQTSSDVPVLEEFIPIKKSSACSDDEQESHKSKDHDKEKNGGDKKKSDWLRSVQLWNNNNQSPDPTSLKEDAGKSASAVEVKRNGGAFQPFHREKTVEKSVESGAKANASATSTSTTESGSRGGAAAANNNGNSSNSTKKEEKEGQAQRKTRRCWSPELHRRFLHALQQLGGSHEYATMTATTASGETPSATPTSGGIYAPVAAPLPKLPQPSGAIVQRSQSEERGSHSEGRFHSNSNSPSASSSTHTTTDSPVMFN >OMO90233 pep supercontig:CCACVL1_1.0:contig08505:51935:57031:1 gene:CCACVL1_07461 transcript:OMO90233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHYLQPARLQTKKLVFEDVFSSRDSATLEHLKELSARRRVIEESINQSSSVTEAIAREMSGGLTSRCLRDLQKLEQYLPLLENLISHVDVVCSNRQVVCWISELKIRWSSALSSSSFFNLRGPKLFQIDTLRFELGMMLYLYAALLRERAIEVLPADLVQSAKLFREAAGVFQHLAKEVFPSLQSVQSVERPPEATPWMSTVMILICLAEAQAVTIRKAEEKGTSVALLAKLHYGITELLGEATAILYSNNGECKDISSRFLEFLSSCKALHELRSLIYLAEGLKTADQVGVAVGVLRDALINARKKVPGEESWRSIFSKEIDAAADLLRRLEHENEFVWHENIPCGDELPLPQVPPSSFSLHSEALKLSTCRWDRVVSAVVSEESAIGSNSSGTDVFKLTYLEGNSWLWDVSGVRILVDPILVGNLDFGIPLLYDAAKKFLKNFKLTDLPPVDCLLITQSLDDHCHLKTLKPLSEMSPNLRVIATPNAKPLLDPLFKNVTYVEPGEESEVEGGNGSKVRIEATAGPVLGPPWQRPENGYLLVSPQGQLSLYYEPHCVYNKRFLENKRADIVITPVIKQLLPSFTLVSGQEDAVQLAKLLQAKFIVPMKNGDLDSKGFLASIVESEGTMESFKELLQKELPDAQALEPTPGVPLQIPAP >OMO90224 pep supercontig:CCACVL1_1.0:contig08505:3350:3867:1 gene:CCACVL1_07452 transcript:OMO90224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSGDSCYEYEDDVFYAEIRRQVLLLTADDDHHHRETAIHSASRAGSNRAVGNLSCSLQYGSYLSSWESRNTDSVPTWLANLWRSGNGTGVFIPHAAVKSRRRHRPGRMNNKRRVYRPVEAQQS >OMO90226 pep supercontig:CCACVL1_1.0:contig08505:11762:15716:-1 gene:CCACVL1_07454 transcript:OMO90226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline transporter-like protein MSDSNISSSSSDSASVATVDQNGGRSQSITDGGQTFSPELRHWRDVFWLAIFIIHLVGLGFVLAVLGLNRFKKSDRLNIDRYTNRVWENNNGLTENYWPKYAVAGGAGAVLGWTWLLLLGSRANQMMKVSVHILTTYLAVISVLCFWCEQFFWGVAFATGAALQFLYVISVIDRLPFTMLVLQKAVKMVWSLPEVMRVAYAFMVVMLLWMGIWSFGASGVVASSRGDLGRWWLLVVLSVSLFWTGAVLCNTVHVIVSGLVFLVLIHGGRNASSMPPNSLMKSLRYAVTTSFGSICYGSLFTAAIRTLRWEIRGFRSKIGNNECLLCCVDFLFQLVETLVRFFNKYAYVQIAVYGKGFNRSARDAWELFQSTGVEALVAYDCSGAVLLMSTVLGGLITGTCAGVWTWITWRDRVVMVGSTAMLMGMVLVGLAMVVVESAVTSIYICYAEDPSLIQRWDPQFFNQLSETLHQRLQHRSARAREVLTHNQLDGHMQDTYPL >OMO73995 pep supercontig:CCACVL1_1.0:contig11166:20146:23207:-1 gene:CCACVL1_17027 transcript:OMO73995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, RsuA/RluB/C/D/E/F MSVGRHLLRRFLRSQQSATAGSSELVISALNQLRSHFSTTPELSTGHLENEVNSEKKKRSEWLTLPPFTKTVSASVLGAKLAGKHHLKPADETTALKWVVRCCPELPRSLVQKLFRLRQVRRESHSIEVSEDGCQVQKVQLKRVGAKDSLNIGDRIFLPNSVREFSEEKKENGYTEEEVKFMQSLELYKDSAIIVLNKPPGMPVQGGIGIKWSLDELAAACLCFDYPEPPRLVHRLDRDSSGILVMGRTQMSAAILHSIFREETISSSKHDVDNEKRILRKRYWALVIGSPRCPKGMITAPLRKVVVDDGKSDRITVFDDTKILSSQCAITEYRVIKTSTHGYTWLELSPLTGRKHQLRVHCAEVLGTPIVGDYKYGWQAHRKWQQLLDSDLMKNPSEKFTKENMLPFGLNMDGGSISEKNPRLHLHCREMILPNVSQALESMQLSTDYDLLKLKSLEVVAPLPSYMQKSWDILNS >OMO73997 pep supercontig:CCACVL1_1.0:contig11166:27500:27580:-1 gene:CCACVL1_17029 transcript:OMO73997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYASEGYTQRIKSLLSVNMAIGRL >OMO73996 pep supercontig:CCACVL1_1.0:contig11166:24551:24865:-1 gene:CCACVL1_17028 transcript:OMO73996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQRRVMNGLTIVLLFSTLLVISKTQAHMYSLRGLKLTSSFLGGMEMKTPSSPSLKTMERSGPSPNGPGHKSRDELSPNGYGPRKEQNAEAEGLLHFSRIHHH >OMO73998 pep supercontig:CCACVL1_1.0:contig11166:28386:29135:1 gene:CCACVL1_17030 transcript:OMO73998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPVASRILWEKRAQARALRDSKLQQSNGGKKRYGVSLISKGLPFRSLKVSGPSPGVGHRYNNLQTFRSVQHSGPSSPGEGHK >OMO73992 pep supercontig:CCACVL1_1.0:contig11166:5252:6121:1 gene:CCACVL1_17024 transcript:OMO73992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLPFLSTASQKNKKPKSSKSQMDQDSDRVKGPWSPEEDETLRRLIERQGARNWTSIANSIPGRTGKSCRLRWCNQLSPEVEHRAFTPEEDEIIVRAHDKHGNKWATIAKLLNGRTDNAVKNHWNSTLKRKLSEAGDSLQETERRPAKSSRSSASSVNPGSPARSDVSDLGLTSSVADDLVRSGAVSTKLTLGRSLSRPIIDLNDDNSGVEKDLPTEKQGKELQPTQNLEIGANKTSITGEPPQLLATLQEMIREEVRNCMAEFGFQFPTENVRNAGVKRIGVNRIE >OMO73994 pep supercontig:CCACVL1_1.0:contig11166:14423:16235:-1 gene:CCACVL1_17026 transcript:OMO73994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MGSLETERTTTGWAARDPSGVLSPYTYTLRNTGPEDVFIKVIYCGICHTDLHQAKNDLGMSNYPMVPGHEVVGEVLEVGSQVTKFRVGEIVGVGCIVGCCRNCRPCNTDNEQYCNKKIWSYNDVYTDGKPTQGGFAASMVADQKFVVKIPDGMAAEQVAPLLCAGVTVYSPLKHFGLMESGLRGGILGLGGVGHMGVKIAKAMGHHVTVISSSDKKKVEALEHLGADDYVVSSDAESMQKIADSLDYIIDTVPVFHPLEPYLSLLKLDGKLILTGVINTPLQFVTPMVMLGRKVITGSFIGSMKETEEMLDFCKERDLNSMIEVVKMDYINTAMERLEKNDVRYRFVVDVAGSKLE >OMO73993 pep supercontig:CCACVL1_1.0:contig11166:7456:12546:1 gene:CCACVL1_17025 transcript:OMO73993 gene_biotype:protein_coding transcript_biotype:protein_coding description:UNC-50 family protein MLPTVNKTRSSPSASRPNPMFPQYLRRIVKWQQMDIEYTFWQMLHLCTAPKVVYQHTKYHKQTKNQWARDDPAFVVICSLLLAVATVAYCAALYAFDVHCNSFFPMFVMLYVIHYFLSPLLVAHGFIPELLSNLLFMVAASYYHYLNFLGYDGRNSAEKEKNKGKGSNST >OMO86625 pep supercontig:CCACVL1_1.0:contig09451:5173:5568:1 gene:CCACVL1_09545 transcript:OMO86625 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone-lysine N-methyltransferase ATXR4-like protein MSHSVLRCCYSRCQSRFKFLYSQSTVVSFSSTAVTTTTNRNETPSSPPAPPPIRVAITESAGRGVFATRRIGAGDTIHTAKPIASHPSLSAIDTVCYFCLKRMKSFTGSKPQGVSFCCEKCEESSKVKWVY >OMO69605 pep supercontig:CCACVL1_1.0:contig12010:50659:53240:1 gene:CCACVL1_19398 transcript:OMO69605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVVRRMAMVLMVLVMVAAAAQAQEGFGNPSGRSESCDEENVENMVPSANAIFFNGDKVEGSQNPVVERLSDLQRIAQVLVSKFGSSVNAWVIEASIFNGPFAVYKDFIPSVNRYGEPKSYSPVGFPASTSTVSLLSGCLEQAKNVISTGQRQPCSISISASQPKTLILGFSKGGTVVNQLVTELGSLEDNSLGIPCHAREQPREEFQEEIEMIPRSKESLLNSITDIHYVDVGLNSSGAYITDQNVIARISKRLADRGASGIRFSLHGTPRQWCDRRRAWIRDEKDELYRLLESEARKSGGKLRVCERFYFADRPPDMQMHFEVIEKMDVS >OMO69595 pep supercontig:CCACVL1_1.0:contig12010:8364:10252:1 gene:CCACVL1_19388 transcript:OMO69595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVPESKLLPRKLPALSSVSNTRSVFAREGLVPNLQAVLVREDVGRSNGGNSQCSELAAPVRTWKGKDSFLEQEEVMPDVIAFKGSSDSLEEGGPSSFSGVSHPPEPEDTDTVYVPIGQKKSDPGCLMKSMSVKGPYLEDLSLRVPPKKPSPAVLSPAESLVEEPNDLCVLASPFLVPRASQNTDNSILPPDSDEKECVWDASLPPSGNVSPHSSIDSTGVVTAMSIVNSCASTYRSDAVTSDGMLSIDRNCESTKGSIRADSLESAKTSVSRASDSSGLSDDSNWSNITGSANKPHKGNDPRWKAILAIRARDGILGMSHFRLLKRLGCGDIGSVYLSELSGTRRFFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQRGKHFSEYAASHAGQL >OMO69604 pep supercontig:CCACVL1_1.0:contig12010:49357:49707:1 gene:CCACVL1_19397 transcript:OMO69604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MKGVVIAVLLMVAMVQFMAKPGVEAAVTCPQVTSALAPCLTYLTTGAGAPPPLCCSGLGNLQKMAQTSADKQVACNCAKDAAARVPNIKEDAAASLPAKCNIQVNFPISKNTNCQE >OMO69596 pep supercontig:CCACVL1_1.0:contig12010:11428:12315:1 gene:CCACVL1_19389 transcript:OMO69596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine/threonine protein kinase METELPGMPGKFGPGPVEPVGVGSSSKRMVGGTGTDMKSGGRFLASSPTLQRFDYN >OMO69598 pep supercontig:CCACVL1_1.0:contig12010:22519:26577:-1 gene:CCACVL1_19391 transcript:OMO69598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase MAKSAADSRSNRAAVQATNDDASASKLSCVKKGYMKDDYVHLFVRRPVRRSPIINRGYFARWAALRKLLYQFLDCEGCNGEKGNVKKQILSLGAGFDTLYFQLQDEGRAPYLYVELDFKEVTSKKASLIESCSQLRDKVGATASISRENGEVLSDHYKLLPVDLRDIQNLNDVISLANMDLSLPTFIIAECVLIYLDPDSSRSIVGWASKTFSTAIFFLYEQIHPDDAFGQQMIKNLESRGCALLSLYATPTLLAKEKLFLDQGWQKAVAWDMLRVYSKFVDAHERRRIERLELFDEFEEWHMMQEHYCVAYAINDAMGLFGKFGFPDDRQDVPNTSPAAASPMIE >OMO69602 pep supercontig:CCACVL1_1.0:contig12010:42267:43085:-1 gene:CCACVL1_19395 transcript:OMO69602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIISSSASEFNILESFQRYLLDDSDEFQAIRTTTSSNCAPSYNRSSSFSHVFLNETWGDLPLRVDDSEDMLVYSALRDAANSGWSPSSNEVDLSTLFQTVEEESNKTKEEVNKVERKADVPPKGLHFKGVRRRPWGKYAAEIRDPKRNGARIWLGTYETPEDAALAYDRAAFKMRGSKAKLNFPHLIGSTALEPVRVGARRRSHESSTSTTSYGMSTCSQKLNKRRKTESNSEEATATAMLQQEIASLDATEVFQMSHWTFGDQFNFFGFK >OMO69603 pep supercontig:CCACVL1_1.0:contig12010:47686:47973:1 gene:CCACVL1_19396 transcript:OMO69603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MAEATITCQQVTSALTPCLPYLTSGAGVPSQECCTGVGNLQKLAQSTADKQAACNCAKEAAARIPAIKEDAAASLPAKCHIQVDFPISKSTDCSK >OMO69606 pep supercontig:CCACVL1_1.0:contig12010:53540:53984:-1 gene:CCACVL1_19399 transcript:OMO69606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLANMKMYFEEFCAAALSIASLEVVEGWEQIVSTAFEYFEQDGNRVLSEQELCQELGIKGPSALSYVKDFIRNSDGKLNLLGFRTLIRPRRPRSPKSKCGHVAQKKEPPKSNT >OMO69601 pep supercontig:CCACVL1_1.0:contig12010:37436:38239:-1 gene:CCACVL1_19394 transcript:OMO69601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGESCSTSSEFDLTLLDSISQYLLEDDLEVLPPASSFMNNTVKTELSFDQTYDNNPINVDQWITFDQLFDPAADQTMTTVVNVDPVPSSAMAASVTKTAAKPRVAPPANKVHYRGVRRRPWGTYAAEIRDPKKNGARIWLGTYETPEDAALAYDKAAFKMRGSKAKLNFPHLIGSGQVEPVRVTNNKRRSPEPSTSSSSWSSSDQSASSSPSSPAMSDEGITTPKSKRRLCEINNSAPVVIPELGTEFEIDFNQLIPTDFWQTVFC >OMO69597 pep supercontig:CCACVL1_1.0:contig12010:14228:19049:-1 gene:CCACVL1_19390 transcript:OMO69597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAASKLDNEDTVRRCKERRRLMKEAVHARHQLAAAHADYCRSLRITGSALSTFAAEFFDQKSQQRKQQLPQRPHHLDSNNPDDTEDTETERSEYDFFRPQKTNYRYNINSNNNAKSNFDEETEREEVQCSEWGDHDHDRYTTTSSSDEEEEEDDMASRSEIGTRSNFGSSMGGQSEKLHHLHNQTPPPVKTQPQQQMYGGAATGTKMDNKSEDAGSSAGSYRTNAMLDMKMVVRHRDLKEIVDAIKEHFDKAAAAGDQVSEMLEIGRAQLDKSFRQLKKTVYHSSSMLSNLSSSWTSKPPLAVKYRLDATALNESGGSKSLCSTLDRLLAWEKKLYEEVKAREGVKIEHEKKLSALQSQEYKGEDETKIDKTKASITRLQSLIIVTSQAVSTTSTAIIGLRDSDLVPQLVEICHGTKWNAKAFEVEQYFDILDVFECSFRYMWASMHQYHEVQNNIVQQVRGLINRSGKGDSTSELHRQATRDLESAVSAWHSNFCRLIKFQRDFIRSLHGWFKLTLLPVSNDDVDANREPSEAYAFCDEWKLALDRVPDTVASEAIKSFINVVHVISVKQAEELKIKKRTEGASKELEKKASSLRTIERKFYHSYSMVGIGVPDSGPDHGQGLDARDPLAEKKSELAACQRRVEDEMLKHAKAVEVTRAMTLNNLQTGLPGVFQALTSFSALFTEALETVCARSYHIK >OMO69599 pep supercontig:CCACVL1_1.0:contig12010:29495:32402:1 gene:CCACVL1_19392 transcript:OMO69599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEQKQAEWIDHFTKQASAQKGSALAPVIVEATSHPSLFAFSEILAVPAVAELEGTENSMYLEVLRLFAHGTWSDYKSNSDRLPPLVPDQVLKLKQLTVLTLAETNKVLPYDQLKQELDVTNVRELEDFLINECMYAGIVRGKLDQLRGCFEVQFAAGRDLRPGQLGSMIHTLSNWLATSDNLLISIQEKIKWADTMSESDKKHRKEVEDRVEEVKKSLSLKADVDFRGLEEIYSEPGGVMDYEEDRSRPKRFYQKLDFETHFILFSLGTNGVE >OMO69600 pep supercontig:CCACVL1_1.0:contig12010:34420:35043:-1 gene:CCACVL1_19393 transcript:OMO69600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGEINNSMGSDFALLESIRTHLLADDFEASLGLTTAFHGNDNAPSTVHPSFTSLLLGETQNWSCQSEKPDLVLTNAVKAEPADNHELLAREEARPKPQAPPAPKMEHYRGVRRRPWGKFAAEIRDPKKNGNRVWLGTYETPEDAALAYDQAAFKMRGSKAKLNFPHLIGSGNLVIPIRVSHKRRSPEPSSSTSSISSNNSSPKRRR >OMO90438 pep supercontig:CCACVL1_1.0:contig08477:1613:1678:-1 gene:CCACVL1_07363 transcript:OMO90438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRELQKSDEKLQRELQKLHNGE >OMO86174 pep supercontig:CCACVL1_1.0:contig09525:15581:16686:-1 gene:CCACVL1_09754 transcript:OMO86174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MASKLCDSCKSATATLFCRADSAFLCSSCDSKIHAANKLASRHARVWVCEVCEQAPAHVTCKADAAALCVTCDRDIHSANPLARRHERVPVTPFYDSVNSVPPVKPNGAVNFLDERYFSDADAEADVSREEAEAASWLLPNPNHKAVESPDVNTGQYVFSEMDPYLDLDYGPVDPKMEAQEQNSSGTDGVVPVQSKNVQAPMVSDHCFDLDFTGSKPFAYGYNPHCLSHSVSSSSLDVGVVPDGSAMTDISNPYGRGGSESTHQTVQLSSADREARVLRYREKRKNRKFEKTIRYASRKAYAEMRPRIKGRFAKRTDIEVEVDVDRSNVFGFGVVPSF >OMO86175 pep supercontig:CCACVL1_1.0:contig09525:21361:21510:-1 gene:CCACVL1_09755 transcript:OMO86175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LANQIRDEPIMNKKCCRPQLESPNPSRRDPMASHRKSHFTSPKKAATHL >OMO86172 pep supercontig:CCACVL1_1.0:contig09525:3328:4485:-1 gene:CCACVL1_09752 transcript:OMO86172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MDPNITSPSSVGFSLQNHPARLSAFLEIYLGTPPQKLQMFVDTSSPLTWVECMSCAIRTCMDDDEADFQFNEERYTTYTLIYSNTDICNDLSFENSIFERAGKCEFNSTYADGDWSSGLISTDVFSAFKVYNATTTVPTPILNNFIFGCSQESTMNHGDFTDIYGILALLPTPKGFLRQVGVNKFSHCFYPYSRGRWHNFLHFGNQAQLLGNSFDIVPAERFQVLGRYSLALYGISVGGKALPLPDEFLIGTQSRGTLFLDTGTPHTHLYGDAFYRLAEELQTQIGNIYPSRGGSLCYENLLIKDIRDIESLPKVTFHFKDFDFEIQNIGLYMVYPWSDMICLHIARATRPSSNVIGLTAMMNHNIGYHIDYKKIYIQRQDCTPP >OMO86173 pep supercontig:CCACVL1_1.0:contig09525:7985:9277:1 gene:CCACVL1_09753 transcript:OMO86173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAYYCVKENKGCVGWVQKYFKDCLCNLKDDFSFGFGLVSLVCWGVAEIPQIITNFKTKSSHGVSLLFLLTWVAGDIFNLVGCLLEPATKPKMKFWLALVSPYAYSLEAFIVGYGDDGDWSSGLVVTDVFSAFKEYSATTIAPTPVLNNFVFGCSQNSNIRDDYYSGIIGLTPAPYGFLRQVGVNRLDEELNNQIGNRHDPIEEGDPICYNNDWIKDISDIKSLPKITFHFKDYDFEIANILEYIGLIGRTTQFASSSLALPMQ >OMO55412 pep supercontig:CCACVL1_1.0:contig14707:14810:16033:-1 gene:CCACVL1_27255 transcript:OMO55412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine/DAP/Arg decarboxylase MSSTEAMVEASSPLSKDELISFIQSIILNENQQEMDPFYVLDLGALKPLFQTWTHELPMVQPFYVVKCNPNPDFLKQMAALGAGFDCASRAEIESVLSLGVSPDRIIFASTCKPESHIKYAAKVGVNMATFDSIYELEKIKKLHPKCSLLIRIKSPQTTSNKFGAHPDEVMPLLQASQAANLQVVGVSFHIGSRSGINLNALEDAMASAKTIFETAIQLGMPEMNVLNIGGGFKSGPNFTDEAASAVKVALQKYFPNQVGLTIMAEPGRFFAKEPFTLATNVIGKRVRGEIKEYWINDGIAGSMKFLQYDLDNLICTHLLANSGSSNNENNPTSKGVKTYDSTVFGPTCDAADTVLEGFQLPDMQVGDWLVFHNLGAYSSSLGSDFNGFKTSAIPTFLAHLDNKNSS >OMO55413 pep supercontig:CCACVL1_1.0:contig14707:22584:23801:-1 gene:CCACVL1_27256 transcript:OMO55413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine/DAP/Arg decarboxylase MSSEAMVGASSPLSKDELIAFIKSIILNENQQEMDPFYVLDLGALNPLFQTWTQNLPMVQPFYAVKCNPNPDFLRQMAALGAGFDCASRAEIESVLSLGVSPDRIIFASTCKPESHIKYAAKVGVNLATFDSIYELEKIKKLHPNCSLLIRIKAPQTSNSTKFGALPDEVKPLLEASKAANLQVIGVSFHIGSRSGINLNALEDAMASARTIFETAVQLGMPEMHVLNIGGGFKSGPNFTDEAASAVKVALQKYFPSQDGLTIMAEPGRFFAREPFTLVTNVIGKRVRGGIKEYWINDGIAGSMKFLQYDNVICTHLLADNGSSDNENNPTSKGVKTYDSSVFGPTCDATDMVLEGFQLPDMEVGDWLVFHNLGAYSSCLGSDFNGFKTSAIPTFLAHLDNKNSS >OMO55417 pep supercontig:CCACVL1_1.0:contig14707:40632:42561:-1 gene:CCACVL1_27260 transcript:OMO55417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical DCIKVLQKKFPESKRVGRLEGLLLEAKGSWSEAEKAYSSLLEDNPLDQVIHKRRVAMAKAQGNIAGAIEWLNKYLDIFMADHDAWRELAEIYVSLKMYKQAAFCYEELILSQPTVPLYHLAYADVLYTLGGLENLLTAKKYYASTIDLTGGKNTRALLGICLCTSAIAQVSKGKNKEDKESPELQSLAATALEKDYKQRAPGKLGLLTSALRSLKI >OMO55411 pep supercontig:CCACVL1_1.0:contig14707:7130:10287:1 gene:CCACVL1_27254 transcript:OMO55411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ndr MGESSDSVSIDIDDMVPFGGKEFLVKTSRGSLSVFVSGDQEKPALITYPDVALNYMSCFQGLFVCPDAASLLLHNFCIYHIDAPGHELGANVISSDAPLLSVDDLADQVAEVLDFFGLKRVLCLGVTAGAYILTLFAMKYTERVLGLILVSPICKAPTWTEWLYNKVLMNLLYFYGMCGVLKECLLQRYFSKELRSGVHGAESEIIQACLKLLDERQSMNVMRLLQAINKRHDLTDDLKKLQCKTLIFVGESSPFHPESVHMSTKIGRKNCTLVEVKACGSLVTEEHPLAMLVPMEFFLMGFGFQRQPPFATSSSNSSNPSSPSSHSCIAPELLSPEGLGIKLKPIKTRVDIEM >OMO55415 pep supercontig:CCACVL1_1.0:contig14707:33111:34028:-1 gene:CCACVL1_27258 transcript:OMO55415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein MEIPCLIQKNFQTTIDSINREASSIISMTRQWQEFGGHYDSFVKSMDERYNQLSCIEDGIKDQIEELKKREEKLRVQEEAITKRSEELDRKEEELNSNFKSAKSQYEQWLKKLDAEEIRFSENHAKLQTMAREIQERDDKFLEFYFGKPHVPVFDEDNETPSTRSKSKTLIQSGLIEDLIDKDRRLQAIKHVFEFNLGDKFSPADLIQDHLRYIRRSAKFYKEKDAGLSNQIVTITKEIADLKAVIKCIQIHKLEDEIPLDKIENSIRKREETKIGLVKLGPCTRSKAKLDQLEQERIKKRLRSI >OMO55414 pep supercontig:CCACVL1_1.0:contig14707:27483:32500:1 gene:CCACVL1_27257 transcript:OMO55414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPDKTQITPSSSLSKFEIGCHRESRFSVKRHHFLAPSNSRVLQSGNDSNTDEGASEAVQPSDVYDDQVKCDNNGSSSRGISSDLLDEQSNLAIELPRTLKYDFGSPDCSMVPRDEILKNTSVEVAGEEGSSLQCNKDQWEERQQSFENERDLRKIRRIFPSEESAGCEWVAVVSDVADLLTLNSSIIYDSTEGQDQRNADPGTTSFASAILQPQDDSSNLGNAETGDSSGSCKQSELADPITDQTPAILSTCLVDKLVVSDSGLKKDDKEEKCKQSSRQRSIRRRCLVFEKAPGFGLHLNSLANTSKGQNHLSKVTPSPMKSGEVPHDNKVMVTESSPQTPAAVGGNEADQNSPEKKRHFENIDESTACKRCNCKRSKCLKLYCDCFAAGLYCIEPCSCQDCFNKPIHENVVLETRRQIESRNPLAFAPKVIRSMDDVSDSRGETNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSSNCRCEGCKNSFGRKDGESFSDESEFDAEALETSEMKAPENSALAVVVSKGEEYQDLSAPSTNTSRLPFAYSGKLLKSFPHFVRSSPQVCTTQELDSSDLSSCRPKFESDLQAIPENETPKVLKHNCPPLALKPTSPNCKRVSPPHHDCSSSTTWHTRKLILRSVPSFPSFSPP >OMO55410 pep supercontig:CCACVL1_1.0:contig14707:2121:3023:1 gene:CCACVL1_27253 transcript:OMO55410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFPSCFGENGVQVADSSSSNTSKNAQNLATCVYQCRIRSRSCLVTVSWSKNLMGQGLSVGIDDSANQCLCKVDIKPWLFSKRKGSKSLEAYSCKIDIYWDLSSAKFGSGPEPLEGFYVGVVADKQMVLLLGDMRKEAYKKTGATPVPSTAVFVAKKEHVFGKRAFGTKAQFCDNGQIHDLLIECDTIGVNDPCLIVRVDGKTLMQVKRLRWKFRGNHTILVDGMAVEVYWDVHNWLFGTSFGNAVFMFKTFPAADDKLWVSQPIPNPHTLQWSFSQRYQDSKPQNHGFSLILYAWKNE >OMO55416 pep supercontig:CCACVL1_1.0:contig14707:37582:39735:-1 gene:CCACVL1_27259 transcript:OMO55416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3Ae MAVGKNKRISKGKKGSKKKAADPYAKKDWYDIKAPSMFSERNIGKTLVTRTQGTKIASEGLKNRVFEVCLADLQKDEDQAYRKIRLRAEDVQGRNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYSLRLFCIAFTKRRANQVKRTCYAQSSQIRQIRRKMREIMVNQASSCDLKELVAKFIPEVIGREIEKATSSIYPLQNVFIRKVKILKSPKFDLGKLMEVHGDYSEDVGVKLERPAEETAAEAETEVVGA >OMO71592 pep supercontig:CCACVL1_1.0:contig11593:3894:5568:-1 gene:CCACVL1_18141 transcript:OMO71592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MVPLELEHTLNEGNSHNGSGNIPIEDGKAITKYQNREGWAAIPFIIGSIVGLTLSISSWASTLIVFLIKEFNVKSIDATQINNVVLGLNTLLPVAGAILADVFPSYFVISFFAFVSLLGMILFNLITTIHSLRPSPCELMGSIPSCPSPSRLQLAILYITLGLASLGVGGTRFTIATMGAAQFDKPKNQRAFLSLYFLAFYVANGTGLTAMIYIEDNVGWNLAFWICLVSNVIALVLFLSGKRFYRRKPKVERNPFLSFVHVVLAAIQKRNISGTFGNRDYYYGS >OMO71594 pep supercontig:CCACVL1_1.0:contig11593:21874:25411:-1 gene:CCACVL1_18143 transcript:OMO71594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MARLELEHTLNERNSHRGSGNIPIEDGIAITKYQNREGWAAIPFIIGSMVGLTLSISSWASNLIVFLKKEFNVKSIDATRTYNVVLGFNTLLPVAGDILADVFPSSV >OMO71595 pep supercontig:CCACVL1_1.0:contig11593:27470:30349:-1 gene:CCACVL1_18144 transcript:OMO71595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSMRQLPSFSLRTTRTQTQPYSSLISLSSPLPKPLPSSFTPAKTLPSAATSSPATVVLWTFNCVSGGRIAAANTIRVVQVRCISSIPTPQSETMVDWNEAVSCSEVGDGGEIVDEDESPLEEDTKRSFPVRAYFFSTSVDLRGLVEQNKQNFIPPTSRMTNYVVLKFGNLASSPGPGAFPSGSDCCFMVVFQYGSIVLFNVRENEVESYLKIVEKHASGLLPEMRKDEYEVREKPTLNTWMQAGLDHITLQFLNIDGIRTIGSVLGQSIALDYYVRQVDGMVAEFTDINRGMEKTGTFTMDSKKLFQIVGKANSNLADVILKLGLFERSDIAWKDAKYAQIWEFLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISAEIVISLYDLIKKSL >OMO71596 pep supercontig:CCACVL1_1.0:contig11593:34818:37784:-1 gene:CCACVL1_18145 transcript:OMO71596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLDIVSSESSSDETKMLVLPEKAAVRTLSTPQMEDSGSTNSSIINADEVPSSNAGDENSSNNASPFIFDILKREDTTNAIKLEKDKNSSSDFVTHQLFPVAGEKGGLELEFGSSGNFVKDNNKSVASRPQWLNLSYAESNGEGEAELKSVQLKPQPRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDMKQMKQMSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDIAAMKCNGREAVTNFEPSTYEGEITNAGGSGNCHNLDLSLGISSPSVALKGSNNAGDFHFHQAANARSERQLFESSASTSAGVQPQHCVTIAAAAKHPPILPGVFHGLLPNNEERVTEKKVEAVPTTRFSNWAWQMQSNGNITATPLFPLAASSGFSSSNIATASSSTALPFLNPVHQNVCVLTPATSTNNNPHFPSYRS >OMO71593 pep supercontig:CCACVL1_1.0:contig11593:18877:20012:1 gene:CCACVL1_18142 transcript:OMO71593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSTVSHEEFKLFHNIDRGIFIRLVLNLRRDIGESLNVMGLLLWLEHGIYAPNLIYEIQHWPDSGINDLAEEAIKCLNCMENIEFPSFYEDSNLIIPLIRQVTKNGISLRLIHENRHCVIRGIVKLVEDVCIRAFDDITKQVLQYNSMAADEVISIERNSEPFRFYGPLVRPILPVFNVGELSKEIPANQQASLHESSVISAQNKFAKEDLEEMINTINKICILDEGRNNNNNEDEVEADDRTIFLTFSKGYPISQTEVTEFFTRKFGVDFVDRVEMQELPNGEQPLYARLILRSTSGIETILKGRPKAKFSINGKHVWARKFERRHQSSTSEII >OMO71597 pep supercontig:CCACVL1_1.0:contig11593:41531:48014:1 gene:CCACVL1_18146 transcript:OMO71597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADDWLMSLGFAIDEANGLPRYQIG >OMO91900 pep supercontig:CCACVL1_1.0:contig08287:1539:1607:1 gene:CCACVL1_06966 transcript:OMO91900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDQLSSFKHGQFNKQNPRVE >OMP05393 pep supercontig:CCACVL1_1.0:contig05410:6163:7176:-1 gene:CCACVL1_01924 transcript:OMP05393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAGVNSDPDRMFKKSFHRRNDSGELDVFEAARYFSGYNNNEAASYNCATFTQKIMREDRQPWRGGRISLDVPAMRSSNNNNPLLHHHHQNHQQIVEKQIKEKKYKQPSSPGGRLASFLNSLFNQTSSKKKKSKSTTQSMKDHEEESPGGRRKRRSSISHFRSSSTADTKSFYSSSSSGFRTPPAAAYAQTPTKNYKDFRSYSDHKQVLSLSSKQSTRGTGTGQTKSTALQNNNNTTSDYSWLDEKLKFIDGYSDKQQHKNKDQKEKINWAEKYPSEEKEFRKFSEIDEGADSDSSSDLFELQNYDLGIYSSGLPVYETTHMDNIKRGAPISNAVL >OMP05392 pep supercontig:CCACVL1_1.0:contig05410:753:833:-1 gene:CCACVL1_01923 transcript:OMP05392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHSELQKQKPLAGTYSSEDSVSSVR >OMO54497 pep supercontig:CCACVL1_1.0:contig14985:6419:14435:1 gene:CCACVL1_27762 transcript:OMO54497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLKVKVVAGMHVRRDELAW >OMO54496 pep supercontig:CCACVL1_1.0:contig14985:2535:4575:1 gene:CCACVL1_27761 transcript:OMO54496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLEDFGFNLHQRRTGNKKENKKDENNVKEERGVLGRAHHKTKKEPFGLED >OMO52919 pep supercontig:CCACVL1_1.0:contig15396:66870:68153:1 gene:CCACVL1_29011 transcript:OMO52919 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MTKGAHILILPFPSCGHLLPHLDLTHQLLLGDLTATVVITPKMLHYLDPILSLHDSSDLQLLVLPFPSHPPIPNGAENMENGAISSLPHIVAAFSKLHDPLVEWFQSHPSPPVAIITDLFFCCWANELASHLNIPNIGFSAVNANTVSSWLKSGKDPDYNIPGEIFTGCSQSRGIIFNTFRELDGDKMNFIQEEFAKHDWLWAVGPLPRIKARNEKVVARPSSIPQDQVIAWLDSCNVDNSVVYVGFGTLITLSKDQTEALASALEKSRVRFIWTVKATISSNDTMVPTGFEDRVAGRGLIIKGWAPQVAILKHRAVGSYLTHCGWNSTLEGILGGVLLLAWPMQADHFHNTHLLADQMGVAIKVCEGFGSVPNATKLARILADSVNTARPEGIEAMKLRQYALDAVRQGGSSNKALDMLVKNLSSL >OMO52918 pep supercontig:CCACVL1_1.0:contig15396:21410:22792:-1 gene:CCACVL1_29010 transcript:OMO52918 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALA-interacting subunit 5-like protein MEISTNGATTSSAAVGGGGGGLEAKKSKRPKYSRFTQQKLPACKPIVTPGLTSW >OMO52917 pep supercontig:CCACVL1_1.0:contig15396:16618:21083:1 gene:CCACVL1_29009 transcript:OMO52917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLIALGFEGSANKIGVGVVTLDGTILSNPRHTYITPPGQGFLPRETAQHHLQHVLPLIKSALKTAQITPDEIDCLCYTKGPGMGAPLQVSAIVVRVLSLLWKKPIVAVNHCVAHIEMGRIVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLTLSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSYIEATAEEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDKKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCVDNGAMIAYTGLLAFAHGSSTPLEESTFTQRFRTDEVHAIWREKEQSGRIHQTRAVGPLPRIKTRNIGLGEEVLARGRPSSIPHDQVIAWLDSCNVDNSVVYVGFGTQITLSKEQTEALASALEKSKVRFVWTVKAAISSMVPTGFEDRVAGRGLVIKGWAPQVAILEHRAVGSYLTHCGWNSTLEGILGGVLLLAWPMHADHFHNTKLLADQMGAAIKVCEGFGSVPNATKLAGILAESVKTARPERSEAMKLRQYALDAIKQGGSFNKAMDMLVQSLSSF >OMO98600 pep supercontig:CCACVL1_1.0:contig07074:17565:17894:1 gene:CCACVL1_04163 transcript:OMO98600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISHDDSFSIQRIQLGNVGASSSIHCLLAPLDMAVGKSISQEQYNGYCDFLYNNHLAFLYRTFELSKSTEDSNDFELSSSSISLCTIAISFRAKATCSSEAASRGEITF >OMO66493 pep supercontig:CCACVL1_1.0:contig12546:196:1728:-1 gene:CCACVL1_21112 transcript:OMO66493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSKSSKSIFTSSFSEQKWVAEITAIMENEKEAKEVLVSVFEVPEALRSVNTEAYTPQLLAFGPFHHFKPKLYQMQRFKVAAATKAQSDWLAVDFQQLVAHVKQPQLRIRTCYQTHLDIQKDTLACLMAIDGLALLKLLHSSLSYYKNSHKSSSKNPFDDFYGRSPDLDMILRDILMLENQIPMILLAAIAEKYREPHNNLQYFVEFIEAISPLQLASKVRATDVVNYKHLLDLFYQLICHGTDSSEQVEIPIDQDSSSNAPDCGVFKKFLASLSKLDLRCAALPEKLVKLLLSSLELLGISAHDFFDKDRAWIPTASRLTKTGVTFSVCEGIRDIKFDKKTLVLELPVITLNAKRDQGKILNSKRTTDVILRNLIAFESLSKDRSQSLPFTRYTQLMNGLIDNDKDANVLKRANIIKGDLASVEIANLFNGLSESIEPKDRDINIDNAIKNINRYYDNTLRVKTNKLLKKYIYSSWKFLTFLTTFLLLTMMALETFCSFYACKTVRFI >OMO66494 pep supercontig:CCACVL1_1.0:contig12546:4002:4541:1 gene:CCACVL1_21113 transcript:OMO66494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHAKGDDSDGILSGESIILDEQTLQRDLQNAIETENYAEAAKIRDDLRVLHEDSKASVLAINSRFYDAFRRGDLATMQNLWAKGDNVCCVHPGANGISGYDYVMESWEVVWMNYEFPLEIELKNVQVHVKGDMGYVTCMEFVKTKGSSWGGQFVTNVFERINGQWFISIHHASPVDL >OMO66503 pep supercontig:CCACVL1_1.0:contig12546:48739:49314:1 gene:CCACVL1_21122 transcript:OMO66503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MASFLRQTFSTLFLTFVFVIIFAINPSYGDYDDPEEMAPLRGTELAMLICNHTSYNKFCVDKLTPVSPDASARDMADSALHLAQTAAHNTRVVIANLLDSSSSMKQKERRILQKCQLDNNVTGMNILAARYGLKLNIIDSMIDDLNTAGNATKSCQDSIKRTRFSATLSDKNMDAIRLCKICVVSTQFFTF >OMO66498 pep supercontig:CCACVL1_1.0:contig12546:15031:35106:1 gene:CCACVL1_21117 transcript:OMO66498 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGQPHILIFPFPAQGHIKPMLCLAELLCQAGLQVTFLNTRHNHRRLNKLQDFSTHFPTLHFESISDGLPEDHPRNLLHFMDLSPTCIIADGLIISSAIDAAEEFGIPVFAFRTYSACSVWVYFHLSNLVEVGEVPFQDKDLDKAITQIPDLGNVIRFRDLPSCCRIAKIDKRAIEFSIDEFNAAAVTKPEFHKLLVSLSRETEGMRRQPPTCIIADGFMSCSAIEVAEEFGIPVFTFRTCSACCTWTCFNLLNLVEEGEVPFQVRAVTKPELCQLLVSLRREGRMQPPTCIIADGIMSCAAVEVAEEFGIPVFTFRTFSASSTWTYFHLLDLIEAGEVPFQEEKVLAEGPGGQLENYFVC >OMO66495 pep supercontig:CCACVL1_1.0:contig12546:5232:8231:-1 gene:CCACVL1_21114 transcript:OMO66495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFDRRKANEYLEPVKDMGFGSESKRSLNQQQSSKIVKEKVQLPRANSRLKNQGKLIAKNGSAYSFANLSGEEGQDQIRSNFIHSKSLGDCQGQPHKGKSAKEDELVRYMSNLPGYLQRVDSGESLQEKAFNVGVLDWARLEKWKYHQKHIPIISGIDGSSTGTSSSMNTNTKSSTLSSAVPKDAAVNKGKQHRLNSSSLRSSHKDGLFRGAKPSTQKDRHFQDIETASKSSLDQQKKTSKTYKSIDTTSSDVNFEKKKKKEFNQKNTSEMGNTSSNMGNHEVSLFPKEFASVSDGGAKNRVEKRQDIVADKKDLDKKNTSEADLSSSISRDYAVSLDSRKTSGAEGDKTKKKEARGSEIDLARQISPGKRKNIILLLPRSARNSFYEERQELLYGTLDQASRNSFSYDLLQKVRCGEPCSEVPHSCPLSSGIEMNPETLGVEPSSGASYGSACSNNSGSLRSEGNCSAERKTKSQDADVEALKILEEEMAELATRNSRTTSPNRRFSFSLSRMSRSFSFKEGSNVPLLSSNYVSVKSGPVRSDSSGFLDDTNREKVNGHNRTRSSPLRRMLDPLLKSRGLSSFRFTDTVQPSKQSFNPSTPRPVNSNEFLQEEKCDSSMIPALLQLTIKNGLPLFRFVVDNGSNMLATTMKSLAPSAKGGPGLNYIFSSVSEIKKKSGSWISHGNKEKNCGFIYNIIGQMKISSSNSSDFTAQDPSNQYLVRESVLFGVEQRQADQASGMFTPNTELAAVIIKMPGDGTDVELSDKDIQKKGFTECLAMDECSFNSMANASFDSTTVILPGGVHSLPNKGIPSPLIDRWKSGGLCDCGGWDVGCKLRILSNEKKRCCKVSRTCQACLNPNRLELYAQGEAQNSRPIFSLVPHKNGIYAIEFSSPITALQAFFISVTVISCQTSSDLPELTNLPDGKVMKDTMLNGSHGMENKPTIVLANVPAKYAPNPPHSPVGRV >OMO66501 pep supercontig:CCACVL1_1.0:contig12546:41725:42120:1 gene:CCACVL1_21120 transcript:OMO66501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MASFQSNSPLTLLLILLFIITVSIHHSHGDEDDDAPWLGRKLAKLICNNTSYNQFCVDTLKPVLSPDTKASDIADWTLRHAQVAANDAKTIIDDLLTDPLSQIQQQERQLLQGRCQLGLNLANANLTAARK >OMO66497 pep supercontig:CCACVL1_1.0:contig12546:13061:13246:-1 gene:CCACVL1_21116 transcript:OMO66497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSAIMQVGTFSRSIETKSSATAGLITAARDNTEPWRRDRMPAGTGPTGAGGSGIDKNSN >OMO66500 pep supercontig:CCACVL1_1.0:contig12546:40202:40666:1 gene:CCACVL1_21119 transcript:OMO66500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVAGKDMATGSFAKSFVDIDLDNINVVDQSMPIDLEPIADEEVKGKTSSSVGTSNVRSHRKRKNTQEASDQDEIRYMADQLGEIANAIKLMAGESYLDSLYEQVMAMEGYSEDDLGAAYDYLMANQIEGKAFLRKSHNLRTRWLQRFFDGQV >OMO66506 pep supercontig:CCACVL1_1.0:contig12546:58082:59277:1 gene:CCACVL1_21125 transcript:OMO66506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEAKPESLLKEKRNEEWELAKKQELEAAKKNTENWKLIYNRAKQYAKQYEAQEKKLMQLQREAKLNEGCYVDPKAKLLYIIIRICGISAMHPRTRKILQLLRLRQVSKSEECERADLQERIWEVKQAENALTDKSIVEK >OMO66505 pep supercontig:CCACVL1_1.0:contig12546:56194:57430:1 gene:CCACVL1_21124 transcript:OMO66505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEAKPESLLKREKRNEEWELAKMQELKAAKKNIENWKVIYNRAKQYAKEYEAQEKELIQLQREAKLNGGFYVDPKAKLLFIIQICGINDMHPRTRKILQLLRLRQIFNGVFLKVNKATTNMLHRVEPYVSYGYPNLKSVRELIYKRGYGKLNKQRIALTDKSIVEKVCTISCLT >OMO66496 pep supercontig:CCACVL1_1.0:contig12546:9062:9374:1 gene:CCACVL1_21115 transcript:OMO66496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVNLEEVADLEEDPDYREK >OMO66499 pep supercontig:CCACVL1_1.0:contig12546:37416:37853:1 gene:CCACVL1_21118 transcript:OMO66499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ctr copper transporter MVHELETTSAWNTTSGGLHVHRNSLLHMSFYWGHKSQILFSGWPGSNAGMYALALMFVFVLAMIVEWLSYCSIIKPGANKVAAGFFQTGMHTVRAGLSYMVMLAVMSFNGGVFLAAIFGHAVGFLIFGSRAFKKSDKKPDLPPRK >OMO66502 pep supercontig:CCACVL1_1.0:contig12546:44936:45517:1 gene:CCACVL1_21121 transcript:OMO66502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MASFQSNSPLTLLLIILFVIVISIHHSNGDEDEPAEPLRGQELAKLICNSTSYNKFCVDTLTPVSPDARASDIADSALRLAQVAANDARTIIANLLTDRSSPIQQQERRILQGCQLDNNLATTNLTAASNDLNSDTINSMIDDLNSAANVTKSCQDIIKKTRFLAMLSDKNMDVIRLCDICVVCTQFFDPDDL >OMO66504 pep supercontig:CCACVL1_1.0:contig12546:53090:54630:1 gene:CCACVL1_21123 transcript:OMO66504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKKAETGSKNPKKANLLDHHSVKNILDESVSEPLRLAEWDTNNRVGFFMNGRWYIASSLHETYIEEEFWHDFARGKTENVNMLVMMRVALSSSPNYLFGIGKWNLKKLP >OMO69684 pep supercontig:CCACVL1_1.0:contig12001:36075:37112:-1 gene:CCACVL1_19340 transcript:OMO69684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MASMASPPPLLMSVMGGAGAVPAAESNATGSSSHSSPSIENVKPSIIIIILILSITVLVSVSLCLLLRHLNRRCLRHLSRSSTSTITNAAVASNRVTPEESATASLLDSLPVFTFSSITRRSNNDESTISGDCAVCLSKFEPHDQLRLLPLCCHAFHANCIDAWLVSNQTCPLCRSPLFASESDLMKVLIQSSNATAAIGSGGSDSFRLEIGSVSRRQQGSDSGEQRRSYSIGSFDYVVEEESEVTRNQTHRRTVSDKEEIGGLEVASEANLAAEVANGRSWLKDYVDRLSVSLSSRAMSFRSSGRFFTGSSRRSDISGAVTDYDLEANRIGEEISEMFRWFSGV >OMO69693 pep supercontig:CCACVL1_1.0:contig12001:66278:73534:-1 gene:CCACVL1_19350 transcript:OMO69693 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G-like, type 3 MSFNQSRSDKSEQQYRKSGRSASSNQQRTSSGAYGKGAGGGPAPSPSLSSSSSLSSNRSFKKSNNAQGGQSRVNSPAVNSSDSSNASAARNIQNGAHVQPQLQGASDAPVAGSGAKPVESPATQRSTRAVPKAPTSQPAAMSSDGSFPTTPAKADASKAFSLQFGSISPGFMNGMQIPARTSSAPPNLDEQKRDQARHDSSFRSVPNLPTPVPKQQLPRKDSVAADQSNSGEAHPVPKVKKDVQASVAPTAGQTQKPSLLSMPMTSMQMPFHHQTQVQFGGHNPQIQSQSVTATSIQMPMHMPLPMGSAPQVQQQVFVPGLQAHPLPPQGMIHQSQGLGFTPPLGGQLAPQMGNLGMGIAPQYSQQQGGKFSVPRKTTPVKITHPDTHEELRLDKRSDTYSDGGSSGPRSHPNVPSQSPPMPSFAPSHSINYYPNSYNANSMFYPPPSSLPLSSSQIAPNSQGPRFNYAVSQGHQNISFMNSVAPHSSLPVNKPVNLTHTTSEPQNIEPARDAHNVTASAPPGTTQVIVKPPAPVGEKVADSSLSSSMAAAEKVGSLKPSVPASELSSSLAQRDSDIGQEISVHQPKLGSDSLGSKSLPAAKHSGGVTSSNMDESLSSNSVPPTPAALSEESMPIVASNEGRRKESLSRSNSIKEYQKKPGKKGHIQPQNQSISTSNLASHTAEHGISSDSAVSDPLEAKTPVTSAAAADVSSQSMRDVPSLDATTASLELKTDCKRESSDVSGSGNNVDSLEVDQHSKVDGLSNLDEHLKPEISGNKEEQDKSLHEKHLKDSASREIPSQAVPSKSMELKSDQNSSVEATLTDDVPTLGTARGVDVGGNTENERVSDSMDVSTSRIADPAGFEGSQVDMTCSDTSSSAVHSNEITVTKSGELDQQYAPAPTPDLSDGASKYEGEGISVASPKDKPALELTRTKSTITRGKKKRKEILQKADAAGTTSDLYMAYKGPEEKKETPSASADSDSIGVNLKQASNEAPQVDATESERIAQSKAEPDDWEDAADISTPKLQAPDNGEKVHGGLVKDGSGSMAKKYSRDFLLKFAEQCTDLPEGFEIASDIAEALMTANVNASHLVDRDSYPSPGRIIDRQSSGSRLDRRASGMVDDDRWIKLPGSFGPGRDLRLDLGYGAPAAGFRPGQGGNFGVLRHPRAQTPVQYVGGILAGPMQPMGPQGGMPRNSPDADRWQRAVNYQQKGLIPSPQTPLQMMHKTERKYEVGKVADEEEAKQRQIKAILNKLTPQNFEKLFEQVKAVNIDNAGTLTGVISQIFDKALMEPTFCEMYANFCFHLAGDLPDFSEDNEKITFKRLLLNKCQEEFERGEREQEEANKVEEEGEAKQSEEQREEKRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGEYENPDEEDVEALCKLMSTIGEMIDHPKAKVYMDAYFDRMTKLSNNMKLSSRVRFMLKDAIDLRRNKWQQRRKVEGPKKIDEVHRDAAQERQAQTSRLARGPGMSPAARRAPMDFGPRGSMLSSPGAQMGSFRGLPAQVRGFGAQDVRMDDRQSFEARTLSVPLPQRPIGDDSITLGPQGGLARGMSFRGPPVMSSAPLADVSPTSGDSRRIAAGLNGFSSVSERSTFGSREDLMPRYLPDRFAAPPAAYDQSSSQDRGMNFGGRDMRNSDRSFDRPLATSPPARGQPSGFTQNAAPERGYSEERLRDMSMAAIKEFYSARDEKEVGMCIKDLNSPSFHPTMIAIWVTDSFERKDMERDLLAKLLVNLVKSRDGVLSQVQLVKGFESVLSTLEDAVNDAPKAPEFLGRIFGKVIVENVIPLREIGRLIHDGGEEPGSLLEFGLAGDVLGSTLGAIKTEKGESGLDEIRASSNLRLEDFRPPDPNRSRILENFL >OMO69682 pep supercontig:CCACVL1_1.0:contig12001:28098:29691:-1 gene:CCACVL1_19338 transcript:OMO69682 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like protein MASSFLAVPKTLPLNKPTLPSLSTHKLLGSRRNSLRINAVAAKWEPAKVVPQADRVLIRLQQLPEKSAGGVLLPKSAVKFETYLMGEIVSVGTGVGQVEPGKKVLFSDINAYEIDLGTDTRHVFCKESDLLAEVE >OMO69689 pep supercontig:CCACVL1_1.0:contig12001:51650:51757:-1 gene:CCACVL1_19346 transcript:OMO69689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPVSGDRSAGRLVGNAAVQGMTKEKDPLIVLVV >OMO69677 pep supercontig:CCACVL1_1.0:contig12001:11533:11628:1 gene:CCACVL1_19331 transcript:OMO69677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETRRRLVKKETGRRREGLRLSNASPTYTN >OMO69686 pep supercontig:CCACVL1_1.0:contig12001:43474:45760:1 gene:CCACVL1_19342 transcript:OMO69686 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, delta/epsilon subunit MLRRASGLLARPILSARARSFATDLPAAQTADETFVETWKKVIPNIDPPKTPLSFMQPRPPTPSSIPSKLTVNFVLPYASELSTKEVDMVIVPATTGQMGVLPGHVATITELKPGVLSVHEGNEVTKYFVSSGFAFVHANSVADIIAVEAVPLDRIDASLVQKGLAEFTQKLSSATTDLEKAEAQIGIDVHSALNSALTG >OMO69695 pep supercontig:CCACVL1_1.0:contig12001:79584:80641:1 gene:CCACVL1_19352 transcript:OMO69695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37ae MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >OMO69685 pep supercontig:CCACVL1_1.0:contig12001:41548:43056:-1 gene:CCACVL1_19341 transcript:OMO69685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S17 MAEQTEKAFLKQPKVFLSSKKSGKGKRPGKDGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRILAGTCHSAKMVRTIIVRRNYLHYIKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFTAI >OMO69683 pep supercontig:CCACVL1_1.0:contig12001:31941:34141:1 gene:CCACVL1_19339 transcript:OMO69683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMRKKLCSSELILLCHLLLATVVCSKHHGNPANDLVEIINQNRTAQKLPKLNDSPGLGCMALQYVELCKTNCSGNSAVNCKPPDDDFTEVFAPNCGVELPTLGTITGHIVGCQSKYTDPPLAFSNILVKDKKTLSLLTNKSHSEVGVGLVGFHKGPFFWCVLFSNGKTNSTFVLEDRGQGIKQKKGCYSGSSFPCNAGERTVVFLPYIIILSCFIISLLNQL >OMO69673 pep supercontig:CCACVL1_1.0:contig12001:819:3133:-1 gene:CCACVL1_19327 transcript:OMO69673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MSNLGITCYMFLVGLEMDITTPKMGKTSLSVAIVGTILPAFGGMGLYYLALDPVKTKRPATGGFFWAVALTVTSFPNLARILSEFKLLYTDLGKTALTSAVVTDIASWVVLVILLSTVNGREEFYTLIATVLFIAISWFVSRPILCKIIKKIGAKNRSSVEGRYSEMHVFCILSAVLLHGIVTEACGIHSMFGAFMFGLMIPAGELGTKIMDKTEEYVVGILMPPFFLLTGLRTNIVSLATGVSLWVVILVIVVAFFVKIVATFLVCLFLKCPPRDSLSLGVLMNTKGVLAIIVLNEGRNLKALDQQTFSWMIVAILLMTSMVAPLLYLTHKPSRSLKLLYHRNLERIKVDQELRVLACVHSSRNISGLINLLRMSNATRRSSITVYAVHLVELTGRASAMLIFHDKNKTNDVSGQDQSREKAEAEQIVSAFDSLQKNNAEATIQVQLLTAVSPYATMHRDVINFAVDKLVTAILIPFHKKPDAFGRWTDENLQHKQVTDHLLENAPCSIGILVDRGLTAPLYQESSQPDRIHKCHIIMLFLEGPDSREALACAWRMACAPGVFLSVLRFVPEKDAMDMNNGDEDLGDDHDDSEIFSAMFEKEKQLLLDDDYINEFRFRTMNDESITYVEKKVRSGDQIISIIRSSYNDFNLYIVGRGHGMQSPLTEGLSDWNDCPELGLLGETLGSAEFLSAASVLVMQQSAPTHNVSKKNINSGTNKGAFENSTGKSAGPLVNHRKIDDLY >OMO69674 pep supercontig:CCACVL1_1.0:contig12001:7297:7374:1 gene:CCACVL1_19328 transcript:OMO69674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKVLNSETSLFSSAIERKPLIRI >OMO69690 pep supercontig:CCACVL1_1.0:contig12001:52260:52370:-1 gene:CCACVL1_19347 transcript:OMO69690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPHKLHQILTPTLPDSPNNPGKHILSKKPKVLSR >OMO69676 pep supercontig:CCACVL1_1.0:contig12001:9510:10433:1 gene:CCACVL1_19330 transcript:OMO69676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKKHLTDLSSSVGVCATCLRERLLVLIAAQAQAQQAQLARAAAAEDRRKPDPPPLIFPRSVSPYVSRRKSDDNTATWIHHQRFYSTPQVGPTYSTTTTTDFEAARSYKKKNRFSLFSNLFRSRSEKFSLDTTRVHYHRDSCDEPSSSSSSPSWFSTIFSVRRKKQQSSRTSHVEEFGQFGTVDRRSCKIIDRGMSPAIEADSGDECDRSPSGSSPEASPRWKRTPTAVRRARSGQRSVSGLAFCLSPLVRPSPNRHWNQKGGLPPDMSFTGEGRAPMKPHLATAAGFCANRSRKLADFGRVNHNR >OMO69692 pep supercontig:CCACVL1_1.0:contig12001:56022:57595:-1 gene:CCACVL1_19349 transcript:OMO69692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFYYFKEKSGNRGQRSAPELKKGLKSDEYSGPSDRITKSSCSAASPRSIPELYEEKAHNLRVFSYSELKQATQDFNLVNKIGEGGFGGVFKGTIKTADGKGQLQVAIKKLNRDGFQGHKQWMAEVQFLGVVEHPNLVKLIGYCSVDGQRGIHRLLVYEFMSNRSLEEHLFRRAHPPLPWKTRLNIMLGAAEGLAYLHEGLEVQVVGTYGYAAPDYVQTGRLTDKSDVWGFGVVLYEILTGRRILDRGRPKPEQKLLDWVKMFPADSKKFILIMDPRLEKQFSIRAAREVAKLADSCLVKSAKDRPKMSQVVEKLKQIIQVSDEGNAAAGENESPEETETEAAELVKNSNQQGVSESWKRRMAHLAKLGEHLEGPSRRRLMMLQRAKVI >OMO69688 pep supercontig:CCACVL1_1.0:contig12001:49892:50306:1 gene:CCACVL1_19345 transcript:OMO69688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYYYSGCEDHYEEHYLDCCSLCRKSLHNSDIFMYRGNTPFCSKECRQEQMEVDEAREKNWKSGRSLRKSDAKNSTPNKTVRTGTVAVA >OMO69681 pep supercontig:CCACVL1_1.0:contig12001:24834:27548:1 gene:CCACVL1_19337 transcript:OMO69681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKSAMDSAFWDQSISTPQTLDGAAKSIPGEPFPVDGARASRALRIQQLSLLKNGFPLGIIPSLSPPSQKELGSFSLQSILLAPSTPNWWLGLVGQLRPKKLISATKKEFQTQSTILSQTKHFAKHFLDKSLYSIALATQLSLPPSSSLIWSTEKHGEKKGYRNKFRLYHQLPDHDITLDAAWPELFIDHKGKYWDVPESISLDMSSLPSESGLLYRFGIHKNRGHPRALSAVDGEPPTALMPGLCAKAVVSYEKSKDFWRQKETKKDTIVKTDKGSFWRPSYDVRLKEPHAAISGIIGGTCAAWFGGRKSSTSAESQGEGDVPLNINKRSRLNVDLFGSVCYTFQHGQFRKLYGDLTRVDARLDINSLSSLAGRIFKGSSASSVDNSLSSPRLNLIFQQQVAGPIVFRVDSKFLLDSKSGKRGPHIEDVIYSLNYSLRLLRSGKVVAWYSPKRKEGMIELRLFEF >OMO69679 pep supercontig:CCACVL1_1.0:contig12001:20682:22356:1 gene:CCACVL1_19335 transcript:OMO69679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein (ACP) MAVRAAVLRHIRVPVQTLAVTASKPQSQWSSLRLFSSHDDHLTKEEVIDRVLDVVKSFPKVDPAKVTADVHFQNDLGLDSLDNVEIVMALEEEFKLEIPDKEADKIDSCNLAIEYIYNHPLAG >OMO69680 pep supercontig:CCACVL1_1.0:contig12001:23266:23844:-1 gene:CCACVL1_19336 transcript:OMO69680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MKMKKQNFPFPLFLFFLISSSTFHAIYAGDLIRETCKKCAQRDPNLSYKFCVTSLQAAPKSHCADDLRDLGVISIKLVRRNMTSSRSYIKELLKNKKLEPFVRSCLHDCLDLFSDAISVTKEAMDDFKSKHYDDANIDMSSVMDASTTCEDGFHEKQGLVSPLTKRNNDTFQLTAISLSIINMLRSNYTLLD >OMO69694 pep supercontig:CCACVL1_1.0:contig12001:76020:78205:-1 gene:CCACVL1_19351 transcript:OMO69694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LSD1-type MYPPGMYYPPPMLVDCSSCRTPLQLPAGARSIRCAICQAVTNIADPRSVPPPSSQPPATSSHATPPPPSSSPSPYNHAPPGAPPHAHGRKRAVICGISYRYSRHELKGCINDAKCMRYLLINKFKFPQDSILMLTEEETDPYRLPTKQNIRMALFWLVQGCQPGDSLLFHYSGHGSRQRNYNGDEVDGYDETLCPLDFETQGMIVDDEINATIVRPLPRGVKLHALIDACHSGTVLDLPFLCRMNRVGQYGWEDHRPASGLWKGTSGGEVISFSGCDDHQTSADTSALSKVTSTGAMTFCFIQAIERGHAATYGSILTAMRNAIRSVGGGSSDLGGGAVTSLISMLLTGGSVGVGGGLRQEPQLTACEAFDVYTKPFSL >OMO69691 pep supercontig:CCACVL1_1.0:contig12001:52896:55577:1 gene:CCACVL1_19348 transcript:OMO69691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSPGVAICNALINGYVKARDVSQANMLYEEMKSKGIAPDAVTFNILVAGHYKYGREEDGDRLLRELAVMDLLPNQSLCDISVAGLCWAGRLDEAFELLENMLEKEMTPSVVAFNSVIAAYSKAGLEDDAYKVYELMMKFNLTPSSSTCSSLLMGLSNKGRLQEARMLLCKMIEKGFPINRVAFTVLLDGYFRKGDLAGAKDLWNEMKCWGISPDVVAFSACINGLSKAGLIEEAHELYLEMSNKGLVPNNFVYNSLIGGFCYA >OMO69678 pep supercontig:CCACVL1_1.0:contig12001:15094:17046:-1 gene:CCACVL1_19332 transcript:OMO69678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDNQEPILNKDENVMDQSLFLKLKRWQWWLLVAINIFFLIVGQAAAVLLGRFYYDQGGNSKWMATLVQTAGFPILFIPYFFLRPSEEASTSTNSASMKVIALVYFVLGVVVAGDNMLYSVGLLYLSASTYSLICATQLAFNAVFSYFINSQKFTALILNSVVILSLSAALIAVNDESGGPSGVSKGKFLLGFLCTLGASALYSLLLSLMQLSFQKVIKKETFAVVLEMQIYTSLVATFVSTVGLFVSGEWNTLHHEMVSFDSGRASYVLTLVWTAVTWQVCAVGVVGLIFVVSSLFSNVISTLSLAVTPLAALVVFHDKMNGVKIIAMLLALCGFASYIYQNYIDDKKARRLQTHVEETHDVC >OMO69675 pep supercontig:CCACVL1_1.0:contig12001:8071:8468:1 gene:CCACVL1_19329 transcript:OMO69675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLDRDDIKLEDELLLLKGVIGACIALETDKQAWELPGLAGSCPHEQTPNFVPSN >OMO69687 pep supercontig:CCACVL1_1.0:contig12001:47724:48469:1 gene:CCACVL1_19344 transcript:OMO69687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRWGVHVSRVKKRVDVGKRCPGYTGSIRGPEGQGPRKKGQRDHASGGPQSSMVLLAQLL >OMO53991 pep supercontig:CCACVL1_1.0:contig15078:24691:30592:-1 gene:CCACVL1_28152 transcript:OMO53991 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSRNRLSSQRFHNGDERRSFNVQVTLTDQILLQIGGCDFFSVFVDNISRRITRANLWKEFSVFGVVVDVFLSTRRRNDDATTFAFVRFRATQVQKGSRVQETKRVVPQFKAPRPKIAVLETRKDNRSFAGVVNGERDKSIRIWDGDKKEGRNEIGRDRASTNLTKEHKECAVSFVKESTDMKMVESVVIEADNLIPESDMEWISRSAIGLLRSYIHHKSVQDSCDQGGISCYVRPLGGSLVLLTFEDKEVMEFYLNNHESWFQVWFSSIKPCEGSIRCQDRLVWITLEAVPIQLWHESFFSKIGNTWGKFITVDDSPYKRCRFDVARILVSIGSGVSLPSKVDVLIQGEKVVIPMVFEPAVDFVRLDSNWKFTGKKKQLVDEADEEDSNSSDALSSSKGIFEDSCMQRSFSKLEEGGGSRSKFNEVEASFINWSQPLENYVEEGQKLLGCEQSGGLNLEMKDGEQEINGEDTIFDRPTDNGPIIVSSSSDERIMQIEASKENFNREIVLFNGSVARVGGPKDEKKKGRLKVRGRRRNRKKTAEFCNGAKKGDGNDDAERKLFWEELLELKMNYNFPWVLGGEFNVTRSPEEKRGATRNVNAMLDFSEFIDNAELVDLPMLGGKFTWSSKRDTPSMSRLDRVLFDVCFLNLFSCLHQRCLPRSLSDHNPVIVESSEVDWGPKPFKFCNHWLDHCSFKDLVNNSWHSLPYELEAGSAIWSKLKKFKPIIKQWQQQVCNIDPMEIQKLEEEIDELEKLDPVVKRDLSSKRANLWSLYRAEERAWHQKSRAKWIKEGDRNTKYFHMVASARRSANFINNINHNGMTLSHPKEMKKAVADRFESFYNSSTTLELDDLDCDFKKLNLDQVDFLESPFTEKEVWEAIDSCDGNKSPGPDGFNLNFFKSYWRAVKEEVMIFMEDFHRTGSFDRRINSSFITLIPKCSGASSLKDYRPICLVGSIYKILAKVLANRIRRVIEDVVSHNQFAFIKGRQILDAVLIANEVVDFLKVVEKRKLHLVDWQTLTTPKSQGGLGISKLEVRNGALLTKWIWRFGNEKDSYWRSVVVARHNWPNDCIFPLLETSRKSSLVWKNIASPLESSNRFHDVLVSGFGLLIGNGKRISFWREEWIPGVILKQDYPRIFALVVNKTGKVEEFGNWSRGMWNWNIVLRRSVFDWERDQWDKFLTVIHGTFISEDDPDKLIWKNAASDLLELIKIRLARWSKAKWPGMQYTVDNFVREPQFVSAPVAKKKSCFSSMWSKPLKGCLKFNVDGSAYGKPGPAGIGGILRDEAGNSLVVFSKSIGVADSNYAEFVAIREAFIIFSASKWCDSHFLIVESDSENAVT >OMO61848 pep supercontig:CCACVL1_1.0:contig13401:39245:58891:-1 gene:CCACVL1_23207 transcript:OMO61848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDGESSTGRAEPPIATEGKTSANPSGSAIVEISEEDGEKVEKKEKDPRKIARKYQLELCKKAVEENIIVYLGTGCGKTHIAVLLIYELGHLIRKPQKKICVFLAPTVALVQQQSRVIEDSLNFKVETYCGSCRHLKTHHDWEKQIEEYEVLVMTPQILLRSLYHCFIRMDSIALLIFDECHHAQIKSNHPYAEIMKVFYNKATASMLPRIFGMTASPIVGKDASGQGNLPKSINSLENLLDAKVYSVGDKEELESFVASPVVSVYEYGPVDFGPSSLYMIYRSKLETIKRQCISTLGRKNGDIQSARNTKKLLRRMHDNIIFCLENLGLLGALRACQLLLTGDKSERIELVEDEGSLSDDSVCDRYLTQAADVFASECRGGGCNDISDVEILKEPFFSKKLLRLIGILSTFRLQPNMKSIIFVNRIVTARSLSYILQNLKFLLSWKCHYLLGVHSGLKSMSRKTMKFILEKFRTGELNLLVATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPLSEYAFLVNRGNERELDLIRNFKKDEDRMNMEISLRTSTEVFTAPEERLFKVDSSGASISSGYSISLLHHYCSKLPHDEYFDPRPSFCYFDDIGGTICTIVLPSNAPINQISSSPQSLVDSAKKDACLKAIEELHKLGALNDYLLPLQNNVLEEGTLPVSSDCESSEDEDSRGELHEMLVPSILKNPWTGLENDVLLNSYYLKFIPDPEDRSYKEFGLFVKSPLPKEAEKMELDLHLARRRSVMTKLVPSGVAEFNREQIIQAQHFQEMFFKVILDRSELLAEFVPLGNKEVFASGSSTFYLLLPVVLNNCENTLTVDWRIIQKCLSSPLFRTPAEAAETENFPSDVCLRLANGCRSISDVENSFVYAPYKKEFYFITNIVGDKNGYSPYKDSGTLSYVEHLKRFDIHLKHPEQPLLHAKPLFKLHNLLHNRKPEDAESHELDEYFIVLPPELCQLKILGFSKDIGSSLSLLPSIMHRLENLLVAIELKQVFSASFPEGAEVTATRVLEALTTEKCQERFSLERLESLGDAFLKFAVGRHLFLLHDDLDEGELTRRRSNAVNNSNLFKLATRSNLQVYIRDQPFDPCQFFALGHPCPVICTKETEKAVHSHSSQADNAICEVRCSRNHHWLHKKTIADVVEALVGAFIVDKGFKAATAFLRWIGIRVDFQHSQVNNVCTSSKKFMPLCSKVDTRALEDLLGYRFLHKGLLLQALVHPSHNMLGGGCYQRLEFLGDAVLDYLITSYLFSVYPKLKPGQLTDLRSVSVNNKSFADVAVDTSLNKFLIHDSSALSEAIEKYVEFITSSPERKSFEGPKCPKALGDLVESCFGAILLDTGFNLNRVWKMMLSILDPIKSLSSVQLNPIRELQELCQSRNWDLKFLESKSGRKFLVDAKLNAGDVPLTASAINHNKKDATRIAAQEMLMKLKALGYAAKSKSLEEVLKASCKMEAKLIGFDETPIDVADPDTIGLENIKLQQSVDNDSNPKINKVTGNRPPCISLASELVQFSEVKAGEFRSPLEVRRALPGCSTVDTNCGIDSSSSGGPKREMQQSMRQKVHSGAVQGAFLGRRRRRISHREPAAAEAGDSGETVEPQRGEVQILLTSKEDPVSIWSLGGSMARLGGGAEEHARFKQYEYRSNSSLVLANNDNRQRDTYEPAREPESLWGKIDPKSFGDRVYKGRPLELDHKLKKSMKKKERDPLSEPAPLRQSKRRRIWEESVLTVTEEGVYEPKTKETRAAYEAMLSLIQQQLGGQPLNIVSSAADEILAVLKNEGIKNSDKKEIEKLLNPIPSQDGGEAVCRNGDEGLDDDVGVAVEFEENEDEEEESDIDMVQEDDEDEDDGVENGIGGMQVGGGINDDDAHEANEGMSLNVQDIDAYWLQRKISQAYDQQIDPQQLQKLAEEVLKILAEGDDREVENNLLAHLQFDKFSLIKYLLRNRLKVVWCTRLARAEAQEERKKIEEEMMSMGPDLAAVVEQLHATRATAKERQKNLEKSIREEARRLKYESGGDGDRDRRGLVDRDTDGGWLKGQRQLLDLDCLAFEQGGLLIANKKCDLPLGSYRHLSKGYEEVHVPAQKPKPLEPNEKLVKISEMPEWAQPAFKGMQQLNRVQSKVYETALFSADNILLCAPTGAGKTNVAVLTILRQLGLNKDSDGSINHSNYKIVYVAPMKALVAEVVGNLSHRLEAYGVTVRELSGDQTLTSQQIEDTQIIVTTPEKWDIVTRKSGDRTFTHLVKLLIIDEIHLLHDNRGPVLESIVARTARQIETMKEYIRLVGLSATLPNYEDVASFLRVDLKKGLFHFDNSYRPVPLSQQYIGITVKKPLQRFHLMNDICYEKVMAVAGKHQVLIFVHSRKETAKTARAVLDTALANDTLSRFLKEDAASREILQSHTDMVKSNDLKDLIPYGFAIHHAGLSRADREIVELLFADKHIQVLVSTATLAWGVNLPAHTVIIEGTQIYSPEKGAWTELSHLNVMQMLGRAGRPQYDSYGEGIIITGHSELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGTVQNAREACNWIADTYLYHRMLQNPTLYGLPADILSRDSTLEERRADLIHSAATILEKNNLVKYDRKSGYFQVTDLGRIASYYYISHGTISTYNEHLKPTMGNIELCRLFSLSEEFKYATVRQDEKVELAMLLDHVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVYITQSAGRLLKALFEIVLKRGWAQLAEKALNLCKMVTKRMWNVQTPLCQFKGIPNEILLKLEKKDLAWDRYYDLSSQEIGELIRNQKMGRPLYKFIHQFPKLNLAAHVQPITRTVLRVELTITPDFQWEGKVHGYVEPFWVIVEDNDGECILHHEYFLLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYHNFKHFNPVQTQVFAILYNTDDNVLVAAPTGSGKTICAEFAILRNHQKASDSIMRVVYIAPLEAIAKERYRDWENKFGKVLGMRVVELTGELSLDMKLLEKGQIVISTPERWDAISRRWKKTEACAAEVIVSRMRYISSQSDNKIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAIVQHAKNGKPAIVYVPTRKHVRLTAVDLMSCSKVDNEDEAAFRLR >OMO61847 pep supercontig:CCACVL1_1.0:contig13401:37591:38603:-1 gene:CCACVL1_23206 transcript:OMO61847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSALNLGMIASFHYISYTTIERFSSLTSKTKMKGLLEILASASEYAQLPIRPGEEDVLQRLINHQRQHVGGNLALDQREVLLFATRLLQAMVDVISINGWLSLALLAMEVSQMVTQGMWERDSMLLQLPHFTKELAKRCQENPGKSIESIFDLVEMEDGERRELLQMSDLQLLDIAKFCNRFPNIDLSYEIESENVRAGENVTLQVTLERDLEGRTEVEPVDAPRFPKAKEEGWWLVVGDTKTDQLLAIKRVCLARKAKVNLVFCAPSEAGRKTYMVYFMCDSFLGCDQEYNFNVDVLEAAGCDEDSGNE >OMO61846 pep supercontig:CCACVL1_1.0:contig13401:31154:36603:-1 gene:CCACVL1_23205 transcript:OMO61846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance, DNA-dependent ATPase MSGWDEGAVYYSNQAQFTEASSEAEGGAAASGTASRHSILLKFKEFIRNFEKEKNMFPYRESLVNNPKFLMVHLEDLLSFDSDLPSLLRSCPSDYLPLFETAAAEVLASLKMKVAGDAGEMVEPQTGEVQILLTSKEDPVSMRSLGAQYISKLVKISGITIAASRVKAKATYVQLICKNCKSPRTVPCRPGLGGAIVPRSCDHVPQPGEEACPIDPWIVVPDRSKYVDQQTLKLQENPEDVPTGELPRNTLLSVDRHLVQTIVPGTRLTIMGIYSIFQASNSSTNHKGAVAVRQPYIRVVGMEETNEASSRGPATFTQEEVEEFKKFASNQDTYQAICSKIAPSIFGHDDVKKAVACLLFGGARKNLPDGVRLRGDINVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVIRDSSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIRMYDQDKIIASHIIKVHASAEAASIDSRPTKEENWLKRYLQYCRTECHPRLSEAACAKLQSDYVDIRRGMRQQANETGEAAAIPITVRQLEAIIRLSEALAKMKLSHVATEGDVAEALRLFKVSTMDAARSGINQQINITPDMANDIKQAENQIKRRLGIGNRISERRLIDDLTRMGMNESMLMDAAEFGLVSILIMSLLIVFGRWVASHGLIVESDSKNAVHWVECPDDDPWRLRKWVIHIRQLKRNCSAFRVINIFREANSEADKLAKDGVDRNSPLIRSFQ >OMO59385 pep supercontig:CCACVL1_1.0:contig13994:5043:5144:-1 gene:CCACVL1_24868 transcript:OMO59385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISCSASLVSSLHCKYRQLVPSSTTLPRLDPRSLQ >OMO53083 pep supercontig:CCACVL1_1.0:contig15339:9300:12369:-1 gene:CCACVL1_28892 transcript:OMO53083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNNSTPSPSEAIPETMDFLSRAWCNFAVQALQPDYQLHDQSIVVLDNSIKKFDQCDSPPMSFKKMDKSTKIDPTADFKSSLPPWKSNDVKSWIWMQQAMHPELNYSSCFRKKWKPWKILPFKGISIKKWLKEMKAKRKEEDRLQRAEVQAAMSVAGLAAALAAIAAENTKREDCSNPTKEAAVASAAALVAAQCAKVAEAMGAKKEQLGGVIGSAMSGTTASDILTLTAAANTSLRGAATLKARTGCKNRLNGSAPILPIEDSNDLPFEFDKCTSLLAKGAQLGVETPDGKYMVRSVSIVLDGESKVILKLRKLSMLKSKKECIVLDLHAELYRDADAGDDITDTSYMIVLTTNLGTIKLDMEDDYQLYKTWATTINRMLMLSTSFTKYELQFYKN >OMO53082 pep supercontig:CCACVL1_1.0:contig15339:1571:5906:1 gene:CCACVL1_28891 transcript:OMO53082 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI mannosyltransferase MRQRKNDSSNKYPNLSEEVVEEKKQEKKSPDSAKKVLALCLGFRMLNALLIQTYFNPDEHWQALEVAHRIVFGYGHLTWEWKKGIRSYLHPMLFALFYKVIALLGLDTPWFMMTAPRLLQSLFSSVGDLYLYKLSGVLFGDSVAKWTLFSQLTNWFIFFCFNRTLSNSLETVLTLVGLYYWPCMRISSKKVHSDSRKWGLAVAALACAIRPTSAITWVYVGLLELYLTHQRLRFIFMELVPIGSLVLGIMCLVDRLMYGSWVLVPINFLRFNFLSSGGDYYGTHKWHWYFTQGFSVMLFSFIPFSLAGIIKSKNWKFAGLIVWVLGVYSILGHKEFRFVLPVLPISLIFSGYSLASLEEHGSSNGKRKESSHICNKWPSKKQLAVWFLLATNIPMALYMSLVHQRGTEDVMKYLSKEAVNNKVKSVIFLMPCHATPYYSALHRNLPMRFLDCTPSEEKGIPDESDRFMMNPVDFAIDFAKNWSSPSHIVLFDSEEKQLRNFLLSQSFQEVRRFFHAHFKVDRDLQASVVVYATSGI >OMP12336 pep supercontig:CCACVL1_1.0:contig00128:1748:2990:1 gene:CCACVL1_00034 transcript:OMP12336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMKQQQQAMGDITTGTSEVETLDDQKAPFSGAGLFLEQESSKPRLSLKERSRISPSLGFTIWG >OMP12335 pep supercontig:CCACVL1_1.0:contig00128:1090:1401:1 gene:CCACVL1_00033 transcript:OMP12335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKPRKKSSNKWTCVVCNQKQSVLKVFAQGPMAKDLRKFVQTFNMSRKFTDQNQPFDPESDIEADDPENQRKRRSDWTEYLDPEDYRDDRVALQEEERGRSLFT >OMP12337 pep supercontig:CCACVL1_1.0:contig00128:8025:10675:1 gene:CCACVL1_00035 transcript:OMP12337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARKLQNGGKEEETNDELILEEAVLSLVITNAVEVQDGSGRSLGIAVYDTSFSWINHSCSPNACYRFSISPPNAVPSAEDSTSKLRIVPSVSGEECDVCRCLEHTKGNRGYQYGPKIIVRSIKRISKGEEVCISYTDLLQPKAMRQSELWSKYQFTCSCSRCSATPSTYIDRALEEISSIDLGLSSSSFDVKLYRDETTKRCNTPSVSLRIFFDCICCKFLDKCRGVFINLSKKLPVELAYKGGLPYLRSVNNCKA >OMO63521 pep supercontig:CCACVL1_1.0:contig12952:3470:3559:1 gene:CCACVL1_22396 transcript:OMO63521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GASAKSTILSTYTTTCWSSFPSKGYLPPS >OMO58849 pep supercontig:CCACVL1_1.0:contig14103:213:1712:1 gene:CCACVL1_25309 transcript:OMO58849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADLRQCAEAGNTDSLYRIIQGNEKILDKIDQQPFTDTPLHVIASLQAIGDQHMTFALELMNLKPSLARKLNLEGYSPVHLALQSNHTGLVLQLIAIDGSLVRVKSRGGITPFHYVVERGNLQLVTRFLKECPECVQDVTVEGRTALHIATENDNNAAFEAIMGNIEGNTVLHVAATRNQAQVIRRLMQFPVDKNAKNAQGETAVDIIRRERQVNNVVFRIILWCLAVGSLFINNILFYFSGLRRIMVLQYENMPGDRRSALLVVAGLIITATYNASLNPPGGVWTPDYTSASMNITTSYSTQNGTINAAPEHKLGTTVMDEAYLAYKHKLLKATAGELSSEKSRSKP >OMO58850 pep supercontig:CCACVL1_1.0:contig14103:2788:4415:-1 gene:CCACVL1_25310 transcript:OMO58850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSKVDSKKKPDAKAQAVKAAKAVKSGATFKKKAKKIRTKVTFHRPRTLKLDRNPKYPRISAPPRNKLDQYEILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDSDALDVANKIGII >OMO58853 pep supercontig:CCACVL1_1.0:contig14103:20718:22013:-1 gene:CCACVL1_25313 transcript:OMO58853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-ketoacyl-CoA synthase MLGFECYKAPDDRKLDTEACVRVVMRNKNLGLEQYRFLLKTIVSSGLGEETYGPSNVLEGREDFPSDRDAHSEMDEIMFETLDKLFAKTGVSPSEIDILVVDVSLFSPSPSLTSRIINRYKMRDDIKSFSLSGMGCSASVVAVDLVQRLFKTYKNQFAIVVSTESIGTHWYCGKEKSMMLSNCLFRAGGASILLTNKAALKNQAHFKLNCAVRTNIGYDDEAYGCCMQLEDDQGYQGFLLTKFLTKAAAKAFTSNLKILIPKILPVTELLRYAISTLATKKIIKGQNPDAATGLSLNFNLKTGIDHFCLHPGGRAVIDGLGISLKLSEYDLEPTRMALYRFGNTSAGGLWYVLSYMEAKKRLKKGDKILMISLGAGFMCNNCVWEVMKDGLQDTRVWGDCIDSYPRKNLVNPFTEKYSWINDDVYNFVRMD >OMO58854 pep supercontig:CCACVL1_1.0:contig14103:52092:52364:1 gene:CCACVL1_25314 transcript:OMO58854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHKERKERDEAAKMVRDEQGSSNKKEDVHAVESTTKTTGFDPITKVVINETIVSDSDANKGTNQHGAQNPDDVLAFSRAVHQIDSSLE >OMO58852 pep supercontig:CCACVL1_1.0:contig14103:13742:15575:1 gene:CCACVL1_25312 transcript:OMO58852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTLVTLCTWQQGPDFVSSFSPFSLIFKAILSPLLLREDLQLGKRRSSTAIHREVRVRTEPVI >OMO58851 pep supercontig:CCACVL1_1.0:contig14103:7100:8401:-1 gene:CCACVL1_25311 transcript:OMO58851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-ketoacyl-CoA synthase MLGFECYKAPDDRKLDTEACVKVVLRNQNLGLEQYRFLLKTIVSSGLGEETYGPRNVLDGREEFPSDKDAHSEMDEIMFETLDKLFAKTGVSPSEIDILVVDVSLFSPSPSLTSRIINRYKMRDDIKSFSLSGMGCSASVVAVDLVQRLFKTYKNQFAIVVSTESIGTHWYCGKEKSMMLSNCLFRAGGASILLTNKQALKNQALFKLNCAVRTNIGYDDEAYKCCIQVEDDEGYQGFLLTKFLTKAAAKAFTSNLKILLPKILPVTEFIRYGISYLARIKTIKGQNPGFSLNFNLKTGIDHFCLHPGGRAVIDGLGVSLGLSEYDLEPTRMALYRFGNTSAGGLWYVLSYMEAKKRLKKGDKILMISLGAGFMCNNCVWEVMKDGLEDTRVWDDCIDSFPRKNLVNPFTEKYSWINDECLNFVRLEKFESSA >OMO79493 pep supercontig:CCACVL1_1.0:contig10409:84724:87230:1 gene:CCACVL1_13635 transcript:OMO79493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nin one binding (NOB1) Zn-ribbon-like protein MEDSGAPAPESKPAPCWSNILKSQPPKPQTQNQTAATNQLFVESCKSTKGIAVAVVDANAIIEGGEKLTNSADKFVTVPEVLAEIRDPVSRHRLAFIPFSIDSMEPSPDALNKVIKFARATGDLQTLSDVDLKLIALTYTLEAQIHGSNHIRNVPPPVHVVNVKRLPEKDLPGWGNNVPNLEEWEALDGEAEDATNTNSRILPLKDLNMNVVPSDNHSEKGSVETMGETHSENQEDAEQSFRRPRRYLPQKKEVKIEGKKMVADGIDASQGQLDDNGDDWRPAVSRSTHRRFLRRKARREHYEALVEKDCQEDMEKSKDKNNVEDATGTDQLLPQSAEEAHSKNGISEEAERTEAGKGDEDLSSILKQMRLEEDSLNALQEAEEAENTVDDDENLAVEGDKEDLVNEELDQFEMSSQTNETVDASYTDDVSSEQSWMLRSLSESSVACVTGDFAMQNVLLQMGLRLLAPGGMQIRQLHRWVLKCHACYTVTAEIGRIFCPKCGNGGTLRKVAVTVGENGVVLASHRPRITLRGTKFSLPLPQGGRDAITKNLVLREDQLPQKFLYPKTKKKVNKQGDDDLFMAGVAFTHHTDKRAPLQPPVRKALAVFSGKRNPNDNHYSRSKQK >OMO79504 pep supercontig:CCACVL1_1.0:contig10409:151593:151820:-1 gene:CCACVL1_13647 transcript:OMO79504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFIPEEYVIRRRIEKKAAAAIINTGKRLPEAGKKVEKEKKTVLPSPFRFDSTNEFLVPAGGIAENVVFSCFSA >OMO79481 pep supercontig:CCACVL1_1.0:contig10409:9985:11336:-1 gene:CCACVL1_13623 transcript:OMO79481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVENGESKTETSGKNKRRRLLFLLWCFTSCSCENGRESAIRETSSIAGIIDPILKDFRNSSLVKRKLRSPYILRKI >OMO79487 pep supercontig:CCACVL1_1.0:contig10409:43189:45728:1 gene:CCACVL1_13629 transcript:OMO79487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRIEVTTVRFRFRGMGGRMVVEYKGLTLVTVRGAGHLVPLIELNFYNVWAYNQAFNFLDLLFFNFVILR >OMO79485 pep supercontig:CCACVL1_1.0:contig10409:30397:30633:-1 gene:CCACVL1_13627 transcript:OMO79485 gene_biotype:protein_coding transcript_biotype:protein_coding description:porin/voltage-dependent anion-selective channel protein MRIPSLWGQHALDETTIVKARMNNAGKASALIQQEWHRKSVFTISGEVDSKSIDKGAKVGLASTLKPGVFIAHFGRVW >OMO79502 pep supercontig:CCACVL1_1.0:contig10409:140412:145740:-1 gene:CCACVL1_13645 transcript:OMO79502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSKRKFIIKEESDPIIEEEPDLISEEDSDEKNSDLKPLLDSQRKKGRKVINNDELRLLNPIPANEARERWPLRYQDQPRSQSSTETLYDSAEEIVQAKYHYANAMVDSCLYKLGDTAYVQAEEGKPHYIARIVELFQTIDKQAYFKAQWFYRAEDTVINEENAALIDKRRVFLSDMHDDNPLNCIVSKVEIAQIDLVVKERTIPQSGFYCDMKYSLPYLTFKNIFHGGCFKGSNNNSSKPQKLLLDLYSGCGAMSIGICMGASLAGVDLVTVRKEGAEDFLCLIKKWQKLCKKFSLLDDQDDSGETSDEEIDDGQGCTVSEQEDGEDDEGSEEVYEVEKIFDICFGDPNKRKERGLYFKVHWKGYDQTYETWEPIRNLSNCKEKLKDFVRKGHKSNILPLPGSVYFICGGPPCQGVSGYNRYRNEKAPLDDEKNKQLMVFMDTIEHLQPRYILMENVVDILKFAKGFLGRYAIGRLVSMNYQTRMGMMAAGSYGVPQCRVRVFLWGAHPSEKIPQYPFPTHEVVSRGLNPKEFEEINVVHGKKDYRLEKALNLGDAISDLPQVGNDEKDKEREYGTVACTGFQKYIRLPRKDVIKLTVDSRHKSSGMLYDHQSLRLNADDYERVGYIPKKKGANFRDLPGVLVNEDNRAELDPTQERKRVKSGKPLVPDYAIKFVKGKSTKPFGRLWMDEIVNTVVTRAEPHNQIIIHPSQDRVLTIRENARLQGFPDCYKLFGTIKERYIQVGNAVAFPVSIALGYAFGLACQGKSQDDEPLMTLPFKFPQCLARSSDDDN >OMO79483 pep supercontig:CCACVL1_1.0:contig10409:18346:23125:-1 gene:CCACVL1_13625 transcript:OMO79483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKVYADRMSQPARAVVIFCKVNGIDYDEVKVDLSKGQHLTPEFAEINPMKKVPAIVDGRFKLFESHAILIYLACAFPGVADHWYPADLFKRSKIHSVLDWHHSNLRHGAAMFVLNSTLAPVLGRRLNPQAAAEAEKLLSSSLSKIESIWLKGSGRFLLGGNQPSIADLSLVCEIMQLEVVDEKDRMRILSPHKKIQEWIENTRNATRPHFDEVHKICRFVWTVGFSYIGFNALAQALERMSTAFIHILNLKEEFLQAPTKCLRFFVFNQKRRERERETKRNRKKMKLKVYADRMSQPSRAVIIFCKVNGIDYDEVKVDISKRQHLTPEFAEINPMKQVPAIVDGKFKLFESHAILTYLACAFPGVADHWYPADLVKRSEIHSVLDWHHSNLRRGAVTFVLNTVLGPATGLPLNPQAAAEAEKLLSSSLLKIASIWLKGSGSFLLGGNQPSIADLSLVCELMQLEVLDEKDRMRVLTPHTKVQQWIENTRNATRPHFDEAHNILFKVKEKLQNQRFKRSK >OMO79497 pep supercontig:CCACVL1_1.0:contig10409:109083:109833:1 gene:CCACVL1_13639 transcript:OMO79497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor 2B-related protein MAKVHPAQASTLSDESDPCSTMSNSAGIRETFTIWMKSLVCHTNGCTVFDSNGDIVYRVENYDTKGSSEVQLMDLHGTVLFTILKKKLQICGRWNGYRGNFTGKNMKGKKPCFQVKKNCSIFRRSDNNIACEVTVGFNKFWIVRLLGGKKLQGFKIVNFNGETVAEVKQKQLSSGMVLGDDVLSLEIEPYIDHSLIVALVIVYGLINRKL >OMO79499 pep supercontig:CCACVL1_1.0:contig10409:119161:121372:1 gene:CCACVL1_13641 transcript:OMO79499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHKREECDGEDRLSELPDELLVSILSGITMKEAARTSVLSHRWEKLWTFYPCLDLDGSKRLDNFLSQIRDIGPGITSERRNEILDIQRGGYINWVNHILESSQLHVVDEFKVQFDLDERYKPDIDKWVRFALEKKVKRFELDFSSYKDRVHYMGHYNYPLSLEALPLPSSIFLTSLILKMVAVSDQVLENFISNCPFLESLCVGGSRSLVHLRVPGSSLCLKYVEVTYCKNLKSLELHAANLLSLKYTGLSTAISFMNIPNLVELCVGGKYQQYFVHNLPILSKSFSQLQKLALDLDIKPYFLHMRNIKWFQNPILSQLKQLELHVVASDVQSLLLYSPLIEACPSLNRLALKLDWYKSAIKREVRKLRQCCHLSLKVVEMTGFVGQIVDTEFCIYLIKNAKMLEKMIIDPCYRSPYVIGDIELVEAARECAEQLRSEYCIGDKLIIL >OMO79491 pep supercontig:CCACVL1_1.0:contig10409:77669:80241:-1 gene:CCACVL1_13633 transcript:OMO79491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGDSELQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYSALTVPELTQQMWDSKNMMCAADPRHGRYLTASAVFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMAATFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEQYEEEEEEEVDG >OMO79486 pep supercontig:CCACVL1_1.0:contig10409:37243:38686:-1 gene:CCACVL1_13628 transcript:OMO79486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAQIIQIRDEFNAPHNLEQQGNRCLRITTLDSYERCKELVYEERDGYTNWVNHILESCHNLQAIDEFRVRFDLDESYTDDIDGWVRFAFERKVKRFELFLSPDEEGGPYYTKECYPLSLQVFHSPQISSNISLTSLILNHVNVSDQVVEYLISNSPFLERLCVVGSESLIDLRVAGPSLSLKYVEIIFCGYLESVQLNAVNLSSFKYVGFKIEISFVNIPNLVELYIGGDQLESFSRELPDLVSTSFSQLQKLVLRPNSRVMKKTARIKIPLLSQLKQLELHVKVDSTADSLLDYSTALIKSCPSLDRFALELRCFEPFKRRKVKRPKNYPHHSLKLVEVTGFLGQTVDIEFCRYLIENAIMLEKIIINPCFLFYKGTAYGILYVEVEKAARERAEQFRSKYCLGDKLVIV >OMO79490 pep supercontig:CCACVL1_1.0:contig10409:71836:77143:1 gene:CCACVL1_13632 transcript:OMO79490 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MEGVTLTGLLKKAATEFPNRRALSVSGKFDLTHAQLQELIDHAASLLVASGIEPGDVVALTFPNTVEYVIMFLAVIRCRAIAAPLNAAYTAEEFEFYLSDSESKLLLVPAEGNKAAQAAASKLNIPHVSATLQDEKSKVTLSSDPESNLDSVNQLVNDPADVSLFLHTSGTTSRPKGVPLTQLNLGTSVLNIKSVYKITESDSTVIVLPLFHVHGLLAGLLSSLVSGAAVALPAAGRFSASTFWADMIKYNATWYTAVPTIHQIILDRHESKPEPAYPKLRFIRSCSASLAPSIMARLEAAFDAPVLEAYAMTEASHLMASNPLPECGPHKPGSVGKPVGQEMAILNEQGEIQPPNANGEVCIRGLNVTKGYKNNPEANKSAFLFGWFHTGDVGFFDSDGYLHLVGRIKELINRGGEKISPIEVDAVLLAHPDVAQAVAFGVPDEKYGEEINCAVIPREGVDLTEADILQYCKKNLASFKVPKKVFLTDSVPKTATGKIQRRIVAEHFLSQMFSAFVHSPVSSRRYTISLEAEQVIFMEEVPKQKYAADLSSFREAQARINPFINKTPVMTSESLNAISGRKLFFKCECFQKGGAFKFRGACNAIFSLDDHQAAKGVVTHSSGNHAAALALAAKLRGIPAHIVIPKNAPQCKVQNVMRYGGNIIWSEAAVQSREEVAKKVLQETGAVLIHPYNDRRIISGQGTISLELLEQAPEIDTIVVPISGGGLISGVALAAKSINPAIRILAAEPKGANDAAQSKAAGQIITLPETNTIADGLRAFLGELTWPVVRDLVDDIITVDDSEIIEAMRLCYEILKVAVEPSGAIGLAAVLSDSFRNNPVWKDCNQIGIIVSGGNVDLEVLWNSLRK >OMO79482 pep supercontig:CCACVL1_1.0:contig10409:12849:17154:-1 gene:CCACVL1_13624 transcript:OMO79482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase MSRGNFLEEKLQLPPTRKTRSRAMNRNTLHQKMAISMSKKKSKSSKKLEIQQQYHFSDSILFKLLSRIPLAIFLLILVFIWSSSTTIISGKFVHVCVSSRKLNNLYCLSAGTQPNFDIPIPAFNHSSISPNISSSIKEAVNNVRVDPDPIFSNNVVNNNGSDGEIAIAVKVVEEQLQAQRSWISNKNHAASCDGIGIYVYDLPSKFNKDLVGQCGDMIPWTNFCKYFSNEAMGEPIEKLGKGWYKTHQYALELIFHTRILKHPCRVYDENAAKLFYVPYYGGLDILRWHFKNVSNDVKDTLALELVKWLEKKRPWIQNSGKDHVFVLGKISWDFRRKSEESWGTRLLELEQMQNSLKLLIERQPWHINDIGIPHPTYFHPNSDNDIINWQLKIMRSNRKSLVSFAGAARPEAPENIRSILIDQCNSDEAKCRFLNCSSGKCDQPESVIELFMESEFCLQPPGDSPTRKSVFDSLVSGCIPVLFDPFTAYYQYPWHLPEDHSKYSVFIDQEEVRKMKVNVVERLMQVPVRERDNMRSSHAQNKKSPWAMTYSLMRRIVALFTSMTHQTCKHEKAPRGKTTFNTIVKARCELHKQDLTKQSYKLKCKRFLVLTSNSMLCSPQNLIRRNLSSVAISASLLFAKRFSPSSKPTLSSFPQISPVSLVSSSKETGFSRSFLGSLRFDHSMASQSAQTSVHDFTVKDARGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGNNEQILEFACTRFKAEYPIFDKVEVNGANTAPIYKFLKSSKGGLFGDSIKWNFSKFLVDKDGNVVDRYAPTTSPLSIEKDIKKLLA >OMO79484 pep supercontig:CCACVL1_1.0:contig10409:25186:27843:-1 gene:CCACVL1_13626 transcript:OMO79484 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE nucleotide exchange factor MEKQLAEVFRKFGVEKFDPTNEPFDPHRHNAVFQVPDNSKPPGTVAHVLKSPRSAVMSSSRQRRSNSNSRQTSKYVEDWDLSYEEYDESSEMESQITRMKAARQRRSTMPKPTQRWAMTESNESSEMEGQTTRKKKSKAEERQRLSAKSKAEEKKSKAEERQRLSAMPKPKPTHVRWAISDKEDDFFDSIYETLDFNNHERSRIEPLKKKADKDLDKFEKNEKRARDDLRNLKEEEKGVKLSTKIAYQLFDAKQKEVAALDEELAKLRDTRRSLLKQLSRQEMIPLNSVSHVLWVSEMLDMYEWRGRNKMVVDFSFGPDVRNAAMDDRRLKPYYKVGEPVTRQMKKKKKVA >OMO79489 pep supercontig:CCACVL1_1.0:contig10409:54129:59009:-1 gene:CCACVL1_13631 transcript:OMO79489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MATKLLALACIRNESYGHLSPRPHYPSMPKYPKGVSAQETSLEGSEAKAMFSVIGMTCSACAGSVEKAVKRLPGIREAVVDVLNNRAQVMFYPSFVNEETIREAIEDVGFEAALIKDETTEKSIQVCRIRINGMTCTSCSSTVEKALQAIRGVLKAQVALATEEAEVHFDPKIVSHNELMKEIEDTGFEAILVSSGEDMSKIDLQIDGVRTGNSMRLLENSIQALPGVHAVEVSPELKKISVSYKPDMTGPRNFIRVIESTGSSRRFKAAIFPEGVRGGRETHKREEIKQYFRSFSWSLIFTTPVFLTSMIFMYIPGIKHGLDTKVVNMLTIGEIIRWVLSTPVQFIIGRRFYTGSYKALRHGSANMDVLIALGTNAAYFYSVYTVLRAATSPHFEGTDFFETSAMLISFILLGKYLEVLAKGKTSEAIAKLMNLAPETAILLTLDEEGNVIGEEEIDSRLIQKNDVIKIIPGAKVASDGFVLWGQSHINESMITGEARPVAKRKGDTVIGGTVNENGVLHIKATKVGSESALAQIVRLVESAQMAKAPVQKFADRISKYFVPLVIFLSFSTWLAWFLAGKFHGYPESWIPSSMDRFELALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKINCIVFDKTGTLTVGKPVLVNTRLLKNMVLHEFYELVAATEVNSEHPLAKAIVEYAKKFREDEENPAWPEARDFVSVTGHGVKAFVKNREVIVGNKSLMLDHNIAITADAEDMLAETEEAAQTGILVSIDGEVTGVLAISDPVKPGAQEVISILKSMNVRSIMVTGDNWGTASSIARQIGIETVVAEAKPEHKAEKVKDLQAAGYAVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYIWALGYNILGIPIAAGALFPSTGFRLPPWIAGAAMAASSVSVVCCSLLLKNYKRPKKLENLEIRGIKIE >OMO79488 pep supercontig:CCACVL1_1.0:contig10409:50384:53410:1 gene:CCACVL1_13630 transcript:OMO79488 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSHLKLGVDVVGAHNLLPKDGLGSSSAFVELYFDGQKYRTTIKEKDLSPVWNESFYFNISDPSNLHYLTLEAYVYNNIRGSNNRSFLGKVCLNGTSFVPYSDAVVLHYPLEKRGIFSRVRGELGLKVYITDDASIKSSIPAPAVESMPSNNPHMTHMHAQAVPSPVMKERVEARHTFHHLPNPNLQQHNQHHSSDLDDHHHLHHHVPKHIADEMKPEAPPPKLVRMYSAASAQPVDFALKETSPFLGGGRVVGGRVIHADKTASTYDLVERMHFLYVRVVKARELPAMDVTGSLDPFVEIRVGNYKGITKHFEKKQNPEWNQVFAFSRDRMQASILEVKVKDKDLLKDDFVGIIRFDINEVPLRVPPDSPLAPEWYRLEDKKGEKIKGELMLAVWIGTQADEAFSDAWHSDAATPVDSTPATFTVLRSKVYHSPRLWYVRVNIVEAQDLVPTEKNRFPDVYVKAQIGHQVLKTKPCQARSLNAIWNEDLLFVAAEPFEDHLILSVEDRVAPGKDEILGRVILPLNAIEKRADDRMIHSRWFNLEKPVAVDVDQLKKEKFSSRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWRPPIGILELGILNAVGLHPMKTRDGRGTSDTYCVAKYGHKWVRTRTLVDNLSPKYNEQYTWEVFDPATVLTVGVFDNSQLGEKGSGNKDLKIGKVRIRISTLEAGRVYTHSYPLLVLHPTGVKKMGELHLAIRFTCTSFVNMLYQYSRPLLPKMHYVRPFSVMQLDMLRHQAVNIVAARLGRAEPPLRKEVVEYMSDVDSHLWSMRKSKANFFRLMTVFSGVFAIGKWFGDICMWKNPITTVLVHVLFLMLACLPELILPTIFLYMFLIGVWNFRYRPRYPPHMNTKISQADAVHPDELDEEFDTFPTSKNPDLVRMRYDRLRSVAGRIQTVIGDIATQGERFQALLSWRDPRATSIFITFCLVAAIVLFVTPFQVIAALAGFYVMRHPRFRYRLPPLPINFFRRLPARTDSML >OMO79500 pep supercontig:CCACVL1_1.0:contig10409:125664:130456:-1 gene:CCACVL1_13643 transcript:OMO79500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MFKSARWRSDKNRIKAVFKLQFHATQVTQLNVQALMLSVVPGDGGKPTTKLEKATVHDGNCRWENPVYETVKFVREPKTGKINEKIYHFILSTGLGKGGLVGEASIDFAVYAESIKTSTVSLPLKNSNSKVVLHVSIQKLQENGDQREVEEIEDASVKSQDRSLKSHLSNGDAEERIKNDPIEDLPFSKATHNVELRGNRRGSNGSDITISSSDSGSGLDTPRDPGMKNDKIHQEPPTYLSSMNNNSVTPKPAAISSTTIYEEWSAGSDHGMSTDDSNSSQDTFPRENFQHASDNETEKLKHELIALSRQVDVSDMELQTLRKQIVKESKRGQDLSREVATLKEERDSLKLECEKLKTFQKRMDEAKTKGRLQFESGDPWVLVEEIRQELTYEKDLNSNLRLQLQKTQESNAELILAVQDLEEMLDAKNVDLSNPPNKSGSHGNSEELRGTILRSDTDEDEDQRALEQLVKQHRDTKETSVLEQKIMDLYSEIEIYKRDKDELEAQMEQLALDYEILKQENHDISYKLEQSQLQEQLKLQYECPSSFANINELECQIESLESELSKQSTEFSDSLATINELETHIKSLEEELEKQAQLFETDLESITRAKVEQEQRAIRAEEALRMTRWKNANTAERIQEEFKRLSMQMASTFDANEKVATKALTEASELRLHKNQLEDLLNKAKEELQSVREDYEAKLSNLSNEVNLKSNQIEEMLQEIDDKSKRLEHQKKHEEEVTRTFSQEICSLKAEIGKLTREMKLLHEQAEQAEKLRLELENTKAFAKETELQMQRGNLERNELVSTIALMKKEAANLLDELQRLRHLKDEKEAAVESIKSELDTLKTQCDKLKDSLFEDELEKEKLRKQVVQLKGDLKKKEDAYTGMEKKLKESNGRAVVSDGTKTAFRNNKSAAVPRGPTPKEVASLKEKIKSLEGQIKLKESALETSTNIFLEKERDLQKKINELESRVDELNEQSTSICQSQFEQKFNDTKGVTSNGIISDGEACIFEGSRNTASFVNSTPKEVRASIVNKDYNHDELIAELASLKERNKSMENELKEMQERYSAISLKFAEVEGERQQLVMTVRNLKNAKKS >OMO79503 pep supercontig:CCACVL1_1.0:contig10409:146993:148107:1 gene:CCACVL1_13646 transcript:OMO79503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRNGSPCHFIRGKQHASIVEVFRILILEEVEMSTQYYLDLDENVLDKLTKLTVPKLCIQLNTFQYFQKQVALLEDGIRKSWELARPNSPNKRQEPGEILESDALRHDEAVDELFVTTFNIIRDTANDIGRKIC >OMO79494 pep supercontig:CCACVL1_1.0:contig10409:88117:91930:-1 gene:CCACVL1_13636 transcript:OMO79494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVEMRTLNTVNFLSHDVWALTTFKEGIYEDPHLVLSNWNALDADPCAWSGITCNMERQHVIKINISGSSLKGFLSPEMGQITYLQELTLSQNNLIGTIPKELGMLKFLKVLDLGMNQLTGPIPPELGNLTSVMKINLQSNGLTGSLPAELGNLKYLEELRLDRNKLQGTVPADSGSAFTSKIHGMYASGSNLTGLCRSSQLKVVDISYNFLVGSIPKCLEYLPSTSFQGNCLQVKDAKQRPSEECGGVLPVKSHQAPSPKHRPAAATAKHQKASKPAWLLALEIVTGTMVGSLFLVALLTAFQRCNNKSAIIIPWKKSGSEKDHVTVYIDSELLKDVTRYSRQDLEVACEDFSNIIGSSPDSLVYKGTMKGGPEIAVISLCIKEEHWTGYLELYFQREVADLARLNHDNTGKLLGYCRESTPFSRMLVFEYASNGTLYEHLHYGEGSQLSWTRRMRIILGIARGLKYLHSELDPPFTISELSSNAVYLTEDFSPKLVDFESWKAILARSEKNSGSIGSNGAICLLPNSLEKRHLDIQGNIYAFGVLLLEIISGRPPFCKDRGCLIDWAKDYLELPEVMSYIVDPDLKHFTFDDLKVICEVISLCIHPDSSRRPSMQEISLMLESKIDTSISVELKASSLAWAELALSS >OMO79501 pep supercontig:CCACVL1_1.0:contig10409:132205:139183:1 gene:CCACVL1_13644 transcript:OMO79501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative alcohol dehydrogenase MVPPTDNFESKIKRSKRQGQVSNANESQGLTTTTTNLTASATSSECGASSSHRLVGCHFPIPLFFSVWDLATVFFHSEVDEIQSSVKYMQSGLQPHLLVTWIDKDELWPKNNALFPKLSTLFHTPLAISNSNTRNPVVP >OMO79495 pep supercontig:CCACVL1_1.0:contig10409:99984:102678:-1 gene:CCACVL1_13637 transcript:OMO79495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipopolysaccharide-modifying protein MLHGSGVARYLSEIPFWRPPLKSKPATTATLLVLTLFLVAAFSSSSWFHTTTSFFNTIVVSEKPKISVQKLEIPLNCTSIINQTQTCPKNYPDTFQTDQDLDSAIPNVFCPDYFRWIHEDLRPWKTTGITRDMVERAKTTATFRLVIISGKAYVEKYKDAIQTRDVFTIWGILQLLRKYPGRLPDLEIMFETEDRPVIKSSDYRGPNATGPPPLFRYCGDKETLDIVFPDWSFWGWAEINIKPWDSILKDIKQGNNRTKWIDREPYAYWKGNPFVIENRQDLLKCNVSDQQDWNAHLFIQDWISEGQQGFKQSNVADQCTYRYKIYIEGFAWSVSEKYILACDSVTLIVQPHYYDFFMRSMQPVEHYWPIRDDDKCRSLKFAVDWGNKHKKKAQEIGKAASSFMQEQLKMDYIYDYMFHLLNEYAKLLKFEPKIPEGAVELCSEVMACHAEGLEGRKKQFMMESLVKGPSTTSPCALSPPYEIGTLAAFLRRKINSVMQVKKWETGYWENLNKQ >OMO79496 pep supercontig:CCACVL1_1.0:contig10409:104513:108112:1 gene:CCACVL1_13638 transcript:OMO79496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKVVLKIMTMNDEKTKKKAIEAVADIYDIKNQIPKAVAKVL >OMO79492 pep supercontig:CCACVL1_1.0:contig10409:82500:83534:1 gene:CCACVL1_13634 transcript:OMO79492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MANSASGMAVNDECKRKFLELKSKRSYRFIVFKIEEKIQQVVIDKLGDTNDSYDDLIASLPANECRYAVYDFDFTTNENCQKSKIFFISWSPDSSRVRSKMLYASSKDRFRRELDGVQVELQATDPSEMSFDIVKGRAY >OMO79498 pep supercontig:CCACVL1_1.0:contig10409:114691:116735:1 gene:CCACVL1_13640 transcript:OMO79498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKREEYDGEDRISVLPDELLVFILSGVTMKEAARTSVLSHRWQKLWTFHPCLELDGSKRLDSFLSQIRDIGPSITSKRRNEILDIERGGYVNWVNHILESSHLDVVDEFKVIFDLDERYKPDIDKWVRLAFEKKVKRFELDFSSYMDRVHNMDDRTFHFIRCNYPLALEVLPFPSPIFLTSLILKMVDLQKLALVLDKMEYFIFMRDIKWSENPILSQLKQLELHVVASDLQSLRLYAPLIKACTSLNRLALKLDWYGNHHRRGSLKLHLKSNSSIEPMWEIGIHLKSNSSIEPMWEIGIHGSPLHKGMTVTLWE >OMO82919 pep supercontig:CCACVL1_1.0:contig09962:75845:75916:1 gene:CCACVL1_11697 transcript:OMO82919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSILCDIDEELKRRVEKKKKKN >OMO82912 pep supercontig:CCACVL1_1.0:contig09962:53316:54538:-1 gene:CCACVL1_11690 transcript:OMO82912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEVAQQISLFRSQIENKRFNDDSLRILESVLASKHVNLLFQTRSSLKELIRSESLSAIRQIAAKTVDQQLSVLEFFVRASAITGDIENCLALRYEALILREHKSTIHEWLQVSHLEWLNFAEQSLENGFFAIAGKACDYALSSMRRNKVAESKTEESLEKIQVLTEKIINLKNSALKLASSTSVQAQTAEYLIKKTREKSKVESPISKRARCVATTMYRNGIKKHNERRLIASRMRGQISIESDSS >OMO82917 pep supercontig:CCACVL1_1.0:contig09962:69522:71561:1 gene:CCACVL1_11695 transcript:OMO82917 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MSNSKQGEAVCVTGASGAIGSWLVKLLLARGYTVHGTVRNIKDEKETKHLESMEGAESRLRLFEIDLLDYDAISAAIEGCAGVFHLASPCTVDQVHDPQKELLDPAIKGTLNVLTAAKELGVKRVVVTSSVSSITPSPNWPADKIKTEDCWTDIDYCKQNELWYPISKTLAEKAAWEFSKEKGLDVVVVNPGTVMGPNIPPTLTASMVMLLRLLQGCTDTYQDFFMGSVHFKDVALAHILVYENPSASGRHMCLEAISHYGDFAAKVAELYPEYNVPSLPRDTQPGLLRAKNGGQKLMDLGLEFIPMEQIIKDAVESLKSKGHIS >OMO82918 pep supercontig:CCACVL1_1.0:contig09962:72116:74335:1 gene:CCACVL1_11696 transcript:OMO82918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma interferon inducible lysosomal thiol reductase GILT MAAYGAAAALSFLGCFFLLAPFSSNSEITLPSDSNSNKVSLALYYESLCPYSANFIVNYLDKLFKTDLISIVDLRLVPWGNAKLKGNDTFTCQHGPAECMLNTVEACAIDAWPKLSDHFPFIYCVEDLVYEGKYSEWQSCFEKLGLDPKPVMDCYGGELGQKLDLQYAAETNALEPPHEYVPWVVVDGQPLYEDYEDYISYICKAYKGDALPNACSGLSLNQIHKKKVIHPVSFKEMAAPTSLSKAISTVMSWIQEMA >OMO82906 pep supercontig:CCACVL1_1.0:contig09962:32175:36503:-1 gene:CCACVL1_11684 transcript:OMO82906 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase METLESEKTSICHVVAMPYPGRGHINPMMNFCKLLCSKLPDILITFVVTEEWFGFIGSDNKPVNIQFRTIPNVIPSELVRAKDFPRFVQAVLTKMEAPFEELLDRLELPVTAIVSDTYVGWTVEVGKRRNIPVASFWTMSASVLSVFHHFNLLVENQHFPADLSDKGNELVDYIPGLTPTHLADLPTIILHGNDSKTLQFTLNCISTVPKAQYLLFTCVYELEFQVIDAFKAKLPFPVYPIGPSIPYIDLKQKYPSHVPDYFEWLDSQPEGSVLYVSLGSFLSVSAAQMDEIVAGVQDSGVRYLWVTRGDSSRFDCGGRGVVIPWSDQLRYFPGMDPIDMKPNSTITHLVAIPYPGRGHINPMMNLCKLLSSRKNDLLITFIVTEEWLGFIGSCVKPDNIHFAAIPNVLPSELVRGADFAGFYESVMTKMEAPCEKLLDSLELPVTAIIADIELKWAICMGSRRNFPMASLCTTSATVFSILNSIDFTENWHFLVDLLDKGISPSHLAELQMLLKGNERRVIQLTLECISWVPKAKYLLLSSVYELESNAIDTLKAKFDIPIYPIGPAIPYFDESTISNVPNYLQWLNSHPPCSVLYVSLGSYLSVSNDQMDEIAAGLQDSGVPYMLVARGENSRLRENYGGMGLVVPWCDQLKVLCHCSIGGFLTHCGWNSTLEAVFAGIPMLTFPLTFDQGPNSKKVVDDWKIGWRVKEQERDEGLVTRARISELVRSFMDPENSEVKNMRKSARELKESCRKAVASGGSSRMNLDAFLDDISQCHGC >OMO82900 pep supercontig:CCACVL1_1.0:contig09962:16251:16844:-1 gene:CCACVL1_11677 transcript:OMO82900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAMSTSPNDLIEESNNGSGSDSDTNHDDAPEYYQPISAVDDDDDEDQEGFDGQVNSDEEHHNLSNGYVSRVENGISSLHVGDVAAEEEEEEERMREASDTAIWRAFRDDESRRNAPLTPENAMRVREAMRGVSFAGLAPDWVGQVPEDRWIDQLRRLRQPQSAPSTVQN >OMO82909 pep supercontig:CCACVL1_1.0:contig09962:43654:44812:-1 gene:CCACVL1_11687 transcript:OMO82909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFINSFLKSPKKEEQQLVPDSTLTTEFDDIKESNYGHGEIDIMDELEGILGIDDENEEKFSTGNKIHGHLSWDFMDWEGCAGFHNMEEEDEEDDGGHGHHKVVYTSKCFEEDQEEEEEEEEGEFDNNKVVKKENLGFCWEDDDDKRVSLKLNLNYQEVLDAWSDRGPLWADDYSISMASNGYYMGEVPVMEEERARREASVLRYKEKRQTRLFSKKIRYQVRKLNADKRPRLKGRFVKRVS >OMO82905 pep supercontig:CCACVL1_1.0:contig09962:27717:31125:-1 gene:CCACVL1_11683 transcript:OMO82905 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDSKNPQPTRVCHVVAMPFPGRGHINPMMNLCKLLSSKKKDIIISFVVTEEWLGYIDSEPKPENIRFEAIPNVIPPERLKAADFPGFYEAVMTKMEAPFEQLLDRLELPVTAIIGDIEVRWSTGVGNRRNIPVALVWTMSASVFSMFHHFDVHIKHCHGKVDLIEQVEEIPGISSSNVAELRTIFKRDDQRVLELALDCISRVSKAQYLLFTSVYEYEPQVFDRLRAIYNFPVFPIGPAIPYLELKDGSCDKTSNYVHWLDSQQEGLQMSGVRFLWVARGEASRLNDCCGDLGLVIPCMNSIVSEPNVSHIVAMPYPGRGHINPLMNLCKVLVSKSDNILVTFVVTEEWLGFIDSEPKHDKISLCYIPNVVPSELVRASNMVAFLEAVWTKMEAPFEQLLDELDPPVTLIMADTHLFWTVSVGNRKKIPVASFWPMSVSMFSVFHHFHLFQANGHFPVDLLDNKNERVDYIPGVSSTRLLDIPGFNGGIYPVIMKEILCCISSVQKSQYLLFPSIYELESQSIDALKQEFSIPIYPVGPAIPYIKPGDKSCSSPKSGFLQWLDRQPRNSVLYVSLGSFLSVSSAQMDEIAAALQDSGVRFLLVAREEASRLKDCCGDHKGLVVPWCDQMKVLCHRSIGGFWSHCGWNSVREGVFAGIPFLTFPLFADQNLNSKIIVEDWKIGWRVNFKSENLVTREEISKLVQKFMDLENDEGKEMRQRAKELQEKCLHAMERNGSSETNINSFIQNMSHFNGH >OMO82915 pep supercontig:CCACVL1_1.0:contig09962:61192:63173:-1 gene:CCACVL1_11693 transcript:OMO82915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MSSHPLPPPKVVELLKSNNISRVKLFDADPLVLQALSASNIFVTVGIPNSMLKTFNSSRKAAESWVHDNVTRYFSHGGAGVRIEYVAVGDEPFLQSYGEQFHPFVIGAVMNIQAALAKANLAGEVKVIVPCSFDTFMSESGLPSKGHFRTDLNRTMIELLTFLRKHHSPFFVTISPFISFQQSKNISLDFALFKENARPHNDSRRTYKNSFDLSHDTLVAALSKIGFPDMDIVVARIGWPTDGAANATSSVAETFMKGLVDHLRSKTGTPLRPRNPPIETFIFSLLDEDQRSIASGNFERHWGVFTFDGQAKYQLNFGQGSKNLVNAQFVEYLSPKWCVVNNNKDLSNATARVSEACSVADCTALAPGGSCSNISWPGNISYAFNSYYQQHDQRADSCDFGGLGLITTVDPSVDDCIFIVELRTSHSVHNAYLVQWITFLTPYLFSCLLVFTLL >OMO82913 pep supercontig:CCACVL1_1.0:contig09962:54720:56543:1 gene:CCACVL1_11691 transcript:OMO82913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNNLRPPSALSRAITERQIISNQHHHLEDRIAIQNREIQALLLDNQRLAANHVALKQDLVLAQQEVRHISAAAATVKAERDAEVREVYERSLKLDAEARVVNAMSAELAQVRADIQKFAVDRQELMAQLEEVNAELAKVRTEAKQVPGIMTEMEVLRQEIHKGRSALEEEKKNRASNLEQRQILEKNTVIVAQELERLHAELANAEKRAWAAAAASNPGPGYTGNYGSVDAKYGVSAYPDTYSMPQAGAGQPFVAGSGNMTSVPFEGQGSHTPHENQTGNFGSGANSNGPVETQVVRL >OMO82914 pep supercontig:CCACVL1_1.0:contig09962:57842:60390:-1 gene:CCACVL1_11692 transcript:OMO82914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQDGGEGAPPSYGGGYGGSGGYGGGGGYGGDSGYGGGGGGGRGGSSGGRGGGRGGGGGGGYGGGSQSRGGGGGYQGGDRGGRGGGGRGGGRGGGGRDGDWLCPNPSCGNLNFARRVECNKCGAPSPAGAGDRGGGGYNRGGGAGGGGGYGGNRGGRGDGNRGGYDSGRSNNYDGGRGGNYDNRSGSSRGGSYGGNQGGEDCGYGQVPPPAPPSYGGAAGGNYPPAPNAYDANTNYGMEAVPPPTSYTGGPASYPPSYGGPAGGYGSEGLSDARSGGRGGPPGGYGSGGPRHQGGGYGGPPVDASAKIKQCDGNCGDTCDNSRIYISNLPPDVTTEELRELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDEKGNPKGDAVLSYEDPQAAHSAGDFFNNHNIRGHTISVAMAEKTAPKVYDHGGGKGGYGGGGDRRRDNYRDGGSGPDRHHYGGNRSRPY >OMO82899 pep supercontig:CCACVL1_1.0:contig09962:13494:15590:1 gene:CCACVL1_11676 transcript:OMO82899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSVGSQAVVDDALFADYKPTSAFLFPGQGAQAVGMGKEAQAVPTAAELYKKANDILGFDLLDVCINGPKEKLNSTVISQPAIYVTSLAAVELLRARDGGQQIIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQEASDAAKSAMVSIIGLDSEKVQQLCDAANQEVDLAEKVQIANYLCPGNYAVSGGLKGIEAVEAKAKSFKARMTVRLAVAGAFHTSFMEPAVSRLEAALAATQIRTPRIPVISNVDAQPHSDSETIKKILARQVTSPVQWETTVKTLLTKGLKKSYELGPGKVIAGIVKRMDKGAEIENIGA >OMO82908 pep supercontig:CCACVL1_1.0:contig09962:39799:40810:-1 gene:CCACVL1_11686 transcript:OMO82908 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDHAAGKEPTSVCHVLAVPYPSRGHINPMLNLCKSLLSKNKDILVTFVVTEEWFGFIGSEPKPENIRFGTIPNDLTSELDRSGNYSPDFFEEVLRKMEAPVVQLIDRLEPPVTTIVYDTYLTFAVRVGNRRNIPLASFWTMPALNKERNVSIVSQESLQFGNSIFQLVSMEEVKT >OMO82901 pep supercontig:CCACVL1_1.0:contig09962:17169:19620:1 gene:CCACVL1_11679 transcript:OMO82901 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MANSIHRASVLQSQLFFQPRVSFSSKDRNSIPRKSNLLCFASSQMNPVRSELSFCIGTHIIPHPNKVDKGGEDAFFVSNFNGGVIAVADGVSGWAEQNVDPSLFSKELMANASSFVGDEEVNYDPQILIRKAHAATSSKGSATAIIAMLERNGMLKVANVGDCGLRIIRKGQMIFSTSPQEHYFDCPYQLSSELVGQTYLDAVVSTVELVEGDTIVMGSDGLFDNVFDREIVSTLALHTDVVEAAKALANLARNHSMDSSFDSPYSLEARSKGFDVPFWKKILGMKLIGGKLDDITVIVGQVVTSPSTTSEEIQGTENIGILDEVQRTDKVEETERLVS >OMO82903 pep supercontig:CCACVL1_1.0:contig09962:23584:25416:-1 gene:CCACVL1_11681 transcript:OMO82903 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MNSTGSSEPTMRCHVVAMPYPGRGHINPMLNLCKLIASKSNDILITFLLTEEWLGIFASESDPKPDDAIRFCSIPNVLPSAMSRAANLFGFFEAVWTKMDAPFECFLDQLDPPATLIMADTLLFWAVSAGNRRNIPVASFWTMPARMFSAFHHYHLFKENGHFPVNLLEKGDERVDYIPGVSSTCLLDLPVPDINEESRLQFLKKMVNCISMVRKANFLLFPSIYEFEKEAVDTLKAEFTFPVYAVGPAIPYFKLGNNPSLNNNEVNYLQWLDRQPRNSVLYVSLGSYLSVSSTQMDEIAAGLKDSGVRFLWVVRDETSRMKEACGGDHGFLVPWCDQLRVLCHCSIGGFWSHCGWSSVREGVFAGLPFLTFPLIGDQRLNSKLIVEDWKIGWRVKKQNNSKEENLVMRGEISLLVKNFMDLESLEVKEMRERAKELQGKCQHAIDRNGSSETSIHSFITSISQLAGH >OMO82916 pep supercontig:CCACVL1_1.0:contig09962:65290:67004:1 gene:CCACVL1_11694 transcript:OMO82916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin MILNQSYSSSILQRENVGLSLSRSSLKNSSNGLISAVRYPKQGKKSATTAAGRRGGVAVVLASREANNRPVLDAVFEPFEEVKKELLLVPNVPQASLARHKYTAQCEAAINEQINVEYSVSYVYHAMYAYFDRDNVALKGFAKFFKDSSVEERQHAEMLMEYQNKRGGKVKLRSMMMPLSEFDHVEKGDALYAMELALSLEKLNNEKLLNLHKMANEINDVHLVDFLESEFLTEQVESIKKLSEYVAELRRVGTGHGVWHFDKMLSNALMAA >OMO82902 pep supercontig:CCACVL1_1.0:contig09962:20580:22679:-1 gene:CCACVL1_11680 transcript:OMO82902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MATEATTTKFQNSDFRPVPQPPDFHPEVLVSPHDGLHFWQFMIAGSIAGCVEHMAMFPVDTVKTHMQALGSCPIKSVGVRHALRSILKSEGIPGFYRGIGAMGLGAGPAHAVYFSVYEFCKKSFSGGNPNNSAAHAISGVCATVASDAVFTPMDMVKQRLQLGNSAYSGVWGCVKNVLKEEGFGAFYASYRTTVLMNAPFTAVHFATYEAAKRGLNEISPESASDERVIVHATAGALAGASAALVTTPLDVVKTQLQCQGVCGCDRFKSSSIRDVINTIVKKDGYRGLMRGWVPRMLFHAPAAAICWSTYEAGKTFFHELNGSSESGTVT >OMO82904 pep supercontig:CCACVL1_1.0:contig09962:25918:27396:-1 gene:CCACVL1_11682 transcript:OMO82904 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MNSINSEPTLACHIVAMPYPGRGHINPMMNLCKSIASKCDNILITFVLTEEWLGFYASNPKPDTIRFCSIPNVLPPAMTRAANLFGFFQAVWTKMEAPFERLLDQLNPSPTLIMADTFLFWAVSVGNRRNIPVASFWPMPASIFSVYHHFHLFEENGHFPVDMLEKGDERVDYIPGVSSICLSDLDLPKANDHFLKQFLNCISMVRKANFLLFPSIYELENDAVDALKAEFPFPVYTIGPAIPYLELGNNPTSSHGENEVNYLKWLDRQPRSSVLYVSLGSFLSVSSAQMDEIAAGLKNSGVRFLWVVRDETCRMKEACGDQGFVVPWCDQLRVLCHSSVGGFWSHCGWNSTREGIFGGVPFLTFPLVGDQKMNSKLIVEDWKIGWKVKKDENLVTRGEISRLVENFMDLKNIQVKEMRERAKELKEKCQHAIDRNGSSETNIHSFINNISQIAGHYLDYN >OMO82910 pep supercontig:CCACVL1_1.0:contig09962:48529:49331:-1 gene:CCACVL1_11688 transcript:OMO82910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGSSPCASCKLLRRRCAKDCIFAPYFPSDDPHKFAIVHKVFGASNVSKMLQELPVQQRADAVSSLVYEANARVRDPVYGCVGAISYLQNQVSQLQMQLAVAQTEMLCIQMQQESSSSTAGALPPTQLIDQDIDKSFLLAAATANNFDSISSQYLNFGSSSNVNVIQDPALKRESLWT >OMO82920 pep supercontig:CCACVL1_1.0:contig09962:82832:83536:-1 gene:CCACVL1_11698 transcript:OMO82920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASGGGGASAAAPNNEGTSSAPPVTAAQQGGSGGGGGGGESSSSPAPPSRYESQKRRDWNTFLQYLKNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHITGCPYFGHPNPPAPCSCPLKQAWGSLDALIGRLRAAYEENGGRPESNPFGARAVRIYLREVREGQAKARGIPYEKKKRKRPTTVTTTALVSVASTQAVDGGGSGGGVGGEDDSGGGTPANVAAPPTATTTSV >OMO82911 pep supercontig:CCACVL1_1.0:contig09962:49726:51292:1 gene:CCACVL1_11689 transcript:OMO82911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVELTTAFAWRVIDEYIEERY >OMO82907 pep supercontig:CCACVL1_1.0:contig09962:37269:38232:-1 gene:CCACVL1_11685 transcript:OMO82907 gene_biotype:protein_coding transcript_biotype:protein_coding description:cullin-associated NEDD8-dissociated protein 1 MATPKVRLIICGILIVLCVHMLAAADSDSIALLKKLDREMSRFYGCILPRFYQKISWFIASESCAELLSNMTLPAPPDSDSIAEDIENTSQLNIVSLNKAYSIALKEFVAAAATHCNSYEFYHLLRLLGNNFRACVNPRAPFSYIIKQIEGDLTHVPSDFGSENAI >OMP11265 pep supercontig:CCACVL1_1.0:contig01429:1015:1104:1 gene:CCACVL1_00591 transcript:OMP11265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVPRIEFLSYIDRTNNITLFQITAEPFHY >OMO64137 pep supercontig:CCACVL1_1.0:contig12861:80696:86781:-1 gene:CCACVL1_22027 transcript:OMO64137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA MAAPFSESTLLNHHRSPSVSPFASKFLFNYRNSNCIPNSHLGSSFFGGKALRVPEIGAKTPGLGSKRRRRMVFKASLGGLLGIFKGNDTGESTRKQYAGTVTAINQLESKMAALSDSELREQTFALKERASQGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLKVGLIQQNMTPEQRRDNYLCDITYTVEELVLRDFNYCIIDEVDSILIDEARTPLIISGTAEKPSDAYYKAAKIAAAFEREVHYTVDEKQKTVLLTEQGYEDAEEILDVKDLYDPREQWASFILNAIKAKELFLRDINYIIRGKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETITLASISYQNFFLQFPKLCGMTGTAATESTEFESIYKLKVTIVPTNKAMIRKDESDVVFRATNGKWRAVVVEISRMNKTGRPVLVGTTSVEQSDSLSEQLKEAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVKPAEGVFVSVKKPPPMKTWKVNEKLFPCKLSSKNTKLAEEAVELAVQTWGKKSLSELEAEERLSYSCEKGPAQDEVIAKLRGAFLEIAKEYKVYTEEERKQVVSAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMRAFRVEDLPIESTMLTKALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYTERRRALMSDSLQPLIIEYAELTMDDILEANIGPDTPKESWDLEKLIAKLQQYCYLLNDLTPDLLRSKCSSYEELQDYLRLRGREAYLQKRDMVEKQAEGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSIYQFQPVMVKKDQEEKSDKLVTNGRSSNQKPDTVGAVESSSSAASPQASA >OMO64128 pep supercontig:CCACVL1_1.0:contig12861:15785:18127:1 gene:CCACVL1_22017 transcript:OMO64128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATLTKESTRFHRQILHIPTGQFLFNRPISNSSQDPPLKSSSQANNTFYHQTNISSLPQSFNHQNLLRSSLFSQLKSPSNLSQVKRLHGVLIVNGFFDPFNIDKVLGSQLVNVYVSFGCLQDALVVFDKLPQRSNLAWNGIIRGFIDMGRFSKALEFYHLMLSQGLVPDNFTYPLVLKACTELNDLEEGEKVRDFILWNERRYDKTFNVYVECAMIDMFAKCGSLSQARQIFEGIDVKDLACWCSMIRGYVLSGEWFEALSLFKRMRFEGLSPDSVIIATILPVCGRLEDVKMGRTLQGCAIRSGFESDLYVSNALMDMYCKCGATDSAYSIFCNLENKDAVSWSTLIAGYLQNCQYHESVGLYLMMKDAEIRTNAIVAASVLPGLAKLKLLKQGKEMHGYILKQGFESDIAVGSALINMYANCKSMTKAEHVFSIMSDRDITIWNSIIVAYSLNGDVDISFQTFQRIWDSNLRPNTITLISILPICTKIGSLKHGKEIHGYAIRSGLGTAVSVGNSLIDMYSKCGSLELGVRVFNKMKERNIVTYNTIISVHGIYGLGEHAFQFFEQMKEARVRPNKVTFVALLTACSHAGLVDRGWSLYHSMIYDYNIPLELENYSCIVDLLGRAGHLDEAYDLIKRMPMEPDMNILGSLLGACKIHNRVDLADCLEKHILQKEQKDSGHYVLLSNIYASTGRWKDAQKVRTMIKEKCLPKRPGSSWIQVGSGIYMFHATQSRLDKIQHILENLLLEMRNEGYTPDPSFSSDDLLYDVNDLMNLNPG >OMO64135 pep supercontig:CCACVL1_1.0:contig12861:70341:73882:-1 gene:CCACVL1_22025 transcript:OMO64135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MEQLVDVLLVLALFSYTTWAVKGSLHCKQTNSGKIRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAKLFVPAGRWLTGSFDLISHLTLWLDKDAVILGSMNSDDWPVVDPLPSYGRGRELPGGRHRSLIYGRNLTDVIITGDNGTIDGQGSIWWNWFRSETLNYTRPHLVELMNSTGVIISNLTFLNSPFWTIHPVYCSHVRVQNVTILAPLDSPNTDGIDPDSSDDVCIEDCYISTGDDLIAIKSGWDEYGIAYGRPSRNISIRGLTGHNRNGSGIAFGSEMSGGVSEIHAENIYFFNTSTAIKFKTARGRGGYVRNIHISNVTLSDVDIAIRFEGNFSQHPDEFYDPNALPVIERITFKDVIGDNIKVAGLLKGIEGDSFLGILILVVSQRNSPSPRQGYDARHKKLSGVADMLLLTCEIVLRDCFL >OMO64127 pep supercontig:CCACVL1_1.0:contig12861:12945:15337:1 gene:CCACVL1_22016 transcript:OMO64127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MDSKSVREFIRKEVPDWGDELMATARFKAFSGQRSDWEPKFQFWKDLIIKISRHFGLFFISPSKVKSEWFNRDGLTPLCLDHVLFMMYNEGEITRISDVADPYSGRISQLFRKVKGLMNRSALTPEEILHEDRVILTTLLKEKADEVVKCLSESHWTSSCIVTRNKFESMCGGHEEAYAVLSYLSRSGKGLYLSTKKKEFIEGIKVSLSSAGVSNVSSLDFDTLHLIWTQEILQQQLDVIDRHWEKSRQSALASLKSGNKKLALRYAREMKLGTGNREKCTSLLNRVEEVLSVIANAESTKKVTEAVQIGALAIKENKISVEEVQLCLEELDESIDSQKQVEMALESAPSLGVEDEDIEEEFKKLELEVGNENLKDSTPETGVSDTAGLNESLTEALSNLKLADAPAKGSATESSGAPAKNNESNSPMLEAA >OMO64131 pep supercontig:CCACVL1_1.0:contig12861:40237:41055:1 gene:CCACVL1_22020 transcript:OMO64131 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MGRASSSSSSSIESSSNHFAFSSSKASSSSQRDLSTDLRLGLSISTSPSFPREQQSDWPPIKPLLRQALAEDENECNSATFFVKVYMEGIPIGRKLNLLAHESYYDLIRTLENMFGTNIIWAEAEVDGDHYEKYHVLTYEDKEGDWMMVGDVPWEMFLSAVRRLKISKC >OMO64129 pep supercontig:CCACVL1_1.0:contig12861:19615:28246:-1 gene:CCACVL1_22018 transcript:OMO64129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDCRSFGSNYYPSSQSRKISVGVMVDSLAKRKAVTPKEDDRKLPNTERTEPSTGISTEEKNRGGVFRKQKEDPEQVKSPWISPRSFHKTLAPETVFCQGETSNSRQKKLNAVKDVPVTYSVQYFPNQTLNVKNVGSHQTMFDGLMDKKHGEKDNSSQKAEEFNFPNAVDKVVLEDKADNSQNVQTETLKMKLQQLFGTVSSPKSQQSISHSPKAGANNLKPEITTADHMGDPVAKRRQNSDTIETDSDNPDKSVKRPVTRSLTRKRAPARVQPAKNNVGLSSKQAHGESIVSFGEGRSTKMDGADNSGSSLSRKRKIQKKNSKINPRKISFHEEDNAVEIQKTTYRSETPLPVKKASSLGKKIESFLGSFSEKRRENFGKVQENGSVHSPVLSEKKQQANFDNPTSPEKGDKQEDFCTISLRNAAYAEDAFQSPTFGFRTAKTKSSQSPTPKTVEKEQGDCSPVPSERGFTIGNIWSFRNFHTPRPVSNKSNSQAVSPDDAEVHRSSSLRNPMPTKEKMDAVDMHSEASSEEGSDSDSECSEEGSPIINRYNCHRENLNSPETVIAEKPKFVHRPIKGLRNHEDVRLGTAESYWFKEQSEQDQEDELTRAVTLFALALETFKRKMDSATTKKSSEILTSVSEEIKLLLQNAGSQIESDVGKLTSLSKTKRKRLETRFQEKQEQLKLILEKFNEDIHNHLLDCRSTVDGMEAHQIELKETMKKQKVSHQKLLMHVEEAVGIQINDAERKITAVHESAREKMLQLKHVVAECLKDTSFSKFLKIRKMIFTANDNRSKDIGVADSTFGSGLTKLDLNNHDKSKMNSYPRSNYAELLSEFYEDHDFSVKQVMDSHVVPAVSKFNSFKQSDDGHGSRKLVGEMMPHLMNSDREVPDDHHHPSSLKQCEVSVPFMRSNSGVEEKRDRVNFSMFLRSGATTRPRSQVPALAEDIFERNIVRSAPRRSSSPSPEQGNLMGNYNTKPILPDSDDPEKEKETLPDDQQSEAVGYNQDTVPPNSRSSKGNIPCDGKFVEQMVGSSSVCSRGASNCPTYTLKTRYDQDTDLSENATEEPEGRTSTKAAPPRGSKGVKKKRKAEVHNLSERKRRDKINKKMRALQELIPNCNKVDKASMLDEAMEYLKTLQLQVQMMSMGSGFFMPPNPMMLHTAMQHMNAQNLIGPYSPMGMRMQMGMGMGMSMGMGMGMGFPNLPGIREARLNNMVGFPGQVSLMSMSPSPFAAARFCPQSVQAPAPAFHMPMQVEQFPVPGVAPNAIPLSTSKDSNTTCQ >OMO64134 pep supercontig:CCACVL1_1.0:contig12861:67215:69701:1 gene:CCACVL1_22024 transcript:OMO64134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MVGSALSIHYRQALSVMFLFIRCTAIDPTDKTSSRKKKKGKSKGVLKLNYGFIFTQIVVRFFRKLEKKILKTFIRRKYLDPWKTNVQMEPLLPFPLVLKDDAISPDFKEDDISYCSLCDFEVKKYSKHCRTCNRCVEGFDHHCRWLNNCVGKRNYTTFILLMIFVLLMLILEGGTAIAIFVRCFTDKKGIEQELKKRLYIEFPREVLATITIVLALFTAYGSAAMGQLFFFHVVLIRKGMRTYDYILAMKEENQFPVDPFDESDISSDDSSDFGSPEKPSFVSRFICGQRAQNHSRLSIRIDGDPEASTLNKKQGFHVSIDPWKLISVSREKALLAAEKARERLMKQRPAVEDPLKPLPLETKRGPLMNPEKNIANSGATATTPLIAKGRLPGSPVRFSSPRRRISGSPTMFSGVMPSPKQKYRTNFDLKLTEVSRELETYISRQVLCSVIKKDGSEASPR >OMO64133 pep supercontig:CCACVL1_1.0:contig12861:56986:59794:1 gene:CCACVL1_22022 transcript:OMO64133 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEKQQQHRRELTFLHSGDFLRQNSAVSDDSTDRVKPPIIKEMDFFSSNNNQSHDHDHHHRHHQQEQESKINNGRSSSLFDSGVNTGLNLLSSTPGVSKNTTNEDKQSAEMSALKIELERLHEENRRLRSMLDQITKSYTELQGQLFMAVQKQAAAPGNQGEQKEKVNGMVSLQQLMDPRPSGALDANEASASDDRTQELSISPANTTEHVSAHDQDGAADQTSQSWGSPKSPKLDQSKINEDQASEVPFRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDKSILITTYEGNHNHPLPPAATAMANTTSAAAAMLLSGSTTSKDGLGLPTSGYFPSLPYASTMATLSASAPFPTITLDLTQGPNAVPFLRPPPPAATFPLPLHGYPQLLGHPMFAAHKLSALPAMQLGQRPPASSMVETVTAAITSDPNFTAALAAAISSIMASPTNTNGNTINNNGGSTGNNNSSNGVPAAIPGSPQLPQSCTTFSTN >OMO64136 pep supercontig:CCACVL1_1.0:contig12861:79421:80373:1 gene:CCACVL1_22026 transcript:OMO64136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7Ae/L30e/S12e/Gadd45 MPARNKAAKNNVVDCSVLRQQDCYEGERLVDVLNSIRRGIESARLLAGNSLPEKIWLKQQFAIGVNDVTRVLERMAPIADKRSSAQAPCVRLQAVLLAGDCNPKWLTKHLPSLASSRKVPLIFVKDDKRGSLRLGELVNLKTAIAIGVKARGNAINQKLDEILGGDED >OMO64130 pep supercontig:CCACVL1_1.0:contig12861:32890:35742:1 gene:CCACVL1_22019 transcript:OMO64130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MTALTDLSLLRSSPSVFHSFSKPNNQNPPRIHKPFRLRCSVAEGPTISSSKTDGGESFIADCVVVGGGISGLCIAQALATKHRDFASKVIVTEARDRVGGNITTVERDGYLWEEGPNSFQPSDPILTMAVDSGLKDDLVLGDPNAPRFVLWEGKLRPVPSKLTDMPIFDLMSIGGKLRAGLGALGIRPPPPGHEESVEEFVCRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGRVWKLEQIGGSIIGGTYKTIQEKNSTPKPPRDPRLPKPKGQTVGSFRKGLAMLPEAIASSLGSNVKVSWKLSGITKLDNGGYSLTYETPEGKVSLQSRSIVMTIPSYVASNLLHPLSAAAADALSQFYYPPVASVTISYPKEAIRRECLIDGELKGFGQLHPRSQGIETLGTIYSSSLFPNRAPAGRVLLLNYIGGATNPGILSKTEGELVEAVDRDLRKMLINPNAKDPLALGVRVWPQAIPQFLVGHLDLLDTAKTALKDSGFHGLFLGGNYVSGVALGRCVEGAYEVAAEVNDFLSQYAYK >OMO64132 pep supercontig:CCACVL1_1.0:contig12861:43686:44620:-1 gene:CCACVL1_22021 transcript:OMO64132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 19, catalytic MEFSNHISFSLLFFTILLSFASNGVVEARKGVISPISHLISESLYNSLFLHKDDNACPAKNFYPYNAFIEATKCFPKFGNTGDLITRKREIAAFLAQISHETTGGWATAPDGPYAWGLCFKEEINPQSNYCDSTNTQWPCFPGKSYFGRGPIQLSWNYNYGPAGKALGFDGLRNPEIVSNNSVIAFKTGLWFWMTEQRPKPSCHDVMVGKYKPTAADLAANRTAGYGLVTNIINGGLECGRPDDARVNSRIGFFKRYAQLFNVDTGPNLDCANQLPFN >OMP04453 pep supercontig:CCACVL1_1.0:contig05737:5509:6555:1 gene:CCACVL1_02161 transcript:OMP04453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVPAPANVYTPPEEPIVVIGKQFVASYPVDLTLQEKSLTLGENDFTISDANGTPIFKVKGKLFSIRDQRTLRDAAGNPVVCFRQKILTMHRRWQVFRGDSTTDLLFSVRKSSLLQFRTTLDVFLAANNSESKPDFTVKGGFREHGCTIYSGPGETNVVGQMHKKHSLKNMIFDKDCFQLTALPNVDYAFIVTLVVILDEINADRSGED >OMO60785 pep supercontig:CCACVL1_1.0:contig13663:6893:7741:1 gene:CCACVL1_23873 transcript:OMO60785 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA isopentenyltransferase MGNLSHQNKKNKVIFAMGATGTGKSKLSIDLAINFNGEVINSDKIQVFKGLDIITNKVTKEESAGVPHHLLGIVDDPDEDFTADDFCRHVLKSIDIILKNGHIPIIAGGSNSYLEKLVEDPNIKFREIFDCCFIWVDVSLHVLYQRVGTRVDEMVKYGLVDEVREMFVPGADYTRGIRRAIGAQEMEKFLLIENNIYVDSMTKSKVLAIALEDMKINTRKLIISQLRKIYRLRTELGWEMHRIDATSVYQKRGEDAEVTWNDVVLMKSVEIVSKFLIEGIGI >OMO60789 pep supercontig:CCACVL1_1.0:contig13663:48274:54018:1 gene:CCACVL1_23877 transcript:OMO60789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MDNGIDSNDFIYGMNDNIVDCVENVDQEDETGLFDSHGENNENHDKDPQVGMEFDSPENAYSLYKKYAKKMGFGVTTRSSRRSKLSGQFIDVKYVCTRHGTKRETNAVNPRPCLKMECQAMFHIKRKHDGKWFLHNFIKDHNHDLFPAHSHFFPCHRSINSAKRYDINTLHTVGVQTSKIFAAMAKQHGGYENIGCLEKDIRNHLDKERCLALESGDANAMLEFFMQMQEKDPNFFYAMDLDDEHRLKNVFWVDGKGREDYQDFGDVVSFDTTYITNKYQMPFAPFIRVNNHHQSTLLGCALLANESASTFSWLMQTWVRAMGGKPPSAIITDQDRAMKAAIQLVFPDSRHRHCLWHILKRVPKACGGLKSVRTKYKKGSGCEELQSKKQRRDALFDRATELIEEGSLSLESYNIAHQALQDALKRCSSVNHSLNLSNKDACGANESHDLGKENFDCNILHEKKILDPKDTQSKAGKSKDIGNNFPKDCSSNSGTQDAYPLVAETVKSAVLEAIKAGYRHFDTAFVYGSEQPLGEAIAEALNIGLIKSRDELFITSKLWCTFADQDLVIPAIQMSLKNLQLEYLDLYLIHWPIKFSKEITQIPIPKEDIFPIDIKSVWTAMEKCQALGLTKAIGVSNFSSQKIEEVLSFAKIPPAVNQVELNPLWQQKELREFCKEKGIHVSAHSPLGSKGTLWGDSRVFDCDVLKEIAEAKGKTIAQISLKWVYEQGASVITKSFNKERMKENLEILDWSLTSEELDKISRIPQRKGGLLSTFIEPNDLVKELEAELTLIS >OMO60786 pep supercontig:CCACVL1_1.0:contig13663:18259:20589:-1 gene:CCACVL1_23874 transcript:OMO60786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEEIVQEPKIGMTFKSSEEAYGYYSNYAKQKGFGVMKRTSKRDDDGNVKYITFACSKTQKRRLESNTFTSKRVTKTGCKAKIRLILCDDRQFQISVVELDHDHLLSPGEARHFRCKRKRSENVKRSLEINDGAGIGGGKINHSLVEAGGYENVTFNEKDCHSYIEQAKRTRLGVGDAEAVCKYFAKMQEADPNFFYVVDVDENSRMRNLFWADGRSSAAYEVFGDVVTFDSTYFTDKYDLPFAPFVGVNHHGQSVLFGCGILTSKNTDSFVWLFQSWLNCMSGLHPKAIITNQCKAIQNAIEIVFPNARHRLCLWHIMNKVPEKLSGYTDYEEIKQVLQTVVYDSSTEIEFENGWSLMIGSYNLTDNEWLGGLYRERQRWVPAYVKSSFWAGMSTTQQSESLNAFFKEYVSHKTTLKQFVELYDVALKSKVEKENLADYQSFNSWYECLSDYDMEKQFQRVYTNAKFKEFQDELKRKFYCHHSLMKTENSTYEYEVVEDVKVGEKRKDLVFTVCFNDVDCEVNCECRLFEFRGILCRHALSVLIGRRVNKVPQKYILSRWRKDLKRGYTYIKCSYNPLRQQTERCEKLCKEFQKVAILAVDSVDKYQLVLKAIEELKAKVANDELIDNQTIQRSASPSQCCADNQTPTTTNKVIRFQGYINQSGSGVYGGSQQTIPNRNFSEENPYTFESSKDQHPKM >OMO60788 pep supercontig:CCACVL1_1.0:contig13663:41818:43524:1 gene:CCACVL1_23876 transcript:OMO60788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MAIVVPRVTLNNCDDDLSIPVIGLGTSSSPRVSPEATKAAIIEAIKVGYRHFDTASLYGTEQPLGEAIAEALRLGLINSRDELYITSKLWSTSADKDLIIPAIKKSLRNLQLDYLDMYLIHWPLKLSEEAKLPPQKEHVFPLDIKSVWEAMEECKNLGLTKGIGVSNFTCKNLEELLSIAKIPPAINQVELNPLWRQKKLREFCKEKGIHVTAYSPLGAFKTSWGDNRILECDILEEIAKAKGKTTAQISLRWLYEQGVSMVAKSFNKERMKQNLEIFDWSLTQEESNKISQLPQTKGLKVASAFGQHDWLLDLDVEN >OMO60784 pep supercontig:CCACVL1_1.0:contig13663:233:2425:1 gene:CCACVL1_23872 transcript:OMO60784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MLDNSNNNTSNSNSAAFPSSSSDPLTPLENGATHKRKRKPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETQEVKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNQKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACTVRRVQPELQALQPACSSRTASSTSPSSDANFSMAPLPGLPLQKSTEPVFLYSHASTSDHHHQQQQQQQLQHNLELQLLPSSNTTHSSRNSDENYGTHLKLSIGSSDGGSSEKMNESNSNASETALEASRLKEFASEQLKLAMTEKAYAEKARAEAKRQIEMAELEFASAKRIRQQAQGELEKAQMLKDQATKKISSTIMQITCQACKHQFQTSTTAAAVLPAADETSLAMSYMSSATTEGEVE >OMO60787 pep supercontig:CCACVL1_1.0:contig13663:30753:34163:1 gene:CCACVL1_23875 transcript:OMO60787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISNNPVQLFYLTLVFTLYISVSAANDQTTAIPSIKTDAVALLAFKKMIENDPTGVLSNWKLEKNPCSWYGVSCTSGRVTQLELNQCSLSGTIFFNPLASLDMLSSLSLSANFFTVNSTTLLLLPYGLKRLDLSGSGLVGLVPDKLFTKLPNLEYVDFSHNNLTGPLPENLLSKNSDKLQVLDLSYNNITGSISNLISENSCNSLLLLDLSENHIVGSIPVFLSNCTKLTTLNLALNSLSGEVPNSSGALENLQRLDLSNNHLTGWIPSELGNACESLLEIKLSNNNFSGPVPFTFSSCSYLQVLDLSNNNLTGPFPDSILQNLGSLEQLLLSSNTISGSFPSSISYCKRLRVADLSSNKFSGIIPPDICPGAIALEELRIPDNLISGQIPPQLSQCPNLKTIDFSLNYLNGSIPAEFGALQNLEQLIAWFNDLGGEIPKELGKCKNLKDLILNNNRLSGEIPVEIFNCSNLEWISLTSNEITGSIPREFGLLSRLAVLQLANNSLSGEIPGELGNCTSLVWLDLNSNKLTGEIPPRLGRQLGAKSLSGILSGNTLVFVRNVGNSCKGVGGLLEFAGIRPERLLQIPNLKSCDFTRMYSGPVLSLFTQYQTLEYLDLSYNQLRGKIPEEIGEMVALQVLELAHNQLSGEIPASLGQLRNLGVFDASHNRLQGQIPESFSNLSFLVQIDLSYNELTGPIPQRGQLSTLPATQYANNPGLCGVPLPECRNGNNQAAPNSALNGGKGGRKPAAASWANSIVLGILISIASICILIVWAIAMRSRRKEAEEVKMLNRLQAAHAATTWKIEKEKEPLSINVATFQRQLRKLKFSTLIEATNGFSAASLIGSGGFGEVFKATLKDGSSVAIKKLIRLSCQGDREFMAEMETLGKIKHRNLVPLLGYCMVGEERLLVYEYMEYGSLEEMLHGRAKARDRRILTWEERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDHELEARVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVLLELLTGKRPTDKEDFGDTNLVGWVKMKVREQKQIEVIDPDILLVNKGTDEAEAEEVKEMMRYLEISLQCVDDFPSKRPNMLNVVALLRELMPGSANGSSNSA >OMP10405 pep supercontig:CCACVL1_1.0:contig02541:856:918:1 gene:CCACVL1_00976 transcript:OMP10405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPSVPTINSPFSPHKKY >OMO59866 pep supercontig:CCACVL1_1.0:contig13859:7269:7855:-1 gene:CCACVL1_24564 transcript:OMO59866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKLETEERLTKKRKYKPECRAKQAYAQIWPAK >OMO99940 pep supercontig:CCACVL1_1.0:contig06767:3244:3597:-1 gene:CCACVL1_03542 transcript:OMO99940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFKLAIYNTAGAGNPAHSSRSLAMTDGEDPDFMIVTETRLHGARALDMRACFCYDQAQSLDPIGCLGGQWLLWHSKKVQFEIFDKDRVQITGALIRVRAGSILPRALSYTSDNCV >OMO99944 pep supercontig:CCACVL1_1.0:contig06767:32722:32823:-1 gene:CCACVL1_03546 transcript:OMO99944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGEKIAIPHVKAQDRKMLQAKSLMMMEPMKK >OMO99942 pep supercontig:CCACVL1_1.0:contig06767:10243:10350:-1 gene:CCACVL1_03544 transcript:OMO99942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEKQRIKFTKEGDKERTRYLVKVRMASSAMKFP >OMO99941 pep supercontig:CCACVL1_1.0:contig06767:3921:4064:-1 gene:CCACVL1_03543 transcript:OMO99941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFLIGLTKVETNANVLHIKRVAAWLEIPDHQIPDSLEAFTRLRQD >OMO99943 pep supercontig:CCACVL1_1.0:contig06767:30060:30167:-1 gene:CCACVL1_03545 transcript:OMO99943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEKQRIKFTKEGDKERTRYLVKVRMASSAMKFP >OMO84381 pep supercontig:CCACVL1_1.0:contig09750:14050:16495:1 gene:CCACVL1_10843 transcript:OMO84381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPNPPSMRVLTRPPPSPIPTSSSDPTPALPPSSPSLPRSPDGVVVVGFISRRPDNSSQLINQIIDSNVFGSGQLDRVLSLDKDELKDWFKYRRISYYHEEDKGILFLQFNSKGCPVFDGSLGSGSDFDGVLEEREFGDLQGLLFMFSEGSRFDTENLKKFRVLQAAKHSLTPYVKSRTTPPLPSRPHSSSSSRPSSIATAVSTSPVRSGGMLGRNASAISLMSGLGSYTSLFPGQCTPVTLFVFIDDFSDVPNSTSNIEESETSSLNHASSSSSLARPTSSMKGSASVVVLARPGTCSATVYKEAGGRMHINMEIWEATL >OMO84383 pep supercontig:CCACVL1_1.0:contig09750:21207:26390:-1 gene:CCACVL1_10845 transcript:OMO84383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MDASRNYTLDQLPNDFELRDFIDDPNFDQFIDLIRGENEESNIAGLDYDLINGCFVDKPQLQISATVPVDNGFGRGRMKEKLYALRSLVPNITKMDKASIIGDAVLYVQDLQMQAKKLKAEISGLEASLAGSERYQESMNNPAKIRVNHPICKKIFQLDMFQVEERGFYIKLVSNKGEGVAASLYKALESLSNFTLQNSNLTTVYDRFSLTFTLNMILVFFATQLVHLFLKPLGIPLLASQIVAGLILGPAMLGKVGAFKSILIPADRNGVEVIETTAALGFAIYLFLVGVKMDVRGAFTTVKRATVIGSLSILSPLIVGLVVYEVMKDDNVDHNLERVTITMCECLTSISVIACVLSELKILNSELGHLALSSATIGDLGSLIMTSVVSFYKKWGVSHNQALVNTGAMLAYTIVLIFVLRPMMFWIIRRTPEGRPVKQKYIYAIMLLALGSALFANYFGRSAIFGTFIFGLAVPDGVFVPSFCSRIPLKDSLALALIMTSKGILELSFFAGFRDNQIIGDGSFCILILGILLNSTIVPILVKFLYDPVSRKYAGYQKRNIMHLKPDSELRILACVHKPENVAALIGFLNATCPTEESPNVVYVLHLTELTARASPVFITHQKQGNTTGGTFYEKFIFAFNQYEQNNWGLVTVNAFTAISPSQLMHEDICTMALDKQTSFILLPFHRKWSIDGSIESEHSNIRRLNCSVLETAPCSIGILVDRAYNGNTMMFSKAAPRSSYCICIIFIGGKDDREALTLAKRMSQDPRVYQNGVNFQSWGTLETFLPPQIFKAGHLYWWCNNNNM >OMO84380 pep supercontig:CCACVL1_1.0:contig09750:6599:9133:-1 gene:CCACVL1_10842 transcript:OMO84380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAIAAMAAHAILTTTPSFHIQNFLPPLPTNSSNPPLLQSSFRGVSLKLPRQSLSLVAAVPNKPLTVLAATKKAVAVLKGTSAVEGVVTLTQEDDGPTTVNVRITGLTPGPHGFHLHEYGDTTNGCMSTGAHFNPNNMTHGAPEDETRHAGDLGNIIANADGVAEATIVDSQIPLSGPNSVVGRALVVHELEDDLGKGGHELSLTTGNAGGRLACGRFIGQGHLYIIVLDFDRCLPSLRHAMQRTAKMK >OMO84382 pep supercontig:CCACVL1_1.0:contig09750:16932:20540:1 gene:CCACVL1_10844 transcript:OMO84382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Smg8/Smg9 MGRSSADPKAEFSADVQLKKASATEFSPGGIETAVENPRKPLEISKFNGNKISFGRGLPNFTMKKPFSEVVAGSATTDSGFPPLQQRKQPSSGSERGMKKNKASDQSLEGVHETVDQGSQKPLQVSSVQQSLNRVSSDGSTDSDPFLRIGSNVVPMNVSNDEKAKSNPDIKHVTAYVGFEHECPHGHRFLLNPEHLNQLGVPYSLFEESQNSCPVESSDPALADSSKVGKNVGHSKVHRNTNGIIAASAPVSKMKSKGTTKQLVANGNVSKDGLTQLSMPEKDHNQTFVTVAGLPVTVKDLETGFHSVSLDDGGSSFSMLNRDLPIYMNCPHCRSARDKKIQPKVKFASSISQLQRIFLVTPPFPVVLAANPVIQFEASCLPSSVPDHEQKLQFSLGCKVILPPESFLILRLPFVYGVQLEDKSVHSLNPFEDKPERLGLAKMEIYTNDDFAEAELLTSSILLGCEIQVRTSMADKSSLIASRCFDLEFDSIDVFAGNGQPCVATMLHFIIDKVDKKAKADENVTCFQLCGWIGLDFKHDVLIFVELEKKMLEQLQNLMHAPAFAAWGTSYVTVREFMLVQMLFAEIRKGRLALNPVHNIPLFRKCN >OMO61827 pep supercontig:CCACVL1_1.0:contig13405:34031:34099:-1 gene:CCACVL1_23224 transcript:OMO61827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NMSNRKTVHVQQPAHLENSTTI >OMO61826 pep supercontig:CCACVL1_1.0:contig13405:19098:19389:-1 gene:CCACVL1_23223 transcript:OMO61826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFDKIDYLEFYSKTVVKVKQQIKKLNQKVSVTEA >OMO67895 pep supercontig:CCACVL1_1.0:contig12354:27795:31502:-1 gene:CCACVL1_20225 transcript:OMO67895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine synthase, vitamin-B12 independent MASHIVGYPRMGPKRELKFALESFWDKKSSAEDLQKVASDLRSSIWKQMSDAGIKYIPSNTFSYYDQVLDATAMLGAVPPRYGWDGGEIGFDTYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFCYASHKAVDEYKEAKALGVDTVPVLIGPVTYLLLSKPAKGVEKTFNLLSLLPKILPIYKEVISELKAAGASWIQFDEPTLILDLDSHKLEAFTAAYADLESTLSGLNVLIETYFADITPEAYKTLTGLKGVTGFGFDLVRGTQTLDLIKSDFPKGKYLFAGVVDGRNIWANDLASSLSTLAGLESIVGKDKLVVSTSCSLLHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAFFSANAAAQASRKSSPRVTNEAVQKAAAELKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTLELRRVRREFKAKKISEDDYVKAIKEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVCRPKPMTVFWSSTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEHAFYLKWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYAEVKPALQNMVAAAKHLRTQLASAK >OMO67896 pep supercontig:CCACVL1_1.0:contig12354:40544:40648:1 gene:CCACVL1_20226 transcript:OMO67896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCAGYAVARPLLVSVVPRIPPYNWHLFFNNQL >OMO67898 pep supercontig:CCACVL1_1.0:contig12354:54953:55072:1 gene:CCACVL1_20228 transcript:OMO67898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFSERYQYQAKQLLSSTSSLSSIYTFWQHKAYLSTMR >OMO67893 pep supercontig:CCACVL1_1.0:contig12354:2050:4816:1 gene:CCACVL1_20223 transcript:OMO67893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLMKFLYPPSIFVTATTLVSFSILVTNGLSEIRGKSMQYSKFAGVKNSVAESKKRMISSRAGMIMLYTPAFLAGLSSFAIFLDEGFRFYLLKSAITIHYLKRVMESSFVHKYSGEMALGTMIVILSSYFSSSVFMIVAQHLTMGIPEPAIDLKNPGILLFLVGIIGNFYHHIRLSKLRSGTGKGAKEYKIPKGDFPKGVKAIIPFVF >OMO67892 pep supercontig:CCACVL1_1.0:contig12354:1222:1350:1 gene:CCACVL1_20222 transcript:OMO67892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNKLCSPPDQLIDTFYTHSPKPDSIYTPAAKRGPDTSYNR >OMO67897 pep supercontig:CCACVL1_1.0:contig12354:46639:48261:-1 gene:CCACVL1_20227 transcript:OMO67897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MDRLISLEPSNLVAVRIEPGQKCYGELTLRNVMYTMPVAFRLQPVNKSRYSVKPQSGIIAPLGTLTVEIVYHLPPGLLLPDSFPHSDDSFLLHSVVVPGAAIKETSNFDTVPNDWFTTKRKQVFVDSGIKIMFVGSPILVQLVMDGSMDEIRDVLERSDPSWNPADSLDSQGQTLLHIAIAQSRPDIVQLLLEFEPDVEFQSRSGSTPLEAAAGCGEELIVELLLAHKASAERSESSSWGPIHLAAVGGHLEVLRLLLLKGANVNSLTKDGNTALHLAVEERRRDCARLLLANGAKADIRNVRDGDTPLHIAAGLGDEQMVKLLLQKGANKDIRNKTGKTAYDVAAEFGHMRLFDALKLGDSLCLAARKGELRTIQRLIENGAVINGKDQHGWTALHRASFKGKIDAAKMLIDKGIDIDSKDEDGYTALHCAVESGHSEVVEFLVKKGADVEARTNKGVTPLQIAESLHYAGISRILIHGGATKDGMPQQISAMPVSSPFGNGKMGKEIETNKAPMMKRRPSRARALRGSFDRALPLAVV >OMO67899 pep supercontig:CCACVL1_1.0:contig12354:59294:59413:-1 gene:CCACVL1_20229 transcript:OMO67899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVESTNTSTTVIQNTTSQLPLKLTYTNFPSWKVHAQHR >OMO67894 pep supercontig:CCACVL1_1.0:contig12354:25302:26967:1 gene:CCACVL1_20224 transcript:OMO67894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLMKFLFPPSIFVTATTLASFSILVTNGLSEIRGKNMQYSKFAGVKNSVAESKKRMISGRAGMVMLYTPAFLAGLSSFAIFPDEGFRFFLLKSAITIHFLKRVLESSFVHKYSGEMALGTMIVILSSYFSSSVFMIIAQHLTMGNPEPAIDLKIPGILLFLVGIIGNFYHHIRLSKLRSGTGKGAKEYKIPKGGLFDFVICPHYLFEVLVFWGFALISQTLYSFAFALGTTCYLTGRSYATRRWYLSKFEDFPKGVKAIIPFVF >OMO60667 pep supercontig:CCACVL1_1.0:contig13687:7641:11306:-1 gene:CCACVL1_23969 transcript:OMO60667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRLTDFHLIMDCGLDQFHQEAYGTSFAAAAAGILGCVGSTIMADWSTGLFDCFSDCSLCCQTAFCPCITFGRSAEIIQKGACSCGGNCLLYLLVHHVSGCLITILFGYFHRRTLRRDFGLKSSPCPDFCVHCFCHYCALCQENRELKNHGFDMKIGYDANVQKQQNRGVTMAPMNEEGMKR >OMO60671 pep supercontig:CCACVL1_1.0:contig13687:30834:31454:1 gene:CCACVL1_23973 transcript:OMO60671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MKTQLSLLFATFLFLFLHPTLSSAVATDPNGATQTNNTDFIRTSCSATLYPDICFSSLSRYANAVQQDPARLARLAISVSLSKARRMAAYVSNITRESDFGADQRASAAVHDCFSNMGDAVDEITGSLKQMRQLVTPGSESFRFQMGNIQTWMSAALTDEETCTDGFEGVEDGPIKANVFDKIAKVKKYTSNALALVNSYAQKGTN >OMO60664 pep supercontig:CCACVL1_1.0:contig13687:68:513:1 gene:CCACVL1_23966 transcript:OMO60664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSCFYRNKLRKQYNLVGGGCGDCFRHCCCETCALTQEYRELKNRGFDPSIGWHANVERNQGLAMAPVVEKGMSK >OMO60665 pep supercontig:CCACVL1_1.0:contig13687:2419:5040:1 gene:CCACVL1_23967 transcript:OMO60665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MARVASNPLSLPRFRPYFQVSDSTHFSKSPFLSQNRIRKFQTICCQTNPTPTETEPSNKEKVLVEPDSVKDSTSQTTTSSGGGGLPQFPNKSINRRIAVGSTLAAVGLFLSSRLDFGVSLKDLSAAAVPYEQALSNGKPTVVEFYADWCEVCRELAPDIYKVEQQYRDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKDGNEEGNVVGRLPRKYFQENVEALARGEESVPHARVVGQYSSAEGRKVHQVVDPRSHI >OMO60669 pep supercontig:CCACVL1_1.0:contig13687:24673:25890:1 gene:CCACVL1_23971 transcript:OMO60669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDKMVKGAYSRLKQEQKSFTLHNSGGNVDRKTKWAKLAFSLEPLIKVRPISIDRRLPWWMRTA >OMO60668 pep supercontig:CCACVL1_1.0:contig13687:12160:16985:-1 gene:CCACVL1_23970 transcript:OMO60668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MARKSLSSPGIFPAFLAFSFALLLVFPNPIIAGGHDYHDALRKSILFFEGQRSGRLPPDQRVKWRRDSALHDGSTAGVDLTGGYYDAGDNVKFGFPMAFTTTLLAWSIIDFGRTMGPELKNAVKAVKWGTDYLLKATATPGVVYVQVGDAYSDHSCWERPEDMDTLRTVYKIDNSHPGSDVAGETAAALAAASIVFRSRDPAYSRLLLNRAVRVFNFADKHRGAYSSSLHAAVCPFYCDVNGYQDELLWAAAWLHKASRKREYREYIVKNEVILRAGDTINEFGWDNKHAGINVLISKEVLMGKADYFESFKQNADGFICSLLPGISHPQVQYSPGGLIFKAGGSNMQHVTSLSFLLLAYSNYLSHANKAVPCGETSASPALLKQLAKRQVDYILGDNPIGMSYMVGYGARYPQRIHHRASSLPSVGAHPARIGCKAGSRYYLSPNPNPNILVGAVVGGPNVTDAFPDSRPYFQESEPTTYINAPLVGLLAFFSAHP >OMO60670 pep supercontig:CCACVL1_1.0:contig13687:27955:28563:1 gene:CCACVL1_23972 transcript:OMO60670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor METQLSLLFATFLFLFLSSAVATDPTGATQTNNIDFIRTSCNATTYRDICFSSLSRYADAVRQDPVRLARLAISVSLREVRDVAAHVSKISREADFGGDKRASGSVRVCVETMGDAVDCTTDSLKQMRELVPPGSASFSSQMENVRTWLSCAGTEEYTCTDAFEDVADGPIKADIFDKIAKVEKYTSNALALVTIYAQKGTN >OMO60666 pep supercontig:CCACVL1_1.0:contig13687:6002:6862:-1 gene:CCACVL1_23968 transcript:OMO60666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIPPPNSINIHQPAEGIDTQQQQPGAGAIINTQQLENEPQAVSSTQQLEPQAIPWSTGLCDCFSDCFLCCKTCICPCVTFGQNAEIIDQGSSACAVDAVIYVVIHHFSCCFISFMYACYYRRKFRLQYGLKASPCPDFCAHSFCHYCALCQEHRELKNLGYLMTIGWNANVERRAQQGVTLMAPVVETGMHR >OMO73672 pep supercontig:CCACVL1_1.0:contig11193:17920:18751:1 gene:CCACVL1_17184 transcript:OMO73672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASERRGSRRSAAIARLAELVLSLCALCITNFTTVEISGMVEDGGSRLWA >OMO73673 pep supercontig:CCACVL1_1.0:contig11193:20816:20947:-1 gene:CCACVL1_17185 transcript:OMO73673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKVEVNDLNKKAKVVNDFQKKRQRSHDKPKVKLDVPQSKDQ >OMO84076 pep supercontig:CCACVL1_1.0:contig09798:13815:16324:-1 gene:CCACVL1_11014 transcript:OMO84076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MASVQLRRESSLQESPRSPEAKVGMQVEDLWDIQEPQLSPTEKFNACFESIPVSAFPPSPHAHGIEIRSDASLADAVQILAQNKLFSAPVVNVDAPEDATWIDRYIGIVEFAGIAVWILQQSEPPSPRSPSSPTGAEFAIAANGMTAAAGLGSLGPEDAAMTSGNFFEALTSSEFYKNTKVRDISGSFRWAPFLALQKSNSFLTMMLLLSKYKMKSVPVVDLGDGNIDNIITQSDVIHMLAECTGLHWFENWGTKKLSEIGLPTMSPKQIITVHEDEPVLQAFKIMRKQRIGGVPVVERDGKKALGNISLRDIQFLLIAPEIYHDYRSITVKNFLLAVRKYLENHDKSSPMLSGMITCKKDDTIKELIETLDTEKIHRVYVVDDDGNLEGVITLRDIISRLVHEPRGYFGDFFDGVLPLPENSRV >OMO84073 pep supercontig:CCACVL1_1.0:contig09798:637:1468:1 gene:CCACVL1_11011 transcript:OMO84073 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCaRG LLVCLRMLIRRCVYENISKDDIQKLFPNEVLPELQRLLTLLLQKFQREWRAEIHKDEDTLPRLKAMTWNMANQDSEVTEPVAVINLKLQNDAQAPQGDLDLKFQLAKETLDTMLKSMYSIRDQLSNLGETSNGTFSQEMNAV >OMO84077 pep supercontig:CCACVL1_1.0:contig09798:22972:23346:1 gene:CCACVL1_11015 transcript:OMO84077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGLTDSLLAELWGIREGLRLAKSLNLRNVVVQMDASVVVNFLNQGITPTYLNSVLVQEALELAKGDWIREIIHVYMEGNRCADFLANFGHDNLIGSVELLDPPLGLLPLLEQDMDSVTFRGN >OMO84074 pep supercontig:CCACVL1_1.0:contig09798:5076:7025:1 gene:CCACVL1_11012 transcript:OMO84074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex protein Exo70 MATTTTSLGAGGEDRVMATAQQIVKSLNTPKEVREDMLLILSSFDNRLSNISDLINNDSASTGVRFDAAEKVILRWDSSPSNPDSSHHSLPWEDSPDEAADYLSAIDEILQLVVDMSIRSDNEIMDRAETAIQIAMSRLEDEFRLILIRNTVPLDAERLYGSIRKGSFFITENDEEFGEEFESFGDADSERGCLHERGVSLGDDLSVELINADAIVELKEIADRMIRSEYEKECVQAYSSVRRDALDESLVILGVEKLSIEEVQKIEWRAMDEKMKKWIQAVKVTVRVLLTGEKRLCYQIFNGSDTIREICFNETAKGCIMQLLNFGEAVAIGKRSSEKLFRILDMYDALANALLDFEMMLTDEFVLSEVRGVLAGLGDAAKGTFVEFENAVKNEASKKPMQNGEIHPLTRYVMNYVKLLVDYSETLNSLLESDEDEADGLQSEEKELETETPFAKRLLLLISSLESNLEEKSKMYEDGALHAIFLMNNILYIVQKVKDSELGKLLGDNWVRKRRGQVRQYATSYLRACWTKALYCLKDEGIGGSSNNASKVALKERFKNFNACFEDIYRVQTAWKVPDSQLREELRISISEKVIPAYRSFMGRFGNQLESGRHAGKYIKYTPEDLENYLLDLFEGSPLVLHHMRRKST >OMO84075 pep supercontig:CCACVL1_1.0:contig09798:9496:9618:-1 gene:CCACVL1_11013 transcript:OMO84075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMIPAPQIPEFAFNFNGPEVGKLEMVHQGADGPCNFIGK >OMO95231 pep supercontig:CCACVL1_1.0:contig07711:6985:7086:-1 gene:CCACVL1_05486 transcript:OMO95231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSPTHGSKLVLLLRDKLKTLEEPPKKHSLNP >OMO74123 pep supercontig:CCACVL1_1.0:contig11159:9416:12255:1 gene:CCACVL1_16945 transcript:OMO74123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGGSVYWGRKEKSKEVKGIVVIFAWISIHEKHLANYMDLYSSMGWNSLVARADFLNAFYPERAMSLAFVLVNELIEELKIRTCPVVFVAFSGSPKACMYKVFQIIQGTGESHLNLDGNHLVRSCVSGQIYDSSPIDFASDLNAQFVLHPSIRKMPGPSKLVSWIAKGVASGLDGLYLTGFESQRAEYWQTLYSSVDLDAPYLVLCSESDELAPYPVINNFAQCLQELGADIKVVKWNGSPHLEHYKYYPIQYRAAVASLLEKATSVYSHRIQKLRERNGMHDEISELICDLQKAAVNSNQSFRRVALGPSDHFFLPSSAEYHNGRESGSLQDEQRERPVSLPVPPSINAHSVLGQILFDACVPKNIEGWDIRFSGSAKGQPFGSARRHSPFLGIKCNRRSRL >OMO74122 pep supercontig:CCACVL1_1.0:contig11159:3463:4570:1 gene:CCACVL1_16944 transcript:OMO74122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor MEASSTSFSLSKKRKRDGSRELQELELTSPDIEFDNLRNVSSSQEKLITVATSSNSGGAPAGDMCSSLCSGSESSASRCSSNESCDIVKDSLRFVDLEAKSFETEISTCININKFSRETTPLSERCGDSEEMESPEKKPSLSPSPSSAKQPKTPSQAEIDDFFTAAEKYEQKRFAEKYNYDIVKDVPLDGRYQWVRLKP >OMO61956 pep supercontig:CCACVL1_1.0:contig13387:1487:2068:1 gene:CCACVL1_23119 transcript:OMO61956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MKMSGITGACFQAMRDLLSMRGSKGSNINGNNSDPKMIKAKAEGDDELMVRALTAVFGMEGNGRINKEKTRQVVANLGLVEPDDCSFDLGLAEEEEDVLNLNLGGVMNVMESSDGSIKDDNNNIELLQQAFRIFDEDGNGFIDAVELKRVLQCLGLDHGWDMPQIHTMLNVVDLNLDGKIDFTEFQFMMLHAR >OMO61958 pep supercontig:CCACVL1_1.0:contig13387:7743:8084:1 gene:CCACVL1_23121 transcript:OMO61958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINWLGLLLVVDIIRKHKVKIIKHRHQSDRAQENKHGPENPKQKRKGSVEEPIADSVQKETLFQQLGDVVRSDNAGGVRRGVDKDVDLVNEPDGQRVAEKGEEENAKDGDIFE >OMO61957 pep supercontig:CCACVL1_1.0:contig13387:2602:3494:-1 gene:CCACVL1_23120 transcript:OMO61957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVGGGTSGNSSDQDQEAISDEELEDDVGTLVDAAHTLDDPTLLKRLRRMLSNRESARRSRKRKQEHLADLEFQAEQLRGENDSLYKQLTNAHQQFRDADTNNRVLKSDVEALRAKVKLAEDMVGRGSLTCSLNQLIQSQLGSGAAINNVNLRRVPNVSPTITVHADDSSPYTLTRNSIGINAADAVSCVSDIWP >OMO60964 pep supercontig:CCACVL1_1.0:contig13638:16455:17637:-1 gene:CCACVL1_23792 transcript:OMO60964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/Utp3/C1D MEEINKANENERLKNEANQLAAVLKEMKSGLDVVTAKVQALTAKVEANNLPTADGMSYLEAKHLLLLNYCQSLVYYLLRKAKGLSIEGHPMVRSLVEIRLFLEKIRPIDKKLQYQIQKLTRASGSATQQVSSNDKSDDPQKNEDPLNYPPNPDMLISKADVMAEDGSGVYRPPKFAPAVVEEDHMMSREEKNALRREKEALRRASHSTYIRDMMDDLEGKPEEVREIIGAES >OMO60963 pep supercontig:CCACVL1_1.0:contig13638:8302:8679:-1 gene:CCACVL1_23791 transcript:OMO60963 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MPRPHFLLVSYAAQGHINPTLQFAKCFIRIGAHVTFTTSLSARRRMTEVPKVEGLSFVTFLDGYDDRLKPNDDREKYGAEFKRRGKKNLNNLIASSNDQGKPITCIVYFLLITWAMEVAREQQIPC >OMO60287 pep supercontig:CCACVL1_1.0:contig13746:10446:17415:1 gene:CCACVL1_24269 transcript:OMO60287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQKCLIMIHEGQNLLFHVLNDL >OMO60288 pep supercontig:CCACVL1_1.0:contig13746:20981:22952:-1 gene:CCACVL1_24270 transcript:OMO60288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MGFFPALISWLPFLLIALLQLFKKKRQAKNELNHLPPSPPKLPIIGNLHQLGPLPHSSIRQLSQKYGPVLLLKLGRIPVVFVSSAEAARQVMKVNDLASCSRPPLAGIGKLTYNHLDLGFSPYGDYWRQIRKICVLELFSVKRVKSFRFVREEEVASLMNSLSQLSCSATPLNLTSKIFTLTGSIIFRVAFGKCFEESDDFDRERFYELIHDAETVAARFSAEECFPGFGWILDKLNGHNERVERVFKALDKFFQGVIDYHLKPGRTKQHDDIIDVMLGIEKEQIEQGHVWLTKTHIKAVLLNIFLGGVDTSALVVNWAMAELCRKPELMKKSTKRSSKHCRKQRKTPMLISREVMSHFKLNGYNIYPKTMIQINAWAIARDPKYWENPEEFCPERFIDNNIDFKGQDFEFLPFGAGRRGCPGIYMGTVTSELLLANLLYCFDWKLPDGMKEEDVDMEEQGGHCLTLMKKTPLVLVPIEYIPS >OMP01981 pep supercontig:CCACVL1_1.0:contig06342:31:1559:1 gene:CCACVL1_02955 transcript:OMP01981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMEEVRVYESVTVFFYLSSGRATSARSFVRIFASLARHRVADNISILGSESYPKAMAEHKGVGEIAYLLSL >OMP01982 pep supercontig:CCACVL1_1.0:contig06342:4104:4313:-1 gene:CCACVL1_02956 transcript:OMP01982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVSSTPVSLTVVRALVELTGQDRPKTCKMSSPTSLTAFTPLLFFSRAVNATSPKGSALNDPSISAHL >OMP09871 pep supercontig:CCACVL1_1.0:contig02919:50:637:-1 gene:CCACVL1_01028 transcript:OMP09871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKSKPCVFLGYSKNQSAYLCLEPNSNKIYVSRHVLFDESNFPFANFSRVTNKESSNENSFIVDFPVTSTVPSLQESSTSLPLSATAENSSTSEVASHTQDSSSTSEKVLSIEPFISSLPSLHVNITPAARTHPMVTRSQNNIFKPKVLNTVTKHPLPETVEPTNAAQAIKKVEWKAAMLEELEALHRNKDMGN >OMO52196 pep supercontig:CCACVL1_1.0:contig15563:3914:6663:1 gene:CCACVL1_29306 transcript:OMO52196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCRPLGFLLGLPFALVALVLSVVGAVIWVLGFIFIRPQTSPVSSRLSTDATATSDGNVVSFLFTYFGVSGDDYRVRVIASKKFTISSFIVLTFPHRVRHGHDDG >OMO52197 pep supercontig:CCACVL1_1.0:contig15563:24580:32732:-1 gene:CCACVL1_29307 transcript:OMO52197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLIEFDAAISYTSNQRAKTLKDKGAGEFMQRRLHSHRQRLKQTPTNPRSLLYQQKTETRKLETPKTRRNRHPETESTSTPVENAIDL >OMP03143 pep supercontig:CCACVL1_1.0:contig06143:4080:6308:1 gene:CCACVL1_02548 transcript:OMP03143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILFFQEFSPGSNGRMTTMGVYVRDLLLGQYYFDTLFPRIPVPVMRQIVSNLEKMKLPTKPSGTTGDSNRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTHPPPPYDRSSTDDSRRSPSRHRSQSREYSDREYSDKDRDRDRNRDRDRDRDSDRDRGRDWDRDKERQRERERDRGRDRDRDGDRDRDRDYDRERIKDKERDRDRRHDYDRRSRYSEKRDYKESYDDDRHYGVASSHRSRSRSRSRSRSRSRSRSLIAGNGHFDRHSSPQKEGGKEKSSASSNLAKLKDMYGDLSDKKEDGFERAPRKDNSGEEVIRLGGSSWK >OMO99509 pep supercontig:CCACVL1_1.0:contig06878:13889:13957:1 gene:CCACVL1_03768 transcript:OMO99509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FHRQGQIQLEERKKQLGLNQLEV >OMP01663 pep supercontig:CCACVL1_1.0:contig06394:2080:2145:1 gene:CCACVL1_03040 transcript:OMP01663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRAALVFCAGERVSGFEVG >OMP01664 pep supercontig:CCACVL1_1.0:contig06394:4206:13391:1 gene:CCACVL1_03041 transcript:OMP01664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQMTRPLGVCIRSKMMQGNAKDLMAIAGGALKTNITTLDFKLAFEGTASAFTEMELALLPANASVVTLFPDHNLEISGDFNDPPPGNRRRPSSCLSLYTLFALVLSILAVSAITYNVFHGFYG >OMO99960 pep supercontig:CCACVL1_1.0:contig06762:25928:27283:-1 gene:CCACVL1_03529 transcript:OMO99960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVNASTAFTLKAYPILSATASFPYRQPTSFGTPNKCLPLAFEATRKRKVLFSQSCAVKKNELSEVDDGADTKLEEIEVPEPPTETLLYSFSPLPLLVLAALPGAGTVRSLFGPFVELVKSWNLPDWLVHWGHPGNMAVVLFAMGGYGTYLGFRIRYADDVEEKAMAKDLHPKLLAGMFFFFALGATGGVTSLLTSDRPIFESPHAVTGLIGLALLTIQTILPTLFEGNPGLRSVHGILGSGIMTLFLIHFALGLQLGFSY >OMO99957 pep supercontig:CCACVL1_1.0:contig06762:21257:22099:1 gene:CCACVL1_03526 transcript:OMO99957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MEDSGDSSKKGLEGTGLDLPPNKHGNLKSASSDQNLKDILLHIKCGVCSQILPAFCRLSNHFPKLSFVYADIDECPETTLHIRYTPTFHFYRDGERVDEMFGAGEERLHDRLWLHS >OMO99958 pep supercontig:CCACVL1_1.0:contig06762:22740:23912:-1 gene:CCACVL1_03527 transcript:OMO99958 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthetase MDSFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKANVNYEKIVRNTCRGIGFTSADVGLDADKCKVLVNIEQQSPDIAQGVHGHLSKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYRNEAGAMIPVRVHTVLISTQHDETVTNEKIAADLKEHVIKPVIPAKYLDDNTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSVVASGLARRCLVQVSYAIGVPEPLSVFVDTYKTGNIPDKDILALIKESFDFRPGMISINLDLMRGGNFRYQKTAAYGHFGREDPDFTWEIVKPLKPKA >OMO99955 pep supercontig:CCACVL1_1.0:contig06762:6856:10651:1 gene:CCACVL1_03523 transcript:OMO99955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREPIMSWTTTYEDLLCSTEPFQQPIPLAMKRAGFLPDACDQEPYDEEESESEFAISYQMILPPRAAVG >OMO99956 pep supercontig:CCACVL1_1.0:contig06762:12808:17920:1 gene:CCACVL1_03524 transcript:OMO99956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDKAIKGSDDTRLKTKYNNAIYVIKRALALYSIQEVAFSFNGGKDSTVLLHLLRAGYFLHREEQSCSNGVLTDFPIRTIYFESSSAFPEINLFTYDTAKTYGLQLDIIRTDFKSGLEALLKANPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLTCKVQYCSLYDKGYTSIGSIYDTVPNSLLSISNSSKKEFKPAYLLPDGRLERAGRVKRISSSDTRFSAVNNGVDSEDSHRNRMFTASVIGVGDEILFGTVEDQLGPSLCRKLHSIGWLTSQTAVVRNDIDSVAEAVERCKSTNDMVFLYGGVGPLHSDVTIAGVAKAFGVRLAPDEEFEEYLRHLIGDHCTGDRNEMAQLPEGITELLHHEKLSVPLIKCQNIITLSATNVTELDKQWDCLIELLGSDGCLVKMEPYSSKQLTTNLTDVETAQPLSKLCLDFPDLYIGCFRKSREGPLVISFEGKDSTRIEAAVEALRKKFHPGAFSEVN >OMO99962 pep supercontig:CCACVL1_1.0:contig06762:46442:48625:-1 gene:CCACVL1_03531 transcript:OMO99962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSTIIFSSFSSSPSLSRCRETNSFLPSSFRFSPRSSRLLRFSSKASDSGGSFLGDDSFGFFPWSTGDNDVEWVQEERVTLFTADGLIQIGGSMVPRRLTSSDKKQGKSKTSQRFQRFQESDYMDPNQGLCLGALFDIAATNGLDMGRRLCIIGFCRSIEMLSDVVEDTVLEHGGEVVAAEKAIKGGLHEKLSMTVAVPYLWGVPPASDTLHLAVRSGGGIVDKIYWQWNFL >OMO99961 pep supercontig:CCACVL1_1.0:contig06762:28740:33367:-1 gene:CCACVL1_03530 transcript:OMO99961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLFQSPTFLSLSCHEPLFLSKPHQWLRLKTHQYPSRRNLKICAFSSQKPRSRRKVKSNEELCNDIREFVAAVGLPEGHVPSMKELSQYGRNDLANIVRRRGYKLINKLLTSSPETDIDGFSADQSVVGKYETTGDSGDTFEGQNQKGENSIEDASLPTELFSLKNSLVAEDVDSDDPHYTKPNTGHTSRHEKEMENAIVEDVSVSTFLSAEENYSGSLNADPDLNSGEATVVPRESLAMSTLEEKVAKFVQNGELDSIDYNVNGMLNESGGKERSNNEVATQSTGSSQEYSGRHSYGSATTLNGSTTVAKQVAPPVAMNYLPWSHDNRKAEELKGDDLMEDLISETTGRVNQIEINHLKFMLHQKELELSRLKEQIEKEKIALSALQTKAETEIHKAQKLVSEKDAELLAAEEGLSGLEEVQIEYSGDGEIVEVTGSFNGWHHRIKLDPQPSSSIKNPTESRKTKLWSTVLWLYPGVYEIKFVVDGKWKIDPQMESTNKDGISNNILRVER >OMO99959 pep supercontig:CCACVL1_1.0:contig06762:24563:24902:-1 gene:CCACVL1_03528 transcript:OMO99959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNSKISSEHTEKHGAPDQVGGWCGWDPFLTNWKKEQEIKPHEAIKTRENQEEEEPQQIAKRAGNRS >OMO86679 pep supercontig:CCACVL1_1.0:contig09440:29250:32167:-1 gene:CCACVL1_09533 transcript:OMO86679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MCASWQQNMKSCDTNQAFLRVYMYDLPSEFHFGLMGWKGTANQLWPDVNDPSQIPPYPGGLNLQHSIEYWLTLDLLSSNTPNVVRPCAAIRVQNSSQADVVFVPFFSSLSYNRHSKLQVKEKVSVNKMLQRKLVRFLRTQDEWKRFGGKDHVIVAHHPNSMLDARTELGSAMFVLADFGRYPTEVANLEKDIIAPYKHVVRTIPSGDSAPFEERPILVYFQGAIFRKDVASISEYILIGLKFQGGAIRQELYYLLKDEKDVHFAFGTIQGNGVNQAGQGMASSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDEIELPFEDVIDYSEFCIFVRASDAVKKGYLLNLLRGIKRDQWNKMWGKLKEVVRYFQYQYPSQPCDAVDMIWEAVARKAELSNITEQPEHIKDFAEKKQKLSI >OMO93106 pep supercontig:CCACVL1_1.0:contig08126:1804:2107:-1 gene:CCACVL1_06625 transcript:OMO93106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVLRLEWWDYFFSEVKEEEMKPSDSPLPQLDTSWAPEKPFVLISIFLPPTGPPLPKTFAACYTTYSKKKKPTLQKFT >OMP05327 pep supercontig:CCACVL1_1.0:contig05435:21168:21416:-1 gene:CCACVL1_01954 transcript:OMP05327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 NRFRLDFITSIAVVPSTAALVIKALKEPERDRKKTKNIKHNGNISLDGVIEIAKIMRSRLMARDLRGTVKDLMFPWSVQSFV >OMP05325 pep supercontig:CCACVL1_1.0:contig05435:17477:17818:1 gene:CCACVL1_01952 transcript:OMP05325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPYEKVNGGRLTFKGGSLATRKAIDKKKKKHKKKKDAEDESQPSLEEAAAVEGAESGGNAYTIDAAKKMKYEELFPVEAKKFKYDPKNPKATSVEQALDDRVKKKADRYCK >OMP05323 pep supercontig:CCACVL1_1.0:contig05435:1904:9083:-1 gene:CCACVL1_01950 transcript:OMP05323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEIERLCNKDSYLPDDSYEADHKEINWRKGSKEAIGLPKSWDGKETPQSNKVRSIVGRLEVRAAER >OMP05324 pep supercontig:CCACVL1_1.0:contig05435:14831:16441:1 gene:CCACVL1_01951 transcript:OMP05324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate permease MARNQLGVLNALDAAKTQWYHFTAIVIAGMGFFTDAYDLFSISLITRLLGRIYYHVDGHPKPGTLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKRVYGLTLMLMVVCSIASGLSFGKSPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFVSAVFAMQGFGILAGGIVALIVSAAFDHAYSAPSYSVDPVGSTVPEADYIWRIILMFGAMPALLTYYWRMRMPETARYTALVARNAKQAASDMSKVLQVDLEAEEEKVEKIGTNSTNNFGLFTKEFARRHGLHLLGTTTTWFLLDIAYYSSNLFQKDIFSAIGWIPKAETMSATREVWRVAKAQTLIALCGTVPGYWFTVAFIDIIGRFTIQLMGFFFMTVFMFALAIPYHHWKSNHAGFLIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSTDPEKTDAGYPPGIGVKNALYVLAGINCLGMVFTFLVPESKGKSLEELTGENEGDGDEIEIPQASTTQTVPV >OMP05326 pep supercontig:CCACVL1_1.0:contig05435:19514:19945:-1 gene:CCACVL1_01953 transcript:OMP05326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFRVQAAEKAKIAAEEYFKLGNLDMAIEQASAARDLNPNLPLIDSYIIAYMIHKLASMESIYRKKRKTHTLVFTYRVLDIEDCSSGVDEATIRKHYRETALLVHPDKNDSVAAEGAFKIVQQALNSLLSDHNRKRKFRGRS >OMP12412 pep supercontig:CCACVL1_1.0:contig00002:861:989:1 gene:CCACVL1_00002 transcript:OMP12412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRRSDSEVRSTTSLHSKAQIVALSLLKSELLKEESSEIPTTF >OMO77061 pep supercontig:CCACVL1_1.0:contig10831:12581:12744:1 gene:CCACVL1_15214 transcript:OMO77061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCDKRGQLGLSNIELAHVRGSIMS >OMO58766 pep supercontig:CCACVL1_1.0:contig14167:4933:8134:-1 gene:CCACVL1_25364 transcript:OMO58766 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MGVMKGGGGGGVEKLKSSRMKLWMIRATTSVLLWTCIVQLTALGETWGPRVLKGWPSCFSHQDSSISVIEDKVPSIPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFITSLRDEVRILKELPPRLKKRVEMGLVYSMPPISWSDISYYHKQILPLIQKYKVVHLNRTDTRLANNGQPLDIQKLRCRVNFNALRFTPQIEELGKRVIKLLRQNGPFIVLHLRYEMDMLAFSGCTQGCNNEEVEELTRMRYAYPWWKEKIINSDLRRKDGLCPLTPEETALTLRALDIDNSYQIYIAAGEIYGGERRMASLAKAYPKLVRKETLLESSDLRFFQNHSSQMAALDYLVSLESDIFIPTYDGNMAKVVEGHRRFLGFKQTILLDRRLLVDLIDQYNSGSLSWNEFSDAVKETHEYRKGQPTKRLVIPDRPKEEDYFYANPEECLQPSYVELSST >OMO63593 pep supercontig:CCACVL1_1.0:contig12939:58363:58488:-1 gene:CCACVL1_22389 transcript:OMO63593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRDQQFSVGLVRPTEQSKSKIIEPERDKAIYLNFNAKSN >OMO92907 pep supercontig:CCACVL1_1.0:contig08157:5525:7615:1 gene:CCACVL1_06723 transcript:OMO92907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSECDRDVDSRSIGADGIVIDEDHEDLQLPHKILPTTKQEKVAAPQVQAAPIISSYNEKIRPLLDAIDRLRLLMVMKEGIQLPTIVVVGDQSSGKSSVLESLAGVNLPRSQGICTRVPLIIRLQNHASSRPSELYLEYNGKVVPVDEPHIAAAINLATDEVAGHGKGISNTPLTLVVKKYGVPDLTMVDLPGITRVPVHGQPENIYEQIRDIIMQYITPKESIILNVLSATVDFSTCESIRMSQMVDKTGERTLAVVTKADRAPEELLEKVTADDVNIGLGYVCVRNRVGDESYEEARKEEAALFETHPQLSRIDKSIVGIPVLAQKLVQIQANIIARCLPLIVKNISEKLNANVAELEKLPKALTSVADAMTAFMRIIGAAKESLRSLLWRGGFDEVPDDSAKHGTALLVKMFNQFSYKLRNCEETDPTTDFLLEEIKVLEDAKGIELPNFLPREAFVRILHRKVEKISGMPVEFAEKIWDYIEGVVMDVLMRHSEIYYQLKVSIKRAAHNLVQTMREQSIIRVKEIVQMEKLTAYTCNSDYTTEWSKLMNQQENFIRNIVNNGPNHQTWLSSTVRLDGFGDIPVEHLRKQPNVLLLQQAFDLKMRITAYWKIVMGRLVDSMALHLQYSVHNLVNNDMVEIVNELMGPDGHGIEKMLVESPAIAAKRENLKKSINLLKESKDVVANIMDRIAIYN >OMO92914 pep supercontig:CCACVL1_1.0:contig08157:55516:65283:1 gene:CCACVL1_06730 transcript:OMO92914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane insertase OXA1/ALB3/YidC MATSRILLSHLRRSSRLSTLSLARVSHVPSQPAPPSHPNLQPRVSPLAPSVSRSFFSLADSVEESSLPIRAIVSLLDGFHNLTGLPWWITIATSTVAMRFALLPMVVIHLQKLKRFGELASKLPSPFPPPMSGRSYIDQILHFEKERKAIGCPSILWFLAPYFTQVPVLLLWVTSIRRMSLDNYPGFDSGGALWFQNLTELPHGVLGPIFPFLIASLHYINVQIAFETSAVKKADPLLVQLFKFYLDFLTIPFFGIAFMIPQGSIVYWVTNSSLSLVQQLTLKHPAVCAKFGLPDRNALATVKSSEVISDAGTPSVEPLATLSSAVKNLSPKELLALSVTHLARGKRDSAIPLLELALEKDPEYVKAMLLMGQTLMQKGLLVEATEYLERGISKLLLSGHPTEVEDLDHLVLLSMWAGSVYAQQGKITEGLSHLERIATLKEPEDPKSKANYFDGLLFLSSGLLMAGRKTEALKYLRMVVAYNPAHSYLVEQVENDKDDFADGLANSRRRDY >OMO92915 pep supercontig:CCACVL1_1.0:contig08157:67652:68197:1 gene:CCACVL1_06731 transcript:OMO92915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFIGLAFASPGQHSLENRPECDTDTGMAKRLVVYEVVSFASFLLSSLTAKTLKVQLHIHKFKIKIGLMKFKAFRGFMLSLSFVASVVGIVFLTLSMVNVIQIRLGKLSCGSLYSIQAVTALCGIVFVALTVYLPSTVNAIWVLVTTPQRQKNLVQNNTNNSASDHRQIENDSSGSGKRS >OMO92908 pep supercontig:CCACVL1_1.0:contig08157:7940:8461:-1 gene:CCACVL1_06724 transcript:OMO92908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVSRPLLNFCLPPWSILTAMATNSTAIPTAPNQKTQPSVRKRSRTLIINKCNVSSTSDRQGELPNSKSSSPMSAAIKTQPQASMADLPPQPEPPKLENIAPPIQKKTLTLKKRKRFSITLLRRKEIQEDVLKIAESLGRKRGKRSKKPSKDHKRLLNSLFPGFEYIKPIS >OMO92909 pep supercontig:CCACVL1_1.0:contig08157:15471:16645:1 gene:CCACVL1_06725 transcript:OMO92909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGIRLPTIVVVGDQSFGKSSVLESLAGISLPRSQGICTRVPLIMRLKNNPTPTPELHLEYNGKTVPVEEAHIATAITVVTDEIAGHGKGISNTPLTLVVKKEGVPDLTMIDLPGIVRVSVHDGGQERGENSCRGTKANRAPEELLEKVTADDVNIGLGYVCVRNRVGDESFEEARMEAAALFETHPQLSRIDKSIVGIPVLAQKLVQIQANIIVRCLPLIVKNISEKLNANVAELENLPKALVSAADVMTAFMRIIGAGKESLRKLLLRGEFDEFPEDTTKHGSARLVEMLNQFSNDLHNKRLFIGGDKGLGRSQGNWTTKFPSSQRVPSYPAEKSRQDFWNAN >OMO92919 pep supercontig:CCACVL1_1.0:contig08157:106473:106646:1 gene:CCACVL1_06735 transcript:OMO92919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMMSNSNTGSFLLVLVLLFKEAVAWLGFKAICILGCFGNIFGGKQIVGAAATLTN >OMO92913 pep supercontig:CCACVL1_1.0:contig08157:44721:44801:1 gene:CCACVL1_06729 transcript:OMO92913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPCRSFGSTAGVPPLTGSVDAGSF >OMO92906 pep supercontig:CCACVL1_1.0:contig08157:1311:3359:-1 gene:CCACVL1_06722 transcript:OMO92906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKKGSSSKSKDNNKVQIVVDDDQDSLAMVPSADQEQAVKAPIVISYNDRIRPLLDVVDRLRNLMVMKEGIQLPTIVVVGDQSSGKSSVLESLAGINLPRGQGICTRVPLVMRLQNHASDSDPEFYLEFNGKIVQTDEDHIANAIVLPTDEIAGQGKGISNNPLTLIVKKNGVPDLTMVDLPGITRVPVHGQPENIYEQIRDIIVEYIKPEESIILNVLSASVDFSTCESIKMSRQVDKTRDRTLAVVTKSDKAPEGLLEKVTADDVNIGLGYIYVRNRIGDESYDEARLEEARLFENHPLLSQIEKSIVGIPVLAQKLVHIQATIIARCLPETVRKISEKLNHNVTELNKLPRSLSSTAEALTAFMQIMGAAKESLRKILLRGEFDEYPDDRIMHCTARLAEKLNNFNAELQNSSQNYSTENFLMEEIRELEEAKGIGLPNFLPRAAFLTILQRRVKGIAHMPAEFMGQVWDYLEEIVVNVLMKSSDNYPQLQSSTRRAAHNLIAKMKEESFSWVMEIVEIEKLTDYTCVPEYMSDWTTLMNLQENFINEVFSEQKPEKVNLNYFGEVEVAHLRPYRQVIDQAYDMKMRMVTYWKVVLRRLVDSMALHLVFSVQNLVNKELEKEIVYELMGPNGGGIERLLDESPVVAAKREKLKRSISLLKEAKDVVSRIMDRIATNAD >OMO92912 pep supercontig:CCACVL1_1.0:contig08157:42405:42923:1 gene:CCACVL1_06728 transcript:OMO92912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin GTPase effector MRQQSINRVKEIVLMEKLTDYTCNPDYMTKWNKLMTRQEYFVTNVNNALISKVNLEEFGDIDVVHLRQHQSIVPQALDLKMRMTAYWNIVLGRLVDSMALHLQYCVHNLVNNEIEEIVNELMGPDGRGIERMPVESPAVAGKREKLKKHIKMLKESKAVVGKIMDRIIGYDD >OMO92916 pep supercontig:CCACVL1_1.0:contig08157:82303:88664:-1 gene:CCACVL1_06732 transcript:OMO92916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVAVESLPSVISMKCRQTDYSNQQQRSFHDSNCCYSKIREEANSTQEPERFLRNKNIGDQLMTRRELDGETTGSSDVVGFRLLNLRPLSLNDVDRRGRSR >OMO92910 pep supercontig:CCACVL1_1.0:contig08157:40409:40588:1 gene:CCACVL1_06726 transcript:OMO92910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMIMVVKVELMCINPNQEIVLIMEMWVLAIYLMIMRVQGMDFLPHKRERNVIKVYKA >OMO92918 pep supercontig:CCACVL1_1.0:contig08157:98430:104335:-1 gene:CCACVL1_06734 transcript:OMO92918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded nucleic acid binding R3H MKRGYSYIDGVEELLQALKQNNYEMHAFTNYPIWHKLIEEKLNISKYLSWTFCSWMYGKRKPDPNFYLAVLEHLKVDAASCIFIDDRLKNVEAAEEVGINGLHFKNAELLCQDLLRLGVGTSVDGYVEELAFLVKDNLPCKHLVLSMEEAFINFLQDDASSDGILELEPMNSYNRLLLHRLADIFGFAHESVGEGDDRHLVLERCPETSIPSILVSDILWQCDEPQSLTTSRHLLTREEAPPEAVANVKLPSLGLSLEAREAAYLAARERIFSVDVEEVRESAKQKPRVVPVVARRMIAHALGQKVKACSQDVDTRDFEDHNGQPNEVSIQGKDKVEKNFRTANYQHTGSVPDQVVDECSKANSNENKYNASVVSERNVTDKPAQKRPTDVSIPGRTRNRVNKEYSKEEHLGAAKRMFAHALGLRSAKDGVLLKGNERKTRDSE >OMO92911 pep supercontig:CCACVL1_1.0:contig08157:41373:41891:1 gene:CCACVL1_06727 transcript:OMO92911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynamin GTPase effector MRQQSINRVKEIVLMEKLTDYTCNPDYMTKWNKLMTRQEYFVTNVNNALISKVNLEEFGDIDVVHLRQHQSIVPQALDLKMRMTAYWNIVLGRLVDSMALHLQYCVHNLVNNEIEEIVNELMGPDGRGIERMPVESPAVAGKREKLKKHIKMLKESKAVVGKIMDRIIGYDD >OMO92917 pep supercontig:CCACVL1_1.0:contig08157:90375:96888:1 gene:CCACVL1_06733 transcript:OMO92917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCPRNSILFNGSHCACQVGYFLNITANSCIRYDGSLAIKTDSGIDYYAMSFPETFFSFNSIKKFTQSQAVFLEATVIMLLAWLIFCFCLRFTKIGDGRNVWFKIRWWISRLDVCFATRHWLDDQKLVMKRKTELGGTFSIAGWILFTGLFAALLYQVIANRTIEVHNVKATNAPDLASFRNDMEYNMTTVSSMSCSNLRPPTTILVGSSGFIDAKVAPLSNFLNFSCRNTSLGPTITLKCSNCQIMQDYMSISWHFVDLPNSPASAVGFQFNVTAKDHTRKGHVSFVSGTLKNGSNFDNRPVTFRGVDTNILMFNLFPRVYRSVNNLRLIQPLFHEFVPGSVLRDTSQLQASLENANDGQINTTLYINYLSSYIIEIENRNIVGAVGFLADLGGLFCISMGIFLYLLVQFEFRIKKLRYEDSILQKIRNRKKAQERWDKVRKYVMYTWGCNKLDFDNQSKMEPGCGSFMVPSIHGNGSFRVGGSTRKRRQQSNTDISFSKQVSIPIEKNTALEQAHTQEVKSCSQGSISGPEGTFCSRNELPPKLDVPQHAKDKRRHFIGSNDAVIFQDQAVSLSDEILPPPPPLELKSGPELELSDIQKNFQRLYDYNVMLREKFVATQSMLHALASKSSSPRTEGQT >OMO71031 pep supercontig:CCACVL1_1.0:contig11746:21212:22484:-1 gene:CCACVL1_18494 transcript:OMO71031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MANIGATSQGPTTRTRAHHQRTSSREEPYSLEERMDRMDDEVERALNEGFDRLSKELSERDDALEAMIVALRREVEELPLIVALRKEVEELKEELERCKTAVGRGVLASMPTTKVDVPKPKEFKGTRSTKEVDNFIWGIEQYLRASNITNEATKVSTASMYLGDIALLWWRRRAAATPAIETWDDFKKEFKSQFFPEYAEEDARAKLRRLTQRGEVREYVREFFELMLQISDLDMKEAKFMFLDELKPWAKQELQRKGVEDLHKAMAVAESLIEFKKPEKGHLPKERAIGEESGDEDEESEAGSSRSSSHRRASSRKNWDKRNGDKDKKPLSCFLCEGPHRVKDYPKRSKLSAIVKEDPQSENEREILRLGAIRLSTGPRRPPEGLMSGDESSDAS >OMO71030 pep supercontig:CCACVL1_1.0:contig11746:14162:19594:-1 gene:CCACVL1_18493 transcript:OMO71030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEKGTRMRGTKALIRGTYPTLRGGSVDEGVAEMKRFQKQTKQHHGIK >OMO68697 pep supercontig:CCACVL1_1.0:contig12193:11639:13299:-1 gene:CCACVL1_19869 transcript:OMO68697 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MMELESCVPPGFRFHPTEEELVGYYLRRKINSLKIDLDVIIDIDLYKMEPWDIQAKCKLGYDEQSEWYFFSHKDRKYPTGTRTNRATAAGFWKATGRDKAVVSKSNIIGMRKTLVFYKGRAPNGRKTDWIMHEYRLQTSEHGPPQEEGWVVCRAFRKPTPNQRQGFEALNHAYYIRDNNGQVIRPPISSIS >OMO68696 pep supercontig:CCACVL1_1.0:contig12193:10250:10523:1 gene:CCACVL1_19868 transcript:OMO68696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWEYVFCDVFSDYLRRRDVVRRKCSRKFATAVVGHKISVADPEL >OMO51483 pep supercontig:CCACVL1_1.0:contig15806:33999:36922:-1 gene:CCACVL1_29777 transcript:OMO51483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MGMLCIIIISFLIGNLECSKGVAVAASERVPALFVFGDSLVDVGNNNFLSSIAKSNYFPYGIDFNMRSTGRFSNGKTFVDIIGEMLGVPYPAAFADPNSVGVKLLGGVNYASAAAGILDESGQHYGARYSLSQQVVNFESTLDQLKTMMESSNLTNFLGKSIAILVFGSNDYINNYLMPSMYSSSYTYNPSQFGNLLLNNYARQLWALYSLGLRKFFIAGIGPIGCIPNQRATGQAPPGRCVDYVNQILGTFNQGLKSIADQLNRRPGATVAIGNTYGAVGDILNNPSTYGFNVVDRGCCGIRNQGQITCLPYAYPCSNRKEYVFWDAFHPTQAVNEILARRAFYGPPLDSYPINIQQMTLINY >OMO51482 pep supercontig:CCACVL1_1.0:contig15806:16175:18153:-1 gene:CCACVL1_29776 transcript:OMO51482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMAIKTLGRSPFCFSSLKTSLSSSLLLRFGSCSYSTQPSEDAEAIPTAGISRPLSEILKELNKKVPDSLVKLRIEDGFNVKYIPWHIVNRIMNLHAPEWSGEVRNITYSADGKSVSVVYRVTIYGTDAEIHRESTGTASVDDTGYGDPVQKAEAMAFRRACARFGLGLHLYHEDLL >OMO51481 pep supercontig:CCACVL1_1.0:contig15806:4499:7814:-1 gene:CCACVL1_29775 transcript:OMO51481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPANLSGQFGDTTYTKVFVGGLAWETQKDTMKKYFEQFGEILEAVVITDKATGRSKGYGFVTFREPEAAMRACVDAAPVIDGRRANCNLASLGVQRSKPSTPKHGGGTGGGGRNLRVMSSFQTGFGGTAFASAATFPHYAIQQGIPYNLYGYSPYSPDYTYPTSYYNVYGGATAQYPVYGAGPAGGMMTGAGTTFYPYLQFGEGTAGAATGYTSGQGYGIQYPHHPFHQYSAVNSTGSYPQHYGAPMSLAPTPTLQSGVTMALHAPAIPHR >OMO73476 pep supercontig:CCACVL1_1.0:contig11225:46512:54981:1 gene:CCACVL1_17245 transcript:OMO73476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPARDSILLEDFGQKVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATRVRLCLDRRPHSMVSLLSDSLAQWQGPSLLAYNDAVFTEEDFVSISRIGGSSKHGQSWKTGRFGVGFNSVYHLTDLPSFVSGKYVVLFDPQGFYLPNVSTANPGKRIDYVSSSALSIYRDQFLPYCVFGCDMKSPFAGTLFRFPLRNSDQAARSKLSRQAYSEDDISSMFLQLFEEGVFSLLFLKSVLSIEMYTWDAGEPGPKKLFSCSVNSVNDDIVWHRQALLRLSKSVVNNTDNEVDAYSVEFMSEAMTGSECRKRIDTFYIVQAMASASSRIGSFAATASKEYDIHLLPWASVAACISDDSSESAILKLGQAFCFLPLPVRTGLTVQVNAYFEVSSNRRGIWYGADMDRSGKIRSIWNRLLLEDIIAPIFMQMLLGAQKLLGPTISYYSLWPRGSFEEPWSILVEHIYKNIGNSAVLYSDLEGGKWVSPLEAFIHDEEFGKSKELAEALLQLGMPIVHLPHDLFDMFLRCATDFQPKVVTPDTVRHFLRLCKTLMSLSRSYKLVLLEYCLEDLIDADVGTCANNLSLIPLANGDFGLFAEGSKGVSYFVCNELEYMLLQQISDRIIDRNIPHNILSRLSAIAKSSKANLAEFSVQQFVKLFPRFVPAEWRYKGKVLWEPDSSSTHPTKSWFVLFWQYIHIQSEGLSLFGDWPILPSISGHLYRPSRQSKLIKAEKLSDGMRKILVKIGCKILDPNYGVEHPDLCHYVSDSSFSGVLESIFYVVSSNGSMTQTFSHNLTAEERNELRGFLLDPKWYMGDSANVARIKNARKLPIYRVYTGESAQDFCFSDLENPQKYLPPLGVPPYLLGGDFVLCSSNIEEEILLRYYEVERMGKARFYRHQVLNRIKEMHAEVRDSVMLSVLEDLPQLSVEDTSFRDCLRNLEFVPTLSGALKCPSVLYDPRNEELYALLEDSDSFPSGPFQESGILDMLQGLGLRTSVTPEAVIESARQVERIMHGDQEKAHSRGKVLLSYLEVNAMKWIPNQLSDDQGTVNRIFSRAATAFKPRNLKSDLEKFWNDLRMICWCPVLVSSPFQSIPWPVVTSKVAPPKLVRLQTDLWLVSASMRILDGECSSTALSYNLGWLSPPGGSAIAAQLLELGKNNELVKEHVLRQELALAMPRIYSILMNMIGSDEMDIVKAVLEGCRWIWVGDGFATSDEVVLDGPLHLAPYIRVIPMDLAVFKELFLELGIREFLKSSDYADILSRMAARKGSSPLDAHEIRAAILIVQHLSGVQFHEQVKIYLPDVSGRLLPASDLVYNDAPWLLGSDDSDTLFTGPSAAVLNARRTQKFVHGNISNEVAEKLGVCSLRRILLAESADSMNLSLSGAAEAFGQHEALTTRLKHILEMYADGPGILFELVQNAEDAGASEVIFLLDKTQYGTSSILSPEMADWQGPALYCFNDSVFSPQDLYAISRIGQESKLEKPFAIGRFGLGFNCVYHFTDIPTFVSGENIVMFDPHASNLPGISPSHPGLRIKFVGRKVLEQFPDQFSPFLYFGCDLQQYFPGTLFRFPLRSASIALRSQIKKEGYSPDDVTSLFDSFSAVVSDVLLFLRNVKSVSIFVKEGTGHEMQLMHRVQRNCITEPEMNSDALNQIFGLIDIKQHGGMDKDQLLKKLSKSIDSDLPHKCQKIVVTEQNLSGIMSHCWITAECLGSGRAKNRGVADDKIHKSIPWACVAAHIHSLKVDGELSGVLSQESPCANDIFQLSVASIQNRKNIEGRAFCFLPLPIVTGLPAHVNAYFELSSNRRDIWFGNDMAGGGKKRSDWNIYLLEDVVAPAYGHLLEKIASLLCPPEFFFSFWPTTTGLEPWASVVRKLYIFIAEFGLRILYTEARGGQWISTKQAIFPDFSFDKAHELVEALCDAGLPLLNVPKPVVERFMEVCPSLHYLTPQLLRSLLTRRRRAFKDRKAVILTLEYCLLDLKIPVKADCLFGLPLLPLADGSFTTFEKNGAGERIYIARRDEYGLLKDLLPQQLVYCELAEMVHSKLCDLAQSEQSNISFLSCHLLEKLFLKLLPADWQLAKKVTWVPGHQGQPSLEWMELLWSYLKSCCDDLSIFSKWPILPVEDNYLLQLVKSSNVIKNDGWSENMSILLLKVGCLFLRHDMEIQHPQLELFVQSPTASGILNAFLAVANNGEMESIEGLFVDASEGELHELRSYILQSKWFLEDQITDLHIDIIKHIPMFESYRNRKLVSLRKPIKWLKPNGIREDLLSDDFVRAESERERIILSRYLDIREPSKVEFYKSYVLNHMSEFLLQQGAFPAILHDVKLLVEEDISIRSALSTTPFVLAANNSWQQPSRFIHLSVISFSKISYIFSCAGNFATMEVSFPPINE >OMO73475 pep supercontig:CCACVL1_1.0:contig11225:33408:33596:1 gene:CCACVL1_17244 transcript:OMO73475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGGFSNQEVMDAGENILKDLHKVDTFFGLPKLLRKDYVLKQLFEANPYRPSFDFNDLGRD >OMO73473 pep supercontig:CCACVL1_1.0:contig11225:16954:17124:-1 gene:CCACVL1_17242 transcript:OMO73473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPLSITTFRTSLLSTLSCVFVVVSKLPAGLGYRSGLDEPPPHPKGKREGRSWGC >OMO73474 pep supercontig:CCACVL1_1.0:contig11225:28968:30172:-1 gene:CCACVL1_17243 transcript:OMO73474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLRLKNIEITSIVAPQPPIDEGGSSSGGGTCGSDIAEAKEALNVVNVSKKWRGYKNRLKRRHFDANKTPYAVKALRIDDLVKSQSDDLADYWFTQQAKDKAAQA >OMO57770 pep supercontig:CCACVL1_1.0:contig14319:950:1009:1 gene:CCACVL1_25686 transcript:OMO57770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVEVKDKDQRELTAVDESR >OMO94555 pep supercontig:CCACVL1_1.0:contig07841:11182:11427:1 gene:CCACVL1_05927 transcript:OMO94555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNCLEAKSMQQIPIVEGEADENINTSAMEDLKKPKKVHQMYFVKLWPFKDPDEACKISEAEKLLEKLDQESIRANKRGS >OMO53333 pep supercontig:CCACVL1_1.0:contig15226:7206:7298:-1 gene:CCACVL1_28714 transcript:OMO53333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLRVSIRSGVPSMKSNCVLARSGTNSMFKP >OMO55652 pep supercontig:CCACVL1_1.0:contig14629:5804:5926:1 gene:CCACVL1_27115 transcript:OMO55652 gene_biotype:protein_coding transcript_biotype:protein_coding description:intron-binding protein aquarius-like protein MEERELREEATEGVEEEEEMASMEEEPGKKTESEMKETEK >OMP07847 pep supercontig:CCACVL1_1.0:contig04165:5259:6216:1 gene:CCACVL1_01205 transcript:OMP07847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIREEKMIRAFMCPLFLVLLMFCRQGIAVKDHHDHDHHNMNMEHNHDERAELGMFTIDEVHNFHVGRKLPIFFPIKDHSLYPPFLPKQISDSVPFSSSQLSNILQLFSLSPDSPKAKAVRDTLKKCELEAAQGETKICATSLESLLGFLRNVFGHDSDFKYISTKHPTITTPILQSYRVLEAPREVESAKKVACHPMPYVYAIYFCHFDATETKVFKLKLVGDTTGDIVDALVVCHMDTSGWSSNHMAFGMLGINPGNPVCHVFSQGNIVWIQTPVAAAAVI >OMP00054 pep supercontig:CCACVL1_1.0:contig06731:6678:10000:-1 gene:CCACVL1_03471 transcript:OMP00054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MTTSSIHGSYHDRGCGSQGPRKWEKPRCSHCQKMGHTKDRCYEILGYPAVWRKNLLRRGHCQKIRYTKDRCYEILGYPAGWRKNLRNKGGQSKASNTDSAVHPIPGLTQAQLARLVQILNGNGEKIKSTNIATGNTAAVNITATNTAADTWLIDSGATDHITNNSKLLKEIESSKGAFPVTIPNGDKIPVKNIGKTKLPNGLQLDRVLNIPDFKYFCVIRDISTRRLIGVGRLRDGLYYLEPVRIGGVAMSVNTGGDSNIWHRRLGHASATQFGKKVKQIRIDNGPEFQSNCMLDYYKEHGIVLQTSCTDTRQQNGVVERKYRHIMEVARALRFQSGSRYRKKKRLPNKRMNDLGLQGIDDDDDDGAVSLPPIVEFHTAGEIDSGDSITETSVDIPAVENCDTATINVNDFVMKTVDEPTVAENRVEVRHSQREQKQPKHFDGFETDLPPSVAPPQPASSSANSMKKRATDSKWVYKVKYKPNGEIERYKARLVAKGFTQIEGVDFHETFAPVTKVVTVRCLLVVAAKRRWEIHQFDVNNAFLHGDFDEEVFMKIPQALLRSLFISYDKGEIFLTTLIYVDDVILAGNNGAKIQEVKSYLNDKFDIKDFGSLKYFLGIEVAQSPVGIVLSQRKYALDILEESGMQGCKPSAFPIEQKHKLRSDSNGPLIDTAQYRRLVGRLLYLTVTRPDFSYVVNVLSQFVSAQRQEHMDVALRVLRYLNKALGQGILLQADNDLLLTTYCDADWGGCLTTRRSCTDYFITLGGSPIS >OMO93610 pep supercontig:CCACVL1_1.0:contig08089:9525:13906:-1 gene:CCACVL1_06431 transcript:OMO93610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MKSHFLTEDPDEADFFYMPFSIALIRDDHRLDIAGISVFVKSYILNITNNYPYWNRTGGADHFYFACHSKGRFAFDKAFVAKLNVIQLLCSSNLYISSYVAHKDAPVPQVWPRLDAPPIPNLLTRERKQLGFFSGGMNSRTRVYLLKVWDNDTEIFTHHGRLPTPYSEQLLGSKFCIHARGYEVNSARIADALYYGCVPVILSNHYDLPFTDILNWKSFSVIVHERDIPILKRILQGISSEEYAMLQSNVVKIRKHFQWHTPPTDFDALHMSLYELWQRRSVVKDIKSFTNQNVRLLQHDKSIVEEDDLDRRWEEATGEVVDEVIFFSKHTAVSNRPALTVHPIGVPHLREGDVPPQGGRPGWAAPPDPRIGPWLRLLKKIAQSRNLVPEFEITLEGTHHGPVTSKPTLFLEIGSTDEYWKRQDAAQVIALVEYIFSLVWEGLGLGGGDAVGNWGRENDKNKVLLGIGGGHYAPRHMDIVVKDGVWVGHLLSGYSLPMEDPGQSKGNGKIDGIGGTWRESIKVAYEATKSAFPGGEVLAHLDQKSFKSWQKNAIIAFLGEQNIKIGKPNDFV >OMP11804 pep supercontig:CCACVL1_1.0:contig00837:413:1099:1 gene:CCACVL1_00252 transcript:OMP11804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MTILAGYLSEPRVALATSAIVIQTTSLMYTLPTALSASASTRVGNELGAGRPSRARLAAVVTIGLALLTSFIGLIWTVLGREAWGRVFTKDNEVLELTMIVLPIIGLCELANCPQTTSCGILRGSARPGIGATINFYSFYMVGAPLAIALSFVWGLGFVGLCYGLLGAQIACVISILTVVFKTDWEKESLKAKDLVAKSDHFSHAADQTVKCEEGIGFLNNKRLDFEK >OMO95182 pep supercontig:CCACVL1_1.0:contig07728:1746:1805:1 gene:CCACVL1_05515 transcript:OMO95182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATTKKAHGVWINIPARRQTW >OMP11859 pep supercontig:CCACVL1_1.0:contig00762:3406:8444:1 gene:CCACVL1_00233 transcript:OMP11859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MLGVRGSTLISFNDQGLISEEELTIAKAIKETYSEVLTRKGEEAKHVVLTGKPGTGKTWLAQELVKYSVSEEGSFYMSLWISLNHDDDSIHQSIARQLSIPTRADVWEDDDPVSDNDKKVDRYPYVDTKIWESRPDPKETDLKQKVVQKLAEKIKKMQSSKQTFLLLVLDSDERVVKNGECSYNYNNIMDKLFDLGTDLQKYIGNNAEFFSVKVLITAREVERHMSTDKSRVVKVKPLSGEEAEKFLKERLRNDPKKFDKFNYGAIKNRKEVLPIQIIMLAEALNRIQQQGSEALERALDAANNILQHAREDDPIPFLHFIYENLPKDDDCMIDCFWHCWKFLGNHGSVHYNELITHWMLEGHLDLSVGLKNAYDKGYNIMMKLIDHGMLKMQGGNLIGFEGATLQLIKPESNVTLEDLSDRGLFHTSKLGLANVLEGDSGKVFKRMAPIEGMMKTVRVHEEPISSLLIDGSRLCREVPQKFFQSMQNLQVLSLFQPRLKSLPKSISEMENLVVLVLRDCSLLNDVKGIEKLKKLIVLEISGAPFLKEIEEVVFENLSELRIINLSALGIESLPPSLSSLTQVRRLILRDCSSLKALPKLANFKELEVIDLSGSSSLGKIQEKCFKSFTKLQVINFSGTKLEKLPIVQTLEHLRLLLVKGCDQLSGLRFMKHLKSLKVLDVSGCTRIKEIYFDCFDEVTQYLRILDLSETEIRFLPDYLGKHLCELRLKNCHKLQRLPSTKDLKDLEFLDLSNCSTLQNFPEGFFENLTILHSLNLSNTKVEIPQSISQLQNLHRLFLKHCQFKTLPEFNKFTSLIELDLSNCDKLESLPSLKDNELLEIINLSSCKLLSKLDESFQHMSRLQELNLSDTQISCLPSLPAKPSKLRSLILHNCIKLEKPPEFGTLTRLEQLDLRGTTSLKSEDIKVESLNHLTQLQTLKLSKIPKDMKFSELTGLHKALHVLDLSGEKEVESLSSLDGFTNLRELLLGGCSSLTRLESLESLSNLEVLDLSGTKLENVREKILELTQLKRLHLPEKAIEELKPNPEKETEEMNQGNVNFPPLELKFDRCCISKPSEIPEEDKKPSEIPEKDKKPSQVVLMHGTEIFESLKKDPALLDRIKQSTWIVSPAHSSKTQGQSEDNYGDSRRLIFGEIYSKIRKLPAATDGQFLEIQGFDEFPKDIEVVLERAKYIMLVENQFLKNLSDLQSSSLKNMKGCWLERCTEMERIFAKADVEIGENLEILWISNLPKLNSLCNADLPSMSFANLKQLYIDCCPTLETVFSLSQLPEKLEILQIMFCDKLKTLFGAKDSVTSEVQATSSTSKEAQTPSSSKSLPKKETSAGKEETAKPTSSNKNSPKKETSTGKEESADKKVQATTPADKKEETSKGLPKKEASSAGKEVQAKALTSKEESADKKVQATTPTDKKMQTISSTNLKFLHISYCPMLETVFSSAQLPKTLESLQIKFCGKLKSLSDQNLINSELPNLHTLHLLELPGWTAPSIEPIFNKSILKDVKVSPNIPGGKILMLKNASTGADQN >OMO84467 pep supercontig:CCACVL1_1.0:contig09745:34264:38693:1 gene:CCACVL1_10810 transcript:OMO84467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQVGGQGTRPTFFEMAAAQQLPASLRSALTYSIGVLSLRRPFLHKVLDYEDEFFALLLLVLESHSLRTTDASFSESLYGLRRRAAKIRTKQVDIRSKPSDGIQHSGLERRQRVLSVVFLVVLPYFKSKLHSIYIREREARLQASLWGPADEQFEDVDYFDEGETSIASVTSTEAEVSMRTQVVKNIQKIIGACYPWVHATAEGLTFTYQLLYLLDATGFYNVGLHALGIHVCRATGQELMDTSSRISKIRSRERERLRGPPWLKAVQGALLKCSYAVLDYAQTGLIAAVFIFKMMEWWYQSAEERMSAPTVYPPPPPPPPPKVARDGIPLPPDRTICPLCSQKRANPSVVTVSGFVFCYACIHKYVSQYKRCPVTLMSADVEQIRRLFHDM >OMO84469 pep supercontig:CCACVL1_1.0:contig09745:62752:65611:1 gene:CCACVL1_10812 transcript:OMO84469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSPWNSSSSEIINVVTAADCNFKLKETERWVDLGQKKTESDDERVSLLTEAWGALLTDKPDEESKILQRLGLSKSNIPNAPHLENCKLSDQVNKRLDTRIGPGRFPPWTNWKGSLDMYSSTAANDQIRYSEATSDGAYPPWIEGSDEENYPLTRKVQRDIWLHQHPQNCSDPRVKYLVTDWEELPGFGIGAQIAGMTGLLAIAINENRVLVTKYYNRADHDDCKGSRSSWSCYFFPETSQECRDHAFELMRSKEAWEKGIVTGKDSYKSKKIWTGRTPRVWGEPWSYLQPTTEINGTLIASHRKMDRRWWRAQAVRYLMRFRTEYTCGLLNIARHAAFGKEAAKMVLATIDKEWPKVMINKQKSDIEEFVWSNHKPWVPRPLLSMHVRMGDKACEMRVVGFAEYMELADRIRRRFPHLNNLWLSTEMQEVVDKTKLYPHWNFYYTNVTRQVGNTTMAAYEASLGRKTSTNYPLVNFLMAVESDFFIGALGSTWSYLIDGMRNTGGKVMAGYLSVNKDRFW >OMO84465 pep supercontig:CCACVL1_1.0:contig09745:25370:25453:1 gene:CCACVL1_10807 transcript:OMO84465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSATSSARYKRVNNVTTTRMEANMSN >OMO84466 pep supercontig:CCACVL1_1.0:contig09745:29644:32838:1 gene:CCACVL1_10808 transcript:OMO84466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFPALRNHFKERYPKLPDSFQASAGGELMKNSRLPQESSLAPGNGTLGNSFSSPSMPTNVMHASVLSHDRPSQHPAFISQSSTNLASLSPIDSSYLDQSTALINQPQENKDVSWSIDQLQDFLDLTENAAVPNGLLESSTGVMASEDQNKRAGWQEWADRLVSVGDALDPDWSKFLDNTNASDPKLKLLKPSGDISMQQPRFHHNQPAPHGELSAPPTKSRMRWTPELHEAFVEAVTQLGGGERTSEKDLTSIEDMKSLDLKATIGITEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGRYLQKMFEKQKKMEDERGSAPSSALDDLPAILPSSMHTSCMNDKSKALEPVHEKTGTDTGNASARKEEIPQDVSRKQKASETGPANCVGTDDDESGSSLSKRARTEK >OMO84468 pep supercontig:CCACVL1_1.0:contig09745:39418:41024:-1 gene:CCACVL1_10811 transcript:OMO84468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSACFSACKNKKQQHLVDGTGTSSNDQKLGELLNNSEKKKVRFDLNVKTHEVFPVESSDKSDEDREGEETKELSDQGSKSPVFDLGTSNVACKKTQQNRYENSAAESEDELEDMDLEVSDSDDENGKAKNEPQLVMEDTSESLFSLSIESRNQICEVESDEKEVTSSMQIEKSPIEEVKKIGSIGNAKDKGAGQFIESVLNPVENLAQWKEVKAKASMPLKLEEKENINLEQGFGIPISPEPNFKFATLSSKLSSNYKKPVGQEPAVDTSLSSWLVEPENTPNSKASTNSVGNSVSRKTNSPRSHEDRPILGALTMEELKQHSESISPRKFRSQSPDETPIIGTVGSYWSHTGQMIDSDSSSPSKGTPRTRSRNIQDGKQKWNATPFEARLERALDREIAGV >OMO84470 pep supercontig:CCACVL1_1.0:contig09745:66489:69397:-1 gene:CCACVL1_10813 transcript:OMO84470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLSKYAHSPAHLAVARRDYAGLRRIISTLPRLAKAGEVNNEAESLEAELQADAVSAIIDRRDVPGRETPLHLAVRLRDPVSAEILMAAGADWSLQNEHGWSALQEAVCTREEAIAMIIARHYQPLAWAKWCRRLPRIVASAGRIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYTSEDSNLSLSPGSLIVLAHKEKEVTNALEGAGAQPTEAEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERSEMVGNWKAKVYDMLHVTVSVKSRRVPGAMTDEELFSVDDEERMANGGEHDEYDDVLTAEERMQLDSALRMGHSDGFGDDDEHGVLHCEENGTGGSYENFESNGVAKEKKSWFGWNKKGSKNSDDPEDSKILKKFSKLAPEGGNQKQVDSQKSSSETMKEDAVDGKKGKDKGSKKKKKKGGGNDTKHESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAIRRLRELLTTKLPTGTFPVKVAIPIVPTIRVLVTFTKFEELQPEEFSTPLSSPAHFQDAKSKESESSSWISWMRGSRGGQSSDGDSHRYKDEVDPFHIPPDYTWVDANEKKRRMKAKKAKSKKHRRPTAAKAGDGGHQVNEEVEE >OMO84464 pep supercontig:CCACVL1_1.0:contig09745:20496:22278:-1 gene:CCACVL1_10806 transcript:OMO84464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caleosin MAKEPSEGSMATVAERAPLTAERKVRSDLETKLPKPYLPRAMAAPDVDNVNGTWGHKHRNMSVLQQHAAFFDQDGDGIIYPWETLRGIRAIGFNLVAALIITIIVHVTMSYTTLPTWIPSPFFPIHILNIHKAKHGSDSGTYDTEGRYIPVNLENLFSKYACTMPDKLSWKEIWHMTEANRNAFDFYGWVVSKFEWGLLFFLARDEKGFLSK >OMP06533 pep supercontig:CCACVL1_1.0:contig04900:4779:6122:1 gene:CCACVL1_01526 transcript:OMP06533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter NIPA MGSAILAHFLLKEKLQKMGMLGCLLCIVGSTVIVLHAPGERSISSVEEIWKLATQPAFLFYTASAVATALVLILYCAPRYGQTNILVYIGICSVIGSLTVMSIKAIGIAIKLTLEGTNQAKYFQTWIFAMVAVTCIIIQLNYLNMGGGDKFVMQ >OMO49408 pep supercontig:CCACVL1_1.0:contig16514:17846:18014:-1 gene:CCACVL1_31018 transcript:OMO49408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERLWTNLAIWVPSHSWDFKHWRILEDDFGELVWRSLPSDEDDSKGGCCFEEQRA >OMO90711 pep supercontig:CCACVL1_1.0:contig08440:21427:33809:-1 gene:CCACVL1_07313 transcript:OMO90711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSESFTLGFSPSLKTLVNSKFPGDPLPCFSTPFLKNPCSSFIGYPLKRKTLGYNQKINGFGGSFGIFNALQKEGYLEELDDAPVAVELQQICSESQFDRIIAEAQQLEEPLIILWMASWCRKCIYLKPKLEKLAADYYPRLRFYCVDVNAVPHKLVARAGVTLWRDGKKQAEVIGGHKAYLVVNEDFLGMVTGSNIDMLPTIDNGLERPSGNLEDSLTELELILRAHRNQQSVGRERDLNIYRSGSAPPTVEGSLNAVGSLFGNSDFGDINGITGVGGSSSSNNGMLSEDEIRSHPAYLSYYYSHENINPRLPPPLLSKEDWRVAQRFQASGSSLGSIGDWRKKKLADGGNSSSLFSMQPGLSVRQEQNDLMELRNANARNMSRKMSAEWLDRGSDALSGLSGSGLGARRKSFADILQDGLDRPATLSGHLSRPASRNAFNDMLDTASIADPSPPGFRNAAESIDGLPAGVAHPAAVGVQGHGKTTSNSFASAVGSSLSRSTTPEPHLAGRSPGLGLPPVGSKVGHAEKKNIIGPNGQNGHSSVTELAEIAATLSGLTLSKTRHPDENSHMRPQLQADLDNQLDFPFNMPNGHNQSVPQQLIDKSSAEKLSLSTNYIDLARKKGIAPNINASKISSNGQLSIPKRTSSSADLHARVRHSGLGNLEGSDVGHSNVNLANTDFIGHLPGVYSANQKLSPAVKNHLNAGTSLSGGAADRQSLNRAGNQGSDLLSPLMDPRYIQYLQRTQYGTRAATSPDSLLAGNYVGTLHGDLDGLQKAYLEAILAQQKQQYELPLMGKAGGLNHGFYGNPSYGLGMPYAGNILANSVLPSIGSGSIQNDRTGRFNSMMRSTTGTWPSDIGNKADGRYVSSLLDEFKNNKNRSFELLDIIGHVVEFSTDQYGSRFIQQQLENATEEEKTKIFPEILPHARALMTDVFGNYVIQKFFEHGTESQRAELASQLTGHVLPLSLQMYGCRVIQKALEVVDVDQQTVMVAELDGSVMKCVRDQNGNHVIQKCIECVPQDRIQFIISAFYGQVVALSTHPYGCRVIQRVLEHCDDMKTQQIIMDEIMQSVCTLAQDQYGNYVIQHVLEHGKPHERSAIISQLAGQIVKMSQQKFASNVVEKCLTFGGPEERQILVNEMLGSTDENEPLQAMMKDQFGNYVVQKVLETCDDQSLELILSRIKVHLNGLKKYTYGKHIVSRVEKLIATGERRIGLLSSLAS >OMO90709 pep supercontig:CCACVL1_1.0:contig08440:7321:8875:1 gene:CCACVL1_07311 transcript:OMO90709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWKKDPFFDSIEHIHKSLELKPIIQLKNFIAKDPNSCIPISAVSKRGLEFDIPIKVARFLRQYPSVFEEFRGPEYNLPWFRLTPEASEIDREEKRIFEECKEDLKDRLKRFVLMSKDKVLPLKIIKGMEWYLGLPEGFLEDSKENLDESFRFVDLEDGLKGLAVDSEGEKILSMMQRNAMKNGVYFGGTMEGIEFPLFPSKGLRLRTKIESWLNEFQKLPYVSPYDDFSHLYPDSDIAEKRVVGFLHELLSLFVEHSAQRKKLLCLKKYFGLPQKVHKAFERHPHMFYLSFKNKTCTAILKEAYCGNSSIERHPLLEVRKKYIRLVKESDRILKNRRINNQFIKQEKSENDLDLGSEPEPESESDDITEHPGQFPSLQTPPLVDGIIFHEDFDDETFGRETTVELLSAL >OMO90710 pep supercontig:CCACVL1_1.0:contig08440:12223:18869:1 gene:CCACVL1_07312 transcript:OMO90710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MLTCDIDPDVLRWGLQDLQVCVFTHSGTSGSLIQYERDNSQTGHVGEGYCEAERVNVENDAVIARILQEELSRVAAAESSGYSNPGQDSIIAQDWFGFPTRQHIYGCGTSQVTVWLNDSIDLLLMLYRFQSCIGHDADRKVSEDPNQTERSTNEVNDGKELMSRYEKGGDCGMGDKVVAHEDMLRVDIIDESSVLDGEVEKRLNDMVAIPHVPKINGEIPSVDEEVSDHQRLLDRLQLYGLVENKVQGDGNCQFRSLSDQLYRSQEHHRVVRELVVSQLKSYPGMYEGYVPMGYADYLKKMNKNGEWGDHVTLQAAADSYGVRIFVLTSFKDTCYIEILPHIQKSERIIFLSFWAEVHYNSIYPEGANFRKCYFTFRSTCVRETVQPRRSLPTGAVSPWTGRSSGAAPPWLSLPSGAATGKKEGAAMFSSLDILQLRAKLDGETDEAVNITELARDKDRNLILAFDVSEEVFREIPLPECLSNVKPMSFIRLPKYGQSIAAMTSEHSDSIQEVKQTLWVMKEYGVAMSWTRVFMEVAERVPRVLFLRQYEEQMFVVWEEGSIVSLEERWIASFDIKNKHSENSGVKSVDHILAYPTIDGFVESLVLLDNNNACWEDPQKDASSYEGAPGCNICC >OMO90712 pep supercontig:CCACVL1_1.0:contig08440:44890:60074:-1 gene:CCACVL1_07314 transcript:OMO90712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNDFCLKAMKMWEHFLILQKIYYGYPKLIDILPELTLHFGLLSRPLASGSSSSAIVPGCQLLFESSDFGLAKLDEGKEVKSALSNAK >OMP05405 pep supercontig:CCACVL1_1.0:contig05404:4817:7366:-1 gene:CCACVL1_01916 transcript:OMP05405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSSTSREEQPVQVETYMDNDLYKAAVDGNIKAFNDYQGRLDCLVSRNKNKLLNLCPSLKFKANVKGETPLHIEAKYGHLTIVRLLLQDVKANEDLENGIEPAKQMLIATDDEENMALHIAARYGHLETAQELIQKDPDFAYPANKSGEYPLFIAARRGNYLLVTEILDNCSYVALGGPDGATALHAAVMANCKKTTKVILVKTSNLAKEADQNGRTPLHYAAHLGHHSIVKQLLKYDKSAAYIKDKEEMTPLLMAARQGYAHIMKAIINHCSDCCELVDQRRWNFLHFASVSLPFKPLSEFLTAQEFRHFIDDKDINGNTPLHILANTRYYTGIKAESFTHDKVGLGIMDLRWNATSRRKDEIFKLLEDICREEVGGVPVRPMHKYKGDLSHMDKTRENHSVVAALIATVTFAAAFTLPGGYQSEEGGDQGTAILLREAAFIVFMITDAIAMVSSLSALAIYFIWSSQPFAVKELGSYILAYRLIGIAMGALVIAFVTGTYTVLRPSKGLAIAICFIGLSFFPLVIKWIQDKILVWISLS >OMO51091 pep supercontig:CCACVL1_1.0:contig15977:43336:46139:-1 gene:CCACVL1_30012 transcript:OMO51091 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative esterase protein MDTKPTEIGSSKMFDGYNKRYKHFSPTLGCSMTFYIYFPPAPSPSHKFPVLYWLSGLTCTDENFIAKSGAQRVASAEGVALIAPDTSPRGLNVEGEADSWDFGVGAGFYLNATQEKWKNWRMYDYVVKELPKLLSDHFPQLDTSKASIFGHSMGGHGALTIYLKNLDKYKSVSAFSPIVNPINCPWGQKAFINYLGNDKAAWEEYDATYLISKFHDLSASILIDQGMDDKFLHDQLMPHKFEEACRSVDAPLLLRLQPGYDHSYFFIATFIDDHIRHHSQALRL >OMO51090 pep supercontig:CCACVL1_1.0:contig15977:33048:42480:1 gene:CCACVL1_30011 transcript:OMO51090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRRQSKDSLSYSNLFNLESLMNFKVPQPDDDIDYYGNSSQDESRGSQGGAMANHVNGAMSERELNSSKRKRRGAFQSDEEDGDYQGRRITEERYRSMLGEHIQKYKRRFKDTPVSPAPPRMGIPIPKSNLGSSKMRKLVNEQRAGFYDIDTTSEWVNDVSPQRLANYHEADIVPKIMYEPAYLDIGEGITYKIPPTYDKLAVSLNLPSFSDIQVEEFYLKGTLDLGSLATMMDSDKRFGPRSRGGMGEPRPQYESLQGRLKALVASNSAQKFSLKVSESALNSSIPEGAAGNIQRSILSEGGVLQVYYVKVLEKGDTYEIIERSLPKKPKVKKDPSVIEREEMEKIGKVWVNIVRRDIPRHHKIFTTFHRKQLIDSKRFAENCQREVKMKVSRSLKFMRGAPTRTRKLARDMLLFWKRVDKEMPSEALPAGDDEPNEEEDDVMPGEEDPEEAELKKEALKAAQDAVSKQKKLTNAFDTECLKLRQSSETEVPLEDNSVAGSSNIDLHNPSTMPVTSTVQTPEMFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEISRFCPDLKTLPYWGGLQERMILRKNINPKRLYRREAGFHILITSYQLLVTDEKYFRRVKWQYMVLDEAQAIKSAQSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISEMTGKTEITVHCKLSSRQQAFYQAIKNKISLKELFEGNRGHLNEKKLLNLMNIVIQLRKVCNHPELFERNEGSTYFYFGEIPNSLLPPPFGELDEIHYSGGYNPIIYKIPRLLQNEVLQSSQTLCSGVARGVYQEFFYKWFNIFSKENVYQSIFRQESSSNGLSLRSGTFGFSHLMNLSPAEVAFLGTGSFLERLMFSIARWDNQFSDGILDSLMEVLYDENSSYLDRGTVRAVTRMLLMPSKMETNSLKKRFATGPGHDPFEALVVPHQDRLLLNTNLLNSTYTFIPRIRAPPIAAQCSDRNFAYRMTEELHHPWVKRLLIGFARTSEYNGPRMPDHPHSLIQEIDSELPVAQPALQLTYKIFGSCPPMQSFDPAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQHRSDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILQRASQKSTVQQLVMTGGNVQGDVMAAEDVVSLLLDDAQLDPKLREIPLQVKDRLKKKQLTKGIRLDAEGDASLEDLTNTGVQGNGAEPSQEPEKAKSSNKKRKGASDKQKSAKQRNSLKTSEASNMDNELDDILEDDDLQPQRPKRPKRPKKSVNENLEPAINTAAGSSSEQVQYPPGHEFGPGSSRTDTEHMNSDLK >OMO51168 pep supercontig:CCACVL1_1.0:contig15948:535:612:1 gene:CCACVL1_29960 transcript:OMO51168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIGSSNMPPIGQVVGISSEAILPLQ >OMO51169 pep supercontig:CCACVL1_1.0:contig15948:3779:5357:1 gene:CCACVL1_29962 transcript:OMO51169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRKREKIRAKKARRSRPPSLPSISLSEGLVEMDELLQNHLFATHAIAASGSVVFGTALTYPLDTMKTLIQVGSGSRSSKQLTSSQVINRVRFFLSGFSV >OMO51170 pep supercontig:CCACVL1_1.0:contig15948:12057:13511:1 gene:CCACVL1_29963 transcript:OMO51170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MNKLQPKPHPLNPTQLWETSLVSSVIATASTIGWRTCSPKPHPQLSKSTPSSTSQGVCTANPVNIQHLLHSNFHNYIKGSRFQENLHELLGTGIFSVDGRLWHMQRKISSHEFSTNSLKQHISHVVTSQLSHNLLPCLSNACNQNQIVDLQDIFQRFTFSNICKIAFGVDITTLQNSGFVQAFDDAAEICSSRLLSPIPNVWKIKRLLNVGSEKRLKEAIHVVNHFAMEIIKSKERQQQNSHENRGKSKDLLSRFMSKTWEMEFEDQDRKRKFLRDIIISFVLAGKDSTSTALTWFFWLINGHPRCLRLILDEVSRLESFNYDELRELNYLHAALSESLRLFPPVAINSKLTVDDDVWPDGTHVRKGWFADYSAYAVGRMEKVWGPDCREFKPERWLDDDGVYKPSDQFRFPVFHCGPRMCLGKEMAYVQMKTVVVALMRGFEILAVDGGATVEKMMNPPYILSLILKMKGGFLVKLKKRNDLI >OMO70333 pep supercontig:CCACVL1_1.0:contig11839:47982:48346:1 gene:CCACVL1_18993 transcript:OMO70333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAKGYRVQPSRFQSEAAIPHPFL >OMO70331 pep supercontig:CCACVL1_1.0:contig11839:39784:40530:-1 gene:CCACVL1_18991 transcript:OMO70331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFAFLFSIAFYISIFYIFSLSPSSLLSNTKFWFVISNTLILIIAADYGAFYSSKDQKFDLHEEYALHSQARSVVASSFVSQYPEVVKKSNIIPKEEEKEIIMISPNDHETKEDDQKNNEIAPDEKILEVVKFEPAIENHEQYPIKACNKISKNIEPKSTIRRSKSDRVARVTFHDDQKHVLRRSGTEKHETMNAEDQKDSLADQENEFSTMSDEELNRRVEEFIQNFNRQIRLQGARSRQLLYREE >OMO70327 pep supercontig:CCACVL1_1.0:contig11839:18074:24094:-1 gene:CCACVL1_18987 transcript:OMO70327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase class-III MAATRRPLQCLLNRLSRTSRSYGVVAEGTSSSSSSAASSSHLINLENEYSAHNYHPVPVVFSQANGSIIWDPEGKKYLDFLSAYSAVNQGHCHPKILKAFQEQAERLTLSSRAFYNDRFPVFAERLTSMFGYEMVLPMNTGAEGVETALKLARKWGYEKKKIPKDEAIIVSCCGCFHGRTLAVISMSCDNEATRGFGPLLPGHVKVDFGDHVALEKVFQEHGDRIAGFLFEPIQGEAGVIIPPEGYLKAVRDLCSKYNILMIADEIQSGLARSGKMLACDWEEVRPDVVILGKALGGGVIPVSAVLADKDVMLCIRPGEHGSTFGGNPLASAVAIASLDVLQEEKLAERSAHLGEELLRQLRKIQEQFPNYIKEVRGRGLFTAVEFDNRTLFPVSAYDICLKMKERGVLAKPTHDTIVRLTPPLCMSPDELQEGSKALQDVLEFDLPNMQKTKPKDSPTTATTCDRCGRNLYTS >OMO70325 pep supercontig:CCACVL1_1.0:contig11839:8309:14765:-1 gene:CCACVL1_18985 transcript:OMO70325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRAVLGVGQGKLVGAITPPKIKV >OMO70332 pep supercontig:CCACVL1_1.0:contig11839:45713:45886:-1 gene:CCACVL1_18992 transcript:OMO70332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECRCMALGALLPNQVPLKVTPLHQKAPKAPLLPSPFHSPHKPKQGAQKQGKETEKP >OMO70324 pep supercontig:CCACVL1_1.0:contig11839:5511:6704:-1 gene:CCACVL1_18984 transcript:OMO70324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRSDPIHRIHPEPIDHFDRLPDSLLLLVFNKIGDVKALGRCCVVSRRFHSLVPQVENVVVRVDCVISDDDCSPSSSSSDKSRAAGPFSSLVRLVFGGIVKPLQALGQFLGPKRSNLNEPINTPSSSSLSVGSGGDDDGEMDQGGVTHHSPTQVLRNFNEIRFLRIELPSGELGIDDGVLLKWRADFGSTLDNCVILGAASVINNAHLQVSEFGNDGFCINNNGAGVTNVGNNDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIAEHKTLDNLVLTDADGQGVLCMNRDQLEELRVKPLSASSASKRTLVPALNMRLWYAPQLELPNGVVLKGATLVAIRPSEQSASKKEVSDSSWLSSAFEEPYGTAAKMLVKRRTYCLEMNSF >OMO70328 pep supercontig:CCACVL1_1.0:contig11839:26926:30129:-1 gene:CCACVL1_18988 transcript:OMO70328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESAKRYRGQRDNDGDSRNQKRRLSDKDDKNNDELVVYRILCPDGVIGSVIGKSGKVINSIRQETRAKVKVVDPHLGATDRVIIIYCYVKDKEEVEIDDEFNDKEPLCAAQDALLRVHAAIANAVAVAGDSDNRRTDKYREECKILVPSSQSANIIGKAGATIKKLRGKTRSNIKVIAKDASDPIHSCAMDFDNFLQISGEPEAVKKALFAVSAIMYKFSPREEIPLETTVAEAPQAPSIIIPSDVSIYPPSGLYPNPDPIIPSRSVPPILGATHGPDLQGYADTGSTWPLYSSALPVVSGFGGASLSQELVVRVLCPFSKIGRVIGSRGGTIKSIRQASGAQIEVDDTKLGREECVITITATESPDDLKSTSVEAVLLLQGKINNEDDDTVTMRLLVPSKVIGCIIGKGGSIINEIRKRTKADIRISKGEKPCYDPNDELISISGEVSSVRDALIQIVLRLRDDVLKEKDSIHNPSIGADSLYSSGASLSVPSLLSSIPAVPPLAFDQRAESGSGLGVLPTSSLYSYGTLPMGENGYGSMSSYSSKLYGGLCSTLEILIPANAVGKVMGKGGLNLANIRRISGAMIEISESKSSRGERIALITGTPQQKREAENLIQAFMMAT >OMO70330 pep supercontig:CCACVL1_1.0:contig11839:34816:35149:-1 gene:CCACVL1_18990 transcript:OMO70330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESETENKCKVRFVLEWKLMPPITMTKNNVLSVLRLCVAV >OMO70326 pep supercontig:CCACVL1_1.0:contig11839:15958:16017:1 gene:CCACVL1_18986 transcript:OMO70326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHLENSLLKDGSVGTTL >OMO70329 pep supercontig:CCACVL1_1.0:contig11839:31123:33331:-1 gene:CCACVL1_18989 transcript:OMO70329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETKNKCKMSLVLELRKGAEFEVIITLKEVKHSCGNSRHLEVLKKTYQNYDKQRNMILSRRMSSLVKELQNVDSCITVVEFDASNYDEEELCAICLETLRCGYIVTLGKEKQSRDDNRSDNHKPN >OMO68006 pep supercontig:CCACVL1_1.0:contig12313:605:4279:-1 gene:CCACVL1_20141 transcript:OMO68006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKALFRSLRRASPLFNTISPYSFKLSSVFLNQTSTFCPFKVIFPPNPKTHSWFLRNFSHGTVNLVISEGKPKFETHRVDPPKKEKWTTKKRLKLQRKREKEKRKAANRKDPRRLGITRKSNRKFANAEERIKYKLEKAKIKEALLLERLKRYEVPKVQGPEVQPHDLTGEERFYMRKMAQKRSNYVPVGRRGIFGGVILNMHMHWKKHETVKVICKPCKPGQVHDYANEIARLSGGIPVQIIGNDTIVFYRGKNYVQPEVMSPIDTLSKKKALEKSKYEQSLESVRRFIAIAEKELELYYRHIALYGDPNNRNPMSILDSPTKDTRESRKLKMLEKESHDLTRESFSAGISDTEADSIYEELSETEDDLKGENLSMGESDSEDNISYSDEESDENYLRSQGSSYPKSGSSLRFGNGYDSKQYFRDEVKVKHE >OMO68007 pep supercontig:CCACVL1_1.0:contig12313:5598:7826:1 gene:CCACVL1_20142 transcript:OMO68007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLILWSFSSKKVFLAVEFGVDGDVNGGFVVEVEASSIDNDNEAGENLVEGTYQQGLNEKMERISPDVGDIPEGIPADEPYVGQEFESEAAAHAFYNAYATRVGFIIRVSKLSRSRRDGSAIGRALVCNKEGFRMPDMREKIVRQRAETRVGCRAMILVRKVSSGKWVVTKFVKEHTHALTPGKGRRDCIYDQYPNEHNKIRELTQQLANEKKRAATYKRHLELIFEQIEEHNQSLSKKIHHIVESVREIENKEQQQSHV >OMO69383 pep supercontig:CCACVL1_1.0:contig12051:10254:10325:1 gene:CCACVL1_19537 transcript:OMO69383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVSSSNHNSKASARRQAFIPNSG >OMO87224 pep supercontig:CCACVL1_1.0:contig09275:31712:33031:1 gene:CCACVL1_09189 transcript:OMO87224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MGRMINVEIFSHEIIKPSEPTPDHLIRNMKLSFLDQISPSTYVPLVLFYDQTDRAEVVERPLLLKKSLAKALTQFFPLAGTLAENFSLECNDGGAEYFEARVSCNMAEVMENPDTDVLDQLLPYDPKQEGLCLSDSKRQFVLAIKYSVFTCGGVAIGVCVAHKVADGTSTVAFVNAWAATSRYELIIIAPSFEAVTHFPPLQMSVPPTPVIKEKIVSKRFIFSKSTIEALREKVSSDIDSQVKDPTRIEAVSSFLWKRVMEVTKRKPQLQVKHFVSHVAVNLRERMVPPLPPNSFGNIWQAGFATLPAGVDDYHALVSQMRTAIKEINSDYAKQLQNPRDEFFSSKKSRRQLSAAAGVVTFSFSSWCRFPVYEIDFGWGKPSWACSHSRPFKNLVILMRNKTGDGIEAWMNMLEQDMAIFERDEELLSYVSSQDNMGKW >OMO87225 pep supercontig:CCACVL1_1.0:contig09275:88886:93490:-1 gene:CCACVL1_09190 transcript:OMO87225 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1 / TIP-1 family protein MESLPSLPPFSTLSSSASSALNAKLATDQDLTCAPSLVSELLTQCDDLDRALIHLNRTLESSLVSYASFSDSIGDLFGDLSSKLTDLGSAVCFKSSVADGEGLGEELPALAKEVARVETVRAYAEIASKLDNLVGDIEDAVSCTMNKNIIKDSTAQSSEETRLLAIRTLKLTEDILTSVTKTHPQWARLVSAVDHRVDRALAILRPQAIADHRALLSSLRWPPPLSNLTSLGFDIRKSNDVPNPLFTMQGDLKHQYCENFLALCRLQELQGQRKSRQLEGHNRDIALHQPLWAVEELVNPVSVASQRHFSKWIEKPEFIFALVYKITRDYVDSMDELLQPLVDESMLTGYSCREEWISAMVSSLSTYLAKEIFPIYVGQMEEESVTGVRSPARTSWLHLVDLMVSFDKRIKSLVEQSGLLLSHREGDTLPKISSLSVFCDRPDWLDLWAEIELAEILEKLKPEMDEEKNWTKKVQGAVLSNSDDYKSPVVSSIVFHCLSSLVERCQSLATVSLRSRFLRLAGKPVVKKFLDCLLLRCQEAEGLTALTDDDALLKVENSINAAHYAESTLEEWSEDVFFLEMGLDRGDQLGASATEYSGNEAPIEEYGNGIFDEEILKLKDFRTEWVEKISVVVLRGFDVRCRDYMKNRRQWEEKNEEGWTVSKTLVGALDYLQGKMSVVEENLNRLDFVGIWKSVAAGIDRLIFNGILVTNVRFHDDGVERFGYDLEVLFGVFRAWCLRSEGFFPKVSEGLKLLKIEKKQLHGSLVGGEKWMKENGIRHLNVAEVEKIVKNRVFTK >OMO87220 pep supercontig:CCACVL1_1.0:contig09275:6790:7224:-1 gene:CCACVL1_09185 transcript:OMO87220 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative autophagy protein Atg20 MLSRAELKAKIQDGMNVRNNDGQPSKGKGKGGGSKRLADDPPPKGPKASTAKKPRTTAPVRAPAPPTQEATSSATPTADVEGKIQGRLGFPLHFDDPEPQTWRARRLDI >OMO87223 pep supercontig:CCACVL1_1.0:contig09275:23698:25935:1 gene:CCACVL1_09188 transcript:OMO87223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPAYLQKVATLKALSRKEVHYGAHATSEQAGGVV >OMO87222 pep supercontig:CCACVL1_1.0:contig09275:10139:10808:1 gene:CCACVL1_09187 transcript:OMO87222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRRSANKSRNPLTAGRSNRSPEAIQEDDACRLVSSVTRPMANRLPTRGPLKTDRGNQLSPRLFNFKFQNERAT >OMO87221 pep supercontig:CCACVL1_1.0:contig09275:7594:8549:-1 gene:CCACVL1_09186 transcript:OMO87221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRESYSPIQMRGLLLWMGWFIWTLRILRASLRSSLELTTDIVRPSSLWLVPQRVNFGRMVGTRWPTSTIFVLVMPGSVPGQTTLGLSIATLTIRPSVFAKVGWTPYVSVVRHLFQLQKRNGGWYAFQVWRGHKNKFPGPENNRRWHPKFLGVEASKGTEWGVPVQWWEINTTERNDLKNWVLSEEEEKHVDYLQRVRHSPEQLCHRTRLYLCELAPTSDAYDQMPALEMGTVGPAIDDVRIIVNARGDKGRELMMNKSEEDY >OMO50918 pep supercontig:CCACVL1_1.0:contig16029:7249:7885:1 gene:CCACVL1_30138 transcript:OMO50918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVNQLGRLLGPAIEEDESDVMISS >OMO50917 pep supercontig:CCACVL1_1.0:contig16029:6246:6782:1 gene:CCACVL1_30137 transcript:OMO50917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQVIEISSDDSLPRPVQSHFDSHSDSDSESDVSSQHEGPEPENVQVDTEDGSLCLKKLTKSMMNISLMYVPKAWKLGLPTDKEKSTVCFTSDKVTWFGVVFVCNSKNRCFSAGFGDFLKAAALEEGHFLWFRRYGKGILVTRGD >OMO50920 pep supercontig:CCACVL1_1.0:contig16029:21702:30918:-1 gene:CCACVL1_30140 transcript:OMO50920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPQSSSAQPHWPPAVGSLGPQNYGTPLPSQFRPAVPPQAQHFVPAASQQFRPVGQVPSSNVGMPAVQNQQMQFSQPLQQFPPRPNQPGLSTPSPQPIKVPYGQTNRPLTSASPQSHQTAPPLNNHMPGLGAPGMPPASSYSFTPSSFGQPPNNVNVSSQFQPISQVHVPVAPVAGQPWMSSGNQSVPLTTAVQQTSQQPPLISSADSVASVLSLTPQSASDWQEHTAADGRRYYYNKKTRQSSWEKPLELMTPIERADASTVWKEFTTSDGKKYYYNKVTKQSKWTIPEELKLAREQAQAASSQGTPSDTGVASQAPVAGAVSSAEMTTAAVSVSSNTLQTSSPVSVTPVASVANPSPTLLSGPTVPVSQSAAMTASGVQLPAVAVTPLPAVSSGVSTVPVALVNSNTTLISSESTASQDAMHSTDGGASSHDIEEAKKGMAMAGKVNVTPVEEKVPDDEPLTYANKQEAKSAFKFLLESANVQSDWTWEQTMREIISDKRYGALKTLGERKQAFNEYLGQRKKLEAEERRMRQKKAREEFTKMLEESKELTSSMRWSKAQSLFENDERFKAVERVRDREDLFENYIVELERKEREIAVEEKRRNIAEYKKFLESCDFIKANTQWRKVQDRLEDDERCSRLDKIDRLLTFQDYIKDLEEKEEEKKKMQKEQLRRAERKNRDAFCKLMEEHVADGTLTAKTYWRDYCLKVKDLPQYLAVASNTSGSTPRELFDDVAEELQNQYYEDKNRIKDAMKSRKITMVSMWTVEDFKAAIWEDVSSLPMSDINLKLVYEELLERAKEKEEKEAKKRQRLADDFTKLLHTYKEITASSTWEDSRPLFEESQEYRSIAEESYRREIFEEYITHLQEKAKEKERKREEEKAKKEKEREEKDKRKEKDRKEKEREREREKGKERNKKEETDGENIDISDSHGPKEEKKREKDKEKEKDRKHRKRHQSAADDGSSDKDDREESKKSRRHSSDRKKSRKHAHSPESESEIRHKKHKRDHRDGSRRNSGYEELEDGEVGEDGEIQ >OMO50925 pep supercontig:CCACVL1_1.0:contig16029:68813:72879:1 gene:CCACVL1_30145 transcript:OMO50925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPCHYSFASSGMQRRNLSWRYHKSNPVMRERYDAVKAHYLTSRTKRVEADYQWAPPEQPAVQAKSS >OMO50924 pep supercontig:CCACVL1_1.0:contig16029:58788:62331:-1 gene:CCACVL1_30144 transcript:OMO50924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 8 MDFGVVSLEGFVGSDNTTTTTTSTGFASDPETKQKWYGSGSNFLKQERSGNNEDDWRGSKLAKIDDFSASKAMQLLQQRNTLLRSNNTNSTSSSSIFSSDGHQQMLSFSTPKSDALSLDRSSQNVSFPYFHLTSPAYSRNTGYNTGGFNGAGMHGVLRGPFTPSQWMELEHQALIYKYITANVPIPSNLLIPIRKALDSADFSTFSGGLLRPNALGWGTFHLGFSNNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVESQSGHSAAATTTATTTKLMPTVSSSASVVVGTAGGGSGESNSLTIAQQQFKNLQPGSASNLSAAPPLNRLLLNKDNVDVISPTGDLKSKENPFLIPKQQQISYEQNSRNEFGVVSSDSLLNPSHKNSSLIKCRNFGSSQDEETESQRSFRQFMDDWPKTQSDRSSISWPDVQSSDRTQLSISIPMAASDFMSSTSSPNNDKVTLSPLRLSREFDPIHMGLGVGSVINESNQRQANWIPISWETSMGGPLGEVLHTTNTSSTADCKNSSALNLMTEGWDNSPSLGSSPTGVLQKTTFGSLSNSSAGSSPRAENNKGHETASLCNDLLGSTLVHTSSLPALGLLCPYQQVPRFWNKKQKN >OMO50923 pep supercontig:CCACVL1_1.0:contig16029:52610:54292:1 gene:CCACVL1_30143 transcript:OMO50923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar, Nop52 MTGSGAGDGPALIKQLAACDKSTRDRAVRSLINTWLPSQAEVSDEEMKKLWKGLFYCVWHADKFPAQSDLIEKLSTVLPNLEPALFLQYFSVFLLTMRREWTGIDRLRPFLPVRKEVAEVLLEPFLEIMGKVSDKVLVGKIRSNVFDEFIEMGRRLLEVKKSGEEVDEGDDVVVLGTISLLMGFSTKFYELGSSADCCQGNRKVVLGLYAIFLKLEKDLASLGIDISIPEVNEGDEEDEVPQLIPITSGMEVDGSDGVPEPVEVNANGSIKKASKKNKKAKKATEGSGKKAKKTKNVESSLADEENNVAVPAESANSGIEQNGDGDSITFTESVISNLQLQFEKVAAEVGLGGDVASACDLPKVNGAVTKKRKRGKSMDGQKSKNGEITNQGDGEDGETVKTGEKSTKRVRFSMKNNLVWKPHSPMPPQSLRLPPSATPRGSALKKGLSPGPIREMPPMTKKVKKAKSVKKARKVIKSVPLVKRARKLRSASIGL >OMO50922 pep supercontig:CCACVL1_1.0:contig16029:48685:49230:-1 gene:CCACVL1_30142 transcript:OMO50922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNEIYSQLNVPFSWELKPGVSKVTHEEGSSIDIRHVRVNLPPPPRLSKSARFCVDELQAVLPPIMSQLEPPPPRSSAKKSSYDTKNDPFVAAYRKCTEWSVNGKLGSSDDNKNEACRAKSKRNMFTLSCKYSCTVSSDNVVRVSQCSKDKVKEEQKENKDRVDAKLTEKVDVKTKQGKI >OMO50919 pep supercontig:CCACVL1_1.0:contig16029:8648:11326:-1 gene:CCACVL1_30139 transcript:OMO50919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDEGIGSMAMDSQSHGSHEFAVEAIETDRSILAADKIFQLDQENTATEAEEGNGSRGARVSQGGYEQDMDFEILESDENEINGEWEDVIGLNDFLIGKDPKKISTGDMERLQFSSSNEAFEFYKAYGHIMGFSVRRGSSRVDKESRAVVMKEFSCNKAGARMVKWMKRCSEKMRQPKPISRCKCPAHMRVTLDQISGIWHVTLFNAKHNHPLAKPSRRFMMYKIKELWAQALITGKFFAGMKTTSRSEGAPTVMVSGNRVYNLKKYMRPDNVTEVCYDPDEGRIKCECKLFGTDGIPCRHAIHVMKIENLSRIPKSIIKKRWSKNAKDFTAEEEVFGVVDEKAIEALRRSSLQMMCSTICYMRSKSKRAFLEARDKIARLIQTMAADPKEATGEAGLDTEVGPDTKVAPEEGLTQEQAAVDPERRKKRDYKCGFCRKVRHKKTCCPLLQPRNNEVAVEFPLVSSAGSDSEYNTSDDELCRGRRSWAGSCNARDEDAVDGESDSLDNEDETVVGLQRT >OMO50921 pep supercontig:CCACVL1_1.0:contig16029:47696:47845:1 gene:CCACVL1_30141 transcript:OMO50921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERHQEEEEFCCHGSNSKSKPEQFQVDMAVAVAFAGRNLLSSNLGLS >OMO50926 pep supercontig:CCACVL1_1.0:contig16029:88312:88440:1 gene:CCACVL1_30146 transcript:OMO50926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQGLEVEWEEDKDNQVLRNEIIKRKGDLWKLYKGEERSWF >OMO82671 pep supercontig:CCACVL1_1.0:contig09993:51284:52333:1 gene:CCACVL1_11822 transcript:OMO82671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYFQSTGRNQRPRGFHVKRGFQFALSLAVCIWLLYQIKHSNNRKDGGSLQDKFSKGSGVVILGRRGDVVLSDKDVYISDSKDVVILEAEGKQKDRGGGGDDELDGNAYEKERNESLDKASEDSYGHVKADGEEGKQMEPERQHDLSTNSENVEIEMRDMDNEVFSEDNSQEELENSKIIVSKLEEAQKSVVKSFVQLEDDKDLERQSKELKQDGEISIDALKLGKYEETASSEGNGDKDLIGKDITKHANDTESTTILGLNEVADGLHGFHDENGIPQGGSDLIVFTLAKSRDSQPKETLHQETASSLNHQSKIPKSPQIEGAYKSQRNTTDAEKPHTKKEGSKVDAV >OMO82668 pep supercontig:CCACVL1_1.0:contig09993:12614:22801:-1 gene:CCACVL1_11818 transcript:OMO82668 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, eukaryotic-type MEGNLVNFQEAKGVPLPPTVEAAISRIRCKHDQPPLSESTRQKLASIGEAESLRMLKISENKEVLYSFENYLKALIQNGTNSPQKRPSSSQGICSSTSLSSPGKTIRLMNSSSSPGANNGDFQTPTTTQVQTYPLMSCSQGAESGVIQTPAFPTPPLSASSANVAIEGGSGSHLEALGELQFRKQFLILNYIGLEKLEEAFKTADEIRKLKDLAMDDFEDKVCDAAGGSMKLADRCKSSQWESGMTHNYYCHVSDNRSFNFKGPYLDKARNHLQKVLGDDNILNVKFDNVKDDKSSARNHRYAVYRKIAKEGIVVGLRRYRFFVFKDGGKEARKKDPTASVRCYFVRFESNASIDDGEEYILSGKTVQDARCVFMHIHTVSNMAKYMARFSLILSKTMKLEVDMTDQVNVEKIEDILCLDEDGCSVYDKDGKPRIHSDGTGYISEDLALKCPANVFKGSILKGYNVEGKLSDIEQTESHHRVPPLLVQVRLFHKGRAVKGTLLVNKKLPHGTIQIRPSMIKVEQDPERSNICTRDSLEVVTTSNQPRNTTLSRNLVLLLSYGGVPDDYFMEILKNALEESQTFFTKKRAALKVSLNYGGLDDLAAAKMILSGIPLEESFLKYRMSVLLNEERKSLRVGRLPIPDSFYLMGTVDPTGLLKRDEVSIILDNGQLRGKVLVYHQPGIHFGDIHVLNAKYVEALHEYVGHAKYAIFFPSKGPRSLADEMAGADFDGDLFFVSRNPQLLIVVYLKLLEYYKVSEPWIEMPSTSGVSNEGPSEVSKENLEDELFEQFLSTRFQPRRWQLAQGSHIGGVAECGGVNIIEAVAFAFDLKVLLAAFSFALSASSDCWTAIMDRFLTLKDDNTHEKDQVKKNLLRLVDLYYEALDAPKNGKKIEVPGDLRVSLFPHYMEKKNSFKSTSILGKIYDFVKSYAELQSNHIEVQKLPFFVGGFSEELAAIWGRHYDQYRQEMVSALSCNTKEEKEEAATALFNKYKKILYGATEIEQRERPLDQIHQEARAIYNVCYDYARQVNDVKKCGFAWKVAGAALLNMYVSNHNEMLLPTVPSVLKELFS >OMO82673 pep supercontig:CCACVL1_1.0:contig09993:57659:63803:-1 gene:CCACVL1_11824 transcript:OMO82673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MEAILTDCVQNSLRHFMFKNAIFLCERLCAEFPSEVNLQLLAACYLQNNQAYSAYHILKGTQMAQSRYLFAMSCFQMDLLNEAETALCPANEPGGEIPNGAAGHYLLGLIYRYTDRKKSAIHHFRLALSVDPLLWAAYEELCVLGAAEEATLVFGEAAALCVQKQYLQQGAASPNSHSSNEDYNLVSSRNFGSEDVSPRQLKHTQGNNLRDIPGNYHGAAVLGGAASQPQNGGPSNMSFYNTPSPMASQLSGVAPPPLCRNVQPNGPNLNAVNTDGSPRSVVNSTIQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAGDAGANTNANTMTVAGNGTNSSSKYLGSSKLSSVALRSVTVRMRNEAFDDSRASMTSTTSSSFPSGDARPLDQDGATVPIGGVVISSSKVISGASEVLGLLRMLGEGYRLSCLYRCQDALDTYLKLPHRHYNTSWVLSQVGKAHFELVDYVEADRAFNLSRRMSPYSLEGMDIYSTVLFHLKEDMKLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLDSRFAYAHTLCGHEYVALEDFENGIKSYQNALRIDSRHYNSWYGLGMVFLRQEKFEFAEHHFGMAFQINPRSSVIMSFLGTALHALKKSEDAIKIMDRAILADRKNPLPMYQKANILMSLEKFDEALEVLEELKEYAPRESSVYALMGKIYKRRNMHEKAVLHFGIALDLKPSATDVATIKAAIEKLHVPDELEEDNL >OMO82672 pep supercontig:CCACVL1_1.0:contig09993:56294:56811:1 gene:CCACVL1_11823 transcript:OMO82672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MANSCDEQKQSKWQGKALVELEGAKAEQIWPLLQDFFGLDKWFPTLSTCLPVEGVSGQPGCVRYSIVDGNVGFNSYVSTVKVSAKGEGCQIEWKYEVEPVNGWTLEDLDFFIGSGLKVMAQRMEAALQVFQASMNH >OMO82670 pep supercontig:CCACVL1_1.0:contig09993:40140:40796:-1 gene:CCACVL1_11820 transcript:OMO82670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEASPAAKKLRDIVRIVLFMISKSKIMVDFHFMLKKGKYNAGKAISNLGFHHKVHDHLSALSCKSSDAHLSFISPREYEFSCSNSPAFFHHHHHKRKHHHHNHHYSYYSNGGGRHLFGSSKSSSKNHYDDVTTVAALQKLLELLHNDTAAAAAVEASPMVYLPGFGRSPMGRQLRVTDSPYPLKDEGDSQVDMAAEEFIKKFRHKLDFEKRSMAAL >OMO82667 pep supercontig:CCACVL1_1.0:contig09993:3235:11306:-1 gene:CCACVL1_11817 transcript:OMO82667 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, eukaryotic-type MVVILPQTVEAAISRICSERNLPPLLDSTRQALYEVGEAESLITLKKIGETKEKIRSFDKFVMYFIVRNRPNCNPSPQKRPSPSSISSPFSSPGKIVRMMMSSPGKDNRAVQTSATSLTPSSSSGGSFSPQLVALGELQFRKAFLLLNYIGSETLENLCTANEIRDLKDLAMDEFEQKVWVSKGRIFSPSGRCKSSEWESGMTHNYYCHVSEDGSYTFKGPYLDKSRNHLQRVLGDENVLNVKFADITDDKSSTCIDPYENYRRIARDGITVGLRHFRFFLFKDGGKEARKKDATSSSVRCFFVRFESHAHIDNGEEYVLSGKTIQQARSMFMHIHTMPNVAKYMARFSLILSKTMKLEVDMKQVDVKEIDDIACRDEDGKPVCNNNGEPRILTDGTGYISEDLALKCPKDVYKGSIVKGKLSGMNTTEPHHRVPFRLFNNGFAVKGTLLVNKKLPRRTIEIRPSMIKVRPEVPDPESDPKPDPKLSNPCTINSLEVVTTSNQPKKYTSLSKDMVALLSYGGVPDDFFMEILKNKLEESQSVFTNKRTALKAVMILKGIPLNESYLQYRMSIMLNEERKSLRKGKLPIPDSYYLMGTVDPSGVLESDEVSIILDDGQISGKVLVYHPPGLHFGDIHVLNAKYVAALDEFVGEGKYGIFFSCKGLRSKADEMAGADFDGDQFFVSRNAQLLKYFKVSEPWIEKTSTSRVCSKKLCDLSKEEELEAELFRTFLNLRFQPSYALSNASHCWTAIMDRLLTMKDGSPDEQDLVKKNLLRLVDIYYEALDAPKNGKKIEVPPHLRVDVFPHYMEKENSFVSTSILGKIYDFVKSYGEEQSKNIEVRKLPLFEGGFTEESKTKWSDHYKQYKQDMTSALSGKTKEEREEAANAVYNNYKKILYGTAEKERPVDKIYEEARAIYNVCYDHAREIEEVGKCGFAWKVAGRALLDMYVSEQGGNDMLLSCSLNVLKELL >OMO82669 pep supercontig:CCACVL1_1.0:contig09993:24430:33001:-1 gene:CCACVL1_11819 transcript:OMO82669 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Golgin-84 MASWLKAAEGVIEEQSWLSVNWQKINLNLSYKAQKRNATTKSPKPSDTLSEQTTSEVLQSDVEPDKDKGTLSSENDGKPIAKSMVQTSSEQESNIEKDIPGVPSSEPLEIDVVKVKHDADQEEISANVSNGEASLSTSNGELLNENAPAHAEQPPSPLATKEIEVVTEDNSKDGSQKVESESADVPSKNDKERSQPVVADSPVNNEAQLKEDDTKIETPVSQKKPIEQKTDTPPTIVQDHLDEAQGLLKTTNSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVAERELSKSYEARIKQLQQELSESKSEVTRVESSMVEALAAKNSEIEALVNSMDALKKQAALSEGNLASLQANMESIMRNRELTETRMMQALREELASAERRAEEERAAHNATKMAAMEREVELEHRAVEASTALARIQRVADERTTKAAELEHRVALLEVECASLNQELQDMEARVRRGQKKSPDEANQMIQMQAWQEEVERARQGQRDAESKLSSLEAEVQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLETMASEKAAAEFQLEKELKRLQEAQVEVERSRVPRRASNSWEEDTEIKALEPLPLHHRHMAAASIQLQKAAKLLDSGAVRATRFLWRYPTARIILLFYLVFVHLFLMYLLHRLQEQADSLAARELAESMGLANPNLP >OMP07161 pep supercontig:CCACVL1_1.0:contig04630:367:450:1 gene:CCACVL1_01364 transcript:OMP07161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLPIQPGRHEWNSDHNIIDKSKMYSYK >OMO68705 pep supercontig:CCACVL1_1.0:contig12191:7119:9868:-1 gene:CCACVL1_19866 transcript:OMO68705 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family MAAPRNVSRDEESAAVALLNNSSKEDDDSPTGKRFKSERFPVSRWELAAFLGVFLIFSTGLFCIYLTMPATEYGKLKIPRSVSDLRLLKDNIATYAKDYPTQFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVKGLFLVVFNATAGACSCFFLSKLIGRPLVSWLWPDKLRFFQAEIAKRRDKLLNYMLFLRITPTLPNLFINLASPIVDIPFHVFFSATFIGLIPASYITVKAGLALGDLRSVKDLYDFKTLSVLFLIGHYIKISVEYVKVVLI >OMO68706 pep supercontig:CCACVL1_1.0:contig12191:12121:13079:1 gene:CCACVL1_19867 transcript:OMO68706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase, DDI1-type MSNESSNDADERMNAQRLEELEAALKRKVEKHNDYNESSSKRKSLLSSNGGNSSFNGGRPAKTASRQQISDVHKAEEETEGELARVGSLRFLNALYSQLNQLMKGPSRGLLYVDMVLNGKATKVIVDTSASDTFITPEEAKRCGLTVDNDCGQMKAVNSPASTICGSAKRVMTKLGHWEGDVDLTVSPMDDFDVILGLDFMKVLKRRKEQKCYFCYFLELF >OMO68704 pep supercontig:CCACVL1_1.0:contig12191:2410:6371:1 gene:CCACVL1_19865 transcript:OMO68704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L21 MASSYATLALCSSFASHCKLSNHHNSLLFSPFKAPTSSLSNPISLKLNSSPLLSKTPTFCTISKASESDAAVVEAEPDNVEPELEPAQIVEAAKDEPKREEIFAVVMIGGRQYIVFPGRYLYTQRLKGANVNDKIVLNKVLLVGTKTTTYIGKPVVTNAAVHAVVEEQGLNPKVVVFKYKKKKNYRRNIGHRQPNTRIRITGITGYQDYPASTLDS >OMO68703 pep supercontig:CCACVL1_1.0:contig12191:915:1061:1 gene:CCACVL1_19864 transcript:OMO68703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGRIDYGEFAAMMRKGDGVGRSRTMRNSLNFTIVDAFDVKDSASSDTN >OMO81843 pep supercontig:CCACVL1_1.0:contig10094:878:1694:-1 gene:CCACVL1_12170 transcript:OMO81843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MKLYLLFGLSLALSISGAHMATISIKNNCPYTIWPGILTGNNGAQLGANSGFDLAQQATKSVDVPATWIAGLIWARTGCSSNSGTFSCATANCGSGQVACNGHGAAPPVTLAEFTLSAANNANQDTYDISNVDGFNLPLSISPQGGSGATCKTTSCQKDINAACPAALAKKGSDGATIACKSACVAFNEPQYCCTGEFEPRGACKPSTYSQFFKEQCPQAYSYAQDDQSSTFTCSGKPDYLVTFCP >OMO58664 pep supercontig:CCACVL1_1.0:contig14191:5122:5322:-1 gene:CCACVL1_25415 transcript:OMO58664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEKGLSVETADKIGTFVEIRGPPLELLLTIMGGSEGSELPEHSASKEALDDLLVLFEALEKYDA >OMO89212 pep supercontig:CCACVL1_1.0:contig08828:13316:13387:-1 gene:CCACVL1_07989 transcript:OMO89212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCRLPLISAYQCLLIDSRYLPGV >OMO89210 pep supercontig:CCACVL1_1.0:contig08828:6621:7664:1 gene:CCACVL1_07987 transcript:OMO89210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGVDSCPTEEDSKSSSGSNDYVNIYLQPFLAYGQQYTHDEFVELLASTLEVIVALESVIVALESEVVRYGLNRLFSCLELGLGVKIVLFQAFVAFRLTECDGEGK >OMO89211 pep supercontig:CCACVL1_1.0:contig08828:9094:12774:-1 gene:CCACVL1_07988 transcript:OMO89211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase/3-isopropylmalate dehydratase, swivel MALCRNLKVGWVWVPDERKRSGEGQAYLLHQRGFLQKTCLHKTKSKHLYSEKFDKALQRRMRIFPLCFKSGEDVDKVGLTGDERLAIDFPSKIIKIKPGQDVTVTIDNGKSFTCTLRFNNELWKA >OMO71706 pep supercontig:CCACVL1_1.0:contig11574:10578:10766:1 gene:CCACVL1_18095 transcript:OMO71706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNTITRTSERSIGSNLKNPIRRRLPTPALCCLVMDGTCKDTIWLTNFLATATVPSGKELT >OMO77222 pep supercontig:CCACVL1_1.0:contig10800:38699:40036:-1 gene:CCACVL1_15154 transcript:OMO77222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MMEIKSEIISRQAIKPSIPTPPELKTFKLSLLDQISPSFHGNMTFFYPASNVTTIVSVADDFSEKSKRLQESISKTLSLFYPLAGRLQDSATIDCNDDGAFFVESKVNIQLSKFLTQPDFNLIMDHFMTTSELSTGAMFIVRFTSFTCGGVAMSLSTNHKLTDLAALVTLLRSWTSVSRGLSDDPVTITPDFMGEKFLAPRDELSATSASLNISSEKFVLNRFVFSASKIEELKAQVEQEFQKQMQSYPSRVEVVLALLWKCAVVTKKQKTGLFTPSVLFQAVNLRKRMSPPLPDTAMGNFIWPFMVVAWEEKDLELKEMVIRMRKSFNEFNNTKANTFRGAGAPLAMMGALKERAEFLKNNRNIDVFKCSSWCKFPLYDTDFGWGKPIWHVSVNKMSSNTIALADTPSRDGIEALLTLDDEEMALFEQNEELLKYAIINPSIYA >OMO77221 pep supercontig:CCACVL1_1.0:contig10800:5854:8170:1 gene:CCACVL1_15153 transcript:OMO77221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MANSMWGGAFICIVALLLLRAFSRWLHNWYNPKCNGQLPPGSMGLPFIGETIEFFTPHYLHDIPPFIRKRMQRYGAVFRTCLVGQKVIVSTDPDINYEILQQENKAFVLSYTESFLEIFGQESLVKHHGMVHKYLKNLVLHLVSPENLRGSLLPEMDKATREHLNTWAILGTMEVKEGSSEGRKNAHKVIKEKLDERRKLASKSEKNRDFLDHLIEELDNKDSILTEPIAIDLIFVLLFASHETTSAAMTLAVKFIADHPEVLEELTKEHEAILENRENKNSQLTWQEYKSAMTFTHMVINETVRLANIVPGIFREVLTDVEMKGYTIPAGWSVMVVPAAVHLSPGKYDDPLQFNPWRWELK >OMO81653 pep supercontig:CCACVL1_1.0:contig10132:17085:27772:1 gene:CCACVL1_12328 transcript:OMO81653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde oxidase/xanthine dehydrogenase, a/b hammerhead MGSLKNEEELEQMVEESKEAILYVNGVRKVLPDGLAHFTLLEYLRGTKLGCGEGGCGACTVMVSQYDRKLKKCVHYAVNACLAPLYSVEGMHVITVEGVGNRKRGLHPIQESLACSHGSQCGFCTPGFIMSLYALLRSSQVPPTEEQIEESLAGNLCRCTGYRPIVDAFRVFAKTDDALYTGISSLSLQGGEIICPSTGKPCSCGSKTVSDKETNDQSICSASYKPVSYSEVDGSTYTDKELIFPPELLLRKLTPLSLSGSGGLKWYRPLTVKHVLELKQKYPNAKLLIGNTEVGIEMRLKRIQYQVLISVTHVPELNMLNVKEDGVEIGAAVRLTELLNLFREVVTQHPAHETSACKAFIEQLKWFAGTQIKNVASVGGNVCTASPISDLNPLWMAARAKFNIINCKGNIRTTLAENFFLGYRKVDLAGDEILLSIFLPWTRPFEYVKEFKQAHRRDDDIAIVNAGMRVYLEEKGEEWVVSDASIAYGGVAPLSLCAIKTKEFLIGKKWNRNMLQGALNALQTDILLKEDAPGGMVEFRKSLTLSFFFKFFLGVSHEIEGKKYTKESISPSCLSAIKSLHRPPLVASQDYEIKKHGTSVGLPEVHLSSRLQVTGEAEYTDDTPMPPNGLHAALVLSKKPHARIVAIDDSGAKSSPGFAGIFFAKDIPGSNIIGPVVLDEELFATEVVTCVGQVIGVVVADTHENARRAAGKVHVEYEELPAILTIEDAVGAKSFHPNTEKFLQKGDVDLCFQSGQCDKIMEGRVQVGGQEHFYLEPQSSFVWTMDGGNEVHIISSTQAPQKSQKYVSHVLGIPMSKVVCKTKRLGGGFGGKETRGAFIAAAAAIPSYLMNRPVKITLDRDIDMMITGQRHSFLGKYKVGFTNMGKVLALDIELYNNAGNSLDLSCAILERAMFHSENCYEIPNVRIFGSVCFTNLPSNTAFRGFGGPQGMLIAENWMQRIALELKKSPEEIREINFQGEGSILHYGQQLEHCTLAPVWNELKLSCDFLKAREEVNEFNLQNRWKKRGVAMIPTKFGISFTTKFMNQAGALVNVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNISLSSVFISETSTDKVPNSSPTAASASSDMYAAAVLDACEQIKARMDPIASRHNFSSFAEIAVVCFRVGISGTYNHFQLIKLAVACHMERIDLSAHGFYITPDIGFDWSTGKGKPFRYFTYGAAFAEVEIDTLTGDFHTRAANVIMDLGYSLNPAIDVGQVEGAFIQGLGWVALEELKWGDAAHKWVPPGCLYTCGPGSYKIPTVNDVPFKFNVSLLKGHPNVKAIHSSKAVGEPPFFLASAVFFAIKDAILAARAETGHTGWFPLDNPATPERIRMACLDEFTAPFVSSDFHPKLSV >OMO81650 pep supercontig:CCACVL1_1.0:contig10132:351:3376:1 gene:CCACVL1_12325 transcript:OMO81650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MHEYSVQPEGSSNLVLCEIKYELVGKKRKSCDDLGESEEGSSSTVKKIGLDLDCQNKPVPVPIISQNKNMNNEVILASQNKNMNNEVILEELPVELNHEAIWEELPVELNHAVTYELNPVVPVDDYGFPIDDAFYPGPESVDPGKPASLTWQRQLNSICKPPVAFGMSFQEIRQLAPVGFRLWPHTKEQEAIGRTSIFDIFRKHLVTADHGVPLGAHVIRYFPTQALNFALKDYFKKLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGGDRQFNGLIDVYRKTLASDGIAGLYRDFNISCVGIIVYRGLYFGMYDSLKPVVLVGRLQIWDSRYD >OMO81651 pep supercontig:CCACVL1_1.0:contig10132:6083:8846:-1 gene:CCACVL1_12326 transcript:OMO81651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTEIADHGHPRGGGKAKNANEYKVLRDIGNLQITRPITRGFLRAKLLGNAQDAASNKNSVMATVGDGLPVNRKGNNVKKVADANKFFMKPDTAAIINKPKPKPDQNVNPARETSKAACSLVADGLKAESVILMSSKDDRSINPVSEGSSIEKCSRKNVKTLTSILTARSKASCGVVANELKDQIFNIDEADVDNELAVVEYVDDLYKFYKSTENNARVQDYFCSQQHITIGMRKILVDWLIQAHNSFQLMPETLYLTINILDRYLSRKAVSRDKLQLVGLGSLLIACKYEEIWPPQVADLISISDNAFIEEQVLAMEKAILEKLEWYLTVPTPYVFLVRYIKASVSLSSDLENMVFFLAELGIAHFDTVVLYSPSMLAAAAVYAARCTLNRTPFWTATLKHHTGYSEEQLMSCAKLLVAFHQNAAEGKLKGIYSKFVTPSRGGVALLTPAKALLASTSTLLV >OMO81654 pep supercontig:CCACVL1_1.0:contig10132:29671:30137:1 gene:CCACVL1_12329 transcript:OMO81654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIWPCLAYALALCLIINNVAAYGYGDDEPYKSPPPYYGWKPETPSKQPPYYKAPPAYYGSPPPYYYKSPPPPSPSPPPTYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPS >OMO81652 pep supercontig:CCACVL1_1.0:contig10132:9301:15268:1 gene:CCACVL1_12327 transcript:OMO81652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSSSFSVGQRVHSSTDPRRVGTVKYVGNVEGYSGTWVGVEWDNEGDGKHDGSINGVRYFQARSQNSASFVRPHNLSPGISLLQALKLRYKTHSTKEDEEEMYVLSGSNQRVSVQLVGKEKIEEKLSKFEELKSASISYLGVSSPGGPDEIRAAVPNLKELDLTGNLISDWKDVGSICEQLPNLVALNLSNNLMMQNVTGLPLLKGIRVLVLNNTGINWSQVEILERSLPAIEELHLRGNNLSTIKTTSSPTVEGFDSLRLLNLEDNCIAEWTEILKLSQLKSLEQLYLNKNKLTSIFYPDDNKIQELLSNRDSHEESYLPFQNLRCLLLGSNKINDPASIDSLNSFPKLIDTRLSDNPITDPGRGGMPRYVLVARLAKVEILNGSEISACERKESEIRYVRLVMSKLLDNPEEITRLHPRLVELKKFHGIEDERPSVGAAGPQKMATGLLSVTLKCVGPSMGEKLPLTKKLPATTTVGKLKVLCESFFKLKSLKLKLFLHEEGSPLPILLDDEMASLMDVGVGNESMILVDEES >OMO71111 pep supercontig:CCACVL1_1.0:contig11726:2042:6869:1 gene:CCACVL1_18441 transcript:OMO71111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde oxidase/xanthine dehydrogenase, a/b hammerhead MGSTLFKRALVNADKTNRPEPRPGFSKLTVSEAEKCIAGNLCRCTGYRPIADACKTFAADIDMEDLGVNSFWKKGESDEVKLNTMVDILTGQKREKITLEEFLGRPPLASRSLLLGIKIPCWKSSRDVSSKTYTNLLYETYRAAPRPIGNALPYLNAAFLAEVSLCKNSAGIMLNNCQLAFGAYGTKHSIRARKVEEFLSAKLLSFAVLYEAVKLLESTIIPADGTSSPAYRSSLAVGFLFEFLSPLINNPTDISSYWHDGCNSSLLFKDSRVKLNLDQFEQTKFSTLLSSAKQVIQSSEEYHPVGKPITKAGATIQASGEAVYVDGIPSPKGCLYGAFIYSTEPLARIKGIKFKPGSSLDGVTTLISFKDIPGVNIGSQTIFGSEPLYADELTECAGQRIALVVADTQKKADMAANLAMIDYDKENLEPILTVEEAFTRGSFFEVPPFLYPEQVGDFSKGMAEADQKSLSAEIKLGSQYYFYMETQTALAVPDEDNCMVVYSSSQCPEYAHDTIAKCLGVPGHNVRVITRRVGGGFGGKAIKAMPVSTACAVAAYKLNRPVRMYVNRKTDMIMAGGRHPMKVTYSVGFKTNGKITALKLDILVDAGIFPDISPIMPHNMVALLRKYDWGALAFDIKVCKTNRPSRSAMRAPGEVQASFIAEAIIEHVASTLSLEVDSVRNINLHKYESLELFFKTSAGEPSEYTLPSIWDKLAVSSNYYCRIKMLKEFNMSNKWRKRGISRVPVVHEVILRATPGKVSILRDGSIVVEVGGIELGQGLWTKVKQVTAYALSLIQCGGAEELLEKVRVIQADTLSLIQGGFTAGSTTSESSCEAIRLCCNILVERLTALKERLVEQMGSINWETLILQVSITSI >OMO63011 pep supercontig:CCACVL1_1.0:contig13081:977:1141:-1 gene:CCACVL1_22521 transcript:OMO63011 gene_biotype:protein_coding transcript_biotype:protein_coding description:S locus-related glycoprotein 1 binding pollen coat MANAIGSCDAEGHGGGCEINECFNFCRTKFGQDAHGFCYTINSPDDTCICRYPC >OMO98821 pep supercontig:CCACVL1_1.0:contig07016:16403:16552:-1 gene:CCACVL1_04050 transcript:OMO98821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSQEAETVHLSNGQDKTGMEYSILSMYARAEKRGTQTKPGRHMKDSV >OMO98822 pep supercontig:CCACVL1_1.0:contig07016:41340:49258:-1 gene:CCACVL1_04051 transcript:OMO98822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant MGEDKNLSYEGGYVDDLEFNPDRVGYFDLLEICEKAGYENVSKIHYKIPGLCLGDGLREVHNDASVLDMIGELYLNNGVIDVYIEHGVDDPVLIVGLIDDGNDGHDGNLGGGNDGNNGEDNGCNQNEGPNGENGENQEIVGQEEEALGAENVDQMFYDVNIDGLEENGAVEENEGIDVTEINIDVNNLGLNSNVVDEDGEDSSAEAFGAEPDYSLHSDYYDTDDNDNGEVESDEEMMVDDATRRKGLYPLYDGDAATPYIEKGMLFHNAEEFKHAVSLLAIKEKRHVKWVKNTKECVRGRCAAPNCPWFIYGAINNRLHTFQLRKFIDTHKCGEIYQNPRMTSKILAELLRNKIMANPFKPLDDIRTDAKADYGVEVYMSMVRRAKKKIMDAVVINYEEQYRVLSSYAKVVRETNPGSTVQLRIVRESPDSKPGLDVAIEALISHAEHRLCARHVEYEENLEKLRATNSKAAEDIENYADPQQWVRAFQRPDSMVDIVDNNLSEAFNKTLLKARKLPIISLFEHMRREMMKRIVRKREEASKWIDGLGPRIWQIIRKGSKIAQHCSVIFNGQDGSEIDHNGNTYVVRLQNKTCSCGRYTLSGIPCAHAICAIWNNRGKVEDYVSYWYSRHTYMQAYSKPIQPMPGHKDWPKPAANEEVLPPKYEKRGPGKPVTARKKGPNETQKLKPQDPNSMSRKGMAMTCSYCLKQGHNIRGCKAKGKNATSISSCHNQAAAKTSHEQPAASTMDHPSSAQQSTSSMNRAAPEVECTNTSGPGPKKQKTALCSSSASITLNINLSANPAAVSSGLNSESNAPPSGNAESSASLVFPSKETVAGAPTSVNAASKAGCGGKRNNSKSNGARNKKKRRISVLDENGRVQSTVGEGSSPASQEKFASERLVTTFSLQRDAQNKFRQRMDQLKIQESRHRLSLQAQSDLQPLVPSIFSRFDAVTKLALKCDRRSVNIGDEALVLISERCRNLTRLKLRTCRNLTDAGMAAFAKNCRGLKKPSCGSCNFGAKGMNAVLDHCPALEELSVKRLRGITEGAAAEPIGPGLAAASLKTICLKELCNGQCFGPLIIGAKNLKYLKLFRCSGDWDKLFPLTMDRVTGTQGGDGQSGAEDASRYGDNYGSDYVPYSRFPCEVDFTHATQDEDHGSRRVGHGAKNRKGRRAMRELTDEFSSTSLTTASSSFRYGGHFESNSNYGTRYVANEFESSVSSNMYPEYPLEQQTYNEHPVQQLNADAVSGECPPIG >OMO87174 pep supercontig:CCACVL1_1.0:contig09299:30228:30622:1 gene:CCACVL1_09215 transcript:OMO87174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMKNSLLEKEIKQVMYLNLQQQLVRLMSRRLLKASPILKPKKRVIKKANTATIEEPSHAAESDVASEHTELPLPVPKKR >OMO87175 pep supercontig:CCACVL1_1.0:contig09299:38609:40786:1 gene:CCACVL1_09216 transcript:OMO87175 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MGKKKQDDTGATAKVKGSSKDASKDVKREKLSVSAMLASMDQKPDKPKKGALGATSAKPKAKGPKVSSYTDGIDLPPSDEEEEDYASEEEQTQSNRHQRQLKQLDTTISEKELKKREKKEMLATQAAEKAKQEALKDDHDAFTVVIGSRASVLDGEDEADANVKDITIDNFSVSAAGKELLKNTSVKISHGKRYGLVGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDRSAIQAVVSANEELISLREEVAVLQNSSSAKEGEDDSELNGDDAGERLAELYEKLQILGSDAAEAQASKILAGLGFTKDMQGRPTRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLSRWKKTLVVVSHDRDFLNTVCTEIIHLHDLKLQVYRGNFDDFESGYEQRRKETNKKFEIHEKQVKAAKRSGNRVQQEKVKDRAKFAAAKEAAKNKGKGKIDEDEPLAEAPKKWKDYSVEFHFPEPTELTPPLLQIINVSFSYPNRVDFRLSDVDLGIDMGTRVAIVGPNGAGKSTLLNLIAGDLVPSEGEIRRSQKLRIGRYSQHFVDLLTMDETPVQYLLCLHPDQEGLSKQEAVRAKLGKFGLPSHNHLTPIVKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEEKSQIWVVENGTVDTVPGTFEDYKDELLREIKAEVDD >OMO87177 pep supercontig:CCACVL1_1.0:contig09299:53736:59217:1 gene:CCACVL1_09218 transcript:OMO87177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSLLYLLFLSFLLLFSSLSHSQSDQFCDAGVGYSESTCGISSSKLLIKGGTVVNAHHQQIADVYVEDGIIVAVQPNIKVPDDVTLLDATGKYVMPGGIDPHTHLAMEFMGTETIDDYFSGQAAALAGGTTMHIDFVIPVNGSLVAGFEAYEKKAKKSCMDYGFHMAITKWDESVSREMEIMVKEKGINSFKFFMAYKGSLMINDELLLQGFERCKSLGALAMVHAENGDAVFEGQKRMIELGITGPEGHALSRPAVLEGEATARAIRLAKFVNTPLYVVHVMSIDAMEEIAKARKSGQKVIGEPVVSGLVLNDSGLWDPEFITAAKYVMSPPIREAGHDKALQAALSTGVLQLVGTDHCVFNSTQKAFGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISVTDYVRITSTECARIFNIYPRKGAILAGSDADIIIFNPNSSFEISASSHHSRTDTNIYEGRKGKGKVEVTIVGGRVVWKDDELKVVPGCGKYIEMPPLSYLFNGIDKADAKYRSSLKAPVKRFKSTS >OMO87178 pep supercontig:CCACVL1_1.0:contig09299:59874:60113:-1 gene:CCACVL1_09219 transcript:OMO87178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site VQKQKKAWTSVPQFGGWDKGPGATNYSMVFSQARANRKQQKINIRRSLGSQQELIPASLPLPRRLEEDDSVSVSVIRFH >OMO87173 pep supercontig:CCACVL1_1.0:contig09299:5402:7034:-1 gene:CCACVL1_09214 transcript:OMO87173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L32e MAVPLLSKKIVKKRVKKFKRPQSDRKISVKESWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTSHYLPNGFKKFVVHNVQELEVLMMHNRTYCAEIAHDVSTKKRKEIVERAAQLDVVVTNRLARLRSHEDE >OMO87176 pep supercontig:CCACVL1_1.0:contig09299:41571:47495:1 gene:CCACVL1_09217 transcript:OMO87176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLPLLSFLLLFFCFSPSQSNQFCDAGIGYSESTCGISSSLSSSKLLIKGGTVVNAHQQQIADVYVEDGVIVAVQPNIKVGDGVTLLDATGKYVMPGGIDPHTHLAMEYNGTDEFMGLKTVDDFFNGQAAALAGGTTLHIDFVIPINGSLVAGFDTYEKKAKKSCMDYGFHMAITKWDESVSKEMEIMVKEKGINSFKFFMAYKGILMIDDELLLQGLKRCKSLGALAMVHAENGDAVFEGQKRMIELGITGPEGHALSRPALLEGEATARAIRLAKFVNTPLYVVHVMSIDAMEEIAKARKSGQKVIGEPVVSGLVLDDSGLWDPDFITAAKYVMSPPIRESGHNKALQTALANGVLQLVGTDHCAYNSTQKAFGIDDFRKIPNGVNGIEERMHLIWDTMVESGQISVTDYVRITSTECARIFNIYPRKGAILVGSDADIIIFNPNSSFKISASSHHSKLDTNVYDGRKGKGKVEVTIAGGRVVWKDDELKVIPGFGKYIEMPPFSYLFNGIDKADARYLSSLKAPVKRFK >OMO61114 pep supercontig:CCACVL1_1.0:contig13589:15226:15345:-1 gene:CCACVL1_23735 transcript:OMO61114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTDEDQEVGKLAKRRGPSHGAEISSGAEYNRYHFRGW >OMO61115 pep supercontig:CCACVL1_1.0:contig13589:20654:21109:-1 gene:CCACVL1_23736 transcript:OMO61115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVSVTSHCVVKAAIPNRFTSAIVKAPTSLGSVKSISKSFGLKCSSNYRTAMGAVYKIKLVGRDGEECEFEAPDDKYILDAAEEAGVDLPYSCRAGACSTCAGKIVSGAVDQSDGSFLYEKQMGEGYLLTCVSYPTSDCVIHTHKEGDLY >OMP05153 pep supercontig:CCACVL1_1.0:contig05508:3423:3530:-1 gene:CCACVL1_02040 transcript:OMP05153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHKANQWIVRESSPFAYHKKKGTAYDGRGATLSS >OMO88876 pep supercontig:CCACVL1_1.0:contig08901:2564:32598:-1 gene:CCACVL1_08148 transcript:OMO88876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNFDSRRLLEVGFWRFLLHVTLLITIADGLNYEGQLLLELKNSLHDEYNYLGNWKSTDETPCGWIGVNCTLDYEPVLWSLDLNSMNLSGTLSPSIGGLTHLTFLDLSYNGLSGSIPEEIGNCSLLVTLYLNNNQLSGEIPGELGKLSYLRSLNICNNKISGSIPEELGNLSSLDEFVAYTNNLSGPLPPSIGKLQKLRIFRAGQNAISGNIPAEISGCQSLEMLGLAQNNIGGELPKEIGMLGSMTDLILWENQLTGFIPKELGNCTSLETLALYSNGLVGQIPIEIGSLKYLKKLYLYRNDLNGSIPREIGNLSLATEIDFSENYLTGEIPTEFSKIKGLRLLYLFQNQLTGVIPNELSSLRNMTKLDLSINYLTGPIPYGFQYLTQMTQLQLFDNSLSGTIPQQLGIYSPLWVVDFSNNHLTGKIPPYLCRHANLILLNLGANKLYGNIPAGIKSCETLVQLRLVGNRLTGSFPSELCKLVNLSAIELDQNNFSGPVPSEIGYCRKLQRLHIADNQFTFELPKEIGNLSQLVTFNVSSNLLSGRIPPEIVHCKMLQRLDLSHNSFVDTLPDEIGTLSQLEILRLSENKFSGKIPAALGNLSHLTELQMGGNLFSGQIPQELGSLSSLQIAMNLSYNNLTGNIPPELGNLNLLEFLLLNNNHLSGIIPSTFDNLSSLLGCNFSYNNLTGPLPAIPLFQNMPVGSFTENNGLCGRPLQNCMGDTSSPSMLPAKRGARGRIITIVAGVVGGVSMILIVILIYQMRRSPEMVASLPEKDIPSPASDIYFHPREGFTFQDLIEATNNFHESYIVGKGACGTVYKAIMHSGQNIAVKRLASYAEGNNIENSFRAEILTLGKIRHRNIVKLYGFCYHQGSNLLLYEYMEKGSLGEVLHGSSSSLEWPTRFMIALGAAEGLAYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPQSKSVSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKTPVQPIDQGGDLVTYVRHYVREHSLTTGILDDRLNLEDSNTVNHMLTVLKIALICTSMSPFDRPSMREVVMMLLESKEQEDSNSVSSPTYELPLKDNELPLKDNAEESVVADIIFRSFIIEHAGTFGHYIPQAAITKKGIPVLYVNVEPMGGSYPLHAAVILSGAPEIGSKLEFEVVLVRKKLLADVFGPRLAMQGQVNFKNHGCSVRMLRIMEPRRNVGGGLVEFLVATLILVRVSEGLNSEGKYLLELKQSIQDDFNHLGNWKPTDDTPCSWNGVSCTSDNGEPRVWSIDLNSMNLSGTLSPSIGGLTHLTYLDLSNNGFSGNIPKELGNCLLLVFLYLNADKLNGTIPGELGNLSHLTSLHISNNTISGSLPEELGNLSSLREFLAHTNNLTGPLPRSLGRLHKLRIFRAGGNGISGTIPVEINGCQNLQMLGLAQNRIGGELPKEIGMLQRLTELILWNNQISGFIPKELGNCTSLETLALNGNAFEGQIPIEIGNLKFLRSLYLYKNKLNGSIPREIGNLSLATRIDFSENYLTGEIPTEFSRIKGLKLLFLFQNQLTGVIPNELSSLRNLTWLDFSINHLNGPVPHGFQYLSKMVLLILYGNSLNGTIPQQLGIYNSLWVVDLSDNQLTGKIPPHICHHSALIRLFLGANKLYGNIPYGIKDCLKLISLNLVGNRLTGNLPSEIGNLVSLQYLHLDNNNFNGPIPPEIGNCTRLQGLHISGNHFTSRLPREIGNLYSLAQLNVSSNLFTGQIPFEIFNCKLLQRLDLSHNSFVGSLPNELGTLSSLEVLKVSENKFSGNIPASLGSLSRLIELQMGGNDFSGEIPAELGFLSSLQAAMNLSYNNLSGNIPPELGRLSQLVILLLNNNHLSGPIPTTFQYLLSLVEFNFSYNNLSGPLPDIPLFRNMNSCSLLNEDPPFKGNPLLDQAPRLKPACSYFAVETSLIDMKTFKTIASNSTVIISVSEGLNSEGKHLLEFKQGLQDGYNFLGNWKPTDETPCEWTGVNCTSARGYVWSLDLNSKHLSGILSPRISGLSHLTYLDLSYNEFKGDIPTEIFVNCSLLTFLYLEDNQLSGPIPDKIGNLSLLEEFEAYDNRLTGALPPSIGNLQKLRKFRVGINALIGNIPAGIFRCQSLQFLGLANNPLGGEIPKEIGMLGNLTDLMLYKNGLVGQIPLEIGNLKFLKSKIKSLSVLHLFDNHLTGAIPNELSSLCNLLNLQLSNNSLTGPIPPHLCRYSKLTVLLLGDNKLSGSIPTGIFNCRSLQMLSVTGNSFSGSICAEIGNLVNLTKINLGNNNFTGPIPPEIGNCTQLQWIQIAGNHFTSELPIEIGKLSQLMLFNVSSNSLTGQIPSEIVNCRMLQRLDFSHNSFVDSLPNDLGTLSQLELLKLSENRFSGNIPPSLGSLSRLIELQMGGNRFSGEIPPELGFLSTLQVALNLSYNNLTGSIPAELGKLSSLEVLLLNNNDLGGVPCLDREVLGSSHGLFMVRVKKMLKYLKPRELRELIEFLLLVVIETMLVAISVSEGLNSEGKHLLELKQESLRNVESQYWGLIHLTYLDLSYNEFKGEIPTEIVNCSHLTFLYLEDNQLSSSIPDKIGNLSLLEEFVAHDNRLTGTLPRSIGYLQKLRMFRVDGNAISGNIPAEICRCQSLQYLNLAYNQIGGEIPKEIGLLGNLKELWLFYNFLVGQIPMEIGNCSNLVNLDLCENEVMSGNIPKEIGNLSLVERIDLSNNYFTGEIPMEIGKLKFLKVLFLYVNDLNGNIPNELCTLRNLLDLELSDNFLTGPIPSNRCRYSNLTYLLLGENKLSGSIPTGILSCPSLEKLSIGGNSLSGGIPAQVGNLVNLNYIRLDHNNFTGPIPREIGNCRKLQWIQIAGNHFTSELPKEIGKLSQLMLLNISSNSLTGHIPTEIVNCKMLQRLDFSFNSFTDSLPNELGNLSQLELLRLSENKFSENIPPSLGSLSHLIELQMGGNLFSGLIPPELGFLSSLQVAMNLSYNNLTGSIPSELGKLSSLEVLLLNNNYLSGHIPSTFQDLLSLVEFNFSFNNLSGPWPAIPFLRKMNSCNLIDENSPYKGNTFLDPLPLPEACSSASEIPSSLEPETSIDMRTFKGSFVATYSIILLSFAAVLYINPYWRQAWAYHGLEKHNGANGDHVSDKYGDVLDVQEDALVDPGSNASLEEHGVGGIAYDWPLLGKAAQHRQLDRPSHEATGHNQPSHGASAHNRPMDPLAIPQDPMTRAQAKRFKEALLGFVRSHLGGLKSIEEQLESIEVDITKNIPIDSKMIVSRFIYVCRPYRIRSWMSESNVTHWDWIRADELNPEADIEPDERERRDLGLDDTGGLGETVTAEKIQSGKPPLLEPASKKPKTSVVWEHVTKYVEIGKERGKCNYCPKSFNAHTKKNGTSSMRNYLKSCPTCPLAIAKRAKEKDSSQTQLVQNGENGSVGTWKFNKDAVRKAIAQMIIMDEEAFRIVNAKGFRNLMKVACPGFVMPSRWTIGRQCFMLYNEMKQNMKKMFKSSNFRVSLTTDLWTSCQKISYMCLTAHYIDDEWKLHKKIINFCPISTHKGEGIGKALEKNLKEWNIDKVFTITVDYAKSNDVAVGYMKRKFIREKTTIVGGKFIHMRCVAHILNLIVGDGLSLFIQLVVRIREAIKYIRVSNSRLANFKECAAEACPRTTTRLCLDVATRWNNTYTMLETVIRFEKAIEAFEDVDPHFKEEVSSTAAGGLPTVEDWDVARSLCLFLKKFYLLTMKVSGTSYVTSTSLLDEIFKVHLALKDLVKNPCDEISKMALMMKAKYDKYWGEIHKMNLIVYLAATLDPRKKLVFVEFCIMRMFGQDGASDLLKKVNEALNEIFADYKQQLSPGKASRSGNQIARDHDVVDHMVMDDGEESTMDLFWKHEAESGKVENKSELDIFLQEDREKAADFDVLGWWKLNAPRFPILSSIARDVLAVPISTVASETAFSTGGRVLDVYRSCLTPKIVQGLVCAQDWMRGSSDFNPTADMEEQVEFDDLALGPKMLRNFKLRELRSLVEFLAVVIETMLLVSVSEGLNSEGKHLLEFRKGLRDEFNFLGNWNSTDRTPCGWAGVTCTSVGNKSVVWSLDLNSKNLSGILSPRIGGLSHLTYLDLSYNEFKGEIPTEIVNCSHYTFLDLSSNQLSGPIPKKIGDLSLLEEFVAHDNRLTGALPRSIGNLQKLRIFRAGDNAISGNIPAEICRCQRLQFLGLAYNQLGGEIPKEIGMLRNLTDLWLFHNSLVGQIPMEIGNCSNLLSFDLSANRLSGPIPERLGNLSLLEEFVAVDNSLTGTLPRGIGNLQALMAFRVGGNAIFGNIPSEISRCQKLELLGLSANQIGGEIPKEIGMHRSLTYLLLGHNVLRGQIPMEIGNCSNLVILALYENGFVGQIPMEIGKLKFIKTFFLYTNKLNGSIPKEIGNLSLATEIDFTENYLTSEIPVELAKIKGLRYLSLAENELKGSIPKVIGNLSIAIEIYFFGNHLTGAIPTEFSKIKGLRLLFLFDNQLTGVIPKELSRLRNLSNLQLSQNYLTGRIPPHICRHAKLLKLNLAANNLHGSIPKGIKNCERLERLDLNGNRLSGSLPAEVGNLVNLYIIRLNDNNLTGPIPPEIGNSTKLQRLQIDGNHFTSKLPKEIGKLSQLMLLNVSSNLLTGQIPSEIVNCKMLQRLDFSYNGFVESLPDEHGTLSQLELLKLSGNKFSGNMPASIGNLSHLIELQMGGNLFSGEIPLELGFLTSLQIAMNISYNNLTGTIPLELGKLSSLEVLLLNNNHLSGEIPSTFLRLDEFG >OMP03187 pep supercontig:CCACVL1_1.0:contig06127:373:432:1 gene:CCACVL1_02511 transcript:OMP03187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IDNLPGDLIVEILSRLPAEE >OMP08342 pep supercontig:CCACVL1_1.0:contig03851:318:495:-1 gene:CCACVL1_01120 transcript:OMP08342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHGLLHLLGFDHELSEDAEEEMEEEEEFLFKSLGWKGKGLIQSAYDAETNANLHMKNLN >OMO59228 pep supercontig:CCACVL1_1.0:contig14027:14079:19070:1 gene:CCACVL1_24984 transcript:OMO59228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MDFFSCIALVLFLVVIFVLFGSKQQEDPLFGSTQQEDPLFGSKLQHEDPDLLKCIETIDDLVVRCKLFEIPNLVEKVVDRLKVLRQGIIYLHTSIRARKDEEDYEDYLKEFGEAIKHITIAVRYIFSIRAEDIFEGTSIGTLIPASQAWNSLCILLIDELNDGIPHPIQKKKKKKDQSEEYQSEDQSEYQSEDESEDQSEDQTKNMIPDPKHIIEKIESLSDYYSSGVSLGYIEEAMKEALKKKNQHPQPKVLEVGIIIMKRQYSRYIEEVANSFPIVWRRVDTSSSTFKPSSRDIGKRLKVECFLEVEGKFFLANVTVTNKVEKYLSNLYRDWKSRGGRGDDVGTFNVLSYNILADLHSFNPYLSCYGVWEFRRKALLLELRHYNVDILCLQEVQDNHFAEFLAPKLEKLGYLVVYKTKNHTVIRRGEVVREGCAIFYRTNRFRMIRKHEVDYAKEAELALANRNENNVLRKELVKDNVALFLELWDSVNNSRIFVANTHIIASEGARLAKLFQVNTLLRKLKDFTKSKNIPLIICGDFNSHPTSKPYKLLVEGRLKSECVREIDRHGFFIKDKLKHEFLLGNVYVLRKELKFTTKKKETLDYIFYTNKLLKVEEVLKPPSDIKDLVTNGEVKGPSDHIALAARFKVK >OMO77967 pep supercontig:CCACVL1_1.0:contig10661:1486:1578:1 gene:CCACVL1_14728 transcript:OMO77967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLSGDFRFFFLSHTFFLSLGLDEWGIL >OMO57667 pep supercontig:CCACVL1_1.0:contig14341:9588:10316:1 gene:CCACVL1_25714 transcript:OMO57667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVYPNAGFSGSDANSAPPAKVLVGVKRETVLTVWKKSLLFNCNGFTVFDGKGDLVFRVDNYMEGNKGEILLMDATGKPLLTIRRKKISLGDCWLVYEGETLVNPRFSVRKSVNILNSKCLAYVSNGNSNSRNNSMYEIEGSYSQRCCGVYDDKRRLVAEIKRKEAVGGVAFGTDVFRLVVQPENIRTDFAMALVILLDQMFGSSRRSST >OMO57666 pep supercontig:CCACVL1_1.0:contig14341:5772:6822:1 gene:CCACVL1_25713 transcript:OMO57666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKQIWEQQQSQMQRVKNSGIVSCNGSPTKDDKEEEMAKSALALFRAKEEEIERKKMEVRDKVQSYMGRVEEATRRLADIREELDALIDPMRKDVAIIRKKIDTVNRELKPLGQSCQKKEREYREALEAFNDKNKEKAQLVSKLMELVSESEKLRMKKLEELSKNIETLG >OMP11384 pep supercontig:CCACVL1_1.0:contig01342:6717:6836:-1 gene:CCACVL1_00552 transcript:OMP11384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVKNAERLTRGRREEANNQKRDSCLKAVQQKRKGTHKVN >OMO57270 pep supercontig:CCACVL1_1.0:contig14416:919:4077:1 gene:CCACVL1_25866 transcript:OMO57270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSFKNRSPTSPQPQPPPQDLKQRVITCLNKLADRDTLALASAELESIARNLPVESISPFLNCIHNTDSSSKSPVRRQCVSLLTLLSHSHGNTLSPHLSKMVSTVSRRLRDPDSAVRSACVEATTAMSSHITKPPFSVLSKPLIEMLVVEQDVNSQIGAAMCLAAAIEAAPDPETEQLKKVLPKLGKLLRNDSFKAKPAVFGVIGSVASVGGAGSKGVLDWLVPCAVESLSSDDWGTRKAAAEALGKVAVAEKELATEYKAACVSALENKRFDKVKIVRETMNRSLDLWKEVPGVCEEASAPSQPDSTSTDNGSIGCFPSVAKSANDVGLRTPQSKKAVPTSRSPPSDSSPVPTTKKETPLKSSNRNGNASIFGRLDRAKPSDWKVEIAESKSMVSKASSDDNIKKSDLRVLESRESRDSRNSRPEAKCVPFGKVRDEKAQKFGGLRSGSRVVPFHDEDLNAENNNAVEEIEENPKEAEDLSLIREQLAQIEDQQSNLLNLLQLLVFNSSSLWFSGGSLDYYIKFIGSSQSGINSLETRVNGLEMALDEISYDLAVSSGRIPNMDSADNTCCKLPGAEFLSPKFWRKTEGRFSTSRLPSSGRMVSLNSVHNTPDKDSGAEVYMNQRYQRQGRGGFVMNPLADACGDVRENAGFYSNRMSKNTSQNAERVQVGIAGGPDGISSIPCTAPMNLSSR >OMO87998 pep supercontig:CCACVL1_1.0:contig09139:3134:3229:1 gene:CCACVL1_08605 transcript:OMO87998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TQASQQIDDSAEAQKEMWPRGSDDYKYWNWR >OMO89116 pep supercontig:CCACVL1_1.0:contig08845:2498:2563:1 gene:CCACVL1_08012 transcript:OMO89116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRGREKRFVEEKGTVGMWF >OMP07025 pep supercontig:CCACVL1_1.0:contig04719:293:451:-1 gene:CCACVL1_01393 transcript:OMP07025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTSHPSPHHQRKRAKSKNMIGILHNPTTKQTVFVPCPCYCIESGLNLILI >OMO51743 pep supercontig:CCACVL1_1.0:contig15726:17865:21658:1 gene:CCACVL1_29614 transcript:OMO51743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTGLQFGKVRGEDRFYIPVKARKNHYQKQQQKQKQEVVKEDNGKNSNNSSAAAAAAAKSKPLASDNNNNTNNSSKNPKETLASRAVLGSEESEVSRSNLESFLEATRPSVPAQYFSKKTMRGWRTCDVEYQAYFTLDDLWESFKEWSAYGAGVPLLLDGSDGVVQYYVPYLSGIQLYGESVQANAKPRLAGEESDVDYYRDSSSDGSSDFEMEKGNKFSRMQGSRFSLTNELHSGVSSLSLSDENSTLQEGFSRDDSEAGNSRDRLLFEFFEQDTPYSREPLADKIFDLACKYPGLKTLRSCDLLPMSWISVAWYPIYRIPTGPTLKDLDACFLTYYSLCTPSEGSGSGQTPFVVYPNEADGTPKISLPVFGMASYKFKGSMWTQNGASECQHANSLMQAAENWLRLLQVNHPDFQFFASHGMYLL >OMO51744 pep supercontig:CCACVL1_1.0:contig15726:26591:30745:1 gene:CCACVL1_29615 transcript:OMO51744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MECGLAVVGENIISTLLGTLSDELALPLIQEFARKEQVHAHLKKWESILFKIQAVLQDAEERQFTDRSVKIWLDDLKDLSYDIEDVLDDFSTEALRQKSMPQTQSITGKIRKYVSSIFYHFSFSYKMASMIKEITARLEDIVKQKDNLGLIESIGSRRNSVLRRMPSTSLVNESLVFGRERDKEHIICDLVFKEDESSDGGISVIPIVGMGGLGKTTLAQLIYNDARVETFFKLRAWVCVSEEFDVVRVMKTLLEVLTSKACDVNDLNEMQVRVKKILSKQRFLIVLDDVWNENYNDWMFLRSPFEVGSPESRIIFTTRNQKVASVMGTVPAYHLKEMSHDHCLSLFTEHALRSRNFDEYPNLKVIGESIVKRCKGLPLAVKSLAGLLRSKMEYHEWENILNSMIWDLPKENSPILPALRLSYYYLPFHLKQCFAYCSIFPKDYEFDKGELVQLWIAEGFIHQPKGMKQVEDLGLEYFNDLLSRSFFQESSLNKSCYVMHDLINDLAQYVAGEVCFRLGDKINSNGQCYVSSKTRHSSFIRKKYDVLPKFEVFHETRCLRTFLALPVSVPDLEVECYLTNTVLQNLLPKLRCLRVLSLSGYCISELPKSIGDLNHLRYLNLSHTRIVILPESSGALCNLQTLNLSGCKKLTKLPLEMRNLISLHYLDLSDTDDLREMPLHIGSLINLKKLSKFIVGKRNGPKISELRSLSCLQGKLYLLELQNVAEIRDVRVANLKEKHGLDELVMEWSNDPKGFQSEVDDHDIDVLDMLEPHQNLKKLTISCYKGSKFPYWIGNPSFVNMVCLKLCGCSRITSLPSLGRLPSLKDLHIERMAKVSLVDSKFYGATSSDDKPFPSLETLTFGEMLKWKNWSQPGGFEASGKYFPHLIELVIQNCPKLVGAVPNLLPSLVKLSICKCPHLAASFLSFPSLRELNLEQCNGQFLTKFKNLTSLTWLKIENISNLSCLPENFTCLVSLEVLEIEDCGGLISLWLKGSRLENLSCFKRLAIMKCHQLLCLMDEQDELPSNLEYVEIEDCSNLAKLPNGLQKLRSLKDLSVKWCPKLMSFPNAELPSTLKTLSILGCESLESLPKGLVHNGSSSIGRFNLENLEILGCPSLRLFSTGELPTCLKQLDIWDCMQLKCIPERLLENSQSLEFIRIGNCKNLKTLPQCLYRFDYLTELHVNQCPSLECFPEKGLPIHNLNMVLISNCVNLKSLPNRMHYLTSLQYLTLFGCPSVESCPEGGFPPNLLSLSISGCKQLMDHFPKWRLHKLTCLKYLNVGDLHMISFPEEFTIPTTLVHLRVQSLPNLEYLSKGLEDLVFLETLDVWNCPKLQYLPKDGLPSMLGLLQIRNCPLLEKKCLYEKGEYWPIISHLPCVRINYVDIC >OMO51745 pep supercontig:CCACVL1_1.0:contig15726:44244:44339:1 gene:CCACVL1_29616 transcript:OMO51745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALERLKIVSAVEKYREKDVEEEGGRIEREN >OMP04911 pep supercontig:CCACVL1_1.0:contig05566:91:393:-1 gene:CCACVL1_02102 transcript:OMP04911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSWRREKEGFGEEAREEGTKEMMEERDWMDDRAMEEEEAEDDVDDGGGGASEVGAKVGDGCKSGSDVDGGVTEVLTAVTLVALGESGSEEEEEEPDIG >OMP04913 pep supercontig:CCACVL1_1.0:contig05566:2030:2372:-1 gene:CCACVL1_02104 transcript:OMP04913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGLAPEATASSPSNGGSNGASNIVASATGVASLAALAIAFLPTLFGI >OMP04912 pep supercontig:CCACVL1_1.0:contig05566:766:1188:1 gene:CCACVL1_02103 transcript:OMP04912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyccin MRSTKRARIVGVALELYYSKISQLPVTSKIEFCEFCEIWAGQHICKDGEEKRKGRIPLAWELLQPTLRIIGHCLLGPHRNKELYDAASSATKSLYARSLHDIDAKSILATSSLLRLQKMASDPENNVDYTEIPKVNVITL >OMO52714 pep supercontig:CCACVL1_1.0:contig15469:59299:60146:1 gene:CCACVL1_29104 transcript:OMO52714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MDAQSYPQPSIEEEKEKKSRFNEDSQPLSPAKERIMNIRQGNSESLCEYRQRFKKDCEECLNHGILQSQLLKLFHEGVYPKTKMRENKKRTSHIIRYANKTITIVYGACGGSLLDKTVDEAHEILDAFANGTYSSKEEKEFEVPTIKMEEESKPKSPPNTTQEAFNFLVLPRISKMEDNMTESSEII >OMO83910 pep supercontig:CCACVL1_1.0:contig09831:7211:7279:-1 gene:CCACVL1_11090 transcript:OMO83910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLNLLALKASRETLIFHKDVK >OMO83911 pep supercontig:CCACVL1_1.0:contig09831:7583:12591:1 gene:CCACVL1_11091 transcript:OMO83911 gene_biotype:protein_coding transcript_biotype:protein_coding description:group 2 hemoglobin yjbI MITLPHEFVQPAFDKETAEDPETLAIRKSRKKIFISSHSRFALLSVEDGTTNSVQVSLSLSSPLRRRQKLGLQIFINLTTNSYTGTYAAGSKNEQKEMLSNNNRKKKLKIKLCS >OMP07127 pep supercontig:CCACVL1_1.0:contig04648:162:233:1 gene:CCACVL1_01371 transcript:OMP07127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRGVSTALWSVPVPKASPTLPKP >OMO89637 pep supercontig:CCACVL1_1.0:contig08653:49990:52209:-1 gene:CCACVL1_07721 transcript:OMO89637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLECRMYEAKYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRTEPVMVLRVDKEKGYIDLSKRRVSEEDIQACEERYNKSKLVHSIMRHVAETLDIDLEDLYVKIGWPLYRKYGHAFEAFKIIVTDPDSVLNTLTYEVKKTGPDGQEVTEVVPAVTEEVKNALVMNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKEAMRKAEAAGNDDCPVKIKLVAPPLYVLTTQTLDKEQGIATLSKAITACTEAIEYHKGKLVVKEQPRAVSERDDKLLAEHMAKLRNDNEEISGDEDSEEEEDTGMGEIDVENMQVTE >OMO89633 pep supercontig:CCACVL1_1.0:contig08653:27111:28820:-1 gene:CCACVL1_07717 transcript:OMO89633 gene_biotype:protein_coding transcript_biotype:protein_coding description:dTDP-4-dehydrorhamnose reductase MVYEANGNAQPLALGLRFLIYGRTGCIGGLLGKICEAQGIPFAYGSGRLEDRESLVDDIAAVQPSHVFNAAGATGPPHVDWGEFNKTETIKSNVIGALNIADVCREKGLPLIFYSTGCMFDYDSDQPIGSGIGFTENDSSNYSGFGSFYSKTKGMAEEWIKNYENVCILRVRMPLFSDLTHHRNFIKKITGYERVVNIPNSMTVLDELLPISIEMAKRNLTGIWNFTNPGVLTHNQVLEMYRDYVDPDFTWKNFSLEEEQAKVLDAAPRCNNELDSTKLKKEFPEILPIKESAIKYVFQPNKKKKVNLA >OMO89638 pep supercontig:CCACVL1_1.0:contig08653:53200:56293:-1 gene:CCACVL1_07722 transcript:OMO89638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKGLISLLFTAFLLINCNAANANERKKKPTFQGPSMYHKNGILGRN >OMO89639 pep supercontig:CCACVL1_1.0:contig08653:60897:61028:1 gene:CCACVL1_07724 transcript:OMO89639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKQKLLLFSRQHWLHWNSTEMLQTRAKKVAKSALPSPLILK >OMO89632 pep supercontig:CCACVL1_1.0:contig08653:23494:24594:-1 gene:CCACVL1_07716 transcript:OMO89632 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MEFGANGNGNCNGNGKAKPITLGLKFLIYGRTGCIGGMLGRICEAQGIPFAYGSGRLEDRESLRDDIAAAQPSHVFNAAGATGRPNVDWCEFNKIETLRSNVIGALNIADVCWEKGLPLIFYSSACMYDYDSDHPLGSGIGFTENEPHNFKGSFFSKTKGMVEELIKDYENVCILRVRMPIMSDLTHPRNTIKKIIGYEKVVNIPNAISVLDELIPISVEMAKRNLTGVWNFTNPGVLSHNELLEMYREYVDPNFTWKNFTVEEQDKVLAAPRCNMELDITKLKREFPELLPIKESAIKYVFEPNKKKNLA >OMO89644 pep supercontig:CCACVL1_1.0:contig08653:86673:86774:-1 gene:CCACVL1_07729 transcript:OMO89644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQEARNNNNERLSDRDHIEVQLNGKMIVTALFR >OMO89640 pep supercontig:CCACVL1_1.0:contig08653:63660:63788:1 gene:CCACVL1_07725 transcript:OMO89640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKAREGIFVVSGAGPATSTRATPPTTPRRELGLTPTYTCKA >OMO89641 pep supercontig:CCACVL1_1.0:contig08653:64691:65854:-1 gene:CCACVL1_07726 transcript:OMO89641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MDPDDDGGASDLSTSAGEPNTAAGTAANNKDKNCNNSAFQVMPLKEEPIESDPDNKTRLSPPIGAVQVPMQIQQMPMPVTTAKRSSTKDRHTKVEGRGRRIRIPATCAARIFQLTRELGHKSDGETVRWLLEHAEQAIIEATGTGTVPAIAVSVGGTLKIPTTSSANASNNNNNTNNNQIDENVTKKRKRPANSEFCDINEGIPFAASQPQHQLMTQASGLAPVTPQGLVPVWAVGNTGMMVPANAFWMIPQPTATAPVGNGLPNQQPSPQIWALSPSLTPVFNVAAAARPISSFVATANQVQAVMCNGNLSTLAAVNTSSTAVGATVAKKSTMAPSVSSGGNNNGSGSTGGKAQMLRDFSLEIYDKQELQFMGRAGNHQPLQASKQ >OMO89630 pep supercontig:CCACVL1_1.0:contig08653:13598:17562:1 gene:CCACVL1_07714 transcript:OMO89630 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MDMFHKKLGDVFGKFNIKVGSKIEDHMPHKITSDPYVTVSVAGAVIARTFVISNTETPVWMQHFNVPVAHYAPEIHFVVKDSDVVGSQIIGAVGIPVEQLFSGGKVEGTFPILNANGKPCKPGAELSLSIQYTPIQKVKLYHKGLGSGPENNGVPGTYFPLRKNGKVTLYQDAHVHDGFLPNLKIDGNVRYEHGNCWQDICSAISQARRLIYIVGWSVYHNVQLVRETDKASNSTLGDLLKTKSQEGVRVLLLVWDDPTSRSILGIKTEGIMHTNDEETRRFFKRSSVQVLLCPRSAGKGSWVKKQETETIYTHHQKTVIVDADAGNNQRKIMAFVGGLDLCKGRYDNPNHSLFRTLKTVHKDDYRNPNFTELVPGCPRQPWHDLHCRIDGPAAYDILANFEERWLKASKPHGLQKLKHSFDDALLKIERIPEIVPMNEIPNLSKNDPETWHVQVFRSIDSNSVKGFPDDPKNATKMNLVCGKNVLIDMSINTAYVNAIRSAQRFIYIENQYFLGSSFNWDAHQDLGANNLIPIEIALKIANKIRSNERFSAYILIPMWPEGVPTSAAIQRILYWQHKTMQMMYDIIYKALVEVGLEKKYEPQDFLNFFCLGNREAVQGGEYLEAKTSSASNSPQALASKNRRFMIYIHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPRHTGSSKHYNSHGQVYGYRMSLWAEHIGGLEESFKQPESLECIRRVRSLSEQNWRQYIAEEVTEMKGHLLKYPVEVDRMGRLKALPGCETFPDVGGKILGSFTAIQENLTI >OMO89635 pep supercontig:CCACVL1_1.0:contig08653:43547:44618:-1 gene:CCACVL1_07719 transcript:OMO89635 gene_biotype:protein_coding transcript_biotype:protein_coding description:dTDP-4-dehydrorhamnose reductase MVSGDGANGNPKPLAPGLKFLIYGRTGCIGGLLGKICEAQGISFAYGSGRLENRESLLNDIAAVQPSHVFNAAGATGRPHVDWCEFNKTETIRSNVIGALNIADVCSQKGLPLIFYSTGCMFDYDSDHPIGSGIGFTENDASNYSGSFFSKTKGMVEELIKNFKNVCILRVRMPLFSDLTHHRNFIKKITGYERVVNIPNSMTVLDELLPISIEMAKRNLTGIWNFTNPGVLTHNEVLEMYRDYVDPNFTWKNFSLEEQAKVLAAPRCNNELDSTKLKKEFPEILPIKESAIKYVFEPNKKKNKINLA >OMO89643 pep supercontig:CCACVL1_1.0:contig08653:81501:82175:-1 gene:CCACVL1_07728 transcript:OMO89643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTCREDNYNKVTLGVLGNVIASMFGWQVKPFLMIK >OMO89629 pep supercontig:CCACVL1_1.0:contig08653:1819:11444:1 gene:CCACVL1_07713 transcript:OMO89629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPGLGMMSSGGSGAIGGLSSGEVSVSGEQSRQLKAEIATHPLYEQLLAAHVSCLRVATPIDQLPLIDAQLAQSHNLLRSYASQHHQHGHSVSPHDRQELDNFLAQYLIVLCTFKEQLQQHVRVHAVEAVMACREIENNLQALTGVTLGEGTGATMSDDEDDLQMDFSLDQSGGDGHDLMGFGPLLPTESERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTTVLKNWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSQSTIAAVASTTSVSTGKRGGDGLAFVIVPDELTVGRSGPWLGILNDACQHYNVFAVEFDNNYDPKFGDPNDDHVGINLGSVVSFKTANLSVTNISSLHDDHSVHRAWIMYDGDKKWIDIYLGFDGYPIPSLLLLSSPLNLSPFLNEYMFVGFSASTGDLTQIHNILSWNFSSSVKALLSLPSKHVCHKNVAHQVSKHSTGAKRSGSPSNFTIFLCVVGLSTIALLGFYYGGKRRHRGGDSDSSSGTILAFAAKKQKPVPPSKPRRFTISELYIATRRFSKSEILGSNSRGVLYRGTLMPNGRHVAVKRFSTKTLNSSSFRLDWNRILKRISSLVNFCDDHPNLAAIRGWCYDNREIIIVYDYFHNGTLNKWLFGLGVLSWARRVEIIKDIAQTVSFLHSKELFHGNLNTSSIFIDANYRAALGDYGLSLGGGGGCRKVEPFIAKKKADVFMFGVLVLEIVAGKSKVQSQSDETGDEEEIDLLGFAWGMHERGERLKIIDERIDSCVDLDQAVRVIEIGLSCTSIEKNARPSMEEVVQFLNT >OMO89642 pep supercontig:CCACVL1_1.0:contig08653:67922:71625:-1 gene:CCACVL1_07727 transcript:OMO89642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA synthase, eukaryotic MAKNVGILAMEIYFPPTCVQQEALEAHDGASKGKYTIGLGQDCMAFCTEVEDVISMSLTAVTSLLEKYKIDPKQIGRLEVGSETVIDKSKSIKTFLMQIFEKCGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAITMLIGPDAPIAFESKFRGSHMSHAYDFYKPNLASEYPVVDGKLSQTCYLMALDTCYKYFCHKYEKLEGKQFCISDADYFVFHSPYNKLVQKSFSRLLYQDFMRNASSVDDAAKEKLAPFSTLTGDESYQSRDLEKVSQQVSKPLYDAKVQPTTLVPKQVGNMYTASLYAAFASLIHNKNSELAGKRVILFSYGSGLTATMFSLRLHEGQHPFSLSNIATVMNVAGKLKSRHEFIPEKFVETMKLMEHRYGAKDFVTSKDCSLLSPGTYYLTEVDSMYRRFYAKKDGEYKVCENGTVANGH >OMO89636 pep supercontig:CCACVL1_1.0:contig08653:48392:49418:-1 gene:CCACVL1_07720 transcript:OMO89636 gene_biotype:protein_coding transcript_biotype:protein_coding description:dTDP-4-dehydrorhamnose reductase MVFEANGNAQPLTLGLRFLIYGRTGCIGGLLGKICQAQGIPFAYGYGRLEDRESLVDDIAAIQPSHVFNAAGANVDWHWCEFNKMETIRSNVIGALNLADVCREKGLPLIFYSTGCMFDYDSDHPVGSGIGFTENDASNYSGSFFSKTKGMVEEWIKNYENVCILRVRLSLFSDLTHHQNFIKKITGYERVVNIPNSMTVLDELLPISIEMAKRNLTGIWNFTNPGVLTHNQVLEMYRDYVDPNFTWKNFSLEEQAKVLDAAPRCNNELDSTKLKKEFPEILPIKESAIKYVFEPNKKKINLA >OMO89634 pep supercontig:CCACVL1_1.0:contig08653:38583:39696:-1 gene:CCACVL1_07718 transcript:OMO89634 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MVFEANGNRNRKAKRKPIMGLKFLIYGRTGCIGGMLGRICEAQGIPFAYGSGRLEDCESLRNDIAAAQPSHVFNAAGATGRPNVDWCEFNKIETLRSNVIGALNIADVCREKGLPLIFYSSACMYDYDSDHPLGSGIGFTENEPHNFKGSFFSKTKGMVEDLIKNYENVCILRVRMPIMSDLTHPRNTIKKIIGYEKVVNIPNAISVLDELIPISVEMAKRNLTGIWNFTNPGVLSHNELLEMYREYVDPNFTWKNFTVEEQDKVLAAPRCNMELDISKLKGEFPEILPIKESAIKYVFEPNKKIRD >OMO89631 pep supercontig:CCACVL1_1.0:contig08653:20111:21578:1 gene:CCACVL1_07715 transcript:OMO89631 gene_biotype:protein_coding transcript_biotype:protein_coding description:dTDP-4-dehydrorhamnose reductase MGFPANGSSEKPLKFLIYGRTGWIGGLLGKLCESQGIDYEYGSGRLENRLSLESDIATVKPTHVFNAAGVTGRPNVDWCESHKVETIRTNVVGTLTLADVCRDKGLILINYATGCIFEYDASHPIDSGIGFKEEDTPNFTGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLANPRNFITKITRYDKVVNIPNSMTILDELLPISLEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDSSFTWKNFNLEEQAKVIVAPRSNNELDANKLKNEFPELMSIKESLIKYVFEPNKKKTAAA >OMO76816 pep supercontig:CCACVL1_1.0:contig10865:7504:8519:-1 gene:CCACVL1_15402 transcript:OMO76816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSTRGRYSMETRSGVKRKRRPSSMETIESGINDSMETSESGIKDSSIMSAISHLKSEDKEKTEGDIASFSTLPERKPPISALLKRKYEPPQYFEPKPPWKRKSIMSPMRYPKKIGFRKIQPVSLEDFSKKFGLDALCILAIDSFNRQYNTKYEFLKIEDADVRKSGSDRIFYITFQAGQNDTTQTFQTGVYNETKDITEVRYCRLEKDSQKMSFPMLAL >OMO76814 pep supercontig:CCACVL1_1.0:contig10865:962:2092:-1 gene:CCACVL1_15400 transcript:OMO76814 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, gamma subunit MATSNLTMWVSSKPSLSDTSSLSFRSFISPFQLPSQSSAPCNPSRSSSVTPIQCGLRELRDRIDSVKNTQKITEAMKLVAAAKVRRAQEAVVNGRPFSESLVEVLYNINEQLQTEDIDAPLTNVRPVKKVALVVVTGDRGLCGGFNNSIIKKAEQRIAELKQLGLEYTVISVGKKGNSYFNRRPFIPVDRFLEGSNLPTAKEAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSDPVIHTLLPLSPKGEICDINGVCVDAAEDEFFRLTTKEGKLTVERDVVRTETADFSPILQFEQDPVQILDALLPLYLNSQILRALQESLASELAARMSAMSSATDNAVELKKTLSIVYNRQRQAKITGEILEIVAGANALV >OMO76815 pep supercontig:CCACVL1_1.0:contig10865:3182:5146:-1 gene:CCACVL1_15401 transcript:OMO76815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSSFFSKRRLFTRGLHVGKQFSCPKNSEDIVFKAICVNLKHRRWKFLEQVSLSLTNSLVSRVVREFQNSPQLALEFYNWVGEKKGFPPSFTSCYVLIHVLVKSRKFDDALSLMKDLMHVNGMTPLEILDGLLNSYESCDSSPAVFDALVRACTQYGDTEGAYQVIKKLRMEGHFVTIHAWNNFLSHLLKLNETDRFWNMYKEMVSYRYTDNVNTFNLVVYALCKECKLLEAISTFYRMLKSGIWPNVVTFNMIINGACRMGEIEIALKLVRKMELMSGNSVSPNCVTFNSLINGFCKMGKVSVLEEVHNDMIEASVKPDVWTYATLVDGYARKGYLVEALRLCDEMVERGLMPNTFVHNSILYWLYMEGDLEGASSVLADMIDKHVCLDKFTYSTIIEGLCRNGHMVQAFKFHMQLLQMNLIEDACSHNILINYLCKSRNIAGAMQLVGSMFVHGLVPDLVTYGAIIDGYCKVGKLESAVQIYDKMVKVEKQSNMVVYNSILNGLCKEMSMDVARWMIDSLQSMDLPDVITYNTLISGYCGCGDIDEAFAMFMELRKAGKSANIVTYNTLINCLCKFGCIQQAKELMKRMILQGPLPDFITYTILITSSAKNCSPDEVIVLHDYMVLNGVIPDRQTYQAIVSPLLGEENEES >OMP05733 pep supercontig:CCACVL1_1.0:contig05246:1652:1840:-1 gene:CCACVL1_01841 transcript:OMP05733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GARQIVVFILCKTFNKEKPSTFPKIPSEISNCSLLKAKFRKREKPKSVLMAGGEDDKKVKQKE >OMO99732 pep supercontig:CCACVL1_1.0:contig06824:852:947:-1 gene:CCACVL1_03661 transcript:OMO99732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALSFAKSKTIQNSTNGPRKARNKRCSE >OMO81482 pep supercontig:CCACVL1_1.0:contig10162:1382:6898:1 gene:CCACVL1_12388 transcript:OMO81482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MLTITTASPISPPLSPPPAPPITTRQPPCQPINRRHLLLTSLTIAVSSSFSLVDSSIPVASGRGLLQMPPPRLTNRYFLVRAGESEFESFGIINTNPVAKTSVDSGLSERGKKQTVRAALDLKTLEACERNCWIWPSITQRAYQAAEIIAAVNGVSRSYIVPEYSFLDARGLGAYEGKKLEAISEVYESDSISSTIRPPPIDDGTPNESVSDVFVRVTQLMSILETQYSEDTVIIVSPDSDNLSILQAGLVGLDLRRHRDLSFAPGEVRYVDPSSIPTYKQPKSAVYKCLNPPNWSCKVYYEDDHEEDDEDEEVCILLVVDAAIWTFSWAGNEWINGYLEAVFDSEAAAIEEQKPATVNLRNCRDCGAKSGFSGGAMELEIGSSRGELSKIEVDGAFRLAATSSGGKMIVLSV >OMO89562 pep supercontig:CCACVL1_1.0:contig08675:16322:16606:-1 gene:CCACVL1_07771 transcript:OMO89562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSATVLAEARILAFSAIQFQESDVLARRSLYKPDPYPPKPPKPAPPSQPGPPK >OMO89561 pep supercontig:CCACVL1_1.0:contig08675:73:3168:1 gene:CCACVL1_07770 transcript:OMO89561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PILVQFVIGLAKQASSPDDLLCKLEQECGGLPSSSSNSDFRLFAQQLFGRVSHRKPFAENIHQKLEKEAVLLARKQRTYQLILEDADDDDDGFPPETRKAADKQKKRFRKKINESDQEDEDDTIFRSHVKRQRRISLRDDKDDDSDSDSDSEEERLRDQKEREDLERHIRERDAAATRKLMAPMLSRREEEEARRRGKALEHNDIENSRKVSRQDYLKKRQHKELEKLRGEIEDEKYLFDGVKLTGDEYRELRHKKEIYENLKKLLSEEDDNLGEYKVPQSYDQKGFVNQKNRFAVALQSHTDPAVGDTNNPIAQQESWEDHQIGKAIFKFGSKYIKPPTHDYEFVFEDQIKFIKASVMDGDGDGDGDKKFDNHLYLPTKSSNAKLHEDRKNLPIYKYRADLLEAIEKFQVLIIVGETGSGKTTQIPQYLHEAGYTKRGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYAIRFEDCTSEKTVLKYMTDGMLLRELLGEPDLSSYRVLMVDEAHERTVSTDILFGLLKDIARFRKDIKLLISSATLDAEKFSDFFDSAPIFRIPGSRYPVEIHYTKAPEANYLDAAIVTVLQIHVKEPPGDILVFLTGQEEIEMAEETLNRRIRSLGSKVAELIICPVYANLPTEFQARIFEPTPQGARKVVLATNIAESSLTIDGIKYVIDPGFCKMKSYVPRTGMESLLVTPISKASANQRAGRSGRTGPGKCFRLYTAYTYHELDANTPPEIQRTNLASVILSLKSLGIDDSLKFDFMDPPPSEALLKALELLFALGALNKHGELTKVGRQMAEFPLDPMLSKMIVASAKYKCSDEIISIAAMLSVGNSIFYHPKHKQVHADNARKSFHIGNVGDHIALMKVYNSWRETNYSTQWCYENYVQVRSMKRARDIREQLESRLERVGIELTSNSNDLEAIKKAITSGFFPHSARLQKDGSYRTVKSQNTQTAYIHPSSGLAEVRPRWVVYDQLVLTSKAYMRQVTELKPEWLLEIASHYYQKKDVVEDLGSKKMPTWFS >OMP08867 pep supercontig:CCACVL1_1.0:contig03561:179:874:1 gene:CCACVL1_01084 transcript:OMP08867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHEGAATECDDGKPSRKQNRVHSVRRSIELLRKIYVVDPTGNDRLQRYAQHHGSEDHRIAQPPPSRALHATQWVGVGKPKDNQQESRHNDQHGENRADENYLAGWAVCSFRHRDSCGSRCTRLALLLRLKSCQPLHLGDAQSRTTRSGNHVRRKRHRLTTIDRLRSVSPGRMDGPSSSSAGPALRSRLGHQDLRIQWSKLTRTDLTLNPASSNAMALPAQCRLRGASGRNH >OMP06504 pep supercontig:CCACVL1_1.0:contig04910:464:4857:1 gene:CCACVL1_01543 transcript:OMP06504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAKEGTSSSLSEGLAPHDSDEDLKSPPGSPKSSTRKACYAALQSWVSKKFMTGCVVLFPVTVTFLLTWWFIQFVDGFFSPIYAKLGFDIFASLHSASPSVVHWHAADVHSGHDCTYGGSCLCASGDWCAWYRFSYLYFLLNGLHVFGVGLGFITSLLFIFFVGIFASSWLGASFFWLGEWFIKRMPFVKHIYSASKQISAAISPDQNTTAFKEVAIIRHPRLGEYAFGFITSSVILQRDDGDEELCSVYVPTNCLYIGDIFLVNSEEIIRPNLSIREGIEPVYPSISEHHIMFLLCRFRLLDDSFE >OMP10691 pep supercontig:CCACVL1_1.0:contig02026:1015:1074:-1 gene:CCACVL1_00815 transcript:OMP10691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAAKKHKPEKTIWAPATPS >OMP03210 pep supercontig:CCACVL1_1.0:contig06121:10357:10681:1 gene:CCACVL1_02504 transcript:OMP03210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHKELLLLPPFAKPSTNGSELIKSIAALGFRTRFLLQISCCIEIHRHRSYGYTYTYRLAVIAN >OMP03211 pep supercontig:CCACVL1_1.0:contig06121:21053:21568:-1 gene:CCACVL1_02505 transcript:OMP03211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVIGMWQNLKLMEDKHEDVIEDIPELDEAGNTIKPWLVGWLLTEKPFNKQGFMNTMKNIWRLVKAVTIVEMEENLFLFKFQSEIDRDRVIDGYPWKFDNSMLMFAGYSGDLRPDQYVFTKGPFWIRVYDLPMGMHSVAMAKTIGNRLGDLIDVDDTLNEEGSAIFLRI >OMP03212 pep supercontig:CCACVL1_1.0:contig06121:24206:25581:-1 gene:CCACVL1_02506 transcript:OMP03212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTNSGSALKFEIEPFNGTNSFQMWQSTITDVLVQQGLGDALEADKPSAMNDDRWRDIQRKALASVNEVMKDVDKAVLLIKSLTDRYDPVTRALMVGRKTMSLQDVTSAIFEYDKLKETEKKDEENGALTVERGRTNGRDYKNDARLNDSCEEYSDEDVVLMVQEEKKDTRDMWVFDSACSKHICTKKEWFSKLEKCDKSVYMANNGEEKIEGIGSVKLRLHDGSVKMLENVRYVPNFTRNLISLGKLDSLGYGYSRRGGDLKISKGSMIVMKGVENSKNLYELIGSTIRGDGSVSSHQIEKKDVDLPTKKKVTFTDLVKGWSN >OMP03209 pep supercontig:CCACVL1_1.0:contig06121:2549:2629:1 gene:CCACVL1_02503 transcript:OMP03209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKQRSVSTMKFKADDGENVDDDGE >OMP07120 pep supercontig:CCACVL1_1.0:contig04656:245:889:1 gene:CCACVL1_01372 transcript:OMP07120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLQDGRPVDMVFNPLGVPSRMNVGQIFECSLGLAGSLLDRHYRIAPFDERYEQEASRKLVFSELYEAGKQTANPWVFEPEYPGKSRIFDGRTGDPFEQPVIIGKPYILKLIHQVDDKIHGRSSGHYALVTQQPLRGRSKQGGQRVGEMEVWALEGFGVAHILQEMLTYKSDHIRARQEVLGTTIIGGTIPKPEDAPESFRLLVRELRSWLWN >OMO68316 pep supercontig:CCACVL1_1.0:contig12235:5182:6108:-1 gene:CCACVL1_19987 transcript:OMO68316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MLGNNLPSKPAVIALFKSRNIKRMRIYGPDQATLQALRGSDIELMLGVPNEDLRRIATNQAEANNWVQTNVRNYANVRFRYIAVGNEIQPSDSAAQYLVPAMQNIRNAIVAAGLGNQIKVSTAIDTISLGTSYPPSAGSFRAQSRPVLDPIIRFLVNNQSPLLLNLYPFFAYDDTPVISLEYALFTAANAVVSDPPYQYQNLFDAMLDAVYAALEKAGGGSVEIVVSETGWPSAGGRKRGATNFNNARTYNQNLINHVKRGTPRRPGKPIETYIFAMFDENSKPGEEIERHWGLFSPNGQQKYPINFN >OMO93211 pep supercontig:CCACVL1_1.0:contig08119:5594:8093:1 gene:CCACVL1_06588 transcript:OMO93211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQDGTQQSQQLILANNVFLLKHPDVPDIEKVRLKDEVLNSVKSNDMTPYYETLVADKVVELDQSLLDSMRAKNEDEIKKLDEKIADAEENLGESEVREAHLAKSLYYIQIGSKEKALEQLKVTESKTVAVGQKMDLVFYTLQIGLFYMDFDLISKSIEKAKNLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAANLFLDSISTFTTYELFTYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKVPHLSEFLNSLYDCQYKSFFLAFAGLTEQIKLDRYLHPHFRFYMREIRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >OMO93213 pep supercontig:CCACVL1_1.0:contig08119:10151:12125:-1 gene:CCACVL1_06590 transcript:OMO93213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin-like metallo-phosphoesterase superfamily protein MVLVLAIGDLHIPHRAADLPPKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPDLHVTRGEYDEDSRYPENKTLTIGQFKLGICHGHQVIPWGDLDSLAMLQRQLDVDILITGHTHQFKAYKHEGGVVINPGSATGAYSSFTYDVNPSFVLMDIDGLRVVLYVYELIDGEVKVDKIDFKKTATTQATH >OMO93212 pep supercontig:CCACVL1_1.0:contig08119:9160:9575:-1 gene:CCACVL1_06589 transcript:OMO93212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRYELTSQFHRVYSPYIPPSRRHYRVPSQIQLVEVTISVKLTECIRHYCSRDTSETLLPEHDQITRRFDLEDLKDHDLAYETLDDMLSELKFEVDIDSEASDHTNRSKDIWNNCGAR >OMO59384 pep supercontig:CCACVL1_1.0:contig13995:5144:5242:1 gene:CCACVL1_24869 transcript:OMO59384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHAVIALFIGAASNVLFVKSIESLKAVGWIPLK >OMO61056 pep supercontig:CCACVL1_1.0:contig13609:43481:44345:-1 gene:CCACVL1_23762 transcript:OMO61056 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRA-YDG domain-containing protein MVLVRPKPGKNEYPFLKPKLEKQVGKRVVHNGVSFKGVAFTEEWVVEQLGKWVNTTKEIGHVSGVKVGDEFHWRCELSIAGLHHDFQKGIVYMNRMNGQSLATSVVDSGRYDNVEGNALNTFIYCGEGENPYFGRKVPKDQKLVGGNLALRNNFVSKMPIRVIRKVNSFDDSISKQHSDFMAAGSESEGNDCGYKFVYYGLYQVTKYWHERNGASRKKVYRFLLKKIDEKQLEHDLGE >OMO61049 pep supercontig:CCACVL1_1.0:contig13609:4746:4850:1 gene:CCACVL1_23755 transcript:OMO61049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARVRVLNLTPARSSPKTGFPTAPLGNPSSDRDLG >OMO61050 pep supercontig:CCACVL1_1.0:contig13609:5591:5901:-1 gene:CCACVL1_23756 transcript:OMO61050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPDCYSLDPLASNIILSNPLSFRAIVSAKHRDDRSPHGDISPNGLKLLIRLTA >OMO61051 pep supercontig:CCACVL1_1.0:contig13609:19869:22314:-1 gene:CCACVL1_23757 transcript:OMO61051 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein-lysine methyltransferase METTL21B-like protein MAIFFLLFCKNPNLAATTLFLSSSLSSKGLHQFPQPPEAPPTASYEFRKPSFK >OMO61054 pep supercontig:CCACVL1_1.0:contig13609:35480:37305:1 gene:CCACVL1_23760 transcript:OMO61054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MGGWEDNKPVMAMVGVQLCYAGATLFTKAAILQGLSPRVLVVYRQALATLAVAPIAYISRVTINQNMYYEGLDLATSSIASAMPNLVPAITFLLASIFGLEKVNVLSLRSIAKIVGTIICVSGAIFMALFKGPKLLNSQIQPAGKSLIIEWEGENLLLGCVFLLGSACCWSIWLILQVPASASYPDHVSLSAWMCFIGTLQSAAVTLFLEPDIEAWTLHSSFELFSCLFVGILGSGLSFFLQAWCIAQRGPLFSAMFNPLCTVIVTILAALFLHEEIYMGSLIGAVGIIGGLYIVLWGKAEDLQVIRNQTDTESQNDQISTSMKTNLIDESNLQTSYKIDLEEPLLSDR >OMO61053 pep supercontig:CCACVL1_1.0:contig13609:26406:32026:-1 gene:CCACVL1_23759 transcript:OMO61053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSVPINPPLVKRGSLEHRRTPVEMHAYAVYCIGHQEAINQ >OMO61055 pep supercontig:CCACVL1_1.0:contig13609:38470:41451:1 gene:CCACVL1_23761 transcript:OMO61055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MGGWEDKKPVMAMVGLQFCYAAVTLFTKAALLQGLNPRVLVVYRQALATLAVAPVAYISRRKSGGGASMGLRSFSLIFLASLIGVTISQNIYYVGLDLATSSVASATPNLVPAITFLLASIFGLERVNIGSLRSIAKIVGTVICVSGAIFMALFKGAKLLNSQSQILVAGKSLVEWEGENWLLGFAGLLGSACCWSIWLILQVPASAIYPDLVSLSAWMCFFGTLQSAAITVFLEPDLEAWTLHSSFELFTCLYVGVIGSGLSFFVQAWCIAQKGPLFSAMFNPLCTVIVTILAALFLHEEIYMGSLIGAVGIIVGLYVVLWGKAEDLQVIRESQNDQIPTSMKKTNLIANLQTSSNKIDLEQPLLSNKSTVRLAQLHENELLDRVYKKSPNAKTTDVLCGGYALEYFTTR >OMO61057 pep supercontig:CCACVL1_1.0:contig13609:51646:51711:-1 gene:CCACVL1_23763 transcript:OMO61057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADCNVPKKHIQANKETRSG >OMO61052 pep supercontig:CCACVL1_1.0:contig13609:24458:24550:-1 gene:CCACVL1_23758 transcript:OMO61052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKPYEHDFVDHCPALWAQYPSFQPHIVD >OMO53079 pep supercontig:CCACVL1_1.0:contig15340:14353:18938:-1 gene:CCACVL1_28894 transcript:OMO53079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARNGVKNKTNKTIFGASKDGTIYIYVGSSWRENICCFSMS >OMO80628 pep supercontig:CCACVL1_1.0:contig10305:192:2903:1 gene:CCACVL1_12840 transcript:OMO80628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLVLDEADRILDIGFKKTLNAIVSQLPKRRQTMLFSATQTKSVQDLARLSLKDPEYLSVHEEASTATPNRLEQKVMIVPLDQKLDMLWSFIRTHLRSKILVFLSSCKEVKFVFEAFKKLRPGIPLKCLHGRMNQEKRMGIYSQFCESQSVLFSTDVASRGLDFNKAVDWVVQMDCPEDVASYIHRVGRTARYLSGGSSVLFLMPSEMKMLEKLQAAKIPIELMKVNKKRIQPVSGLLSALLVKYPDMQHLAQRAFITYLRSIHIMKDKEVFDVTKLPIDEYSASLGLPMTPKVRFLKGKKGSKMVLEKSSLLGSEISDKENESAIAKEDLLVEDVKDNEAEKDILLKDDIQDAGHGIASEMGDVMPATRVLKKKKLKINVNRPVGNRMVFDEEGNMQTPFAMLADKKSGNILLDQEQKDEYYKKMREELKQVDKEDKLLQRQRLREKRIKQKMKLKKREDDDDEEDLDDISGSEGESDGNRKRKRSKVYFH >OMO94152 pep supercontig:CCACVL1_1.0:contig07955:314:5028:1 gene:CCACVL1_06141 transcript:OMO94152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MFANDVGLHAENELLGVENQHLGPGLGENDAKKVNLGPADGENQNGPLQNANGGLENGLGGKDADINAQDVAEIHVEEFSNRCAYFDHVEKDNSDDEDPQIQYARSQFETVRDKMLDEFERNGFSLPGFRPIDAAEEVSGLENEAGPSNNFNPEEPYYSTDELGDFDSDDDATRNPTEDIEKTPVRQYCRAFLSTDERCDMIDNNMCEAFNGTLLKARKLPVISLFEQIRRRMMVRIAEKRKECTRWKGNLGPNIWKIINKNVDIADKCLVYGNGADGYEILHGEEQFVVHLDNKTCSCRRYTHSGIPCAHAICAIRHKKTNIEDYVSSWYYKEVYELAYGFPLEPLKGLEDWPTIDGEHEQVHPPAYRRKPGRPKLARRKGAHENESNNKPKPAPTKMSRKGTVMTCQNCHQTGHNKRGCPKTNSGNEGASIAETNPTNIPANPLNMPTDLPEEVETSHPQSSSRTKLHPKKKKANVAATVSAAQAAATAEVNYTGQLGIGNATFTANFSSSNDVGSSGNATVNITSAQQVPETVPDNVLETSKRKAMVANASKAKAAAKAKGKTKGKPPRVSGKVVLDESGKVLSTTEPNFRTSPKSKAKLFGNVQGGSASTCNNPTPTPTPTPNWFETVSSASTGGLQQASTSNKRPRMEGYGVYTNPQGMQIEDVGLITQRVHKIVNKKSKSSSEVHGTQESNNSDLDGLIPRSRNNGGLESVWAETNTANPIGMTIVFLDGVFAFSKGILKLDGSVARSRHDLWLSIEKATESKSLVWPTKRRSEVPTLKSQRRSSPS >OMO94153 pep supercontig:CCACVL1_1.0:contig07955:11551:14067:1 gene:CCACVL1_06142 transcript:OMO94153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVFIYLSSSSSGLVELNVLPVATRMSIIMESENINVTLESTTPNAVDKYGTCNLLCHCDACTRRDSKDVKQIILSSEQGDGLKELDELVYKMRESIKHVKGSQVRKQKFIECVKLVSLNSKRGLRQDIQTRWNSTYLMLESSIYFRKAFAHLEISDSNFKSCPSKDEWDRIERLTAFLADFYEIFWTFSGTKYPTANLYFPSIFMTCLSLEEHKNGEDDYMKNMVTRMYAKFEKYWYDFNLILAIAVTLDPHYKLQFVEYSYQTMHGENSEQFSKIPASLYGPFNDYVAANASTSTSKSNTSSSASETQIEQPLSARSSRFETSKKKFLKDYQSFESAKFGAMMEKSKLDLYLEEKL >OMO59051 pep supercontig:CCACVL1_1.0:contig14060:2094:7926:-1 gene:CCACVL1_25128 transcript:OMO59051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMLKNPGFNEDLVKLIRDQKHQDGTAKSISSDLEKELNIYRSGSAPPTVEGSLNSIGGLVNSNGGFLSEEELRADPAYVNYYYSNGNLNPRLPPPLLSREDWRFSQKLQGRNGNDGSNGNRSLFAVQPGFGGEEENGGSGGSGAKWGGGDGLIGLPGMAGLGTRQKSIAEIFQDDMNHSTNVSRNPSRPASRNAFDDGIETSEGQFPNLHHELTAVDALRSNKPAMPSVQNVGSSASHTYASALGISLSRSTTPDPQLAARAPSPRIPPIGGWSGSMDKRSVTGSSTFNGVGSNSFNGVSAGLGESAELTAALSGLNLSANGVVAEENHSRSQTLHGIDERHNLMNLQGDQKHIKQNSYLNKLESEHFNLHSTAHSAKGPYMRKSASSYSNVSSTPTANGAGSPLNHHNVDNMNSPFPNYGINGFSMNPSSPPMIGNQLASGTLPPLFENVAAMSAMGGTGLESRALAGGLALNPNLMAAATELQNLSRLGNHNAGNALQAPLLDPLYLQYLRSNELAAAQVAALNDAMVDRDCNGISYMDLLGLQKAYIGALLSPQKSYLGNPALGLGMSYTGNPLGSPFFPNSPVGSGSPVRHGERNMRFASGLRNMSGGVMGTWHSEAASNLDEGFASTLLDEFKSNKTKCFELLEIAGHVVEFSADQYGSRFIQQKLETATTEEKNMVFHEIMPQALSLMTDVFGNYVIQKFFEHGSPSQIRELADQLIGHVLTLSLQMYGCRVIQKAIEVVELDQKTQMVKELDGHIMRCVRDQNGNHVIQKCIECVPEDAIQFIVSTFYDQVVTLSTHPYGCRVIQRVLEHCHEAKTQQIMMDEVLQSVCMLAQDQYGNYVVQHVLEHGKPHERSSIIKKLTGQIVQMSQQKFASNVIEKCLTFGTPVERQNLVDEMLGSTDENEPLQVMMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRISSILSLNPNPAAQSV >OMO89331 pep supercontig:CCACVL1_1.0:contig08773:4274:8334:-1 gene:CCACVL1_07911 transcript:OMO89331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H2, subunit B MGYWWEGVDESRLLIAPDPGANADASARLLSLRHPKSGLKTSYLLCNGSLQELHWFKQSYGSWFLGDYVSEDGSLYTATPIDPVFIMLPIFEEARMKKMDDPGKFRQLDEILFISDYPGYQHLFSMAENCMQIVCEIKEIGSMKFYRLDDMKVLAWLYHKVCQLKQTLPALDQNYAARDDKDTLADAISILGEYLKDEPWLKLLSNHFKLDLLEATRVASDYEVFPTSIESPIASSNLPKGKNTSEKRTGRNQKQAKKAKVETESRNIKEMFTRASRRN >OMO89330 pep supercontig:CCACVL1_1.0:contig08773:1778:3604:1 gene:CCACVL1_07910 transcript:OMO89330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MDAFSSSFLSTLRPSKLCSPTVTTATPRSTSTLNISSVRIEEKPPTSSSRTKTKTPPQSPKTKTDQTPSPPSPTLPKRVASSVAAAAKKRSVVEPKFSTLIFNTFEDIINNFIDPPIRPSVDPRHVLSQNFAPVDELPPTKCQVIEGSLPPCLDGAYIRNGPNPQFLPRGPYHLFDGDGMLHSIRISKGQATLCSRYVKTYKYSIENQVGSPVFPNVFSGFNGLTAAATRGALSAVRIISGEFNPANGFGLANTSLALFGNRLYALGESDLPYSIRLTSNGDIQTLGRHDFDGKLFMSMTAHPKIDAETGEAFAFRYGPVPPYLTYFYFDANGNKQPDVPVFSMLRPSFLHDFAITKKYAIFADIQIGMNPMEMIFGGGSPVGTDPAKMPRIGVIPRYAKDESQIRWFDVPGFNVIHAINAWDEDDGNAVVMVAPNILSVEHTLERMDLVHASVEKVRIDLRTGIVSRHPLSTRNLDFAVINPDYVAKKNKYVYAAVGDPMPKISGVVKLDVSKGERQDCIVGSRMYGPGCFGGEPFFVAKEAGNPDADEDDGYLVSYVHNENTGESRFLVMDAKSPNLDIVAAVKLPRRVPYGFHGLFVREGDLNKL >OMO57393 pep supercontig:CCACVL1_1.0:contig14383:37121:39480:-1 gene:CCACVL1_25796 transcript:OMO57393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MDPPPLPIAPTATAISATSMHLNYPESVESSPRSRHENPIDDQPLPAVPGARLRLMCSFGGHIMPRPHDKSLCYVGGETRLVAVDRHCSLSAFCNRLSRALLNGRPFSLKYQLPNEDLDSLVSVATDEDLENMIDEYDRLTASSTSSLSSSRIRLFLFFNRPDTAASMGPLLNDIKSETWFVDALNGSGLIPRGDSDSAAAMEALMNLDGETEGQEGAEEKNKQVEGNNLVHHHHQEVQYSLPDSPMVEKSSSFGSSSSSPSMSNLPPIRVRIDQDGGAKLQEQRIGTIEDQFAQMSFAPANNNIHNHKQDDGYGGAALPPHPATAAAMISPAGGSSDNLNRILSDDERSDQGVPVGFRKPPLPLQPLPLPLQQRPSGGYNNLPSPDSVASDSSIASANSISKNIYYQDQSHVVSRDNKAAINPTTSADPSIPSSQSQIQMQQVQDSYALAPQLDQQQHQQYIHYIPHPTVPHAPVAMSSYYPVYAAPSQQQKLHHPADHQQYPAVYMMPVTQVTKPQPYMSMQSNAGVMTMKSNVTDASIVAPTRPLTPPNPSIVAASAAYKEAAPPIYPTNMATLAKPEVAATVYRTAVSSNAQVVQVQQPYVGYSQMQHPPQQVAVNPAANYGYEYPNPTQDQMFYAQHQAPPLPPQYQTMTQAAAAAALADASKQQLPSDSNNQQIRISQPL >OMO57391 pep supercontig:CCACVL1_1.0:contig14383:7877:18415:-1 gene:CCACVL1_25794 transcript:OMO57391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSGLVGSRKSVAMGNAGF >OMO57392 pep supercontig:CCACVL1_1.0:contig14383:23697:27649:-1 gene:CCACVL1_25795 transcript:OMO57392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 28 MATQTHFLLSPPPRPPYLPFYPSPPSLPPKPRSLSISCCLSIKQYSGNDQTVSISSNSKDLESLHVAVAAGGTGGHISPALAIADELKLMNPNSKFLFLGCPNSMESTAIRSAHFEFKSIPAVQLARPFLSLHNLFLPYRLIKSIIKSYKVLSEFEPHLVIGTGGYVSFPVCLAAVLKGIKLVIQEQNSVPGIANWVLSFFADLVFVAFNSTVECFPKKAKCVVCGNPVRSSLKDLASKEESRLHYFPDLVKMEGTSEVKVILVLGGSLGANAVNIALLNVYSQLLMEHKNWYIIWQTGVESFNEMESLVRNHLQLLLTPFLHSMDMAYAAADLIVSRAGAMTCSELLATGKPSILIPSPNVAEGHQFRNASLMADIAGSRVITEDELDSTTLVTAISEILGDESLLAEMSERALKAAKADASAEIAKHILSLVNLSVMETQ >OMO82725 pep supercontig:CCACVL1_1.0:contig09980:40634:42217:-1 gene:CCACVL1_11785 transcript:OMO82725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MCNQTSDMAEKSSQTHLYFDLLPIPNSIKDPEKFQPDDEDEETDFLYPSISEMVTEAKSLFNLAFPIALTGLILYSRSIISMLFLGLLGDIELAAGSLAIAFANITGYSVLSGLALGMEPLCSQAFGAQRPKLLSLTLHRYVVFLLITSVLISFLWMNMFNILVYLNQDQNITQIGQKYLMFSLPDLFTNSFIHPIRIYLRAQGITHPLTVATLIATILHLPINLLLVSHFNFGVAGVAASASISNFFVLISLVAYIWVSGLHEPTWEKPSLKCLTGWKPLLKLAAPSCFSVCLEWWWYEIMIVLCGLLANPKAPVASMGILIQTTSLIYVFPSSLSFAVSTRVGNELGANRPYKARLSAVVAVFVSALMGLSASMFASGMRDKWARMFTSDSEILRLTSIALPILGLCELGNCPQTVGCGVLRGSARPSTAANVNLGAFYLVGMPVAIGLGFYIGVGFSGLWLGLLSAQVCCAGLMLYVVGSTDWDLQAMRAQMLTCDTRIPVDCDDNKMADEEQPLICIMVTSAA >OMO82722 pep supercontig:CCACVL1_1.0:contig09980:10128:14188:-1 gene:CCACVL1_11782 transcript:OMO82722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTTAVTFLAIATSFFIPVFSPPPKSYYHSLFISDSLSDNASISHHLYTLTRRPHHAGSEANAEAAAYVLSVLSSCNTKAHIVSYEVLLPYPASRSLILTRPPPEPPMTFELNQEIYNGDPYEDVANQVLPTFHAYAKSGSVIGPVVYVNYGRVEDYKTLKEMGVNVTGAVVLARYGEIYRGDIVMNAFDAGAIGALVFTDRKDYGGGGGDAKWFPDDKWMPPSGVQVGTVYNGSGDPTTPGWASTEGCERVSVEEVEGSGDVPVIPSLPISGADGETILRSVGGQVAKDDWQGSKDAPTYKVGPGPGVVNLNYNAKQVIATIQNVIGVIEGVEEPDRFVILGNHRDAWTFGAVDPNSGTAALLEVAQRLWKLQRKGWKPRRTIILCNWDAEEYGLVGSTEWVEENRELLTSRTVAYLNVDCAVSGQGFHADATPQLDELLKQAAQKVQDPDNSSQTIYDQWIGSNNSPVIGRLGGAGSDYAAFVQHIGIPAADMHFGGGYPVYHSMYDDYIWMEKFGDPMFQRHVAVASVWGLVALRLADEEFLPFDYHSYVLELQKTTKNLEEEISDKGIIFSPLFKSIEELSKAASKINDEKKEIKEAKGWTSMWRNDHVKVRELNDRLMMAERAFTDRDGLLRRTWYKHLIYAPSVHDDYGSTSFPGINDAIEKAKNLNTAESWHLVQHEVWRVSRVVRHASLVLNGELT >OMO82721 pep supercontig:CCACVL1_1.0:contig09980:668:9193:1 gene:CCACVL1_11781 transcript:OMO82721 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein MGNKIARTTQVSATEYYLHDLPSSYNLVLKEVLGRGRFFKSILCKHDEGLVLVKVYFKRGDSIDLREYERRLAHIKETFRSLDHPHVWPFQFWQETDKAAYLLRQYFFNNLHDRLSTRPFLSLVEKKWLAFQLLLAVKQCHDKGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPYDDPSDFSFFFDTGGRRLCYLAPERFYEHGGEMQVAQDAPLKPSMDIFAIGCVIAELFLEGQPLFELSQLLAYRRGQYDPSQHLEKIPDIGIRKMILHMIQLEPESRLSAESYLQSYAAVVFPTYFSPFLHNFYCCWNPLHSDMRIAMCQSVFPEILKQMMSKKSSDEMGRGPAKSDTLNNKQSRVTAAKQQSQEIVAKQNLSSTNHLLTKREKIENGSVRDLFKLPGNIDSLLGDVEQSNHCLGEKSVTGDAPKSALSQDFKQHDTQSPALLLQNISDSFRKNDHPFLKKITMEDLKSLMSEYDSQSDTFGMPFLPLPEDSMKCEGMVLIASLLCSCIRNVKLPHLRRGAILLLKTSSLYIDDEDRLQRVLPYVIAMLSDPAAIVRCAAIETLCDILPLVRDFPPSDAKIFPEYIFPMLSMLPDDPEESVRICYASNIAKLALTSYGFLIHSIRLSEAGVLNELNLSPKSLASSQSSGRLQRLNSDAQLAQLRKSIAEVVQELVMGPKQTPNIRRALLQDIGNLCCFFGQRQSNDFLLPILPAFLNDRDEQLRAVFYGQIVYVCFFVGQRSVEEYLLPYIEQALGDAIEAVIVNALDCLAVLCKSGFLRKRILLEMIDRAFPLLCFPSQWVRRSVVAFLASSSECLGAVDSYVFLAPVIRPFLRRQPASLASQKALLSCLKPPVSRQVFYEVLENARSSDMLDRQRKIWYNSSAQSKQWEIADLLKRGAGELDSMKYWPDKQQSTGGHRPIDNALQQSGLAEFDDDDAKLRSVGGHTRNASSTIDMRDPLCSEKLQFSGLTSPQLNGINSFMCDKSPEGIPLYSFSMDKRAMGAPPAASDTPLQVNSLGIGSSSIPWMDPVSKSFSLASSVPAPKLVSGSFSITGGSKQFYRVVHEPESRENDQTAYINNKFQDMALSGSMKGSSVTMEDSSASTDLTGLPSFSRTSSIPDSGWRPRGVLVAHLQEHRSAVNDIAISNDHSFFVSASDDSTVKVWDSRKLEKDISFRSRLTYHLEGSRALCTAMLRNSAQVVVGACDGTIHLFSVDHISRGLGNVVEKYSGIADIKKKDVKEGAVLSLLNYPTDDYGSQMFMYSTQNYGIHLWDTRSSSNAWSLKATPEEGYVSCLVAGPCGNWFVSGSSRGVLTLWDLRFLIPVNSWQYSLVCPVEKMCLFVPPSSVSVSTTARPLIYVAAGCDEVSLWNAENGSCHQVFRAANYDSDAEMSDLPWALARPSTKTSSKSDLRRNVNPKYRVDELNEPPPRLPGIRSLLPLPGGDLLTGGTDLRIRRWDHCSPDRSYCICGPNLKGVGNDDFYETRSSFGAQVVQETKRRPLTTKLTAKAVLAAAATDSAGCHHDSILSLASVKLNQRLLLSSSRDGAIKVWK >OMO82726 pep supercontig:CCACVL1_1.0:contig09980:42847:44590:1 gene:CCACVL1_11786 transcript:OMO82726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGGVVEQRGAEVGREKGKDPKTKTKTKTKKTKFETESGEGKSVGSFISFI >OMO82724 pep supercontig:CCACVL1_1.0:contig09980:26622:28135:-1 gene:CCACVL1_11784 transcript:OMO82724 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGKAARWIKSLFGIKSNSNSSGDRKDKKNGGIGQSGRDTSGGGLCHNPTTIPPNISPAEAEWLRSYYDETEKEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRGTMFGGGHERWAAVKIQTVFRGYLARKALRALKGLVKIQALVRGFLVRKQATATLHSMQALIRAQATIRSQKIRARKSMVRISYNSNLERFDDTRSEQTVSIHSRRLSACLDSSSGINIDESPKIVEVDTGCRPKSRSRRTNTNTSVFSDFGDDPFYPTMSSPLPTRVPVPARLSIPESRHFQETTDWGLTGDECRFSTAQSTPRYINSCGGSNIAPPTPAKSVNCGDNYLFRHYGNFPNYMANTQSFKAKLRSHSAPKQRPEPGPKKRLSLNEMMESRTSLSGVRMQRSCSQVQEAINFKNAVMGKLDRSSELQRRW >OMO82723 pep supercontig:CCACVL1_1.0:contig09980:18610:20378:1 gene:CCACVL1_11783 transcript:OMO82723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MTGTQVSEQQFMQWVKFVGSLKHSIFKTAKNKLFPSYTLHVAKNPAAGDFTKIQDAIDSLPFINLVRVVIKVHAGVYTEKVNIPPLKSFITIEGAGADKTIVQWGDTAQTPGPKGQPLGTYGSATFAVNSPYFIAKNITFKNTTPVPPPGAVGKQAVAFRISADTATFLGCKFLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNGLSLFEGCHVHAIATLTGALTAQGRGSILEDTGFSFVNCKVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPKGWYNWGDPNREM >OMO62882 pep supercontig:CCACVL1_1.0:contig13132:10532:10615:-1 gene:CCACVL1_22589 transcript:OMO62882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPYFSQVTAAEWSRMRNPSHFGVYL >OMO62883 pep supercontig:CCACVL1_1.0:contig13132:12124:25806:-1 gene:CCACVL1_22590 transcript:OMO62883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDKKPVKPSSSRASGIRTLSDRNRRSGPDSDSDSMEKTVMRPMIVASLINSHMILIAFSAGPASYEFANKYGVAVGH >OMO69862 pep supercontig:CCACVL1_1.0:contig11946:2205:8171:-1 gene:CCACVL1_19222 transcript:OMO69862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNPVVQLESSFPDQASSFSYGSIKCVASNCTPNSKSSLELRREIAVLEAEILHLERYLLSLYRTAFEEHIPALSNVSRTHLECKTELPASSVVNELHQNLEPCVHKYDLANHEQASPAYDPFVACNQINTATSARDEKISDSGHRSLADHFGTSQMDCTPDRLSENIVRCISSIYCKLANVPQANPCLSASPSSSLSSSSIFSSKTPCDSWSPYYNKESIGKSEFQVLKEQSGRHAGAAVIEVVNLHLDNDSFNYAAVMLENFRSLVRNLENVDPRKMKREEKLAFWINIHNALVMHAYLAYGTNKSTSIMKAEYNIGGHHVNAHIIQSSILGIRPHPSAQWLQALFSPGRKSKVTSTKHLYALEYPEPLVHFALSLGTYYDPVVRVYSAENIFRDLKLAKEEFIITSVYIHNHHKEMKIFLPKLVHYFAKDMSLDMKNVLETVSEFVSEEQRKCIEQCMKGRPEKYIHWLPQSSTFRRQGKVRLTKWYSPYSQKQRSKIIRELSGIILSRRPKLCNFIEWSGFKVVYRRYAGLYFCMCINQDDNELEILDIIHHYVEILDRYFGSVCELDLIFNFHKAYFILDELLLAGELQESSKRTVLRLVDGQDSLVEVAKEEASLVSNIIAQATK >OMO69863 pep supercontig:CCACVL1_1.0:contig11946:25997:26719:-1 gene:CCACVL1_19223 transcript:OMO69863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTMIDLGSQRGPLHIHIMDTATSVECGREVRFRRSFRSLVECMVPCCGFQPSPDDSVSSDGESSPTHHGSSTSTVTGTFFGYRKGRVSFCLQDHTRSSPLLLLEFAVPTAYLAREMQYGLLRIALECSDKNINVGSSASSCSLYNVPVWSMYCNGRKVGFATRRQMSVNDAAVLKLMQSVSVGAGVLPLPSSTEEDGGDLMYLRATFERVVGSADSESFHMLNPVGSTGQELSIFLLRS >OMO60337 pep supercontig:CCACVL1_1.0:contig13736:21632:29783:1 gene:CCACVL1_24222 transcript:OMO60337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of G protein signaling MASCAVDGGCPSDYVAVSIAILSMIFLIARSILPFLIHKVPTAKGSAFWIPVIQIIASFNFLLSIVMAVNFLKYKKSRWWLSCYVWAVWIEGPLGFGLLMSCRIAQVFQLYFVFVKRRLPPIRSHIFLPLILLPWIAGAAFIHMKKPLNYRCHMGTYWIIPVVFLHSFYVAVLVGFTGAIRHIEFRFDELRDIWQGILVSASLIGVWVSAYILNEVHDNISWLQVASRFLLLITASILVLVFFSISISQPLFSQISLRKREPLEFKTMGQALGIPESGLLLQREQAVVTDPNEPLDKLLLNKRFRQSFMAFADSCLAGESVHFYEEVHELGKIPIDDPVRRIYMARHIVNKYIISGAAMEVNISHRIRQEILTTTDLTHPDLFSNALNELIQLMKMNLAKDYWSSMYFLKFKEEASMSNSHEMEQTTGYNFSPRAPKNLKKYGSWALITGATDGIGKAFARQLAHQGLNLILVSRNSNKLETVSSEIQAEVPNIKIKIVAHDFSTDDVSEGVKLIEEAIKEAEVGVLVNNVGVTYPKAMFFDEVDEKVWMEIVKVNLEGTTWVTRAVLPGMLRRKRGAIVNIGSGASVVVPSHPLYTIYAATKAYVDQLSRSLYVEYKLCGIGVQCQVPLYVATNLASKVALIEKSSLFVPTPEDYAEAGIRQIGYEARCTPYWSHSVQWCFARLVPDAFLDAWRLSIGIRRRN >OMO60338 pep supercontig:CCACVL1_1.0:contig13736:36579:39961:1 gene:CCACVL1_24223 transcript:OMO60338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MTSESSDFTVILVVTTLGFISLTKTILGFLKWVRLTFFRQPKNLKDYGSWAIVTGCTDGIGKALAFQLASKGLNLILVGRNPSKLKTTSDDISERFGAKVETRNVVIDLAESSGAEIAEKIGNAIQGLDIGILVNNAGLSYPGARFFHEVDSELTDGILKVNIEAATWITKAVIPVMLKKKKGAIVNVGSGSSGGICSFPLYTVYAATKAYLAMLSRSINLEYKENGIDIQCQIPLFVATKMSRLKRASLFIPSAEKYSKAMLRSIGYEHLSVPYWPHSLQWSILSALPDSFKDQCIFDYFLGMRKRMMLKDSQRIQTNAKQTS >OMO60347 pep supercontig:CCACVL1_1.0:contig13736:93803:97513:-1 gene:CCACVL1_24232 transcript:OMO60347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MALAFDEYGRPFIILKEQESKTRLRGIEAQKANISAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAERLLERGIHPIRIAEGYEVASRIAFEHLERIAQKFDFGPANIEPLVQTCMTTLSSKIVNRCKRSLAEISVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGITVDKDMSHPQMPKQIKDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRQQEQKYFDDMVQKCKDVGSTLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGKAGLVRERSFGTTKDKMLYIEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADKYPGVEQYAIRAFADALDAVPMALAENSGLQPIETLSAVKSQQIKENNPHCGIDCNDVGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISPSEY >OMO60340 pep supercontig:CCACVL1_1.0:contig13736:46793:52743:1 gene:CCACVL1_24225 transcript:OMO60340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPDSNSGADGSTVYNTVFIDTSLDTHLAMIVSDSDTVSDLKKKIMHEHPLCFPNIGDIKINALKVKRKGYLYHLSDSMFVKSAFDGVSKSWFLSVDASGADECHENHNSRKPDTGNTITCLGITTNNSSADVVDLLPDGPSKRLRNIKDSSLRQDENNHLAEQTSAPQPLGFGNSAEANSEDLPMEVDQTADSNSKVLFPVTNIGSRPKVQDQDVANKKISDDLPASVAASVLKEKHKTKKRKKDAIHDHAVKENGASVAESGKDAMELDNVNKRSVVVNEMKLGNQIPVDGTSRSVSSDRNKRPATRKRIAKKETEVTGEHLEFETNNDKDVCLSEQENNLAEKSSQPGLASQQKTEKKAGTLPDITTSEYSSGQKLGSTSANFEHNAKSVKDSKRKKRIKQKTNPSEVGSGITFAKDVDVDTFQAVEAIIHKDLGSRADPGFVLGANTESGLLSSKEMQGVSKRNRAPHSEGDEDMVDANDGNTESKNEAPETDVASVIKRRDSMELKASHVASHPIAVSQEEISHQNDIGVSGEGNQSTTLEDIFVKPKKSNKKMKKSKKTEDPVVGTEVLDATDDRDRAIDIVPLERPNSLIGDHLSDNAEKDGTADGKEASKRDTSEYSPSVTDMGADDVIRVVLDSLQQDNNSPANAENLDKESSRKKRKKKSSTIVDLPELQEKDDVDHQDPTIPAAAIDIVPLERPNSLIGDHLSDNAEKDGTTDGKEASKRDTLEYLPSVTDMGADEVTGDVLESLQQGNNGLANAENMVKKSSRKKSKKKSSTIVDLPELQGKDDVDHQDPIIPAAAEYFSEASVLSKSTRKTKVVKSSSAAQSNGSDLVYNKNTGDSISPVQTQLESSQNTLTVNHEGSPMQDVINGDDPKNTHLVDDNNSVRSQDQHEIVASGEILVDKVTDKKGVETEVKGKKKRKPDVHPGGSTPDLLTQLSNGKHKKAKPQAAKTSSIQSQRSSSMVEPYSSKVQSSKTLDHEGRPIQDVVNKNQPKSTHVVDAIKSIEVCSESEKIKSHQQTEVVDSGEKLVDEMLNGNLLKKEKPQAAISSSIQSHRSSSKVEPHSSNVQSSKPPLTNSGSAGKKSLQSHNADKINSIPKVAQRPIDINSSKVHTGLEGKNAPAASTSTLKRSKNTINLNKGSNGRQSHLGIEKGTRSNNGKVMNSLENKKSLLAVAGSIFKHDDKESSDDEDGVDNSDNSTRTPSSFNDDSDGDISSSRNEREGDGRNGNQPGCSSPNNLPFKDFIRTTSAYKRALLRASQSEVDSQPDEIVPDSQPNL >OMO60346 pep supercontig:CCACVL1_1.0:contig13736:89467:91138:1 gene:CCACVL1_24231 transcript:OMO60346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase/Amb allergen MGIQFSLIFLLFLSPTFISSSPVQHPELVVQEVNESINNATMARRNLGFLSCGTGNPIDDCWRCDPNWEKNRKRLANCAIGFGKNAIGGRDGKFYVVTDPSDDAVNPKPGTLRYGVIQKEPLWIVFKRDMVIKLKQELMMNSFKTIDGRGVNVHIAGGPCITIQYVTNIIIHGINIHDCKRGGNAYVRDSPTHFGWRTISDGDGVSIFGGSHVWVDHCSLSNCQDGLIDAIHGSTAITISNNYLTHHNKVMLLGHSDSYKQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWLMYAIGGSANPTINSQGNRFLAPNNRDSKEVTKHEDAPQSQWKNWNWRSEGDLMLNGAFFTPSGTGASSSYAKASSLGARPSSLVSSLTSGSGVLNCKKGKRC >OMO60339 pep supercontig:CCACVL1_1.0:contig13736:43141:45598:1 gene:CCACVL1_24224 transcript:OMO60339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MADCFFETLKTQPLWVLVLFTIGSLSLLKFSFVFLKWVWVNFLRPGKNLKKYGSWALVTGPTDGIGKGFAFQLARKGLNLVLVGRNPDKLKDVSDSILTKYGKIQIKTVVVDFTGNLDEGVKNIKEVIEGLDVGILINNVGISYPYARFFHEVDEELLRNLIKVNVEGTTKVTQAVLPGMVKRKKGAIVNIGSGAAIVIPSDPLYAVYAATKAYIDQFSRCLYVEYKKSGIDVQCQVPLYVATKMASIRRSSFFVPSTDGYARAAMRWIGYEPRCTPYWPHSILWGLAYSLPESVVDAWRLRFCLGIRRRGQLKDSRKQE >OMO60343 pep supercontig:CCACVL1_1.0:contig13736:60498:61091:-1 gene:CCACVL1_24228 transcript:OMO60343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbY MAASVATMAMLNAKCLSINSTKMMNPTKPTSKPISLLSIQNLPKGLTISKPDLPSALTGTAIAGAFFSTLSACDPAFAAQQIADIAADGDNRGLALLLPIIPAIGWVLFNILQPALNQLNRMRSTKGVIIGLGLGGLAASGFGSTPEASASEIAMIADAASDNRGTLLLFVVAPALLWVAYNILQPALNQLNRMRSE >OMO60345 pep supercontig:CCACVL1_1.0:contig13736:77233:79960:1 gene:CCACVL1_24230 transcript:OMO60345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation, RCC1 MDATTSGTPTIQYHNIPDQPITAIVVAAPLPTFQRQVRHCFGDSTPGEFPLAANPSIVLHVLTACNLDPQDLAKLEASGFFLNPIATCSFFRQPANFAPDYELSISELAALDMCQKRAIFKPMTDEERQSLKQRCGGSWKLVLRFLLAGEACCRREKSQAIAGPGHSIAVTSSGVVYSFGSNSSGQLGHGTTEEEWRPRQIRSLQGIRIIQAAAGAGRTMLISDAGRVYAFGKDSFGEAEYGVQGSKVVTTPQPVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGKDNKLGHQTEPNDVEPQPLLGALENVPVVQIAAGYCYLLCLACQPSGMSVYSVGCGLGGKLGHGSRTDEKQPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVESLSKVKAVHIATGDYTTFVVCDDGDVYSFGCGESASLGHNTAAAAAAAEGQGNRHANVLSPELVTSLKQLKERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGIELVNNQTERGNPERVDIDLN >OMO60344 pep supercontig:CCACVL1_1.0:contig13736:64734:72298:1 gene:CCACVL1_24229 transcript:OMO60344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane insertase OXA1/ALB3/YidC MAASLSFRPNMPLALSPFVDRTGLPNPLLNRTQFSGFSAQRPFLRGSLHVAKFGFKPGLFPDPDAFHGVFSELFSRAENLFYTVADAAVSASDTVTTTTTATKQNNDWLSGITNAMETILKVLEDGLSALHVPYAYGFAIILLTVLVKAATFPLTRKQVESAMAMRSLQPQIKAIQQRYAGDQERIQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVADEGLLSEGFFWIPSLAGPTTIAARQNGSGISWLFPFVDGHPPLGWSDTLAYLVLPVLLVITQYISVQIMQSAQSNDPNMKSSQALTKFLPLMIGYFALSVPSGLSLYWLTNNILSSAQQVWLQKLGGAKNPAKQLNDDIIKQEQARLQKSLSELNATKTVAKQEEKLTPKGPRPGERFKMLKEQEAKRRQQREEEARKAQEAAAKADQSANEGLEIKGSIIDKENGAGIGLSTEKNENFQPVSGLDSSNGVVNGDISNQDLKEDQKKASSSSENNDSLEHVEKNGTEPDIDLATITDRMAVKKAVQCGNVEDAIEKKELAPRREENQSFLEELERTVALLAFEDASNCPVGELMDISQRLKTASEVNAAILTSQSLLECHFL >OMO60342 pep supercontig:CCACVL1_1.0:contig13736:58477:58665:1 gene:CCACVL1_24227 transcript:OMO60342 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORF-77 peptide MSAPGRRVYYNPSRLHKNDAKDHHDVARRDENGAKDKPTSRLNDDDDDNANKTALEHMYFVV >OMO60341 pep supercontig:CCACVL1_1.0:contig13736:54624:55430:-1 gene:CCACVL1_24226 transcript:OMO60341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MKTAKTNKPLILKYFLISLFLSLLLLFLFFSLQPAAPRTPATLISTTNVHPSSSSSASSAVSSSDDDGLKIRPGYSSYDSYIQRQLNKTLNPKLRKIWTTRDWDRKIQVFSKFFDELKRENLLSNSSKALSIGARVGQEVEALKRVGVSDSIGIDLVPCPPLVLQGDFHNQPFDNETFDFEFSNVFDHALYPHKFVSEVERTLKPNGVCVLHVALSRRADKYSANDLYSVRPLVKLFQRSELVRVRKVDGFGLDTEVVFRKKNSIQRS >OMO65705 pep supercontig:CCACVL1_1.0:contig12625:58065:58775:-1 gene:CCACVL1_21430 transcript:OMO65705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKHTLLYTTLELETTLISAKEEITKREYELVHLKDVLSKTMKERDEAQAKCQKLMLEKFILEQQLQHKEQQQQNQQQETTSLSGVSSSEDESKPSDSSIKPISISSPNLDSICSLVQPELPESSPLPEEALKLAANRPLPEKGKLLQAVKDAGPLLQNLLLAGPLPQWQHPPPQLTSIDIPPVAISSPKQQHFIHQDSFNNLNACLTKKRCLENTDASDSSSPNTKYQKLVLH >OMO65703 pep supercontig:CCACVL1_1.0:contig12625:584:9398:-1 gene:CCACVL1_21428 transcript:OMO65703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDVLSLPSSLLPPSRPPLTPAKPRYIPTDPLLRRRLHPQPDLFLSRPFSFPSSPKQPLHCSSSSMPFQLSSSSSSSQVLLLLFFLYFGFSTYETYRHIQLARKLKTYNLQDNLLYSRAFWVSKSIIAWNVDVVDGSCYLYASKVAALSVTDDGIQGHDVKIKLEEDCSGLPQNVIEKFPHIRDYRAFKVPPALDVKNLLKCQLAVAAFNSHGKCSNATGLQLPGILDELFSYDGPLGALYSGESVSLYLWAPTAQAVHAHIYKDPVGGGPLEIIPLEETNGVWSTKGPKSWEGCYYVYEVSVYHPSTLQIEKCYANDPYARGLSSDGRRTLFVNLDTNGLKPEGWDELADKKPDIDSFSDISIYELHIRDFSANDNTVYPEFRGGYLAFTLEDSAGVLHLKKLSNAGITHVHLLPTFQFAGVDDEKENWKHVDSKIMEKLSPDSDEQQMQITAIQNDDAYNWGYNPVLWGVPKGSYASDPNGPCRIIEFRKMIQALNHIGLCVVLDVVYNHLHASGPVDKDSVLDKIVPGYYLRRNTDGFIENSTCVNNTASEHYMVGRLIVDDLLSWAMNYKVDGFRFDLMGHIMKRTMVKAKDALCRLTKERDGVDGSRIYLYGEGWDFGEVAKNGRGINASQFNICGTGIGSFNDRIRDAMLGGSPFGHPLQQGFITGLLLEPNGHDHGTKAVEKEMLASAKDHIQVK >OMO65704 pep supercontig:CCACVL1_1.0:contig12625:11000:19276:1 gene:CCACVL1_21429 transcript:OMO65704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIENERQSMKQLKDVFHEHHQPLVFNKEAWDPKCYRSIGPSFQLLTPSDAIPCEPPQLSSKPIPPNPKTLDPNPTIFGRTRPNYH >OMO65706 pep supercontig:CCACVL1_1.0:contig12625:61626:61814:1 gene:CCACVL1_21431 transcript:OMO65706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQACDKAYGSALESLKNGLNLVKGSAKTQDVKASVDAANKAIGDMAYAESGRASSLLSLAI >OMO65707 pep supercontig:CCACVL1_1.0:contig12625:62250:62411:-1 gene:CCACVL1_21432 transcript:OMO65707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGAGPLIQTLLVAGPLPRWRNPPPLQSFLFRIPHVSINYCDSKPANQKPNP >OMO65708 pep supercontig:CCACVL1_1.0:contig12625:72494:74964:-1 gene:CCACVL1_21433 transcript:OMO65708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGSLICNEPRKGLRDLTNFQQPRVLKKGGKPQPQPASLCGKDFIDKLQQENMMLMKVLVDRNKVIESSGIELNKLRINLERFQQQNLLLAQANSQMLALKVLKHELACTNAVLNTMKSEARTKKCDKAGESLKEDGEDKPCNANSSSKAGPSNIKPVQAKEGVDNKRVCLRRQSARFRAEEPKVTEDAFDVDDTKFIVSSSCDDKLHESSQSSFDSSVKKVHEEEGSETKRVCLRRQSTRFKSQEPKLIEDAFDVDDKKLLVSSSCDDKACESGPISCESSIKKEDEEGSYIPRNEALKLRTSVGRPLRRAVEKVQTYKEIPLNVKMRREV >OMO54669 pep supercontig:CCACVL1_1.0:contig14917:2233:2355:1 gene:CCACVL1_27679 transcript:OMO54669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGSKKGEVTNIGKSTYVIERQMEAKPRQQQSRSEESPR >OMO54671 pep supercontig:CCACVL1_1.0:contig14917:21483:23930:1 gene:CCACVL1_27681 transcript:OMO54671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MNNPTFKRQNTCLVCGKPGHYAAQCRNRKMGNDRPARPRVNLVEADEPDDIIATVVSQANVVPNDVLHVPNIRANLISVSLLGKVGVKVSFESDKIVMTKNNVLVGKGYCNQGLFVLNIVNVIMNGNASSSAYMVDSMDLWHARLGHVSISYIKKMQSLGLISNLGKLFDKCLICAETKLTKKTCASVNRETELLGLIHTDLGDMKQCMFRGGKQYYVTFINDCSRFTKVYLLKHKDEAFDMFLKYKAEVENQLNKKIKRVRSDRGGEYVLLNDYCEKEDIIHEVTPPYSPESSGVAERKNRTLKEMMNAMLVSSNAHDNLWGEALLSACHVQNRIPYKKTGKTPYEIWKGCAPNLKYLKCGGCLAKVLLPDIKKRKVGSKTSDCLFIGYAEHSAAYRFLVLKSDAIECNTIVETKNAEFFEQIFPLRTDTISHAPFHRDDLTVVNDDLRRSKRQRKESSFVTRISSIRVLIALASIYKLVIHQMDVKTTFFNGDLEDCMMQPEGCIVPSQENNVCKLLKSLYGLKQAPKQWHEKFDKVLTCDGFTSVEVDRCVYIKSVGNDCVIISLYVDDMLIFGTCIDVVNETKRFLTSKFDMKDMGEANVILGVKIIRCDGGLMLTQEHYVERLLKRFGHFDVKPMSTPYDTTTHLKKN >OMO54670 pep supercontig:CCACVL1_1.0:contig14917:8488:10500:1 gene:CCACVL1_27680 transcript:OMO54670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAEEIKIDVASATIKDFDGEREALLRKFYGLVCNKFLLLAIASIVFFIPSHAIPLPTDVINHLYFYFCVILSAAIVIPTYGKYDPENFWEQELWIIIKIVFWELVLVPSLFKFKDEGMNLLEAVIATSAVVFMLTLITIWTSKKGFGLLIRDVKSLLLIPIMVLLVWVLILEQQLIVLPQLLKKLWALRLGLLLAWDEGYRNVECEIDASIVLQLIESADAGLHPLGSLIIDIQELFKRIWECKCLHTLREGNFSADVLSKMGCSLEEEYVVYRQPPAEVKACLQADYLGVSYPRRFKL >OMP05457 pep supercontig:CCACVL1_1.0:contig05379:2507:2635:1 gene:CCACVL1_01895 transcript:OMP05457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKSSPRNTDPKALFPEESKSWTKLCMKQARPYKATPKKDFSAR >OMO53842 pep supercontig:CCACVL1_1.0:contig15149:14245:16187:-1 gene:CCACVL1_28296 transcript:OMO53842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 5 MQNAAFESVFRTMGTSKRCSILLPLVFFIIFSENSRTTVFGFTGNNENSELRVHDLEDDDDWQMVGKNGNQFVVNGQPFYVNGFNTYWLMVFAADQSTRGKVSELFQQASSVGLTVCRTWAFNDGQWRALQKSPSVYDEEVFKALDFVVSEAKKYKIRLILSLVNNWDAYGGKAQYVKWGKAAGLNLTSDDEFFSHPTLRSYYKAHVKAVLNRVNTLTNITYKDDPTIFAWELMNEPRCTSDPSGDTLQSWISEMAVYVKSLDAKHLVEVGLEGFYGPSAPARTQFNPNSYATQVGTDFIRNHQALGVDFASVHIYADSWISQTISDAHLQFTTSWMQAHIEDAEKYLGMPVIFSEFGVSTKDPGYNSTFRDTLIGTVYKTILNSTKKGGSGAGSLLWQVFPDGTDYMDDGYAIVLPKSPSTSNIISLHSTRLAIFNSMCSWKCHWGCKKKDALETVLYHDEL >OMO53840 pep supercontig:CCACVL1_1.0:contig15149:1514:4115:-1 gene:CCACVL1_28294 transcript:OMO53840 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRC-barrel-like protein MCNCIIWSPPSPLPFSSSIIRLGNSTLSNSQIPATHFPIIRNNGRLQLIQCTMKPKSGPEAEGSGELKFEDKGSDDLELKRLRTDASLEKIGDKEEFGSESEKGSGESRDELVKMESRKKRQLMKRSNMVAKQVISIQSAQSLGFVSQLWVDTTSWLVLVVEVRPSLLAGESERFLLQDINKVGDVVLVEDESVMENEFKMIRLDTLVGYRVVTPGNQNIGKVRGYTFNINSGAVESLELDSFGISIIPSSLVTTYALLVEDVLEVIADTVIVHEAAASRIQRLTKGFWDDQNAGISIDDDTEYVDERPARSNTRRGSRGRKSRSKMRDADDDWELPMDYL >OMO53841 pep supercontig:CCACVL1_1.0:contig15149:7331:12663:1 gene:CCACVL1_28295 transcript:OMO53841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFMNSTTTGASAVSCISGNKNNFFFWILFCLLPKFLGTPIRYVNFIVTEAIDRSPSVVAGLSKSDTCKPFDAQLLFPVSPLEVVVPDQAELDFSDVFGPLPVQASIEVNCDGLDNIVSTAVGSELVYDEPAVVYSRSHSLLGPSSRVCQLKLSKLTLCETGDSVDIVECFKGETISEVEEHSFGDCDDKSLEDVDGDHDKIHSIGIEDFEILKVVGQGAFGKVYQVRKKGTSDIYAMKVMRKDKIMEKNHAEYMKAERDILTKVDHPFVVQLRHSFQTKYRLYLVLDFINGGHLFFQLYHHGLFREDLARIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHVVLTDFGLSKEFDENTRSISLCGTVEYMSPEIITGKGHDKAADWWSVGILLYEMLTGKPPFVGGNREKVQQKIVKERIKLPAFLSSEAHSLLKGLLNKDASKRLGSGPTGGEEIKRHKWFKPINWKKLEARQIQPCFRPEVAGKHCIANFDKRWTDMPLSFSPASSPKTDVNPFSGLTWIYLTARPGVLLGAIDAYLLAPLQQGLDSLTGRRSLKRADFLVGDKLGEGSFGIVYSGVLVPKNVSVEETSVPKRGRRKALDLDGRFKEKVILKKVKVGVQGAEEFGDYEEWFNYRLSRAAPETCAEFLGSFVADKTNSQFVKGGKWLVWKFEGDSTLADYMKDRSFPLNLESIMFGRVLKGEESIKRNALIIKQIMRQIITSLKKIHDTGIVHRDVKPANIVVTKRGQIKLIDFGAATDLRIGKNYVPDRTLLDPDYCPPELYVLPEETPRPPPEPIAALLSPILWQLNSPDLFDMYSAGIVLMQMAIPSLRSVAYLKNFNSELKSVRYDLNIWRERTRLRPDLTILDLESGRGWDLATKLISERSSLRKGRLSAAAALRHPYFLLGGDQAAAVLSKFSFN >OMP02509 pep supercontig:CCACVL1_1.0:contig06236:50:1463:1 gene:CCACVL1_02783 transcript:OMP02509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGQALGELLQLNIIGHRHGLSVEETKDLWVQADIDGNAVVDYKEFKQRIWNPSWSEQRDNTTMKSKMEVLIDIIRRNKLLVLV >OMO73223 pep supercontig:CCACVL1_1.0:contig11281:9893:14298:-1 gene:CCACVL1_17389 transcript:OMO73223 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGVSGKWIKALVGMKKSEKSPSSEKEENRAASSKFRHRRKHSVEFDTSKLQEELDQSGTSPAGDGNAHAAADAAGSPSGSLEVHDAALNEQAREEWAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRLALESQTAQQKLQQQLANEARVKEIEDGWCDSIGSVEQIQTKLLKRQEAAAKRERAMAYALAHQWQAGGRQQAVPAGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVMIREDGLAEGKNGANSQIKSATKKPAASNLHANLSSQKTGPSHSDGSDSPPGKSVSVLEAVNALSSKPKSKPIPEELGEEAGSKPVISSRSHSNPKERSIQSDKQAKKRLSLPNNGGGTGAQITKPGRTSAKVTQSSHKPVKDRSKFNGRGDSNPTKAVAQAVNM >OMO74314 pep supercontig:CCACVL1_1.0:contig11143:20937:21767:-1 gene:CCACVL1_16839 transcript:OMO74314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFLKIYKFFLHGLLKVAAGLTPQTIEIEPGTVMNVWVPTQTQTTTKTKKPAVVFLHGFGFDGILTWQFQALALAKDYSVYVPDFLFFGGSVTDKSERSVEFQAECMAKMLRKLGVEKCTLVGLSYGGMVGFKMAEMFPELVESVVVTCSVMALTESITRDGLDRIGFSSWPDYLLPVNVKGVDTLLQVACYSFPKLPNWIYQDILEGNNNYRKEKVEMLTALVISDKEFTIPCYHQVN >OMO74313 pep supercontig:CCACVL1_1.0:contig11143:13441:13515:-1 gene:CCACVL1_16837 transcript:OMO74313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKVAHGARKSQERSAKRRNLKT >OMO74312 pep supercontig:CCACVL1_1.0:contig11143:8836:12499:1 gene:CCACVL1_16836 transcript:OMO74312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLASKRSKREDVDLEDFDDSEDEELMVNGEGEDEDEEDTEDEEEEEEEEEEEDDDEEEEDVEEEEEELEEDSDDDDDEEDEDDEEEEEGGNKDAEMEELEKVYEELHHQEQNILRNLKRHKDEDVQKGQAVKNQKALWDKTLEFRFLLQKAFSSSNRLPQEPIRSSFCASDEGVSAAYSDLLTSSKKTLDSLLELQEALLENNPSITQSADGNSGQPSKKLSRDSKNLDMEDDEEWLRISQMNKRIASFRDKAIDKWQRKTEVTTGAAAIKSKLKAFNQNISEQVATHMRDPSRMIKQMQQRRSTIGIFGAVTEGTNNAHAEEAHPEGDPELLDDSEFYQQLLKEFFETVDPTSSETAFYALKRLQTKKRKIVDRRASKSRKIRYHVHEKIANFMAPEPMNLPPMAPKLFENLFGLKTQKPNAEV >OMO52810 pep supercontig:CCACVL1_1.0:contig15428:1605:1679:-1 gene:CCACVL1_29075 transcript:OMO52810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGGMSCEARSSLGNITMQFFVHNVS >OMO56952 pep supercontig:CCACVL1_1.0:contig14458:26614:28207:1 gene:CCACVL1_26097 transcript:OMO56952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MAELNSASVTRMFRVPERAKLHMAMTVFQLGYAGNHVIMRVALNMGVSKLVFPFYRNILALLALAPFAYFLEKKKRPPLTISFLIQFFLLGFIGITLNQGFYIFGLDNTSPTLASATENSVPAVTFIMAALLRMEQVNLTRKDGIAKVIGTLASVAGALVITLYQGPTVYSPNSTPSNKTGFLSFGFGDAKGKNWTVGCLCLIGHSLCWSSWIVLQAPVLKKYPARLSFVSYACFFAVMQFGAIAGCIETDSRVWQVQSASEVFTILYSGLIGSAMVFAIQIWVVDRGGPLFVSMYLPLQTLLAALMATVTLGEEFYLGGIIGAALIIAGLYLVILGKSQESKFVSEKDPIYSMSEKNQVEDPGQSSFIQPLLSSATGKSVA >OMO56984 pep supercontig:CCACVL1_1.0:contig14458:166307:168075:-1 gene:CCACVL1_26130 transcript:OMO56984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMFSSSEISKSATTLFSAYASFAGSMMLVRSMANELIPPQLRSYLFSTFRYFFNPLSPNLTLAIDERFGMLKNQVYEAAEVYLSTKISPKTERLKVAKTRKQKHFTIALEKGETVNDFFEDVKLTWRFVCMETQKPHSAEKRLFELSFNKKHKDKVMDFYLPYILVKAEEIKNQDKVIKLYSKQCPFIDMEEDGRNGGSWGSIILEHPATFDTLAMEPDLKKMILDDLERFLKRKEYYKKIGRAWKRGYLLFGPPGTGKSSLVAAMANYLNSEVLERPIEKKYTLEEPAPKPGFTRHQLTLSGILNCIDGLWSSCGDERIIVFTTNYKERLDPALLRPGRMDMHIHMSYCTTDGFRLLASNYLGIDSTKYNPFFGEIDGLLKSTEASPAEVAEELMKNEDANVALKGLVNFLKRKRDEGNGIKNKATDDGEVSSSIERLNAIVSRIKRLKSNGQKRGMMAMNRKRTVKRRNGLKRVHDCNGK >OMO56957 pep supercontig:CCACVL1_1.0:contig14458:48757:50064:1 gene:CCACVL1_26102 transcript:OMO56957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA adenine methylase transferase MLILKPKTTPLYHSRLIFLQRSLRNLGTKSSRYSRRSSDEEDDPKDQKFQNAEENQPDRLYLYKSKGQHLLTNTRILDAIVRRSDVRPTDTVLEIGPGTGNLTVKLLEAAKKVVAIEIDKRMVEVLHKRVADEGLQDKLHVICKDAMKAEFPRFDLVVANIPYGISSPLVAKLVYGGNPFRSATLLLQKEFARRLLAKPGDSEFNRLAVNVKLVADVEFVMDVSKREFLPCPKVDSSVVIIRPKAEIPDVNLDEWWAFTKTCFCKKNKTLGATFKQKKKLIELYKLAKATSSNAENSLVAKNHESDIDYDSETDEDVGFASSCSDSEMTLFKEKIVGILKTGGFHDKRPSKLSNEELLYILSLFNQAGIYFHDHVNPKGSDNVAFAAAYTS >OMO56989 pep supercontig:CCACVL1_1.0:contig14458:189308:192069:-1 gene:CCACVL1_26135 transcript:OMO56989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTKVFCVGTADTKLEELRFLSQSVRSSLNIFSNKSSSKVEVVIVDVSAGQKETEGLDDFKFVTRKEILLCYSESVSENPIVLPNDRGKAVGIMSKALEHFIKKAQADGENVIAGAIGLGGTGGTSLLSPAFRSLPIGVPKLIVSTIASGRTEPYIGISDLILFPSLVDICGINSVSRVVLSNAAAALAGMVIGRLERLQESSSEGQKCTVGLTMYGVTTPCVNAVKEKLEKEGYETLIFHATGVGGRAMESLVREGYIQGVLDITTTEVADYVVGGIMACDSSRFDAIIEKKIPFVLSVGALDIVTFGSKDTIPSQFQQRKIHVHNAQVSLMRSTVDENKKFAAFIADKLNKSSSKIHVCLPEKGVSALDALGMPFYDAEATATLINELTRLVQINEDRQVKVYPHHINDPEFADALVDSFLKISSSQEIQKDQDQRKSN >OMO56954 pep supercontig:CCACVL1_1.0:contig14458:32319:33569:1 gene:CCACVL1_26099 transcript:OMO56954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MAAEEGQVIGCHTRESWTEQLNKGKESKKLVVVDFTASWCGPCRFIAPFLAELAKKLPNVTFLKVDVDELKEVAAEYSVEAMPTFLFLKDGKEVDKVVGAKKDELQNTVAKHMATASA >OMO56985 pep supercontig:CCACVL1_1.0:contig14458:168848:170401:-1 gene:CCACVL1_26131 transcript:OMO56985 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MNFFNDVSPTSLFTAYASLSASMMLLQNAVYTIFPKQVRDYLSSKLINYFGRLKAETTLTVEEFWGAGTNEIYDAARLYLPTKIGPRNLKFKVGKLRSRETTDTELQEGEEVFDKFDNIIVKWRFCHEEPNNKNASDDYDIIGGEENKVIRLYIYDLIWKHMDLQHPATFDTLAIEPELKQAILDDLDRFISRKDFYRKIGKAWKRGQALLCIPNKSILVVEDIDSNKIVHNRSQQSDSDDDSDRYSYSPMKPEEFTLSGLLNSLDGLGSSCGDERIIIFTTNHKEKLDPALLRPGRMDMHINMSYCGSKSFRVLASNYLDLHAHHPLLEQIDGLLETTEVTPASLAEELLKNNDPDVALGEVVNFLKQKKMETEKKTKPRRSKRRKTN >OMO56972 pep supercontig:CCACVL1_1.0:contig14458:117168:117670:-1 gene:CCACVL1_26118 transcript:OMO56972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrin MAHFQNQYSAPEVSQTDEYGNPIRATAAHETDRGILGIGATQGHGHHGHGHGHGLHRTGSSSSSSSSEDEGTGKKKGMKEKLKEKLPGGHKEHGHQTQAASTTTPGQGTTYHPEKKGVMDKIKEKLPGGHHNP >OMO56950 pep supercontig:CCACVL1_1.0:contig14458:8399:8545:-1 gene:CCACVL1_26095 transcript:OMO56950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNPNPIKGQMWGRGGGTHERPIFQDKTRNVGTQFLTIEKNTTGEAK >OMO56980 pep supercontig:CCACVL1_1.0:contig14458:146919:153326:-1 gene:CCACVL1_26126 transcript:OMO56980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellular retinaldehyde binding/alpha-tocopherol transport MDPDSVKSTLSNLAFGNVMAAAARDYKKEVLANQKAQSSTSVNDEVDLDELMDDPELEKLHADRIAALKKEAEKREALKRQGHGEYREITEGDFLGEVRGSEKVICHFYHKEFYRCKIMDKHLRALAPKHLDTKFIKLDAENAPFFVTKLSIKTLPCVILFRKGIAIDRLVGFQDLGGKDDFATRTLEVVLIKKGIISEKKNDGDDEDGDYDESRRNTTSTMKLVSSFFEGISRLASDVDVSEEEKKTRMGSFKKAAISASNKFRNSLTKKGRRSSKVMSVEIEDEHDAEELKAVDALRQVLILEEMLPARHDDYHMLLRFLKARKFDIEKTKQMWSDMLKWRKEFGTDTIFEDFDFTELNEVMEYYPQGYHGVDKEGRPVYIERLGLVDATKLMQVTTMDRYLQYHVKEFERTFDVKFPSCSIAAKRHIDQSTSILDVQGVGLKSFTKAARELITRLQKTDGDNYPETLNRMFIINAGSGFRMLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEIIDASELPEFFGGTCTCEAEGGCMRSDKGPWKDPDILKMVQNGEHKCTKKSMSEITEEKTNTEDETMISKTPKANDSGDAEAVADEEKKQPLSPKPSVDNIEHSELSAAHENVKSQEDKAKSNSPAISPAELLSVMKRMAELEERIRDMNNKPTTMPPEKEEMLNTAINRADLLEQELQATKKALEDSFAQQQELIAYLDKKKKRKKRTLFW >OMO56970 pep supercontig:CCACVL1_1.0:contig14458:99594:102747:-1 gene:CCACVL1_26116 transcript:OMO56970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVKCCLNAGFILIAVAAFLSQAFTATSVAVPASSCYALDNSSRLLDFSDWIGETFVYDGKDADIVIRFCKDVESRSQAGYVDFGRFDKFNYFVAGSGPVDLIQEFYNGDLLNCESTFDKMGRTAQVNIICGNCLNGQCKGRQGCICNVTYESTCRAIVELAIPCEKPGLRVFEGFTVGFHPRSWEIVYNGMTQMGFEKPHHDFSFSTEQPHVTLYLTAIASLANLVQKPVVKVFPENGLEVKLLGTGATGKPPTTLSPSTLLVDWRCLKAQNTPYEVNITIPVEGYAPVEFFLTKMCEYTQNQEGDATRGWATFGIISCIFMVLSTLFCCGGFIYKTRVERQ >OMO56963 pep supercontig:CCACVL1_1.0:contig14458:78174:80903:-1 gene:CCACVL1_26108 transcript:OMO56963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MSQPPWQWETATAGAVAGFATVAAMYPLDIVRTRFQANDGRVTKFTYKNTAHAIFTITRLEGLRGLYAGFSPAVLGSTVSWSLYFFFYGRAKQRYSKNREEKLSPTHHLAAAAEAGGLVSLCTNPIWLIKTRLQLQTPLHQSRPYSGVYDAFRTILREEGWTALYKGLGPGLLMVSHGAIQFTAYEELRKLVVDYKKRKGNPDSDSNLLNSFDYALLGGSSKIAAILLTYPFQVCILAIIQRPSSEGIPKYMNSWHVVKETARFEGLRGFYKGITPNLLKNVPASSITFIVYENVLKLLRRLRKND >OMO56986 pep supercontig:CCACVL1_1.0:contig14458:172159:174307:1 gene:CCACVL1_26132 transcript:OMO56986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDLIPYPIRNYLFSTLRYFFKPRSPILTLVIEECNGMARNQVFDAAEVYLCTRISPNTDRLKISKTPKEKNLTIRLEKGEKIVDFYEGVELKWRFICAEAEKSNNPNDHFPPRAEKRSFELCFHKKHKEIVLNSYVPFILERAKVIKDEQRVLKMFTLNMQNYGGIKWESINLEHPATFETLAMDPELKYGVMEDLNRFIKRKEFYKRVGRAWKRGYLLYGPPGTGKSSLVAAMANYLKFDVYDLQLANIMRDSDLRKLLLSTGNRSILVIEDIDCSVDLPDRRHGEHGRKQHDHHHVQQLTLSGLLNFIDGLWSSCGDERIIIFTTNHKEKLDHALLRPGRMDMHIHMSYCNPQGFKLLASNYLGIQGYHSLFSEIEGLLQETDVTPAQVAEELMKSEDADIALEGLVKLLKRKKLEGDEPIDKDANKSGIQEAKRQKVDKKRKSPRMMITRRKSTRRRNYF >OMO56960 pep supercontig:CCACVL1_1.0:contig14458:60619:63331:-1 gene:CCACVL1_26105 transcript:OMO56960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPEASLKLTNSPMAAPLSITSLLKLPSQPLNRIPTSELASKGRIYHETYGCQMNINDMEIVLSIMKNAGYSETVEAPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRHWKSNVAIGRSQSLHPPKVVVLGCMAERLKDKILDADKMVDVVCGPDAYRDLPRLLDEVDYGQKGINTLLSLEETYADISPVRISKNSISAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVKEVGELWKEGVKEVTLLGQNVNSYNDESGIETEVQPGSNWALSEGFKSMCKVKNMGLRFADLLDRLSTEYPEMRFRYTSPHPKDFPDELLYLMRDRYNICKLIHLPAQTGSTTVLERMRRGYTREAYLELVEKIRRIIPDVAITSDFICGFCGETEEEHADTLSLIKAVGYDMAYMFAYSMREKTHAHRNYVDDVPEEVKQRRLTELIEAFRGSTGQCYDAKLGTIQLVLVEGPNKRAPDTELIGKSDRGHRVSFVNIPLVDRNGQVDNKRNPVVGDYVEVLITKTTRASLFGEALAITKLSTFYNNVEEAAVACGSRS >OMO56981 pep supercontig:CCACVL1_1.0:contig14458:153951:156575:-1 gene:CCACVL1_26127 transcript:OMO56981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MENGCFKIIEPFLNYCKVKSMADAIVNVFLEKLLSTLAEEGRYVTEFRDQFEKLQTELQLLQCFLKDADRLKRKNHTIRKILADLRELIYEAEDILADCQLQSKDENQFSQGWLACFSPPKLHFKYQSGKRLKEITEKITSIKQNISSFLGGPLLFQPEVISAQDQMPRWSSQVYDHTQVVGLESDTQKMKDWIFDAVHEGAQEILAIGVVGMGGLGKTTIAQKVFNERDVEHHFDRRMWVSVSQTFTEEQIMRSMLRNLGDASVGDDRNELLKKINQYLLGKRYLIVMDDVWSEDVLWWQRICEGLPKGNGSCIIITTRIEKVARKMGVKEARIHRPKFLNKDYSWLLFRKIAFAASGGECTSTDLEDVGKEIVEKCKGLPLAIKAVGGMMLCKAPYYREWRRIADHFRDELEENDNSVMASLQLSYDELPSYLKSCLLSFSLYPEDCVITKEQLVHWWIGEGFVPQRSSRSSTDAGEDCFSGLTNRCLLEVVDKTYNGTICTCKMHDMVRDLVLKIAKDDAFYNATGTNYRHLGVDNSMDKKQLIANQKLRGLVSTTKTCEVNKIESGIAKRFSECRYLRVLDVSKSIFELPLSSLLYRVGTLQHLTYLGLSNTHPLLELPDSLENLTNLQILDVSYCQNLKFLPQYLIKFKKLKVLDVSFCGSLENLPKGLGRLSNLEVLLGFRPARSNNGCRIGELRNLTRLRTLGLHLTHADEVKDSEFNAMMNLQDLEKLSMSFFDSHGSASDLTSKIDKLCPPQQLHELSIMFYPGKISPLWLNPLALPMLKYLSISSGNLAKMHKNFWGGDNNIVWKVEGLMLESLSDLELQWPKLQQLMQILRVVNVSWCPELVSFPIEDVGFRGGVWIKEQIRN >OMO56956 pep supercontig:CCACVL1_1.0:contig14458:44837:44977:-1 gene:CCACVL1_26101 transcript:OMO56956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTKERQAAKAFDHLLSRVNISGQNQMIHHQRRGAFVFFGAINYS >OMO56961 pep supercontig:CCACVL1_1.0:contig14458:63730:74248:1 gene:CCACVL1_26106 transcript:OMO56961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MEKLRASSNLRRSLKSLLTRRLSHLSDFTHFNQKRSFQTIFNPIRYYKCLGEANAIHFRHFSSVSTPVQRNPSFSTLNSDDISYFKGLLGEKNVIQDEDRLETVNTDWMHKYKGSSKLLLQPRSTEEVSQILRYCNSRCLAVVPQGGNTGLVGGSVPVFDEIIVNVGSMNNIISFDKVSGILVCEAGCILENLISFLDNQGFVMPLDLGAKGSCQIGGNVSTNAGGLRLVRYGSLHGTVLGLEAVLANGDVLDMLGTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTPPKLSSVNIAFLACNDYSSCQKLLMEAKRKLGEILSAFEFLDNEAMDLVLHQLDGVRNPLPASVHNFYVLIETTGSTESYDREKLEAFLLSSMEGGLISDGVLAQDINQASSFWRIREGIPEALMKAGAVYKYDLSLPVEKMYDLVDDMRVRLGNLAKVVGYGHLGDGNLHLNISASQYDDKILEQIEPYVYEWTSKHRGSISAEHGLGLMKANKIYYSKSPETQQVEDPRAKKQFDNCIMDLLYGYEKERESQRALKPIAEAPPQSMTSSSASSRKTLSKIACNRLQKELVEWQVNPPSGFKHKVTDNLQRWVIEVNGAPGTLYANETYQLQVDFPEHYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSTVKGILPLLFLARNSKLGIWQRPEDNDRYVKNCRNGRSPKETRSQKSGGRGSMYQKGTMNEVTHQRIKTNGIWLHIAEQGTGPLVLLLHGFPELWYSWRHQITFLASHGYHVVAPDLRGCGDSDAPLSPTSYSVMHLVGDIIGLLDHFQQQQAFVVGHDWGAVIGWHLCLFRPDRIKGLINLSVPYFDRNPKAKFVDSVNKMFGDGLYICQFQEPGRAERAFARYDYLTVMKKFLLITQTDNLIAPPGMEIIDYLETPSRLPPWITEEELQVFADKFQESGFTGALNYYRAMDLNWELTAPWQGSKIMVPVKFLVGEKDIGFEANGVRDYVQGDVFRSLVPNLEVVILDAHHFIQQEKPQQVSEEILSFLHKFPAE >OMO56974 pep supercontig:CCACVL1_1.0:contig14458:121417:122588:-1 gene:CCACVL1_26120 transcript:OMO56974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVNGLEFVKVDPSGPASACAGVILLLGWALGLGV >OMO56979 pep supercontig:CCACVL1_1.0:contig14458:144423:146231:1 gene:CCACVL1_26125 transcript:OMO56979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKYNRNLTETMPKSRDSWPPPLIRPSMNQDSFNQQSASEFLLANMKDEMSDAFPKLSEMLYCHSNAEDSYLPSKKHINPQSTDHHLGANLWHSNFPMSNHMTDLQLSSGDQFYSNSHHIPYLGTAAAATSRYHDFNHIFPSTNISKSDFCSTLFSSSLDLNLKALDLLTSTYDHGGNCNQSLLDNPGKLSRSILMSHDQIRERSDSPSTSSKISTLAGGSITSTKRPGSFSETKESIKDAKKHRSSMSRSPCPTLKVRKEKLGDRIAALQKMVAPFGKTDTASVLTEAIGYIQFLHDQIQTLSVPFMKSTQSKLYRSVQMGIKGEEAGKEEQIRDLKSRGLCLVPQSYASYYINSCSGGI >OMO56962 pep supercontig:CCACVL1_1.0:contig14458:74814:77697:1 gene:CCACVL1_26107 transcript:OMO56962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAEFAVSLVVEKLTNLLSLQAAYLDGVSQKIVELRNELRWMQSFLKDADMKQEDNELMQQWVSDVRDVAYDTEEVIETYVSRAASQNTFDFVTKPFYLYKVGRKIESIRSRIREISGRRETYGARKNERNGGEAANDQRLRWWRQTSPHVEEDDIIELVEDTKALLIQLTSMESRRRVVSIVGMGGLGKTTLAKRLYNHSDVKNHFDCRAWIYVSKEYRRREILQGIITDVNALSRDEMEVLETLKEEMLLKKLHEFLEERRYLVVLDDVWSMEVWDSLENAFPSGKTGSKVMLTTRNREVALHADGGGIPIPHEPRILTEHESLKLFCKKAFHGHNSLPPELKKLGRDMVVRCGGLPLAVVVLGGLLSRKTKSKEEWHRVFRNITWHLTKGQDKIATILALSYNDLPSHLKSCFLYLGLFPEDVAVQTRKLIHLWVAEGFLPQEGEETAEGVAEKCLNELIDRCMIQVGRLSSLGRVKTVRIHDLLRDLAISKGREEIFLEIHHGNKAAESSSSSSESISTKSRRHAIHSRYDQYAFLKPFAPHLRSLLFFNREYNVDVARKRMRIYSRFEKKLNVIYKNFKLLRVLDLEGVRVVSLPDTIGSLIQLRYLGLKKTNLEEELPLSIGNLQNLQTLDLRYSCFLKRIPNVIWKMIHLRHLLLYTPFDSPDSFHLKLDTLPNLQSLPYIEAGNWIDDGGLANMTNLRQLGIDGLSRQQVTSVISTMERLQDIQSLSLLLMSELEMFPTLTGLCQCEHLQKLCFYGKIEKLPDPQEFPPNLIKLSLYNSHLQRDAITKLEKLPNLEMLVLGDGSYDWRDMIFSSESFPKLEILRLHLLKELEEWNVEERAMPKLKHLVINRCEKLKKIPDGLKMVTTLKELEIVGMPVEFEYRLRTKDFLEFKHTPSIKSTTDMLAIGLASHQNVGWPEAQFS >OMO56959 pep supercontig:CCACVL1_1.0:contig14458:53813:58581:-1 gene:CCACVL1_26104 transcript:OMO56959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEWEKGFFMILLMGVVFVGYYYYCFGYKNKNNKTKKKSGEVPKGSLGWPLIGETLDFIASGYTSRPVSFMDKRRFLYGKVFKTHILGTPIIVSTDPDVNKVVLQNHGNVFIPAYPKSIRELLGEHSILQMNGNLQKRLHALIGGFLRSPQFKTRITSDIENAVKRTLGSWQHMQLIHVQEETKKITFDVLLKVLMSVGPGEDLNFMKREFEEFIKGLICLPIKFPGTRLYKSLKAKERLVKRVKKIVEERKLGMEKTDEKSVASDAVEVLLRDSEEGNEKQSLPLDFISGNIIEMMIPGEETVPMAMTLAVKFLSDSPVALHQLMEENMELKKQKTDLCEDYSWTDYLSLPFTQNVISETLRLTNIINGVWRKALKDIDIKDGLLKRMKLSTFQLLR >OMO56975 pep supercontig:CCACVL1_1.0:contig14458:123855:125420:1 gene:CCACVL1_26121 transcript:OMO56975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLKELPSFSTLLAAYASISAMAMLIRSILNEMVPEKMRKYIATKFTELVSIHFNSDFTFVIEDRWKAADNQIFRAAEVYLPTRVGPSSDSLLVGSNDPNDPTAPIKRSIPPDCTITDDFEGMRLKWSFSSIETKKYYVPNKRFFSLTCKKSDREKVEKKYFPYITKIAQDILNKHESLNINTYDQECSMWESAVFKHPATFETLAMEPELKQFIMDDLDAFVQRKEFFENVGRAWKRGYLLYGPPGTGKSSLVAAIANYMRYDIYDLQFQSVRNDADLRRILTSTSNRSILLIEDIDCSTNVSRDRAKPKEIVEEEEDDEPGPNRSAFDPGVTLSGLLNFIDGLWSSCGNERIIIFTTNHKDKLDPALLRPGRMDVHIYMGYCTPAGFRKLSTTYLGIKDDKLFGSIDDLLKSVEVTPAEVAQQLMISEEPKVALNGLIEFLNMKKNKVEENEAEEEEKSSKEKEAKKKKKTVERQNSMQAAETDTRCIYLT >OMO56987 pep supercontig:CCACVL1_1.0:contig14458:177994:180698:1 gene:CCACVL1_26133 transcript:OMO56987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MLNLSKEGLILLLHNNYGIIIVAVLAIGITSLLWKAWGSSNPTDHEDGIPGRLGLPFIGESFSFFLAAYTTKGAYDFVRKRRKRYGKWFKTRILGKTHVYVPSVEGAKTILANDFVHFNKSYVKSMADATGAMSVFSVPHKIHTRIRRLLSDPFSMSSLSKFVGKFDKMLCERLDKLEKSGKSFKVIDFSLKITFDAILSMLMSVTEDPLLAQIEKDCTDVSNSMLSIPLMIPGMRYYKGMKGREKLNETFGGMIARRKSGEEYFDDFLQSMVERDSFPEDEKLSDQEIIDNLITLILAGQTTTASAMMWCVKFLSENKKVLDRLREEQLSIDRKKPDGALLTMEDLNDMSYGFKVVKETLRMANVLVWLPRVANDDCIIDGVKVKKGWMVNVDATCIHYDPDVYKDPTEFNPSRFDDIQKPYSFLPFGAGPRTCLGINMAKVAMLVFVHRMTRGYKWSLDDPDSCLEKKEHIPRLRSGCPITLMALNKGK >OMO56967 pep supercontig:CCACVL1_1.0:contig14458:89771:89962:1 gene:CCACVL1_26112 transcript:OMO56967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNPIPGIIIAIIGISLTSNFWRRTSVKFMTSRRVNQGEFIDDCAEFLDGACLVDSCDGVL >OMO56976 pep supercontig:CCACVL1_1.0:contig14458:125836:128205:-1 gene:CCACVL1_26122 transcript:OMO56976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme E2C-binding protein MPRKNPENPKKWRFTWESQSHSPNLRLFLFDSQTKPLIQCQNLKVQLNLSQSQLLVSWLEEEEEKGEVWIRVPLPRVLIDSESPVSFKALDDHIEVKLVLLLPVDHPIVSSFDSVLLNSSENGNDAVAFDAAKPLVMDTDLKSLSSIEEGVHFCCRNCSNRLTKVPLRNFVEMPSTDWREAADNWFGNCCCSFGGISEKLVTRFANSYTCIKGVCLLNSTTVVLCKDDLVTFELSNGTPVYQPGPDFATDYGSGEHMSSPQKRMNDLRGELRSMHLKNDIVYTTGPVVEEDTNGVCASPVPDLSENETSGPSCCVHKGSRNNVYETCPVDQNTSTLLANQKLFLNGFLGNAFMAKSYNLSMDIEWREFACPKCLSLLGTYPFDNGGAPIDDGVRLFKCYISTCSSAGGSDDLFRKYSLERMFTNQLLENAKDELSFRTVVKDLKTKSPLLQIVLLNPNSWCCSGYCLDAASSMESSVKLDLLPVIKVLFSDCSKSASQLRVCEDWVTKNLADVVCMFSWQVDELIQTLASSKDALPPSCNFLQDLPLSSLQR >OMO56955 pep supercontig:CCACVL1_1.0:contig14458:34657:37520:-1 gene:CCACVL1_26100 transcript:OMO56955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCERALVSADPIVTSLGSFQQAHVYTTESGDCAAFLSNYDTKSAARVLFNNMHYNLPPWSISILPDCRNAVFNTAKVGVQTSQMQMLPTNVDMFSWESYDEDTSSLDDSMTMTAEGLLEQINVTRDASDYLWYITSVDIGSSESFLHGGELPTLIVQSTGHAVHIFINGQLSGSAFGTRENRRFTYTGKVNLRAGTNKIALLSVAMGLPNVGGHFETWNTGILGPVALHGLNQGKWDLSWQKWTYQVGLKGESMNLVSPNNIYSVEWIEGSLAAQKQQPLRWHKVNKNDNYKQLQYDGCGYHVPRSWLKPTQNLLVIFEELGGDPTRISLVKRSVSSVCAEISEYHPNIKNWQIESYGKAEEFHRPKVHLRCSPGQAISSIKFASFGTPLGTCGSYQQGPCHAPASYAILEKKCIGKQRCAVTIANSNFGQDPCPNVLKRLTVEAVCAPMTWTTAQPNGRG >OMO56965 pep supercontig:CCACVL1_1.0:contig14458:83661:85912:1 gene:CCACVL1_26110 transcript:OMO56965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase-like protein MEPETSKAKKKTRKRRKKAAPPIFPGMERRETRSQAQRRASILAAQKIAQTPAGSSTRVVDFVSTQDATSPLPKRLKVTENVNNPQLQISGNGSISSQRSGSSLQRSDQNLTSSPSAAYRHAIAHTMATVLSPAQADFPAASPPRYVQPDATLGDKTTECNKTRLTETPIESNSSSEGASGKENSGSRLVAENHGRKDAPPLVDINGAAGATVDTSFGRQIIMEEARVGSELPMAMDILQDGVNYNTNDEPPAESHSNGFTWIADLAANGSHPDEVQSELLDPALVSIHGYRVQEASAPILRKVIEKHGDIAMNCISESMEIRSFLLDKVCEVVQKLQTSKLFQITKIEVTKMRTDVIHIGELKVNVGWLRKSLDEILEVLELIKLYPKFRELRKKNNEIIKESERQMEKFKEKIHAAQVMNRSWSLRYSKMETKMKQLIEESLLPEL >OMO56983 pep supercontig:CCACVL1_1.0:contig14458:162213:163899:-1 gene:CCACVL1_26129 transcript:OMO56983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMFSSSEISKSATTLFSAYASFAGSMMLVRSMANELIPHQLRSYLFSTFRYFFTPLSPNLTLSFDERYGMSKNQVYEAAEIYLSTKISPKTERLKVSKTRKQKHFTITLEKGETVVDLFEDVKLTWRFVCTEGQTQKPHSHSEERRLFELSFNKKHKDKVIDFYLPYVLVKAEEIKNQDKMIKLYSKQCPFIDFEDDGRKNGGSWGSIILEHPATFDTLAMDPDLKKMIIDDLERFLKRKEYYKKVGRAWKRGYLLFGPPGTGKSSLVAAMANYLNSEVLERPIEKKYYKQEEPQPAKSGFTRQLTLSGILNCIDGLWSSCGDERIIVFTTNYKERLDPALLRPGRMDMHIHMSYCTTHGFRLLASNYLGIDSTKYNPFFGEIDGLLKSTEASPAEVAEELMKNEDANAALKGLVNFLKRKRDEGNEIKNRATDDSEVSSSFKRLNA >OMO56971 pep supercontig:CCACVL1_1.0:contig14458:109702:113538:1 gene:CCACVL1_26117 transcript:OMO56971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MAKHTATAVGWGHHHFQKRWLLALLLMLSLSTIIAFLIRAAFDPCDRNIPADSGIVTARHAIQVAHKRASQIAAADPSPLLFMKSKVVLLVSHELSLSGGPLLLMELAFLLRGVGAEVFWITITKPSETDEVIYSLEHKMLDRGVQVLPAKGKEAIDTALKADLVVLNTAVAGKWLDAVLKEDVHRILPNVLWWIHEMRGHYFKLDYVKHLPFVAGAMIDSHVTAEYWRNRTRERLKIKMPETYVVHLGNSKELMQVAEDNVAKRVLREHVRESLGVHTEDVLFALINSVSRGKGQDLFLRSFYEALQLIKEKKMKVPPLHAVIVGSDMSAQTKFETELRDYVMQKKIQDRVHFVNKTLTVAPYLAAIDVLVQNSQARGECFGRITIEAMAFQLPVLGTAAGGTTEIVVNGTTGLLHPVGKEGVTPLAKNIVKLATHVERRLTIGKRGYERVKERFLEKHMAERIAGVLKEVLSKSKDKPRGHLF >OMO56964 pep supercontig:CCACVL1_1.0:contig14458:82639:83229:-1 gene:CCACVL1_26109 transcript:OMO56964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7/L12 MRNLRLLSSQLTRIQKTLNQSSKLSYSLHSSNNVNLTFSRNYNTPSQESTKQAPPEKVGAIVDELTGLTLLEVMDLTEVLRQKLDVKEMPIMAMMMPGMGFGGAMKGAGKGGAGGPGKGEEKKEEKLVFDVKLEAFDSAAKIKVIKEVRGFTDLGLKEAKDLVEKAPTVLKKGVTKEEAEKIIQKMKEVGAKVSME >OMO56982 pep supercontig:CCACVL1_1.0:contig14458:157850:159808:-1 gene:CCACVL1_26128 transcript:OMO56982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMFSSSEISKSATTLFSAYASFAGSMMLVRSMANELIPHQLRSYLFSVFRYFFTPLSPNLTLTFDERFGMSMNQVYEAAEIYLSTKISPKIERLKVGKTRKQKHFTIALEKGETVHDLFEDVKLTWRFVCIMEGQKPHSEERRVFELSFNKKHKDKVMDFYLPYVLVKAEEIKNQDRVIKLYSKQCPVEDDGRNSGPWGRNGSSWGSIILEHPATFDTLAMEPDVKKMIIDDLERFLKRKEYYKKVGRAWKRGYLLFGPPGTGKSSLVAAMANYLKFDIYDLRLSSVRSDAELRRTLLSTTNRSILLIEDIDCSSEVLERPIEKKNYRQEEPVKSGQLTLSGILNCIDGLWSSCGDERIIVFTTNYKERLDPALLRPGRMDMHIHMSYCTAHGFRLLASNYLGIDSSKYNPFFGEIDGLLKSTEATPAEVAEELMKNEDANAALQGLVNFLKRKRDEGNAIKNKPTDDGDGYEEKKNG >OMO56973 pep supercontig:CCACVL1_1.0:contig14458:119456:120958:1 gene:CCACVL1_26119 transcript:OMO56973 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MAMLIRTILNEIIPKPLQKYISSKFSDIVSTYFSSTFTFIIEERWQAVFNETFRAVEVYLPTRIGPSTDKLVLGSNDPNNPLAPPKRNIPSDCKIIDEFEGMTLEWTLRVKESEKYYVPDKKCFHLTCKKGVREKVEQRYFPHIAKTAQTILRKREKLFIYTYNQDRSRWESALFKHPARFETLAMEPEVKQSIIDDLDSFVGRKDFFENVGRAWKRGRILTSTTNRSILLIEDIDCSTKVSEDRSKSKDEKQKEGEDGRPINRPSPIDPGVTLSGLLNFIDGLWSSCGNERIIIFTTNHKEKLDPALLRPGRMDVHLHMGYCTPAGFRKLATTYLGIKDGKLFESIDELIKSVEVTPAEVAQQLMVKSDDPEAALQGFIEFLNMKKDKVGEEKLTQEKEAEKKTKKDGGKQSKKLDEAETGSIYLT >OMO56968 pep supercontig:CCACVL1_1.0:contig14458:90552:92694:1 gene:CCACVL1_26113 transcript:OMO56968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase-like protein MKKKASLSKAPAIERRVTRSQTKMRVTRSQTKRKTSLVAAAQTPAESSTREVVDLVCTQGASSPLQISGSESISSLKGRNRLQEDQNSPSNTSAGIRALLCNFPDSVSTLAAPPMYVQSDATLGDKTIEFNASSEGASRKENSNSQHVEQNQGRNESDQDAPPLVTPPEDVRGAAGAHASATVASNTSLGRQIPVEEARVGSKLAKDHVFNTETNDESPTKSYSFGLTEMALLPGNVSHDQDEVQSDLLVSIRGYRVKEAYASILGKVIEKHGAMNCIMKSERNRSRCLEKVCKIVQTLQTTKLLHITDAEVTEMLRDVIDLGAARVNVGWLQQNLEHILEVKELVNQSSPLKECKDRNLQIIEESRKTLKRCEDLIPVYEDKLQKLKEKVTFEKEKIDAARAINRNIHLRFSKWQPTVKHFIEESLHP >OMO56953 pep supercontig:CCACVL1_1.0:contig14458:30015:31516:1 gene:CCACVL1_26098 transcript:OMO56953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVDSDDQLRMEGGPNFVCVDNFAFGAVARYLQINKDKECCISTHPFTFEGDQQEYQHDDFTRETLTLTWDDALRKSTQEFQHRSYSLFTCNCHSFVANNLNRLGFRSGGWNVVNLAILIFLKGRWVSKTAIVRSYLPFVIVTGIGLAFGGTTYLSFLALFSFLLIGVPMSCSSCS >OMO56988 pep supercontig:CCACVL1_1.0:contig14458:186439:188733:1 gene:CCACVL1_26134 transcript:OMO56988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MLNLSKEGLILFVQNHYGITIVAVLAIGITSLLWKTWDSSDHEDGIPGRLGLPFMGESFSFFSAVNSTNGVYDFVEKRRKRHGKWFKTRVLGRTHVFVPSIEGAKTILANDFVHFNKLYVRSMEDTVGALSIFTVPHKIHNRIRHVLSDFFSMSALSMFVGKFDKMLSERLDKLEKSGKSFKVTDFSSKITFDAMVSMLMSVTEDPLLAQIEKDIFDVSDNMLSIPLMIPGMRYYKGMKAREKLNEIFGGMIARRRSGEEYFDDFLQSMVERDSYPDDEKLSDQEIIDNLITLILAGQTTTAAAMMWCVKFLSESTEVLDRLREEQLSIDRKKPEGALLTMEDLNDMSYGFKVVKETLRMGNVLMWLPRVANHDCIIDGVQVKKGWFVNVDATCVHYDPDVYKDPTRFNPSRFDDIQKPYSFLPFGAGPRTCLGINMAKVAMLVFVHRMTGGYKWTLDNPDSRLAKKQHISRLRSGCPITLKALNKGK >OMO56966 pep supercontig:CCACVL1_1.0:contig14458:87141:88498:-1 gene:CCACVL1_26111 transcript:OMO56966 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative folate carrier protein MEENRTKKKCKAFVGRAKQRYSKNREEKLSPTLHLAAAAEAGALVS >OMO56969 pep supercontig:CCACVL1_1.0:contig14458:93491:94752:-1 gene:CCACVL1_26114 transcript:OMO56969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MAHSMGFVMVLSLLALAPLCFCGKTSGGGYLYPQFYDHSCPKAQEIVKYVVAKAVAKDPRMGASLLRLHFHDCFVKTGGPNWKVPLGRRDSIGASVSGSNNDIPAPNNTFQTILTKFKRQGLDIVDLVALSGSHTIGNARCTSFRQRLYNQSGNGQPDYTLDQSYAAHLRTRCPKSGGDQNLFFLDYVSPVKFDNSYFKNLIAYKGLLSSDQILFTNNAISRELVKKYAYNQELFFEQFAKSMIKMGNISPLTGYKGEIRKNCRKVNAY >OMO56977 pep supercontig:CCACVL1_1.0:contig14458:131402:131887:-1 gene:CCACVL1_26123 transcript:OMO56977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSTGASSASSTLLRSSSSEEDFQKIPVDERKRKRMLSNRESARRSRMRKQKHLDDLTEQVSQLTKDKNQILSSMNVTTQLYLNLEAENSILRAQMAELSSRLQSLNEIVDFINTSNGVFENDHFDHQINDFDAFNMNPWGSFAVNNQPIMASADMIMY >OMO56978 pep supercontig:CCACVL1_1.0:contig14458:135523:137901:-1 gene:CCACVL1_26124 transcript:OMO56978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVEPPNGVKPTGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGVVCSSINRETNEKVAIKKINNVFENRIDALRTLRELKLLRHIRHENVIALKDVMMPTQRNSFKDVYLVYELMDTDLHQIIKSSQPLSSDHCKYFIFQLLRGLKYLHSANILHRDLKPGNLLVNANCDLKICDFGLARTSRGNEQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPIFPGSECLNQLKLIINVLGSQQEADLTFIDNPKARRYIKSLPYSRGTHFSHLYPQADPLAIDLLQRMLIFDPAKRITVTEALLHPYMSGLYDPRCNPPAQVPIDLEIDENMKEPMIREMMWREMVHYHPEAASLNA >OMO56990 pep supercontig:CCACVL1_1.0:contig14458:192542:197316:-1 gene:CCACVL1_26136 transcript:OMO56990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAKVFCVGTADTKLDELRFLSQSVRSSLNSFSNRSSSKVEVVIVDVSAGQKETEGLDDFKFVTRKEILLCYSESIGENPIVLPDDRGKAVGIMSKALEHFMNKAQADGVLVGAIGFGGSGGTSLLSPAFRSLPIGVPKLIVSTVASGHTEPYIGTSDLILFPSLVDICGINSVSRVVFSNAAAALSGMVIGRLERLQESSSEGQKCTVGLTMFGVTTSCVNAVKERLEKEGYETLIFHATGVGGKAMESLVREGYIQGVLDITTTEVADYVVGGVMACDSSRFDAIIEKKIPFVLSVGALDMVNFGSKDTIPSQFQQRNIHVHNAQVSLMRTTIDENKKFAAFIADKLNKSSSKIHVCLPEKGVSALDASGMPFYDPAATGTLINELKRLIQTNEDRQVKVYPHHINDPEFADALVDSFLKISSKNPTHSSLHQVASGQSSQEIQKDQDHSINSSSSEILTYSPNNFPDARPGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKSVPVLAGVCGTDPFRRMDYFLKQLESIGFSGVQNFPTVGLFDGNFRQNLEETGMGYGLEVQMIEKAHKMGFLTTPYSFNTSEAVEMAKAGANIIVAHMGLTTSGSIGAKTAVSIEESVTRVQAIADAAHGINPNVIVLCHGGPISGPSEAEFVLTRTKGVHGFYGASSMERLPVEQAITSTVKQYKSISIKRAVDLRWIGLAVFAVLKFQQTNTSRSESGGGEW >OMO56958 pep supercontig:CCACVL1_1.0:contig14458:50580:51996:1 gene:CCACVL1_26103 transcript:OMO56958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGALRTLIRPLSRSILSRATAASSTTSSLSSSWCSKPGPQAFLGGSINRQSPWIPMSNQLHSLTDTRFPKRRPQDKPRRKRASLKPPGPYAWVKYTPGEEILPSNPNEGSVKRRNEKKRMRLRRAFKLAEAKKRKAQLQEANHKKRIKRVERKMAAVARERAWAERLAELKRLEEEKKKAMA >OMO56951 pep supercontig:CCACVL1_1.0:contig14458:14231:25253:-1 gene:CCACVL1_26096 transcript:OMO56951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKIEKDQHLVVANLASSENEGGVQPPPLQVSGPDTEMPQSSGAITPSEPPVPPLDETLESRSFWKAGNYAVGPTCRPSAFEGSLEHARVHPKFLHSNATSHKWAFGAIAELMDNAVDEIQNGATFVKVDKVDVMKDHSPALLFQDDGGGMNPELLRKCMSLGYSSKKSNTTIGQYGNGFKTSTMRLGADVIVFSRSTRASKATQSIGLLSYTFLRKTGQDDVIVPMIDFDVSSHWAEPIIYGSEEDWSSNLKTILEWSPFKSKEDLLLQFEDIGPHGTKVIIFNLWLNDEGIYELAFDDDDEDIRLRDEAVRGTTKAHKKIAEIQNHISYRIRYSLRAYASMLYLRPFKNFQIILRGKPVQQFNIADELRYRNVITYKPQLAPGSKECAVVTSIGFIKEAPALAVSGFNVYHKNRLIRPFWKVTPDGSVKGNGVVGVLEADFIEPAHDKQDFERSSLFVRLENKLKQMVAEFWKNHCHLIGYKPLLPSARDTQKGPGQPPVVHAESDCQQDLGDEQPVHEVPDEVTSEDDLGSITAEQLCEENILLFQRCDEYMQKEAELRQTIEELERQLEEMRSKCTQLSSHIEAKRKLKHEGDFSFREAWFHLSDEYPIKYEAERLPPPLVADLNGDGKKEILVATHDAKIQVLEPHARRVDEGFSEARLLAEVSLLPDKTRVASGRRPVAMATGVIDRTYKTGQPQKQVLVVVTSGWWVLCFDHNLKKLWESNHQDDFPHNAHHREIAISISNYTLKHGDSGLVIVGGRMEMQPHIYLDPFEEIGMAERDAEQHRRSASEKEGSENSGTVNLRHFAFYAHDGRKGELRWSRKNENIEEHSSDPSQLIPQHNYKLDVHALNTRHPGEVECREYRESILGVMPHHWDRREDTLLKLSHFKRHKRKTVKKVPGKSTTYPFHKPEENHPPGKDETKKISNLIGKAAKYANSAKPKKPMAYIPTITNYTQLWWVPNVVVAHQKEGIEAVHLASGRTICKLHLQEGGLHADINGDGVLDHVQAVGGNGAEQTVVSGSMDVLRPCWAVATSGVPVREQLFNASICHHSPFNLFQHGDFYRGFGRSDTASLEVATPILIPKSDGHRHRKGSHGDVVFLTNRGEVTAYSPGLHGHDAVWRWQLLTEATWSNLPSPSGMMEGGGTTVPTLKPISLRVHDSQQMILAAGDQTGVIISPGGSILASIDLPAPPTHALITEDFSNDGLTDLIVVTSNGVYGFVQTRRPGALFFSTLVGCLLVVMGVIFITQHLNSVKGKPRGPR >OMO62503 pep supercontig:CCACVL1_1.0:contig13256:8821:9555:1 gene:CCACVL1_22786 transcript:OMO62503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MMDLLMGGATCLGKTVMDEMDYCIYGENKHYGTPRNPCAPDRVPGGSSSGSAVAVGAMLVDFSLGTDTGASVRVPASYCGILGFRPSLGAVSSCSYVTEF >OMO62504 pep supercontig:CCACVL1_1.0:contig13256:10248:12992:-1 gene:CCACVL1_22787 transcript:OMO62504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anticodon-binding protein MSTLLEIIDKSSSALSTIAVAVAALSCEAARANLSAFDLTDSGEGSVSKDEVGVASDVKVLLNGSKLVTTSNKGEELLGGKLLRLVFELRNIGESSLKRVKLNLGSGSVGVEGLKGIFEKDCLTDQDNSLMSKGVMSAVEAGLEDEYEKLVEKVGLVLEIVWKIVAWEAVTAFFVLEADGGKVEVEKKKKKKVLLGKGTGFIVELIKDRVMMKEILQSNQSRRIPKTPKGTRDFGKDKMAIRKKAFSIITKVFERHGATALDTPAFELKETLTGKYGEDSKLIYDLADQGGELCSLRYDLTVPFSRDNPSKGRYREFYQCDFDIAGKYEKMGPDFEVIRILCEVLNELNIGDYEIKLNHRKLLDGVLEICGVPPTKFRTICSSIDKLDKLSFEQVKKEMVEEKGLSVETADKIGTFVKLRGPPKELMSKIKEGSELEHNASSKEALDDLKDLFKALERSRCIDKVVFDLSLARGLDYYTGVIFEAVFKGGVQVGSIGAGGRYDNLIGNFGTKQVPAVGVSLGIERILTIMEDQAQNQNLAVRATETQVLVAVIGDNNELSLAAELVSELWDADIKAEYKVHKKVMKLIEYAIESKIPWMIIAGEREIKEGILKLKKVETTKEDVISRSKLVEELQQLLGTSNEDLIPRSKLVEELQQRLKSTTNEVKIPRGKLVEELQQRLKSTHNQEVIPRSELVEELQQLLIKQP >OMO62502 pep supercontig:CCACVL1_1.0:contig13256:754:2071:1 gene:CCACVL1_22785 transcript:OMO62502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MASGDQQFPPQKQESQPGKEHVMDPIPQFTSPDYKPSNKLQGKVALVTGGDSGIGRAVCQCFVREGATVAFTYVKSQEEKDAQETLEMLKKEKTADAKDPIAIPADLGFDENCKKVVDQVVKAFGQIDILVNNAAEQYKASSVEEIDEQRLERVFRTNIFSYFFMTRHALKHMKEGSAIINTTSINAYKGNDKLLDYTATKGAIVAFTRGLSLQLVKRGIRVNGVAPGPIWTPLIPSSFDEEEVSQFGSEVPMKRAGQPIEVAPSYVFLACNHCSSYISGQVLHPNGGAIVNA >OMP10987 pep supercontig:CCACVL1_1.0:contig01737:3044:3148:-1 gene:CCACVL1_00741 transcript:OMP10987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYTKILEQRERNPILMEVNSDEEVDKQMFNAIL >OMP05898 pep supercontig:CCACVL1_1.0:contig05146:1101:2100:1 gene:CCACVL1_01795 transcript:OMP05898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MADVDGNGVLDYGEFVAVTIHLQKMENDEHFRRAFMFFDKNGSGYIEMHELREALVDESGEADVEVINDIMHEVDTDKDGCISYDEFVAMMKAGTDWRKASRQYSRERFKSLSLNLMKDGSLQLHDAVTGQAIAV >OMO73327 pep supercontig:CCACVL1_1.0:contig11263:1672:1863:-1 gene:CCACVL1_17327 transcript:OMO73327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSGNWEKKRSKIIDVHGDLSSLGVGTFPHTTIKLENKALLLLIPHSQKSQYPEEGNTLDFS >OMO77962 pep supercontig:CCACVL1_1.0:contig10663:7612:10135:1 gene:CCACVL1_14729 transcript:OMO77962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRCNHREALRKFNQEQLRQMVRFVRQEAGKGSRILLSAEELDSGKLSNELDFQLAVKSDYSLTVKMKALHLLISSRWNEIKVLVGESMTKIDGRVPLIIKQVSSEAILTAKEAPGVIGGGFELQNAGV >OMO89753 pep supercontig:CCACVL1_1.0:contig08630:15591:15707:-1 gene:CCACVL1_07657 transcript:OMO89753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASLSQMCSNLSLQEKDKDKVIIDNAWIEDPDEPEV >OMO89754 pep supercontig:CCACVL1_1.0:contig08630:17566:17673:-1 gene:CCACVL1_07658 transcript:OMO89754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFKDGEYTYKGIEVKKGKGLQRQPRPAAGLNS >OMO89755 pep supercontig:CCACVL1_1.0:contig08630:25841:26865:1 gene:CCACVL1_07659 transcript:OMO89755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MMNLSTLIVYGCRYCAPPIKQLLNYTTFLHLPPYHHLQRRSLLVLLLSVLGAFVPAQILYDAFHGRYQNSAGAIILLFIIWGSYFFGGLSITTSAARVVYALSRDKGIPFSSIWRQVHPKRKVPSNAVWLCAAICILLGLPILKINVVFTAITSICTIGWVGGYAVPIFARMVMEEKNFKAGPFYLGRASRPVCLIAFLWICYTCSVFLLPTYYPIAWDTFNYAPVALGIGLSLIMLWWVVDARKWFKGPVRNIEIQNGKV >OMO93075 pep supercontig:CCACVL1_1.0:contig08135:6000:10318:1 gene:CCACVL1_06641 transcript:OMO93075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDICITNILPEECISYILSLTSPPDVCRSKLVSPVFRSAADSDTIWGKFLPSDCYDIISKASSSSAHQLTASMSKTQLYFHLCNKGVLIDNGSMSFGLDKATGKKCFMLGARRLSIAWVNTPTYWRWKQLPESRFSEVAELKHVWWLDVKGTIETKILSPQTTYVAYLVYKFATSKSGFDKRPVDLHVELEQSHTGRTVRVFLDPSSNIPQFSRTRGDGWMEVKLGEFFNEHGDDGNASCSLREWEYLLLSEIIFLVVLETNLFNLMNRYAASANHSKEELGDKDSKKLTSLSDFLNRKLPRSSDIPKTVQEKSKPFSSLLRPKDGETVDKQDERKKEEETMKNDVLDKVVLEQFKQDNAEKADFITPSGGAAEVEEEVVEIPDTNEVQNSRKRQNPFQVADEERRTRKPFLVLGDDPERSKRRGKRECSISKEKPKPHYNHYANGSGWWDCDMEGVDSEEVGLGEIWEGVGSTTFGGIVDWH >OMO58825 pep supercontig:CCACVL1_1.0:contig14116:2885:2977:-1 gene:CCACVL1_25335 transcript:OMO58825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLLSNPLSLFLKSCSNLSPQTYLSASSLKP >OMO67218 pep supercontig:CCACVL1_1.0:contig12460:17157:17504:-1 gene:CCACVL1_20703 transcript:OMO67218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDTFSGLGNGTQIDGKIVQTFQKSFVQVQNILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSTSFTKSMDASSEGDSSGAMRSDGKAHGHKRNRPV >OMO67215 pep supercontig:CCACVL1_1.0:contig12460:5896:6261:1 gene:CCACVL1_20700 transcript:OMO67215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbX MASTSAVSMALPLTRATQNRVPSSEAFFKPLPVKPSRAVTTTRPNKRLQVKASSLKEKAVAGLTAAAVTTTMVIPDVAQAADGVTPSLKNFLLSIVAGGVVLVAIVGAVIGVANFDPVKRS >OMO67221 pep supercontig:CCACVL1_1.0:contig12460:28480:30479:-1 gene:CCACVL1_20706 transcript:OMO67221 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin protein ligase DRIP2-like protein MLRNDHNLRELVMQIFPLEKTEGSAASPGKAKDEETVAPTLTEANRHDHDFGMSRETERFHDYRDVDGNASHLNQTEIESPNLSINAINKQNELTEWEMPQIASTSEPIANSSCLNLRGQEEGETYKSFLDKTNGHVQVQRKRRTKRKPCRFLTGQKEDGSKTETAKEISKPSCSGLMRKGVALPQISKPFISTKTGDFAVSFVNKYLARKLNLKHESEVEVMCLGHPLVPTLTLNSLLDMWLEAVSNMGPVLLNCNAENGNGGNFVMQLTYRRNKKQRALQSNLDLMQP >OMO67217 pep supercontig:CCACVL1_1.0:contig12460:10306:12028:-1 gene:CCACVL1_20702 transcript:OMO67217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQISKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFIMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHPPKEDEEIYKPVPEPTEIEVPVMAA >OMO67214 pep supercontig:CCACVL1_1.0:contig12460:2402:5242:1 gene:CCACVL1_20699 transcript:OMO67214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel MscS MEDGKSMLEKRASNDFVVQIPNPEDSFVFNKEKNDSYTSVKDNFELEQFESLGVQVSTPIASPSPSIEITRITATPTKPPKVPTDKKLTPRNSIGISAFSKPKSRLVEPSRSVDPKTVEEKSAQMLNPSSSPFRSSRAAASPSNKATANTPKENLRSVPVTPKTPLITPSVDEEDDDEVYKTAVLQLSQISGKKWKFLIWMEFVAFVCIMGLLIASLTVSKLENTKIWSLELWKWCVLVLVIFCGRLFTEWMMNVVIFLIEKNYLLKKKVLYFIFGLKGSVRVFVWLGLVLLAWGLLFDHGVKRSKRTSRILNYITRALASCLIGSAIWLVKTLFIKLLASSYLSTRFFDRIQESIFHQYVVQALSGRPVMEMEERVGRSASTGQLSLKNLVKEKAGEKQEVIDVDKLKKMKQEKISAWTMKGLISVISGSGLSTIANYIEDADDEDQINEEICSEWEAKAAAYRIFKNVAKPGSKYLEEEDFLRFMKKEEVDNLLPLFEGTAEPGKIKRKNLKNWLVNVYLERKFLAHSLNDAKTAIEELNKLVSVLLFVVIIIVWLLMMGFLTTQILVFIGSQLVLVAFMFGNTAKTVFEAIIFVFVMHPFDVGDRCVVDGVQMIVEEMNILTTVFLRYDNEKIFYPNCILATKPISNFYRSPEMSDSVEFTVDLSISEEQIEGLKARVKQYIDSKPQHWRPGHSIQFRDIENVNKMKMGLYITHTINFQNFGDKASRRSELVFELKKIFKDLDITYQLLPQEVRVTYFGSPAAAIQQPIR >OMO67219 pep supercontig:CCACVL1_1.0:contig12460:19145:22084:-1 gene:CCACVL1_20704 transcript:OMO67219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEMMTKMDETRRGKNISASMAVFPSVLSATWPIIIMPPDLSKTQKAIPSPH >OMO67216 pep supercontig:CCACVL1_1.0:contig12460:6944:9458:-1 gene:CCACVL1_20701 transcript:OMO67216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding, tRNA/helicase-type MPVNLTRNAIATINGGDVNSKPLVQVVDIKLIGNSQERYRFLLSDSDSTQHAMLATQLNEQVRTGRVKKGSIIQLIDYICSTVQNRRIIVVLNMEIIIPEYEIIGNPRILTDSAATTNQLLPNGNSEASVRPSNSRYSAQVPANNVQNFRPTIQPPYQPPPNYRAQGPIMKNEAPARIIPIAALNPYQGRWAIKARVTAKGDLRRYNNARGDGKVFSFDLLDSDGGEIRVTCFNSVVDRFYDVIEVGRVYLISKGSLKPAQKNFNHLKNEWEIFLESTSTVELCPNEDGSIPRQQFSFKPISEIESAENNSILDVIGIVITVNPSVPIMRKNGMETQRRILNLKDTSGKSVELTLWGDFCNKEGQMLQEMVDSGDFPVLAVKAGKVNDFNGKSIGTISSTQLFINPDRPEAQSLRDWFERGGRNTASISISKEIMPGGTKNEIRKTLSQIKDEGLGRSDKPDWVTTKATVVFIKTDNFCYTACPLMIGDRQCSKKVTPSGNKRWICDRCNQEFEECDYRYLLQVQIQDHTGLTWVTAFQESGEEIMGCSAKEMYLLKYELQDDTKFGEIIRSRLFHHYLFKLKIKEELYGDEQRVKITVVKADKVKYSAESRYLLDLISKNGKLKRRF >OMO67220 pep supercontig:CCACVL1_1.0:contig12460:27199:27796:1 gene:CCACVL1_20705 transcript:OMO67220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding protein, ACBP MALEDEFQEHANKAKNLPPSTKDADKLILYGFYKQATVGNVNTSRPGMFSPTDRAKWDAWKAVEGKTKEEAMSSYIAKVKELQGAAA >OMO67222 pep supercontig:CCACVL1_1.0:contig12460:32994:33770:1 gene:CCACVL1_20707 transcript:OMO67222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dimeric alpha-beta barrel MLCLKARPLLSSPFSLTFSSSKHLKRPFTLSTKASKSPITMSIIEHVVLFKVKDDTDQTKLNAMLNGLNGLSSLDAVLHLTAGPVHRIRSQISNFTHMLHSRYKSKEDLNTYSAHPDHMRVVKENVLPICDDLMAVDWVADNDPASLSPPPSSAIKITLMKLKENVTNEAQGEILGVIKGIKEGIPGIEQITCGENFSPARAKGFSLASLAVFPGVGQLEAAEGNEEFVNLQKQKVRDYLDGVIVVDYVVPSSSSSSL >OMP11174 pep supercontig:CCACVL1_1.0:contig01502:2187:5315:-1 gene:CCACVL1_00641 transcript:OMP11174 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MRSWNSNSNSNSNSNSSLGAGAASKVMMEIEANKPGGKGMVVGGLSPLSETLWRDKTDPDMVGDVSARLSWKDLTVMVSLSNGESQKVLEGLTGFAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGTILLNGRKTKLSFGTAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPWSEKRALVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASVHQPSSEVFELFDQLYLLSSGKTVYFGQASEAYEATGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLEKITTAEAIRTLIDFYRTSQQCYAAKEKVNEISKVKGTVLDSGGSQASFLMQCFTLTKRSFINMSRDFGYYWLRLLIYILVTICIGTIYLNVGTSYNSILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVSAFVIGNTISAMPFLIMITFIAGTICYFMVRLHPGFEHYIFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQGQYQNDLKGLLFDNQSPDFPKIPGEYILENVFQIDVGRSKWINLSVIFSMIFIYRIIFFFMIKISEDVTPWIRGLIARRRMQHKNATQNTVAPDGLTQSPSLRNYVKNKASGTGKR >OMP06890 pep supercontig:CCACVL1_1.0:contig04760:105:956:-1 gene:CCACVL1_01413 transcript:OMP06890 gene_biotype:protein_coding transcript_biotype:protein_coding description:(R)-mandelonitrile lyase-like protein MQARPVNIKVAVYASVERILLAPSSSTSSSNVRSRQSAIGVVFSDELGRTPAPPLFLTAATIMEKIVWPLSSGSLRLASTDVRVNPIIWFNYFSNPMDVERFVGPALPADQSNDDEMAYFCRRTVSTIWHYLGECVVGKVVDQN >OMO65832 pep supercontig:CCACVL1_1.0:contig12616:40808:41878:-1 gene:CCACVL1_21373 transcript:OMO65832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch-type beta propeller MMDRSNSVSPPAKKQKNLVPCSSRVRDVLIRASNWEDGEFVFDWYILNLEDGTIRSTLMRMPPEARGSSTAVACSNQIYVLGGVCSRDGTCPDGESYHHFHDSVLYFDGNDPEKGWSPGKLMSLARARPSAVSFDSKIYAFGGYREGPLAEYLDINDPEKRWYPVLAPSPLFDGDMSYPVVLDSARRRILVHFMSNDSLYAYYVDDNRWCLLKKDFGGWTRSMSAVIVGNVLYTLSTYGELDFFFFPEHDKRSLTADEPTSSLRAYDLGENKALPTRWLSGGFKGYAPVTSDLVHIGSNNLCLVWLSGVHNTLEYIKFSVTRDSSGEVHATSESESEARFPIKANVFQISLLLIQG >OMO65829 pep supercontig:CCACVL1_1.0:contig12616:17573:20918:-1 gene:CCACVL1_21370 transcript:OMO65829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFSFLFRRHDSSANHSFEVDEEFGIQNVRFYTYKELRSATEDFSLANKIGEGGFGSVYKGRLKDGKIAAIKVLSAESRQGVREFVTEIKTISEIEHENLVKLYGCCVEDNHRILVYNYLENNSLAQTLLGSGHSNVQFNWKARSKICIGIARGLAYLHDEVRPYIVHRDIKASNILLDRDLTPKISDFGLAKLIPANMTHVSTRVAGTIGYLAPEYAIRGQLTRKADVYGFGVLLIETVSGRCNTNTQLPVGEQYLLERTWDLYERRELVGLVDTAMNGDFDAEEACRFLKVGLLCTQDTPKLRPTMSSVVKMLTGEKNVEDRTITKPGLISDFMDLKVRSSEKPHTGSKYTSSYNASSSSENLDNSTLTLSSGNSASATMTLSAPYDRSV >OMO65838 pep supercontig:CCACVL1_1.0:contig12616:83173:84602:1 gene:CCACVL1_21379 transcript:OMO65838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKSTVSAFPSSTSNLHNLTKASPPSTNLITAHNYNYNPWKRSRKLGAWRRRRNTTPRTLLKVSSSSENQTAVIVAAPSENEAAEIVRSFYGGINRRDLASVEPLIAEKCVYEDLIFPRPFVGRQAILDFFRSFMDSISKDLQFAIDDISAEDSSAVGVTWHLGCHQGSNMAAATVSSVGRSVMKEAPGVWTFSK >OMO65839 pep supercontig:CCACVL1_1.0:contig12616:86272:88491:-1 gene:CCACVL1_21380 transcript:OMO65839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSASSRLVLQGLSISQIQKFIPRKWKHSVKPVIDNKSKQTSIHKSQKHEFKAFRTEDDSMIEYLLISLKEHITQGYLSKAFKLFSLIRLHVTPTASNDIILHPISSLLLSCANLKSLTSGKQLHAQIILLGLEQHRILVPKLVTFYSAFDLLDEAQLITENSDVLDPLPWNMLISSFVKNKLLGEALSAYKRMVNKGIRPDNFTYPSVLKACGENLDVDFGRMVHNSINSSCHDWNLYVHNALISMYGKFGEVDVARDLFNKMPERDDVSWNAMIGCYASKSMWGEAFSLFGRMRAEGIELNFITWNTIAGGCLQTGNFKGSLQLLSQMRCSGLRLDPVAMIIGLGASSHIGALKLGKEIHGSAIRSFYDGFENVKNALITMYSRGKDLRHAYILFQSVEAKSIITWNSMISGYSHNDQSEEASFVFREMLLSGVEPNFVTIASILPLCARVANLQHGKEFHCYITRRKVFEDFLLLWNALVDMYARSGEVLAAKRVFDLMRRRDEVTYTSLIAGYGIQGDGRAALKLFQEMINLQIKPDHVTMVAVLSACSHCGLVIEGQMWFEKMQSLYGITPRLEHFSCMVDLYGRAGLLNKAKETIVRMPFKPSAEMWATLLGACRIHGNTDIGEWAAEKLLELRPENSGYYVLIANMYAAAGRWDELAKVRTFMRYLGVRKSPGCAWVDVGSGFSPFVVGDTAHPYAQEVYQLLDGLTELMKDFGWVAWDKLGLNDEVLDNLE >OMO65828 pep supercontig:CCACVL1_1.0:contig12616:8745:15518:-1 gene:CCACVL1_21369 transcript:OMO65828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIGLFKQGWKWLQSQKHVYSKAKTAVVWCRDKMGLLMERHWPMVCSGCVKFWKFSKLLLIYWKECIVRGFQSCVKLGSASLLVIMWSCFLSLTSMSCLLYVLLSMGAAGAAVQYLGYTPGLFIVGLFGILILWMYANFWITGTLFIVGGYLFSLSHARLIVLIATTYSVYFVKVRVGWLGVFLSINLAFFSNDVLNYLLQCFDNASENTHYEEQKESKPVMEDDLSGECEYSIPTDEPEKVQPCKSSSKSATTSVISQKEFSAKQVVKEESSSADEMKRILNSTNHYDALGFARHIKIDAAILKKEYRKKAMLVHPDKNMGSPLASESFKKLQCAYEVLSDSMKKRDYDEQLRKEESRTKSVCQKSHGSSRQASPDHCSEESRRIQCTKCGNSHIWVCTNRNKAKARWCQDCCQYHQAKDGDGWLSTKVEIPRAFVCAESKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKTQRSNSSRYPWDLDAEMIDEDEEEFELWLQQALASGLFCETSKRRKSWSPFKLPQKKSKRQWRRTST >OMO65830 pep supercontig:CCACVL1_1.0:contig12616:23756:27575:1 gene:CCACVL1_21371 transcript:OMO65830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNAVTKVAVVGSGISGAVCAAILARNGVSVTLFDSARGPGGRMSQRRELSEDGKELLFDHGAPYFTVTNPDVLSVVGEWESRGLVAEWKLNFGSFDCSSNKFVNIEQQERQSKKYVGVPGMNSICKALCHEPGVESKFNVGIGKFEWIEKENLWSLMGVDGQNLGHFMGVVASDKNIVSPRFTQITGQSPPLDLSLSPEFVKLHNIPVYPCFALMLAFSDPLSSIPVKGFSVINSKVLSWAYCDSSKPGRSSESERWVLHSTMEYAKDIVAQTGLQKPSKETLSKVGDEMLQEFLSTGFGIPQPFFKKAHRWGSAFPAASIAKEEKCLWDKNKRLAICGDFCISPNVEGAILSGLAAASKLKGMLCSL >OMO65833 pep supercontig:CCACVL1_1.0:contig12616:42779:44105:1 gene:CCACVL1_21374 transcript:OMO65833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbR MAASVMASSITLKPSFTLKKSSVKGVPSLARSPKVFKVLASGGKKIKTDKPYGINGGMALRDGLDASGRKGKGKGVYQFVDKYGANVDGYSPIYDQKDWSPTGDVYAGGVTGLAIWAVTLAGILAGGALLVYNTSALAQ >OMO65836 pep supercontig:CCACVL1_1.0:contig12616:69432:70754:1 gene:CCACVL1_21377 transcript:OMO65836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACVNNIGVSPESFQPKTSYSSFGWLSPRISFSREEESSKSRPKSPTADPSSKDPLPAASDPPEIQETPAGGDFEFRLEDPVAMLPADELFSDGKLVPLQFSTLKQHPPLNVLSEIRSPETAKACRRIEMEISGTDPYLFSPKAPRCSSRWRELLGLKRFSQNGANNQTPKSETQTKISLLSNTNPKSLKHFLHRSSKSSSSSSSSSNASWADSSLSLPLLKDSDCESVSISSSRLSLSSSSSGHEHEDLPRLSLDSDKPCLNLNNPSPNPFAPCRSINPNPPRMRMVKARPGCSGPETNQTTAGGSRMGRSPIRRRDSSGGVTSRGVSVDSPRMNSSGKIVFQSLERSSSSPSSFNGGPKFKQRGMERSYSANVRITPVLNVPVCSLRGSSKSGSVFGFGQLFSSSPQKNTGNGGSSKGHHQSNSSSSSRTKTDRNH >OMO65837 pep supercontig:CCACVL1_1.0:contig12616:73016:80444:1 gene:CCACVL1_21378 transcript:OMO65837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGHSPPTGHNFIKVSSSSSSAYSPLTSQNGSTAIIVPSSSTAATQSQNAVEVVRSFYARINDHDLASLKPLIAENCVYEDLMFSHPFVGRQNGKGGNSILPEAAVFIG >OMO65831 pep supercontig:CCACVL1_1.0:contig12616:33546:34205:-1 gene:CCACVL1_21372 transcript:OMO65831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch-type beta propeller MPEKRAMAYAVALDSKIYVFGGTTDTEGFFAECFDINQKIWAPLPNPPFASHIDPASISYPIVLDSPRSRLLVHFESNDSLYAYYMYDKRWRLLKEDFGLWTGKPSVIVDDVLYTLDSFDFDYWFSTRYNRCSSRAYDLVENKPLPTKWLSPFEGRLHFKTHLFHIGSGNLCLVWLDYNGLKFIKFSVTKNCGEVHAIGDSESATFVPIEADDCRFFLP >OMO65835 pep supercontig:CCACVL1_1.0:contig12616:60542:66144:-1 gene:CCACVL1_21376 transcript:OMO65835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQCKYSCDTAAETLEWIKAIADFIKPYSFLLNAHVVNFFKDRLWEAVDEEWIECLSKEAVENLLLIPSGVIQDYWPTSLKEFILTLKSLVFPREQADLKEVFPGFHTTTLNSVLSQGMNLKKKHEVEVLSAIVNSIVRTVSADAVIDVGAGQGYLAQVLAFEYQHSVVAIDACSHHGKVTDARAERIKKHYTAQMRKFGSGNKRLNVPQTITCCIMSLETLKSLTTLLPHRGDAERPELIKQGHEQLGCAGNGSSLVLAGLHACGDLSVTMLKTLLECEEVKAVISIGCCYNLLSEEGTENAGIQYGFPMSCGFKTVSLSLGKSSRDLACQSAERWKTLGKDAGLHNFELHAFRAAFQMILHRYYPEVITTSPSIGRQGKALRRKQQRRIMESELHDGDSSIPQRPSKMDEACTTKQSGESGKGTRLDVDALFNKMHLHTGSRREVTTSVDMYSFFEKFCQSGLCRLGLKPLEDKTFHGIWKEVEPYVNLIGVYWSLRAAFGPLLETLILLDRLLFLQEQADKLEVVMLPIFDPALSPRNVAIIAKKLDTAS >OMO65834 pep supercontig:CCACVL1_1.0:contig12616:44835:51312:-1 gene:CCACVL1_21375 transcript:OMO65834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNLQFDDTNGPFMLPRHLFACESLKELELDMFYDLRLPSFVHFPNLTTLTLTRVTFLDDNSVGKLFNGLPNLQNLALLACGWGNVVAVNISAPMLEQLTIYEYLATEETIPECQFMISGSRLKVFDYIGELVNDYCIFDVPLLVDVDVHLSVDIHKSNEARRLAAYRAHKLVRGLTGVKNLYVLSSRSFQVLADAEELIACFPLFHKLNHLEVSWSPPVDFASKALLKLLHKSPYLESITIEPRIQLSTLSERDDWEFDPVPPCFLTHLRRVKVKMFCGYADEVHVLKAILKSAKVLERIDLGTGKDCRRPPLSSSRFQSAAVKKRLRKVHCEFEPPKVNGALFPDSDSRALDRQKALDAAMNDINSSFGKGSVTRLGSAGGALVETFPSGCLTLDLALGGGLPRGRIVEVYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDVENLIVCQPDNGEMALEIADRMCRSGAIDLICVDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRSTGKIKSVKGDEDIGLRVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCILDCAEMMDVVVKKGSWYSYGDHRLGQGRDKALQYLRDNPVLCDEIEKIVRSMLTDGTLHLSSTPVKSLSLPPQDENFYEEI >OMP02180 pep supercontig:CCACVL1_1.0:contig06300:15:74:-1 gene:CCACVL1_02892 transcript:OMP02180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDPEEREEIFEKWVFMIR >OMO72050 pep supercontig:CCACVL1_1.0:contig11512:607:720:1 gene:CCACVL1_17976 transcript:OMO72050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVERMKLSRPRIIYPPPVGNNKKLKQPPHQKNH >OMO72051 pep supercontig:CCACVL1_1.0:contig11512:2816:3046:1 gene:CCACVL1_17977 transcript:OMO72051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVQPQKLSGSLWSKRYPPPKSNRESAQSITSPKMRPRAPPPPGQLTPPPPPPPKSLRCITCAVTGGNRGIVP >OMO90285 pep supercontig:CCACVL1_1.0:contig08500:11145:13230:1 gene:CCACVL1_07421 transcript:OMO90285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSAIAKTTTTASPPSIHTLPLQRPRLLRTFVSFSHLSFPGNSISSSSRNQRICTVSASKSDAPSPLSHQGDELFFRDALKSMETVYLNRNPTAKAILDLVHSIDDEQICYDHLAFRTFGVNGYGIDSMASFFLDHGYTQQEELRFPAKKLKALWFSPPSSSTQYGGSGVNGPLPRVFISELLVDQMSPKAQEIIRKYTEKAGNGNKYAALASALGSLTWGKPMYSEFQQLAGESEYAAWTLVNGYALNHVTISTHRLKSNLRSIKSLNEFIEKNGFKLNSEGGVLKVSPDGLLLQSSTVADSIPFCFSDGVNESVPCSYIEFAERLVLPQYKDLPESEVKEFHRRDGFEVGNADKIFESTSKEQLTRRA >OMO90286 pep supercontig:CCACVL1_1.0:contig08500:14149:18079:-1 gene:CCACVL1_07422 transcript:OMO90286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGFEDVKVKSWDTCKSKGRKKKKKNSEKEDLDDEAMVEETGCWVKLRFIGSCISSRSKVDSSVSGTSTHYDSKSANDTSRDQPTAPVVSSTTTSNAESSSSTSKLEDELKVASRLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLLSRVENFVPDADTFCRHLTSRSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSIKGAQKAAQLAAHCLSRDPKARPLMSEVVEALKPLPNLKDMASSSYYFQTMQAERVGSSPNTRNGIRSQGGALSRNGQRSLSIPNGSYASPYHHQFPYQSPKPKGKP >OMO90284 pep supercontig:CCACVL1_1.0:contig08500:163:4529:-1 gene:CCACVL1_07420 transcript:OMO90284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MAVSEPSQPITIKLDGTNYNHWSYLMRNFLKGKGLWKYVTGDKKCPRPQDGLENFVLEYEEWEINNSKILTWIANCVIPSISMLLGRFNTAKEVWDFLEKRYVQTDLARRYKLEMDLAALKQKRGQSISDFHSEMQVIWDQLAAMEPKWTVDAELYYQFREASRLAQFLMALQDEFESVGASILHRVPRPSVDAVISELMAEETRRGVLNQHKVGDDVVLVAAARLKSLGVNSFGTSQSRRDFSKIECYYCHELGHTKHNCPKLKKKTDSSKMGQVAAVTSSAETLDADTHSTVDQTQEIIRQVISSMSNNSMGNNSITASALSTSPGISSKDWIIDSGAFNHMTFDSSILESSKPISYSSSIHTANGSSMFATHIGSVSCSKNVSLDDVLLVPSLSMNLLSVGQLCDLGLDVIFRSHGCVVQDCLTGRVVGTGRKFGRLFVLETLHIPYRLFDQKLNSFCVQKSLLAATVQKLSPFSLWHRRLAHISCSRLQYLVSSGKLGSVKPEASTFCISCKLAKQSALPFNTSESVSLSPFDLIHSDVWGPSRLPTMGGSCYYVIFVDDFSRYTWLYLFRHRSDLLKIYQDFSTMVRTQFSKPIKRLRVDSAGEYKSGGLKEFLASQDFSTMVRTQFSKPIKRLRADSAGEYKSGGLKEFLASQGTLLELSCTDTPQQNGVAERKHRHIMETTRALLLSSLVPKRFWGEAVLTSVYVINRIPSSIIGGISPFERLYNSSPNYSELRIFGSTCFVSLPKVERDQLSQKTAICVFLGYGIGQKGSTLSEQVVPEETCPTADSTASNSAPTAPNSSESIVPELRRSTRERIPSKRYLDFHCFLSTILSVYEPKSYHEACLDPLWQAAMKEELNALEKTHTWDLVELPVGKSVVDCKWVYKVKTKSDGSVERYKARLVAKGFTQEYGIDYEETFAPVARMTSVRTLIAVASIRGWDMSQMDVKNVFLNGDLHEEVYMKPPPGLSCQTNQVCKLRRALYGLKQAPRAWFEKFSSTMLDSGFVQSAHDSTLFIRQSSRGIVLLLLYVDDMVITGDDDLGTKDIKNHLSRTFEMKDLGPLRYFLGIEVNSSSHGYVLSQVKYASDLISKAGLSDNKIVDTPIEMNVKLKATDGGLLTNATLYRQLVGSLIYLTVTRLDISHAVQVVSQFMTAPRTIHFAAVLRILRYLRGTLHKGLLFSSTSKLELRAFSDSDWAGDINDRRSTTEYRALSDTTAEIMWLCRLLKDLGVDTPNSVPLFCDSKSAIQIAQNDTFHERTKHIEVDCHFIRQEIRKGTIKLPHISSEFQVADLLTKSHTTTRFHYLVSKLQMFHFELS >OMO90287 pep supercontig:CCACVL1_1.0:contig08500:20897:25413:-1 gene:CCACVL1_07423 transcript:OMO90287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLLLRSNSSQQGTPEPPPPVQEEVVESTYVSKSATTLEGLIAEDPFPEYPTVENHGGEINGFEGENAGVASDKDASFPQSHTDVSEEDGWITIPNKDLPDDWNHASDIQSLRSMDRSFVFPGEQVHILACLSARNQETEIITPFKVAAFMSKNGRRKVSEQNGNMDCETNSVADGGEVGPSGKVMDQNGENLEKEKTDPAKDVSASESLLRMEDHRRQTETLLKRFKNSHFFVRIAESGEPLWSKKGSFESSEMDSEQSTANEIKNTAKNTSSLNAVIDRGNFDANVSGGVARDTVKCCSLSNGDIVVLLQVNVGVDFLKNPVIEILQFEKYQEINLSSENQENLVYVNQDPCGELLKWLLPLDNTLPPPRSLSPPPLGSGSGIGSTSQRSTLSASSGSQLFSFSHFRSYSMSSLPQNVATPPGPVKAQSSKPSFDLDEVDRYSSQKILKSQKTGIEGLLSFRGVSLERERFSVRCGLEGIHIPGRRWRRKLEIIQPVEIHSYSADCNTDDLLCVQIKNVSPAHIPDIVVYIDAITVVFEEASKGGPPASLPIACIEAGEDHSLPNLALRRGEEHSFIVKPASSMWKDLKTFGEKSKSSSLRAPSKTLDKKGSTSMASQYAIMVSCRCNYTESRLFFKQPTSWRPHISRDLKISVASEMSGQYSVPNERVTQLPVQVLTLQASNLTPEDLTMTVLAPASFTSPPSVVSLNSAPTSPMSPFVGFSELAGKASSIQRLTSMPTTLQNQRQNVDAGARLNSFNEQLTTADVIPTSGLGCTHLWLQSRVPLGCVPAQSTATIKLELLPLTDGIITLDTLQINVKEKGLTYIPEHSLKINATSSVSTGII >OMO91125 pep supercontig:CCACVL1_1.0:contig08379:19194:20513:1 gene:CCACVL1_07211 transcript:OMO91125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSWRRKGTSRGKKQKKQKQKQKEVEIPRHYLCPITLDLMKDPVTLSSGITYDRESIEIWLEEGNFTCPVTNQVLRSLDQIPNHSLRKMIQDWCVANRNSGVERIPTPRIPITSPEVCEILFSIMDSKRRLDQCGCLDSVQRIKKWGMESERNRRCIIANDTSAVLAAAFDAFASECDSFHRNSNVLEEILSVLNWMFPLDKDSQLHLGSYSSLRCMVWFLNCKDLSVKQNSIVALKELLSCDHQLGEKLAAIDGFNQTLFNFIKDPICPSITKASLMVIFHIISSSSSNERIKSDFVEMGLVSLLLETIVQSEKSLCEKALGVIDKLCDSKQGRQVAYDNALAMPILVKKILRVSELATDYSVSTIWKLSKVENKYEERVLIEALQVGAFQKLLLLIQLGCGDETKEKATELLKLLNPYRAGLECIDSVDFKSLKRSF >OMO91129 pep supercontig:CCACVL1_1.0:contig08379:72118:84831:-1 gene:CCACVL1_07215 transcript:OMO91129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAHVAGHYLTISRWKPLFRPYQAAIRTIDVWVRLLGLPIEFFSRSKLVEVGNLIGKIVKVDKSTEESSRGKYARICMEVYLGKPLVGSIMMGPVCQRIEYEGLEEIRFKCGIYGHKTSDCHQRFLKESHKTTITEEYLADRKMNNGKGGNES >OMO91126 pep supercontig:CCACVL1_1.0:contig08379:23816:43846:1 gene:CCACVL1_07212 transcript:OMO91126 gene_biotype:protein_coding transcript_biotype:protein_coding description:olfactory receptor 4X2-like protein MEAPLLLASSSVITTLEPSSSNYAPQRYCFLFLSIPTSTETPLETLEPPPGTISLSIAVNSPFCHRLLYFLFFFYAFSLIAFSLRNSTTAPPTATIGDKIHGMVSWPGCRRPLLFLPSVGRSFLFLPTIDLALHLAGINKAN >OMO91124 pep supercontig:CCACVL1_1.0:contig08379:9540:17603:1 gene:CCACVL1_07210 transcript:OMO91124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide binding protein (G-protein), alpha subunit MAASSEAEEDNKAWEDVIRKMLPAGAPLPDEDHLDYSIAVEYEGPPIPYDVPRVDPLDLDSLSVSDLSSIPVAAPVSSKTKFSSLKKNRNSTINNNNNNGRTGSSLESQRPSSASRSQIDSRNGEFDSEIEVEKSPPPPPLPPLQQVAVDKKYVAVTFNTPKDTETEDEEEDDGYSSSQSSVVDAAAAASGSAENVDTRGIRKKEKKRGICSRCGKGSRLKEREVCLVCDSRYCSNCLLKAMGSMPEGRKCVSCIGKPIDEAKRTRLGKSSRILRAVCSALEVKQIMKAEKGCAANQLRPEQLAVNGRQLTQEELAELLGCPLPPQKLKPGNYWYDKDSGLWGKEREKPDSIISSKLNVGGKLKKDASNGNTRVYINGREITKVELRVLKLAKVQCPRDTHFWLYDDGSYEEEGQNNIKGKIWEKASTRFLCSLFSLPVPPANPHGPQDDPTTYSGRSVPEYLEQGRVQKLLLFGLEGSGTSTIFKQAKFLYGNGFSPEELQNVKLMIQSNMYNYLSVLLEGRERFEEEALLALRTTNTDTEESATDETGVDGCNQCVYSINQRFKHFSDWLLDIMAMGDLDAFFPAATREYAPIVDEIWKDPAIQETYKRSEELHHLPDVAKYFLDQAVEISSNEYEPSEKDIIYAEGVTQSNGLAFIEFSFDERSPMSEIYNENFEYPPSLNKYQLIRINSKGLHEGCKWLEMFEDVRAVIFCVALSDYDEMSCRGTGPPGNKIFASRDMFESLVRHPSFRDTPFVLLLNKYDAFEDKLSRAPLSSCEWFRDFSPVKPHHNTHALAQQAYYYVAVKFKELYYSISGRKLFVSQTRARERSSIDEAFKYIREVLKWDEEKDDNLYGINGDDSFYSTEMSSSPYIRQE >OMO91128 pep supercontig:CCACVL1_1.0:contig08379:57039:57134:1 gene:CCACVL1_07214 transcript:OMO91128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRREKSISIQQQGAMIRFSKSREVFDHWI >OMO91127 pep supercontig:CCACVL1_1.0:contig08379:44780:49200:1 gene:CCACVL1_07213 transcript:OMO91127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I METSASASSFSSFQSYLGALARTPSRLACRAASVSSSYEELSRRYGSHMQRTLRWFDLVGLGIGGMVGAGVFVTTGRASRLYAGPAIVISYAIAGLCALLSAFCYTEFAVHMPVAGGAFSYLRITFGELAAFLTGANLIMEYVMSNAAVARGFTAYLGAAIGVSTAKWRFTVPGLPKGFNEIDLVAVAVVLILTLIICYSTRQSSTVNMILTALHILFIAFVILMGFWKGDWKNFSHPAKAENPSGFFPYGAAGVFNGAAMVYLSYIGYDAVSTMAEEVRNPVKDIPVGVSGSVIMVTILYCLMAASMSKLLPYDMIDVEAPFAAAAFRGGRWEWVSRVIGVGASLGILTSLLVAMLGQARYMCVIGRSNVVPSWFATLHPKTCTPVNASAFLGIFTAALALFTDLNVLLNLVSIGTLFVFYMVANAVIYRRYVVVGTTKPWPTLSFLCLLSLTSLIFTLLWHFAPPGKHKALVLGACVVIAIAILQIFHCTVPQARKPEFWGVPFMPWLPSISISLNIFLLGSLDGPSYVRFGFFSALALLVYILYSVHASFDAQEEGSFGQKNGEILQESPESEDPVHKV >OMP04729 pep supercontig:CCACVL1_1.0:contig05676:2074:2166:-1 gene:CCACVL1_02140 transcript:OMP04729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHLVERKKEMRAARRYQTRLSAKRSRGLEEE >OMO97863 pep supercontig:CCACVL1_1.0:contig07193:12285:12413:1 gene:CCACVL1_04426 transcript:OMO97863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRGEAKKGGVDSIAEAVVRQGEGLFPSIFRNPLEREMRDI >OMO51029 pep supercontig:CCACVL1_1.0:contig15995:107071:109512:-1 gene:CCACVL1_30058 transcript:OMO51029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MANANTTTAAEPVTIASSSAQLPVKLSSQNFSSWRAQLDALLFGLGLSGYVDGSSTPPSAEITRGDTRIPNPAYTLWKHQVKLILHAILTYTSEAILPYISSSTTSKQAWDTLHKTFANKNRSRVIALKDQLSFLKRENQAVGTYLHAMKTLADELRMAGSTINDDDLILHILKGVGAEFNDLTAAIRVRETPISFDELHAMFSAHELLLRQQDAAISDISIPTANFARRTSPQGSRNSSYYRNRSNQRSFNANRRQNFPSSSSGSHITCQICDKPGHSAKTCRRGLQFFSATPTVNIATVPNGDNRSWCMDTGASHHVTNAFNNLCLASDYEGTNKVVVGNETGLQITHSGLVDLRSNNTTFTLEDVLCVPHMNQDLISVSQFCKANNVFIEFYDDYFVVKHVHTRQELTRGLLINGVYKLPATVSTSPQAFVFSRVLISYWHHRFGHPSKPVLVSMLSQLGISTSCNKSDVCNACQCSKSHKLPFALSTLSTVKPLELIYSDIWGPKPSVSGHYYYVIFVDHFTKYTWFYSLKKKFDLSLIFPKFKLLVEKYFNSPIVSFYSDGGGEYEKLKQFLQLHGISHLKTPPHTPEHNGISERKHRHIIETCIALLHHAKMPLTFWPQAFQTAVYLINRMITPVLDNQSPFQKLFHKEANLSKLKIFGCLCYPWLRPYNNSKLNDRSRPCVFIGYSDCQSAYHCYDIYNNKFFTSRHVVFFESEFPFHSNSSSLSMSSKDTSSFLSHVPNLIQIKLLSALDSSSLMQPSSTQVFPALHLLQQNPHHKKLVTQMFCLVVLSIKPLASTVTQRHLPYK >OMO51025 pep supercontig:CCACVL1_1.0:contig15995:74356:82015:1 gene:CCACVL1_30054 transcript:OMO51025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMRQQRWMKPRKPEVFFGSTKLSLLAFGRLKVELKGRDNEH >OMO51017 pep supercontig:CCACVL1_1.0:contig15995:2607:4367:-1 gene:CCACVL1_30046 transcript:OMO51017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLHLGGPDTITAYVLEDNQLWTRHLLGLVVQTSLVIYVLFLSWTSSWLPHVMNSSMFIAGIIKYIERTWSLMLASSGQAKGTIPVFDIDTLVEKSENLSEEEKLVVGYQFFSALRPDITNYISPRENLSSSGTKLIMRFMNNVQMTGLWNINMDYLFQTLDNLDQTVLYEILEVELGFMFDVLYTKVPVMYTKVGCIFRFISVSCSLCALLLFFIFFRVEPGNHLDLVDIIITWILLVGAIIIELYAILRLVYSDWTVLWINSRHSKNRFLYRILGNFLSSFPRRSRKRKRWSESMGQFNLVSYCFNYKKAAKMTGKMSQRNLHTEFSDVPNLLKEFGDSFSLSTKFAFIAPRGEKALEAHSLKKDLRWSIELDFDESIITWHLVTDICYHKIHHSSEADQKGGGCFGFSCFGFGKEARRDVAEPELEEGLLFGFGRFGKEAEKLEDTCQVISNYMMYLLVMQPDMILPGYLQDFWFSHVCKTLGEFFDKQSISKKGEQNPYELLDKMKSQGGSGGLEENAWKIVERLDGEDNKWKIIKDVWLEMLCYAAVACPHVNHARYLRRGGEYLTHLWLRLTMSFLLNS >OMO51021 pep supercontig:CCACVL1_1.0:contig15995:58419:59396:-1 gene:CCACVL1_30050 transcript:OMO51021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFIPLPLLLDLLTHLVDTYKIYLKNGRLMAFIAVLIISLHSMLFLSNVFSLEPLISDLIIKQSFLLLTSPGTPEFTNVFLGIQRDIKIFVGLEWIFLLLSAVASIFFTVSITHASALIHGGKTTSMKDLVLRTIRSWKRPFVTSFYITLLGLGYIFLSLVTLLPLVLILGYQVISSYSGILLLIPAMVFYIYLSVVWNLSLVVSIMEEKSGIKALGKAAEIVKGMKFQGFILSLVLTVLSVILFQGFRWMINFNVKRSEAAIILMGLLVLNSMWMVRMFGQTAYTVLYYKCKKNHGEEVELEAAAEMEYTKISTVPLLNESIP >OMO51030 pep supercontig:CCACVL1_1.0:contig15995:110138:111119:1 gene:CCACVL1_30059 transcript:OMO51030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTSKQNVNSEEIVEFEDDEVNTMEEKEVPEGEDATDHVIEDSIIEALQEPYVGMEFESPDDAQEYYNKEGCKAMLRISKKEEQKWVISRFHAAHNHELAMPKSTPLIRSHRKRSRVQKDLMDVLADSGIRPSKIVSVLSHESGGLNNLNMTDRDVFNYLSLKRQKQIEKGDAQVMLDYFHDCQSKNPGFFYSIQTDVDGRLANCFWVDARSRMAYKHFGDVIAFDPTYLTNKYRMSFVPFAGVNHHFQSVLFGCALLQSHVMILKMLG >OMO51031 pep supercontig:CCACVL1_1.0:contig15995:112070:113031:-1 gene:CCACVL1_30060 transcript:OMO51031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFTDNFHQSNEQIVMNLQKELLSADILVVVAVKDEDSVKWIQANSRNIPNIIFFESHHDLVNKLGGSYVQSEVKGSMFDKIVGISQQKKTNEPVEVVQTVSKAWDRHNSDDIRIVRGIGWQQIGAYANLAAYYLVGIPPWVLCGFVLHLRGEGLWIGMLTGSTIQGILLAFVIAATNWKKQASKARERIFQAEQ >OMO51028 pep supercontig:CCACVL1_1.0:contig15995:103620:103942:1 gene:CCACVL1_30057 transcript:OMO51028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNMVAALGGDDALWGNGAICGKYFTVKCTGPHPCTGKSVTVKIMDRCPGCPSTFDLSKEAFSQIADPVAGIINIDFKQI >OMO51018 pep supercontig:CCACVL1_1.0:contig15995:26248:28154:-1 gene:CCACVL1_30047 transcript:OMO51018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGRAGREIWSCIKGSHNPACEN >OMO51020 pep supercontig:CCACVL1_1.0:contig15995:38975:42379:-1 gene:CCACVL1_30049 transcript:OMO51020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant MADGYYIQIHHGGYVDHLLQEEPLLEEFVGNGEAGNGVVVGQGNVVPHIEKVDLGAEVEAGNLGEKVELEGIWAVNGAEQEEVTKENPVNEESRANVEAVNEGNGATDENVNEETGATDDPLNEETWATDVDQENGSTDEDVSQDEDEENMRFRDGFSVPVRGLSDGEHDEEFQRALKRKGGKVATARRRKGDSAFGSVAVEPCSDFEIEDPLLQRLRNIENEQPAGEGTSIVVIAAFDSEDDNDNEDAGTIDEEGRWHPSGFLRYNPTLEKPEFETGMVFTDVYQFREALTKYALADQKQLKFVKNDKNRVRVKCDTTDNCPWTIFASDCKISKGFAVKSIGDEHTCSVAFKNRMVKSTMIAERMHEMIRDNPKMRLKTLQKNIETTLKLNVGLRKCSRVKKKVNDEIKGNYTDEYKLVWDYANELLVKNPGSTVKVCTERVTEDSPPHFKRMYICLDALKKGWKNGCRPFLGVDGCFMKGPYRSEVLIAVGKDGNDQMYPVAWAVVETEKLRRANSDSADELLQRDKHPRQWTRAFQSEHSKCDFVDNNVCESFNSVIVDARMKSIISLLEEIREQTMRRILQKRAFGSSWKNNYGPLIKHKFDKQKKEAIYWRMCWESENGCEVKRGRMKFTVHLKNRTCDCRAWQLSGIPCAHACSAIWHLRGEPDEYLHPCYHKETYLKAYQYDLQPINGDHEWAKTGREPLLPPLRRRTKVGRPKVNRRKKKDEPKRSGKVSKSGTIITCGICGVQGHNRSTCPKKTAIVAPQNTRLEPPPEPAPYVSLVQVSPEARNLPGIVIREPQSGVYARPFKPPARAATDAKGKAKSHATDPVDRPCRQRKPSLKGFDLCKQKTGDPTPYYGYSRRNEIAANMYASTSAARSLQSKSSHESGTKGKRNTPANPVETQESIKKKK >OMO51032 pep supercontig:CCACVL1_1.0:contig15995:119864:120742:1 gene:CCACVL1_30061 transcript:OMO51032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEIELETGEEESLQWSKHMAIGKIYATKILNRKGVMAILRSIWVEEVAPSIREVGENTYGISFKSERARDRAIGDGPWSIMGSCMNLKKWVSDRSVAEIDFSELDVWLQIHDLPPDMLTYKNAKAIGKVLGMITNEENKLEDEDAGVGR >OMO51022 pep supercontig:CCACVL1_1.0:contig15995:60825:61790:-1 gene:CCACVL1_30051 transcript:OMO51022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFIPLLLDLLTLLVDTYKIYLKNGRLMALIAALIISLHSMLYLFNIFSLKPLISDLLIKQSFLLLTSPGTPEFTNVFVGIQSDIKIFAGVEWIFLLLSAIASIFFTVSITHASALIRGGKTTSMKDLVIRTIRSWKRPFVTSFYITLFSLGYIFLSLITLLPLVLILGNQVISSYSGILLSILAMVFYIYLSVVWNLSIIVSILEEKSGIQALGKADGIVKGMKLQGFILSLVLTILSVILFQGFRWIINFNVKRSETVRILMVLLVLNSIWMVRMFGHTAYTVLYYKCKKNHGEEVELEAEMEYTKIPAAPLLNESIP >OMO51023 pep supercontig:CCACVL1_1.0:contig15995:62664:72109:-1 gene:CCACVL1_30052 transcript:OMO51023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSASLQPQPLFNGSLLSSSPNLQFHHRSAATIFRWRYGRLQYPSLVTSRTNGQAFRILATANVPSGKEDPGKNVIMVDPLEAKRLAAKQMEQIKAKEKRKRRRQIEAINGAWAMIGLTAGLVIEGQTGKSILEQACPVADDTGNMQIHCSNHPCFSFDSISWWQKVADHGFGIEDNQILDEALCLILSYFDLIWDLSIVVSILEEKTGIQALRKTAEMVKGIKLQGFILSLVLIISSEILSSGVGWMRNFIDYNKQSEAKREVILFLLLIPSLMKDIFVHTEYTVLYYKSKKGHGGDQVELEYTNIYIPTINESNP >OMO51024 pep supercontig:CCACVL1_1.0:contig15995:73197:73262:1 gene:CCACVL1_30053 transcript:OMO51024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLREFAQEMTHEFMEIARD >OMO51019 pep supercontig:CCACVL1_1.0:contig15995:37724:37801:1 gene:CCACVL1_30048 transcript:OMO51019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLLCHVRFSETHPDPTHFTRSI >OMO51026 pep supercontig:CCACVL1_1.0:contig15995:85356:85571:1 gene:CCACVL1_30055 transcript:OMO51026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTVNLPPLPLAAVEDCRCCFQSSPTNSDGLIVLYSRFDYVIHFACPSDKKWKMLDYKNILEEYLEESGELK >OMO51033 pep supercontig:CCACVL1_1.0:contig15995:121159:130848:-1 gene:CCACVL1_30062 transcript:OMO51033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MPPPLRNFSHGGSPPPPLKPKEKFLSLILKALKEIPQFRFPEGTEATRLESDLCVICLDGFKQGQWCRNLVGCGHFFHRKCVDAWLIKVAACPICRTSVPLDHEDEGIWGFGTSRTDFQGLLDFNADRGIPMGKLSKIECKPQLAEEAANLHEVYQGMEEKFENHQKVEHKDKHHLD >OMO51027 pep supercontig:CCACVL1_1.0:contig15995:100074:102281:-1 gene:CCACVL1_30056 transcript:OMO51027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAERVFVTSLCSYALFLLYVQFPQLFAAAFRNHPWLSLAILVIGTAFEVYFMGVLGLGLVVAALEDKYGLEAIRFGSELMAGTGRRLCWWSVTCMLVAVSGWIGNQFEKLTDGEDFLKKGLWTLVMRWETAGLLWFYGVAVIWSFIVTTVFYCGCKKRLDFSGVINCE >OMO73900 pep supercontig:CCACVL1_1.0:contig11173:8262:9271:-1 gene:CCACVL1_17082 transcript:OMO73900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVACYALDFLRCIMAFCILCAQTDSPAAPPRYVQSDATLGDKTMECDQYAPPLVTPVELLVSLLLLILSWPKLKRSIEDQIF >OMO73899 pep supercontig:CCACVL1_1.0:contig11173:4719:7765:1 gene:CCACVL1_17081 transcript:OMO73899 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MATSLLSPLTAPNPEAVGSGIGVSKSSFLPSTKLLSLNLKPHPRKPTTLGCYKSPLAKSLDHIPKQFREENLKDGLMDNFKNVPKSLYGLSPKQMDMFMTEDNPFRRQSESLTEESISSARNYLDHNGGMWSMSGTGDRDPSRYSMSVSMYRGGGRGYGRRSAPPDLPSLLLDSRIVYLGMPIVPAVTELLVAQFIYLDFDDTTKPIYLYINSPGTQNMKRENVGSETDAFAISDSMGFCKSKVYTINLGMAYGQAAMLLSLGAKGYRGVQPNSSVMLYLPQVYRSSGAATDTWIKAKELESNADSYCELLAKGTGKTKEEIREDLQTTKVFFAEQAIEYGLADRILYSLDGSYEPKDYDALLAKRKTMRKPGGPEAADSGFRFRS >OMO73901 pep supercontig:CCACVL1_1.0:contig11173:10275:13104:-1 gene:CCACVL1_17083 transcript:OMO73901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVHPPPAPGRELSSPPTDGISNLRFSNHSDHLLVSSWDKTVRLYDASANSLRGEFMHGGPVLDCCFHDDSSGFSASADNTVRRIVFNQGKEDILGRHDAPVRCVEYSYAAGQVITGSWDKTLKCWDPRGASGQERTLVGTYPQPERVYSLSLVGNRLVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSEASQAKKYAFKCHRKSEAGRDIVYPVNAIAFHPVYGTFATGGCDGFVNVWDGNNKKRLYQYSKYPTSIAALSFSRDGRLLAVASSYTFEEGEKPHEPDAIFVRSVNEIEVKPKPKVYPNPPA >OMO96681 pep supercontig:CCACVL1_1.0:contig07403:29448:30825:1 gene:CCACVL1_04837 transcript:OMO96681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQISSSLMEQSNKSLSFEPLESRGTKGAACDGKDGFLGVEERKALSLKLGVEDESNKSSTKNGHTKLCARGHWRPAEDAKLKELVAQYGPQNWNLIAEHLQGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLLAAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKHREQSSIYRRRKPSSQSQSQSLPKGLDVTVHNNASSDQSTISSNIDESASTCTDLSLTPSSAKVPPGFFTSFSPPHQMGSSGEKVVRCRGSGDLDKFYGSGNVLFYQQGPMGVGMGVDQPVHSSDSNSEVSAAESVGTNRTNHSISGESGNGLNEKKKIINNINMPFIDFLGVGAS >OMO96680 pep supercontig:CCACVL1_1.0:contig07403:6399:9918:-1 gene:CCACVL1_04836 transcript:OMO96680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MGSSSRFLLLLSPASPLLHHHRPSSSLLRLSRHRIPLSVHQHHHHFCPPRPLAPTSRNRKNSPFILLKPSSRHFHTQTAASNFANSSVWTPPQHPWPEFSALLSNLSNAGYFNSSHTSNEFAAASPEFPEEVVNACFAFARDRTDLLRLLSRKEIELVVQNGTPFLFKDGEESARKLIAFLGARETNVRDVDKASTVDLMRFLLSYASNVTAFSEGSNLYNRELVESSVRNLLGELAKLSDSPPISDYSEFDQTQPSDRHGQMPRSFGQKVEMKRGDWICPRCNFMNFARNVKCLECEEDRPKRQLTGGEWECPQCDFFNYGRNTVCLRCDCKRPGKISLGNNYSRPGLTYSNGSSSDKADLDRRLAANEEKAQRWFSKVSQLDSTSDMSSSIADEDFPEIMPLRKGVNRFVVSTRKTPLERRLDNAQYRRNMDNDGIPEGNNSQTGGVNKSLDAKVNRSLDGILGRSSTTSGSNENTISDGAENSLSASTSSMQDVHPRGTNPNYVPFVPLPADMFAKKPENSNITESEKAISIDNQSVGSSAVGQTDAVSRSEETIKSIEGQQKLMNEKESSDKEIEEAEKSQRWFKKVAELHNVTDLDNGIPNEDFPNIMPMRKGENRFVVSRKKDRSLTSPTYKRQAATEEAGNTNYVPFVPFPPDYFAKKDKQQPEGTDSIAKATGETSTCVTPEKHSERLSEASATEGRMAIQNQQTQSWSSKPSGENLNETRTDAAYVAQTSGYSNQNSINPQTSKIDDLSSGYSTSENRKPITNSAGSSMQPSVDQNVRSTWTGKSLEGSAVKEPDPLDMSEEAKAERWFRRVAQIKDISELSQIPDEDFPSIMPMRKGVNRFVVSKRKTPLERRLTSQQYRRNLPTVNSDPEKKESEGS >OMO59214 pep supercontig:CCACVL1_1.0:contig14030:31518:31658:-1 gene:CCACVL1_24997 transcript:OMO59214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIDTYPGPIKAHDIHEIVFKVQSKPKKAQSKPLFNVYQKIWPQI >OMO59212 pep supercontig:CCACVL1_1.0:contig14030:21475:25647:1 gene:CCACVL1_24995 transcript:OMO59212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase NADP-dependent MLKLALRLGAISSAPAPSTTMLASSFSSSSSSVLSLRNKNPSSLLSSTSSSRLFGNGTLNNRVVLSHHFPRAVSLRCFASSSGFDRVQVQNPIVEMDGDEMTRIIWSMIKEKLIFPYLSLDIKYFDLGILNRDATDDKVTIESAEAALKYNVAIKCATITPDETRVKEFGLKSMWRSPNGTIRNILNGTVFREPILCRNIPRIVPGWKKPICIGRHAFGDQYRATDTVITGPGKLKMVFVPEGGEKPLELDVYNFKGPGVALAMYNVDESIRSFAESSMSLAFSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEQNWKEKFEEHSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSIASIFAWTRGLEHRAKLDKNESLLDFVQKLEAACIETVEAGKMTKDLALLIHGPKVSRDLYLNTEEFIDAVANSLEMKLREPALYSIAPFKHSTHQAILQEMSDEPAPRARAT >OMO59213 pep supercontig:CCACVL1_1.0:contig14030:27159:28718:1 gene:CCACVL1_24996 transcript:OMO59213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MALPKAKEIVSANPVVVFSKSYCPYCVSVKQLLQKLDASFKAIELDNESDGSEIQSALAEWTGQRTVPNVFIGGKHIGGCDTTTALHQEGKLIPLLNQAGAIAKTSA >OMO59216 pep supercontig:CCACVL1_1.0:contig14030:62461:64228:-1 gene:CCACVL1_24999 transcript:OMO59216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVTKSQIPEHDDDFGRGKNMDYVDRISIPDSGSSNVSSESQIAAQDDDYGDYGNYGDRISELPDDILLQILSYLPTWKDIIATCVLSSRWKSLWKSLWESFDVLNFDFKIFWTKTEPMITWDSVQRAIEEIPDRARIGKFRLHCDASVLLSAATKKRTELNSMLSKLVRHQVEVLDLYICPEWERFRFFPWPDSLCTSGSLTTLKLDMSLKLHLPASICFPKLKTLHLECIKFHQEHDPERLFSACPILEELHFRRCDLISNPDPPNYKLSISIPSLRRFFFEHTYKHISLRINCSNLHSLKFRCFKFELLECNLPSLVEAHIDFGTWQFNHQLFERICHIKSLGLPAQTIQGLIFEYFEMEDGLTVEDVVSFYFNRSLKSLNLSKFHGNGLEVNVRFHESKFVSIWHVATDVRFVGKILENADVLEKLIKMELVKRKVYIKDKLSSFSYADCDLFL >OMO59215 pep supercontig:CCACVL1_1.0:contig14030:36311:46112:-1 gene:CCACVL1_24998 transcript:OMO59215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRETGQDIIGVLSSKIQTAIRRDVYKVKVEEVPIQRT >OMO59211 pep supercontig:CCACVL1_1.0:contig14030:7935:18845:-1 gene:CCACVL1_24994 transcript:OMO59211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSWLAIKARTKANPLLTWRALRFRTICSGRLGFATSESDPPDPPVAGTKFLETFKEEFEVGSQVISLETGKIARFANGAVVLGMEQTKVLSTVAAGKGDAVRDFLPLTVDYQEKQFAQGVIPNTFMRREGAPKERELLCGRIIDRPIRPLFPAGFYHEIQVIASVLSSDGKQDPDVMAANATSAALMLSDIPWGGPIGVVRIGRICGQFIVNPTMDELSLSDLNLVYACTRDKTLMIDVQAREISEKDLEAGLRLAHPEAVKYLEPQIRLAARAGKQKKEYTLSMVSKETFEKVRNMAEAPIEAVFTDPSYGKFERGEALEKITEDVKSALEEECDEQSLKVLPKVVDAVRKQVVRKKIISEGSRVDGRHLDEVRPIYCEAGYLPILHGSAIFNRGDTQVLCTVTLGAPQEAQRLDSLVGPPTKRFMLHYSFPPFSINEIGKRAGLNRREVGHGTLAEKALLAVLPPEDDFPYTVRINSEVMASDGSTSMATVCGGSMALMDAGIPLREHVAGVSVGLVTDVDPANGEIRDYRILTDILGLEDHLGDMDFKIAGTRNGVTAIQLDIKPAGIPLDIICESLESARKGRLQILDHMEREIRAPRTQADRNSPRLVTLKFGNDAIRRFIGPLGALKRKIEDETGARISVGDGTITIVAKNQAVMEKVQDKVDFTIGREIVVGGIYKGIVTSVKEYGAFVEFNGGQEGLLHISELSHEPVSRVSDVVSVGQQISLMCIGQDVRGNIKLSHKAILPQPGSEKKNIVQQSASVKKEASNVWAPLEDVSNEEQQKSTLKELPLRENEDTTAIPYASSPPAVVIRSAEECDEAEKSDGLAKTSKSSPKQMGSLKRGNKLKKGQPSDDMARSTLSSILSEPLSRAAGEKESGSEDADGETPMTPQKLKIGTKVTAKVYQLRARGLVLDLGGGIRGMYKFEPNGEKDFNVGDELHVQCSSFTNPRLDIVARSCEESHVQNVGNYSKDYEAIMTQMRYDMLLEKFAYGESGEPPDKLFVLSQCMDDLSQQECAECFAKRCSDDMEVDQGFDTLLRDVLQKAVRQTQVKEGFGTASVSKNGTKIHSMAQCWNTLDQQMCSDCLKNALNSVLDCVPSDEGRALKAGCFLRYSTYEFLNDPGDEDSNRFDAAITLFYLFSVVAIGTVAVAVGLCLGKMTYGVMNNSDSEYKRG >OMO89418 pep supercontig:CCACVL1_1.0:contig08723:11542:11886:1 gene:CCACVL1_07843 transcript:OMO89418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQFIVGVSDATTIAFPPPDEDENTPDAEFDVSKFLKDAEFRVKFLTSREFRIFINCLVVAGKIGIGLYKFLKEKKSAEKKPEEKKCSNCLNCFLYLSFLAFFCFSRTIREFV >OMO89419 pep supercontig:CCACVL1_1.0:contig08723:12700:14741:-1 gene:CCACVL1_07844 transcript:OMO89419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSTRMHLLSRTSAEASMDAKISRPALLSLLSPNLDSISQKEV >OMP05911 pep supercontig:CCACVL1_1.0:contig05136:2334:2396:-1 gene:CCACVL1_01793 transcript:OMP05911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLLLLSGSVPVRPILLVRFSP >OMO96761 pep supercontig:CCACVL1_1.0:contig07383:19722:20932:1 gene:CCACVL1_04786 transcript:OMO96761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYENVINPMTGREYWAKTPGGHETLQPPEYRVMPGRPKKNRKKVPVEPIKAKPTPEGKMSRKGMIMTCGQPTSESAPNASQCSQTVSASGQAAPRPSASAPTQSTPTCFTSAPTQVTSTRSASAKTSTGKGTSARIKKTTVNAASFIPGCSASISGSGFRSENIQVLDEGGRVVAQSESRLRNSPKSKAKYLNAPRPKWFNPTRCTKNKIEGLGLYTNMKTGVVIEDPGWPTEHFKSYGGGKRKASSEGNSSAAVSDRPTDKRQMT >OMO96762 pep supercontig:CCACVL1_1.0:contig07383:22893:24283:-1 gene:CCACVL1_04787 transcript:OMO96762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSDSAYRVETTTRLAQWRIDNLASCTYRKSDPFNIGN >OMO96763 pep supercontig:CCACVL1_1.0:contig07383:26493:26789:1 gene:CCACVL1_04788 transcript:OMO96763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLDLETEILEAELMSDVQGLLKLKEKIWVKNKRRTAEVFHECFEVRVVELKKICLEVLGPQLNPAAIWELYAGAIVLYRRYMKERKGVLLGLRYS >OMO96764 pep supercontig:CCACVL1_1.0:contig07383:49031:49147:1 gene:CCACVL1_04789 transcript:OMO96764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVRSHSSNRTMVTSSVIGKKESKDRESKMNTPLGGK >OMO71206 pep supercontig:CCACVL1_1.0:contig11708:2810:5503:-1 gene:CCACVL1_18368 transcript:OMO71206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MAATVGSTSADSNPDPDDDLHFLLSHQHNELMAAHSIESDLDIAFNLQLQEALSASLSLHTSHPLPPPPPPPPPLNDTVFSLASKELSKTHQESLDHKRCLLETKKLKEDLKRRVHDEKFARLIARVPHEQWAEWGDSLERPFGEGCSKAMQCEDDDEYDIDDFSDDDECEFRIYVKGLVSEEKVGNAKSKFAGIGVAICDFRDNLILEIKKPLVGDGLNKQAAELKALIEGLNAALSLELNRVQFYCDYYPIYQYVTRRWPPKQRKISMLVNQVLLLQRKFTYCSPKYVPRNDIKYAFKLAREAIDSQVTRPVEQNHVKTVKETCVICLEDTDFGSMFSVDRCMHRYCFSCMKQHVEVKLLHGMVPKCPHEGCKSELKVDSCGKFLTPKTIETLKHRIKEASIPVTERVYCPYPRCSALMSKSEVLGYAKDVLVGAERSGARKCVKCHGLFCINCKVPWHSNLTCHDYKRRNPNPPAEDLKLKSLATMNLWRQCVKCSHMIELLEGCFHITCRCGYEFCYNCGAEWKNKKATCSCPLWAEDLIWHGNRDSDEEEEEEDEDEEEDYEEYDSDDDWL >OMP06902 pep supercontig:CCACVL1_1.0:contig04751:61:1206:-1 gene:CCACVL1_01408 transcript:OMP06902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGVKEFRVVKLQRILEHYGQVEAELESRNVKYEHPMRQHLRRFLAIFGSYRLSLIELNGIQVSEVCQIFERVNKEGQPLSIFDIVVAKTYRPADAQTPAFLSARTMLAVLVRRQFPDCGVDNITERYLSQLQAKHIEAIWKDATEAFRNTFKFLHQTLNLIGPGLVPFRYLYMVLAAYFSVASNLTMRCSSELTGEKPIDSFKVLDLDRANLRTASYNSKSRLYRAVVGFYASHEPLNWEAPYAKVLTTAYYQATDKPNLHHIFPRNFVENAAGGAELLGKDRVDSLMNIAYLTQLTNLVISDQNPVDYLKPFVEAAGFDQVLESHLLGPE >OMO78219 pep supercontig:CCACVL1_1.0:contig10618:8731:8934:-1 gene:CCACVL1_14569 transcript:OMO78219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKPPNQIYFRVGNFDFPLKSPTRRLLTTITILPPPSFVSHCHVAARLPSRLLQQLPCPLQSFSTI >OMO78218 pep supercontig:CCACVL1_1.0:contig10618:579:1047:1 gene:CCACVL1_14568 transcript:OMO78218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANCGRRTLQFSCASAKTILTHSPSSRVANNKLAGLFSPQPTSASRLSLRNLISSRLPVELGGAVTLVPLHSATASALFTSLLSLHNQSWGCLSE >OMO78220 pep supercontig:CCACVL1_1.0:contig10618:12341:13644:1 gene:CCACVL1_14570 transcript:OMO78220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYIADDDYDCLLVLIALIGRRPGTLIDQLT >OMO60136 pep supercontig:CCACVL1_1.0:contig13776:7518:9469:1 gene:CCACVL1_24372 transcript:OMO60136 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 86B1 MINPSNNLTSSSSSDVNVVAGNAANFGFRQMLLLQHFQILELILAFFVFIVIHSLRQKSRYGLPVWPFLGMLPSLVAGC >OMO60137 pep supercontig:CCACVL1_1.0:contig13776:11079:13245:-1 gene:CCACVL1_24373 transcript:OMO60137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMASRETVKRAEQLVERAMKGNDASHDSWHVWRVRDLSLSLAREEGLSSNPHSMEIVELAALLHDIGDYKYLRDPSEEKLVENFLEDEGIDESKKTKILSIIKGMGFKDELAGLGNPELSLEFGLVQDADRLDAIGAIGIARCFTFGGNRNRVLHDPAIKPRSDLSKEQYMKREEQTTVNHFHEKLLKLKDLMKTKAGQRRAEKRHKFMEEYLKEFYEEWDGRA >OMO60224 pep supercontig:CCACVL1_1.0:contig13757:4599:8806:1 gene:CCACVL1_24321 transcript:OMO60224 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MNPPDNTRIRLMRECSWTDSDSSSNDTGESAESRQANNPHPPPELRRRRSHLFSFSSEELEERRSEERECLVGFLLDIRKFSTEFVQRYINHEWELRGAATVLGRDDNRFLIHFEREIDRRVGVLANPWAIDGGIFVMQPWTQMFHSLRQDSKGLTYVPLTPGCTLERDDGSNQWVRFRYERIQKFCLNCGEIGQTHRYCNSSFEEVERRINLSMNRTSQRHNLPIVTEIDETHFSNQMRAYLTRVSRRNTRIGYRQTQIQEEEQNARRETQVENAEQVTQPMHENEQQETTEQIELEDLPDATQPLEEIVPPIQRQRETASLGAIRDFTEVIPTFEESETEIFNRNLDIRVANLREEFRHLTQRHRNQPSPLIEAEMGDGQYPLSPIEDPVREFHHSVERTERIFARHENGFQNQADYDDMMFSLAREQSHFEHICQEIVRQSDYMLLGIQMRHLNTPYVQSCEPRWVNLPTGGTTFTNAKLVQEEGREAESSAMAERRAQEEETEYKELVDLQLQLIMKAEESENFRLIGNSTYNFQMQDLSRNLHTITEEGEEHEAPLREVGIEQNADEMQEATRETYNNQRLTGERTGVKAGCPKTASHKPMNLISWNVRVEKVRRLTRSWGFHHSVGSSSVGLSGGNLLLWNDSVKVTIMSFNKNVFLLYVDLGKTKSWVTCIYGCPELKSRKKVWNQLIQIRSNIPNNEEWIVVGDFNQVLYQSDKLSKTSTSLQGSEDFQQCLDYCNLSEVNFRGLHYTWSNRRDPGICTWERLDRAFANATWFQIYEHVVLTNLPITISDHSPMLLQFNRKEGFRKRPYRFKMMWSLNPQCEEVIKEGWNQQLVGSAAYKLVHKLKCTRDVLKRWNKTSFGNLFQRKNKIEKELEKVQQSIDQPRSELEEKELRRQLEEVMEQEQLLWMQKSKTNWIVKGDRNTNFYHTLTKKRRARNRITSIKRRNGTTTEDLCEIEHEFLEHFRKVFSNHGDANENIIREKLASIQIPKLAQEHKDVLNSPFSREEIRKAVFEMGPFKAPGLMVKNLESVNDFRPISLCTVVYKIGSRVLVNRLKLCLQNLITPFQSAFIQGRNIHDNVAIGAEILDTVTKKKKGKGVIAL >OMO63536 pep supercontig:CCACVL1_1.0:contig12942:8598:8960:-1 gene:CCACVL1_22391 transcript:OMO63536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MGRSSTQGSGFRLQGSPPPQKRIKDPACLRSLDFGEGNGCLKGLVTEIIYDPGCGARLALIKTPPGFCCLRTGNSKALGL >OMO50099 pep supercontig:CCACVL1_1.0:contig16320:9555:9653:1 gene:CCACVL1_30634 transcript:OMO50099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRSEQVEDNRNKGAAAPFFSRLLYHIQLGIYG >OMO50100 pep supercontig:CCACVL1_1.0:contig16320:25689:32262:1 gene:CCACVL1_30635 transcript:OMO50100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MKRQGDEESCVISDKANRRYLKYWNRCFARDNEKVSEGLAEWQSIRCATELEEAGIKFVKIGFDSEGISNESNSLFDISYRNGTMKIPTFVVDDNTESLFRNLIAYELYSQGSTYVLDYVTLMDNLINSANDVKLLRLSGVIVNMLGDDEAVAQMINKLRDHVTLSGNSFYYEEIFFDVKKHCARRWNIWKAKLSHDYFNSPWALLSFLAALLIILLTIGSFVTSLLALVVSSWWSAVSCGGGGDIGGGAALSIFCQLAMAEAIVSVLVERLLDLLAHEAVFLQGVHEEVGRLVAELERMKSALKDADRRQEQDELSRTVEKQIRELAYDAEDVIDTYILKVANQNRFMTMLSKPFHLHTIGEQVRAILAKLEDISKTLQPYKLISGEGESSNSNSRQRLIRRTNSLATEGFVVSLEGITSEVLAQLMKEDDSLRVVSIVGMGGIGKTTLANKLYHHVDVTRHFDSFAWVFISQQCMEREVFHEILVKVLSPSKEYRELMDKMKETELMRTLRDVLEDKRYLVVLDDIWSSDQWNTLKHAFPPGKKGSKILFTTRIKDVPLLADPLSSPVELPFLTDGESWELFRRKAFPGDGAESSHACPDEEFEKLGKDMVGKCGGLPLAIVVLGGLLATKRSLAQWEMVQRRFNADLNKRLGDTQKKELIRLWIAEGFISPPPQESGELLMEDEAEQCLQELINRCLVQVGKKDSTGTGVKTCQIHDLLRDLCVKKAREDNFLGIIQPPSNVNNIGPRFRVNLAESTERRRIAIHPSKGGVFWKNNNVSYSSVQIGTFKAFCSRRPGFLLLSPPSFLYGYFEGVNIYLPPRDALKNIETLKYIRVDEKFMRNINAMLSLTNVQSLGLIFQRSTYVESIVKSLIELHRMRSLHMDFEIDFSAIPNLEPLSDCRRLTKLNLRGRIQEEDGPHSSHPVLKFLPHNITKLTLVASMLWVDPMAELGKLQHLRILRLGRKSYCGTKLVCSANGFPQLDYLELVYLHNLEEWVIEEGAMPRLRSFKLVDPHKLRMLPEGLRYITTLKEMSLQFVSESLAKRSQVIDGREGEDFYKVRHIPSIQIINW >OMO50147 pep supercontig:CCACVL1_1.0:contig16292:6456:18736:-1 gene:CCACVL1_30601 transcript:OMO50147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22/L17 MIHGDKKMVRNSFFGAQQRMKQQANNKMDSKQMLVWMQELLSLPLILRSCPLSEHRGVTSKGNRTDAIEVYGSLDSMGFQENLLSSALKIYNNLTMLWLNAKLGFGTHLGVGTADCLILEDKDLLKGRCLILEMRASTKILVLLLCLAVTVVMTSFATSVTYDHRSIVIDGKRRVLISGSIHYPRSTPDDNFEGRYDLVKFIKLIGEPGLYAHLPHRAICLRRMELWAEMQRFTAKIVEMMKAEKLYASQGGPIILSQIENEYGNIDGPYGAAAKSYINWAANMAVSLKTDVPWIMCQQDDAPDPIVEIINFKEPLSNEGISTSRNLLAGASEETPISSPLTPVLAINSGKTEEKKVAPNRSKVQAVLKNIKQSPKKVNLVAALVRGMRVEDALLKLQVTVKRAAKTVYQFLENSINLESRRMVNSPPQREIEKKQATFRPAKEVGFQVTHSMPPEKIEIFKSMENRATKNVLVHLNQVEKSWQPQDFLPAPESEGFYEQVKELRERSREIGDEYLVVLVGNMITEEALPTYQTVINTLDGTRDETGASLTPWAIWLRAWTAEENCHGDLLNKIPNLKTTPTMDSYTLHFQERATFISHGNIARLVKAHEDTKLAQICGTIAADEKRHEAAYTKIVEKLFEIDPDGTMLALADRMRKKITMPAFLMYDGHDDFLFDHYSAVAQRLGVYTAKDYADILEFFVGRWKVEKLTGLSAEGRKAQDFVCELPKKIRRIEEKVATRAKAKQPSTTPFSWIFNREIIV >OMO63680 pep supercontig:CCACVL1_1.0:contig12921:2594:3756:1 gene:CCACVL1_22356 transcript:OMO63680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKSVRFISTSTHGRSPPFWLVVDCSFMNAPPQWSRQNVDRFFCCGGGDVANRAKGYGGGGGEEDRSRSHIVEWVWEHHRMEKITDVIDPRLNKEFDEDQARCILILGLACCHPNPSERPSIRTALQVLTGEVDAPVVPTEKPAFMWPPTTAPIAVIREEMDNAISGGQLSTTMELSGR >OMO74554 pep supercontig:CCACVL1_1.0:contig11113:37502:41170:-1 gene:CCACVL1_16621 transcript:OMO74554 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MLSGLINFLRACFRPRSDRYVHTSSDSGGRQDGLLWYKDTGQHFNGEFSMAVVQANNLLEDQSQLESGSLSSHESGPYGTFVGVYDGHGGPETSRFINDHLFQHLKRFTSEQQAMSVDVIRKAYQATEEGFLSLVTRQWPMKPQIAAVGSCCLVGVICNGTLYVANAGDSRAVLGRAMKATGEVLAEQLSAEHNACIESVRQELRSLHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFKKPILSADPSITVHQLQPNDQFVIFASDGLWEHLSNQEAVDIVHSHPRSGSARRLVKTALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASSVKGPNVSIRGGGVNLPPNTLAPCATPTEAGST >OMO74548 pep supercontig:CCACVL1_1.0:contig11113:10109:11945:-1 gene:CCACVL1_16615 transcript:OMO74548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDHYRVLGLPSGEEGANLTAKEITKAYREKARDLHPDKRKDDPNAHENFIKLKSSYEILVDEKARKLFDDLLRVKRDQERRFAQQDAKRRKMTSDLEERERAAFAPDPAVKAKEEEERIARKLKDEIARIRAMHANKGASTGAGSGSGLNQENVGREGNSGGVGLDREKVLKVSWEKIGEDYTAGKLRELFSRFGEVEDVMIKSSKKKRSAIVVMATKEAAVAATGSVSGSLSNPLLVLPFKPAIAAEFAAPKKAEETDGLSNLVGAALEAYEDAVFQKLRKAAEKQRK >OMO74552 pep supercontig:CCACVL1_1.0:contig11113:24879:26144:-1 gene:CCACVL1_16619 transcript:OMO74552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLEDLALALAVGNRNHRLKIDDSGVNNGVLHDELLIDVLCRLPARILMRCVCVCRGWYRLITDVCVPRVTPSLPLLGIDVRLRRRPRIFREKILYPSGSGSGSDSKIRIHLPVLPIEPQCNTFFIPAAADLLVDSCSGLELYVHHSKHRYILWNPTMRQYIPIPFPTVKPPAFAAVALHLGPSESVDIKIFAFPELEPKANEHNLHIHSLSAGKWGEHKVQYEHPVDAATLLDRCTYLNGKLFRLSYNGLLVWYTLKLKKDNINIVDVSYDYIELPNRGVGDRRKCIGSCMGRLRYAWTNFRLKLKIWVLSLDTREWIFRHYINLQALANNSQLVAFQAMSGVPLGFLPLAFDAHSDAIIVWTQNCIFSYRRNSGNPVLLRCGKHNDALLEESPLRAFPLTHCLVSLAMWGGKTPSFKCK >OMO74551 pep supercontig:CCACVL1_1.0:contig11113:20453:23082:1 gene:CCACVL1_16618 transcript:OMO74551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATLSVQPNRLTPGSAIPRPPVNIPNQTYPSLKPVKTEPWRAATIVHSRRILVARAGSRADDSAPFEMSVENALKLLGVSEGASFDDILRAKNSIVASIKDDQEAIAQVEAAYDMLLMRSLTQRRAGKVVNRSIRYADVKPVSPPGMGSMPQWVQTTVKNSPVSVETPSTGELGVQAGVYGALMVLTYVNGASTSAGVPYTGPDVPGLILAGSFGASLYFMTKKNVKLGKATVITLGGLVAGAVVGSAVENWLQNRCAVP >OMO74547 pep supercontig:CCACVL1_1.0:contig11113:8117:8215:1 gene:CCACVL1_16614 transcript:OMO74547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSKSIGTKYYVVSTFNCVGCRRRVIFKHR >OMO74555 pep supercontig:CCACVL1_1.0:contig11113:42259:47268:1 gene:CCACVL1_16622 transcript:OMO74555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLEPAKQRSSFGLHTTMHIVVVNIMTRSALCLSL >OMO74550 pep supercontig:CCACVL1_1.0:contig11113:16166:19568:-1 gene:CCACVL1_16617 transcript:OMO74550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MLAMSKWFTPTPFRYGKRNGFFAKADSVQPHANGGDNNKNNGSNKKKVVVVGSGWAGLGAANHLCNQVKCEMSWLRFSFQGFDVTVLDGGDGLGSPDNAGIQGFWYPYQNIFSLVDELGIKPFTNWTRSAQYSEEGLEVEFPVYQELPQLPTPLGTLYYTQFARLPLLDRLTSLPLMAAVIDFDNTDVAWRKYDSITARELFKQFGCSERLFQDVFGPLLQVGLFAPAEQCSAAATLGLLYYLILAHQKHFDVVFCRGTTKEKIFEPWVESLKAKGGEILEDRKVTDLIFNEETGCITEVVCGKEIYNADAVIFAVGIATLQEIIRKSAALCTREEFLKVLNLAGIDVVTVKLQLDRKITIPNPSNASAGFDDFFGWTFFDLNAIYDEHKDDPVTVIEADFYHANELLPLKDELVVEKVTPYLSKCIKDLESATVVEKEIGRFPKRLTHFFPGSYKYMMRGSTSFPNLFMAGDWIINRHGSWSQEKSYVTGLEAANRVVDYLEEGSFAKIIPVEEDEPHIEALRNLNRRLNEILDQVPLSGYFLQ >OMO74553 pep supercontig:CCACVL1_1.0:contig11113:29937:33984:-1 gene:CCACVL1_16620 transcript:OMO74553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASRLEEEEEVVSICRERKRLIKLAVDRRYALAEAHCRYCQALYAVAAAIKLFVARHSSPSSPFLITFPPPCPPTPPSTDQNQNVITNPVFLQQGPSESTHHEAIACKSCDSSTSSETSSEEETKEEVAREEEEEERQQQEQQHCGPEIISGYNRCSEDDLRVVREQEGIPELEEEGDGKEEEKKVVFVEEKESNCREHEESESGLVKVKEETNHNHVSQAEQKGLTVIDSPEKGRELLEALKDIEDHFIRAYDSGKDVSRMLEANMVHLQSGLEEIKENSTKLIQAITWHKSTLSRPPSCKSLVASSSKGSSAWTEYKNDLFDDYGGMDSGSHSLTLGRLYAWEKKLYEEVKAGDNTRKIYEKKCSRLRNQDVKGYDELTMDKTRAAVKDLYARILIAIRSAESISKRIQKLRDEELQPQILELLKGLTRTWKVMLESHETQNKILCEVKSFACPTYGKFSNDSHRLATLQLEAELQNWRACFSEYVAAQRAYIEALHGWLTKFLVPEVEFYSRGRSSAVPFVAHGPPLLVICYNWLTSMEGLPDKAVTFSLKSFSKDVRALWAQQGEEQHQKRKVDGMAKELDRRTMAFQKAETRFLESKLSEHKPEPEMEQRDEYLTEKKDQLDMFRKRLDMEREKHHNHMQETQRITLNGFQTGFSGVFESLIEYSKASLKMYNELVTRSENADKEGNLSYIEGSQVEENGNR >OMO74546 pep supercontig:CCACVL1_1.0:contig11113:4161:4796:1 gene:CCACVL1_16613 transcript:OMO74546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I3, Kunitz legume MKTKIAILLFLVLVFSSKSSYAQVLDTNGNPVQVGTKYYVVSANWGAGGGLSLSPGSRSQSCPYTVIQERSPQNYGYPVTFSSLDPNVTTIGVSAPLNMVFVLDEDSNQCPDQSLAWKVTKNPSTGQWDVTTGGAAGNPDASASQFQIERFSRRSSGYGFSFCPPGCSCKQLGVSVPRFGEEPRLGLTSNGAGGASFSFQKVDNIRIKQVA >OMO74549 pep supercontig:CCACVL1_1.0:contig11113:12846:15915:1 gene:CCACVL1_16616 transcript:OMO74549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGELQRPVSFRLNRRGDRNNQRPFAKDDGGLFSSGRLAQDSPLKIVWKQGFIRLVLVAGILWMLLILIVLLFHVWSCQSSSSFFSGICNKEGRVFVALDVLGFVPKAQHRCQIPVADDPEKVVIPEGRTPDVIVKDLTYIEDEDLVNDGSQSLPLFGGNQSWLQREESFKLKPSMKVHCGFLQNGGADMNPVDVEYVKKCRFVVASGIFDGYDVPHQPSNISVRSKKLFCFLMVVDEVSLKFIKKNVTITKDNDKGMWVGIWRLIPLKNPPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLILERYLWRGKHTYAIAQHKHHRSIYEEADANKRRKRYARPLIDLHMKIYYYEGMEPWSLKKNTISDVPEGAVIIREHTALNNLFNCLWFNEVNLFTPRDQLSFGYVVYRLKGFFKFFMFPNCEYNSLFILHPHTREHSSKVEWVKSLSEFKGNGSSMKESRGGFGLWTPYPKNLDSVTLPPVVRTSKAG >OMP05474 pep supercontig:CCACVL1_1.0:contig05368:4062:4169:-1 gene:CCACVL1_01884 transcript:OMP05474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRKTCGGRKGCVFGRRELFASGLSHFPFPFANFS >OMO67821 pep supercontig:CCACVL1_1.0:contig12375:10020:14864:-1 gene:CCACVL1_20293 transcript:OMO67821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded nucleic acid binding R3H MEGSVVEDLGAPESWEVADLDATMSRLMLASKKEPKAEFSDTACSASDLASGSSAEKVIGEDVINQVDQFLREAIQNPRERLSILRMEQDIENFISDPTKQQLEFQQLPTSYLRLAAHRVAQHYSLQSMVLLDNNLAEGSGFRIIVRKSSECRLPSIRLADIPVNLPSEDPGVVKVAIKQRPQKRSQVGSGSNSNSLKSNNSKSVEERKEEYNRARARIFNSNSSSSVSGGKPENEPRLQDVSYHGSSGMPKMEEKPVSLVSEINSSRGLIESSSSGSRLPGSRTEKEPIGRSKSNGRVAIFRDRETDRKDPDYDRNYDRYMQRFDPGFGFSSGPYMMQPMYTPAINYNTEFPQLGSTNRPQISTEHQPRPLPHHIPGPWVAPSNATGIGYGHPETMMPPFNPNHVGARSASAIYLHSSQYPCQHPGMPIIHPFEHVHQPFSQSHQQQPDASFGLARPR >OMP00117 pep supercontig:CCACVL1_1.0:contig06725:2422:3991:1 gene:CCACVL1_03463 transcript:OMP00117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPDAEPSEQAEYELQPHEYESSQEGAIVQQDEYLAANYDQNNQQQQQPQPFPQQQFQPQQQPQPFPQQQFQPQPAQYPPVKPNQAYPPQQPAPYPPQQPAAYPPQQPGAYPPQPVQFPPKSPPTNQMYANVTPQAMPPQTGYAPSPQAFPQPGYLPPQGMPPPSPHKPGTPAAGIPVMGAGRVDGWRSGLFDFMDDPMNALVTAFFPCLTFGQIAEIVDDGHTTCGTSGMLYGGIAFCIGLPCLLSCGYRTKLRNKFGLPEAPAPDWVTHFLCEWCALCQEYRELQHRGWDPSIGYQGNLARNQNMQPAPVMMAPMNQRMNMA >OMO64529 pep supercontig:CCACVL1_1.0:contig12813:29415:31725:-1 gene:CCACVL1_21690 transcript:OMO64529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MDNILEERFLAHKEEDETTTTTNDLKRRLWNESKILWRISFPSILSRVTSFGMIVVTQSFIGHISEVQLATYALIQSILVRFIYGILIGMSSATETLCGQAFGAGHHHMMGVYLQRSWIVDGITATMLVPFFIFATPIFRILGQEEELAAAAGSISIWFIPMIYNFVLSMPISMFLQAQLKNLIVGWLSTAAFVFHVLLSWIFVNKFEWGVTGAMAAMNISGWAMVMGQLVYVIGGIATGAGLQSMVAYVNLGSYYAIGIPIGILLGYVANLQVKGLWIGLLSGVAVQSLILAFLVWKTDWDEQVRKASERLNRWLVPEEDNQSPVHA >OMO81401 pep supercontig:CCACVL1_1.0:contig10180:5155:5904:1 gene:CCACVL1_12425 transcript:OMO81401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MENKSSPTRSIRVYLSISEPPSSALCFELALQTGTWEAPRDVFLSVKQSSDFMINILLRRGDPEIPINLAKQVAHICAQYITYMPEPEPEQGNNIKAFIYMAFNILKNDTIDEDGHGICHVYVRNTEAPNSLHFMDELRARSRLTTVVREDEAKCPICYHDMKSPAHQKVARLPCMHLFHVHCISPWIHDWEHYTCPMCRYQPVTNSPRLLPLPLNNPSLHQYYSSNENQICCFQVPWFGRLPSHITIF >OMO62369 pep supercontig:CCACVL1_1.0:contig13277:2741:20470:-1 gene:CCACVL1_22871 transcript:OMO62369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MKHHTRYAINYKENVEKFEKQLEMLKRKREMVQYEVEKAERNLEKIKVNVEEWCLEVDKVIDQEKKKVENLQDKAKDKCFIGLCPNFRSRYQLSRRADEVASTFRELIKVASEFSSRVSYHDVPVVSGLDVFSGDFEAFDSRKEVFNSIMGALKDDDDDVDIIGVHGMAGVGKNWLVREVARQVKMENLFDSVVMVSVSQNPDIRKIQDEIAGLVGINLGRWGKKFRRNGLMEGLKRIKKILVVLDDIWEELDLIDEVGIPFGAQHKGCKILLTSRDRDVLQRMDAATAFEVGVLEPKEAWQLFEKVIQHRVEESSELHSVAVKIVEKCAGLPVAIATLATALRNKPFLSQWEDALSRLQRPSSTNFRALPDHVTSAIRYSYDHLESEELRETFILCSLLGHDASVECLLRYMIGLGLVHDVNTIDEARNRVLAMISKLKASSLLHDSYSDDHFDMHDLIHDVALAIASRDHQVFALKEDDVLINWSDQEVMKDCISISLRFAAITELPDQLKCPQLTFFYMGSRDSSTNMKPYFFKETKNLKVLDLTKMHLSPLPSSISLLSNLQTLSLDECVLGDIAIVGELKNLQILSLSRSDIKMLPKEVGKLSKLKVLDLSHCTKLQTIPPGVLSSLSRLEELYMEFSWERHGIGRMTRMTIQALKLQLKKISIDQYHLDRVVTRLLNKTEDLYLGDLEGIKIVSNEFGNREGFLQLKNLHIRDSWETQFIVDDNNHTTDKIVFHQLQSLTLESLPQLIGFCSKNRPEDSTAIPQHEELPLFDEKVFFPCLEKLRLSSISVERIWQNTSDNYCSQNLTSLIIQNCGNLKRLLSSSMARSLVHLKYFEIVECRVLEEIIFTEDHMVKGKEATISFPELSTLKIRDLQHLTRFCSKNYKIQFPCLKLLEIEHCPKLKEFVQESTKEAALFDEKVAFPRVEKMTISHLRNLNVIWHEQLSSDSFHKLKTLEVKGCDELLTIFPSNMLRISQGLQFLMVSNCDSLEGVFHEPERLNMEDVSDKIVAMQLRELDIVHLPKLKYIWSKDPQGASTFHNLRSIHVKDCWSLRNVLPASVAASLPQLEDLHIESCGVEEIVSKIVLEGSERPITFEFNRVSSLVLCNLKKLKCFYPGRHTTMWPMLKKLDTQHCNQVKILYTEHSRIDDPIEQPLFWVEKVIPGLEEASLNSDDIAMIHDNQLSVESLFLKTKILKVRCYHDESAVFPFSFLQRFDDLEQLEVSCCNFKELLPGEGDVEMEKPITQTLPQIRLLKLSDLHKLSHIWKQGSRVNQILPNLEAVEVKNCGSLISCGPYLASFKSISTLEVSECQSMVNIFTSSTGQNLVHLTKMRIRECNLLREVFANEGEGRATSEIVFSKLKYLELHCLMNLTSFYSGKGTFHFPSLEQVIVRECSKMKIFCSGVLSTPKLQRVQQSEEDQKGCWFGDLNATIPNLFIPSLKVKFHGIEQLELSRFPELKETWNDVSQKVINFKRLKYLEIYNCRNLRYIFSPSMAMDLVYLENLEIFDCMDLEEVITRNGTSKEERLAMLFPRLVSFRLIALPLLTRFCSGPCFLKFPSMERIWIQNCPVLETIICNNENGRMLSMGNIEENNSGSDKQALFDEQTESSEADKFTYKFNQSSTKFPSLDFQSPGACCGDSDLIELFQSEGVHEWAKAQVWELRLFKLDKMRHIWKEEFQPGELFQNLITLKVSECSALKILVPSSVSFTNLTTLEIVKCGGFLNLITPSTAETMAQLQTLKISHCKLIQEIVAPSGDGIVLDDGTIICFTKLKYIGLQFLPCLTSFSSASYTFKFPALDQLIVKNCPNLEKFTMQDDLEASLLRKVCLSEEEDNWHWSESNSLNTTIKELHLAQKVERMGVRFMNNNNRQEFSLDVYLKKAIGTFIGVSVSVMIFFTYIALLYLLLLEPIFRCPRPCIGEGTETVRVTWMLRMIWRLIDKLKKLSNSTAVVKLDEDESTTSSSSSRYGFEVLAMTKLITSYNEDIQQEKQEINIPAEYHHQQVPTPTSSVPFPPISEERKVLPLTDSSNIMERFQVRQRKILTDHHGDQASSPFRRTNLVDWQLLPRDSTSKTMEDIQFPSNEPKLKRARSFDEQLLKSGSIRKWSIQYLDDHIHTTTNQPIDASDEIKEENTNFEEKSVTVLFEDLLNKLAKPLLSQRKLDSLMLNADVSSSGKVILLWTLYNSGSIKERFHFRAWINVSEVSNVVEAILEKAKQGKEEELRYDPSSSRQRLHDFLVWRRFLIVLYGIEAGSVWDDLNSVFPNSLNGSRVIIATIPSTDGSANTCGYLEEADSNVIRAKAVLNELTEAILGRRRLLFLISVVGAARFDKTSLLWPIYNAEDVKDYFDCCAWVHFAEVRSLDDVLAKIWEQVTNIKMYIRPPGPLQKLLQPKLHKFLSKKRYLVVLYDVWSAELWNELKVCFPNTLNGSRVILAVHSVDVARRTKSWIFSINPGKEMSVDESGKNEKRWDKLADIRDEASDMVGLEDKVEELAALALGNCNTPFVISVLGAAGSGKTTLAKEKQSLSVEQLRQGIRHFLTWKRYLIVLDDVRTSDIWKTLNLDFQNSSSSSKVILTTRDTFLARHINTVTAIIQQRLLTDEESWKLFLKKLETSNIDVNDPRLSNLKVKILRRCRGLPLQIVVLGGLLSTKDYDGWGKVIEEASQKRDKEKGVHGKDQMKSSGPLKFSDQLNSSNTKQTSKQHKPVSPSYKKITVEDQSNSAAQSSNKQKEGNKKTVVTDQQATCEGQSNSLSQEELPSDFNLEDQKGKLEVIAEDATAREDEEDENGNSGIKATDSDNQSISSEETTSLDNLLGYGYDDLDIQYKWCLLYVGLFPKDYKIPVRRLLLLWLAEGLVTVQPDGKTPLEEAEHYFQVLEKRSLIEIKEEADGSPKTCNMPNALREKLFPITEKVGFFHFKGSSDHKPQSQSQQFPLGNDFTVRRLAESGGMFIDTKPEENCLRLLRSYISFYNKEGDAPTSGVNELLKKIAPKGSAMLVVLDLERVYKPVLPETLGSLPFLRYLNLRRTHLDSIPESVGDLPHLETLDIKYTYIIKLPGTIWKAKKLQHLYMSEIYIDFSVEKASQACVSMEKPTDSESLGNLQILWGLIIRKKTPKRKLLQGVKKLKKLKLTCDEGSIDDIAAWISTLSNLESLKLRSISESSEPAKLELQVDMMTNFSKLKQLYLLGQLPKPIEVISKLPQGLEMLTLSVSRLEDDPMEMLGKLEKLKVLRLYANSYTGKTMTCHPGGFPELRILKLWMLMELENWILQENAMKELRKVEIRCCKNLKNVEGLEKLEYLTELSLTIMEDEFVNDFKQRFGSKVVVTPPSSLKFNSPWATLGIWPFIPQVIRNSKEIKFSWFELRLATVASEVVKRQYLHHDSYSSYWVTFNEEEEYDGGIFRTATIALGILSNDLGNIYEEGDKLDSRHQLSAFWAPFFLLHLGGPDTISAYSLEDNELYLRHLFGLLVQTLVTGYILLMGWTASIVSYQGILMIIVGSIKYGERTWALWKASSNELQDSMLSSPDHGPNYAKLMDEYSLRNAEGFSVDIEQIEEKKPKATDEKAIGQVQEADHNYSSREKDLMIKADELFQIFKRLFADLILSYQEKERSLSLFQDISRYEDAFDVIAIELGFMYDLLYTKAVVIYTRWGIFRRVVTSCITIAVLVVFYFDDWGKYETIDVVITFLLLVGAILLEIYAALALLLSDWSKRWLFKNNKSYKTILLALVSCLEWLELKLLAVINRLKLLVRPICSNCMAPMADINRLELLRLHRWSNGMAQFCLLGLFLNEKPGNCIWRKLLRWKLLEKYRFRLFERQRYRYVKKVDEDLKKQIFQHVVNKFKEFQKQETRKDHSSLRDLCSQRGKSVLAKFKEKISPAVLEWSVTTDFDESILIWHIATEICSNTEENADDTTKSNLEMSQQISRYMFYLLAVYPYMLPTGIGLIRLRDTRAEAEKFFEERLSTSKTEKMSRTNACKTLLNVKVHVPPIKVKGDRSKSVLFDACNLALELNKITDKKVKWEIIRDVWLEMLTHAANQCNGIEHGQQLRRGGELLTHVWLLMAHYGMIEQFQISEGNSRALLITK >OMO73156 pep supercontig:CCACVL1_1.0:contig11293:17030:22452:1 gene:CCACVL1_17434 transcript:OMO73156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hly-III-related protein MDQTSTLDSKLINSKPVDEMKNRPKVHKCGEESDDDEKSLNVKAKRRSFPLSADSNVSHDSEGLFLGPTNLIDLNQITNVTSTVTPVTRWPFYVFLGGSMFCLLSSSICHLFSCHSHHLNLTLLRIDYTGITTMIITSFFPPIYYIFQCDSRWHFVYLGGITALGLFTIVTLLSPTLSTGKFRAFRALLFSSMGLFGIVPGIHAAIVNWSNPRRNVTLAYEAAMAIFYLTGTMFYVTRIPERLKPGWFDLAGHSHQIFHILVVMGALAHYGASLKNSSLDRIEEDLARARAAILKAIQLQNFTISDEEDNFVPRGSIYRNPYAFYQSHREMIKRFKVWTYREGDLPLVHNGPLNNIYAIEGHFIDEMERQNNPFGARHPDEAHVFFLPISVTGVIHYVYRPITSVKDYSRDRLHRLVMDYINTVASKYPYWNRSNGADHFMVSCHDWAPEVSDADPELFKNFIRVLCNANTSEGFRPKIDVSLPEIYLPFGKLGPPNLSQGPDHRPILAFFAGSAHGYIRKILLDHWEDKDNEVQVHARLPKGLNYTKMMGQSKFCLCPSGFEVASPREVEAIYAGCVPVIISDNYTLPFSDVLNWSRFSVQIPVKKIPEIKTILQGISNGRYLKMYKRVKRVRRHFELNRPAKPFDVIHMVLHSVWLRRLNFSLLP >OMO73155 pep supercontig:CCACVL1_1.0:contig11293:4940:11580:1 gene:CCACVL1_17433 transcript:OMO73155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase MAGNDWINSYLEAILDVGPNLDDRKASLLLRERGRFSPTRYFVEEVITGFDETDLHRSWVKAQATRSPQERNTRLENMCWRIWNLARQKKQLEGELAQRKAKRRLERERGRREATADMSEDLSEGEKGDIVSDVSAHGESHKGGRLSRINSVDAMETWASQQKGKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVASPDVDWSYGEPTEMLTPRNSEDFMDEMGESSGAYIVRIPFGPRDKYIPKEHLWPHIPEFVDGALNHIIQMSNVLGEQIGSGKPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLKQGRLSRDEINTTYKIMRRIEAEELSLDASEIVITSTRQEIDDQWRLYDGFDPVLERKLRARIRRNVSCYGRFMPRMVIIPPGMEFHHIVPLDGDMDGEAEGNEEHPSSPDPPIWSEIMRFFSNPRKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDGIDEMSGTNASVLLSVLKLIDKYDLYGQVAYPKHHKQYEVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAYGLPIVATKNGGPVDIIRVLDNGLLVDPHDQQSIADALLKLVADKQLWARCRQNGLKNIHLYSWPEHCKTYLSRIASCKPRHPQWQRSDDGDESSESDSPSDSLRDIQDISLNLKFSLDGDRSGTSGNDNSLDLEGNSADRKTKLENAVLSWSKGVLRDQRKAGTADHTSSSGKFPALRRRKHIFVIAVDCETNEDLIDAIRKIFDAVEKERTEGSIGFILSTFMTISEIHSFLISGGLNPSDFDAFICNSGSDLYYSTINPEDGSFVIDFYYHSHIEYRWGGEGLRKTLVRWVGSITDKKAGNEEQIVTAAEQLSTNYCYAFRVKNAGMVPPVKELRKGLRIQALRCNVIYCQNGSRINVIPVLASRSQALRYLYVRWGVELSKMVVFVGDSGDTDYEGLLGGVHKSVILKGICSSASNLLHANRNYPLADVMPVDSPNIVQTSQDCTSSDVRDCLDKLLKD >OMO72688 pep supercontig:CCACVL1_1.0:contig11421:31964:32661:-1 gene:CCACVL1_17656 transcript:OMO72688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALAKAGSWINDEFEVLWEIPDEMKTFLMSDARVA >OMP11780 pep supercontig:CCACVL1_1.0:contig00884:1519:3951:1 gene:CCACVL1_00269 transcript:OMP11780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQFETASQPDPATDAYTFLEFNTQGESDFEYTDFRDTIRSWPTPSDATAADRSGSDHHSDTAASSSPSSASKGAGRGATNNNNSNNNSISNSGTVVDALATGISGLNFEETVGDEDGGYEFGKGDFAEHACRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKIPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQSVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQAVGHVVCVIELALLIYGF >OMO88855 pep supercontig:CCACVL1_1.0:contig08908:26048:26281:1 gene:CCACVL1_08165 transcript:OMO88855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFNLHGITAPGGGKSLISQ >OMO88852 pep supercontig:CCACVL1_1.0:contig08908:10816:11244:-1 gene:CCACVL1_08162 transcript:OMO88852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMISTPTLGYFSMRRSKGCNNMKGASFSCGVKAMRVEKPLEELYNVRVERKVSPERLTQLGVSKWSVWKTGKCKLPWDWQVDQLVYIEEGEVRVVPEGSEQFMRFVAGDLVRYPKWFEADLYFNDFYQERYSFRAYGDDY >OMO88854 pep supercontig:CCACVL1_1.0:contig08908:19533:21538:-1 gene:CCACVL1_08164 transcript:OMO88854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLAGKLAGKEGAFFFQESKHAVNRLVEKTPKNLQSPPPSVEQQAQADVLPEVLKHSLPSKLFGKPSDPSSLSKGSKWALHSDPNNASSSSPDALNPLRAYVSLPQVTFGPKRWELPSTEHPVMASTANELRKDKYTPINPEKLKAAAEGLQQVGKAFAVATVIIFGGATLIFGMAVSKLDLHNSDDIKTKGKDLVQPKFEMIREQLFPLRTWAENMSKKWHMEKQEAVKEKPIVKELSKILGAKTSD >OMO88853 pep supercontig:CCACVL1_1.0:contig08908:12806:18960:1 gene:CCACVL1_08163 transcript:OMO88853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESISVSGEVGGAGGAYSYNALKRLDKIWSSIYSAQTVQQEPQQVVSNFPGVLSHSALAEKAVDKFDVVVCGGTLGIFIATALSAKGLKVSIVERNILKGREQEWNISRKELMELVEAGILDENDIEEATAVSFNPNRCGFENKGEIWVEDILNLGVSPVKLIEIVKNRFISLGGVIFEGCSVSGISIYDDAAVLQLAEENVLSSRLIIDAMGNFSPVVKQIRGGRKPDGVCLVVGSCARGFKDNSTSDVIYSSSVVKKVGNAEVQYFWEAFPAGSGPIDRTTYMFTYVNPQSNSPKLEELLEDYWDLMPKYQGVSIDDLEILRVIYGIFPTYRDSPLPAAFNRVLQFGDASGIQSPVSFGGFGSLTRHLKRLSNGIYEAINEDFLDSNSLSLLNPYMPNLSASWLFQRAMSAKKQSNVPPDFINELLDINFKSMERLGDPVLRPFLQDVIQFGPLAKTLGLVMLSKPQILPSIFKQVDIPILIDWSGHFFMLGYYTFLSSFVDPVIRSWLNALPSKMKYEWKRRLEAWKYGSGLDYRL >OMO88851 pep supercontig:CCACVL1_1.0:contig08908:6689:10114:1 gene:CCACVL1_08161 transcript:OMO88851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory B subunit, B56 MIKQILGKLPRKPSKSSSHNDSNGDGGVNGNSSLNSSLGPNSLNNSKPGSASSKSSNSGSRLNNGTLNSHSSKLNQGKKTASVASQAGPMVASGVYEALPSFRDVPNSEKQNLFLRKVNMCCVVFDFSDPSKNLREKDIKRQTLLELVDYISSVTSKFNEITMQEITRMVAANIFRTFPSPNHDSKLLEMYDLEEDEPLMDPAWPHLQIVYEFLLRFVASPETDAKLAKRYIDHSFVLKLLDLFDSDDQREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVAMYHQQLSYCITQFVEKDFKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQAAEFQRCMVPLFRQIGRCLNSSHFQVAERALFLWNNDHIRNLITQNRKVILPIIFPALERNTRGHWNQAVQSLTLNVKKIFSDADQPLFEECLARFQEDEAKEKEMQQKRELIWKRLEDVAASTAVSNEAVLVSRFVSSIALATSTSPKATASS >OMP06463 pep supercontig:CCACVL1_1.0:contig04927:2631:2756:-1 gene:CCACVL1_01560 transcript:OMP06463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQETRKLPTLIVITVVNTNKGLDQVVSGLFQLKQVSGSRPC >OMP06464 pep supercontig:CCACVL1_1.0:contig04927:4059:6084:-1 gene:CCACVL1_01561 transcript:OMP06464 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein MESSSSRQVRRFRWKIENFSLIKTKKSYSDIFFVGGNPWRVLIFPKGNNVDHLSIYLDVPDAATVPPGWSRYAKFRFTVINQIDPKNSTSKETSHNFNAEVSDWGFTQLLPLSELRNLKKGFLMNDACLGLVEVDVPTDEIIDLRLLSLVESDSNELNGKEAEISKPEEINIAPPGQGETKSTNQQLPTSQPSSHSETIKPEEYPSEEDMDALFTSLESVLASHGVYSSEEVKDALSIIEEALYMAPLNFFETGKFSTLDKAFKVLSSSDCSSALAVEQKTELLAMGKNLKKLPHRVAKATQDKTLLAEKESVKLTLTHDLENSLNFFEQGKAELKQIELKIASLLEQVDEEQKKKMNILAARMEKFKITNDKKMELEALGKEWPEYEAKVKAAEDELKTVAAEWSRMKDFISSMKESFIKGTN >OMP06466 pep supercontig:CCACVL1_1.0:contig04927:12633:14153:-1 gene:CCACVL1_01563 transcript:OMP06466 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP binding protein MLGGNNNNPVLPVFLDENRFQYQPNASNQLQLFGTLPAGCTIDPVNYFGNDHLTPMIRPNKRGRETEDIQRQQKLQISLNYNMCQEEADRSASIPNPNAVSTGLRLSYDDDERNSSVTSASGSMTQGQSVILSLGDNIRSELDRQKEEFDQYIKIQEEHLTKGIRDIKQRHMASFLSAIEKGVSKKLREKDIELESMNRKNKELVERIKQVAAEAQNWHYRAKYNESVVNVLKSNLQQAISQGAEQGKEGFGDSEVDDAASYIDPNNFLSIPAGGSKSVSKNHQGTKEQMICKACKAKEVMKTGGVPVYLS >OMP06465 pep supercontig:CCACVL1_1.0:contig04927:8536:11160:1 gene:CCACVL1_01562 transcript:OMP06465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MPRHKDDPAAIRVYTVCDESRYLIVRNVPALGCGDDLLKLFATYGDVEECKPMDAEDCEQFTDVYWIKFRLVSNARFAKRKLDEYVFLGNRLQVSYAPQFETLDDTKDKLEGRRKEVLAKLNPQRSKGPPVHHMLTSNEAPMLGTSSQHLPKQINSRLRNVGDSEYTSHTNNAPITRVSTDTDYFPSQSMNQTVKMVRDKLNKIQSSTEHLQGGPASKKSRVDNRRRI >OMO51585 pep supercontig:CCACVL1_1.0:contig15782:2839:4927:1 gene:CCACVL1_29698 transcript:OMO51585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKVIYDTIFARSFSRDDQKKLGYGAFLGCLLIAFSFCTVFKPYLGPLQVLNMRLSMDSGLKMLRMKDSSSTSMRIIVDDGTSNSNSQIEMVVANDTSSSNQTISFNNSPLLPVLNDTIRNSSVMEISSNPPFSSADDNDDRSSNSETPGINNATSSDDEAISDLSLPVVNDMINDGNSSQQVRDFVPVKIVKPMCDMGRRTDTCELNGDIRVNGKSSTVFMAATSSTQGENSSWIISPYARKGDEEAMRTVTKWSIKSGVIDGIPQCNQNHHKVAAIIFSLAGYAGNNFHDYTDIVIPLYLTARQFNGQVKFLVTNKNPWWISKFQHVLRALSNYELIDIDKEENTHCFETVIVGLRRNPKELNIDPSKPPYHSMKDFREFLRSAYSLKKHTAIKLMKDNIGRTRRFAAPRLLLLSRRRTRAFTNANEIAKMARKLGFKVTVAEATSDVAKIAQLVNSCDVLMGVHGAGLTNMVFLPENAILIQVLPIGGFEWLAKTDFGEPSKDMNLRYLEYKIQTEESTLIQQFPPGHDVLSNPYAIQAKGWYEFKSIYLQKQNVNLDLNRFRPILLKALELLHQ >OMO51586 pep supercontig:CCACVL1_1.0:contig15782:10883:12347:1 gene:CCACVL1_29699 transcript:OMO51586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKVMYDTIFARSFSRSDQRKLGYAAFLGCLLIALSFCLVFKPYSTFLPLRLNVSEQKRTKIVCSSEKRTELCEINGDIRIEANSATVFVSVSPQESIINNSSRIIRPYARKEDEYALSTVRKWSVKPVSDSNLIPKCNQNHSVPAILFSLGGYSGNNFHDFTDIIIPLFSTARVFDGQVKFLVADKNPRWWVNKFQNLVQKLSNYEVVYIDNETDIHCFNNVIVGLKRSPQELSIDPSKSPAYSMTDFRQLLRNAYSLSKTTAIKLEDSGDKNKKSRPPRLLIVARNRTRSFTNTDEIAAMGRNLGYEVVVAEADSNVARFAQVVNSCDVMMGVHGAGLTNMVFLPENAILIQIIPFGVAEWIVRDAFKYPSEGMNINYLDYKIEAEESSLIQQYPAGHEVLSNPISILKKGWVPFKEVYLDKQNVKLDVNRFRLTLVKALELLHQ >OMO51587 pep supercontig:CCACVL1_1.0:contig15782:14608:16536:1 gene:CCACVL1_29700 transcript:OMO51587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-ACP thioesterase MDSLHCPAARFSVTCSYSREHKQRIRVGRFSRIVTAKMHGRILITAGRSSRRMVPAASMAEVDSFTKTRIRQNIPTEKQLVDRFRQGMIVEGGVGYRQTVVIRSYEVGADKTATLESLLNFLQETALNHVWVSGLLGDGFGATHGMVRNNLIWVVTRMQLQVDKYPIWGEVVEVDTWVGASGKNGMRRDWLIRSHVTGQVFARATSTWVMMNQQTRRLSKMPEEVRAEISPWFIEKNAIQETPETIKKLDNTAKYINSQLKPKRTDLDMNHHVNNVKYVNWMLETIPEIFLEGYQLFNITLEYRKECGSSDTVQALCQPDEDGAQGVDGKIHIEQPQASEIGDEPSSESPLRFTHLLQITGDSKTEEIVRGRTTWKRKPKAIH >OMO51588 pep supercontig:CCACVL1_1.0:contig15782:17703:33487:-1 gene:CCACVL1_29701 transcript:OMO51588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein MLFGSRPGSDLKCLSYRFDCCCLYMDAGRSSPFERLADADSFPPGFDPATSAKQVRPCKRPRFKPLNSRELAEDGYSGVEVRVTPMSTEIIIGATHTQNILCEKGGRIKELTSVVQKQFNYTSIFQGYLKTTAMYLRPTSLYLNNSPNNLLKPSFFSSLSRAQPAVSFRRFHLRRGLVRCSASRPAAAASSSKTIGLDWRNISLPYLQQQSSNYGRYAYQDVSSDESDLEFGSPQSQMGASTLDNIDEWRWKLTMLLRNEDEQEVVSRERKDRRDFEQLSALATRMGLHSRQYAKVVVFSKLPLPNYRSDLDDKRPQREVILPLGLQRDVDFHLRAYLSRKAMSSGSFSDKPLPASSSGGRISADEVSIEQQEPFTQNSIVMERILRKRSLQIRNKQQEWLDSPEGQKMLEFRRSLPAYKERDALLNAISQNQVVVVSGETGCGKTTQLPQYILESEIEAARGASCSIICTQPRRISAMAVSERVAAERGEKLGESVGYKVRLEGMKGRDTRLLFCTTGILLRRLLVDRDLRGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLNAELFSSYFSGAPTLHIPGFTYPVRAHFLENILEVTGYRLTPYNQIDDYGQDKTWKMQKQAQSFKKRKSQLSSAVEDALETADFRGYSLRTRESLSCWNPDSIGFNLIEHVLCHIVRQERPGAILVFMTGWDDINSLKEQLQAHPLLGDPSKVLMLACHGSMPSSEQRLIFEKPEDGVRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISKAAARQRRGRAGRVQPGECYHLYPKCVYDTFADYQLPELLRTPLQSLCLQIKSLELGGITEFLSRALQPPELLSVQNAVEYLKIIGALDENENLTALGRNLSMLPVEPKLGKMLIMGAIFNCLDPIMTIVAGLSVRDPFLMPFDKKDLAESAKAQFSGQEYSDHIALVRAYEGWKEAERERSGYEYCWKNFLSAQTLKAIDSLRKQFFYLLKDTGLVDQNIENCNKWSRDEHLIRAVICAGLFPGICSVVNSVNAGVPKIPYPWLLFNEKVKVNSVFLRDSTGVSDSVLLLFGGNISRGGLDGHLKMLGGYLEFFMKPALADTFLSLKRELEELIQTKLLNPKVDIQSHSELLSAVRLLVSEDQCEGRFVFGQQVPVSKKTVKEKTVDMVGGDNPKSELQTLLVRARHGAPVYKTKQLKNNKFRSTVIFNGLDFTGQSCSSKKLAEKDAAAQALLWLRGEDDSSYRANDHASVLLKKSKKRRNSFHDFK >OMO67594 pep supercontig:CCACVL1_1.0:contig12413:11657:14961:1 gene:CCACVL1_20427 transcript:OMO67594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MKDRSTNLAGDASNDGSRKWMLESIEIDKMADVPLNDASRNPFSDQPSLSNAYTRHPSTVSSGLPRNSSTSAGGLPRNSSTSAGLPRNPSTSAGFSRNTSTSAGLPRTTSTSPGLNRNSSRLTSFRRLMSTARKRNNGGPPVIPKVQRTTSSAAKGLQSLRFLDRTVTGKETDAWKSIERRFDQFAVDDRLSRRRRINPDDGITKEELQSFWQEMTNQDLDSRLQIFFDMCDKDGDGKLSEEEVKEILVSSACANKLGKFKEQAARYAALIMEELDPDHLGYIEGSAEALKLDMALILIPVCRRTLTKLRSTFLHKLIPFDDNINFHKLIAVAIAIWSFLHTFMHLACNYPAISSAPKEKFDKFLGPSLNYTQPTYMDLVNNTVGLTGVFMVIMMTFSFTLATHNFRRNVVKLPWPFTVLAGFNAFWYAHHLLVLVYIQLIMHGYFLIFAKAWYLKTTWMYLAVPMVIYASERVLTRVQDVKHQVDVIKAVIYTGNVLALYVSKPPGFKYKSGMYLFVKCPDVSKFEWHPFSITSAPKDDYLSVHIRTLGDWTKELREHFQKVCEPPTVEAKRGNLLRMETRANKKSDPTKDYDQEKAEQEKLVLDSSCFVLLYSPP >OMO67593 pep supercontig:CCACVL1_1.0:contig12413:4657:8812:-1 gene:CCACVL1_20426 transcript:OMO67593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor related to kappa-B-binding protein MMAIEKNNFKVSRFDSEFSPGSRETTVSSDEDELQHRSSAVDSDDSDEFDDADSGAGSDDFDLLELGETGAEFCQVGSLTCSVPFELFDLSGLEDILSLDVWNDCLSDEERFSLTKFLPDMDEDTYMRTLNDLLKGNNFHFGSPINKLFDMLKGGLCDPRVALYREGLNFFQKRQHYHNLRKHQNNMVVNLCQIRDSWLNCRGYGIEERLRVLNIMRSQKSLMYDKMEDDDSDSFEREDVGDGLWSKRVKDRKAMQKMGRNSGYGVNANSDFIARGQPMALEPAKYGKQNPKGILKTGGSKVPSAKEFASRFYPGLDMNSESYGLAGTLSRQKYESGLALRGRDRMRLDEDAEDPMFGMGIQRDRNAVRDGIISKSSSLRAGKKYDLLRREELAGDDSFMALPLSSKNDLQAYGRNRNVYQLSEAKVYSAKPPPPNLRTSYDFPKKSKYAENHQQFALGDQIKPAKGRTPPLPLKGSRVDLSERAELFWQNKNQGEDFSVDSSVRSDDWNNRSKKWKAGRESPDFSFKSYKASSPQMNDRFLHSEGRVKPSQEKIRGNYVQNGGPVMGASKGSRAFIRNDETESDSSEAEQFDDDEDSNPFTRSKLAYRSGVIEGSRLSSLRSGLDSRKTKSLKKDTMEDTWNLDGLARFSKKSFGENVHVPGVESYYSKAKQKGKMRESSPLHNSASRVLDEGDRKQVYKMGKNGQLRGEPGDRLHMPSSRAYPAEKRQKGGVAYDQSMSHSDYLRSYLVDEEDASPMPLSLAGENNRGRTGKKGQSIDVYDGRETSEASLLGCNTVKKKRKGKEYVADIDRRGDDGNQNSNLQLSDDSPLSKRKGKRKVEVNMVRMAMITPLTEDSLEVAKPREEQNGNQEGDMNGVLSHENAVSNNSDHPVQTSVPSLTVHEIVNRVTVNPGDPCILETQEPLQDLIRGVLKIFSSRTAPLGAKGWKPLVAYEKSTKSWSWIGPLTPSSNDQETIEEVTSPEAWGLAHKMLVKLVDSFANWLKNGQETLQQIGSLPAPPLELMQVNLDEKERFRDLRAQKSLNTISPSSEEVRAYFRKEELLRYSIPDRAFSYTAADGRKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVTDAQVNQVVSGALDRLHYERDPCVQFDGDRKLWVYLHREREEEDFEDDGTSSTKKWKRQKKDPAEQSEQGGVTVAFHGTGDQSGYDLGSDLNVEPSGIDDDKKMETECNDEQNAEDNADISHESEQGNTQQGHPMTWEPLDLNPSQEESKLLCQENSTNEDFDDETFGRERTVGLLSASLL >OMP00257 pep supercontig:CCACVL1_1.0:contig06705:18760:20280:1 gene:CCACVL1_03421 transcript:OMP00257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MASSSSSPVFFTILAFLISCIIFFLSRKSKSKRLNLPPGPPGWPVVGNLFQAARSGKPFFDYVDDLRKKYGPIFTLKMGTRTMIILSDAKLCHEAFIEKGALFASRPRENPTRNIFSCNKFTVNAAVYGPVWRSLRRNMVQNMLSSSRLKEFRKIRADSMDKLISRLRAEAEANGGVVSVLKNARFAVFCILLGMCFGLEMEEETVEKMDQVMKTVLITLDPRIDDYLPLLSPFFSKQRKRALEVRKEQVDFIVPFIEQRREALLNPGSDKSAMSFSYLDTLFDVKVEGRKSVPSNSELVTLCSEFLNGGTDTTATAVEWGIAQLIANPEIQSKLYEEIKLTVGDRKVDEKDVENMKYLQAIVKELLRKHPPTYFSLTHAATEPTTLAGYDIPTDTNVEIFLPGIGWDPKIWSNPEKFDPDRFYSGKEEADITGVTGVKMMPFGVGRRICPGLGMATVHVHLMLARMVQEFEWIAFPPNSKIDFTGKLEFTVVMKNSLNAMIKPRS >OMP00260 pep supercontig:CCACVL1_1.0:contig06705:60388:61305:1 gene:CCACVL1_03424 transcript:OMP00260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKKLFGAVLFVLLGISVCSATRALLTLGDVASHVTGTVHGGGNVGVYGSGLVGGGGGGSGGGGGSGYAAVGEHGASASGGGSGSGEGGGAGYGSHGGGGGGSGGGSGGGGGSAGGASGYGSGGGEGGGAGAGSAGYGEAGGHGGGGGSGGGGGSAGGASGYGSGGGEGAGSGYGGGGAHGGGGGGGSGGGGGSGAGGEHGGGYGGGQGAGSGSGYGAGGAGAGGGGGGGGSGGGGGGAGGAHGGGYGGGEGGGSGGGAGGAGGGGGGGSGGGGGGGYGAGGAHGGGYGSGSGEGGGHGGGYFR >OMP00261 pep supercontig:CCACVL1_1.0:contig06705:91217:91357:-1 gene:CCACVL1_03426 transcript:OMP00261 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-H2 finger protein ATL38 MDPVQDNSFTTGGNGTEAGENMSPTAEVDQTIKDTRSKRVVSPSNA >OMP00259 pep supercontig:CCACVL1_1.0:contig06705:44154:59725:1 gene:CCACVL1_03423 transcript:OMP00259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCPYETSVIAVNCFDPSARQEVSTTMEDAITTVSKAQQEKREL >OMP00262 pep supercontig:CCACVL1_1.0:contig06705:94731:105103:-1 gene:CCACVL1_03428 transcript:OMP00262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRLSKLKKWELERGLHGLEPVVVANFPTKKFSDECFSAAEDAHNE >OMP00258 pep supercontig:CCACVL1_1.0:contig06705:32718:43546:-1 gene:CCACVL1_03422 transcript:OMP00258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKAMLETKRNNEDAGASGAMFPGDFRIGHLHVAVENFFSEGTAKSESEEEVNKLEIIYKEARSKASTAHPAVNPFPSSTPPSMLSSSLN >OMO97414 pep supercontig:CCACVL1_1.0:contig07242:6107:6277:-1 gene:CCACVL1_04565 transcript:OMO97414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRTAAHGFTQHSQDLRRSIGLSLSFQLSIKEEQGAKLNSSLRVFSYLLKMTTSI >OMO52067 pep supercontig:CCACVL1_1.0:contig15630:12:3414:-1 gene:CCACVL1_29375 transcript:OMO52067 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MARNLPQQSEFPSVPSYSATHVRSDGGIHNNIQACSRALSEWDKAIFGNVRYKLEWKRKELAEAYVDSSNPIRLEECKKELDDLLRQEEVMWRQDKVLASFPCRVNDQMRASLDATFSADNVKEAVFQMQGDKAPGPDGFSPTFYQKCWSIVCKDVTEFVLNFLNTGEILSNINHTNIVLIPKVENPRTMKDFRPIALCNVIFKIISKVLANRLKLVLPQIIGESQIAFVPERMIYDNALIAFETIHFMRNKRSGKRAHLALKLDLSKAYDKVEWNFLEKCMYRLGFSSRWVNLVMAGVRSVSYSILINGQQSDTFCPTRGIRQGDPLSPYLFLFCMEVLSCLITHAEDRNLLHGIAINRAAPRISHLFFADDSILFLRASLQECEAVLSILHDFEQATGEQVNIDKSALLFISNISLALKDRIMRRLGVSRVLERDKYLGLPIMVGKNKKRELNFIKERLLHRVNSWCSKLFSIAGKAVMIQSIAQSVHIYLMSVFRFPKSFIHDLNMILAKFWWGGTNENRKIHWKAWDDICVSKLDGGLGFRDFEAFNLALLSKQCWRLIHNPDSLCSRILRAKYFPQGDFFKASLGHNPSFIWRSLLEGRKVIRAGSRWRIGSGNIDFWSGNWLSKGPCSNPRPRDGVVPSELRVADMMNFEEQCWRVEELMDLVEDDDISRILCLPIPRSPGRDTLIWDGNSTGNFTVKSTYFVARQQLGYDININVESRKLWRRVWYSSIPPKIRYFTWRLIGNLLPLKANLQQRGMDVDGLCVVCGLSEESVGHIFFNCCFSRRIWETVAPWLLPYIEQLQTTNEFWIDLIGKAFDLGQLEILLIFFWSLWRNRNDCLHSSFSASPTSMIYKAGALLQQLE >OMO52068 pep supercontig:CCACVL1_1.0:contig15630:6931:7011:-1 gene:CCACVL1_29376 transcript:OMO52068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGAIQYGAPFIDLAPETVAYRNTI >OMO88378 pep supercontig:CCACVL1_1.0:contig09029:2698:4476:1 gene:CCACVL1_08430 transcript:OMO88378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MASMDALSSSFFSKLIASPPSISTQQYHSPSLRIFSVRIEDKPQSSAPSKPRIPTSNIQIPPLTVWSGNGAANTIMSEAEIFDTLEDIINTFIDPPLRPAVDPSIVLSGNFAPVNELPPTECEVIQGSLPPCLDGVYIRNGPNPQHKPRGPYHLFDGDGMLHSLRISKGRATLCSRYVKTYKYTTERSLGSRIVPSFFSSFSNLPAFLARAALYVARVVMGHYDPAKGIGVANTSLAVFGNRLYALAESDLPYALRLTSNGDIHTLDRHDFNGNLLKHMTAHPKVDPDTGEAFAFRYGPMRPFLTYFYFDATGIKHPDVPILSMDRPCFLHDFAITKNYALFVDIQMGIKPMKMILGQGSPMALDPSKVPRIGIIPRYAQNESEMRWFDAPGFNPVHVVNAWEEDDGNAIVIIAPNIISVEHALERMDLIHGMMEKVRVDLKTGRVTRQAISLRNLDFAVINPAYLGKKNRYVYSGVGEPLPKICGVVKLDVSKGDQLEEECTVASRMYGAGCYGGEPFFVAKEPENPEAEEDDGYLLSYVHDENRGESRFLVMDAKSPNLDLVATVKLPQRIPYGFHGLFVKESELNNLYL >OMO88379 pep supercontig:CCACVL1_1.0:contig09029:5807:10969:-1 gene:CCACVL1_08431 transcript:OMO88379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIECILHNDESAIQSVLIQRDSWDPFNLVYAAKFLLRVSIQREKGKVGWMLEVTVLEVRVRVGSQLLMTWHDTLFATKIQKRKKGEPEPIMATSRVFGNCRLLIGATKTSSASFASSAPNGGGLQRAIPVSPQLGKFLGASQASRTDALKKVWDYIKLHNLQNPANKKEILCDKELKKIFAGKDSVGMFEITKLLSPHFQKSN >OMO69841 pep supercontig:CCACVL1_1.0:contig11965:4179:6010:-1 gene:CCACVL1_19232 transcript:OMO69841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site MADKGRPLPKFGEWDVNDPASAEGFTVIFNKARNEKRTGGKIDSPGRNDATYKQGAVLGKPQS >OMP10033 pep supercontig:CCACVL1_1.0:contig02813:1368:1478:1 gene:CCACVL1_01019 transcript:OMP10033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLVNKKTYWFEEEGRYKTRTFLKKKEEEDKNLPD >OMO57005 pep supercontig:CCACVL1_1.0:contig14455:4273:5655:-1 gene:CCACVL1_26085 transcript:OMO57005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MLYQTHHHPFLFQFRSSFTSISPLPPSTSPSLLPSSLQEMECVEGALKTSFRKEMALKSSPQTFLDDIWVVNGGQNGVSCDDFSVDDLLDFTNEEGLLEQQEKHEEEEEEEEVPIPSSSSSPKRQKLCQDDHISNDTTNFDYGSLSTNELAVPADDVANLEWLSHFVEDSFSEHSTAYPTGTLTTKPKLPADKEPEQEKPVTTTCFQTPVPAKARSKRARTGARIWSLVPSPSLTESSSSSTSSTSSSSPSSPWLFYPYGGYGSTFEPSDPLSVEKPPVKKHKKKLSTDSAGGNGTQPTRRCSHCGVTKTPQWRAGPMGAKTLCNACGVRFKSGRLLPEYRPACSPTFSSELHSNHHRKVLEMRRKKEGAEPGLTPPIVPSFG >OMO57006 pep supercontig:CCACVL1_1.0:contig14455:13338:15284:-1 gene:CCACVL1_26086 transcript:OMO57006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSVHDHNNISFILLLFLLLSLSFSISPPGCEACHAVDKQALLGFKRSITADPSKLLESWIPSTNCCNSWKGVSCNSAGRVVNVTRPGFASDSDFIVDTFMNGTLSPSLGNLSFLQVLDLSNLKNLKGLIPAEFGKLSRLTVMFLDSNQLTGSIPVTFKHLSRLERLFLNNNKISGNIPSSLFGSLKSLTELGLSGNRLVGSIPATIGNLVFLTKLDIHGNNLSGSLPTSIGKLKNLKYLDLSENQITGSIPKSIGGLSSLLLLYLNQNQITGSIPPSISGLVSLQFCRISENKLTGSLPPSIGQLPNIQRLILENNMLTGKLPATIGHLLTLTEIYFSNNRFTGKIPPSFGNLQNLQTLDLSRNRLSGELPIQLVKLQNLQTLDLSFNPLGLISIPKWIAKLKLFRLMLAKTGIQGSLPRWLSSTSISTLDLSDNGLTGRLPPWIGNMSNLALLNLSKNGFHSSIPVEFKNLRRLMDLDLHSNKFSGKLDTIFAKETDDPLGHFNSIDLSDNMFTGPITESILESPAMDSITSLVLSYNPLKGSIPKGLGKLSELQVLKLVANDLSGKIPVELGDATQLTTILLSRNKLTGNIPEKVLNLEELKEFDVSDNKLSGKIPPHKARIPASSFFDNPGLCGSPLPPCKSS >OMO57007 pep supercontig:CCACVL1_1.0:contig14455:19624:22024:1 gene:CCACVL1_26087 transcript:OMO57007 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein transport protein SEC31-like isoform 2 MTLKDTYVAPPSTAESDPHISVVSSVSETFIKDSEGLKTSIVSAIVNNDLMSLFNTGPLKFWKETLALICTFAQIEEWTVLCDTLALKLMAAGNTLAATLWYICAGNIDKTDLMEKTIVLALATGQKPFSESLCKLGEKYAEILAIQGLLTAAMEYLKLFGV >OMP02954 pep supercontig:CCACVL1_1.0:contig06159:5539:5808:-1 gene:CCACVL1_02653 transcript:OMP02954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRMPNPRSKREACRTNPAAVAAGGHRGFGSSRIEMPLAGVATSTPIWRAARIPEGSTSYLLPGRSSSDSGRSGLRSNLPQVRTHCAS >OMP02955 pep supercontig:CCACVL1_1.0:contig06159:11316:12132:-1 gene:CCACVL1_02654 transcript:OMP02955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHVIPDHSHFLWMGGDVGPVPGSPPIVAACLRPDPPNHLATSVGACVVQVTTTARVRPGTLGSAATLRLAPIPLCRRKVHGAQDKHRKREDEERKNAHGEREDIAVQQECNARGAGEEQAKDARKEEERRRPFGSPEEADVFKHFVHFILLPFGIESTAVAAGLRCYGQNRCSVKRVAIQHTHRLNGRSLVFGAADGVVLGIVQTPLADRAAWHELVRKWAGGVRQLLLIDPESAIGAVTVCQRLLPG >OMO94536 pep supercontig:CCACVL1_1.0:contig07845:25015:26361:-1 gene:CCACVL1_05936 transcript:OMO94536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MTTLLIHSRSVISMLFLSHLGKEELAGGSLAMGFGNITGLSVIKGLSIGMDPICGQAFGARRFSVLSQTFYRALCLLLSISIPIAILWLNVEPIFLRLGQDPEATKVAKVYMAAFIPELIAQSLLHPLRTFLRAQGITTPLTIAAICAVLLHPVINYIFTIYLQLGVKGIALALACNTFNLNLGLIIYMVMSEAPLKPWHGVTIVSIFQGWRPLLALALPSVLSVCLEWWWYEIMLFLCGLLSDPKACVAAMGILIQTTGMLYNFPFSISASISTRVSQALGAGRPSSAHRTAVIGLLMAFAFGLSAFVCMTVLRSWWGKLFTDEPQILHLISTVLPILGLCEVGNSPQTAACGVLTGTARPKDGVRINLCSFYLIGLPVAILTTFKFKFGFEGLWFGLLAAQMSCVCMMVYTLIRTDWKHQAKRADELTKAAGGKDDLETSLLNTDP >OMO94535 pep supercontig:CCACVL1_1.0:contig07845:4170:4241:-1 gene:CCACVL1_05935 transcript:OMO94535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAHPPPIFPTGFGDFGRSPL >OMO62101 pep supercontig:CCACVL1_1.0:contig13346:1926:10688:-1 gene:CCACVL1_23021 transcript:OMO62101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDEVEASSRFSPIKNLVPTRLIPSPSLHIATIPNSEIVKSNGDSLKVCRRHRYPHRRRSC >OMO62108 pep supercontig:CCACVL1_1.0:contig13346:54793:55062:1 gene:CCACVL1_23028 transcript:OMO62108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYTEIPGKDDSQVAVHCQSAAP >OMO62109 pep supercontig:CCACVL1_1.0:contig13346:55522:57461:-1 gene:CCACVL1_23029 transcript:OMO62109 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MAKKKSWFNLVKRLFLFETLTKTDKNEKRRKWMFGRFRSKRLASLTLTAPSPPTPRDRTKTETEEEQGKHGLTVAIATAAAAEAAVAAAQVAAEVVRLTTATNHNFEEEKEENKVDSSPSTDQHQRNKIQQVAVTKIQATFRGYLARKALRALKGIVMLQAIIRGWAVRRQAMTTLKCLQSIVSIQSQVCAMRFQMAQGKWQYDENKQLPTLKDKIIKVDINSERRWDDSMLTKEEAHAVVLSKKEAAIKRERIKEYSYVHREILVKQIKLQKSTESEENKGSNGRLKCWLDQWVDTHQVSKSKQVEDLESVSISVSEENRAKHLRLKTFPRRYHIHNHMEGPGPDSDSPVPAVPRRSFHKKQSSLSLGEDNYSFATSPVQVVPTYMAATQSAKAKVRSMSSPKLRPAATCFDTQSESYSPYKNKLSLISSITSEMPSISSRPSAYQQRSPSFKAVSPPVKSKRPGLIKDLSFNSHSSLPNWV >OMO62104 pep supercontig:CCACVL1_1.0:contig13346:19535:24555:1 gene:CCACVL1_23024 transcript:OMO62104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MEDYLRKNFAVEHKRPSEEALRRWRCAVSVVKNPRRRFRMVADLAKRAEADHKRRTLQEKLRVALCVQKAALHFIDAGKRAQHKLSEHVTKAGFGIGADELGFIVRSHDMKSFEDHGGVQGLAKKVLVSLTEGVAPTEIAVRQNIYGYNQFAEKPARSFWMFVWEALHDLTLIILMVCAVVSIGVGIATEGWPAGMYDGIGIVLCILLVVLVTALSDYKQSLQFKDLDKEKKNILVQVTRNGCRQKISIYDLVVGDIVHLSIGDQVPADGLLISGYSLCIDESSLSGESEPVNVTQQRPFLLSGTKVQDGSAKMLVTAVGMRTEWGRLMVTLSEGGEDETPLQVKLNGVATVIGKIGLVFSVVTFLVLTIRFLVTKALHGEIANWGINDALSLLNFFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSATSICTDKTGTLTTNHMVVNKIWTCGKTIKIEGKKSEDVLRSSIAGGVFNILVQSIFQNTAAEVVKGSDGKNNILGSPTETAILEFGLLLGGDFKIHRKESEILKVEPFNSVKKKMSVLVSLSDNGGHRALCKGASEIILKSCNKIINAAGKAEPLSEEQKKRITDVINGFASEALRTLCLAFKEVEETSNVDSIPEDNYTLIAVIGIKDPVRPGVKEAVKTCLAAGITVRMVTGDNINTAKAIARECGILTDDGLAIEGPEFRDMSPEQMEETIPKLQVFNEINSRDMEKINVFRGIFSSGLFMMVMVSTVAFQSIIVEFLGTFANTLPLSWELWLASILLGAGSLIVAVILKCIPVERSKEAAATKHHDGYEPLPTGPDMA >OMO62106 pep supercontig:CCACVL1_1.0:contig13346:33353:34886:1 gene:CCACVL1_23026 transcript:OMO62106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVYEQSGCFDPNSIADCQSLMGALPGASSTNTLSPLEDNLNLSSFNHEDASASASAAAMQMEIQQHYVLDANTTTNNTPLIPQLTQELSYEQSNWDADQQSFTMLPSSSSPETAYPPTPDLLNLFHLPRCSFLPNSSISFENASNSSSVVYDPLFHLNLHPQPPVFRELLQSLPHGYTLPADHDTEATGGGGLYHDGDNGILEFTRDISLPAHGKGRGRGTAAGKTTKHFATERERRVHLNDRFQALRNMVPNPTKIDRASIVGDAIDYIKELLRTVDELKLLVEKKRCGQDRSKRLKTEDGASAADAGDVENKPLLDPDQCYNTSLRSSWLQRKSKDTEVDVRIIDDEVTIKLVQRKKINCLLFVSRLLDDLQLDLHHVAGGNIGDYHSFLFNTKIYEGSSVYASAIANKLIEVVDRQYAAAPPTTSTCL >OMO62107 pep supercontig:CCACVL1_1.0:contig13346:38607:40699:-1 gene:CCACVL1_23027 transcript:OMO62107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLRSFIILFLSCLTIKLNLCFPSIIPSSSLKALPVDGHFKFEQLDHAARDFGNRYSFLPLAVLHPKSVSDIAITVKHIWQMGPSSQLTVAARGHGHSLQGQAQAHRGVVINMESLQGPKMQLHTGNSPYVDVSGGELWINILRETLKHGFSPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVHQLEVVTGTGEVVNCSEKQNSDLFYSVLGGLGQFGIITRARISLEKAPQMVKWIRVLYTDFATFARDQEKLISGESTFDYIEGFVIINRTGLLNNWRSSFNPQDPVQASQFKSDGKTLFCLELAKYFNPNPEEMAAVNQEIKDSLSQLNHIPSTLFLSEAPYLDFLDRVHVSEIKLRSKGLWEVPHPWLNLLIPRSKIHMFAQQVFGNILTDTSNGPILIYPVNKSKWDNRTSVVIPEEEVFYLVAFLSSAVPSSTGNDGLDHILVQNKRILDYCEIAGLGVKQYLPHYSTQGEWQSHFGPHWEVFAQRKSTYDPLALLAPGQRIFQKPIAYS >OMO62110 pep supercontig:CCACVL1_1.0:contig13346:66325:73442:1 gene:CCACVL1_23030 transcript:OMO62110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFSFERNGIYTSVYSQNPFSPTGFLNCWTPACGVALCLKNPKKKKKWRFAFRVVNSGGGILEKDFEFKPSFDEYLKTMESVREKKKKKQSFDSNIGDSGDKLTRGKSKDESRRNFVKEEKMSKIKIVQRNQVKMKSKGASPTRSRKALLVKDEDDVELKAERNGFRKFDGAGNNPPMKVEGRIKKVANLRKSDSKSKRYEEDVKFGMFSEEAELSKILKYNGVNSNNKGFRRTSSKKSFPVEDVDLKIERAAFKNFEESDILDKPRVSKMEMEERVQKLAKSLNGADIDMPEWMFSKMMRSAKVKFTDYCILRVIQILGKLGNWRRVLQVIEWLETRERFKSYKLRHIYTTALDALGKARRPVEALNLFRSMQQQMASYPDIVAYHSIAVTLGQAGHMRELFDVIDSMRSPPKKKFKTGLLEKWDPRLEPDILVYNSVLNACARRKQWEGAFWVLQQLKQQRLQPSAATYGLVMEVMFACGKYNLVHEFFRKIEKSSIPNALTYRVLVNTLWKEGKIDESILAVQGMEKRGIVGSAALYYDLARCLSSAGRCQEALMQIEKICKVANKPLVVTYTGLIQACLDSGNIQNGAYIFNQMQNFCAPNLVTCNIMLKAYLDHGLFDEARDLFSKMSEDWNQSSKSDNSHRVIPDGYTFNIMLDICIQQKRWDEFEHVYGKMLQHGFHFNAKRHLRMILDAARAGKEEPLETTWEHMTKADRIPPLPLIKERFCMKLEKNDYTSAVSCISSHPLSEFQAFSKSAWFNLFKDNASRFGQDTIVRLVEEVDKSLGRKYCNDGVPLWEKKFCTSIGFVSWRKIVDAKKLLCYNDNVLKWNDSVGEEAFLNAKRRYWAEINCYYCDISAPDPDAYIDQINWNPFIDPELIRDAEKAYFAPNNEEKDGMVGHESRTAPSEGCNMDPCKVDNPWECNNDSQGDNGLRDLLGWGQLVGKVDESRNLNDEGNNPWENSITQRNESGKHNSWGDNRPRDWNTGNNSWGDNRSRDWYTGNNSWGHSCPGTSSKKGGGWGDFKQNSLGRNQWDNKKFSLRDNSWERNFVLDSGAPNDKGWGDRGRNSWGSKQWENPNIGSKKLDFKQTSSSERVLHSGSRKREGSHQYISGNKSSKFQPEDYQKNHCWSGNPNKKASFALE >OMO62102 pep supercontig:CCACVL1_1.0:contig13346:13282:18202:1 gene:CCACVL1_23022 transcript:OMO62102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYEATRIVLSRIQNSDPENASKIMGLLLIQDHGDKEMIRLAFGPEALLHSVILKAKKELGLPTNSPPTPSTPSSPSPFIPTNSNPAATLSRQTSSASRLLGGLNLPPSLAIPSTSSGSSSWSALSDLPTPDDLISPSSASLNPSSLPFYANGGATDMMDDFQLQDQLSFLNENSPNLNPKTHDLFYSQTAADSTDAMGFPSYWGSSFHRRSSSVSDILGADDPAGFGWRPCLYFARGYCKNGNSCRFIHGALGESGSMVACADGATMVGSPNKIEMMDESYELLRSKSAQHQRLAAASQLMGSASFPYSPKCMNLFLQQQNDTQRAAAAATLMMGDDMNKFNRSRLERNGFSVNSEAGMVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYAETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQQVERGEFSPCGTPTGLDSRDPFDIQLGARMFYNNQDMLWRRKLEEQADLQQALELQNRRLMGLQLLDIKKHHHHRALSSGSPIPSPTHSPNLFSQSLVLPQFHRNQETPQESCSSPVPTVSVTAPEQQAATAATACRKESVGTEENGTGKESPHCEDGDLPESLEHTLPDSPFASPTKAPGEYLSAFSNAEADKAASVNNNWVSSTLLPAHNALDMASLNSFNCQMPRFSSGHGTIGMKIESITKEKKISYQRSVEKRHYKEKHVNHCDEYTIEHPVEDEGIAVGRKATFV >OMO62103 pep supercontig:CCACVL1_1.0:contig13346:18782:18871:-1 gene:CCACVL1_23023 transcript:OMO62103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSELTKVDWIMDLDPSGQGGRGRAQLF >OMO62111 pep supercontig:CCACVL1_1.0:contig13346:75058:77565:1 gene:CCACVL1_23031 transcript:OMO62111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine synthase MEDKLINSVHSTVFKESDSLEGKSFKIEGYDFNQGVNYSNLLKSMLSTGFQASNLGEAMEIVNEMLDWRLTNETITEDCSEEEKDPAYRESVRCKIFLGFTSNLISSGIRDTVRYLTEHHMVDVIVTTTGGIEEDLIKCLAPTYKGDFSLPGAQLRARGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQLEENNIPVFCPGLTDGSLGDMLYFHSFRSPGLIIDVVQDIRSMNSEAVHASPRKTGMIILGGGLPKHHICNANMMRNGADYAVYINTAQEFDGSDSGARPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFASKWKKSVNVKV >OMO62105 pep supercontig:CCACVL1_1.0:contig13346:25207:29580:-1 gene:CCACVL1_23025 transcript:OMO62105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTAEAKVENVHANGLIHNSNGSLEEKLDELRQLVGKAEGIPLRIVGVGAGAWGSVFTALLQDSYGHLRDKVIIRIWRRPGRSVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETYQVFEEIRKYWKERITVPIIISLAKGVEAELGPEPRIITPTQMINRATGTPLENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFVVWDNGDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLIAEEPEKLAGPLLADTYVTLLKGRNAWYGQQLAKGALNLEMGDSIKGKGMIQGVSAVKAFYELLSQSSLSVLHPEENKPVAPVELCPILKMLHKILITREVPLQAILEALRDEAMYDPKDRIEIAQTHVFYRPSLLVSTQRHASNSQERRAPREREMSLVDYASSSEDDDRSDSEHEAPAPAPAPAPAPAPVPPSAQPPPPPKTQPSGSSVNQKPETLEKLPDASLLLNSPAVSSVSGHDHASLVAAAMAEGASRKRDAKGMSSSGGMAVASRSKLPRANIPHSKSVPDTGGGLLVPPQLKGRSNVVTEDISKLFVSRNPVTQPRGPENQS >OMP04344 pep supercontig:CCACVL1_1.0:contig05757:5273:6319:-1 gene:CCACVL1_02167 transcript:OMP04344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTRLLRRTFSTTAPTKEERAFRSLIDDLYKERNLKRLVEKFKKSCENPRFRCHIDLYEETVRRLAAARHFQWIEEILEQQKEYDNFSKESFGARLIRLYGKSFMYDHAYKVFDEMPNKGLLAFNALIAAGVNAKMYDKVNSVFKELPEKLSIEPNLISYNIVIKAFCDMGSLDSSISMLDEMEKNGVNPDVITFNTILYGFSKDGRVVDGEKIWSQMVQKNVEPDTISYNAKLMGLVTEKKMEEAVRLVEEMRSRGLKPDVFTFNYMIKGYVKEGNLEEVKEWYSQIAKNGCAPDKLTYTILVPFLCEKGDLKSAVEVCKEIFGWKGTISVALLQRVVDSQSLQD >OMO92543 pep supercontig:CCACVL1_1.0:contig08202:20976:31050:-1 gene:CCACVL1_06827 transcript:OMO92543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNKDNGIDPKPSNKEENTTIAILKY >OMO92544 pep supercontig:CCACVL1_1.0:contig08202:37220:40707:1 gene:CCACVL1_06828 transcript:OMO92544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MEEEIGTQLTDRGEEEEAEEGKLLENGYGPLAPCCNGIKALNAAAATTQDRQQACTCIKNIATTITGINYGLANGLPGKCGVNIPYKLSPSTDCKRQLLVLEMYAMGHPDIYITLHKTKNP >OMP10793 pep supercontig:CCACVL1_1.0:contig01919:795:899:1 gene:CCACVL1_00788 transcript:OMP10793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IWKRGPFNLCLSISLVPRVSFHRGIEQFGSSQGS >OMO89128 pep supercontig:CCACVL1_1.0:contig08843:20107:20367:1 gene:CCACVL1_08007 transcript:OMO89128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVEATSQGPITRSRAHHQRTGSREEPYSLEERMDRMEDAVERMHETVEGMDTRVIELEGDVKRLRREFQGALNEGFDRLSKESSE >OMO89127 pep supercontig:CCACVL1_1.0:contig08843:4342:18089:-1 gene:CCACVL1_08006 transcript:OMO89127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase MAAFSATISDSSRFLSSTSLPHDKRRISPSPFSSQCSRRSFSLPPSRAVQIWDLLIDNEYVRELLQKDGLVTKSHVVAKVRVGRWKGLSIISRWGKGKPLNIRVQASVADISVEKLMDKVQLPKGDMWAVHKFGGTCVGTSQRIKNVADIIISDDSERKLVVVSAMSKVTDMMYDLINKAQSRDDSYISALDAVLEKHQSTALDLLDGDDLAAFLSQLHHDINNLKAMLRAIYIAGHVTESFSDFVVGHGELWSAQMLSFLVRKNGLDCKWMDTREVLIVNPTSSNQVDPDFLESERRLEKWFSQNSSKIIIATGFIASTPQNIPTTLKRDGSDFSAAIMGALFRARQVTIWTDVDGVYSADPRKVSEAVILRTLSYQEAWEMSYFGANVLHPRTIIPVMRYDIPIVIRNIFNLSAPGTMICRPATEDEDGQRLEAPVKGFATIDNLALVNVEGTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVKAVAEALQSRFRQALDAGRLSQVAVIPNCSILATVGQKMASTPGVSATLFNALAKANINVRAIAQGCSEYNITVVVKREDCIRALRAVHSRFYLSRTTIAMGIIGPGLIGGTLLDQLRDQAAVLKEEFNIDLRVMGITGSRSMVLSEVGIDLSRWRELLKEKGQVADLEKFTLHVHGNHFIPNTVIVDCTADSNVASFYHDWLRKGIHVITPNKKANSGPLDKYLKLRALQRQSYTHYFYEATVGAGLPIISTLRGLLETGDRILRIEGIFSGTLSYIFNNFTGTKAFSEVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVQSLVPEPLRASASAEEFMKQLPEFDKDLSRERQDAEESGEVLRYVGVVDAVNQKGNVELRRYSKDHPFAQLSGSDNIIAFTTTRYKEQPLIVRGPGAGAQVTAGGIFSDILRLASYLGAPS >OMO95904 pep supercontig:CCACVL1_1.0:contig07582:8377:11372:-1 gene:CCACVL1_05185 transcript:OMO95904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALKLLQLDYVKLEGDYKIVPKSLIWLHWHGFSLESLPMDFDIKRLVILDMRFSSLKQLWKDAKCLPNLKILNLSHSHSLLKTPNFSGFPSLEKLMLKDCIKLVGIDQSIGELKKLIVLNLKGCQSIRKLPKTIGSLESLEELNLAGCSRLDDVPKELQDTKSLRVLNLDETSICESNSWLSWLLPLKRSKELGFSWAYLPCSLVKLSLESCRLSDGIMPNDLSSLPSLKCLNLSKNPIHSLPQSIGCLTKLDELQLTHCTELQSLPKLPISSINGLHAKFTLFKGRASTLPYLFYFKRCVIFGCEKLTEFQDVFKMEPIENFEAQQIKSLFNLDSIGSNKVQIYNYLTDTRIVDSPKVLHERGITSTFVPGNEVPVSFEHRYKGPKISFSVPQYPDEKIMWLNICIVFSLIGDNIMDFLPSVEITNESKETCLAYTSSFIRIPETQSDTMMWLIHWPVSTDCQLEGGDPLSCLVLPFDLEIREFGIVYGLESNITSEFDLRHSSTGEEDIARNIDSELMERLLKLDPSGNVRVQIYNRLEDSNRVTFPQ >OMO89362 pep supercontig:CCACVL1_1.0:contig08760:38227:42195:1 gene:CCACVL1_07887 transcript:OMO89362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MATKGNTGELKTRSSVSIFIVIGLCCFFYVLGAWQRSGFGKGDRIASEITKQTDCGVLFNLNYETHHGIDDSDSPAKEFNPCDDKYIDYTPCQDQARAMTFPRENMMYRERHCPPEEEKLQCLVPAPKGYATPFPWPKSRDYVPFANAPYKSLTVEKAVQNWIQYEGNVFRFPGGGTQFPEGADAYINQLASVIPIDNGMVRTVLDTGCGVASLGAYLFKKNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTVKMPYPSRAFDMAHCSRCLIPWGANDGIYMMEVDRVLRPGGYWVLSGPPINWRNDYKRWGRPKEEVEEEQQKIEEIAELLCWEKMQEMGEIAVWRKRANYDSCRQQDTKPTACESKYADDVWYKKMEACVTPYPETSEPNEVAGGEWKPFPERLNAAPSRISSGSVPEVSVETYQDDVRLWKKHVNAYKRINNIIDSGRYRNIMDMNAGLGSFAAVIESPKLWVMNVMPTIAEKDTLGIIYERGLIGIYHDWCEGFSTYPRTYDLIHANGIFSLYKNKCDAEDILLEMDRILRPEGSVIIRDEADVVIKVKKIVGGMRWNTRMVDHEDGPLISEKILFAVKRYWVAGENNTTSMG >OMO89363 pep supercontig:CCACVL1_1.0:contig08760:44195:44960:-1 gene:CCACVL1_07888 transcript:OMO89363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSKKMSPKPDSKTYQCHKSFKTNSVEEEDENSSGGDWDGNSDSDFGN >OMO89360 pep supercontig:CCACVL1_1.0:contig08760:31415:33706:-1 gene:CCACVL1_07885 transcript:OMO89360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGFGMSRALLPKHVAAVVKYQKDPLKALELFNAVKKEDGFKHTLLTYKCMIEKLGYHGEFEAMEKVLQEMRMNVDNSLLEGVYIGCMRNYGRKQKVQEAINVFERMDFYNCEPTVLSYNAIMNILVEYGYFNQAHKVYMRMRDKGIAPDVYTYTIRIKSFCRTRRPQAALRLLRSIPLQGCEINAVAYCTVIGGFFEENHHVEAYELFDEMLRLGLTPNVTAFNKLIHILCKNGGVQESEKLLNNVLKRGVSPNLFTVNIFIQGLCRKGAVSEAVSLFNSVTREGLRPDVVTYNTVICGMCKNGKVAEAERFLRKMVNEGLEPDGFTYNAVIDGYCKLGMIQYADKILSDATFKGFVPDEFTYCSLINGLCRDGETDRAVSIFNEALVKGLKPNIIMYNILIKGLSLQGLILQALQLMNEMAENGCSPDIWTYNIVINGLCKMGCVSDANNLLNDAIAKGYLPDIFTFNTLIDGYCKQLKMENAIEILNQMWSYGITPDVITYNSVLNGLCKTSNSEDVIETFKTMTEKGCIPNVITYNILVESLCKARKVNEALNLLEEIEEKGLAPDIVSFSTLINGFCNNGDLDGAYKLFRRMGQRYKVSHTTATYNVMINAFSEKLKINMVEKLFHEMCENGCAPDSYTYRVMIDGFCKTGQVDSGYDFLLQMVEKGFVPSLTTFGRVINCLCVENRVHNAVGLIHLMVGKGIVPEVVNTIFESDKRDVAAPKIVVEDLLKKGHITYYSYELLYDGVRDKKLLKKLQK >OMO89359 pep supercontig:CCACVL1_1.0:contig08760:22188:24893:1 gene:CCACVL1_07884 transcript:OMO89359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKFSPMRSLYNIKIQTKFSCSSYKFISTLAAIENPSIYRVENDVRNPTFLHASNVFVDYKRSRHHTIKSTKVLHSHLLKTSKLQSNIFVANSLLDGYCRCRSMEEAIKLFDRLSEPNIISWNTVISGYYCNNLFEDSWAWFRKMRFSGFEPDEITYRSVLSACVAMKSTSFGKQVYAVTMKNGFFSNGYVCTGMIDLFAKNSMFEDALRVFYEVSYCENVVCWNAIISAAVKNEDNWVALDLFVQMRKKFLMPNNFTFSSVLTACAALKELEIGKMVKGLIIKCGVVDVFAGTALIDLYVKCGDMVEAVKTFSWIPTRDVVSWTAIISGFVQKDDTFNALKFFKEMRYMNVEINNYTATSVISACAKPNLIEEATQIHSWIIKGGFYMDSVIQAALVSMYSKIGVIGLAEIVFKEIENIRSPNTWAVLISSFAQKQSFRQAVELLKTMLKEGLRPDRFCISSVVSVTECINLGRQMHCYILKTGLFFDLSVESSVFTMYSKCGSLEDSLKVFENIPVHDNVSYASMIAGFTEHGYAEQAVQLFKDMLSEDIRPDQMTLTATLSAYSSLHCLDKGKELHGYAIRAGFGNETLILGALITVYSKCGVLPLARRVFGMLGQKDLVSFSSLICGYTQSGLIEEAMLLFSAMMKSNLAVNSYTISSILGASALSNKLAIGIQLHALLIKFGLNSEVSVGSSLVTMYSKCGSIEDSQNAFNEIDKPDLIGWTAMISSYAQHGRGVEALRVYELMRKEGINPDSVTFVGVLSACSHNGLIEEGYYHLNSMSKEYGIQPGYCHYACMVDILGRSGKLREAEKFINCMPIEPNAFIWGTLLAACKVHGDVELGRLAAEKIIELEPSQVAAYVSLSNICADVGLWEGVLEIRSLMDGTGVKKEPGWSAV >OMO89361 pep supercontig:CCACVL1_1.0:contig08760:34552:36718:1 gene:CCACVL1_07886 transcript:OMO89361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2HC5-type MAQPLDAKEYLDNIIGLEAGSSVTEEYLRRRGHTDLSSGNAAIAPSKLQAYVKPPSGESSVAGTKKPSKTPNEASSSSYQAGPKKNGNHENRVLNDGSDTRDTHKGNQGNSKKKKSGKVVSLAEAAKGSIVFQQGKPCSCQAHRHRLVSNCLSCGKIVCEQEGEGPCSFCGALVLREGSTYAGLEGTFTPLSDAEAAAEAYAKRLVDFDRNAAARTTVIDDQSDYYEFENNNWLSKEEKELLKKKQEEIEEAERLKRSKVVVTFDLVGRKVLLNEDEVSELESESRILLRPPDEREVNRIKPNPNLRVQPVFINPAPVNKPSSKQPSKSPASGLRLEITGRVQHESNELKYFMTEKQIEAA >OMO53031 pep supercontig:CCACVL1_1.0:contig15358:16740:20075:-1 gene:CCACVL1_28934 transcript:OMO53031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKSLLQCCKDNGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDIVVSTKIFWGGPGPNDKGLSRKHIVEGTKASLKRLDMDYVDVIYCHRPDTYTPIEETVRAMNHVIDKGWAFYWGTSEWSAQQITEAWGVAERLDLVGPIVEQPEYNLLTRHKVESEYLPLYSNYGLGLTTWSPLASGVLSGKYTKGTIPSDSRFALENFKNLANRSLVDDVLKKVNGLKPIADELGVPLAQLAIAWCAANPNVSSVITGATKESQIQENMKALDVIPLLTPAVMEKIEAVVQSKPKRPDSYR >OMO53032 pep supercontig:CCACVL1_1.0:contig15358:22921:25303:-1 gene:CCACVL1_28935 transcript:OMO53032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHYKQCMLVTFFLIITKILVTGKHIPTTLEGPFDLVTIRFDPSLRRGSDDIPTDDPRLKKNVTSMFPEQIALALSTPTSMWVSWVTGDAQIGSNVTPLDPTSVGSEVCMGKKVESIPAKKEEMQLFIANCLEPGTKYYYKCGDSSVPAMSEEHVFETLPLPGPDSYPSRIALIGDLGLTSNSSTTIDHLTKNDPSLILMVGDLTYANQYLTTGGKGVPCFSCAFPDAPIRETYQPRWDGWGRFMEPLISRVPMMVIEGNHEIEPQVAGITFQSYLTRFVFPAEESGSNSNFYYSFDAGGVHFIMLGAYVDYNSTGAQYAWLKEDLHSVDRTVTPWLIAAWHSPWYNSYSSHYQEFECMRLEMEQLLYQHNADIVFSGHVHAYERMNRVYNYTLDPCGPVYIIIGDGGNIEKVDVDHADDPGKCPSEGDNIPEIGGVCHMNFSSGPAKGKFCWDRQPEWSAFRESSFGHGILEVLNSTYALWTWHRNQDVYKENSPGDQIYIVRQPELCSNSTSSKVIF >OMO53030 pep supercontig:CCACVL1_1.0:contig15358:9563:13206:1 gene:CCACVL1_28933 transcript:OMO53030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monooxygenase, FAD-binding protein MRDCVIHNNADQKLNKTEAALRMDTWSLDCISAQQCIPRIGQILFSIICATESGIIPFWIISSMIVARKFGPNVCRLRIPWRPFSTDAAAKSSTSNAGNPEQETEGEQYDVAIVGGGMVGLALACSLASRPLTKHLNIAIIDSNPALGRKHLIKKDDLPDPRILVLGNMSRSNDMRIFDKMQVWDYTGLGFTKYNARDANKEVLGCVVENKVLLSSLLSRVQDTDVQNKIYPSRLNSMSILPNSSSLEVDSTSSATALFTHGRLAKLELEDGNSLYAKLVVGADGGKSRVRELAGFRTTGWNYSQNAIICTVEHAMENHCAWQRFLPAGPIALLPIGDKFSNIVWTMNPKESSEFKSMSEDDFLKAVNHALDYGYGPHPKSSVLGNAGIFSWLKGNITVSAKDCFEVPPKVVKLASERMAFPLSLRHANDYASKRVVLIGDAAHTVHPLAGQGVNLGFGDASTLSNVISEGIAVGTDIGEVSLLKKYEADRKPANVMMMAVLDGFQKAYSVDFGPLNILRAAAFHGAQYISPLKTSIISYASGQQRLPLFS >OMP01255 pep supercontig:CCACVL1_1.0:contig06490:5802:11552:-1 gene:CCACVL1_03131 transcript:OMP01255 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MALANEARERSGEEDDNLARSTKKVKAAEPVVVESGQATPIGDGDQQPFPRLSFRDAALGSQNRGMYDELGSLESDEGLIHVSTHGGWPKISLSERFKSHIRRQWERCIIVKLLGRSVSFRVLNEKIHKMWNPKGEMSLVDLGMGYFLVRFASTDDLAFAMEEGPWTIFGHYLTVRPWVPDFNPSTATIDSTMVWVRFPGLPMEYYHPRLLMALGNTVGKAVSAYINTRHASRGRFARVCVEVNFNDPLVPKVFFEDRWLSVEYEGLPMICFKCGRQGHKGICPYFPHEDDESEKQNVEGGVAMAEDNVQVQHKQVNEHAALSPGDDMNERVDIELEQVRENVVEAWAKGVGMGSNMPHDGAKRAQVNYKAQFRSKAHGAVTTNAAPQGARVHQVASSSKNTNEDLSVDNRGKQARGVEDRLDVNLRSGGVERCVGMERNEVSAFQSSKSALGMQDTDISRGAGGKAFLRHAKDLLRAQKPTCFVLVEPRISGNNARRVVRRLGFSKFHIADPVGYAGGIWMCWDNGAVDIEIISSSPQVIHSIVRRPNAVEFLLTAVYASPVLETRRNLWKSLEAFAENVSLPWVVVGDFNDVLHSGEKYGGNQPSLGRCNAFNSMITYCGLIDLGFKGPSFTWCNKRNGVARIQKRLDRVFSNAEWRLLFPEAEVRHLPRLHSDHCPVLLQCDPGFPLNNSNRPFRFQAMWLMVDGFYDLIGKFWAHSHGDIEDKLANVAELLREWNKESFGNIFERKKELRARICGVQRALAAYRSHQLELLEDNLVREYNAFSSGAFNEHLSRTLLVLIPKVANPEYTKQFRPISLCTVTYKLITKVLVNRLRPHLATLVAPFQSSFIPKRQAADDIFIAQEMIHSIKKTKSKNGLMAIKIDLEKAYDRSLLASGNRSPWDEVDRPFLICFFADDLFLFGRATVAQVNVIKAVLDTFCMSSGAKMSLDKSYMFISPHASTCNARLVSRCLGIRLSSNLGKYLGIPLINGRVVKGTYRELVDKVSSRLSGWKTKCLSLADRATLVASVTSVIPTCTMLTTKLPQNVCTQMDMLNRRFLWGGSESKRALHLVNWETVCTPKKLGGLGLRQMELHNSVLLQKLYGDFLQNLIVCGFNWSHTWRSMIGALRVLSKGLVKRIGDGSLTRFWVDKWLDKPIVEYLESVPSFVDGSTMVSDFIVDKAWNVNMVFAQVPLQVAMKIMGYPLSRFGILTDTYIWEPCSNGSFTTASAYQLMLHEMNVLEQDLRWLWRLALPARWIYLLWLVWKGRLVTNSFRASWGVGIAASCPLSEYPVEDVIHVLRDCSYPVTIWKQLVPSQNWSSFYGWDLTEWLLNNLDIKKGEARSVTIFATVLWRIWTQRCNYIFEPDDSSVSEQDMIRNICFTSREILEAWSKPPSAVSVPKMIHWCPPPALKVKVNTDGASRGNSGVAGAGGVIRDAGGSWLDEGFREVICEVDAMVILELPKSANVSLHPLGALIIDIREILARNWDCIYQHTLREGNFCADLMSKMGCELDNDFQIFCSPPESVLDVLGADARGVAFPRGFNVT >OMO79170 pep supercontig:CCACVL1_1.0:contig10476:4582:12623:1 gene:CCACVL1_13868 transcript:OMO79170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-2 MGRGGGEEGREYKKITVGVCVMEKKVKCGSEVFSAPMGQILDRLKAFGEFEIIHFGDKVILEEPIESWPICDCLIAFYSSGYPLEKAEAYSALRKPFLVNELEPQHLLHDRRKVYERLEMYGIPVPRYALVNREEPYQELDFFIEEEDYIEVHGNRFWKPFVEKPVDGDNHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNTDGKEVRYPVLLTPNEKQMAREVCIAFRQAVCGFDLLRSEGRSYVCDVNGWSFVKNSHKYYDDAACVMRKMFLEAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRAETKLKSAVQLQDLLDATRMLVPRSRQECELQQI >OMP07276 pep supercontig:CCACVL1_1.0:contig04555:747:839:1 gene:CCACVL1_01338 transcript:OMP07276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TNRSGAPRNDTKRTPWWKKYGVRIFPDKPVT >OMO55597 pep supercontig:CCACVL1_1.0:contig14656:6011:6094:1 gene:CCACVL1_27155 transcript:OMO55597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAANFFVTLLANNTPRDYIMGGNK >OMO55598 pep supercontig:CCACVL1_1.0:contig14656:9822:17613:1 gene:CCACVL1_27156 transcript:OMO55598 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MAHKGLVSEDKLDSFNLPRYNPTPRELEGLIKKNSCFSIERMERIIPSKTKLAMASDNGVGLISKEKVETFNLPLYYASPTEIEKLIQDNGCFGIERMETFPGTRKNIVNLQMWPMTVRAAFEAMIRNHFGGEMVEELFEIYNKKHSDNVSIFERDDVLTLVQLNIVLKRKN >OMO55599 pep supercontig:CCACVL1_1.0:contig14656:19496:20978:1 gene:CCACVL1_27157 transcript:OMO55599 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase METKILPGSYPMNGGDGEVSYARNSQCQKRAVDDAKKIINEAIADLIDIKNLQKSSNRFLIADLGCAAGPNTFFAVQNIIEAVDNKCKTMQKNDPEPDHQYQTIEYQVFFNDRIDNDFNTLFRSLPCSSSRPYFAVGVPGSFYGRLFPKQSIHFMHSSNTLNWLSRVPDHVGDINSPAWNGNSIFCRGDNKEVAEAYLNQYKKDMEVFLNARAEELVAEGLLALVAVGGRPSGVPLCQINMGKTYEFLGSCLFDMAAEGIISKEKVESLNLPQYYPTASEMEELINKNGRFSIEKMETFPGPGEHFHNVQTWSMIVRAGFEAIVQNHFGNEMVDEFFHRYTKKHAENLSIFYGITKLVQINIILKRKVCSK >OMO55600 pep supercontig:CCACVL1_1.0:contig14656:22740:24531:1 gene:CCACVL1_27158 transcript:OMO55600 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MAVEETELPQPVTMKGGDGPDSYAKNSSFQGSISEEKLNSFNLPLYFPSAKELKALIEKNGHFSIERYETTEQAKAAHALPKPQNCILHIRAGLEGLIKGHFGTEIVDEFFECFAKKHAETGFVFGENAVDNTLIFMILKRK >OMP03877 pep supercontig:CCACVL1_1.0:contig05928:418:1743:1 gene:CCACVL1_02236 transcript:OMP03877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVEGVERPVYYLSRCLHGSELNYSPIEKHCLSLVFATQKLQHYLLAHKVTVVTKSDPIRYILSRPILAGRAAKWLLLLGQFDLSVAQPKAIKSQALSDLLAYFPIQSEEAVTLDSMLGDVEGESVRCEHSPRSSNRYVDALATLASKIHIPGQKEEISLLVQRWSVPGPLAGMTEYYLGEVSKDADWRTPIIEQLRERKSSNLRFLKSYTIIQGALYYRGPNGILARCISPEKAKERLRTSHKQWCGMEGPPLYRRMQRDGYYWRTMSSDCADAQYACPRCSEPPDVNDCHFVGSVGYWRRPYIEYLQNGVLPTNHQDARNLKRK >OMP11291 pep supercontig:CCACVL1_1.0:contig01408:91:4412:1 gene:CCACVL1_00582 transcript:OMP11291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MTLTIIPFAILAYSRTKGFFYAYYVLKMLTAMFCEGTVHCLSLAYVADNVPEGRRASTFGILSGIASCAFVGGTLSARFLSTASTFQIATVISMVSAVYMRVFLPDSTANDNLTTPILSAGKISADGNGIVNADEESDKKVQTFKTMPSIEDMLALLKSSMTFSQAAIVSFFSNLADVGLHASLMYYLKAKFHYNKNQFADLMVITGVAGTVSQLILMPLLAPVVGEERLLSVGLFFSCAHMFVYSIAWSAWVPYTAAVFSLLYVFTQPCIRSIVSKQVGPCEQGKAQGFISGISSFANVASPLVFSPLTALFLSDEAPFYFPGFSIMCVALASMVAFVQSLMIRAAPPISSQRTGNCDNI >OMO54090 pep supercontig:CCACVL1_1.0:contig15056:14882:16036:1 gene:CCACVL1_28069 transcript:OMO54090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVSMDESNRTLLVTVSDVQFPVTDEVLNHIFSPYGTLEKITILPEAATVGFQALVEFQQHHSAAAAKNSLHGRDIYDDCCRLDIKFSQPPPPEDFDQTFDRFQLHLKACLAAIQEDSVEPKLKNGDSKLNAGSGWKHDLQKLMSELDKKIDAKLESKLKELRAEMRMEFKKMLVESFPLREDDDKGNCPLPDSNLGFSDLEYHRPRLECPKFNGDDFKGWYLKLKQYFEVENVPEDSKVFVAMMSMEGDALNWHIFYTRNQGGSIKNLTWPLYKEALLERYAPDPMSELLQLKQTTTVEQYNDEFLGTLLLLDDMSECLARAIFVENLKPEISQILKFFRPQKLDHAFLLARRIEYMINMELGYESIIMNLRLYHLTLQNH >OMO54088 pep supercontig:CCACVL1_1.0:contig15056:993:9470:1 gene:CCACVL1_28067 transcript:OMO54088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MILESATFLSPLKAYSWIHREAKAMEWWTCERFMKKGASVEIRQAAGLLVKNNLLSAFKSLDPAYQHYIKSQLLPCLGEAKKDIRNTAGTVISVIVQQGRILGWSELLQALVQCLDSNDINHMEGALDTLSKLFQSPHASLRKLSLGSINQFIMLMPTALFLSMDQYLQGLFVLSRDPVAEVRKLVCAALVQLIEVNPTFLEPHLKNVIEFMLQASKDDDDEVALEACEFWSTYCETELNPELLREYLQQLIPTNWSMVYGDDDESLVDAEEDESVPDRDQDDDSLNVWNLRKCSAAGLDVVSTVYGDEILPTLMPLVQAKLSTSDDDSWKEREAAVLALGAIAEGCISGLYPHLPEIVEFQLPILDDKFPLLRSISCWTLSRFSKFIVQPKYLQILMPPLIAKWQQLSDADKDLFPLLECFTSIAQSSCNVAESVAVANNACWAIGELAVKVGFLYSLL >OMO54089 pep supercontig:CCACVL1_1.0:contig15056:9897:10388:-1 gene:CCACVL1_28068 transcript:OMO54089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 LYR protein MIPYLQKCRKLTIHLKPVFDSDIVFIQRFLHNGPDTVEELLDRHVVKKEKSLNDEEDELLTRQRLTSTRREALALYRDIFRATRFFMWPDSRGVLWRDVLRENARKEFEEARFEKDPELMTRLIISGRDAVQSALEKLAEKQKQQIAKERSGTVEKDVDSSIF >OMO69547 pep supercontig:CCACVL1_1.0:contig12016:26045:29011:-1 gene:CCACVL1_19440 transcript:OMO69547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MQSLKRLATRRSRDSLIQFKRSLSALPINASADDELQNQVLVEGKAGSRVAILNRPSSLNALNTNVGARLHKLYASWEDDPDIGFVVLKGSGRAFCAGGDIVALYHLINEGRIEECKEFCRTLYSFIYHLGTYLKPHVAILNGITMGGGAGVSIPGTFRFATGRTVFATPETQIGFHPDAAASFYLPRLPGYLGEYLGLTGEKLSGAEMVSCGLATHYSHTEKLPMIEEELGKLVTDDPSVIESSLEKYSDVAYPEKISALHRIEVLDKCFGHDTVEEIIEALEREASATNDAWCNSTLKKLKEASPLSLKVSLRSIREGRFQTLDQCLAREYRMSLQGFSKQISTDFCEGVRARVVDKDFAPKWDPPSLDKVSDDMVDQYFAPLSESEPDLDLPTKQREAFNI >OMO69543 pep supercontig:CCACVL1_1.0:contig12016:11928:12184:-1 gene:CCACVL1_19436 transcript:OMO69543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSGMADGDNKSFLVCRKKMATSPEMPCRFCPPPRKQILEIMVNMKFD >OMO69545 pep supercontig:CCACVL1_1.0:contig12016:19157:19609:1 gene:CCACVL1_19438 transcript:OMO69545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDGSNAPSQYVQLRKEKEPLEDITPGELNQPVQVPQLMVRRCLECGQPLPESYEPPADEEWTTGICGCFEDPQSCKSI >OMO69546 pep supercontig:CCACVL1_1.0:contig12016:20748:25429:1 gene:CCACVL1_19439 transcript:OMO69546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFPRSPVPRLFYTFYSTRTTPSSSPSPKAALLLGNFEVRHFSAGNVARAKDDKEPWWKESMERLRNIGISAHIDSGKTTLTERVLYYTGRIHEIHEVRGKDGVGAKMDSMDLEREKGITIQSAATYCTWKDYQINIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHYSAAVQVPIGLEENFKGLIDLVQLKAYYFQGSSGEKVVAEEIPADMEDIVAEKRRELIEMVSEVDDKLAEAFLNDEPISSADLEEAIRRATIARKFVPVFMGSAFKNKGVQPLLDGVLSYLPCPIEVSNYALDQTKGEEKVMLPGTPDGPLVALAFKLEEGRFGQLTYLRVYEGVIRKGDFIVNVNTGKKIKVPRLVRMHSDEMEDIQEAHAGQIVAVFGVDCASGDTFTNGSVKYTMTSMNVPEPVMSLAVQPVSKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERIRREYKVDATVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVIGYVEPLPPGSATKFEFENLLIGQAIPSNFIPSIEKGFKEAANSGSLIGHPVENLRIVLTDGASHAVDSSELAFKLAAIYAFRQCYTAARPVILEPVMLVELKVPTEFQGTVAGDINKRKGLIVGNDQDGDDSIITATVPLNNMFGYSTALRSMTQVRNECLIPQFTL >OMO69544 pep supercontig:CCACVL1_1.0:contig12016:14978:18320:-1 gene:CCACVL1_19437 transcript:OMO69544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAMNIDLPIIQFNSSTAAVPSTVQSSTISLNLAAPPKRPSSSTASSSSCQCHTDTCTRPLEAVDGNENSSNTVTAGSFNNYVLGPVPSNLEVENALAALQNFIHGNSSSRPELGWLQPLLDSSDSRLLQSQGLERAYDAFSLLLREPSVKRLVISLLSDKAVWDAVMSNELVRKLLDLPHLPGMFLNSESYLHKNRTQQVENGRPWKSTHEADLGKDILQWILDMIKTKVAELLLKFQSLLNEVFQVTMTGKPNEENKDQLEEKIRSSLLLSIVILVITLPVELTLLPILENLYLDNNKLSVLPPELGELKTLKVLVVDYSLLVSVPVELRQTVWLVELDV >OMO53820 pep supercontig:CCACVL1_1.0:contig15151:2134:2866:1 gene:CCACVL1_28310 transcript:OMO53820 gene_biotype:protein_coding transcript_biotype:protein_coding description:AWPM-19-like protein MAVGRNVAAPLLFLNLIMYFIVLGFASWCINRYINGMTNHPSMGGNGATGFFLTFAILASVVGIASKFAGGHHIRSWRSDSLAEAGSSSLIAWALTALAFGFACKHINIGGWRGWRLRILEAFIIILTFTQLLYVLLLHAGHFSSKYGPGYRDTDYGVRAPGDEPGAKTGTAMTGSRV >OMO53825 pep supercontig:CCACVL1_1.0:contig15151:46790:50873:1 gene:CCACVL1_28315 transcript:OMO53825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLASSSATSTSPHFTFYNPRRPSSFTFKPSIVSQVYPWESFPVRIIESRLLCRISCIRTNVNGSGFCGSSDAICKKDFLFHPLCRLSKSFTSQSGCFAINHGCPCRFRIYSSNLQKVMSHSEKLRQHVSFVFVRLVAAMLLVTSVSFAVSNEPSWALSEENLLFLEAWRTIDRAYVDKTFNGQSWFRYRENALRTEPMNNREETYTAIRKMLATLNDPFTRFLEPEKFKSLRSGTRGALTGVGLSIGYPNKSEGPRTGLVVISAAPGGPANRAGISSGDIILEIDNSSTESMGIYDAADRLQGPEGTSVELTIQTGPEIKHLALTREKYSLNPVRSRLCEVPGSEKNYPKIGYIKLTSFNQNASAAVKEAIDSLRSNRVNAFVLDLRDNSGGLFPEGIETAKIWLDKGVIVYICDNRGVRDIYDTDGSTAIAASEPLAVLVNKGTASASEILAGALKDNKRAVLFGEPTYGKGKIQSVFQLSDGSGLAVTVARYETPAHNDIDKIGVIPDHPLPNSFPKDEDGFCGCLQDSGSACNVNNVQLFSR >OMO53821 pep supercontig:CCACVL1_1.0:contig15151:4137:5201:-1 gene:CCACVL1_28311 transcript:OMO53821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus 6 MFMQMGKKKEKTLKELESPIDLKSMIHENAMFFDKLIELIPARFYLPDEKDKPWFQGLSKAEKASAKQQSRENIKKARRDRLDPEKSSKTTLDMLKENLEKEKLDKESDDEEIEVKPIMADLEEGGEERSVTYEELRERLRKKIEELRGTRNTAGGSDKGKKKNEKKGNVEKKRKRDGGVEEKKGTDNNNKDKIEKDLEEAAKELTFSHVKLGDDEKHGKKKKRKLSKQKELETALKLQEAKNDPEKGEAIAKKHSWRAALDRAAGIKVHDDPKLLKQSIQKEKKKHQKNVQKWSERVETTEKLKAEKQQKRSENIAGKINEKKMRRIAKREKKLLRPGFEGRKEGFINEGSST >OMO53826 pep supercontig:CCACVL1_1.0:contig15151:53707:54850:-1 gene:CCACVL1_28316 transcript:OMO53826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCYSQYKPKSCEFQAHKGDRIKVHYRGKLTDGTVFDSSFERGDPIEFELGSGQVIKGWDQGLLGMCVGEKRKLKIPAKLGYGPQGSPPTIPGGATLIFDTELVSVNGKPSSGGDASEDEL >OMO53822 pep supercontig:CCACVL1_1.0:contig15151:13840:14939:1 gene:CCACVL1_28312 transcript:OMO53822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWAVMGMAGHLGWGIIDEGWRKGPWTAEEDRLLIEYVRLHGEGRWNSVARLAGLKRNGKSCRLRWVNYLRPDLKRGQITPHEESIILELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKAKLSPENNSDKAKARLLKRQQFQQQQLLQQQQELQKQQQQQEQQQQQLQLNQFDMKKIMSLLDEHEHRAPPYVPQLRQEMATTSNSYPNTTEEQGFYYPPMINNSNVSTGSTDSSNEEILWDGLWNLDDYGNFGSACATSKASLHNLVTPFC >OMO53823 pep supercontig:CCACVL1_1.0:contig15151:20113:36814:1 gene:CCACVL1_28313 transcript:OMO53823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSTKTRIRGVVFDMDGTLTVPVIDFAAMYKAVLGDDEYKRIRAENPSGIDILHHIENWSPDKQRRAYQVIADYEKQGLDRLQIMPGAAELCGFLDAKKIRRGLITRNVKEAVDLFHQRFGIMFSPALSREFRPYKPDPAPLLHICTTWEVQPNEVMMVGDSLKDDVVCGKRAGALTCLLDEKGRSSQQHNNHNHNPLNSRNTIKNDIKPKTQLINLVASPASGEVSVRKGLKSKANEEKKSLSQEGFQEMLALCGLGYWMQGFRCFPWLALNFHMAYNLNLNPSTLQLVQNSGNLPMVAKPLYGILSDVLYIWGAHRIPYISIGGQALPTLMACVLLSNLGASITEVAKDALVAEYEKEDGLASLEIIGRGGGCGEVYKAELPGSGGIMIAIKKIIIQRPIDADELTDEDLQGQRKASEPMAALPPPQQKQEDSVSISKKKKQKRKRTKHDPELDRLDSLPWNSSLPDKEDENFSLFVGSGDLDGGFLSLEEIDEADYSLDVPGIKKKTSDKKSKSKKQKLSEVSEGSAEDVEAEPADAMAEEKNVKAKKKKKKSKKRKEKKTEQEKEAATVSDNKDDDEEEMLDEADASSEFYAWSELRLHPLLMKSISRLGFKEPTPIQKACIPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEEEKAATKMLEQKGDEAGNYAPKGVLRALIITPTRELALQVTDHLKEVAKGINVRVVPIVGGMSAEKQERLLKTRPEIIVGTPGRLWELISDGEKHLTELHSLSFFVLDEADRMVEGGHFRELQSIIDLLPMTNGVSQEQSQNTQNCVTVSSLSRKKRQTFVFSATLALSADFRKKLKRGSLKSKQSAEGLNSIEILSERAGMRPNAAIIDLTNASILAKNLEESFIECREEDKDAYLYYILSVHGEGRTIVFCTSIAALRHISSHLRILGINVSTLHAQMQQRARLKAMDRFRANEHGILVATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARASAEGCSIALISPNDSAKFASLCKSFSKESIRRFPLENSYLPEVMKRVSLARQIDKILRKDSQERANKSWLERSAESLELVMDNYDSEEERVKNIKQKKASSTQLKKLQQELSSMLSQPLCPKTFSHRYPAAGGVTHLIQNQFEELAKQNVDSNLASGENKRRKMVVIGQDCLEPLQALRNAGREVHLDIKEMAEKRRNVESLRRKRKEEKKRLRDQRRKQKKNLQGRQ >OMO53824 pep supercontig:CCACVL1_1.0:contig15151:40200:41654:1 gene:CCACVL1_28314 transcript:OMO53824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MATCSVDLAPLLGPNATAAAAYICNKFNDTGYAIDNTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAATGGLFYYLFGFAFAFGSPSNGFIGRHNFGLKSVPSTSLDYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWATDGWASAFRTDDFLFGSGVIDFAGSGVVHIVGGVAGLWGALIEGPRIGRFDHAGRSVALRGHSATLVVLGTFMLWFGWYGFNPGSFNKILGVYSSGTYYGQWSAVGRTAVTTTLAGCTAALTTLFGKRLLTGHWNVTDVCNGLLGGFAAITSGCSVVEPWAAVICGFVAALVLIGCNKLAEKVKFDDPLEAAQLHGGCGAWGVLFTGLFAAEKYVREVYPSRPARYGLFMGGGGRLFAAHIIQILVIVGWVSATMGTLFFILHKFNLLRISAEDEMAGMDMTRHGGLAYVYHDEDDSHKGIQLRKIESHPTPPSV >OMP05443 pep supercontig:CCACVL1_1.0:contig05389:4714:5706:1 gene:CCACVL1_01902 transcript:OMP05443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEHFYLTLLLGFVSFVTFSFFVLFYKHRSNYTAPNLPPGKTGYPIVGESLEFLSTGWKGHPEKFIFDRMAKFSSQVFKTNLLGEPAAVFCGANGNKFLFSNENKLVQAWWPRTVDKVFPTSLQTSSKEESKKMRKLLPNFMKPEALQRYIGIMDQIAKNHFKTEWENKDEILTFPLCKRYTFWLACRLFVSMEDPAEIIKYEVPFAGLASGMISLPIDLPGTPFNRAIKASKWIRKGLLKIIKQRRIDLAEGKATGTQDILSHMLQTTVDGIQMDDLDIADKILGLLIGGHDTASAACTFIVKFLAELPHIYDQVYKGIINAFSLSLQII >OMO71816 pep supercontig:CCACVL1_1.0:contig11546:10165:10263:1 gene:CCACVL1_18058 transcript:OMO71816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKVRTSHRTKHMYAYRISKRKEKAKLYAYV >OMO81463 pep supercontig:CCACVL1_1.0:contig10166:10333:12335:-1 gene:CCACVL1_12403 transcript:OMO81463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase MAKHSAEVLVEGLKKILISVKEGLDEKVQGKVEKLIAELQGTVPPSLEPFDPDAQKLELGFDFFKNNIFDKNREYFRELAEAQHPKFLVFACSDSRVSPSIVLNFKLGEAFIGRNIANMVPQFDQWRHTEIGSVIEYAVKELGVENILVMGHSHCGGIARLMELPDHSCSHDFIDEWVKIGLPAKQKVKEEANHLPEEEQLRLLEKESVENSMANLLTYPFVRNAVENGSITLRGGYYNFDNGTFQQWKKM >OMO81464 pep supercontig:CCACVL1_1.0:contig10166:19692:21561:-1 gene:CCACVL1_12404 transcript:OMO81464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase MAKHSAEVVIEGLKKILIKEKEGMDEEVQGKVEMFIAEFQGSMAASGHQHDPDAQTLERGFDFFKNKIFDKNPEFFEALAKKQQPRFLVIGCSDSRVNPPTILNFNLGEAFMSRNIGNMVPQFDQLRHAEIGSVIEYAVKHLEVTNILVMGHSHCGGIERLMELPDPTLTHDFLDEWVKIGLPAKNKVLEQCNHLGTEEQKRLVEKESVKNSMANLLTYPFVRNAVVNGTITLRGGYYNFDNGTFQQWKLCTKPMP >OMO83630 pep supercontig:CCACVL1_1.0:contig09872:36931:37783:1 gene:CCACVL1_11317 transcript:OMO83630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIFGKEQKGSSHWPFGCQCYTGAEEIIKGLPRHLKIVDFSADFRLRGIAEYEEWYGQHSHIVHQTC >OMO83628 pep supercontig:CCACVL1_1.0:contig09872:30313:30909:1 gene:CCACVL1_11315 transcript:OMO83628 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MLGRRQTTDSKACNSQAITYVPLYDTLGANAVEFIINHAEVSIAFVQENKLPAVSSHCNFYLLACGEIHQSIIKI >OMO83624 pep supercontig:CCACVL1_1.0:contig09872:675:1718:1 gene:CCACVL1_11311 transcript:OMO83624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative long-chain-alcohol O-fatty-acyltransferase 5 protein MEGEIKNLIKPSDEPYLATSLQDFWGRRWNLVVTNILRQTIYKPVRSFSDSVLGPKWAPLPAVMAAFVVSGLMHELLFYYVTRVSPSWEVTWYFVLHGVCVVVEFGLKRVFSGKLQLHWAVSAPLTVGFVVATAMWLFFPPVLRTGAVEKVLEEFKVFLDFAKVLSQVK >OMO83629 pep supercontig:CCACVL1_1.0:contig09872:32299:33375:-1 gene:CCACVL1_11316 transcript:OMO83629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDNRLMDLPIDIQVEIFNRIEVTDLMGLRSVSRDFHDILKKTSVLSINDEKFLRRMLIIRRSKSPYSSNPIEPLTEIKIRVPVSSETINKLLRTNNNTLKNLSLSLGPLGGQILSPLRFNALTILNLEETRRIALQTLLSGTPVLKSLTLKNCAFTSETKTLLLNSQTLKTINLLRCDLTPFATILIQITHVHGLTWDDNNIISNFRLMIEAEEIKTLVFLGTLVETSFHVSTIGESFIAVPDLSPLGPTKFENDFYKMMNGVSGSMIMGMDATTAQILYLALLDGKKPITFRRLRRINLRGSGTHRNRNRGLIMDSLRSLTIPGGGVYEI >OMO83627 pep supercontig:CCACVL1_1.0:contig09872:23570:26109:1 gene:CCACVL1_11314 transcript:OMO83627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsZ MATLQVTNPKDLISTSSSTLLSSRPCFSAKKPLKRSFMGKRYRFGGIRCSFAPMETAKIKVVGVGGGGNNAVNRMIGSGLQGVDFYAINTDSQALLQSAAENPLQIGELLTRGLGTGGNPLLGEQAAEESRDAIANALKGSDLVFITAGMGGGTGSGAAPVVAQIAKEAGYLTVGVVTYPFSFEGRKRTMQACALEAIEKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDDRYNGEIHVTIIATGFSQSFQKTLLTDPKAAKLIDKVAGGQESKGISLPLKSSSPSTVASRSSPRRIFF >OMO83625 pep supercontig:CCACVL1_1.0:contig09872:4029:9354:1 gene:CCACVL1_11312 transcript:OMO83625 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase I MAVEASPAKNVYDFDDDDDDGPVRFKRSNTSSSKQNQLNSELKKASSQRSEGLSVRQVSDVRSPNGQTSNVLKNKTNPSSKSPPVRSPIMSPKASTSSSKASAVKLPVTNSKASASLSEQSKQELKQNMDTSVKDERSPTKDAAEPKIDDEDDDDDDDKPLSSRLKVTSNQGNKGTSTSASAQSKQLVPKTEVKVSAEDSDDEVPVAMRLKNKMSNPGTSNNKPYESDEKKPIASKIQQNGSMSKDKMQKSSLLSGKRPLDKGNSSDQSLAKKPKVCGTPTTVKTKQVTVKAEKADEDDDHIPIAQRMKKSTLSNSKPSSKPTKVVSSSLKKINKKSKKEMKSSKYSKSTKELPSSGDGQKKWSTLVHNGVIFPPPYKPHGVKVLYNGRPVDLTPEQEEVATMYAIMKDTDYMNKEQFKKNFWEDWSKILGKNHIIKDLKNCDFDKIYEWHLLEKEKKKQMSAEVGNFRVEPPGLFRGRGEHPKMGKLKKRIRPSDITINIGKDAPIPECPIPGERWKEIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARMLKGYIKNIRAAYTKDFTTKDVMKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNKLKFDFLGKDSIQYVNTVEVELPVYKAIGQFQTGKSKIDDLFDKLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEMLNKETKEGDVTEKVAVYQRANKEVAIICNHQRSVSKSHGAQISKLTDKIKELKDVIKELKIDLDRAKKGKSPLKGADGKQKRNLAPAALEKKIAQTNAKIEKFERDMQIKEDLKTVALGTSKINYLDPRISVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPDFRF >OMO83626 pep supercontig:CCACVL1_1.0:contig09872:10190:22772:1 gene:CCACVL1_11313 transcript:OMO83626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKSAGQTSAETIASNQDLLQEILVRLPVKSVLKSKLVSKSWLSLLSDPRLAVKHTRLHVNLGPSGLYFYGSPHGFLNFDTNPTNLKVPSLTFLDIKGLEILQSCNGLLLLLCQIDSEDSYSGLTYRVCNPTTKNFVTVPLPNPTAKRSIAGMNLAFDPSKSTHYKVICVLLNNTHDPIIDETCNKFLGLIEGVGNIEHPISPTYQIEIFSSETKAWEFCGGPFEAPHYTDFEHAVFWNGSIHWLSPTDVSLYFDVETETLKTMAMPRNQAGTNGFWSSQRFPYLGECRGHLHLIEVDSISTPTFCVFEMKPDHSGWFVRYIIDLENIALNFPEIGRRYIDEFPNLAQNNEEDFRVQYYAYSILSVVRGEEEDDVELVLLIPGKIVSYNPKLNTLKLLSSWRSNSVYDCMKYRWYQKVWYSPLLNNSQGSMASSSDPWIKEYNEAVKIADDINGMISERTSLPASGPETQRHASAIRRKITILGTRLDGLQSLLSRPTGKPLTEKEMNRRKDMVANLRSKANQMASAFNMSNFANRDSLLGPEIKPDAMSRTVGLDNSGLVGLQRQIMKEQDEGLEKLEETVSSTKHIALAVNEELDLHTRLIDDLDQHVDVTDSRLRRVQKNLAILNKRTKGGCSYVALALAPDVEYRVREIMQEAIKCMRHSRRTLLTAEDVDSALKLRNVEPIYGFTSRDPRFKRAAADKGLFYIDDKDVEFKEVIESPLPKAPLDTSVTAHWLAIEGIQPAIPENASVEAPPDGKKAEYKEDGLSDNRLSIKHVLPRELQLYFDKVVELTMKRPVSVVFKQALLSLATDSGLHPLVPYFTCFIADEVARNLNHLPLTFALMRVARSLLHNEHIHIEPYLHQLMPSVITCLVAKRLGNKFTDNHWELRNFAAKLLASICKRFGHVYHNLQPRVTRTLLHAFLDPTKTLPQHYGAIRGLAALGPSVVRLLILPNLEAYLQLLEPEMLPEKQKNEIRRHEAWCVHGALLRAAGLCMYDRLKMFPSLLATPARPVWKSSGKVVTTNKRKASTDNLMQQPPVKKIASDGAIGMMPINSMQVDMQGAVGGFSTGIGGPNMGVSSISRQLSNDHISGREVSGRGLKTSSVLAQAWKEDTDAGNLLASLFELFGNLCLIEIWTMGFLITTLIFAVIGVPLNIGHYRNSLLLDDVGNRISCTNETAHCPHFE >OMP03220 pep supercontig:CCACVL1_1.0:contig06116:6335:13241:1 gene:CCACVL1_02502 transcript:OMP03220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPQSRPFGTQGRKATHDFLSLYSHSSLQQDPRPPSQGGYLKTHDFLQLDRIGKTIAKEETPVEVGAAEKPPPPAPPPSVEHILPGGIGTYSISHVSYFNPRVPKAEGAIFNVAQGSSNERNDENSNCSSYTGSGFTLWEESAGKKGKTGKENAGETPAVREAAGKVGQWATSSLERPSQSSTNNHRNSFSSLSSSQPSSKQKSQSFMEMITSAKGSAHDDDCEEDEDFVLKKESSTTTHSKGELRVKVDGKSAQDQKANTPRSKHSATEQRRRSKINDRFQMLRDLIPHSDQKRDKASFLLEVIEYIQFLQEKVHKYEGTYQGWSHETAKSMPWRNNHRPTDNYADQSRAINGVSAPALVFSAKFDEKNIAGAPTIPGSAKNPIESDMSAATAFRAIDPRPGMVNKTMPFPVSLQPNFFSSAQSTGAAAQLAPRLPSDAENSASQPQSLPCHSGSFTTDGTLPSEKLKEQELTIEGGTISISSVYSQGLLNTLTQALQTSGVDLSHASISVQIELGKRSNSRPTAPTSTHKDREAPSTNQGTTRSRVGCSEDSDQPLKKLKT >OMO66278 pep supercontig:CCACVL1_1.0:contig12562:3067:4250:-1 gene:CCACVL1_21231 transcript:OMO66278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGESRGRNLFQIIQVMLPSVLALWIIGPARFSNVGLAAMAVALFSFLIALPGSTHLMAKRIAFGQTVIVY >OMO97633 pep supercontig:CCACVL1_1.0:contig07220:31311:38183:-1 gene:CCACVL1_04498 transcript:OMO97633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein 60S MKVIAAYLLAVLGGNASPSAADLKDILGSVGAEADDDSIELLLSEVKGKDITELIASGREKLASHSYQYRGTIRVVEERDEERYLAGFPGRQAA >OMO97634 pep supercontig:CCACVL1_1.0:contig07220:38877:41810:1 gene:CCACVL1_04499 transcript:OMO97634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLSPSSNFVPTFMWLLRREQRPVDCLDYTVDLCDYDVPYHVRFAIDNDVRCGQCYDVSVSSSGVILEKRTDLLQRAEVRVCAFDIETPKLPSKFPDAEYDLIMMISYMVDGQGYLIINRECLGQRHRGLGVYSKTRFQECFKVTNVKNEVELLQQWFAHMQEVKPGIFVTYNGDYFDWPFIESRAGYHGIKMSDAVTKAKLGYDPLEVNPEDMVRFAKEKPQMMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMWPDEVLRKGSGTLCEMLLMVQAYKANVICPSKHQSDPEKFYKFYFD >OMO97632 pep supercontig:CCACVL1_1.0:contig07220:18496:30984:-1 gene:CCACVL1_04497 transcript:OMO97632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISDFYATHASYRTRIVLNPRDSKMDVVGRGWATVSRKEISGVKLYFDETLFEYWERFQCLCSMYSHHGIEVAEAQKLFEEMAKNSQPSGDERGTKAKPIYEFSANSHVVALEDKIDATNSQVAKLVSLITTKMEVKA >OMP11547 pep supercontig:CCACVL1_1.0:contig01171:5864:6940:1 gene:CCACVL1_00451 transcript:OMP11547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVETEGSRSEYLEEEILNLRNTLLQ >OMP11546 pep supercontig:CCACVL1_1.0:contig01171:1214:5051:-1 gene:CCACVL1_00450 transcript:OMP11546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASTVSITANAAAAARRRPVLVGEKKSNIESLAAEPNPNAVNVGQDKTGAAATAGHSRDLSHHSVRGEASKDAMQARKVTVGQNSNVALRRTRKGVVNKVEKPRWLTVFSIFIKNLVLLLVLAGLVQIIRRLALKSGEVSGVGTQIGLTEFEGRVAEVENFLKTTAKMIQVQVDVVDRKIDNEIGGLRRELNGRIEDQIGVLENSLKRLEEKSEGFDKSLSELNSANLLTRKEFEKMYEQMLKAKGENGESETAVSLSNIGAYAREIVKNEIEKHAADGLARADYALGSGGGKVVRHSEPFHAIKGSNWLLKNQNAVYREADRMLKPSFGEPGQCFALKGSKGFVQIKLRTAIIPEAITLEHVAKSVAYDRSSAPKDCRVSGWLQGRDLDLSADANKMFLLAEFTYDLEKSNAQTFDVLDAAGVGIIDTVRLDISTNHGSPTHTCIYRLRVHGREPDSVSMVQMQS >OMP11064 pep supercontig:CCACVL1_1.0:contig01608:3842:3994:1 gene:CCACVL1_00690 transcript:OMP11064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYVPIFYEHCPTGRDMSLFSGGVIGKLARGNFKLVKSFEPHHDTCQVPRE >OMO54009 pep supercontig:CCACVL1_1.0:contig15068:13007:21389:1 gene:CCACVL1_28140 transcript:OMO54009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MEALLSNYVEKSLNNYMRRNAIFLCERLYAEFPSEVNLQLLARCYLNNNHAHSAYYILKGMQMAQSRYLFATACLEMDLFSEAEAALLPIQELGAEVPNGIGAAVHYLLGIIYRNTDRVGGSVEHFRKALSIDPLFWAAYEELCMLGEAEEATVYFGDAAVHLVQEHSLGNASNDLQITDKDQSSDSSQTFGLEDLSLCHVQQMGGNNIKDMCQNNHGGALLAGPAGEITNNGCKMSFFKTPSPVLTQLSDPPSLVRNMQRCRSESSGRSSIQARRKFARVNESAQVIRGSLFFDSGRRRSTRLAAARRCVNTSRGSDNEAENLFTLTKSSSTACSSTDCKDQSENLEEEFRSASSTFSSSEDVKFFQHEVVNTKLRGFLPNSSTTESAISDMMSLLRILGEGYQHLCGFRSQEALNVYQKLSLKQYNTGWVLSQVGKAYFELVDYLNADFAFSLAQQISPYNLEGMDVYSTVLYHLKEDMKLKYLAEELISVDRFAPQSWCAIGNCYSLQKDHESALKCFQRAVHLNSRFAYAHTLSGHEHVIMENYEDGLECYQRALQIDARDYKSWYGLGMIYLQEEKFGFAEHHFRRAYQINPYSSVIMCYLGTALEALKRGEEALEMMEKAIATDNKNPLPKYNKAKMLVKLGELNEALEVLEELKECTPRESSIYALMGEIYKQHKNYDKAVLHYGIALDLKPSTFDAAKIKAAIEKSMLPDELEDDFLALGSYS >OMO54011 pep supercontig:CCACVL1_1.0:contig15068:25271:25891:1 gene:CCACVL1_28142 transcript:OMO54011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRVWSIVRAVLFMMRKGISSKRKLMVDLNMMLKRGKIAGAKAIGNLMFHHHHHSNSNSSHHKDRHISSYMAAAQEYEFSCSNTPNYIFPFNLATKKKNNYYHQFFACTHAPPTHDDDNMVTMNAVKVVLEMLNNNDANNLAVAASPMLPGFGQTPLARQLRITDSPFPLRDVDEDNGYVDKAAEDFINRFYKDLKQQNKGMAEL >OMO54008 pep supercontig:CCACVL1_1.0:contig15068:9994:10587:-1 gene:CCACVL1_28139 transcript:OMO54008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHGEAMTTTSTHTRSNNFPKPPRLSNDTLHRTISDISFQLISKEVLDNEKKAAAVAAVGAAGALPPISEVEDAKCECCGMSEECTPEYIERVRNKFLGKWICGLCSEAVKEEMEKNGGKKIEEALSAHMNTCARFNKFGRAYPALFTAEAMRDILRKRAKSISPRGGPQKKGGISRSSSCIPAITREMNNLRVAN >OMO54007 pep supercontig:CCACVL1_1.0:contig15068:3137:8963:1 gene:CCACVL1_28138 transcript:OMO54007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSCKDGKLGSLDNGKYVRYTPEQVEALERLYHECPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYFRQHTQNTTLATKDPSCESVVTSGQHHVTPQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCPGVAARACGLVGLEPTRVAELLKDKPSWFRDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLKNTQNGPSMPAVQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTVLAQKTTMVALRQLRQIAQEVSQSNVTGWGRRPAALRALSQRLSRGFNEALNGFTDEGWSMMGNDGMDDVTILVNSSPDKLMGLNLSFANGFPSVSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSSIDAYSAAAVKVGPCSLPGSRVGGFGGQVILPLAHTIEHEEFLEVIKLEGIAHSPEDAIMPRDVFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSGKEASSPNRTLDLASALEIGPAGNRSSSDYSANSGCVRSVMTIAFEFAFESHMQEHVASMARQYVRSIISSVQRVALALSPSHLSSHAGLRTPLGTPEAQTLARWICQSYRVYMGVDLLKSSSEGGEAILKTLWHHSDAIMCCSLKALPVFTFANQAGLDMLETTLVALQDISLEKIFDDHGRKTLCTEFPQIMQQGFACLQGGICLSSMGRPVSYERAVAWKVLNEEENAHCICFMFVNWSFV >OMO54010 pep supercontig:CCACVL1_1.0:contig15068:21574:22089:-1 gene:CCACVL1_28141 transcript:OMO54010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQIFCHSRRVFPIALLLVVFIWFPCLITNSYYNHEIYIEDGGVGDDEIDGAIYMEELLETLSNGSTEQLPEVLSSAADAVDLEMGRGLITTNHKQEKKKNFEVFHEEEKIAMITNKNEETAQENNVEGEMKNIVFHDENGIPPDSFFFTLNSNPYEMMQEAVRIYSFKSC >OMO98968 pep supercontig:CCACVL1_1.0:contig06977:1431:3999:1 gene:CCACVL1_03989 transcript:OMO98968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MEKSSQPKDNTLLPPPLPPPPPLSSSPLSSSKTMGNLPSLTNIQPVQGEGNPYPNSYLTPKHTGNLQNSLKHAPLSYKEKVMNNSNHIYFDSWVDEFSTNPEIIVTEDASVEDVSVPVVTLSMEEKLRISQPWKNTLLIKLIGHPLSLIRLEPKLQKLWKISKPFQMIDLGHSCFNIRFSSPEDYISVLAGGPRILFGHYLKVQIWQPDFRPTKDDFDKLAIWIQLPELPIEYYDPTVLFKIGRLIGKPIKIDVHTGNLNRGRYARICLEIGCKKSLPKSIKIGKFEQKIYYKISTRFCSTCGTFDHENCLSSEVAVKETTGAGISTSSVIAEVKNTMEKSDLVTSDSGKHEAVAISSSEDQWHVVTNKIRKMVRDKSTNVQLPDINLDSALSDINSKFVPNSQVSSPDLAQNLIKYDAMEPETLNSSSSLSKTTTLPPIENQIPISHLTKSTLIITTSTISSLEKQTQAVISIPTNASSPEFDDLSNNLTVSSTIQHNFSSENSKSLYSSPKSEPKRPPSPPINSSFGAWNVRGAGRPKFLHELNGMIARLSPQILILMETKVSSSRANRIISQLPFYGKILVNPIALSGGIWLLWDPNLISVHSHPTSSRMITAQFHPHAPESPFSLTAVY >OMO85551 pep supercontig:CCACVL1_1.0:contig09605:35963:36406:1 gene:CCACVL1_10100 transcript:OMO85551 gene_biotype:protein_coding transcript_biotype:protein_coding description:retrovirus-related Pol polyprotein from transposon TNT 1-94 MYGEHPDGGMREMESRNVKFLEEEFPSIGEVQNDLHLYELQEEITQPFVDGGEIRTQPEIDKGSGSMPIEVDSQNESIELRRSSRGNVPRRRFEIDNNAFISISQDDDEPTSFQEVLASSTRDKWLAAMKDEMDSMGMLPLPLSISG >OMO85556 pep supercontig:CCACVL1_1.0:contig09605:68268:69625:-1 gene:CCACVL1_10105 transcript:OMO85556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSQHQYLIAQSHDMLPQQQATPGQQQDHLTPQNQQLPEAQDQGMRKRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEAAAAAYDAAALKFKGSKAKLNFPERVQVQAAAFLPSNSTYNNPNIAAAPQHSLPSSTNNPQSPCYYSNLLSSHEAFPYLSQYVQLLSDCNDIVDNNYWQYVEPSGVHNYQQPAPAALISHSPSLMTSSINSSSTTSYSNSSSSAASAAGYFMSQNHQVVNDEENSAPGFISSAAAQIMGSFSSTSHLASLNHAGNKPSDHGSQPKD >OMO85557 pep supercontig:CCACVL1_1.0:contig09605:72598:73328:1 gene:CCACVL1_10106 transcript:OMO85557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSREEEEKVEFDEGEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHKGKCVGTVVCKMGEHRNTYRGYIAMLVVIKPYRGRGIATELVTRSIKMMMESGCEE >OMO85552 pep supercontig:CCACVL1_1.0:contig09605:44065:48875:-1 gene:CCACVL1_10101 transcript:OMO85552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKDNMDHKKKEVIRLERESVIPILKPKLIMTLANLIELSTDRAEFLKFCKRVEYTIRAWYLLQFEDLMQLYSLFDPVHGAQKLQQQSLSSDEIDVLEQNFLTYLFQVMEKSNFKIATDEEIDVALAGQYLLNLPITVDESKIDKTLLKRYFSEHPQDNLPDFADKYIIFRRGIGIDRTTDLFIMEKVDMLIARLWAYLLRVTRLDKLFSRRSRRRQKNEPKKDDEINPEADSDDLYVERIRLENMELSVRKLLSKTTIQEPTFDRIIVVYRRASTKPKEERGIYVKHFKNIPMADLEIVLPEKKNPGLTPMDWVKFLISAVVGLVAVFSSLEMPKADLWVIFAILSTVVGYCAKTYFTFEANMAAYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVIISFFILMEQGKATMEDLDLRCEELIKEEFGESCNFDVDDAVEKLEKLKIVSRDSIGRYYCVGLKRANEVIGVTTEELVLKARQGTLSA >OMO85554 pep supercontig:CCACVL1_1.0:contig09605:59751:60859:1 gene:CCACVL1_10103 transcript:OMO85554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARLSLQETILSSSAVADHLQHYHQNPDSLFLLAESTGYSLASYYTSLGLFVISVPGLWSLIKRSVKSKIVKKTFIGEGEEKKAPNQVAGEILSFFTRNNFTVTDRGETITFEGMMTPSRGQAALLTFCTCISLASVALVLTITLPDVGNNWFWLTALSPLAGAYYWKRASRKEQIKVKMLVAEDGTLSEIVVQGDDQQVDEMRKELKLSEKGMVYVKGIFER >OMO85558 pep supercontig:CCACVL1_1.0:contig09605:75989:76585:-1 gene:CCACVL1_10107 transcript:OMO85558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKAQKGGGGMSGDGALVCDDGVYAFNGYYLKHDMTDNACSFSDTAALTSLIPSHGDRKFPSSKTGNNSNISETIIGGMVMGPNAADLSASLPISILYF >OMO85553 pep supercontig:CCACVL1_1.0:contig09605:50020:54346:-1 gene:CCACVL1_10102 transcript:OMO85553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor related to kappa-B-binding protein MAADQRRKRLNGASITGCTSRDQYRNKKKKLESPQKDLNSKSCISLEWDGKQRKVVAKREQIGLSRRHLRPFIDSTPNLHKVLADVLTLPREIFDLENLREVLSYEVWQTHLSENERNLLMQFLPTETDQEEVLQALLAGDNLHFGNPFLKWGASLCSGHLHPDAVIQEEQRLKAEKKAYYSELQNYHNDIIEHLQKLKEKWESCKDPEQEIVQKLWRSRRVGEKRDFSHSNESGLGNAEQDVAVTSESSSWVADDKACSSDNQNSSAMRGGEPKRRMHEKGFKKEKGRILSTASDDALTVEAKGKKGDKVHKRNIQQTDGAKYMSYFKISKKQHELIKNMKQSGRNLQSRSLNRVLGDIDSLHVQPYEVFMEEEQRKLREHWLKLAKEDLPAAYANWREVQLQKWEITRSLEQDMKEKLNLVLEDDEEEDVGQFEDQEDEEEDVGQLEDQEDEEEDVGQLEDQEDDGGPNLDVVDTEKEDPEMLLEDQKDTEATDSESSMQEEGESGLALLHNQSPQQNSSIDSGNTCNRVDMESENNENLSKSDDASLDVSEHSENLNTADATVSQQEVHVSSAENVWPADSMPHSFHDSTAGHEFTSARELPLTHEANEDQQNQMIDLESDLHEESTGKVLLHGHSEDGSFSSYANQDRNELLQSFFKDQGMLSYHSGQKQTGLGFQPQKHLLMEDGHFNGQFQEHLQSSLPLEEGQKRQTEVYMQQNMSESMYSQGDRYLTPRQEHLPSGNMQDWAVNPARMSVPFPHQLNGGDLLSQNWYNGEHQVQVRGGWAGSDGFTGPSHSIATGSSADQNLFSVISQCSQLGSSSRYESMGSTGQFISQRNNGMVSGGTSGIIGNSLQQAVHPLNYLGGRDATTSLIPDDMGWMSLQHQNSALHDSMGKPYLRSWNQ >OMO85555 pep supercontig:CCACVL1_1.0:contig09605:61439:63250:-1 gene:CCACVL1_10104 transcript:OMO85555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVATRRLSSLTSCPPSSWRTHQATSAIVSRPSINGGDSSDDYRSNSSFSFASHFLLPTRGFGSQPLAPKSDNSGIPDVPATTAAIKNPSSKIVYDEYNHERFPPGDPSKRAFAYFVLTGGRFVYASLIRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGSTVTVKWRGKPVFIRRRTDDDIKVANSVDLASLRDPQQDADRVKNPEWLIVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYTFLEENKLLIG >OMO88699 pep supercontig:CCACVL1_1.0:contig08964:61769:65817:-1 gene:CCACVL1_08257 transcript:OMO88699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKRQEEEGENGREPDLARYEDLWKVIVHEDWKEVKKQVKRLQESYPDALSSPITEFYETILHILVNSDSEKAHCLVSEIIEKIDAEALGKTDYQHDTALSIAAYVGNTKAAKMMARKKPELLTKLNYSDDSPFHAAARFGQGKTFRSLLLVAQTSELMDDKSFFSGDNGSTIVQYLISANLYGIALDMLKRYPKLGRDNLKQRKRILKQLAEKPLGFATGYKFGPWERIIYRVPRVEHIYQTKLMNEQARELVRLMCSGVVWTFDDASYALKIPVLKAARLGIREIVEEILKVFTASTMFYYDNNYNIFQLAVLHRREKVFNLIYKMGLSQKWVASYPCRNNENIMHLAGKCIPSRHINGAALQMQWEIQWFKAIEKFVHPLLLEERNCERKTPREVFVDEHKELVKEGENWMRDTATSCMVVDALIIAMVFAAIIAVPGNNEKGIPNFRHETLFKVFVVADAAALFSSSFSLLLFVRILTSRYAEEDFLKALPKRLLLGLLTLIFSIATMLVASSSALIMLIDAVPGPKVMVRRSTIVPVTIMATLPVLFFIWSQSHLLIDVLLSTYRPTILCYRS >OMO88695 pep supercontig:CCACVL1_1.0:contig08964:27057:46261:1 gene:CCACVL1_08253 transcript:OMO88695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLVSAALARLLRPLLSSLNAVAIDAPLPSTPPLFLFFFPTSFLSELYPSNFNLHALFFSEQRRRHHQFRPPLSSRIPPGCCNLQNNKLSGLIPSELGSWDSGWDSSKVAEIAFFQLRSKQPQPQPTPRRNQNQDTRDGGAVKNVWIEIWERTGNMPKIFCTSYRGSTVEFSLLSLVVSSTSTYVRIPEEVPTRRSVGEFDREKLSTRLAFAVASIWTRVLSAVFSPLHFSGVSSMAAVVSHR >OMO88698 pep supercontig:CCACVL1_1.0:contig08964:57880:59843:1 gene:CCACVL1_08256 transcript:OMO88698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSLTTISSNIQHFHRPEVSFSSCCCFNRSIKFNYNQKHLSQRIVTHVRKPITAFATGLEASISDADENVITLKDAKIVVESRDENKIQLRVDVTGKETQKVFDKVLTDLARQAPPIPGFRREKGVLEIEESES >OMO88696 pep supercontig:CCACVL1_1.0:contig08964:46778:47937:-1 gene:CCACVL1_08254 transcript:OMO88696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 19, catalytic MESLAMRKYLLTFALVGVVAAAAVSAQNCGCAPDLCCSQHGYCGTGDDYCGTGCKEGPCYSTTPTGGASVDSIVTPEFFDGIINQAGADCVGKKFYTRQAFLSALDSYPDFGKLGSDVDSKREIAAFFAHTTHETEHFCYTEEIDKSNIYCNPNIPEYPCAAGKSYHGRGPIQLTWNDNYGKAGKALNLDLLNNPETVATDPVVSFKTALWYWMVAVRPVVNQGFGATINAINGPVECGGKEPEKVQRRIGFYTDYCSKLGVDPGANLSC >OMO88694 pep supercontig:CCACVL1_1.0:contig08964:20346:20811:-1 gene:CCACVL1_08252 transcript:OMO88694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADKNFEGEAGADLKALLKCQCPTCLKKMRDTFFNYEGVFILNKQMLIVQKSKDLALKEDLKKNLEIIVDPEPNVVRYFFALKVSAWAFEALKALASIEVFEQWNGVDLLVNVSALIPDLTLPPSI >OMO88697 pep supercontig:CCACVL1_1.0:contig08964:50531:57543:-1 gene:CCACVL1_08255 transcript:OMO88697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle, SRP14 subunit MEIAVKTLSLGLNPKIVISGYKQVDDPFIPNLSCNKACFPHRIGYRALKFQGRERHACLPTTRAVSSDVEDVGVSSQFEDFIVSATSINEGRDLKISIEVSGAKTRAIFDDVFDKMVAAAQPIPGFRRVKGGKTPNIPRDILLEVLGASKVYKQVITKVINSTVAEYVEKESLAVGKDLRVEQSFEDLEEMFEPDEKFRFDAVLLQPDPFLNELTSMFERSTEAGSVWVTLKRSSLKSKVQRNKMKTAGQPIEYRCLIRATDGNKTISTSVGAKDHQRFQASYATILKAHMTALKKRERKDRKKAAEDKKEGGSKKPKRV >OMO88693 pep supercontig:CCACVL1_1.0:contig08964:6024:7246:1 gene:CCACVL1_08251 transcript:OMO88693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDGWSNNVEGSRMFRFSRKLANTRERLKNWSKAKFRNPKLEIESRLNNIKDIQMQPLKNELKDELIALKKEVDDLWQIEEHFWHQRSRLNWIKYGDRNTHFFHSTTVQRRRQNSILRIKNKEDEWLTDEEDIKRFINESYKDLFKAGTLEDVDEVLDSIDMVVTPEMNEKFSSYSPRGEGFNSFLRWWEMIVATTSSVGSLNATDHSSGEAGLAVVCRNHNGELVDGASLFTHASTMDIVEAMALRLAIRLARDRGWSNVIIETDNKDLARRLNCSGHCHRWDTQAMELDIINFTSHFENVVFFFVY >OMO86028 pep supercontig:CCACVL1_1.0:contig09543:4146:4805:-1 gene:CCACVL1_09853 transcript:OMO86028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMASSSSNSPCAACKFLRRKCQPECVFAPYFPPDNPQKFAHVHKVFGASNVTKLLNELHPSQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLSCAKSELSKYQSLGITGHAGLIAAAAAAASTTHQNLGINLIGGAGGGGREHHYQFFPREHHHHHHQQQMIRSFEASNSYDGSLLAMNVSAGIGQLSQYQQPRAAAGDDRRTIDPS >OMO86029 pep supercontig:CCACVL1_1.0:contig09543:11534:15497:-1 gene:CCACVL1_09854 transcript:OMO86029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKLFFQLLCIFHMLLLIPAAIGEQDEQNLDREGLIAFKRGLKNRHQLPSWNPELHYCKWDGVTCQLGRVTELSLTARFLRGALSPSLSSLSSLTVLDLSINFLSGEIPTELAALNMLETLKLGSNFFTGKIPPELGGLRVLRTLDLSTNALSGTVPTQLGQLTQLEFLDLGNNFLSGSLPSSLFKNLQSLTSLDISNNSFSGRIPPGIGDLKNLTALYIGINEFSGQLPPEIGKLSKLENFFSPSCSITGPLPEQLANLKSLTKLDLSYNPLRCSIPTFIGKLQNLNILNLVYSEVNGSVPAELGNCKNLKTVMLSFNSLSGALPEELSSLPILTFSAEKNQLSGPLPVWLGRWNQVESLLLSSNRISGKIPPEIGNCSMLKHLSLSNNMLSGSIPRELCNAESLLEIDLDGNNLSGSIENVFENCKNLTQLVLVNNHISGSIPEYLSELPLMVIDLDSNNFTGSIPVSLWSSSTLMEFSAGNNMLEGVLPVDIGKAAILETLVLSNNHLTGSIPKEIGNLTALSVLNLNSNFLEGYLPAEIGNCTALTTLDVGNNGFSGSIPTELADLEQLQCLVLSHNRLSGSIPKGSSYFRQANMPDLSFVQHHGVFDLSNNRLTGSIPEELGNCVVVVDLLLNNNMLTGEIPGSLSHLTNLTTLDLSGNMLTGSIPVEFGDSLKLQGLYLGNNKLTGTIPRSLGRVGSLVKLNLTGNKLSGVVPASFGNLKELTHLDLSHNELSGELPSSLSQMLNLVGLYVQQNKLSGGVDNLFAHSQAWKIEDMNLSSNNFYGNLPPSLGNLSYLTHMDIHGNKFTGLIPSEVGNLMQLEYFDASGNMLAGQIPEKVCMLLSLVYFNLAYNQLRGPVPRDGVCKNLSRNFLAGNDYLCGRVMGLECQDQSFDRSSLLNPWGLAGVVAASVFVIFTSALALRRWIMRGSQQSDPEAIDESKVNSFLDKNLYFLSSSRSKEPLSINIAMFEQPLLKLTLGDILEGTNHFCKTNIIGDGGFGTVYKAMLSSGKTVAVKKLSQAKTQGHREFIAEMETLGKVKHQNLVPLLGYCSLGEEKLLVYEYMINGSLDLWLRNRTGALEVLDWSKRLKIAVGSARGLAFLHHGFTPHIIHRDIKASNILLSEDFEPKVADFGLARLISACETHVSTDIAGTFGYIPPEYGQSGRSTTKGDVYSFGVILLELVTGKEPTGPDFKEVEGGNLVGWVSKKMKKGQAADVLDAMVLNADSKQMMLQVLSIAARCLCDNPANRPTMLDVLKDLQGIKDK >OMO57315 pep supercontig:CCACVL1_1.0:contig14407:9434:9547:1 gene:CCACVL1_25844 transcript:OMO57315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNYIETIRLRQVLLEVCTSHYDAIISAVLNRRLIAG >OMO55395 pep supercontig:CCACVL1_1.0:contig14709:44768:51701:-1 gene:CCACVL1_27273 transcript:OMO55395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transglutaminase-like protein MVARKFLVRHDDSTYFSFSSSLSLQSLPMSKRSNNSLFLYLMKVLKSLNNWLWMVQIVGEDNDRIVSDDSDLATVSEKLRLVSLAGEEEGKPEKQEETTVNNGGAETTLMSDEELARMIQAEEEALMRQQYVAGQNSGQFEEKIRPYISQVLMYEDPVRQEAARKTVPIDTLEEKALVSLAKEGNCKPSKIEQDHAFLLQLLFWFKKSFSWVNAPPCDGCGNETTGQGMGNALSSEIQYGATRVELYRCNICSRVTRFPRYNDPLKLVETRKGRCGEWANCFTLYCRAFGYESRLVVDFTDHVWTECYSEVLGRWMHLDPCEAIYDRPLLYEKGWDKKLNYVIAIAKDGVYDVTKRYTRKWHEVLSRRILATESSVASVLASMTKECRRNYASQVLFELAERDKIEMEALERDLHSTDDASISLPGRQSGDKQWRIARSEFGTESLSLSSCPVRQCRDDHVTRIYNSFSPILNKFVEDSLTASKGVEVLKIIRATVIDLKRLPYKKRRASLKSNTIVGKSLLHQLLPSFKELLNALTLMSELGNNGIIGVCLAGDPVQTALALPVALHALDELISDLSKCDNFSKDSLSFPLLRLNRICSGAVLASGEELPFGIATAAFDGTRMSKWEEPSGAKGCWIMCKLSTNMQELVAYELMSANEAPERDPMNWVVEGSNDGGSSWRVLDERTSEVFDKRFQRKTYKITSTGFLSNIFRFRFLAVRDGNTNSRFQVGSIDLYARQS >OMO55388 pep supercontig:CCACVL1_1.0:contig14709:2158:4606:-1 gene:CCACVL1_27266 transcript:OMO55388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGVPDQFHQFIASSAAAATRATPTLPLPLSFTSHLHLAASNSSNGFTPFDPFPNSQHHHFLHPLHQTQKNIDEEKQDNRTSLVVGMNMDIERERSMAPPPGVPIHHHNHPWSNDEVLALLRIRSSMENWFPEFTWEHISRKLAELGFKRSAEKCKEKFEEESRYFNSINCSKNYRIFSELEELCTQGENPNPNPPHDDPVHHQNQQQVVLGGVEKNKNLEKSREDEDNNVGGQDLEDDSRNIDEYQQTDPAPAAGNNNDDDNIGSDHQENEKVVEFENYNKANSSKINIISCRKRKRQKKKFEMLKGFCEDIVNKLMNQQEEMHNKLILDMVKRDQEKVAREEAWKKQELDRINLELEVRAKEQAIAGDRQATIIKFLTKFSSSTKKQCLVRGNIESDPPSITSSSLVPSTAQNPNPVDQVSTATSSAMVLGHQNIASSAIKPTNQVLQNPNAKTPISSSSALVPQNPNSISAQSKPSPSPLAKKAAAAQNPTSNDKEDLGKRWPRDEVLALINLRCSFYNNGDHDKEGISGGAASIKAPLWERISQGMLELGYKRSAKRCKEKWENINKYFRKTKDVNKKRSLDSRTCPYFHQLSTLYNQGTLIAPSEAPENRSPMLENHSGLPETGVDSSQRGAKVSEGETNIVHQVPAFEFEF >OMO55389 pep supercontig:CCACVL1_1.0:contig14709:8197:8757:1 gene:CCACVL1_27267 transcript:OMO55389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSRNPKTEQKYRQYNPYQDLNIPTPIRNLYNLPTSPELLFQEEAAKNRRSWGENLQFYTGIGFLSGATLGALHGSYKGILAAEAGETLKLRLNRVLNSGGAKGGKFGNSLGIVGLIFSGLESGLVYLRGSDDVLNTILAGLGTGAIYRAASGPRSAAIAGALGGITAVAAVAGKHMAKRYVPI >OMO55393 pep supercontig:CCACVL1_1.0:contig14709:32983:35419:1 gene:CCACVL1_27271 transcript:OMO55393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MSASESAILRNQVSPPFFKVSSFLDTLCFVSENTREIGNWVDLLDFIDWSGVECLNQNSSHSLANALKQGYREDEGLNLESDADEQLLIYIPFNQVVKLHSIVIQGPEEEGPKTVKLFANREHMGFSNVGDFPPSDSAELSPDNLKGKPVVLKYVKFQNVRSLTIFIEDNQSGSEITKVQKIALYGTTVETTDMKGLKKIEDH >OMO55398 pep supercontig:CCACVL1_1.0:contig14709:78382:82386:-1 gene:CCACVL1_27278 transcript:OMO55398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase, 2,3-bisphosphoglycerate-independent MGSSGKVSWKLEDHPKLPKGKTVAVVVLDGWGENKPDEYNCIHVAQTPTMDSLKQGAPEKWRLVKAHGPAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDQALASGKIYEGEGFKYISECFQNATLHLIGLLSDGGVHSRLDQLQLLLKGVSERGAKRIRVHILTDGRDVLDGSSVGFVETLENDLAKLREKGVDAKIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFTNAVEAVKKLRENAMTNGDAVVIFNFRADRMVMLAKALEYPDFDKFDRVRFPKIRYAGMLQYDGELKLPSKYLVSPPEIDRTSGEYLVKNGIRTFACSETVKFGHVTFFWNGNRSGYFNSELEEYVEIPSDVGITFNVKPNMKALEIGEKARDAILSRKFDQVRVNIPNGDMVGHTGDIQATVVACKAADEAVKMILDAIEEVGGIYVVTADHGNAEDMVKRNKSGQPLKDKNGELQILTSHTCLPVPIAIGGPGLAPGVRFRKDVPTGGLANVAATVMNLHGFVAPSDYETTLIEVADN >OMO55392 pep supercontig:CCACVL1_1.0:contig14709:30912:31760:-1 gene:CCACVL1_27270 transcript:OMO55392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose 5-phosphate isomerase, type A MASLSLHLSSLHHTSTTPLILRTTTALNLRTSTYKPFSIKSQSAPVLSQDDLKKLAADKAVESVKSGMVLGLGTGSTAAFVVDKLGQLLSTGELSNIIGIPTSKRTQEQAASLNIPLTTLDSHPHIDLAIDGADEVDPNLDLVKGRGGALLREKMVEAASSAFIVVADDRMATSVIIAGKTGVEVMTK >OMO55390 pep supercontig:CCACVL1_1.0:contig14709:9924:10916:1 gene:CCACVL1_27268 transcript:OMO55390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNKFLAFAALILVLLPIAAKGSDDLSPALAPFYERVCEEVECGKGTCKANISYPLNYICECDPGWKRTKDDDEHDDHKFLPCVIPNCTLDYSCQPAPPPVPEKEVPHNSSFFDPCYWTYCGEGTCNKTATYKHVCECNPGYSNLLNKTYFPCYSQCTLGSDCSRLGITVADQQSTPDGGKG >OMO55399 pep supercontig:CCACVL1_1.0:contig14709:95535:96413:-1 gene:CCACVL1_27279 transcript:OMO55399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenol hydroxylase reductase MAVTMPALPNAYVSPSHLPMSILRRLPLRTLTVRHRFTRRRFATLAAARQDTAVWTPTPISLIEPAAESLFHISIDVSDSPDLAASYTLPGQYLQLKVPDVDKPSFLAIASPPSLATTSGAFEFLVKTVAGSTAELLCGLKKGDVVELSPVMGNGFNIDKIEPPEDYPTVLIFATGSGISPIRSLIESGFGAEKRSDVRLYYGARNLQRMAYQDRFKDWESSGVKVVPVLSQPDENWSGETGYVQAAFAKAKKIGSPKGTGAVLCGQRQMAEEVTSLLVGDGVSSEKILKNF >OMO55391 pep supercontig:CCACVL1_1.0:contig14709:27934:28961:1 gene:CCACVL1_27269 transcript:OMO55391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYKILAFAALLLVLLPIAAKGSDDFSPALAPFYERVCEEVECGKGTCKGDISYPFSYICECDPGWKRTRDDDNHDDLKFLPCVIPNCTLDYSCQPAPPPVPEKEVPHNSSFFDPCYWTYCGEGTCNKTATYKHVCECNPGYSNLLNKTYFPCYSQCTLGSDCSRLGITVADQQSTPDGGKG >OMO55394 pep supercontig:CCACVL1_1.0:contig14709:40294:40629:1 gene:CCACVL1_27272 transcript:OMO55394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGGYGDQIIGLLVICSTIQRLVPVWLEKWIGKLIKRLKKFFNPRATIVFNEFTTGLYKRSYAFTAIESYLSSKSASEANIKSEQKVMRKGSLWFTARIMTRLSEMSLKG >OMO55400 pep supercontig:CCACVL1_1.0:contig14709:96776:101002:1 gene:CCACVL1_27280 transcript:OMO55400 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase-like, ParA/MinD MENGEIPENANEHCPGPNSELAGKSDDCKGCPNQEVCATAPKGPDPVRRPPKHFEDFVAGHFRNRARDIIVACQAYKEGAMVGSVVIKDGVPDANKIERGSSEGFKGTMRKMISLLVKEFVKNGSTDYLVAIAERMATVKHKILVLSGKGGVGKSTFSAQLSFALAAKDFQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGIIKQFLKDVYWGELDFLVVDAPPGTSDEHISIVQFLQATGIDGAIIVTTPQQVSLIDVRKEVNFCKKVGVPILGVVENMSGLCQPLTDFRFLKLTETGEHTDVTEKVIQYLREKAPEMVDLIAASEVFDSSGGGAAKMCAEMGVPFLGKVPLDPQLCKAAEEGKSCFTDQKCSVSAPALKNIIEKLITNHWPDVMSMEQ >OMO55396 pep supercontig:CCACVL1_1.0:contig14709:52575:54317:1 gene:CCACVL1_27274 transcript:OMO55396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MGEQSCRVNLHSKLTAVSSKPVDPGRTHQLTPLDQAMGQHSLHLAFYYDKNPFGSFDWDPIRVSLSETLSLYPPVTGRMTRGEAGNWEVKCNDAGVRVKRANVSVTIDEWLRNANGIEEKDLAAWEEMPENPNTWSTFHIQVSEFEGGGVAIGINCSHMNADLTSLILLFKAWTEVHRQQVIEHPPVFSSESALHGREVPISSTKLAKYYVTKSISVAPSAKMASTTFKFSNSVIKKCLSEIHVKCSDATPFDLLAALFWTRIARLKSSKDEHKQSMSICIDFRKLLKESLPYGYFGNALHFSQLTLEDKDVISGELANMADAVHGHVAGIKEEEIMSMVDWFESRKGEEGKYAPPFRMYGPELTCINMEHVINGDESLIYAAMFEENMKPVHVACHVGNVKGEGLIMVMPSAEEGLARTVIVTLPEDELVKLCEDEAILSLEPTMLLSGGL >OMO55397 pep supercontig:CCACVL1_1.0:contig14709:63850:63921:-1 gene:CCACVL1_27277 transcript:OMO55397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVQTCRTALQCRDLVAAKDKR >OMO55401 pep supercontig:CCACVL1_1.0:contig14709:111443:114454:-1 gene:CCACVL1_27282 transcript:OMO55401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MSLRNRKPGEPEPVVSDGDDVPVTKSPQQQWRSVYAAIYSARALVSLYKKVLSQKRIMRTLSYVAIDIQGSDSISDRMPSLPLDQKTLTEMVKEKNSGILNELGGVRKISGSLQTDEKDGISADEADLARRVDLYGTNRFTKPPTKSFLSFIFEAFKDPTIIILLVCAILSLGFGIKQHGIENGWYDGGSIIFAVFLVVAVSAASNYKQNRQFEKLSKESSDIRVEVVRAGRRQFISVFDVVVGDIVYLKIGDQIPADGLFLDGHSLKVDESSMTGESDPVEIDGRNNPFVLSGTKVIDGIGSMLVTSVGMNTAYGEMMSSINRNLDEETPLQARLNKLTSFIGKIGLAVAILVLAVLLARYFTGNTKDDQGNKEYIKGKTKFDSIMNSVVEIISAAITIVVVAIPEGLPLAVTLTLAYSMKRMMADHAMVRKLSACETMGSATTICTDKTGTLTLNEMRVTEFWLGRELMNDGISSGIAPNVYELLQQAIALNTAGSVYKPNSASVPEISGSPTEKAILSWAVLDMGMNLNEPKQNFEIIQVVPFNSEKKRSGVLMRRKNESSTQTHWKGAAEMIVAMCSQFYDRTGTIKVMDDEERTEIGKIIQNMAAKSLRCIAFAQTNIPEDNEQVLEESRLTLLGIVGLKDPCRPGVRRAVESCRDAGVSIKMITGDNVFTAKAIAIECGILDPHEDMNEAVVEGIQFRNYSPEERTEKVNKIRVMARSSPFDKLLMVQCLKQNGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFTSVVTVLRWGRCVFNNIQKFIQFQLTVNVAALVINFVAAISSGKVPLTAVQLLWVNLIMDTLGALALATEQPTNDLMTKPPVGRSKPLISNIMWRNLIAQAVYQVAVLLTLQFCGKSIFGVDDKVKDTLIFNTFVLCQVFNEFNARQLEKKNIFKGLHKNRLFLGIIGVTVILQVVMVEFLKRFANTQRLNWGQWASCIVIAALSWPIGWLVKWIPV >OMO83928 pep supercontig:CCACVL1_1.0:contig09822:2062:4571:-1 gene:CCACVL1_11081 transcript:OMO83928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVIVLDFIGGEELGMAMGQGGGGDCLLRFHPANKLSCSAVDIDKDKKQEELVRNDDLTTGSGSGTSMEEKKASDANDEGYISRSDDETDSYFSREDVSLQIHIPEEEMAWLHWSAVGKLKQPANIVTVQVVGYPIPHSVSIKTTATVEENLLVVAESERNSDIISVDVLNFDKRKNASGNEKISTDSSIELVHEKSMALENEEFNRDVGFQIEEELVLLSMEMNIVEKENDLQLAVLEENGNIEGGHASDFNIGLDVTNLENGPLDVANGSDNEELWGAYNSLNEERNEPSPILSEYLVNKTKGMGRRNRDIRIAEEHLVRNKVFEKRKKKRGRKGTMKLVYKDKFQEELNLDVSISDEEINHRNMVLLKEAEETFKISEMLGIKFRDSRDQIVQRLMDMEATE >OMO83927 pep supercontig:CCACVL1_1.0:contig09822:1140:1310:1 gene:CCACVL1_11080 transcript:OMO83927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RCLCIQHEVYFLQDESKVTINRPSSNDLRIGSHDPNRGSLAIQFHDFNDPSQFQKP >OMO83929 pep supercontig:CCACVL1_1.0:contig09822:7596:9247:1 gene:CCACVL1_11082 transcript:OMO83929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSWCKAPLSSSSTLYPLPSSSSTPLTLLSFLSKSSSWNSVSFAFKNPTPSPLLLSSSTSPTKLVCKAAEYKFPDPIPEFAHSETDKFRTHLLKKLPKKDIYGDSVEQVVGICTEIFSTFLHSEYGGPGTLSVIPFIDMADTLNEWGLPGGPQAARAAVKWATDNVDKDWKEWTGSG >OMO83930 pep supercontig:CCACVL1_1.0:contig09822:22535:23238:-1 gene:CCACVL1_11083 transcript:OMO83930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEVKSLMKGHSSLIRSYILIYFHITIPQPPAVPLLLNSRLKPNPIASVAGSDLHKCTMSCLPGSDAAQTKT >OMO78850 pep supercontig:CCACVL1_1.0:contig10536:114463:118365:-1 gene:CCACVL1_14080 transcript:OMO78850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYCQSAPLSIATDKEALISFKSLMSLQSTNPLSSWDQNLSPCNWTGVLCDSPGNRVIALNLSGFGLAGSISPQIGNLSFLRSLELQNNQLRGALPTQMSDLFRLRVLNLSFNSLEAFPSSLLQILDPQLLPLMGNSPDNEQSTIKLNCLTTIFGVGLSCTSASPDGRITMRDTLRKLKTVKDTLVNNCSPVKKMKH >OMO78830 pep supercontig:CCACVL1_1.0:contig10536:17757:18780:-1 gene:CCACVL1_14060 transcript:OMO78830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGGVADAKWDGRVPAECHPNPSILRLTANLTWEEARDPLHADIDDSRICGVGPGMAFANEVRTHGSGIGVVGLVPCAVGGTSINQWARGSGLYNQLVRRGTESVKNGGAIRAILWYQGESDTSSKQDAETYKGKLEKLIQDLRSDLNLPSLPFIQVALASGEGEYVETVRKAQLEMKKLPNVKCVDAKGLPLKADNLHLTTASEVKVGLMLAHAFLHS >OMO78828 pep supercontig:CCACVL1_1.0:contig10536:8708:10332:1 gene:CCACVL1_14058 transcript:OMO78828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase T2, asparaginase 2 MGGWAIAVHGGAGVDPNLPKERQEEAKRLLTRCLEIGISALRSNLPAIDVVELVVRELETDPLFNSGRGSALTENGTVEMEASIMDGPKRRCGAVSGITRVKNPVSLARLVMEKSPHSYLAFSGAEEFAIKQGAEMVDNEYFITEENVGMLKLAKEANSILFDYRIPTIGTCGAGAAMESPLQMNGLPISVYAPETVGCVVVDKEGRCAAATSTGGLMNKMMGRIGDSPLIGSGTYACNLCGVSCTGEGEAIIRSTLAREVAAVMEYKGLNLHEAVDYVIKHRLDEGKAGLIAVSSSGEVACGFNTTGMFRGCATEDGFMEVGVW >OMO78832 pep supercontig:CCACVL1_1.0:contig10536:27251:27352:-1 gene:CCACVL1_14062 transcript:OMO78832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAALRQHICATYDQLTVGGRGWGDRVGFVGL >OMO78851 pep supercontig:CCACVL1_1.0:contig10536:118938:120103:-1 gene:CCACVL1_14081 transcript:OMO78851 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor 3 MQEFQQEIVKCKSRIVDMEKQLRIFEGDASEITALDQAEYHEHILEETLKQVRLQKQVLQEKYNSPGPAPTTQVHLPSETPDVSGFLTGSSSNGILDWMAPQRDPQIQILNFLDSNGLLPPSDQTQTVAEILPPPSPQPTLLHGEEINVEEQLSPRSSGLESDNNVQRPEFGQIIDVNMSPWTQLYPTVAGNDPFPDGQPLLELYLSQFAQSAMSTMNQHHT >OMO78853 pep supercontig:CCACVL1_1.0:contig10536:141569:144457:1 gene:CCACVL1_14083 transcript:OMO78853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Natural resistance-associated macrophage protein MNSQTRLEESSKEDADNEKDKDNAAEESESKRLLPSRSQSPTSSSSDDEDEVAFESREKIVIVDVDTPESIQNVDYVPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATIMGLLIQLLSARVGVATGRHLAELCREEYPSWARYVLWFMAELALIGADIQEVIGSAIAIQILSKGALPLWAGVLITASDCFFFLFLENYGVRKLEAVFAVLIATMALSFAWMFGDAKPSTNELLIGILVPRLSSKTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPKRRGRVQEALNYYSIESSIALLVSFMINLFVTTVFAKGFYGTKQANNIGLVNAGQYLQEKYGGGIFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSFAIVPTIIVAIVFNTSEASLDILNEWLNVLQSIQIPFALIPLLTLVSKEQIMGPFRIGPTLEKLAWTVAALVIIINGYLLLDFFVSEVKGVLFGLFICAWTAAYIAFIVYLVSRGGALPSSWFSIELSKRYSVPGS >OMO78836 pep supercontig:CCACVL1_1.0:contig10536:48755:51175:-1 gene:CCACVL1_14066 transcript:OMO78836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLILIIICLFLCCPLSSSSSDGVWASSSLSVESAGDVLTSPDGIFSAGFRPVGLNAYSFAIWFNKPSCNAISCTIVWMANRDQPVNGKGSRLCLQKSGNLVLKDAGHIIVWETKTISVSPTQLKLENGGNLVLRDSRGRKLWQSYDSPTDTLLPLQPFTENSRLISSKSQGNYSSGYYQLYFDTDNVLCLLYKGPEFSSVYWPSPWLLRWEAGRSTFNNSKIAVLDSLGNFSSTDNFTFLSSDYGSRVPRMLKMDLDGNIRLYSLNENEEKWVVSWQAFPQPCMAHGCCGPNSICIYVPNSGRKCSCPPGYIMADPTDWSLGCQPEFKLSCNETEVGYLKLRHVEYYGYDYNMYPNVTLEDCKRICSELCDCKGFQFRFIKAHEPDGTYCYPKTQLLNGHRPPNFNADFYLKVPKATVPFYNNSVQDIKLQCSNKLVQPLERHYSKRHVNESVRFALWSASAVGAVEILTIVLVWCFLIRTRDDKISPMARYHLVTTGFRKFSYSELKKATNSFSEEIGRGAGGIVYKATLSDGRVAAIKRLVDANKGEAEFLAEVNTIGKLNHMNLIDMWGYCADGKHRLLVYEYMEHGSLAENLCFKALDWKTRFGIALGTARGLAYLHEECLEWVLHCDIKPQNILLDSKYQPKVSDFGLSWLLNRGDINYSKFSRIRGTRGYMAPEWVFNLPITSKVDVYSYGIVLLELVTGRSPAAMGINGSSDGSGSKTEQRTLVAWVKGHMATAEENIGETWKQEIIDPKLKGEYDEGEMLNLVAAALRCVQEDRDARPTMGEVVEMLLRHECHCC >OMO78847 pep supercontig:CCACVL1_1.0:contig10536:99738:104282:-1 gene:CCACVL1_14077 transcript:OMO78847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKRLMVIVLLLVFLLLVSGDDQKNEESMFAAMNVHSQGGKKNQTVNQKPQPQQRLRHSFDFFFSSKRRVPNASDPLHNR >OMO78854 pep supercontig:CCACVL1_1.0:contig10536:149941:158369:1 gene:CCACVL1_14084 transcript:OMO78854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLQGSESSWSGTVAPISGSPAATYLPFWNIQQPMLSGTYIGNNPFDQTLESAFSRLNVSSHSNQELGYRPGFGGGEFPEGSGSRIGGLAGGGRLARTRERNNILEGSSVGFDRGMMVGPSIDSLDGFMSSSYQPSNLNGNFYLFDSGRSGLLNDLSILPSSSQNGFLAGSPSGQNGFLAGSSAAAAGGTRSSNLFGNGYSLDPTSENFNKFSMLPSSSNQNGFLAGGGVAGCTRACCSKTNNNVIIDNCNAQNNSNSLRRPHWLQEPLNCLRIGDLRGRFLALSKDQYGCRFLQKAIAEASKEEIDMIFMEVIDHVGELMMDPFGNYVVQKVVEVCTEEQMNRVLVKITEDRLRLANICVNSHGSRALQKLLENLTTQQQISKFMSALSPCAVALTKDMTGHRVIQYCLKNFSEEDNKYLLNEVAYNCYQIATDKCGCCALQQCVDHTKGKARSHLVREIIANALLLAEDQYGYGSNVVEKCLTESEEKYSTKIIVELLGSPIVSRLLVDPFGNYVMQKALSVSKGVVYHALENLVRENWEMMRSHVYGKWEEAISRDRTVLGLLFSVNVWFHLSAVDAKSHHRRPMVLPLHLSSRNHSRHRHADNLRRHLQQSETSRSTPNARMRLYDDLLSNGYYTTRLYIGTPPQEFALIVDTGSTVTYVPCSSCAQCGKHQDPRFQPDLSSTYQPVKCNPSCNCDDEQKQCTYDRRYAEMSSSSGVLGEDVISFGNESELVPQRAVFGCENMETGDLYSQRADGIMGLGRGRLSIMDQLVDNGVIGDSFSLCYGGMDVGGGAMVLGKITPPPDMVFSHSDPFRSPYYNIELKEMHVAGKRLNLHPSVFDGRHGTVLDSGTTYAYLPKDAFIAFRDAIIREIHFLKRVHGPDPNYDDICFSGAGRDVSQLSKIFPEVEMVFNNGKKISLSPENYLFRHTKVSGAYCLGIFQNAEATTLLGGIVVRNTLVTYDRGNDKIGFWKTNCSELWRRMQSSDVPAPAPIVSQSNGTKAELSPNLAPSGLPPNVLPDVIIHRETPSQKPIFSRQRIQDGQLSNESMLWYVTSDPNESMPSHFTLFLSNDLPNMMDLKISLKLLEDGVTCSSKLQQLPQRPATLQGK >OMO78846 pep supercontig:CCACVL1_1.0:contig10536:90031:95514:-1 gene:CCACVL1_14076 transcript:OMO78846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALADGGTNGALKVIFRPRETLAPSILSQLSD >OMO78834 pep supercontig:CCACVL1_1.0:contig10536:36453:38864:-1 gene:CCACVL1_14064 transcript:OMO78834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTAKYSSFVVLNLFFFLQLTSSAVHVLRQGSSLSVENPDDVLISASGIFSAGFYPVGENAYCFAVWFTKPCHDGSHTIVWIAHRDRPVNGKRSKLLILKTGNIILTDTAEYIIWSSNSTDSESWSRLILLDSGNLVLQTLKNVTVWQSFDSPTDTLLPEQPLTRYKRLVSARSQTDPSSGYYKLMYDDDNVLRLVFDGPETSSIYWPDSTVLDYNQGRNRYNDSRIAVFDSSGHFTSSDQVEFNSTDFGEGIWRRLTLDFDGNLRLYSLDEQKGIWSVTWQAMNKSCRIHGICGPNSICMYNLGSGPKCSCPPGFKMKNQTDWNYGCEPEYKLSCNDRDNIKFVKLNHVNFFGYHPSNLRNYTFEECKKACLDFCCVAFQYRYFPEDGAYRCYTKWELRNGYSYSSYNGVLYLKLPKSFPSEKPVEDFKLSCSSKQRKQIDIAYHKKIMPESLKILFWCASVIGMIEMICIFLVFSFLYKTQKSSDAATRGYLVAATGFKKFTYRELKMATRGFKEEIGRGGGGVVYKGVLPDQRIAAIKRLNVETIDQGEEEFLAEISTIGRLNHMNLIEMWGYCAEGKHRLLVYEYMESGSLKKNLMSNSLDWKQRYEIAVGTAKGLAYLHEECLEWILHCDVKPQNILLDSNYLPKVADFGLSKLLNRDNLNSSSFSRARGSRGYMAPEWVYNLPITSKVDVYSYGIVILEMLTGRNPAMGVPAVDTDGKVWHQSLEAWVKRKKHGAAGENSNSWVEELIDHAMGYDYDRNKLENLLEVAIKCTEADRHARPSMSQVVQMLLFDKNDP >OMO78845 pep supercontig:CCACVL1_1.0:contig10536:83812:84282:-1 gene:CCACVL1_14075 transcript:OMO78845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPESTTAPDSVAEEAQAKTQVDETAAKLNNLTLRIWPPTQRTRDAVINRLIQTLSTQSVLSKRYGTVPEDEATVAAKSIEEEAFSVAGASFSEDDDGIEILQLYSKEISKRMLDTVKARAAAAAADSGEAGVDSSNGGPVSGEEISSSTVKAEA >OMO78831 pep supercontig:CCACVL1_1.0:contig10536:20164:21719:-1 gene:CCACVL1_14061 transcript:OMO78831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGGVANNSAGVPTWDGVVPPQCQPNPSIFRLSADLAWVQAREPLHADIDVTKTNGIGPGMSFANAVLTKDPSFGTVGLVPCAIGGTNISEWQKGDFLYEQLVKRAQMAVQSGGVYRAMLWFQGESDTLNQEDAELYKGRLKSFFNDLRSDLKQPSLPIFQVALASGTGPYVEEVREAQFGIGLKNVKTIDAKGLPLEPDGLHLTAQAQVTLGEKLAHEFLRFKPSPLSSNDAATSFPYFLIIAQLFTSVWMIFTFR >OMO78844 pep supercontig:CCACVL1_1.0:contig10536:79093:81673:1 gene:CCACVL1_14074 transcript:OMO78844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMNKNSSTSSYASSMKRLVLILGLLTLLVSTEFATPVHGRALRSTAADNNVDGTVAGCEEQGGAGQDQQVAVSSRRVFSASGTFQNNKLSQLTTRVEMSYHIAQALSHHTSWEFI >OMO78833 pep supercontig:CCACVL1_1.0:contig10536:33133:34080:1 gene:CCACVL1_14063 transcript:OMO78833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRKDVDRIKGPWSPEEDEALQRLVQTYGPRNWSLISKSIPGRSGKSCRLRWCNQLSPEVEHRPFSPEEDETIIRAHARFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCSSMTDDLNDDSPQPLKRSASLGAGNNVSGLYLNPSSPSGSDLSASSLPAASPVYRPLARTGSLVPSSQHVETASSSTDPPTLLSLSLPGSDSSETSDRPPVSNPIPSPTTQVAIPPPAPVLAPTPAPAPVPAARLQQVGAQNMEYGTENQQFFSAEFLAVMQEMIRKEVRSYMAGIERNGLCLQTEAIRNAVVKRIGISKIE >OMO78838 pep supercontig:CCACVL1_1.0:contig10536:54986:57416:-1 gene:CCACVL1_14068 transcript:OMO78838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIHIYFSLFLHWIVLSPLPCSSTYQNLSKGSSLSVENPKDVLVSPNGTFSAGFYPVGDNAYAFAIWFSKPKFSVQSCTVVWMANRDQPVNGRRSKLSLLETGNLILTDAAQTNVWATHTSSFSSWVQLQLNDYGNLVLSNSSGFALWQSFDFPTDTLLPLQSLTRSKQLVSRRRKGNYSSGFYKLFFDDDNVLRLLFDGPEISSVYWPNPWAVSWENGRSTYNSTRMAVIDSLGNFSSSDNMVFKSADYGANWIQRRLTIDYDEFMAFVGKIVFVAMFRNSGRKCSCPPGYKMKNQSDWSLGCQPEFNMSLNASEFDFIRLAQTEFFGYDFGIFPNQTLKQCKSTCLNSFNCKGFQYKFNPGSGFYDCFPKVLLQNGQRTPNFNGDIYLKLPKALLSSYNDTKTKPISLKCWENVKELDRSYVKTPENGMLKVMLLFASALGGVEFISIFLVWCFLFRATQKNNKEGYGLLAATGFKRFTFDELKDATSNFKEEIGRGGGGIVYKGKLPDGKVVAVKRLNEANQGEAEFLAEVNTIGKLNHMNLIQMWGFCAEKKHRLLVYEFMENGSLAEKLTSHELDWQKRYDIALGTAKGLAYLHEECLEWILHCDVKPQNILLDSCYQPKVSDFGLSKLLDRSKLSNSSFSKIRGTRGYMAPEWLFNRPITSKVDVYSYAIVVLEMVTGMSPINKGIQFVESIVEEEIDFDFDRSLTWVKEKKKDSSGSNSMESWTEEIVDPMLGGNYDKRKIEILVEVAINCVQEDKDARPTMSQVVERLLSS >OMO78839 pep supercontig:CCACVL1_1.0:contig10536:58028:59091:1 gene:CCACVL1_14069 transcript:OMO78839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRKATTAPATEDDPSPPHLQPGSQVEISSNDKGFRGSWYTGTVIRRAAQSSRKNPSAANNYLVEYHELLNKAATKPLRETLNAGDLRPLAPRETSRKFKFGEWVDAYNDDGWWEGYITEELDNGNFHVYFKGSKEQLEFREDQLRLHREWVDGSWVPPLEEDDEQVKEKAPAEEVDEANLQEHDDTAARKDGNLGCCSSQV >OMO78840 pep supercontig:CCACVL1_1.0:contig10536:59391:59459:-1 gene:CCACVL1_14070 transcript:OMO78840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASKAMYKTEMQKTLEVAVL >OMO78848 pep supercontig:CCACVL1_1.0:contig10536:108776:109327:1 gene:CCACVL1_14078 transcript:OMO78848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLAEMSANQVDERHDEGYYEGSDGGNSKEWLNLSLGRYEDDAVASKGGFQSKYASNKIFSCNFCRRKFWSSQALGGHQNAHKRERGVVRRYQSERLMAMIGLPVNKPMSRSLGVQPHSLVPELNTDWRPVVARFNDSSKEIAGAWTGSMQRETTLKWPGSYHVEPPKSSPESLKLDLDLRL >OMO78849 pep supercontig:CCACVL1_1.0:contig10536:110643:112739:-1 gene:CCACVL1_14079 transcript:OMO78849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQENCVRVTRAAAKKRAAEAAGIVEEKVTNKKRVVLGEISNVSNVVAPASKVQGKENQVKQPRGKVKAKTRVAKPALEEKKEEKKDEYKDEKAIDIDAKSDDPQMCRHYVSDIYEYLHQMEVEPKRRPLPDYLEQVQRDVSAKMRGILVDWLVEVAEEYKLVSDSLYMTISYIDRFLSLNVINRQKLQLLGVASMLIASKYEEINPPNVEDFCYITDNTYTKDEVVKMEADILKSLKFELGNPTVKTFLRRFTRVSQEDYKGSSLQLEFLGYYLAELSLLDYGCVKFLPSMIAASVIFLARFIIQPKRHPWSAAMQQYSGYKASDLKECVLIVHDLYLGRRGDALQAVREKYKQHKFKCVATMPASPEVPSSFFEGAQEIDIINGEDVEVVKLE >OMO78843 pep supercontig:CCACVL1_1.0:contig10536:66153:74667:-1 gene:CCACVL1_14073 transcript:OMO78843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNAQRLISLALNSIFIIAIVVILFHLTEVGASRPLKHPLSPSSIKTLKVFKHVDARTLAISSCVSKLWQKTAKDERLWELICTRHWANIGCGTQQLRSVVLPLGGFRQLHSLYLWPLSKPQAASASSWAPFSRMINSKSPARWGKDEAITQAIGGR >OMO78835 pep supercontig:CCACVL1_1.0:contig10536:40272:44863:-1 gene:CCACVL1_14065 transcript:OMO78835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSMFFKLFLFLISCFPLSSHSSDNLKQGSSISVETAGDFLTSADGTFTAGFHPIGQNAYCFAIWFNKPSCSDNCTLVWMANRDHPVNGRQSKLSLLKSGNLILTDARDINIAWETNTVSKSTTHLKLYESGNLVLLDLEGAILWQSFDSPTDTLLPLQEFNKDSKLVSVRSQTNYSSGFYSLYFDTDNVLCLLYRGPELSSVYWPSPWLLRWEAGRSTYNDTRIALLDPLGNFSSTDDFTFKSADYGKRNIPRRLKLDFDGNIRLYSLDQRGETWVVSWQAFPQPCKVHGICGPNSLCTYLPDYGRKCSCISGYKLKNQTDWSFGCEPEFDVLPCNESEVSFLKFRHTEFYGYDYDMIQNYTLDQCKNLCLEICDCKGFQFRVKKAHHPYGGTFCYPKTQLRNGHREPNYIADFYVKLPKANLSIYTNSIQDYKLECSEQVKQLDRTYLKSSENPSIRFALWSACVIGGIEFLIIFLVWFFLIRSRHDHSAPNGGYHLALTASFRKFSYAELEKATRGFKKEIGRGSGGIVYKGILSDTRVAAIKCLVDANQGEAEFLAEVNTIGKLNHMNLIDLWGYCAEGKRKLLVYEYIEKGSLAENLSSKTLDWKKRYEIAFGTAKGLAYLHEECLEWILHCDIKPENILIDSNFQPKVSDFGLSWLLNRNEVNHSRISKIRGTRGYMAPEWIFNMPITSKVDVYSYGIVLLELVTGRSPSMVIHGRNGQKEETEQGNLVEWVKEKMSMEGVGVGGIESETQMEGMIDPTLIGKFEKDEMLVLVTVALKCVQEDKDARPTMGQFYHTELSSSVLICPAGISSIYWPNPSLLDYQQGRSRYNDSRIAVFDTSGNFTSSDKLRFQSADFGKGPWRRLTLDFDGNLRLYAFDEEKGIWAVKWQAISSPCKIHGICGPYSICHYDPALGPRCSCLPGYEMKNMMDWSYGCKTKFKPSCENQELRFVKLRHVKFPGYNRQILSNCTLNKCIEKCLNLCCQGFQYPFFNKDSVYRCYLKNKLRNGCLSPDIDGILYLRLPKSEAMALHNQSVEGNFHLNCSSNIEPKQLHRAYHKKVRNHQLTFKILLCFASILAFVETVLVLRCLYVIRQRSYRRRQGYVDVVFGMEFKRFTYHELKKATRSFSEEIGRGGGGVVYKGLLSDQRITAIKRLNLADKGEEEFLAEISTIGRLNHMNLIEMWGYCAEGKHRLLVYEYMENGSLAMNLIMSSTSLDWNKRFEIAVGTAKRDIIHKNSSFSKVRGTRGYMAPEWIYNQPITSKVDIYSYGVVVLEMLTGRSPTFGGIETNNGDAEEQQSLVEWVKEKKNRAGENDSWVEELVGEAIGREYDRNKVKILLEVAIKCTEIDRDARPTMSQVLQMLGDQT >OMO78852 pep supercontig:CCACVL1_1.0:contig10536:122694:125160:1 gene:CCACVL1_14082 transcript:OMO78852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MTPLLLCIAASSSFISLISLEFIEPSNYVQSRDFTSFLQLCSKSTMARDLCTIANSFDFMDMWHVILSQCSVFCQLSKFQRTLQTTETKSILLFSAFGFAVAVSIRYGYYGDCRMVLGPSSSRLIKASSVFVDQVEVRDNNGKGALLYGFSQKPELCRESNWNASNFLIVRSYSRKGYYLWLNKGSRIFIRWATQMSKLDKLEVVMIKGERKSETLLPNLTNSFDALFLNEPVIGKEAEYTIEEDDKYYVGVINSNPMSIIMTFTLNVISKVYDVTRSSNMCSTLNGSCRLKLLFPDTQYVVVSTPDSADLADGWYIELSFLARVVTYIAFLGAFIIIVFLVLKYLGACEGETPVVDTYTREIPWRTETDPILPEKPVHLTYGTTAGEEDDAETGSSSSSSEDLYDAKLCVICYDDQRNCFFVPCGHCATCYDCAQRIMEEESKVCPICRRIIHKVRRLFSP >OMO78837 pep supercontig:CCACVL1_1.0:contig10536:52552:53941:1 gene:CCACVL1_14067 transcript:OMO78837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKRKANEAPATEEHAPPPHLQPGSQVEISINDKGFRGSWYTGTVIRRAAQSSRNNPLAANNYLVEYHEILNKGATKPLRETVHAANLRPLAPRETSRKFKFAEDVDAYNDDGWWEGTITKELDNGNFHVYFMGSKEQMEFSEDQLRLHREWVNGSWIPPLEEGDEQVKKRVPAEEEEHVEANLQESTPLAVLKYQKVDHAGSSQGPSKSK >OMO78841 pep supercontig:CCACVL1_1.0:contig10536:60866:64221:-1 gene:CCACVL1_14071 transcript:OMO78841 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRG family protein MGSSNTAINDDDDSATESDNNTVSKTDHDSDSETETDEEFRNRYDSNPFREDEKVLAYHNHRIYQAKGWNKNWDEWVGIDRLMKDTEENRQKQEALGKKSQEENKTELRPTKRGTGRVFHNKLRHPKGSRGKRRKSDSSNKEKSTVPSEKLVNVQIPLTLKKQLVDDFEFVTHLGKLVKLPRSPNVEEIVKMYLEYRSKKDGMVTDSVQEVFKGIRAYFNRALPMMLLYKSERQQYDDIITEDIHPSTVYGAEHLLRLFVKLPELLVRADIEEETLLELQQELVDFLKCELYHFSGYLYKSSVLFLSLREVPKGVDEPLEFGGQALVPFLLSSF >OMO78842 pep supercontig:CCACVL1_1.0:contig10536:65476:65772:-1 gene:CCACVL1_14072 transcript:OMO78842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNFKSFFFVLVLVLASLLFSSGARPLNDAGSITKGIEVLIDVLSLEGIKTGGPSSGGEGHAFTKAVTNSGPSPGGKGHAFTDAVTNSGPSSGTDGH >OMO78829 pep supercontig:CCACVL1_1.0:contig10536:11007:14801:-1 gene:CCACVL1_14059 transcript:OMO78829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVSSWNLMFSPNKNISFSYLSWRPNISSLPSVAVFPRRPKPKTLLVLATILDAKPTVLVAEKLGDAGIQLLKTFANVDCSYNLSPEELCTKISLCDALIVRSGTKVTREVFESSGGRLKVVGRAGVGIDNVDLPAATEHGCLVVNAPTANTIAAAEHGIALLTAMARNIAQADASMKAGKWQRNKYVGVSLVGKKLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVDLVTFEEALLTADFISLHMPLTPATSKMFNDEAFSRMKKGVRIVNVARGGVIDEEALLRALNSGIVAQAALDVFTKEPPPQESKLVQHENVTVTPHLGASTTEAQEGVALEIAEAVVGALRGELAATAVNAPMVPAEVLAELAPFVALTEKLARLAVQLVAGGSGVKFVKVTYGSARGPDDLDTRLLRAMVIKGLIEPISSVYVNLVNADFTAKQRGLRLTEERIVLDGSPENPLEYIQVQIANVESKFASAISNLGVITVEGKVKDGKPHLTKIGSFGVDVSLEGSLILCRQVDQPGMIGKGTEEVNEQGAIETKVETVDVQLPPGENKEPRMKNVGVVHLTRDSGNKGVVAGAADAVSRAFNSAKDAVTGSGHGGNNK >OMP03986 pep supercontig:CCACVL1_1.0:contig05878:2870:3780:1 gene:CCACVL1_02220 transcript:OMP03986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRQSAGASRISDDQIIELVTKLRQLLPEIRDRRSDKVSASKVLQETCNYIRSLHREVDDLSERLSQLLTTIDADSAEASIIRSLIMQ >OMP11093 pep supercontig:CCACVL1_1.0:contig01560:145:991:-1 gene:CCACVL1_00671 transcript:OMP11093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSIVGNVFGFKALRALRLEDLRIPPAYIKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHAGTVVGVLPVASGGYSRLAYARFDRDLWR >OMO54538 pep supercontig:CCACVL1_1.0:contig14964:2598:10300:1 gene:CCACVL1_27751 transcript:OMO54538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta subunit-like PLP-dependent enzymes superfamily MGRRKLGFWVLIIESERGIGSPLGGWEMLKSATAARKQWKIAMRAFLRPTSDSSNASTVSLASLTFKRLYGVEPVESPILSGGKPGPHKIQGIGAGFIPGVLEVNIIDEVVQVSSDEAIETAKLLALKEGLLVGISSGAATAAAIKIAKRPENAGKLIVVVVLNIGCGENSLKEGSRTKVHYANIQASGLSLNWFGEVRGMALRQCRHSLNPVVVLNIGNLSRLRLALSASRLSDLTCFIVASSLLFENMKNVLIAASFIHLKHKEHAKYTSDLTTVNPRILLSGPAGLKSVFETSLRALIKGAEAMYGAGA >OMO71675 pep supercontig:CCACVL1_1.0:contig11580:1681:1758:1 gene:CCACVL1_18105 transcript:OMO71675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYLKQLPPLLFEKANALQRFHSG >OMO71676 pep supercontig:CCACVL1_1.0:contig11580:17893:29767:1 gene:CCACVL1_18106 transcript:OMO71676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNHCIGVKKKKVGEVKV >OMO71677 pep supercontig:CCACVL1_1.0:contig11580:30529:30759:-1 gene:CCACVL1_18107 transcript:OMO71677 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WRKY transcription factor 33 protein MAPCSSRDMSANSHPQNAFSLSTHPFMTTSLSNLLASGITDNDNPSSAADGGLSSERGDGLSLRRSGSSRPLYLGN >OMO75419 pep supercontig:CCACVL1_1.0:contig11044:8175:12636:1 gene:CCACVL1_16176 transcript:OMO75419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGLSAAVSGKKIIPTNPLSKVFWAFVIAATGGYPNPLEGIPPAVKAALTKAYNIEGNARTIR >OMO75421 pep supercontig:CCACVL1_1.0:contig11044:36121:36267:1 gene:CCACVL1_16179 transcript:OMO75421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWRFSTTPIRVEPKRFRVAMWLNSSTSAAEEMTVLAVVEAETKRSN >OMO75418 pep supercontig:CCACVL1_1.0:contig11044:3166:3291:1 gene:CCACVL1_16175 transcript:OMO75418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPMLCIFINTTRSKPDSTSNSITLFANSGAPADISKQWI >OMO75417 pep supercontig:CCACVL1_1.0:contig11044:1111:2168:1 gene:CCACVL1_16174 transcript:OMO75417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVAKPRTPPRRHRSGAPMDPFQVNSI >OMO75420 pep supercontig:CCACVL1_1.0:contig11044:20361:27404:-1 gene:CCACVL1_16178 transcript:OMO75420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASTSRQPLLDNWVLCKVYKKKQGKKQDGETSGKGTTADEDEGEGRSIGQLLLLIYKNVGCC >OMO77904 pep supercontig:CCACVL1_1.0:contig10673:15038:17749:1 gene:CCACVL1_14742 transcript:OMO77904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGLKFLPVHCCVFFRDRRISIGASYGASSNIKISSNCIQENAVILESSQTISDKRPSLLRFYHSRHASSNFSIGRRSLSSQVGAESSGEEDDLEDGFSELETPDYAKKKQKIGRAETVDGLIPNPELSGDEGDVGDTLPHELEFSEMESDAMEKKKSSTRWPTSELFEAIVSAPGLSVHKVLDKWLEAGKEFSRDEISQAMLNLRKRRMYGRALQLSEWLEANKQIDFDEKYYASRLDLIAKTRGLHKAENYLQMVPLSFKGELIYRTLLANCVVANNAKKAEEVFNKMKDLEFPITAFSCNQLLLLYKRFDKKKIADVLLLMEKENVKPSLFTYKLLIDTKGLSHDITGMDEIVQTMKAEGLEPDTGTKFILAKHYVSGGLTEKAEAVLKEMEGGNLKENRWACRFLLPLYAELRKADDVGRVWKVYSDHKMLQKGKDLVKIMGDTGCVIGPFTWDALIKLYVEAGEVEKADSILQKACQQNQLKPLFVSFMTVMEQYSKRGDVHNCEKLFHRMRQAGYVARLGEFQSLVRAYINAKAPAYGMRERMKADNIFPNKHLAAQLVQVDAFRRTAVSDLLD >OMO77906 pep supercontig:CCACVL1_1.0:contig10673:25600:30390:-1 gene:CCACVL1_14744 transcript:OMO77906 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase, type IIA, subunit B TRLGNPEVRKVVDQSVQEFLTEYLELHPDVLDSILSKSLNAFKAALAAKRARELVRQKSVLKSSSLPGKLADCSSTNPEESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERRDEAAMYKNEEIQNLILGLGLGVKGEDFKKENLRYHKIIILTDADVDGAHIRTLLLTFFFRYQRALFDEGCIYVGVPPLYKVERGKQVYYFYDDADLKKVQSSFPPNASYNIQRFKGLGEMMPAQLWETTMDPEQRLLKQLVVEDVAEANIVFSSLMGARVDARKELIQNSASRINLDKLDI >OMO77905 pep supercontig:CCACVL1_1.0:contig10673:19966:24386:1 gene:CCACVL1_14743 transcript:OMO77905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 31 MADTEVEESRGSFLVKDRHVHLVCDHQTIEDISLINRFDDCDFLPSDPGGFPVLVITGHIFSKRKKKRLQNSGIYKDIKGPCASAKYWVLFDQKNSKQIGFQVKIGQPNFQLYNHKASPPPTAWGKYRRLRRKLGLYRKRKLAWCWHFAKTKGFVTVSSSSSSEEELGELNVAEPTAAAADFNREQGIGRGDQPVTFAANLVSYRAGGDWSTTYAPSPFYMTSKMRSLYLEGYNYSIFDLTQENRVQIQIHGNAVQGRILHGNSPLEIIEIFTGTIGRPPVLPEWMISGAVVGMQGGTETVRRVWDKLTTYKVPISAFWLQDWVGQRKTVIGSQLWWNWEVETTWYPEWQQLVKDLSTNYIKVMTYCNPCLALMDQKPNKRRNLFQEAKELDILVKDKHGEPYMVPNTAFDVGMLDLTHPLTAGWFKQILQEMVDDGVRGWMADFGEGLPVDAELYSGEDPISAHNRYPELWAQINREFVEEWKSNRVGKEREDPEESLVFFMRAGFRDSPKWGMLFWEGDQMVSWQANDGIKSSVVGLLSSGISGYALNHSDIGGYCAINLPIIKYRRSEELLLRWMELNAFTVVFRTHEGNKPSCNSQFYSNHQTLSHFARFAKVYNAWKFYRVQLIKEAAEKGLPICRHLFLHYPDDEQVQRLSYQQFLVGSEILVVPVLDKGRKNVKAYFPVAKTCSTWQHIWTGKQYQKQGFEAWVEAPIGFPAVFVKLGSTVGETFLQNLRNLGIL >OMP09706 pep supercontig:CCACVL1_1.0:contig03080:131:244:-1 gene:CCACVL1_01047 transcript:OMP09706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLPSIISHCLASFFCTFKASVSPLSLCTEGSADF >OMO70207 pep supercontig:CCACVL1_1.0:contig11854:5858:10275:1 gene:CCACVL1_19056 transcript:OMO70207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Male sterility, NAD-binding protein MELGSALQFLDNKSILVTGATGFLAKIFVEKILRVQPNVKKLYLLLRAADDKSAIHRLHNEIIGKDLFKVLKEKCGKNFNSFISEKITLVPGDISLEDLGVKDSNLVQEMWREMDVVVNLAATTNFDERYDVALGLNTLGAKYVVSFAKKCSKLKVLVHVSTAYVSGERSGLILETPYAMGETLNGVSGLDINTEKKVVEEKLNELRLLGASDKEITRAMKDLGIERARKYGWPNTYVFTKSMGEMLVGDSKGNMAVVILRPTIITSTYKEPFPGWAEGVRTIDSLAVGYAKGKLTFFLGDVESVVDVIPADMVVNAMIVAMIAHVKHQPVENIYQVGSSMRNPMKYSDLQDYGFRYFSKKPWINKDGTPVIVGKVKVMNSMASFHRYMALRYVLLLKGLELANTAFCQFFQGAYSDLNRKIKFVMRLVDIYRPYLFFDAIFDDINTEKLRMAARSSLEENDMFYFDPKCIDWNDYFMNIHIPGIVKYIFK >OMO59392 pep supercontig:CCACVL1_1.0:contig13983:7872:10827:1 gene:CCACVL1_24862 transcript:OMO59392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTWRRVSWRGERWQRDLLSEGLYESEEGIGESENLFVREGRGRRNQGLMDAEVRERRLVREKVVKG >OMO59391 pep supercontig:CCACVL1_1.0:contig13983:139:1049:-1 gene:CCACVL1_24861 transcript:OMO59391 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MERQEGIREGEKSSHVVVLPFPIQGHINPMLQFSKRLASKGLKVTLLTTSKSMQPCASSSNINFHSLNLDEGENTKDLDEYLKLYEDVISKRLAEFMEEQLWSQNPPKVVVYDSGMPWAMGVAKKFGVLGASFFTQCWAVNAIFYHLKRGAFRVPLEEPVVSLPSMPALGLSDLPTFASDNSGAYPGLCKLVRSQFENLEEANWVFCNTYDMLEQEIIVKETTEPGQ >OMO54759 pep supercontig:CCACVL1_1.0:contig14905:1058:8778:-1 gene:CCACVL1_27599 transcript:OMO54759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSMRGIFQRKKEMRGLLLFLEDVQKCKNKEEEQARVDKELFNIRRRFKNDKGLTPYQKKKYVWKMLYIYMLGYDVDFGHMEVVSLISAPNYPEKQVGYIVTSCLLNENHDFLRLVINTVRNDITGRNETFQCLALTMVGNIGGREFAESLASDVQKLLLSSSCRALVRKKAALCLLQLYRKNPDVVNVDGWADRMAQLLDEHDLSVLTSSMSLLVGLVSKNHEAYWSCHPKCVRILERLARNQDVPQEYTYYGIPSPWLQVKTMRALQYFPAIKEPNTKRRLFEVLQWIIMGTDVVENVNKNNASHAVLFEALALVMHLDAEKEMMSQCVVLLGKFIAVREPNIRYLGLENMTRILMVTDVQDIIKRHQARIITSLEDPDISIRRRALDLLYGICDVTNVKDIVGEILQCLSTADFVMRKELSLKAAILAEKFTHDLSWYVDVIFQLIDKAGDFISDDIWFRVVKFVTNNEDLKPYAATKVKEYLQKPAIHETMVKVSAYILGEYSHLLSTCPGCSPKEIFRILHEKLPTVSTSTIPILLSAYAKILMHTWPPDQELKNQIREIFKKYDSCIVVEIQQRAVEYFALSQKGAALMDMLTEMPKFPKRQVCVLCKIYAEIDTAEQSAIKLHAQQQTSNVLVVTDSRPGNGVPPPLPVSQLSLVKVPSMINNEVSSCIDHNSTVYPRAPSASLLGGFSSPLVTNSPPGVTVQSEHNAVSGLEGGPGAVDGSAIAMDVDIVTMESIKDSDEKFPSLCLRDSGVLYEDPNIQIHFEAQWQNHYEDLALFFINKYTDALVSVQACIMPSNNFMMELLQVPDTVPLGKEVQCLLQVAIIRPSRPEAIPSPISLDTK >OMO61376 pep supercontig:CCACVL1_1.0:contig13505:5502:9845:-1 gene:CCACVL1_23570 transcript:OMO61376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase, SIW14-like protein MKEDTKTKLNQNQHQQESQQQMCRTIQVVSTVDHVDMSPPVASPVVVVPSPSDDLNLIPPLNFAMVDNGIFRSGFPDSANFSFIQTLNLRSIIYLCPEPYPDANNGFLKSNGIKLFQFGIESYKEPFVNIPEDTIREALKVVLDVRNHPVLIHCKRGKHRTGCLVGCLRKLQRWCLSSVFDEYQRFAAAKARVSDQRGECCPASE >OMP11752 pep supercontig:CCACVL1_1.0:contig00919:356:427:-1 gene:CCACVL1_00291 transcript:OMP11752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GALFFSPEKKINGQFNLLFSDHQ >OMP05193 pep supercontig:CCACVL1_1.0:contig05491:32040:35136:1 gene:CCACVL1_02022 transcript:OMP05193 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phosphoglycolate phosphatase, eukaryotic MSRRAAAELLCGVNLRALLDSVDAFLFDCDGVIWKGDKLIDGVPQTLDLLRSKGKKLVFVTNNSTKSRTQYAHKFTSLGLSVTQDEIFSSSFAAAMYLKVNHFPPQNKVYVIGGEGILEELQLAGFTALGGPEDAEKRVHLKSNYFFKHDKNVGAVVVGLDPHINYYKLQYGTLCIRENPGCLFIATNRDSVGHMTDLQEWPGAGCMVGAICGSTGREPIVVGKPSTFMMDFLLQKFDINTSRMCMVGDRLDTDILFGQNAGCKTLLVLSGVTTQSTLDDSSNSTQPDYYTSKVSDILTLLGE >OMP05188 pep supercontig:CCACVL1_1.0:contig05491:820:2409:1 gene:CCACVL1_02017 transcript:OMP05188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSHPEATNLTAGAGAGSNRRNARQEEEEEEEEEEDDESSPVSITSITLQKVPHLREQEQLADLQPAGPNPLDRNPFFHPTDGFYISPYDVILRQDAPAQGKYLAYHRAGPRNQIFFHPCTTKAAIVTCGGLCPGMNTVIRELVVGLWDLYGVRQIYGIKAGYRGFYSHHPGPLHLNPNLVHDWHKRGGTALETSRGGFDLARIVDAIQHHGFDQVYIIGGDGTMRGAVKIFEETRRRKLRVGIAGIPKTVDNDVGIIDRSFGFQTAVEMAQQAIHAAHVEAESAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPETEFYLQGRGGLLEFLEQRLKDKGHAVVVVAEGAGQDLIPRSDAQKQQRDESGNLVFLDVGVWLKSELQQWWARDHPEELFTVKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGVMAGYTGFVSGPINGNYAYIPLTDVAQAKNQVNTNDHKWAWVRSVTNQPDFLGL >OMP05191 pep supercontig:CCACVL1_1.0:contig05491:17197:22774:-1 gene:CCACVL1_02020 transcript:OMP05191 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MDLNGFSDPYVKLQLGKQRYRTKVMKKTLNPTWGEEFSFKVEDLNEELLISVLDEDKYFNDDFVGHLKLPVSRVFDAHHKSLGTAWYSLHPRTKKSKNKECGEILLNIYFSQNNSFMDLACNGDHASSLGKHVDMNIEDLSRSYSGSSNSPSPVRQEDDVYSKEEKSCAQKSLAGRIAQMFNKNSDPAPTTSTRGIDSMEIPETSEADMAEDNSDDLSSSVSFDEAMKALVSRDQGSEMPSNLSGGVLLDQLYLTAPTELNSLLFSPDSSFPRSLAEFQGSTDPQFGPWKFENGGESLKRVYSYIRAPTRLIKAVKATEEQTYIKADGKAFAVLAGVSTPDVMYGSTFKTEVLYCITPGPELPSGEQSSHLVISWRMNFLQSTMMKSMIENGARQGLKESFEQFATLLAQTVKRVDSKDIGLNKEQLLGSLQAEPQSDWKLALQYFANFTLASTVFMSFYVIVHIWLAAPSMIQGLEFVGLDLPDSIGEFVVCGVLVLQGERVLQLISRFMQARAQKGSDHGIKAQGNGWLLTVALIEGSNLAAVDSSGFCDPYVVFTCNGQTRTSSIKFQKSGPQWNEIFEFDAMDEPPSVLDVEVYDFDGPFDEATSLGHAEVNFVKSNISDLADVWVPLQGKLSQACQSKLQLRIFLDNTRGGNVVKEYLSKMEKEVGKKINVRSPQTNSAFQKLFGLPPVEFLINDFTCHLKRKMPLQGRLFLSARIIGFHANLFGHKTKFFFLWEDIEEIQVLTPTLSSMGSPIIVMTLRPGRGMDARHGAKTQDEEGRLKFHFQSFVSFNVAHRTIMALWKARSLSPEQKVQIVEEESDSKSLQTEESGSFLGLEDVSMSEIYSSTLPVPTSFFMELFSGGELDRKAMERAGCLNYSCSPWESERADVYERQIYFRFDKRVSRYRGEVTSSQQKSPLPDKNDRQTVLNCLAPSLSPSPNAVAPPNPNHSPKVSHSPLSINVFSSFFLSSIQLY >OMP05192 pep supercontig:CCACVL1_1.0:contig05491:25118:28040:-1 gene:CCACVL1_02021 transcript:OMP05192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MADLKSAFLKVYSQLKSELLEDPAFEFTDDSRLWVDRMLDYNVPGGKLNRGLSVIDSYRLLKEGKELTEDEIFLASALGWCIEWLQAYFLVLDDIMDNSHTRRGHPCWFRVPKVGLIAVNDGVLLRNHICRILKNHFRCKPYYVDLLDLFNEVEFQTASGQMIDLITTIEGEKDLAKYSLSKHRRIVQYKTAYYSFYLPVACALVMSGENLDNHVDVKTILVDMGIYFQVQDDYLDCFGNPETIGKIGTDIEDFKCSWLVVKALEMCNEEQKKLLHENYGKPDPVNVAKVKALYNELNLKGAFEEYESKSYEKLVTSIEAHPSKAVQAVLKSFLGKIYKRQK >OMP05190 pep supercontig:CCACVL1_1.0:contig05491:15061:16678:-1 gene:CCACVL1_02019 transcript:OMP05190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRGGLDRFKKAQSLEPFSVSLNSAPKTTPKTVQVQQHSHSQNAAAQPQQEEHTQPQPATQFGGGQSTWQPPDWAIEPRPGVYYLQVLKEGQVLDRINLDRRRHIFGRQYHTCDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSAHGTFVANERLTKDTPVELEVGQSLRFAASTRTYILRKNNAALFPRPPPPTEINLPPLPDPSDEEAVVAYNTLINRYGLSKSDLPKSNVSGISLSGAENKTEPQRASKRMRKLKVTFRDQAGGELVEVVGISDGADVETEPGPVGVKEGSLVGKYESLVQTRVIPKGKDPSSVKEENSCQKGVTDKLQEVLNMVRNAPKGGIYDDIYGESLSDKVGSSWAYTSVSSSGRQASPSKDATTAKAAGVSSGKPGTGSNSASNDNDSEDDLFGD >OMP05189 pep supercontig:CCACVL1_1.0:contig05491:2896:13332:-1 gene:CCACVL1_02018 transcript:OMP05189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MKFMKIGTKPDTFFTEEATRTVISDIPSDLTIRINNISFLLHKFPLVPKCGLLQRLCPDSESDDSDTVTIDLHDIPGGEDAFELCAKFCYGITINLSAHNFVPAFCAAKFLRMTESIDKGNFVLKLEAFFSSCILEGWKDPIVTLQTTIKLPEWSENLGIIRRCIDSIVEKILTPPPKISWSYTYTRPGFLKKQRQSVPKDWWTEDISDLDIDLFRCIITAVRSTYILPAQLIGEALHVYACRWLPDTRKSQSSGSMSEESLGKGRRIVESIVSMIPSDRGSVSVGFLLRLVSMAKYLGASPVTKGEVIRRAGLQFEGATVGDMLFPSHSSSDDHFYDIDLVAAVLESYLVLYWRRQSAENAHLRTSIRKTGKLIDSYLQVVARDAKMPVSKLVSLAEALPQCAREDHDGLYKAINIYLKEHPDVSKADKKRLCRMLDCQKLSREIRGHAVKNERLPLRTVVQLVFFDQEVRCSRTREEESSNNVEQEEANTRIQGDSTFATKVDPIHRRNSYGVFCYLEKIMVQCLDGLKHLCAAVISCCDADLYKQPKGLEDPEALARDTVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILDFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKLYLVLVLVVEMSEKGGKGFSLPKSSLKSTANNGSPDLNFNFSSPKSDGKFATPIGKGDWAKGGKGEKAVNGGKTSVAKEAKSLELRVEQGNQGTVKCIMDCEAANILEGIQEQMVMLSEDSTIKLPESFNMGLQYAKTGSYYTNPQSVRQVLETLSKYGVTDSEICVIGNACPETTDEVFALVRSLEVKRSRLIEPLKDVLDELAKLKQSS >OMO64317 pep supercontig:CCACVL1_1.0:contig12840:7222:8563:1 gene:CCACVL1_21856 transcript:OMO64317 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MEKANCKVCVTGAAGFVASSLVKKLLEKGYTVHATLRNLNDSSKVELLKSLPEADTRLVLFQADMYHPEEFEQAIQGCTFVFHVATPLFHTGGNFQFKNTTEVSVGAMKSIAMSCLKSGSSVRRLIYTASVMSASPMKDDGSGFKDSMDETCWTPLNLSYPYYDDFIKDYVESKTITEKEILRYYCSDANVASGVEVVSLACGLVGGDTVLPYTPGSVATLLSQLTDHKNLNHFLKCLEEMLGKVPVVHINDVCEAHIFCMENPSITSGRFLCAASYVSTAEIANGYQLYYPEFHVKQEYLDGPERDIKWGSTRLTEKGFEYKYDLKMIIDDSIKGARRTGLLQH >OMO64318 pep supercontig:CCACVL1_1.0:contig12840:8972:12560:1 gene:CCACVL1_21857 transcript:OMO64318 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MEETYCRVCVTGGAGYIGSSLVKMLLEKGYTVHATLRNLGDLSKVNFLKSFPKADTRLFLFQADIYNPIEFEHAIQGCKFVFHVATPLQHNQGYQFKNTTEAAVSATKSIATYCQRSKTVKRLVYTASVVAASPLRDDGSGFKDSMDETCWTNLDSIISFPDLDDFRKDYTYSKTLSEKEILSYGENNNELEVVTLALGLVGGDSPLAYTPATVGVFISQLGNDDVYAYKYQSLRCLEETLGKVPAVHIDDVCRAHIFCIQNPSITGRFLCASSYVSSAEIAQYYQENYPEFNATIKQEYLDGPNREIKWGSRRLIDKGFEFKYDLKELLDDCIKCARRTGDRRGFNETKKVCVTGAAGYLGSWLVKKLLAKGYIVHATTRNLGDPNKVGLLGSLPNAETRLRLFEADIYNPSEFQHAIQGCQFVVHMATPLEHQSQSSQFKNTSEAAVAGVKSIVDCCIKSGTVKRLIYTASVVAASPLKQDGKGLKDRLDESCWTAKDVVFPYSNEALMGYANSKTASEKELLSYNSDIEIVSLVCGLVGGETLLSSIPESMGVLISQATKNKRRYSTLRFLEELLGKVPILHIEDACDAHIFCLEKPSVKGRFLCASAYLSSADIASHCQKLFPDIQIPDGFVENSGRDIFWDSAKLKEIGFKYKFDVKTLLEDSINCGMRLGDFSMS >OMO64319 pep supercontig:CCACVL1_1.0:contig12840:13191:15043:-1 gene:CCACVL1_21858 transcript:OMO64319 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MMDWEQKTLLNELTQGRELTNLLRKHLHPSSSPQTRQALLEKILFSYEKALSMLNCTGFVVETKPTGSTLESPASVIANNSSPRSEGSDKKDVIKKRKTSSGWTEQVRVCSGTSLEGPLDDGYCWRKYGQKDILGSNFPRGYYRCTHRHSRGCLATKQVQRSDQDPSIFEVKYRGRHTCNQASQFAAATPKSNHSRQKQQLEEKQKQTQETFLSFGTGLKVKTEELDNREDIFPSFTFPVGSEEVDNTGMFVNSLMENDIMGNLSPAISESNYFSVSPCHMDSFGNLGQNVQTSESDLTEIISAPTSVTNSPIGDLDFSSLDKLEFDPFYNPEIFFS >OMO69508 pep supercontig:CCACVL1_1.0:contig12025:5995:6211:1 gene:CCACVL1_19462 transcript:OMO69508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINQAKSEKMTTKNYHKNWESSPIFPSSVTELEPAKITFPTRQCLAP >OMO87959 pep supercontig:CCACVL1_1.0:contig09148:8977:10057:1 gene:CCACVL1_08637 transcript:OMO87959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTLKLTHIPQLGFLQNPQNQKRQHPIPRTVTCGLRGGNRKPLWRSRVLSTEAIQAVHSLKLANSNSRLHHVFSNRLSRLLKADLLDTLAELQRQNEFHLALKVFEFVRKEVWYKPDMSLYCDMIQLLGKNRMTEMAEQLFTQLHKEGLKPDTRAFTEMIAAYLQASMIDKAMETYEALKASGCSPDNLTFTILIRSLGSAGKEELVSVLKKDCSEYLEYPERFLEQLQQKHGKHSRKKDLPTSFVSYLVMHDAVTLRTANAIKSSGS >OMO87962 pep supercontig:CCACVL1_1.0:contig09148:21142:24340:1 gene:CCACVL1_08640 transcript:OMO87962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFHQTDCVEILSQIKHQEKLLNLKRRWLMGLSTSRSKRKRFKEPKFFKDKALPESFLRDDDIFYETIKTQVEEAFGARNFRRESHVIQDSFQSFDATKIKRLLFSCLDVLTNNGLYLIAVILTKGSGKFEKTRCTMKRVIRESLPRCLSSENHDHEQKETIMKLHGFLNDPKNFRDDTMKLMTPTSHIDRAAAMHVLDKLEDLPLQTLTAMDRKLSCLTSVPQLQASERGKKRKRLIQKVSKTGKRMLRDLDDGEEVKEPLARALEVADLSTRLTTGCLSTSTRFYQFSPEIISLQNDIVKAILVLKTKVRFPELKTLKVLLDPKVEISDRALRGAITNMLTDFLFECSDMDTIPKSLLETLAVVNKDSRSVPCGRFSKEEIEAEVECILSVSAQMKQIVWDLLPGQDLNEEFADAYGEELEDSDDGSCIEDDGGGDNDKDSESCMSYSVNSIDGDEVTSNIKEDPENITSNEKGSGGMNQLKSKGKLHASTDGSCFSPLSLPSGELNDYTIDRDEAKPKSVIPSASIFSSNIQSADATFVHSKSRMRRNQYLTIQEACDDASLVAYNLIGCLLEKFTKEQDMDLDWSDSLYLRGKSSIQEHTQEKTKLSEEDARGSFFQILKELMPSVSKRLGQRFFWASVLLV >OMO87958 pep supercontig:CCACVL1_1.0:contig09148:4269:7869:1 gene:CCACVL1_08636 transcript:OMO87958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEKKVVEAAIGAAVEVTLSKASQFGLQRKVGDRVFVPRVNHETHSLIGDSSLVVGRGDDLSKIIDLLVDSNSQATLSVVSIVGMAGLGKTTLAKLVCNYELVRDHFGKIIWVCVSDDFEVERILVEMLESLTKNPCGIKNKDTVLKRIQEELGGERYLLIFDDVWNENTEKWEDLKGCLLGISRNKGSKIVITTRSDNVALVMGTTPEHRHHPKKLVDDECWSIIKEKVFGSTSVPSELEDIGKDIAKKCRGVPLVARVIGGTMSHKREKEEWLSIKHCSIWDSLERNNGILNVLKLSFDRLPSPSLKQCFAYCSNFPKDFCFEREQLIQFWMAEGFLNPTEQEGQMTLEDTGNMHFKALLSNSLFQDVETDAYGKIGVCKMHDLVHDLAVFVSKEETMVFDTDSMRDTSHIRHMSVIVDGEVVPTILQRATPKLHSLFSKVDIFCRFSGDLKSLRTLNLSGVVNMENLPASLGKLKHLRFLDISRTKITELPKSFTRLYNLQTLRILKCSLKMLPKGMKNLVSLRHIYFDIEKLMPVDIGCLTCLQTLPFFFVDAERGCRVEELGYLSQLGGKLKICNLEDVEDNAEATRANMEAKTKLYKLKLKWSYKRKGYINDKEVLEGLKPCSNMKSLTIVNYWGNGLPSWMSRSVYDSDYTFPLNNLVKLKLINCKECLNVPCLGQLSNLRVLEIDEMKKVNRIGSEFYLNGTNNDNDRTSSSQGEGEATKLFPALRRFTLVEMESLEEWADYGNQATIESERVVVFPCLEELIITGCPKLRSAPLQRQLCSLEVLQVSYCGEISTLGLGDGLSASSCLKELHIQACPNLRSIPTIEGLATCLKELTVWDCPNLRSIPGIEGFSSLIELTIKDCERLSYLPSGLESCTSLERLNIHNCPNLRFIPQDLGDLCSLIFLSVTSCQKLIRLPEEILGCLTSLKTLHLGGFSEQLQEFPGLTSLQHLHASLEYLELYGWKNLKSLPDQLQHLTALKSLEIWNFNGVEVLPEWLGNFSTLQRLQIWNCNCLMHMPSSVAMQQLSKLQRLEINKCPRLKENCTKETGPEWPKIAHIPNLRIEGRSPHSE >OMO87961 pep supercontig:CCACVL1_1.0:contig09148:18340:20108:1 gene:CCACVL1_08639 transcript:OMO87961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sedlin MATTACFIIVSRNDIPIYEAEVGSAAKREDAAQLHQFVLHAALDIVQDLAWTTSAMFLKAIDRFNDLVILLNPLYLPGSRIASSHFDTKVRALARKYL >OMO87960 pep supercontig:CCACVL1_1.0:contig09148:12747:16763:-1 gene:CCACVL1_08638 transcript:OMO87960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSNLGHGEEDQQRKKESIDSRFNQTLRNVQGLLKGRSIPGKVLLTRRSDPLDDSGLQERSPSYQRSFSQNDAGTSEDMDKSIEGKLRNTNNSDSNTTVNKLRSSTSYIENSAKEGQKSAVGARATDSARVTKFNKELSGQMIILERLRELAWSGVPPYMRPNVWRLLLGYAPPNSDRREGVLRRKRLEYLDCVAQFYDIPDTERSDDEINMLRQIAVDCPRTVPDVTFFQQAQVQKSLERILYTWAIRHPASGYVQGINDLVTPFLVVFLSEYLEGSIDGWSISDLSSENISNIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDGKLFSGLQFSP >OMO87963 pep supercontig:CCACVL1_1.0:contig09148:25329:26727:-1 gene:CCACVL1_08641 transcript:OMO87963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MENSSNQVMIVMCFGSIQVFCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDSVQGVEGGLKFDPATGGFVAAGTIIKELDTRKTLFSEKNLPDRVTEPVNQDNSSAALASCPDGENSVVKLEEDECSFGGNDIGDPRSALIQSTCQEVKKYITPQIDCTEHSNSVGLDTGLLHAASIGTAPWACPENTTTGSYLPDGGDRWGLNKGTLKIEDSDCQFVSGSSSSLAAADEMDTQMEGCDGIAEHNHQPTTSSMTDSSNGYGSMLNGSSSSSQSFEEAKNSKVKTVCVDSNSKITVKATYKEDTVRFKFDPSAGCFQLYEEVAKRFKIPNGTFQLKYLDDEEEWVMLVSDSDLQECLEILEYVGTRSVKFQVRDIPCVMGSSGSSNCFLAGGS >OMO87957 pep supercontig:CCACVL1_1.0:contig09148:3428:3958:-1 gene:CCACVL1_08635 transcript:OMO87957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITQSASKRVSTTLTNSAQFNSACDSAYARCLSLTQQAFPGVLPYQLETAANDLHQTLTSVDPNPLILRWVPSAPTRSQVDSALRFVTGHQQKNQSDEEQLLVLGAPQFKEWAVVLFADAVVGNAGKEVLKRVPFGVLGIVGIGAAAKPGKEVVGVAIGVYALGVATSIYLSLSG >OMO87956 pep supercontig:CCACVL1_1.0:contig09148:1204:2554:1 gene:CCACVL1_08634 transcript:OMO87956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GYGFRPSDEELIGYLEDITNNRDISLNWKIFGNYQAFSAAAWFAGCGVWGVKTFFPKDLSNSSKLFPEGEVSNDSSGLQNQSSTKERDYNVQNDETCPQEGSNQHNFLDRNQVSNLTSNLENHAAVDATSGVNMDQGATSGCLITELEASKDSVFNQNQFSIRERGNLTENLIVVDSNKNYPEERSNQHNIVAEHEGSNLLANLKNDDTKDFDPMDLFDYDLLNVDEVLINDTNT >OMO50396 pep supercontig:CCACVL1_1.0:contig16196:22362:22460:-1 gene:CCACVL1_30469 transcript:OMO50396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYRFKMSGRVILEILELIEVSARLRYCGGG >OMO50395 pep supercontig:CCACVL1_1.0:contig16196:8403:12531:-1 gene:CCACVL1_30468 transcript:OMO50395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFGVLTERYGLKPQGKSAPMSQAKRSTATATASTNRSFGVDSGLNGNSASFSLKSSWNSSSGNGSFLDDNDLFSNPKISFGLADDYGFGFGGFENTTKKQSNTSKNSSNGSSLDLNSMLFNSGDDIFGGMPGSQNASNDDIFGSFASSTVQKGSSSDLLGDFSGVAAKLKSSSRNGPRDSGKNEAGFDDLIPGFGGSSPSVNRTNVKTTKSTLQSTEDPFVVLESTVSSSVYNSSESSTDPLEEFSMFNQSAGTKPRGSSNASSSLRPPPKPAQVLKADKVKSSGASLIDDLEDFAIGGVRNKASRYKEAEDVTKKTQQYREDDLESYFAVNSRSSSAPKSRATTSDPMFDVNIHNRQQKPAAGASSTAKKTSSAATAFGIDDISSIFGAAAMFGEFEEVQGESEERRKARLGRHQRTQDRVARAVADMNQRDRQTQHEQEERRRIAEAMDFEIKRWAAGKEGNMRALLSSLQQVLWPECGWEPVSLTDLITSGSVKKVYRKATLCVHPDKVQQKGATLEQKYIAEKVFDVLKEQGDQSPKLSCLVLGI >OMO50398 pep supercontig:CCACVL1_1.0:contig16196:89039:90865:1 gene:CCACVL1_30471 transcript:OMO50398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTALFFFSFLFLSFSTGFCIDSNTSSCPIDFTYVETFPWDNSACLDPHGTQCCQALISFFGIGIAQHLKETSNFQLPNPMAAASCLSDFQIKLATLSVQPSLVTSCMQNSNQFVSNTSNCAGIITIQDWVQKVGPVTVLDTACKGDVTGLNCRSCLEASYDVLSNLLRLDPNATKCFDFIALYAIGVVNEFGPKDPGTADCILGLPITSSATKSPKKTLSNENLLKLVFSFLGAFIGVLIACALVILYRKWDKKNKGKAHHRRFVNSFKAGVLPNSGAKWFRLLELEKATNQFSQKNLIGQGAYGVVYKGTLADGTLVAVKQILDLDSKGDEEFSNEVEIISKIRHRNLLSLRGCCVTSDMVKGRRRYLVYDFMSNGSLGDNLFNDFTSQKKLSWPQRKNIILDVAKGLAYLHYGIKPAIYHRDIKASNILLDSEMKAKVADFGLAKQSMEGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGIVILEIMSGRKVLDTSNSYSYLLITDWAWKLAKSGNVQEIFDESIREEGPKGVMERFVRVGILCAHVMVAFRPKMAEALKMLEGDIDIPKLPDRPLPLGHESYKSSLALGNTSSASTSST >OMO50393 pep supercontig:CCACVL1_1.0:contig16196:1181:3133:1 gene:CCACVL1_30466 transcript:OMO50393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MGENGAAKNQIFDLSIDVLPQGGSKCFDDDGRLKRTGTVWTASAHIITAVIGSGVLSLAWATAQLGWIAGPTVMFLFSFVTYYTSTLLAACYRSGDPVNGKRNYTYMDAVRSNLGGFKVKICGWVQYLNLFGVAIGYTIASAISMMAIKRSNCFHASGGKDPCHMNSNPYMIAFGVAEIIFSQIPDFDQLWWLSIVAAVMSFTYSTIGLGLGIAKVAETGKFRGSLTGISVGTVTQTQKIWRSFQALGDIAFAYSYSLILIEIQDTLKAPPSESKTMKNATLISVGVTTLFYMLCGCMGYAAFGDMSPGNLLTGFGFYNPFWLLDIANAAIVIHLVGAYQVYCQPLFAFIEKKAAEKFPDSEFITKDIKIPILGFGSFKLNLFRLVWRTAFVISTTLISMLLPFFNDIVGLLGALGFWPLTVYFPVEMYISQKKIPKWSTRWLCLQILSVACLIITIAAAAGSIAGVVLDLKSYKPFSTGY >OMO50394 pep supercontig:CCACVL1_1.0:contig16196:4810:6292:-1 gene:CCACVL1_30467 transcript:OMO50394 gene_biotype:protein_coding transcript_biotype:protein_coding description:low density lipoprotein-related protein 2-like protein MGEWIREIQAIYGKKNKKGIIVGPLRHQQGKLCKQWWLLVPPIKSGKDTQDDPLLKSLVINYCNNPLKICTTHGLHQPPPLGGGSFLLTTAVQIPPPPTSAFHAQWTISLYY >OMO50397 pep supercontig:CCACVL1_1.0:contig16196:65075:65580:-1 gene:CCACVL1_30470 transcript:OMO50397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWAADDEIGETEKKKEARKRETPTQDAAL >OMP06030 pep supercontig:CCACVL1_1.0:contig05086:10593:10865:-1 gene:CCACVL1_01751 transcript:OMP06030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 LYR protein MAKGLIWATAEDLARNRARVLSLYRQILRSLNSPKLELSLATRLAKKAEARAIFMVGSEERSVHNIEDLMDAAEYSLSLLKQGKIPKHIQ >OMP06028 pep supercontig:CCACVL1_1.0:contig05086:3351:4772:-1 gene:CCACVL1_01749 transcript:OMP06028 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MLPPGYVFDPSDKEIVTFFLPKLIEGNGNLDFLGYFKYLFKVCNVYSTAARPSLLLDFDMNNINDMVPFLKGNQRFFFTKRDPIAQKNVNGKRPRRSLHDDEGINQESGQQGFWKSSTGDKPIFDENNGRQIVVGYVNMLNFYDCSSNKEASSSNEIKRSRKNARKSSYIIYEYKLHKDGDEEAHMFQRWVVCKIKDSRRGEEDQCEAIWLDNLFFSNQYQGNGNNDHLELQPQQTLALSLNNHLEQEEGDDALHQFGAWLDEYLLSSESNNETQTLTVEAEQMMQQQQQEEEYYELQSSSQPFLQLQSQQISPLTLNDEHAGYYKAAGDGNGILVNDPNLMGMETTDDHNRRICAEIEADLGVEFVLDDKTMTWEDIDHMPNSLELEPLPNKVQPQEAPNFTLNHDHSTTSIAEQGGGQGHNTCPADQCDVNWLNNFLCSDEIQTLPIQAHQNDEMMQEEFYQIYSSLQSLL >OMP06027 pep supercontig:CCACVL1_1.0:contig05086:710:2804:-1 gene:CCACVL1_01748 transcript:OMP06027 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MGATINQSQNVVCVTGASGFIASWLVKLLLQHGYTVKATVRDPRDKKKTDHLLALDGAKERLQLFKAELLDEGAFDSIVDGCIGVFHTASPCFHNAKDPQGELIDPAVKGTLNVLRSCAKVPSIKRVIITSSLGAVVFTGKPLADEDIVDETWFSDPDFCEKSKLWYMLSKTLAEKAAWKFAEEHGIDMITIHPGVVCGPLIQPTLNMSCGLILNLIGAEKFPNETLPWIDVRDVANAHILAFENRSACGRYCIAGKPMHSSKLMQILRELYPALNLPEKCDDEIQLINIPCQFSSERVESLGINFTPLELSLKDTVESLKQNNPSLIRV >OMP06029 pep supercontig:CCACVL1_1.0:contig05086:5896:9757:-1 gene:CCACVL1_01750 transcript:OMP06029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTGKVVCVTGASGYIASWLVKFLLQRGYTVKATVRNPSDPKKTEHLLALDGAKERLHLFKAELLDKGAFDSVVDGCEGVFHTASPFYFGVKDPQAEMIDPAVKGTLNVLRSCTKVPSIKRVVVTSSIAAVAFNGRPLGPDVIVDETWFSDPTFCEKSNLWYVLSKTLAEEAAWKYTKENGMDMVSINPGLVLGPILQPTVNTSVEPILKLLNGGETYPNTTFRVIDVRDVANAHVLAFENASAHGRYLLVERGMHCSEVVQTLRKLYPALSLPEKCANEKLGMPVFQVSKERAKSLGVNFTPLEVTLKDAVESLREKNFFNS >OMP06983 pep supercontig:CCACVL1_1.0:contig04737:154:246:-1 gene:CCACVL1_01403 transcript:OMP06983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRERAPRKEERDPTPSENYTVSEPLILE >OMP00987 pep supercontig:CCACVL1_1.0:contig06568:942:1382:1 gene:CCACVL1_03214 transcript:OMP00987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSIFVLFSFFFFLFLQWSNALRATLVAEGEEAKKATLAQVEEGLALFEEEYGKLSKGKPFFGGDQIGYLDIVIGCILDWVQVIEKFNEMKLIDEAKTPGLFQWANSFCSHEAVKDVLPDVEALAQYGLKVRAKFLNAKATATPK >OMP10283 pep supercontig:CCACVL1_1.0:contig02660:674:838:1 gene:CCACVL1_01003 transcript:OMP10283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HVDEVRVVRMGPLRQQPTLRKSTPTPTSSPEPFRLIPYRRLSIYYTCLSAEAIA >OMO72525 pep supercontig:CCACVL1_1.0:contig11435:4502:17644:-1 gene:CCACVL1_17730 transcript:OMO72525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRKEMMKKMIKKVGENDLAPRVKEQIQKCIPDNKVVMGRAKGGLYVGRHIQFGKLDYLGFFNFKKKAKYHYGVVGLEDHQHDCVLKEEGFEGVVGVVEREGQRVLWVRKIRTLISIQHVINPNKIRPNNPEREDGGDGRSCG >OMO72526 pep supercontig:CCACVL1_1.0:contig11435:19492:20466:1 gene:CCACVL1_17731 transcript:OMO72526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALAPPPISLSVFRFACFSLQIQVSVGTLLAFTMVAISVLILRYVPPDEVPLPSSLQESIDSVTLRYSGETLEISTSGDSIKPLLINKNVAVDCPIIEKQEAEAS >OMO88058 pep supercontig:CCACVL1_1.0:contig09112:27319:28599:-1 gene:CCACVL1_08567 transcript:OMO88058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWAIGFCVENLKVSCFSCGLRKGFNYQQNFSLVNIKLKWVKDKALDAVIAGERDLRAACNLVSILSLASNCCLPIYHLSRHRGQLGLPHDLKLSTFLRRYPTIFHESYVFDSGGTRVPCFELTPEALNLYHEELGVIQEGLKDLLDRLCKLLMLTKDRTLPLQTIDQLRWDLGLPYYYSENFIPHYPDLFSLVRLPDDRIGLKLLSWDDALSVSHLEKNAVLRTEELKNNCLAFPIGFTRGFGLKRKCMEWLKEWQKLPYTSPYSDASHLDPRTDVAEKRIVGVFHELLHLTIQKKTERQNVSNLRKPLSLPQKFTKVFERHPGIFYISRMCDTQTVVLREAYDCRQLIQRHPLVDIRERFASMMRQGFLGRSRGLYKKTANVGVEDPLKLAHGRKAGGNGLQSEVESDYDFSEYESDDSIHSSS >OMO88056 pep supercontig:CCACVL1_1.0:contig09112:13000:19606:1 gene:CCACVL1_08565 transcript:OMO88056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVFDQTVRDLKREVNKKVLKVPGVEQKVLDATSNEPWGPHGSLLADIAMATRNYHEYQMIMAVLWKRINDTGKNWRHVYKALTVLEYLVAHGSERVIDDIREHAYQISTLSDFQYIDSSGRDQGSNVRKKSQSLVVLVNDKERIIEVRQKAAANRDKFRTTSTGGMYRPGSGGYGDRYDYGTREDDQNGYGRDREYGYRDDDRYGRYGDSNSRDGERYGRDYEDRYSRDGYRDDDYRGRSRSVDDYPYGTRSRSSDRERAVDDDGGSSRGSGARADDHSQDGRRLERKFSEQNIGAPPSYEEAVSESRSPVHSERDGETSVPAAPRASSPPASNNPNQATSDFGNSASPKNQVEAFDEFDPRGSVSDSLAIVPTTPTTAPAEADAHANSGAVPTFAANQSASNFGNQGFDDPFGDGPFKAIPTSDGVPAQSQTSTSVPSFQSTINQNGETPQPHSVTSDTITDLNFGDSFSPNAYNAPGIASVQPPTSSQFLPQELSVPNQESDILAEILPPGGHADGVASQAAFSVPTSQSAQPGPNIYAQHAQPPANPYGQPGQPSANPYGQPGQPSANPYGQPGQPSANPYGQPGQPTANPYGHANPYGQPAQPSANPYGQPTQPTSNNAYGNFNQQNSNGSFISQTGSHLPVSSQMATQPQILAGQSSAGAPNNSNILGGLLSQPGSNTSMVSQAPPSSTALAIVPQPSRDKFEPKSAVWADTLSRGLVNLNISGPKTNPLADIGVDFDAINRKEKRLEKPAPTAVTSTITMGKAMGSGSGMGRAGASALRAPPNPMMGSGMGMGMGMGMGMGMGGAPVGGMGMGGYGGGMHQQQPMGMGMGMNNMGMGMGMNQGMGMNMGMGQGVQMQTPTGMPGNYNPMMGSGGYSQQPYGGGYR >OMO88057 pep supercontig:CCACVL1_1.0:contig09112:20962:25900:-1 gene:CCACVL1_08566 transcript:OMO88057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate/Phosphoenolpyruvate kinase MNPSAAAVASRDQTLCFFNHPFSQAHSFPLANVKNGSFVTPINTHTRKSKPHTLFTASKRFQAIKACLGERNPPAKALRQILELPGIHQGPACFDGLSAKLVQRAGFQYCFTSGFSISAARLGLPDTGFLSYGEMVDQGQQITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIRAGFAGIILEDQVSPKACGHTQGRKVVSREEAVMRIKAAVDARKESGSDIVIVARTDSRQALSLEESLWRSRAFADAGADVLFIDALASKEEMKAFCEVSPLVPKMANMLEGGGKTPILNPLELEEIGYKLVAYPLSLMGVSIRAMQDALTALKGGRIPPPVSMPSFEEIKDVLGFNDYYEEEKKYATRTYQLSSDTVRSNPYSIRRVQDDSEQRGQSPQDPVVEVITPDIYNNYGADGSRDPFSTIWSRTLRIKITGRDGFEKLDVRIPAGFLDGITNIVPALGGVNIKALLDDAAEELGGKLLLDFKDTMGDRIQVFLE >OMO66066 pep supercontig:CCACVL1_1.0:contig12591:32827:36650:1 gene:CCACVL1_21308 transcript:OMO66066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSLRECVILGRAWSTENRPSRLVGGSAYIALVRLGFKLTDKRLMSARLST >OMO66065 pep supercontig:CCACVL1_1.0:contig12591:30355:31951:1 gene:CCACVL1_21307 transcript:OMO66065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MDLLPLLCLFLFFSISSGEISSQAGINYGQLGDNLPSPKKSVKLIQSLGAKRVKIYDANPHILNALEHTNLQVSIMLPNQLITNVSTSQKLADSWVESNVLPFYANTKIRYLLVGNEVISNPPNETWFNIVPAMKKIKTALKTHGLNKIKVGTSMAMDVLESSFPPSNGTFRSDIAVPIVKPMLQFLSRTKSFYFLDVYPYFAWASDPKNINLDYALFESPTVKYTDPVSNLTYTNLFDQMVDSVVFAMKRLGFADVRIWIAETGWPNAGDIDQIGANIYNAATYNRNVIRKLTAKPTIGTPARPGWVLPSFIFALYNENLKPGPGTERHFGLLYPNGSNIYGIDLTGKTPDSEFEPLPKPTNNEPYKGKIWCVAARGANMSALGSALSYACSQGNKTCDPIQPGKQCFKPNSLYWHASYAFSSYWSQLKATAGATCYFNGLATQTAKDPSFGHCKFPSVTL >OMO66067 pep supercontig:CCACVL1_1.0:contig12591:38445:38695:1 gene:CCACVL1_21309 transcript:OMO66067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARFSVEGVKRSSTRASSETSIDDRN >OMP10879 pep supercontig:CCACVL1_1.0:contig01818:200:319:-1 gene:CCACVL1_00763 transcript:OMP10879 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP GLPPDIHTISVGLSASMGSFILVGGEITKRVAFPYARRQ >OMO99763 pep supercontig:CCACVL1_1.0:contig06815:4459:4521:-1 gene:CCACVL1_03646 transcript:OMO99763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFKETKKGVIPAAVPPGFVI >OMP10196 pep supercontig:CCACVL1_1.0:contig02715:447:536:-1 gene:CCACVL1_01012 transcript:OMP10196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDSGESNPSNDLVDGFSRTLQPPYHSRE >OMP06123 pep supercontig:CCACVL1_1.0:contig05067:4854:6599:1 gene:CCACVL1_01703 transcript:OMP06123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVNSPLTSFLFSSPPPVSLFPVFLPKSLKTAFSHFISLLILLISPLFFSFLILVSLVCLHHPSLVPATRPSFAFHLSDTQEEIEIRNPSSDWRHKSKHKIEIMLDSAQINFPDLEFLNYEQFCLQQSRARVHSIKYLILNARGAYNPDFIFKFAELTFEHQPDIVIVTETRLSGEEDRIARESMTYTGVVSLQAVGYFGGIWFLWK >OMP06124 pep supercontig:CCACVL1_1.0:contig05067:11171:13082:-1 gene:CCACVL1_01704 transcript:OMP06124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTYLFVSLAHQKKNPKPVLAPTTPRGPAQALRDVTNIPSSSSISTPSPIALLGQTPPKSHQLTLGQFITSQPPVPKQRKKKNAKEEGAAEGFQTLEKSPSTPLAAASSSPFPPLPPDPSPSNNLLSPNPTPPSSSPYELPSEVPILSSFVDMDVRGAEPGSDCPPRNCESFPSEMQIELEPTPTTSSGGRCDITDERPIDNGVSAMEGLTVYGSPSPGNREDLWKYMKNKGESSDRAWALGGDFNSIASSDDKSNFNSRDVNRCRKFQEKLYTGAEEGPVNPG >OMP07576 pep supercontig:CCACVL1_1.0:contig04334:638:703:-1 gene:CCACVL1_01279 transcript:OMP07576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVGSGTMVLVEPERPEFRRVS >OMP04086 pep supercontig:CCACVL1_1.0:contig05828:974:1189:1 gene:CCACVL1_02192 transcript:OMP04086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTDTYTKTDDTDTYTKTDDTDETDDTDDTNEPKDEEPPAEVALIRYSEQPDFRRDIIRGSMRVQRRKTVISE >OMO96922 pep supercontig:CCACVL1_1.0:contig07347:48446:50015:1 gene:CCACVL1_04742 transcript:OMO96922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLASVDSYMNLQLGNAEEFIDGQFSGNLGEILIRCNNVLYLRGVPEDEDIEDADKD >OMO96919 pep supercontig:CCACVL1_1.0:contig07347:15613:16122:-1 gene:CCACVL1_04739 transcript:OMO96919 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MNSFESQRQDSLKRRWQPQQVQDRRFPNINTRFVGAIAAAAAAASHHSSSASAPLPFLSTSNANSNNNSTKTQIFPGLDVEDDLVSSVVPPVTVVLEGRSICQRICLHKHASYQSLAKALRQMFVEGTDVVDVVSSDNDLDLSNAVPGHLIAYEDMENDLLLAGDLNWK >OMO96921 pep supercontig:CCACVL1_1.0:contig07347:37407:38981:1 gene:CCACVL1_04741 transcript:OMO96921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILIVLQIIVQGPDEVLLLSLNPEEISSNRFFWSHYIIGYLLDFRIFSVRHLQYLISREWRANEVVSVIGRQGSIYTIFVEDDDDRDRIIRRGPYAFEGAFFPVDYWPTNSIIRTIRPERVPIWVQLWDLPQEYQVISIAQRLASLAREVIEVDWMNIIPWNIRYMRVHIWIDPFKPRISGFMLQLDDGRLVKIIYKYERACKVCLNWGIIGHTTPHCPYDNMETERMLNEQMANIQERRGKRILPMDSGPLNAPHLNVPESSQMVAVRTSQNLSLVDLFCPETLGLEVYLNSEFFITAEINHFNGFPTLPYLNAPLPTLDTTPLLLDMDMQPPSLSLTLVENSSTGTLPDIVANVSQTQSITLEEWIQRREVIDETNHFLPSNQEVAITMQIEADMQLVQPISTEPQD >OMO96918 pep supercontig:CCACVL1_1.0:contig07347:5830:10871:1 gene:CCACVL1_04738 transcript:OMO96918 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IA, variant 3 MQCCGIRFQEYLSFHSKVAYKLLVEQTNHRFEAVPQQILKKKKYTAKAATDSSMAAVAGKRPTVTHVIFDMDGLLLDTEKFYTEVQEIILARFNKTFEWSLKAKMMGMKAIEAARVFVEETGISGSLSAEDFLVEREAMLQKLFPTSELMPGASRLIQHLHAKGIPICLATGSHKRHFELKTQRHGELFKLMHHIVLGDDPEVKKGKPAPDVFLAAARRFEGGPIDSQKCLVFEDAPAGARAAKNAGMYVVMVPDPRLDSSHHAIADQVLSTLLDFNPSEWGLPPFENAESNHAS >OMO96920 pep supercontig:CCACVL1_1.0:contig07347:32666:33883:1 gene:CCACVL1_04740 transcript:OMO96920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKASRPTFLIESGLYECIEEVVKREEVLGHINELEILILVSWESKAFTSIMLMESWSYGSEGKGLFLTHELDLPSFARSRKAEIRWELRASIDFEGVAT >OMO81979 pep supercontig:CCACVL1_1.0:contig10081:4227:10567:-1 gene:CCACVL1_12120 transcript:OMO81979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRKTQKTNVALLAKTGWRLHTRDQSLCTKVFQKKYLKGRNFVHAVKTSGASSTWRGLLQTKECIRRGTRWRLGNGSDIRFWTDWWVGQKPLIEIGQGSISLEDRNVLVSSFIDQNGEWELDSVTALLPASIIEEIRAVPFFVSALEGDRFVWGWDKIGQFSSSSAYGALMNFGLEVEWRRRCSWIWRLPVPERVRFFCWLLFMKSLNTLEMLHRKGIVGDPVCRLCSGDVESIEHVFRLCPFVRGIWECVGQKFGIDTAGVQSFEDWFHLHEQIIAYAEKLTKEVGQVFFVGKRPNDASSCLISWQLLPAGCYLLNSDGSRRHLDNQASARGLVRDASVVVQFLQQNMMNSHPCYTLVRDCLELIKGDWIVEIRHIFREGNRCADCLASLAHEAEKGLTIYNEPPQQLLPLLQDDRTLRALKVSGLHSSMDEEGTEQQWDASQGPMSAPPLGYVGEFGLEAGTRRSLNKASFLMDTKNEDSPCAASFQEAPSSKLSGPEQMGEKQIGPPSEEICNLVPQVELTEQSSNPGAAGSGGTIRDDQGNWIVGYARNIGDATSLQAEFWGLRDGLRLAFTKGIQNLDVNVDASLVINLIENADISIHPLGNIISDCRSLMQNFHNVKISHCYREGNMAADALANKGRMLDEHFVVFNDIPDFIYDFVMADYLGVSFPRLVNIDL >OMO89976 pep supercontig:CCACVL1_1.0:contig08569:25720:30151:1 gene:CCACVL1_07553 transcript:OMO89976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPFSTLSNVGTLSGPRSLSKNQTLSPACGALKLPGKLSISSNISCSSSSFLSGSFRLHPHASSPRNSPVLRPVRASAEVATIQSKVTHKVYFDISIGNPVGKVAGRVVIGLYGDDVPQTAENFRALCTGEKGFGYKGSTFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLTHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGMDIVKLIESQETDRGDRPRKKVVISECGELPVSEA >OMO89508 pep supercontig:CCACVL1_1.0:contig08694:10772:17900:1 gene:CCACVL1_07785 transcript:OMO89508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MAEKETNGKRSQDPSNHDLDLLQGQGTNGKNDEADPLLVQPTPYHTSRELWRAIRALTLAIEKMDDNADRVAIELAQAKAESQELPAPPLHHGVNNEIPMNDVNNAINNGVDNQNLPPMRNGDFKNDLGAENAPPRQINPILPPDEVIVDNLGEMNQVEERNVAYVPPHARVNGANNNGANNNGANNYGANIHVVQNRNGAKNGNGAHHQAAHHHASPPPQVPIAPYQEAMPYQINRDQIVEMIQDIVGPGSRRMGRPTFQAPYPEEYDRLYPFPRGYKIPEFNPSFSGLFGEHSTLEHIARFTIQCGEASSGFHKLRLFLHCITGNAFTWYINLPPNSVRTWEEMERVFHTQFYRTEPEVSVGDLSRLYQRKGESAEDYLARFKKLRNRCHTPLLEAEFVHLAHNGLDLELRKKFEGVDFRDLYEMSTKVARYETLLREEAERRSSSYGTYYQEPNYELGVAEVVKVDHMIKLPLGHVIPPQEEIKDKKYCKYHDSWTHSTNDCTVFQNVVQEKIERGFLKFPEAPKKEMQVDKDPYPASIHMVSINFPKDGRLTKEENAKGVAIEKDGENATTKVADAVTEHADANAPKSKNGAKYLITKVKRYGYVDPEPKPLGFQSTKPLSPKSRALRLQNPRKKVEYPPPIHPMEHLVRPKSSQSNSALRRKLYTPTSHHQDQEAAPTERKAQRLSKPREVEEEVALRKEHTIQNLRPRMVRPTPKAKNGTWQKVQHPKFPSQPIKRNRNTWRRRGLRRRAKERKEMEKAPMEVDESCTNEDITKQEMPHFITSATTIEELVSNCYKPWKAKALIKNAKLDEKALLLNWKEAELDARASALTEVALGHDIPDINYLAREGKIDMETILFYRKLVILAVREPENNTMPNNDGARDDGSNDDEDNGHAPESDLEKRLSDYFARSSLENLELEVESWAPFEVTTIMTIDDIVKKGVEYWKAEILLETAYLKERHANIKKKEVEHLEEAKNLSDERSKIEKNVAHVLQLITRKWEDDLDILLVDLSSFGDVMEDGENLATPKDGTLEANLAKEANLNNEDNTTNENDTMQAEEFNEKSENSIVFGQFTVDLLCKVLILPCTFAAKTQEKVDLNKPLLLGNDNDTIQLPQKEEVAHSGGNIDGAKAIVFTKPEEQATFHIKPLYIKAHVDGIPMNRVLDDNGATVNLLPHSSLRKLGKCTADLIKSNVTVSDFSGAIKETMGILPTQLTISSKTSLSAFFVVDSTSTYNALLGRDWIHSNWCVPSSLHQMFMFWNGAEVEVVQADTKPFKVESHAVDVRFYDGSTRPVRFMGQDKYGRPVPILSTHEIEKETLKEAMENLVRPNAIIPYRSLPKDLAAPVANATYQNQNKNQNEITMDELDHAPMKLDDLKAEVQDPLLEIDLGTDGKHRPVYISQLLAEEDKPRFIALLKEYKDCFAWDYDEMPGLSRELVEHRLSIIDEHRPYQQPPRRIANDVILKVKEEVERLLKAGFIRTARYVEWISNIVPVVKKNGKIRVCVDFRNLNSATPKDEYPMPIADLLVDGAAQHRMLSFMDRHSGYNQIYIAGEDIHKTAFSMSWLLKGQAIADFLADHPCIDLGEEFEDAAKVMEIALAPWILEFDGSSTTDSAGASIIISSPQDACTMMAFHLDFDCTNNQAEYEALIIGLEMLREMKATFIHIKGDSLLVINQLTEEYKCTSPSLLPYYAMAIQLMDEFDDVSIEHVPRHQNDGANVAAQLASGITFQDKIWKKVVHVERRSMPSELIRENVFEVCNIDHHHTDWREPFIQYLNNPSIKVDRRTRTLAVHYTLLADELYRRGEDGVYLRCLDKEEARKVLKDVHQGLCGAHQAGRKMRWLIRRHGFYWPSVLKVRIEFAKGCEECQKYGPVF >OMO53701 pep supercontig:CCACVL1_1.0:contig15168:34150:34224:1 gene:CCACVL1_28427 transcript:OMO53701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRMRAGLGPKDRVIFLPIRKKP >OMO53706 pep supercontig:CCACVL1_1.0:contig15168:76878:85213:1 gene:CCACVL1_28433 transcript:OMO53706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRKSKGVNKKFSYVSEVASSKDGDSSAKKSGQRKRKLSDMLGPQWTKEELERFYEAYRKHGKDWKKVAATVRNRSVEMVEALYSMNRAYLSLPEGTASVVGLIAMMTDHYCVMGGSDSEQESNEGMGSSRKPQKRSRGKLRDQPSKSLEKSFPDLLQFHSATSTYGCMSLLKRRRSDSRPRAVGKRTPRVPISFSHDKNKERYFSAFRQGMRPKTDTADDDVAHEIALALTEASQRGGSPQVSRTPNRKAETPSPILNGERMNADSETISAKVHDSEMDEDDCELSLGSTEADNVDYARNKKYLINKKGTATVEVQQRGKRHYRRKAGVEESVNNHLEDTKEACSGTEEGQKLCDFKGELESDVADTKTSRASLKGPRKRSKKVLFGRVEDTSFDALQTLADLSLMMPETAADTESSVQFKEEKSEVVEKTKPKGNHPVPGAKGTASKTSKQGKLFSHDVHAIPEAKEETSPSKVGIRKRRQKSSPYKLQILKDEADADSHLGESQNIEASDGMKNLMSKGKRSSNVAHPKQGKSLRTPERTSSSTDHGKDSNNPAPSSMQVASSNQVNLPTRVRSKRKMDAVKPVTGKDTKSTDSIVKGKSSVPVTLFHDRAHNLKEKLSNFLSSYQARRWCVFEWFYSTIDYPWFAKREFVEYLDHVGLGHVPRLTRVEWGVLRSSLGKPRRFSEQFLKEEREKLHQYRESVRTHYAELRAGIGEGLPTDLARPLSVGQRVIAVHPKTREIHDGSVLIVDRSRYRIQFDSPELGVEFVMDIDCMPLNPLENLPVSLVRQHAAISKYVENYNEPKMNGQPKESKMEESMKFAQCENLENAISPSRTSPSTFNVGNLMPPVKIDSLSPNVQLKVGPLETVYTQQAVNSQPSALALIQAREADVEALSRLTRALDKKEALVSELRRMNDEVLENQKSGDNSIKDSDSFKKQYAAVLLQLNEVSSALFCLRQRNTYQGTSSVRLLKPMVGGHGCQLTSFDHSMHNLQESVSNVAEIVEGSRMKARSMVDAAMQAVSSLRKGVERIEDAIDVVNNQLSVDDLSLPAPRSSSIPIDSIRSTVTLNDHPSATSHSPDIKLQNLSDQDDPKIPSDLIAHCVATLLMIQKCTERQFPPGEVAQVLDSAVTSLKPYCSQNLPIYAEIQKCMGIIRNQILALVPT >OMO53696 pep supercontig:CCACVL1_1.0:contig15168:9865:10173:-1 gene:CCACVL1_28421 transcript:OMO53696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MDRVAKLASQKAVVIFSKSSCCMCHAIKRLFYEQGVSPAIYELDEDARGKEMEWALMRLGCNPPVPAVFIGGKFVGSANTIMTLQLNGSLKNLLKNAGAIWL >OMO53703 pep supercontig:CCACVL1_1.0:contig15168:48598:52717:1 gene:CCACVL1_28430 transcript:OMO53703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISISISASFFSRPQAQARSNTQKPSPKKNPNPKFKSKRVTKFKSLSLSPPPPTPSASSILGSQATTYTRLPPKEDFDFELEDPSSSDIDEIKLSDSGIAKLAINEDSSEIEDEMLDTDDEDEEEEEELNENLGFEDDAFDGKIEDFYNGDGSLEFEEEGEGEEVYYYDSGGKLVNLSGNEGDDTDLEVKEKGVPAVMRCFDRAKIYVKAGDGGNGVVAFRREKYVPLGGPSGGDGGRGGNVYLEVDGAMNSLLPFRNCVHYRAGRGAHGQGRMMCGRKGEDVVVKVPPGTVVREAGNEDVLLELLHTGQRALLLPGGRGGRGNASFKSGNNKVPKIAENGEEGPEMWLELELKLVADVGIVGAPNAGKSTLLSVISAAQPAIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGHEFLRHTERCSTLVHVVDGSGQQPELEFDAVRLELELFSPEIAEKPYIVAYNKMDLPEAYENWPSFKEKLQSRGIETFCMSAVKGEGTHEVICAAHKLLQKSKISNEGFEGFQDQADLNHVADMVQKQRSSSISEFEIIHDSSINTWHVIGAGLQRFVQMTNWRYVDSEKRFQHVLEACGVNRSLMKLGVKEGDTVIVGEMEMVWHDSADNSGTPNMKKGSTDSIKWPQWK >OMO53697 pep supercontig:CCACVL1_1.0:contig15168:16539:16847:1 gene:CCACVL1_28422 transcript:OMO53697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MERVTKLASEKPVVIFSKSSCCMSHTIKTLFYDFGVNPAVHELDEIPKGREIEQALLRLGCSPSVPAVFIGGELVGGANEVMSLHLNRSLIPMLRRVGALWV >OMO53704 pep supercontig:CCACVL1_1.0:contig15168:58916:59950:1 gene:CCACVL1_28431 transcript:OMO53704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSTSKTLDKPFGYEAVQTQAGFALLQRNTSPSQSGERRGRRKQAEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGTQARTNFIYSDNSTFHSLLTPFDVQAILPTSHQQFFTSSTSQPKQQATTNNIHHSNNAIITTTHSDISHSKIQIPIPTQSNNNNNNSNNQSSSADETPYGSVDDCSSFFFTSDNNHTDSNSGYLACIVPDNCLKPPSTTSSSSNQKSTSHCALPPDHHMEFPGFDEVLNQGFWSTEEQHHHQSWEMINSNELSAMINPPLMVDQEDCMGAFYPYNDNYSTMINPSQATSSLPPFGDVVNLGYSLF >OMO53698 pep supercontig:CCACVL1_1.0:contig15168:19226:23982:1 gene:CCACVL1_28423 transcript:OMO53698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch-type beta propeller MKMFSFSRRRMKIGRVKKVQLSESSHGIKSPIRPPKRSNNTTGEAAVPVGSHSDELDSQCPSTAPEINPSGNSENWMVLSVAGDKPVPRFNHAAAVVGNKMIVVGGESGNGLLDDIQVLNFDNFSWTMASSKLYLSPSSFPLKIPACKGHSLVSWGKKVLLVGGKTDPANDRVSVWAFDTETECWSVLEAKGEIPVARSGHTVVRASSVLILFGGEDAKKKKLNDLHMFDLKSLTWLTLQCTGTRPSPRSNHVATLYDDKTLFVFGGASKSKTLNDLYSLDFETMIWTRIKVRGPSPRAGCCGVLCGTKWYIAGGGSRKKRHAETYIYDIMKSEWSVAIASLPSSITTSKGFSLVLVQHKDKDFLVAFGGCRKEPSNQVEVLIIEKNESSMGRRSTLGKSAGQMQSGKRSSSGGSVSQIINGSSQRSLDSAARQNLASVIEHGSGRRSLSELSFLDQNPVSGNVSLRKQFHNDEEYNTTIKMTKNSEDEQQVIATEPKTNQSDTGIQINPPGTRIISDDSFLFDSESSNPQIEGIANVPIDKDNFVFPEADGKSAALSAPSSIYQFYETRMAALSRKNGILEVQLAAALVSRDTAERNLSSALKSKEEMEKKLSDRMKEMEMLKEKLAGIELAQDEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSMENRAPTPRKPFNV >OMO53699 pep supercontig:CCACVL1_1.0:contig15168:26931:31298:-1 gene:CCACVL1_28425 transcript:OMO53699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MDVPKEQITALLDNALFSSAQLLGSFLVSSSTVNAETSPHLKAENLVLLGDALFREREYRRAIHTYKQALQYHKIIPKQSSTTSRSSLSASNRSSSPNSFNISVINENEVKFKIASCHFALTETKAALVEMEGIPPKARNLQMSLLMAKLYRNSKQNRGAVTCYRECLRQCPYVIEAIVALAEFGASAKDIISLFPQTPNRSGRAQLDHTDSSRWLQRYVEAQCCIASNDYKGGLELFADLLQRFPNNTHILLEMAKIEAIIGKNDEAVMNFEKVRSIDPYVVTYMDEYAMLLKMKSDYSKLNKLVHDLLSIDPTRPEVFVALSVLWERKDERGALSYAEKSIKIDERHIPGYIMKGNLLLSLKRPEAAVMAFRGAQELRADLRSYQGLVHSYLAFSKGKEALLAAREAMKAMPQSAKALKLVGDVYAINSNGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVSLLERYLKDWADDSLHVKLAQVLAATNMLQDSLSHYQAALRINPQNEAAKKGLDRLEKQMKGVDPDAPEEEEENEVEDADGDQEEAELL >OMO53702 pep supercontig:CCACVL1_1.0:contig15168:34598:38337:-1 gene:CCACVL1_28428 transcript:OMO53702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGSSDGESHEAATQRKIPPASSMLWVRNLRRYIGSGAGLGSEALMELETKRILLDIFKEKQQKSAEAGSIPSFYKKKPEEGSISHRVQRLAKYRFLKKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPAAFVQMYCRIAAHKFFFFCDPNRRGKACIKKVLLSNCLQELMELHQETEEEVTDTEQAENWFSLTSAQRICDMFLALDKDMNGTLSKQELKEYADGTLTEIFIERVFDEHVRRGKSGAGNNREMDFESFLDFVLALENKDTPEGLTYLFRCLDLQGRGFLTTADIHSLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPVDPLRITLADLLSCKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPEEE >OMO53705 pep supercontig:CCACVL1_1.0:contig15168:61986:65766:-1 gene:CCACVL1_28432 transcript:OMO53705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MERGPESDPQPEWTAPGPETGLEEPVWRLGLGGGPESYPERPEEADCIYYLRTGFCGYGSRCRFNHPRDRAAVNYPGAAAGEYPERVGQPVCQYFMRTGTCKFGASCKYHHPKQGGSSASPVPLNYYGYPLRPGEKECSYYVKTGQCKFGATCKFHHPAPPAVQVLAPSPAPQVAPVPAPVPPPALYSTVQSPSGPSSQQYGVVMARPPLMPGSYVQGPYGPLLLSPGMVSVPSWNPYQAPGSPGTQPTVGSSSIFGVTPLSPSAPAYTGSYQPVPSGGVPSSSSQKEPSFPERPGQPECQYYMKTGDCKFGSSCRYHHPPEVIAPKADVILSPLGLPLRPGAPPCTHYSQRGVCKFGAACRFDHPMGTLSYSPSASSLADMPVAPYPVGSTIGTLAPSSSSSELRPELISGSSKETAPVIMSSSVSSSSESVGSVFSKGAPVPHSSSQQSSQNTASSTSSGSTEARPST >OMO53700 pep supercontig:CCACVL1_1.0:contig15168:32384:33778:1 gene:CCACVL1_28426 transcript:OMO53700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MESSSSTKPSGKSKTEKFVKRVISPAIIGQTCPICLTNLDPRVSAVLSVCFHAYCLDCISKWSDLKRKCPLCSSTFDSWFYKINLSSGKFLKRQLTAPSEEKPIVPRLQSNFTDHRRLIQRTREELNSINSRRRPLPWRRSFGRPGTVLSHVMAERKLHWRASVYNRRLKAVPLSQGISFQQNVPRNESEKQRILQRIEPWIQRELEAILGDPDTSIILHVVSSLFFSSHGGKYDGSSGQVGVEDSFLAPLKPFLHEWTDMFWHELRCFAESSFTIETYDAVVEYRQLE >OMO88284 pep supercontig:CCACVL1_1.0:contig09054:3885:3950:1 gene:CCACVL1_08486 transcript:OMO88284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADADAEFFAFTEYCLNLFPR >OMO85641 pep supercontig:CCACVL1_1.0:contig09596:28766:34043:-1 gene:CCACVL1_10066 transcript:OMO85641 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MGVYLSTPKTEKFSEDGENDKLRFGLSSMQGWRATMEDAGPHSDFSGPNSGSTACVAIIRNNQLVVANAGDSRCVISRKGQAYNLSKDHKPDLELEKDRILKAGGYIQVGRVNGSLNLARAIGDVEFKQNKSLPAEKQIVTANPDINTVELCDDDEFLVLACDGIWDCMSSQQLVDYVREQLNAETKLSAICEKVFDRCLAPTAGGEGCDNMTMIIVQFKKPIGSGASSERQPPPLEDQMPKTEDTSSTSESGS >OMO85640 pep supercontig:CCACVL1_1.0:contig09596:25099:27643:1 gene:CCACVL1_10065 transcript:OMO85640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINTNGATTSSAAGGDHGGGGSAATPDSSTAKKNSKRPKYSRFTQQELPACKPILTPGLVITTFTIIGIIFIPIGLVSLSASEHVVEIVDRYDEGCIPSAYANNKLGYIQSSLTNKSCTRTLSVPKLMKGPVVIYYQLDNFYQNHRRYVKSRSDQQLRSKAAGSNTITCSPEAETLHDGPIVPCGLIAWSLFNDTYGFYVNNKMLVINKKNIAWDSDKEKKFGSDVYPKNFQSGALIGGAKLDSSIPLSEQEDLIVWMRTAALPNFRKLYGRIEEDLQPNEQITVEIQNNYNTYSFGGKKKLVLSTTSWIGGKNDFLGIAYISVGGLCLFLAVSFILLYILKPRPLGDPSYLSWNRSPSGLSTN >OMO85638 pep supercontig:CCACVL1_1.0:contig09596:11967:17121:1 gene:CCACVL1_10063 transcript:OMO85638 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASTOR/POLLUX/SYM8 ion channel MQSNNGYGESTSTQLPRNPSPKKAERPPVLKKFKTISLDDQRPTPHFPGPLFPAVRRVTSLPPSSSSHNSIDNDASTKHNGGSNVTTNISNIDVNSFSDRDWMYPSFLGPHAARNRVVTVKAASKPPSQGGERLVDGVQGKVVDKKQQRGSPTPTSNEEMKIVASQVSTTKMTQSTSVALSRTRKIRGKKLKRYFFFCLIILSCIFPVKYVIHLRYKVARLEEENINLRRSCSETNDVGNNVDAILQPNNNSSYNFFGNADSRSVALYTVVFTLIMPFVLYKYFDYLPQIKNISKRTKPNKEEVPLKKRVAYMVDVCFSVYPYAKLLALLFATIFLIGFGGLALYAVSDGSLAEALWQSWTFVADSGNHADSVGTGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEQNHILILGWSDKLGSLLKQLAIANKSVGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLATDENADQSDARALRVVLSLTGVKERLRGHVVVEMSDLDNEPLVKLVGGDLIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENDEFYIKRWPQLDGMLFEDVLISFPDAVPCGVKVAADGGKIIINPDDNYVLQEGDEVLVIAEDDDTYAPGPLPEVHRAVFPKLPDLPKYPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKERERKLVDGGLDISGLQNIKLVHREGNAVIRRHLESLPLETFDSILILADESLEDSVVHSDSRSLATLLLIRDIQSKRLPYKDTKSTSLRLAGFSHSSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLFDQEEVCFYDIMIRGRQRQEIVIGYRLAYSERAVINPPKKSEPRKWSLDDVFVVISSGS >OMO85642 pep supercontig:CCACVL1_1.0:contig09596:38300:38362:1 gene:CCACVL1_10067 transcript:OMO85642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERLTHPRNRSEAIIKEQS >OMO85639 pep supercontig:CCACVL1_1.0:contig09596:22956:23189:1 gene:CCACVL1_10064 transcript:OMO85639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKYCSKGSSCGDTLREPMKLEAEEVKRKGMGGNHDGNSWVPHDRTGIYYPKGQEKIMADVPAEAGKDAHITWFTYE >OMO62914 pep supercontig:CCACVL1_1.0:contig13109:26708:26794:1 gene:CCACVL1_22578 transcript:OMO62914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKWTLMEEEKVIGPIREDKSKGRGPK >OMO98571 pep supercontig:CCACVL1_1.0:contig07091:1082:5709:-1 gene:CCACVL1_04190 transcript:OMO98571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTGLTFKPLSSSSSATQVPSSDQNPGSEVTNDVSIPKVQVFTRKKRVRKTVDVVKENTTAETKEHSQCSLPDIEEFAYKKVDRPALSGKSKSTFGKLNMGNDIASSVAMEGKAPPNWEKVLEGIRKMRSSEDAPVDTMGCEKAGSVLPPKERRFAVLISSLLSSQTKDHVTHGAIERLTQNHLLTPDAMDKADEATLKGLIYPVGFYTRKATNMKKIAKLCLMKYDGDIPSSLEELLLLPGIGPKMAHLVMNIAWNDVQGICVDTHVHRISNRLGWVSRPGTKQKTLYPEETRVALQQWLPKEEWVPINPLLVGFGQTICTPLRPRCEICSITEFCPSAFKETSSPSSK >OMO51968 pep supercontig:CCACVL1_1.0:contig15655:17395:17463:-1 gene:CCACVL1_29471 transcript:OMO51968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TDENERKGGNVGEPCWKEKEKR >OMO52070 pep supercontig:CCACVL1_1.0:contig15629:1888:1980:1 gene:CCACVL1_29374 transcript:OMO52070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLQLRPPVDLNDRHPFLSTSPVTLLHLLTSS >OMO99837 pep supercontig:CCACVL1_1.0:contig06791:8187:13087:-1 gene:CCACVL1_03588 transcript:OMO99837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGGTRPTRQPEQNRDRKLKTLGEIRKRPCSRRQTCHVSFRGLTL >OMO99839 pep supercontig:CCACVL1_1.0:contig06791:34154:49996:1 gene:CCACVL1_03590 transcript:OMO99839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVAVRARPLSPEDAKTSPWRISANSIFIPNNSTKFEFDQIFSEDCKTGEVYEARTKELVAAAVRGFNGTVFAYGQTNSGKTHTMRGSATEPGVIPLAVHDLFDILQRDVDREFLLRMSYMEIYNEEINDLLAPEHRKLQIHESIERGIYVAGLREEIVASPQQVLDLMEFGESHRHIGETNMNLHSSRSHTIFRMIIESRDRTEDGDGDAVSSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGSIFSGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHADETKSSLQFASRALRVTNCAHVNEILTDAALLKRQKKEIEELRAKLQGSRSEYLEEEILNLRNTLLQSELERERIALELEEEKKAQVERERVLQEQAKKIKNLSSMVLYSSRDETRDQLKRGKRRDTWCPGNLMWEALKEDYVSVQSNSSAIKPAKPQRDMGPLLSFEELVNETGIADDSCKQDEDCKASALEGCTLPDPCALLHVTNRRKVLPRKKSSSVEDNELLELQTEYEDLLLKFETQRTMSEIQIDCLTRKLAETNTLNNNMKVNESNNRSFLHANKTNYGDKNVGLREYEAILVIKQLQEKIKILEAEKSSSQQNLDSLVELATEQNICAREQFDELRHELLNAREEARVARERLALHEAGGMMSGDCDFAINLSKEVEDLIFESQESKEVVQNLSSLVDEAFQSFSAIVKEFLDLKDMMCQSSVQQKIFITNTEKLHCSMLQRTLEFENEKLVLQNQSVDLQKKVKELMEYAQNHEASLTALAEEHDLETSEYLSHIQNLEKELSYISSGSLAREKESLRKDLEKTKVKLKDTESKLKNTIQEKTKLEGEKAFAEREIKRLHGQKALLERDISKRDSLAGKRRDSVFDRNSKMTDPKKAKALEQTMQEDYKKLEVLAFEMETTIASLEEELAAANRDKEEAISRNEDLAFELQALTEKLNVSSSEMNALREELSCLRLSMEQSNSSQQEMESSIKRLHAEKEELAMQLTNSLLEMEEERAIQCAREKASAEAIEEKEKLYNLEITSLSETLSEVMKDLESCRNECDDLRGKLTYFNENEELTKICSVQKTSEIDQIENDIKDADCESKKTQQILKSNFDMLSLELQHAQEELSIIKRERDDLSAKIGQLVTGSDLSEEVQKLKNQLLDMSRERDKLLTQIEEQHRCLDELEGLRKNSNDALMEAKVEVKELTNRLSCMEVKMHSDQLKNGKEMAKHRMRLRGTQAQLDAIRYRYKQALDETDTMNRKFEEASTKLKERLASKGLESTRHVASSRERVNSYFLKSIVVVNQNQKSEKSQAAPAGVRRKALSDITNSGKPNPRLQERRKKKKIHQSKKPLAILAEAEAEGSPEPIDCHNHDECIKAQQRSLMIRTSEFLDDFSNASAEPMSPPCLTPPPSPKPLLWDMIPEHLSPLKIESLPSPEPLYHEVIFKLLQD >OMO99838 pep supercontig:CCACVL1_1.0:contig06791:15621:16013:-1 gene:CCACVL1_03589 transcript:OMO99838 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative r2r3-myb transcription factor MESSGILMSQTQTEPVATADGTKVITSQETDDNYYQVPQGEKAAHEMVLMCPSFEDQCLPVMSQEAAMSWLDQYLQADELGLWGGLWNWNLQQDDPHCNKIAMQTQPKAAYSFGGDANNNNNLYNGGYIF >OMO75628 pep supercontig:CCACVL1_1.0:contig11015:11584:15108:1 gene:CCACVL1_16114 transcript:OMO75628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAEEGLWVAILVGGVVGFFLVVVFNHFLPLFFKGGLVPKGTFGWPLLGETFSFLKPHSSNSVGAFLQDHCSRYGKVFKSHLFFSPSVVSCDPELNYFILQNEGKLFQCSYPKPIHGILGNFSMLVAVGDTHKRLRNVALNLVTITKSKPQFLNDIENIAIQILDSWNHKPKVFFCEEARKFTFNVIVKQVLGLTPEEPETSKILEDFLTFMKGLISLPLYIPGTPYARAVQARSRISSTVKAIIEERRRNPDESSKKKSDFLQILLSVDTLSEDEKVSFVLDSLLGGYETTSLLMSMAVHFLSHSPTALQQLKEEHQKIRSMKQKKDDHLDWEDYRKMDFTQNVINEALRYGNVVKFVHRKALKDVKFKGYYIPSGWKVLPVFTAIHLDPSLHANAAEFQPWRWESQDPTCKKFTPFGGGSRCCPGSDLAKVEVAFFLHHLVQNFRWKTEDEDQPMAYPYVEFQRGLVLNVEPCSETTICRASTSVKNEG >OMO75629 pep supercontig:CCACVL1_1.0:contig11015:15680:21928:-1 gene:CCACVL1_16115 transcript:OMO75629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSNVTLCFVSFIVFSLLQSPTFAAKNSYVVYLGGHSHGHEPEMADLDAVIESHYEFLGSFLGSRDYAQEAIFYSYTRHINGFAANLEDEVAAKIARHPKVVSLFLNKGRKLHTTRSWEFLGLEENGVVPMNSVWNKARYGEDTIIGNLDTGVWPESKSFSDDGYGPIPSKWKGICQNDKDAGFHCNRKLIGARYFNKGYASIVGKLNSSFDTPRDKEGHGTHTLSTAGGNLVRKASVFGFGKGTAKGGSPRARVAAYKVCWPPVAGDECFDADILAAFDVAIHDGVDVLSVSLGGDPTAFFNDSVAIGSFHAIKHGIVVVCSAGNSGPADGTVSNVAPWQITVGASTMDREFPSFVVLGNKIRYKGQSLSPQALPNKFYPLINSADARAAGAPIKNATLCQAGALDPKKVTGKILVCLRGQNARVDKGQQAALAGAVGMILANDILTGNEIIADAHVLPASHINYSDGLAVFSYVNLTKNPTAYITPVTTKLGTKPAPFMAAFSSKGPNTITPEILKPDITAPGVSVIAAYTEAQGPTNADFDKRRVQFNSVSGTSMSCPHVSGIVGLLKTLYPKWSPAAIKSAIMTTATTWDNTNEPILNASNIKAGPFSYGAGHVQPTLAVDPGLVYDLAATDYLKFLCTLGYNETQISVFSQNSYKCPKSISLANFNYPSITIPNLSGSITVTRTVKNVGSPGTYRAEVKKPTGVSVYVKPKVLKFKKVGEKKKFKVTLKVKKASATKEYVFGQLIWSDTIHYVKSPIVVKSV >OMO83278 pep supercontig:CCACVL1_1.0:contig09913:880:3276:1 gene:CCACVL1_11459 transcript:OMO83278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MGAKGDFTVKVGKKEVVAAMLPLQQHWLPLSNLDLLLPPVDVGVFFCYKKPAAATLSFGSMVSVLKKALAQALVSYYAFAGEVVPNSVGEPELLCNNRGVDFVEAYADVELQNLDLHNPDESIEGKLVPKKKQGVLSVQATELSCGGLVVSCTFDHRVADAYSANMFLVSWAEMGRSKSNSSLSFVPSFRRSLLNPRRPGNIDPSLDEMYVPISSLPPPKEHNPTPEDHLISKIYYITAEQLHELQSRACSNGHKRTKLEAFSAFLWKMVALLAAKDNFQATKMGIVVDGRVRLGEGDEEKASLMKSYFGNVLSIPFGGQRVNELIEKPLSWVASQVHDFLDQAVTKEHFLGLIDWVEAHRPEPALAKIYSNGSDDGPAFVVSSGQRFPVSKVNFGWGCPVFGSYHFPWGGDAGYVMPMPSPAKEGDWVVYMHLFKRQLDLIETHASHVFQPLTFDYLNSSN >OMO83280 pep supercontig:CCACVL1_1.0:contig09913:30033:31808:-1 gene:CCACVL1_11461 transcript:OMO83280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQEDSSSRQNKKCIGRFVFEAGIDFKVVNSLSFQRMINDIHCPGQTRYNIPSCDELKGWILKDEVREMQEYVKKIRQSWASTGCSILLDGWIDEKGRNFVTFIVDCPQGPIYLHSSDISTSVDDVNALQLCLDRVIEEVGAENVVQMIAFSTAGWAGAVGKQFMDRCKTVLWTVDASHCIELMLDKIAQMDEIRGTLETAKSISKFIHGNAIVLNLFRGYSDGHDLIKPTKVRSAMPFVTLENIISEKNNLKAMFASSEWNTSTWASRAEGKRVAEMVGDPSFWKGAGMVVKIALPLINVLSLINGDEQMGFIYETMDQMKETIKDGLNSKKSQYMPFWKVIDEIWDGHLHSALHAAGYFFNPKFFYSTDFQSDNEVTMGLLYCVVRMIQNKSAQDNILQQLEAYRYTKGAFQEGSTIQKRTRYSPTMWWSNYGGEYPELQRLAKRILGQTCVGASKYRLNRSLAEKLLTKGRSPIEQQLLSDLTFVHYNLQLQQQNSELRKGYNIVADEIDPMDEWIVDDSPQIVSQNVDPARNELEGTGSTAVHGEERLSFEVKQEPT >OMO83277 pep supercontig:CCACVL1_1.0:contig09913:233:295:1 gene:CCACVL1_11458 transcript:OMO83277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HRVKSPSVGVVASSNQKQTN >OMO83279 pep supercontig:CCACVL1_1.0:contig09913:20087:28830:-1 gene:CCACVL1_11460 transcript:OMO83279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNGLISKSSHSKASYCNSGSQLDTIDEVQSNSSSSEGRSYNEETGTVESCFKSSDSYPYRCQLEQDVYKLQQKLQEEMQLHSILENAIEKNATELSSPSCLPHQAQELLSHIAVLEVTISKLEKEMVSLHFQLSQERNERRLAEYRLRHSSSPSISPSSSCLKHSNSELHRSSEDNLCQERTDQPSESTGESSSGESVRENAAESLLNLDGKKMSANTDGKSCQPLPFEKISRGIPPKGLWDHPNQLSEEMVRCMRNIFISLADSATPSKSSAFESHSSTLSPRGHLSNSSWWSSSERSMIPSWVQSPQIDIQSNSEVLAAENSFDPYRVRGKLSWAEIGNYSLATEVSWMSVGKKQLEYASGALRRFRTLVEQLAKVNPIHLSSNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTVGGHSFSAAAIEYVILKMKPPLHRPQIALLLALHKLKVSDEQRKSAIDAYEPLVSFALSSGMYSSPAVRIYTPKNVREELEEAQRDFIRASVGVSSKGKLLVPKLLHCFAKGFVDDSNLAVWISHYLPPHQAAFVEQCISQRRQSLLGSRNCGILPFDSRFRYLFLPDKISVQ >OMO90391 pep supercontig:CCACVL1_1.0:contig08480:8781:9185:-1 gene:CCACVL1_07377 transcript:OMO90391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDECHVENEDHGEKSEAITSLQRKSYSFSCLENDDEDMEKMDMLWEDFNEELKRVSSLRSGSRKLESSSSSKSAELYYVRGSDQFKVSKAAGGGICSGNGAIICRHQRRQRYSMLTVMKSLKKIFSLRNLV >OMO90392 pep supercontig:CCACVL1_1.0:contig08480:12371:16507:1 gene:CCACVL1_07378 transcript:OMO90392 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MEKPASPAATSGKPLTTFVQTDSNTFREVVQRLTGPSEDAVQEGAATKVPGLRSPHFAYIDIRAFPTRDQKLKYPFVQIASDNHPELRT >OMO90394 pep supercontig:CCACVL1_1.0:contig08480:23462:26210:-1 gene:CCACVL1_07380 transcript:OMO90394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKGGGNPFVAAGINSSSKGKDVSGASSPKVEQLSQGVSDVSLSSAQDDGEWEVIQRKPKNRAGSSAARPWGPQNSSPRPRGGMRGGAGSGRASGNAWAMQNTDSRLTTGRGNVRPQTFNHVPPQPVIRPPLEHGWNWQSRAGSNPSWGLQDGHGKDNVNTEVEKENDIDDADAEDDSDDDPIDDSDDELLTDDFDSDTSQKSHETRKKDRWFKKFFDSLDKLSIEEINNPDRQWHCPACQGGPGAIDWYRGLQPLVTHAKTKGSKRVKLHRELAELLDEELRRRGTSVIPAGEAFGKWKGLKAEEKDHEIVWPPMVIIMNTRLEQDENDKWIGMGNQELLEYFSSYAAVKARHSYGPQGHRGISLLIFESTARGYLEAERLHKHFADQGTDREAWERRRVLFHPGGKRQLYGYMAVKEDLDIFNQHSQGKSRLKFEMRSYQEMVVKQIRQMSEDNQQLIFYKNKVAKEQRLKSALEESFGIVSEKLRKTMEENRIVRQRTLMQHAENKEEMDTQEEFFKEQIQKIHDARDEKEEKFEKLQQEEREKVKQSSPNPSNTEEYRRRADDIAKFIKFQDEEMETFAVERDRLIKVHEEKIAAMRRRHWEEEFGLEKEFDAELNQLMEKYTPHSSKVTTTTTTNDA >OMO90390 pep supercontig:CCACVL1_1.0:contig08480:992:6302:-1 gene:CCACVL1_07376 transcript:OMO90390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEAVKRYLENSANNDGDEYEQPLKFFERLIMHGVRVDLIETGRIVCSFKVPPRLLNGSNYLHSGAIATLVDMVTSAAVLTVGARFVGTSVEIDVSFMDAAYADEEIEMEARALHVGKTFAVISIEFRKKSTGKIIAQGRHTKYLVLPTAAAAAAAAATATATPMPQPSMGMPARFFENFIMQGLHIELIEKGRVLCSMKVPRRLLNAGNFLHGGATASLVDIVGSAVIYTYGVTSSGVSVEINVSYLDSAYVDEEIEIDAKALRVGKTVAVVSVELRKKKTGKIIAQGRHTKYLPVLSKM >OMO90389 pep supercontig:CCACVL1_1.0:contig08480:320:463:1 gene:CCACVL1_07375 transcript:OMO90389 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-dependent protein kinase DGQIDYGEFAAMMRKGNGGIGRRTMRRTINLGDAFGVTANGSKELKD >OMO90393 pep supercontig:CCACVL1_1.0:contig08480:17610:22777:1 gene:CCACVL1_07379 transcript:OMO90393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleft lip and palate transmembrane 1 MAPPAVAAAGGGGGGGGQQQGQQQQQGFGLSGIIRIVFFWFLASKLFSSKKPADPSQMISNLFQKGEPLDMWLYVSENEKFNDFGNEAALIWHETNIPYVTWGPESTRTLSLKYHPSEALKNNGSFYAHVFFARSGYPADPNDPEYQSQYAFGRTHPIVAYLPKRSDKKKSLLGDTKDAERVESVATVTDDAEADSKDDGPAEWISYWKPNITINLVEDFTRYGHNAVPPNIAPYINIEPSTGNYYPTIFFNEFWLLRDKLIAINESVTELPLNLEVAPISMMKWQLFLQIDQSFQIHRSYGSMLEGEADELKRVFLEGNPYLLAITMVVSMLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVNFLCQFIVFLYLLDNETSWMILASSGVGCCIEFWKIGKAMIIEVDRSGKIPMLRFRNRESYASNKTKEYDDIAMKYLSYVLFLLVAGFSVYSLMYERHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFLIYLYQRWVYPVDKKRVNEFGFGGEDDQTSTDVATTSGSTTTDATTTTNEDDKKKN >OMO86263 pep supercontig:CCACVL1_1.0:contig09510:27049:30846:-1 gene:CCACVL1_09660 transcript:OMO86263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate-energised proton pump MSALSEGLTQILIPVAALIGIGFALFQWFLVSRVKVASHDSSNGYKEKLIETDEEEEEEGIDHLEVSMKCAEIQHAISVGATSFLFTEYKYLGIFMGVFGIIIFLFLGSVKGFSTKSEPCTYNLGNTCKPALANALFSTIAFLLGALTSVLSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYISINLFKLYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGIGHDFTAMSYPLIISSMGIVVCLITTLFATDLFEIKKVSEIEPSLKRQLLISTVLMTAGIAVVSFFALPSEFTLYNFGTEKEVKNWHLFFCVAIGLWAGLVIGYTTEYYTSNAYSPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAIAVAIYVSFSLAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHKIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVSRAGIKAVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGRAKPDYANCVKISTDASLREMIPPGALVMLTPLIAGTFFGVETLAGVLAGSLVSGVQVAISASNTGGAWDNAKKYIEAGVSEHAKALGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLIFKWL >OMO86285 pep supercontig:CCACVL1_1.0:contig09510:180332:180967:1 gene:CCACVL1_09682 transcript:OMO86285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLPNLDPGPDTSPEFKRKKRRKTDQTPSSFDRNQATQRIKRWRTEREQQIYSTKLIEALRRSRSRTSSSSSSSAPGKQVRETADRVLAFSARGTTRWSRAILAARLGGGATLLRKHKKAKVAANRRLRKPEMINREKRKLPAVERKLKTLGRLVPGCRKLSFSNILEETSDYIAALEMQVRAMTALTELLAGGSVQPPADRLASNVNS >OMO86282 pep supercontig:CCACVL1_1.0:contig09510:144724:154459:-1 gene:CCACVL1_09679 transcript:OMO86282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MQNLASFVVILLALCLKISITIDCNGGFKFASTIVVDKFGHGNFQTVQSAIDSIHPNNNQWIKLQIKPGHYKEKVNISRDKPCIFLEGQDPSVTIITFDAHESTSLSATFTFATDNIVAKGITFKNSFNHPWLLKRLTSNKVSVPGVTQAVAARILGDKSAFFGCRFLDLQDTLWSARGRHYFSSCYIEGAIDFIFGSGQSFFEDCSINVTGGAFESQIRKGYITAQYRESSNDPNGFVFKGGKIFGTLKHYLGRAWGPYSRVIFHHTTLDSGVVPLGWFAWKYIGKEEKVNISRDKPCIFLEGQDPSATIITFDAHESKNRTTFTSSADNIVAKGITFKNSFNHPLLPKRLSSNKVLIPGVTQAIAATIFGDKSAFFGCRFLGLQDTLYSARGRHYFSSCHIEGGADFIFGNGQSFFEDCSINVTAGTFAPQMRLGYITAQGRDSPNDPSGFVFKGGKIFGTLKHYLGRAWGPYSRVIFHHTILSTEVVPLGWFAWDYLGKEREASLSRQAASRIRYRFSSSRWLNLNLNSPYTFPPHPHHSFKSRCSITDVQFSHVTTENEVPEDISATEIACCCATATPIVQLKTDILETEPLSILTGDTYVDSLLTTLPVLSEEEQKALAATPAHPEGLYAFYASCLAGNLVEQLWNFAWPSAIALLHPSLLPVAVMGFFTKLVIIVGGPLVGKLMDNSPRVPSHIFLNVVQAAAQLLSAAMIIHAHTVSPASASSVLLRPWFAVLVLAGAVERLSGVALGVAMERDWVVLLAGINRPIALAQANAVLNRIDLLCEIAGTSLFGILLSKYDPVTCLKFAAGLMMWSLPVMISLTWLTNKLSTGVLDRAKCSQTGSFDDGPLPDAANFVNTGLEAIKLGWREYIQQPVLPASLAYVLLFFNVVLTPGSLMTAFLTQRGLNPSVIGGFSGLCAFMGVAATFLSATLVRRFGILKAGAVGLICQASLLTAAVAVYNSGSLSQKSPLLFFLSLIVLSRLGHMSYDLIGAQILQTGIPSSKANLIGTTEISVASLAESLMLGVVIIANDVSHFGFLAMLSLLSVVGAAWMFCRWLSNITEEQRSLFSFDPQFQL >OMO86292 pep supercontig:CCACVL1_1.0:contig09510:231518:235544:1 gene:CCACVL1_09689 transcript:OMO86292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein MISVMNNDAEFEKRSDKIVEEARVSADDAIDSSNVENRLSSGVSNEEARVSSMEVDSTDFRVSEDERSEEVRESDVDGVDKGIGAESRVFDEKDQIDERNYYRVNDDENGRVGNVKDSGSEYKSLLSEFDDYVANDRVGGGTSRALSYGFEVGDMVWGKVKSHPWWPGHIFNEAFASPSVRRTRREGHVLVAFFGDSSYGWFDPAELVPFDSHFMEKSQQTNSRTFVKAVEEAMDEASRRRGLGLVCKCRNPYNFRPTNVQGYFAVDVPDYEPNAVYSVNQIRTARNNFKPSEILAFVKKMASAPGDCDQKTIEFFKNKATVSSLRKVVFEEFDETYAQAFGVQPSRRSDAPVDKSNHPVKQPPRAPLSGPLVIAEALGGGKSSKKNTKVKDNLKKDRYLFKRRDEASDLQTPEISQGQSSSVTQLTYRDGSPTFLAGDYVLQKRAPTSQIPLKQEQTVFMSRDGPNSIGDLSGNEVPTVNQTSAHIAAVEGKPSLDKNDGASASSMQEGSAMLDVKPEEGGKLSQSYEGVLKSDTDFTAKLEGGQGSDQVQDGGPGGHPAVMDVKRSGMSTEGGMKKAKKRPSADTGAENSALGEKKKKKKKKEAGLEAYSDQPQKPLVFGKGGAKSAQIVLGPREESQVNFQKKDVGLANSSLNTGNSGLDLKQLLSDLHALALDPFHGVERNSPAIIRHFFLRFRSLVYQKSLPSEMEPVEVRASKPLPDNLPNENVRDSTASKAMRPLVRPDDPTKSGRKRLPSDRQEEIAAKRLKKISQLKSLAAEKKATLRTMEAPKIEGKEQPNAGPPARPVRKPDSGRKMEPLQRAIEPTMLVMKFPPQVSLPSVPELKARFGRFGSLDQSAIRVFWKSSTCRVVFRYKTDAQAAYRYANGNNSLFGNVNVRYHLRSVEAPTAEALDFDKARGDDTAASEITRVKEPMAERSAPLLAHQPLPQPAVQLKSCLKKPTSDEAGQATSGNGGRGTARVKFVLGGEETSRGEQLMVGNRNNFNNNASFADGIGGAAPSSVAMEFNSKNFQKVIPPSSSSSTIHPLPQFGKTPTNNLHHTEVGPRNSHNLNTQTIPPPPQPQPQPQPQPQPPSTTSSIDISQQMLSLLTRCNDVVTNVTGLLGYVPYHPL >OMO86265 pep supercontig:CCACVL1_1.0:contig09510:36027:38978:1 gene:CCACVL1_09662 transcript:OMO86265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor class I/class II MPKKQEQKLMLLKAVDGIVGSNWTILEEGEGDWKSHAAAIAQSIQVIKKRLQWKKLMVRLDLLSAELNKSDLWNDPVHASKISREHGSLMGKMKEVKAFEQELLEHIDMIKLAKEENDSELESESMEALLRMRRDSKDKELEALLAGEHDSCSCYIEVQAGAGGTESMDWAAMVMQMYKSWAQRRGYRVTIVDEMPGEIAGIKRATIKVDGEYAFGYAKAEIGVHRLVRISPFDSGKRRHTSFAAVAVIPILGDGSTHVQINESDLRIERFRSGGAGGQHANTTDSAVRIVHIPTGITATCQNERSQHQNKASAMAVLQSRLDQLEMARQAQMNSQHTQSLTEISWGNQIRTYVLHPYRMVKDLRTNYEVSDPDSVLEGDLDSFILSYLSASLDNDEDEQ >OMO86270 pep supercontig:CCACVL1_1.0:contig09510:59617:68370:-1 gene:CCACVL1_09667 transcript:OMO86270 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MELVDPERNTNFVDHYLDVPVDLSKVLFVCTANVVDNIPKSLLDRMEIIAIAGYITDEKMHIARHYLEKTARQACGVKPEQVEVTDAALLALIENYCREAGVQNLQKHIQKIYRKIALRLVRQGSSREAATYNKKVLVDTSNLSDYVGKPVLSGARIYDQTPVGVVMGLAWTAMGGSTWYIETTQVEQGEGKGALNVTGQLGDVMKESAQIAHTLARSILQEKEADNPFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSLAMNKPVRKDLAMTGEVTLTGRILPIGGVKEKTLAARRSKVKTLILPFGDKRDFDELPANVKQGLDVHFVDHYTKFRERVEANIEKYPPHVLQVIQGELKKLDQLEASSSEFNGTCNYLDWLTLLPWGNYSEEKFDVIGAQKILDEDHYGMTDVKERILEFIAVAKLRGTSQSKIICLSGLPGVDKISIARSIARALNREFYQFSVGGLYDVAEIKGKRQTCTGAMPGKMVQCLKNVGTLNPLVLIDEIDKLGKSQAGNPASTVMDLLDPDRNVNFVDHYLDVPIDLSKVLFVCTANVVHNIPKSLLDRMEIITIAGYITDEKMHIARHYLEKTAQEACGVKPEQVKVTDAALLALIENYCRESGVLKLRKQIVTIYRKIALRLVRQGSSNEAAIEKVLVDSSNLCDFVGKPVLSGESIYDETPVGVAMGLSGGAGSISYFETTQVEQGKGKGALHVTGKLSDVTKESAQIAHTLARSILKEKEADNPFFANSKLHLHAPAGATPKNGPSAGCTMVTSMLSLAMNKPVRKDLAMTGEVTLAGRILPIGGVKEKTLVARRSKVKTLILPFGNRRDFDELPASVKQGLDVHFVDHYSQIFKLAFEYDENNTPN >OMO86284 pep supercontig:CCACVL1_1.0:contig09510:162747:173331:1 gene:CCACVL1_09681 transcript:OMO86284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKLLSTPTSLSHPTKLFFFSSPKPISRTSYFFQWRSKGRVLTSKMVVKACVKVEEKNVKETSKQEWGKVSAVLFDMDGVLCNSENPSRKAAVDLFAEMGVQVTVEDFVPFTGMGEANFLGGVAKVKGVKDFETEAAKKRFFEIYLDKYAKPNSGIGFPGALELITQCKNKGLKVAVASSADRIKVDANLAAAGLPISMFDAIVSADAFENLKPAPDIFLAAAKLLDVPTDECVVIEDALAGVQAAKAAKMRCIAVTTSLTEEALNDAGPSFIRKNIGNISLDDILSGGSGGYNERVQDSQFLQVPEQNPSTVLNERTDKGSIPGVDAPSDGVSSLAGWQGSRREVLRYGSLAISLSCLYFAATNWKAMQYASPKAIWNMLFGAKSPYLEPNEGKSRSTRVQQFVNYISDLESGGNAPIVPEFPAKLDWLNSLPLQFRRELQGKVVLLDFWTYCCINCMHVLPDLDFLEKKYKDKPFTVVGVHSAKFDNEKDLEAIRNAVLRYGITHPVVNDGDMYLWRELGINSWPTFALIGPNGKLIAQIAGEGRRKDLDYLVEAALLFYGQKKLLDNTPIPLNLEKDNDPRLLTSPLKFPGKLAIDVLNNRLFISDSNHNRIVVTDLDGNFIVQIGSTGEEGLRDGSFDDATFNRPQGMAYNAKRNILYVADTENHALREVDFVSEKVQTLAGNGTKGSDYTGGQTGASQLLNSPWDVCFDPVNEKVYIAMAGQHQIWEHNTQDGVTRAFSGNGYERNLNGSSPTTTSFAQPSGISLSTDMTEAYIADSESSSIRALDLKTGGSRLLAGGDPVFSENLFRFGDHDGVGSDVLLQHPLGVLCAKDGQIYIADSYNHKIKKLDPVSKRVSTLAGTGKAGFKDGKALSSQLSEPSGIIEAENGRLFIADTNNSVIRYLDLNKENAELLTLELKGVQPPTPKSKSPRRLRRRPSADTQTIVVNGGSSSEGNLYLKVSVPEGYHFSKEAQSKFTVDIEPENTVTIDPLDGNISPEGTTTLHFRRSSPSAFTGRINCKVYYCKEDEVCLYQSLLFEVPFQEEVPESKPAEVKLVYDVKPKTSTSSLQLVAP >OMO86267 pep supercontig:CCACVL1_1.0:contig09510:46150:47319:1 gene:CCACVL1_09664 transcript:OMO86267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSVITPEDVLESLMNDGTIDALRLKIINQLKANEELKNTTIKMAEQSKVLNTPGAEKQTKRELFDALRQELETPVLERASKSVWELILDNNGLGKEISETVERVFCKLSGREPPLFPQPNVESQPAKEAEGEKGKSKEKEVQNGKENSNSKSKKKRSFNEMNAEGSATEVAGKSNDTAAAPDDAT >OMO86268 pep supercontig:CCACVL1_1.0:contig09510:47917:49122:1 gene:CCACVL1_09665 transcript:OMO86268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLLSCFVNGNEGQRDHVEHILPDKILIKVLSKLSAEQVWKCRMVCKRWFRLISDPYFANKLLYLERSPSIVLGQYLLGYKPNAFFYLDNNNNGRNRNKKTELRKWFAHYHQPTLVASCNGLLLFESSRNYFIGNPITKEIVNLVKPIKSFVRVCGFYFHSSTKEYKLLYVQCEGVIDYYSVISIGSVRGDLRDYNHDVPSPEGSKPVAVKNILYWKADDKFSPPCQHTITGFDTNNEEFFTLPHPSCNAIIKACKSRSRTIHGLMHLLEMEGRLTCWFLGLLFGFVYVLDDDENYRGTRNWTLMHKFNLKQDFKDYPLFKINGVRLDHDIKLLSIQNKELLLVWFYRGVFRYNIVTRTVRKLKGFKNKQRDDVFLTSYTKSVVSLKDLYPDLYSKYKRS >OMO86262 pep supercontig:CCACVL1_1.0:contig09510:22277:25468:-1 gene:CCACVL1_09659 transcript:OMO86262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin/calnexin MSSAMAILKRNPNVLCLVLVSLIAFASAEVFFEERFEDGWENRWVTSDWKKDEKMAGEWNYTSGKWNGDPNDKGIQTSEDYRFYAISAEFPVVNNKDKTLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTYNGTNNLIKKEVPCETDQLTHVYTFILRPDATYSILIDNVDKQTGSLYTDWDLLPPKKIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIANPEYKGPWKPKKLKNPNYKGKWKAPMIDNPDFKDDPDLYVFPNLKYVGIELWQVKSGTLFDNVLVCDDPEYAKKLAEETWGKQKDAEKAAFEEAEKKREEEESKDDPADSDAEDDEEDDAEGNESDSETKSDESKESESKEETEDDAHDEL >OMO86280 pep supercontig:CCACVL1_1.0:contig09510:133473:135946:1 gene:CCACVL1_09677 transcript:OMO86280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, subunit alpha/beta MASKQPDALLGPESDSQRTQYPYVTGTSVVAIKYKDGILMASDMGGSYGSTLRYKSVERIKPIGKHSLLGASGEISDFQEILRYLDELILYDNMWDDGNSLGPKEVHNYLTRIMYNRRNKFNPLWNSLVLGGVKNGQKYLGTVSMIGVNFEDNHVASGFGNHLARPILRQEWHENLSFEDGVRLLEKCMLVLLYRDRSAINKFQIAKITDEGVTIHQPYSLKTNWEFSAFQNPSQGAVGTW >OMO86261 pep supercontig:CCACVL1_1.0:contig09510:19377:20913:1 gene:CCACVL1_09658 transcript:OMO86261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINKLGAPAEGNKAVDRLWLNAVTVAAATEDYVSTCW >OMO86266 pep supercontig:CCACVL1_1.0:contig09510:40354:42828:-1 gene:CCACVL1_09663 transcript:OMO86266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPRIPWLFFLVFLVASSSFMFQICSAADEGEELDVVVDPNLQFENPRLREAYIALQAWKLAIFSDPFNFTANWNGSDVCSYMGVYCAASPSNPKLRVVAGIDLNHADIAGYLPPELGRLTDLALFHINSNRFCGVVPSTFRRLKLLFELDLSNNRFVGKFPTVLLSLPSLKYLDLRFNEFEGPVPSQLFDKQLDALFLNDNRFRFGIPENLGNSPVSVLVFANNDLGGCIPGSIGKMGKTLNEIILMNDNLTGCLPPQIGMLKEVTVFDVSFNHLQGSLPSSIGNMKSLEQLNVAHNGFTGVIPAAVCQLPNLQNFTYSFNYFTGEAPSCSAVSGGGRVATNGTFNCIPGKIDQRSSRECSSDAARPVDCSKFKCAGGGGSSGGGGGGGGRGGRRVPVPRPPTPRQATPPRPFVKSPPPPSSESSPSIRSHPPPPPSSHSSPVPSYHSPPSPPPPSSHSSPVPSYHSPPSPPPPTYSHSTSPPPPTHRVSPKTHLPPPPPPVHYEPKQSPPPPPTPSGGHYYHAPPPPPPTNHYYSTPPPPEHHYYYSPPPSHNYNTPPPPPSTYHSPPPPTPVHYVPTPSQSPPPPPVHYVPTPSQSSPPPPPPKVEYSPPHHGYVTPPPPPTTTYTPSPPPPSHVHPGTQSPPPPPTKTYTPSPPPPSHEYPTSPPPPSHEYPRTSPPPPPVKYTPSSPPPPVEYHPPSPKEPCHPLPPPPPPPPECTTPVSSPPPPPPPSHHSSPSPYTPAPSHNHPKPSPPPQQQWHYPPPQQHQSPPPPTTHYSYSSPPPPSPPPPSPPPPSFEFTPLPPIPGVSYASPPPPVIPYY >OMO86272 pep supercontig:CCACVL1_1.0:contig09510:86496:88387:1 gene:CCACVL1_09669 transcript:OMO86272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPRSNLFHGKFRLGNFCPQSKHAFRPFSSDETPLPTVAELISKQLWKQLKSHLLNGGPATTLLQQLLDSKTDPDLTLRYFKWSQKEFNLSHSLENRCKLLHSLANAKRYSKMRSFLNDFAKYENSVSVSSIFHAISICGDSFSATSLIADMLVKAYLYNMKTNLGFEVFKRAGDYGYKLSVLSCNHLLSALVKENEIENVEYVFKEMIRRKIEVDVSTFNIVINGLCKVGKLNKASDVIQDMKAWGILRNIITYNTLIAGYCKKGRIGKMYKADAILKEMIASEVRPNEITFNILIDGFCKDENVPAAMKVFKEMQTQGLKPNVVTYNSLIYGLCSEGRIDEANGFLDEMVRLDLKPNVATYNALLNGLCKKGKLKEATDFFDDFVKKGIAPTVITYNTLIDAYCKGGRMEDAFALRESMINRGILPDVSTYNCLIGGLGREGNIRVVRKVTSEMLNKGLKANVITYNILVEAMCKEGESRKAARVLDEMVKTGISPNHVTYNTLMDGYCREGNLRAALNVRTQMEKEGNRPNVVTYNVLIKGLCMKGKLEDANALLNDMLEKGLIPNRTTYEIVKEEMLEKGFVPDIQGHMYSISGS >OMO86264 pep supercontig:CCACVL1_1.0:contig09510:34074:35666:-1 gene:CCACVL1_09661 transcript:OMO86264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, RsuA/RluB/C/D/E/F MSTNSNSLQNYPTPLSPPLPSISKDLELARAMTASSKSSLFELSRSDILYEDEWLIAVNKPQGIYCESVLASVPRILADSTQSDPLSEGNQANPFELHLANRLDRDTSGVMVITKSHKVASALVKAFTNHKVQKTYVAFCIGSVPQSERITIKSGHGRSKFGAWRVYAAGDVGRKLPGGSIVRDMETTFEVLSINGKRRYGEPSESMKDEENIIIVEEKAVIDVDANKDEILVRAFPRSGRTHQIRLHCQYLGIPIRGDVKYEGVYEWKGRTYDGHELHAESLSFQHPITGLPIMIQAPLPFWAVQVLQPLTD >OMO86291 pep supercontig:CCACVL1_1.0:contig09510:219890:230041:1 gene:CCACVL1_09688 transcript:OMO86291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTRGNLHHYVLHHDHQQFNPPVITIDTKCRRHSLGKSRRSTISALWHLPLPRPVFTPALISAPSQLRCYISSEPCLGCHLGSNVQITDTEPKKRRRKRKKKMSKEEEYGVLLYYKYAPIPDLDSLLSFYESNCNSLGLLGRVRLSPSGVNVTVGGSLSALEKHIEAVKSTALFHGTDFKLASCHHPSNDKVALECGFTSLSIRVVKELVTFSSYPLLKAPEVSNAGKHLSAAEFHSVLQSAGQLMENGSPTDDNQLVLLDARNLYETRIGKFHAPSVETLDPSIRQYSDLPSWIDENSERLSGKQVLMYCTGGIRCEMASAYIRSKGDGFENVFQLFGGIQRYLEQFPDGGFFRGKNFVFDPRISVGSSDTNILGACLICGASYDDYSSRCRCSHCRMLILVCDSCRIKSDAYVCELCQKHHKGIGSIPDVEGSELEGVMDQSDLRTVPSNTIISSQLPSRHGNGTPRKLRILCLHGFRQTASSFKGRSASLAKKLKSIAELVFVDAPHELPFIYQSHIEPNGSCEPSLGQHAPPPENCKRKFAWLVEPDFSGKSEADWKIAAQPFDPLQYQEQTDGFDVSLAYLKKVFSEQGPFDGILGFSQGAAMAALVCAQGERLKGEIDFRFVILCSGFALPLADFERGRIRYPSLHIFGSEPGKDRQITSHTSRYLASVFDDGCSVAIRCHAYSTQKIQDFGQPTAASHPELMKEGEITPGITAEEYISRRKRLLELLPEKSLAIIAAAPVKMMTDVVPYTFRQDADYSYLTGCQQPGGVAVLSRDCGLCMFMPEAKAQDVVWQGQIAGVDAALEIFKAEKAYPMSKLNEILPDMIKRSSKLFHNTLTASPTYMALENFQRAVQVGQVSDLSIFTHELRWVKSPAELKLMRESASIACQALLQTMLHSKTYPHEGMLSAKFDYECRMRGAQRMAFNPVVGGGPNASVIHYSRNDQKIKDGDLVLMDVGCELHGYCSDLTRTWPPCGSFSSVQEEIYDLILQTNKECMKLCKPGATIREIHNYSVKMLHKGLTEMGILKSDEFRSYHQLNPTSIGHYLGMDVHDSSTISYDCPLKPGVVITIEPGIYLPSSFDGPERFQGTGIRIEDEVLITETGCEVLTGSMPKEIKHIESLLNNYSQGVGVEGHNNIKAAAR >OMO86278 pep supercontig:CCACVL1_1.0:contig09510:125136:128757:-1 gene:CCACVL1_09675 transcript:OMO86278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MASKHSRDQALDFQGFLNDLEDWELSLKDKDKKMKSQASSKEQLKTSEKGRPAGKRSLDNSSSTSGQFDFLKNYDKLTSLSSTLATEENIPDAASEKELGNEYFKQKKFKEAIDCYSRSIALLPTAVAYANRAMAYLKIKKFQEAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESVEDAEFSLKLEPNNQEIKKQHAEFKSLYEKALLQKASGVLRRSMQGAEVGKSETKENGLGIQSVLNSAQKTGVAAIEGHQTKVSKGDKEKKPVKGSVTLEEIGDMNIRAGSRTDGTQLDARIVSSESVKRNRTGKEELKPSVQELASRAATRAMAEAAKNISPPNTAYQFEVSWRALSGDRALQAQLLKVTSPSALPQIFKNALSSSMLVDIIKCVATFFREEVDLAIKYLENLTKVPRFDMLIMFLSSTDKADLLKVWDEVFCNEATPIECAEILDNLRSVYCLKK >OMO86269 pep supercontig:CCACVL1_1.0:contig09510:50939:53811:-1 gene:CCACVL1_09666 transcript:OMO86269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTVLSLEEDHMEEVPLMSSPQSFDSLSGSSSRIQSTPPQLLVINSNQGLEAIQHLPALGLKLSRTPSFMDKLERLARKQNSRAHDHHQEVANYNSGNYATTTKVKSSKDISNKLKAENFSISLLKVGSWQRVTRNEGDLIAKFYFAKRKLVWEILEKGLKSKIEIQWSEILSLKAILLEDRPGILQIELNQPPSFHHEIDPQPRKHTQWRMASDFTGGQALTFRRHYLEFPCGVLDKPLEKLLSCDSRLFQISRQGFPATNSPYFQPQTNGNIGFSLDFGGHNHHQQFSFSHYVPQHYQTYDHQQKSLNKDSPISDEQSSNNQMSLWSQGMNNVRDSLIINQVGSAMAAAAPNIPQVTSLPSNHMQQLAGGLLPTHSLQEADDQSQSRFQSLLNDVQEMLMSNSQAELSNPTGNNVIAAGYGHETMVMNNNWSSNIPQLPSWQAPPPQPDQINPFCCPSGVSNDPSREYFPSANSFNNEVNDWSWRSG >OMO86273 pep supercontig:CCACVL1_1.0:contig09510:89314:90521:1 gene:CCACVL1_09670 transcript:OMO86273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQKKRVQLLLFIAGVIILSITAEKCRQLVGEEASSQSGKFTLLNCFDMGSGSVACAVKEGVKLYFYNIRAAHVDRARDLAIEAALEDALSQGLSAKEAAKQAQIEGKKAAKLATRQAKRIIGPIISSGWDFFEAIYYGGTLAEGFLRGTGTLVGAYSGGFLGEQRLGRVGYLVGSHLGSWVGGRIGLMVYDVVNGVHFLLQFAQMEESENHEAPVYEKSEVPEDSNGYQNSDSYEAPPYESESSESQESSSFW >OMO86275 pep supercontig:CCACVL1_1.0:contig09510:99933:100925:1 gene:CCACVL1_09672 transcript:OMO86275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotianamine synthase MVCEKDPLVTKVCELYEQISRLESLKPSKDVNMLFTQLVVTCMPPYPIDVTKLCKNIQEIRSKLIRLCGEAEGLLESHFSTLLGSYENPLHHLNIFPYYSNYLKLSQLEFNILTKHYSSQNVPTKIAFIGSGPLPLTSIVLASNHLPTTTFHNYDIDPSANSKALKLVSSDPDLSERMFFHTMDIMDVTNELKEFDVVFLAALVGMDKDEKVKVIDHLGKFMAPGAILMLRSAHGARAFLYPVVDPCDLRGFEVLSVFHPTDDVINSVVLARKFPLIPMTTTTKQFSVEQPTTILANKCFDHIEMFNPLNHVNLMDELAIDHQDIHQHLS >OMO86289 pep supercontig:CCACVL1_1.0:contig09510:204833:209018:1 gene:CCACVL1_09686 transcript:OMO86289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MSLRPSTRTEVRKKGYKTGVDAEEARRRREDNLVEIRKNKREDNLLKKRREGLLLQSQQPLLDAVQNAAAIEKRLESIPLMVQGVWSDDPNAQLESTTQFRKLLSIERSPPIDEVIKAGVVPRFVEFLGRQDLPQLQFEAAWALTNVASGTSEHTRVVIDHGAVPMFVQLLSSASDDVREQAVWALGNVAGDSPSCRDLVLSSGALMPLLAQLNERSKLSMLRNATWTLSNFCRGKPPTPFEQVKPALPILRDLIYLNDEEVLTDACWALSYLSDGPNDKIQAVIEAGVCARLVELLGHPSPTVLIPALRTVGNIVTGDDNQTQFVIENKVLPHLYQLLVQNHKKSIKKEACWTISNITAGNRAQIQAVIEANIILPLVHLLQHAEFDIKKEAAWAISNATSGGSHEQIQFLVSQGCIKPLCDLLICPDPRIRDPVCLEGLENILKVGEADKEMGMNGGINVYAQMIDECDGLDKIENLQTHDNHEIYEKAVKILERYWAEEEEDEQNIQDGGNENQQGFNFGANQANAPPGGFKFG >OMO86276 pep supercontig:CCACVL1_1.0:contig09510:103995:106907:-1 gene:CCACVL1_09673 transcript:OMO86276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEELYRYRLDDDINVRVDNFSAAGVTNDFFSPVDYNLLQFDHQKHDHHTDVSGSHMSHLLKTQIASHPRYPNLVSAFIECQKVGAPPELASLLEEIGRENLPIRGCSEMGADPELDEFMESYCEVLHRYKEELSKPFDEATTFLSNIESQLNNLCKLGQLSNSLDYRSDEAAGTSEEELSGGEVETSESQESAGGGGSQLSQDIKGMLMQKYSGYLSSLRKEFLKKRKKGKLPKDARMALLQWWNNHYRWPYPTEEEKLKLSEITGLDQKQINNWFINQRKRHWKPSEDMKFALLEGVAANIGGPAMFLDTGLGTASDDI >OMO86281 pep supercontig:CCACVL1_1.0:contig09510:137526:140949:-1 gene:CCACVL1_09678 transcript:OMO86281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch-type beta propeller MGSLGAETAKKKAMWLYPKVSGFNPPERWGHSACYSNGLVYVFGGCCGGLHFSDVLMLNLNTMVWSTLETTGQGPGPRDSHSAILVGTKMMVFGGTNGSKKVNDLHVLDLASKEWIRPECTGVAPSPRESHTATLVGEDKVVIFGGSGEGVANYLNDLHVLDLRTMRWTSPQVRGHIPVPRDSHSAVAIGNRLVVYGGDCGDRYHGDVDIFDMDTSTWSRLAVQGSLPGVRAGHAAVNIGTKVFIIGGVGDKHYYNDVWILDVNACSWAQLDICGQQPQGRFSHTAVFTESDIAIYGGCGEDERPINELLVLQLGTQHPNGRYNISMCKTFGSHWNQEKKRFIRVAPNNLKTIYLGDDELAKQGGHEPEQEAKHSSRFSSDTSNPKRRRTANSKSWEVESEQEEHSLSLSQHSSPSQSDQEQAPAQKPTDSTGSQGLNLFKQFHHIQSNCTQPNINVPSNPNESSRYMVQKSQQDLQFIREHQNLKKPDPYLHHVVHSGRQVAQCSGLEPKHFEAGPIHSLLGAEVRGKVDGAFDSGLLMTATVNGKIFRGVLFAPVPGVISRGQTLGQSPASTSQVGGAQPFLNSSIINKEPFKPSQPPTMRIMGESSHISRQGQVNRPQLVPRATSSSTVKDPKLRNDLRDLVLTLGGPGSGHV >OMO86277 pep supercontig:CCACVL1_1.0:contig09510:114129:120159:-1 gene:CCACVL1_09674 transcript:OMO86277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type MGFISRKIFPACESMCVCCPALRSRSRQPVKRYKKLLSEIFPKSPNDPPNERKIAKLCEYAAKNPFRIPKIAKYLEERCYKEVRYGHSKVINIVTEAYNKLLCTCKGQMACFAVNLLNVVSKLLDDSKQDAIGILGCQTLTTFIYSQADGTYTHNIEKFVPKVCKLACEDGEEHESLRASSLQCLSAMVWFMAQYSYIFSALDEIVHATLDNYELDIHADDDNETGEPNHHWVDEVVRCEGRGAIGASDASPSNMIIRPQRERKDPSLLTSEEIKMPKVWAQICIQRMVELAKESTTLRQVLDPMFVYFDSRQHWVSQQGLAMVVLSDMLYWETSGNELLILAAVIRHLDHKNVAHDPQLKSYIIQVAAALARQIRSRGSLAEIGFVSDLCRHLRKSFQATLESVGEQESNLNILLQNSIEDCLLEIAKGIDDARSLFDMMAISLEKLPSSGVVARATIGSLMVLAHMISLALVSSRTQQVFPEALLVQLMKAMLHPNVEARVGAHQIFSALLIPSSNRPRHEVASLRSGYVYEPRRWRSNNASAFASISALLEKLRREKDGIKIEKNGYVHDDVKGKENVEEDWKQGHVRKSSPNIYSITSIFDRTAAANRVEAEPYILKLTEDQIMQLLSAFWIQATLPDNLPSNIEAISHSFVLTLISLRLKNINDSLIIRFFQLPLSLMNISLDPSNGMLTPSFQRSIFMLSMGMLMFAAKIYQIPDLNDLIKSIVPFDADPYLGISEDLQVFVRPQADVRGYGSVTDNQLASSLLMELRDKIDESNKFMMDILVLNLSTITELEIDDLTKQLFETFTPDDAFMFGPRSILDLDHNKMVSHSKESLSFDEDVQTSSLLEDDARSEASVLDISRFIPKVPASPTISHVISIGQLLESALEVAGQVAATSVSTSPLPYDAMASRCEAFGTGTRKKLSNWLAHENHQTGAADKDLPTIPADRHMTLRKITSEGSFNGAVSRLDPCLVMRLPPASPFDNFLKAAGC >OMO86271 pep supercontig:CCACVL1_1.0:contig09510:71802:85256:-1 gene:CCACVL1_09668 transcript:OMO86271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLLSSSSSRVHCLTTATPSLRVKPDSNSVFHALGRLSGLTRRSPTSSLFRARFCSDSSDGSGSGSGSGAEPVVEVEVKGEDSESNAADTKSSSSAIVSTYPRPEDYLTVLAIPLPHRPLFPGFYMPIYVKDPKLLAALQESKKRQAPYAGAFLVKDEPAGTESSTGFESEKSVYDIKGKELYNRLHEVGTLAQISSIQGDQVFLTGHRRLRITEMVSESPLTVKVDHIKEKPFNKDDDVIKATAFEVISTLRDVLKTSSLWRDHVQTYSQHIGDFNFARLADFGAAISGSNKLQCQEVLEELDVHKRLQLTLELVKKEMEISKIQETIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERLEPNREKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVLHAQKILDEDHYGLTDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTSNPLVLIDEIDKLGRGHAGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVDNIPNPLLDRMEVIAIAGYITDEKMHIARDYLEKTAREACGVKPEQVELTDAALLALIENYCREAGVRNLQKHIEKIYRKIALRLVREGSSNEAVISGEEVQPVEAIAEIVDEPDQQAAANKIGVEEKSSHEHTSETSVEVDTVQTNDQPKDSEDATVTEKLQETEATKEVEKVLVDDSNLSDFVGKPVFHAERIYDQTPVGVVMGLAWTAMGGSTLYIETTQVEQGEGKGALNVTGQLGDVMKESAQIAHTLARSILQEKEPDNPFFANSKLHLHVPAGATPKDGPSAGCTMITSMLSLAMKKPVRKDLAMTGEVTLTGRILPIGGVKEKTIAARRSEVKTIIFPSANRRDFDELAENVKEGLDVHFVDHYAKFRERVEANREKYPPHVLQVIQGELKKLQRLEANSSEFNVTCNYLDWLTLLPWGNYSEEKFDVIGAQKILDEDHYGLIGVKERILEFIAVAKLRGTSQGKIICLSGPPGVDKISIAHSIARALNRNFFRFSVGGLYDVKEIKGKGRTSTGAMPGKMVQCLKNVGTLNPLLLIDDIDKLGKSQAGNPASTVMDLLDPDRNVNFVDQYLDVPIGLSKVLFVCTANAVHNLPKSLLDRMKVIAISGYITDEKMHVARHYVEKTAQEACGVKPEQVEVTDAALLALIENYCQEAGVQKLRKHIERMYRKIALGLARQGSSNEAAAIEKVLVDTSNLCDYVGKPVFLEESIYDQTPPVGVAMGLSWTAMGGCISCYETTRVEEGKGKGALNVTGKLGDVMKESAQIAYTVARSILQEKEADNPFFANSKLHFHAPDGATAKDGPSAGCAMVTSMLSLALNKPVREHLAMTGEVTVTGRILPIGGVKEKTLAARRSKVKTLILSFGNKRDFEKLAANVKQGL >OMO86274 pep supercontig:CCACVL1_1.0:contig09510:93302:93424:-1 gene:CCACVL1_09671 transcript:OMO86274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRKTGEPEVEVKKGGRKPEVKEVAANPVIELINHVSN >OMO86283 pep supercontig:CCACVL1_1.0:contig09510:157173:161717:-1 gene:CCACVL1_09680 transcript:OMO86283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MKPVFLVLFLMALLLLHCQSDATRFILEVKTDAKPDAEPGKLGTDGKPVTASGAKVLDAKDVRKMKIDVITKRIIVDQSGNGNFITIQKAIDSVPSRNAAWTRIHVKAGIYNEKVNIPQDKPRILLEGESRRNTIIQFGDGGDSIQSSTFSLSAEEVVVMDITFQNTHNLKPGNPLTWAPAALINADKAAFYRCGFISLQDTLTDSQGRHYFESCYIEGALDFIWGNGRSIYQNCILNVTASLLGRGQTAYITSQGRDSSIDASGFVFKYCLVLGTGPAYLGRAYKKHARVLFYRTKMSNIIAPKGWSAWNYAALFLKISIAIDCDGGSRFASTIVADKSGHGQFQTVQSAINSIPPNNNRWIKLQIKPGVYKEKVNISRNKPCIFLEGQDPSVTIITFDAHQRTDLSTTFTFAADNIVAKGITFKNSFNHPWLLKRLASNKVLVPGVTQAVAARILGDKSAFFWCRFLGLQDTLWSARGRHYFSSCYIEGGVDFIFGNGQSFFEDCSINVTGGAFESQIGKGYITAQGRESPNDPSGFVFKRGRIFGTLKHYLGRAWGPYARVIFHHTTFDSEVVPLGWFAWLYPGKKQNFMYAEVNCQGPGSDTSGRVPWEKKLNPSQVQQFSRSSFIDHDGWIDKLPLS >OMO86290 pep supercontig:CCACVL1_1.0:contig09510:211927:213351:1 gene:CCACVL1_09687 transcript:OMO86290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPWRPSSRPCCIYRAHVLRDVDRAAFTPEFVSIGPLYHFDKNLKGMEETKVKYLEQFLQHAAMTEKLHEQHEQLNTLKKKLKFLGWKDVADQIETAVPNDEFSCLGKFLGILTSLENDIRSSYAENLNHITSKEFLRIVLVDAAFIIELFLRGHFDSDGDPLLSRDYLPICIRMDLWLLENQLPFFVLQQLYDSAFGSFPDIYPPFLELTCNFFELYNAQEKPITREVNHFTDLLRAFFLPSSIDGEGNVSQMKPSCNNGKLEQKEESDHSKDEDEDEDEDDDDDDDEEKLLCYHLPSATRLHAAGVKFVASESKCLLDIKFSKGRLEVPTLQLFDETVHCFRNLIALEQYHYEDKPYISHYLTFMDYLVDTGRDVDLLVENKIIKHWLGSNGEVARIFNSLCINITKGYINRRFFYLIEELNKYHDRPWNSWKATLYSQYFSTPWRSASTSAAIILLVLTLAQTIMAAIAM >OMO86286 pep supercontig:CCACVL1_1.0:contig09510:187871:189815:1 gene:CCACVL1_09683 transcript:OMO86286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIPVSLQSKLDTYEVEIQGLKVKATVVDLRHPTLVTSYIKELKSSISKRCIVGVDVEAASAEIPYRIIVCAGARFLIFHWTKFVEPPSSLVEFLRDETICFVGSREIDLADDLESGDTDEDESKQIMDSDEVAVEASQNESMPMLKDKGLKNIEGVKISVGDGRRAEAGGRDRKILLGEKKKKRPPKPPRPPGGPSLNGAADIKLVREISELSRLKRVRYERIKALKKMRSDNKASSSKSNVLAIIVTLVFLCVIIFQGTIKFASSYNPWLFNLIMHC >OMO86288 pep supercontig:CCACVL1_1.0:contig09510:202342:202548:1 gene:CCACVL1_09685 transcript:OMO86288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKATDSNAVTRLQRTSSTMKKLKLLISAPHRGIKLDEETNDRNDTKSDATHRNADVAHLPAIFNFH >OMO86279 pep supercontig:CCACVL1_1.0:contig09510:129502:132214:-1 gene:CCACVL1_09676 transcript:OMO86279 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAPA-1-like conserved region MEGFGGLGFSNANSATRKKRSITLRRPRSESQPHSELHDFSSLSSTPPSDNNTMNVEDGAYEESDEASNDGSFQGSNVQRQGGVDSTRSSEGVLAPTNWKSTSMVGSFGIVSDGPENEKKIKKVKLKVGGVTRTIDAKSVSDGASGVGSSSTKSSHFPDAPRSLRRSMIKDNSDDHSFTSEKESTLRRVPWKDFSKGGPGVRPVDHARGRIPSETTTNETDKHEPVRKSKRVLKKRSLEGVLDDEEDDDEIRYLEKVKTSRLTADYGVEHGEDGGRKQRKVSKVLDRNVVDLYELDVGDYGSSRTGKEAKKSRSGRTSEDTDYVEDDEESVSDVEPENRRKKAKKEIADFLGDSKKEMTVTTRQRAQQAGKDISSTFGVAPIEFPNGLPPAPPKKQKEKLSEVEQQLKKAEAAQKRRMQVEKAARESEAEAIRKILGQDSSRKKREDKIKRRQEEMAQEKATNSMILGSEFVRWVMGPSGTVVTFPSEVGLPSIFDCKPCGYPPPREKCAAPSCTNPYKYRDSKSKLPLCSLQCYKAIREKMSPLTAC >OMO86287 pep supercontig:CCACVL1_1.0:contig09510:194015:195463:-1 gene:CCACVL1_09684 transcript:OMO86287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MFHTEGALLKSTSVFPYFFLVAFEAGGVLRAFILFLLYPIIVLVSKEWGMKIMVFVCFVGLKAESFRIGRIVLPKFFLEDIGDEGFDMVMKCSGRKIGVTDMPRVMVECFLKDYLKVETVVGRELKVFCGHFVGLMEETKEKLDSPVIGISSFNNSLNQQLFSCCEVIYMVNEADKKNWKVLPREKYPRPLIFHDGRLAFKPTPIATLFMFMWIPFGIFLFIVRVIVEFLLPYKFSTPFLYFTGLRGTLIPPSPDRVGNETKHGGVLYVCNHRTLLDPIYLSMGLQKPLTAVTYSLSPVTELFAPIKTVRLTRNREKDSEMIEKQLKRGDLVICPEGTTCREPYLLRFSPLFAEITDEIVPVAIDFQVSMFYGTTASGFKFLDPVFLLMNPTTHCSAIILEKLPKSWTCQGGGKSKIEVANYVQTQIAKALNFECTSLTRRDKYMILAGNEGVV >OMO84987 pep supercontig:CCACVL1_1.0:contig09675:17487:20563:1 gene:CCACVL1_10500 transcript:OMO84987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEITQFLLAAQSADAKVRTEAESSLRQFQEHNLPVFLLSLSVELANNEKPAESRRLAGIVLKNSLDAKDTGRKEQLVQQWMAIDISVKSQIKDLLLRTLGSSVPEARHTSAQVIAKVASIEIPKKLWPELIGSLLNNMTQQERPPAVKQATLETLGYVCEEISNQDLVQEEVNAVLTAVVQGMNLAEHSPEVRLAATRALYNALEFAQTNFENEMEQNYIMKVVCDTALSKEVEIRQAAFECLVAIASAYYDVLEPYMQTLFELTSNAVKGDEETVALQAIEFWSSICDEEIEREFESPESGDSEPSHSRFIEKALPHLVPLLLETLLKQEEDQDQDDTIWNISMAGGTCLGLVARTVGDAIVPLVMPFVESNILKPDWHCREAAIYAFGSILEGPTIEKLSPLVQAGLDFLLNAKKDENNHVKDTTAWTLSRIFELLHSPASGFSVISPENLKRVIGVLLESINDAPNVAEKVCGAIYYLVQGYEDAGPSSSLLSPYLTNIISCLIATADRTDGGDSKLRSSAYETLNEVVRCSNIAETSPIIAQLLPVIMNKLGQTVEIQIISSDDREKQGDLQASLCGVLQVIIQKLSSTDETKKIILQAADQIMILFLRVFGCRSSTVHEEAMLAIGALAYATGPQFERYMVEFYKYLEMGLQNFEEYQVCAITVGVVGDICRALDDKILPYCDGIMGLLLKDLASSELHRSVKPPIFSCFGDIGLAIGEHFEKYVPYALPMMQGAAEICAQMDTADEEMVDYGNQLRRSIFEAYSGILQGFKSVKPDMMMPYAQHLLKFIELVSRDRERDVSVTKAAVAVMGDLADALGSNTNAKLLFKDCAFCNYFLGECLQSDDEQLKETASWTQGMIGRVMVS >OMO84986 pep supercontig:CCACVL1_1.0:contig09675:14875:15720:1 gene:CCACVL1_10499 transcript:OMO84986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVMEKKRPRKLNFNAPLLSTRRPALVVDHSFSDKVSCTNSQGGWKDSSNGIPFCWEQAPGKPKKNLERRNDVVDDAETPRPKPPPCRWRPVPDQNHDEGCDADVDDFDDNDDDNDDVFSDAVEVLSLTEAIDIVEKAEKFHGYSSDGFKSKSDFDGVNLDSLEQSDHCPSPNFIIERFLPDATALAAASALNMSKAKVPYLCNYSESPPCVSQAVIKRRLSSPKGCGLEMLLPWRMKHKLCGVKSPIKESCSIVQPRNSAKQNKLFSSIVAPSAEWRCK >OMO84985 pep supercontig:CCACVL1_1.0:contig09675:9825:13763:1 gene:CCACVL1_10498 transcript:OMO84985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MRSLKFIDGCKGTQVYALNGPGGGGGGGGGGVGEKLFHQLQDHLRANSFRSKSGRNYQVSNTTPAVATEALLPYGLPVSDLLEPQIESCLKFVDFIEVVADLYRRIDKCPQFEKSGLYLEQCSIFKGLSDPKLFRRSLRSARQHAVDVHSKIVLAAWLRYERREDELVGTSSMDCCGRNIECPKATLVAGYNPESIYDPCHCSRTPRGELDDDLSASDEECSTSDDDGDMSFCIGDDEIRCIRSKIASLSIPFRTMLYGGFRESKREMINFTQNGISAEGMKAAEVYSRTKRLDSFEPRIVLELLCFSNRFCCDNLKSACDAFLASLVNDMEDAMLLIEYGLEENAYLLVAACLQVFLRELPNSMHSPNVMKLFCSSDARERLALVGHASFLLYYFLSQIAMEDDMKSNSTVMLLERLTECATENWQKQLAYHQLGVVMLERKEYKDAQTWFESAYACGHIYSLVGVARAKFKRGHKYSAYKLMNSLISDYKPVGWMYQERSLYCVGREKLLDLEIATELDPILSFPYKYRAVSLLEDNKIGAAISEINKIIGFKVSPDCLELRAWISIGMEDYESALTDVRALLTLEPNYMMFYGKMHGDHLVELLRPLVQQWSQADCWMQLYDRWSSVDDIGSLAVVHHMLANDPGKSLLWFRQSLLLLRLNCQKAAMRSLRLARNHSTSEHERLVYEGWILYDTGHREEALAKAEESVSIQRSFEAFFLKAYALADSSLDQESSGYVIQLLEEALRCPSDGLRKGQALNNLGSVYVDCEKLDLAADCYTNALNIKHTRAHQGLARVYHLKNQRKAAYDEMTKLIEKACNNASAYEKRSEYCDRDMAKNDLIMATQLDPLRTYPYRYRAAVLMDDHKENEAIAELTKALSFKPDLQLLHLRAAFHDSMSDYTSALRDCEAALCLDPCHSDSLQLYNKVSEQIKEQE >OMO84988 pep supercontig:CCACVL1_1.0:contig09675:28788:33474:-1 gene:CCACVL1_10501 transcript:OMO84988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein UreF MFGESAPIADGKTAAAEAEDPMARLASLTSFFPIRSLPWSELNRENLAVTSLHHLRRHHDSRWLTTASSDGGFSATTTLIIHEELRVGMASGLRQFARIC >OMP05885 pep supercontig:CCACVL1_1.0:contig05154:3269:4630:-1 gene:CCACVL1_01799 transcript:OMP05885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERHILFGKYEMGRMLGKGTFAKVYYGKELKSGESVAIKVISKDQVKKEGMMEQIKREISVMRLVRHPNIVELKEVMATKTKIFFVMEYVRGGELFAKVAKGKLKEDVARKYFQQLISAVDFCHSRGVSHRDLKPENLLLDENEDLKISDFGLSALPEQLRNDGLLHTQCGTPAYVAPEVLRKKGYDGSKADIWSCGVILYVLLAGFLPFQDENIMKMYRKVFKAEFEFPPWFSTESKRLISKLLVSDPERRITIPAIMRVPWFQKGFTRPLTFSIEEPISEKTEDDDDSGSKTTKLSSPKFFNAFEFISSMSSGFDLSSLFETKRKSGNLFTSKCSATAIMAKIEGVAKGLNFKVGKVKDFKMRLQGTSEGRKGRLAVTAEVFEVAPEVAVVEFSKSSGDTLEYAKFCEEDVRPALKDIVWTWQGDSFNNCSSNVKIDQGEGCEQQVPQTA >OMP11207 pep supercontig:CCACVL1_1.0:contig01479:97:204:-1 gene:CCACVL1_00624 transcript:OMP11207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGKKAGHKKNSRGYHSGSMYKSRNRSRSLHGIR >OMO62117 pep supercontig:CCACVL1_1.0:contig13345:9001:16266:-1 gene:CCACVL1_23019 transcript:OMO62117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic oxidoreductase MSNFFNQTRAATSLIRRLKHSLINPTPLSLARPRCFTTAEGHRPTIVHKRSLDILHDPWFNKGTAFSMTERDRLDLRGLLPPNIMSSDQQIERFMVDLKRLEVQARDGPSDPNALAKWRILNRLHDRNETMYYKVLIAKIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQQHRLDGDEYIAVIDEFMEAVFTRWPHVIVQFEDFQSKWAFKLLQRYRNTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVLNAARKTMARMLGNNETAFDSAKSQFWVVDAKGLITEERENIDPEALPFARNIKEAGRQGLREGASLAEVVEQVKPDVLLGLSAVGGLFSKEVLEALKGSTSTRPAIFPMSNPTKNAECTPEEAFSIVGDNIIFASGSPFRDVDLGNGHVGHCNQGNNMYLFPGIGLGTLLSGSRIISDGMLQAAAERLAAYMTEEEVLKGIIYPPISKIRDITKEVAAAVVKEAVEEDLAEGYREVDARELHKICQSQEELLAYVQNSMWSPEYPTLVYKKD >OMO62118 pep supercontig:CCACVL1_1.0:contig13345:18219:19004:1 gene:CCACVL1_23020 transcript:OMO62118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGEVELSDHVLLSNPDSSSNFQDSTSVDVDLIIDEFLKNTRTCTHTHTCNPPGPDATHTHTCYHTHTQVITSEEDNHHNSDNKSLKPRRPLGNREAVRKYREKKKAHTAYLEEEVKKLRLLNQQLVRKLQGQSILEAEVLRLRSLLVDLRAKIDGELGVFPFQKQCNNATLPKEGDCGMQSTGEAMGLQCQTDLPCFHPHAGSSSQPSINGSENMKISWEGNCQPAIIDCQANLNQIASVEGHHVNTVETLVSCASQAE >OMO62116 pep supercontig:CCACVL1_1.0:contig13345:3402:7647:-1 gene:CCACVL1_23018 transcript:OMO62116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMESLIGLVNRIQRACTVLGDYGGGENTFSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEQSSQEYAEFLHLPRRRFTDFAMVRKEIEDETERITGKTKQISPVPIQLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIETMVRSYVEKPNCVILAISPANQDIATSDAIKLAREVDPTGERTFGVLTKLDLMDKGTNSLDVLEGRSYKLQHPWVGVVNRSQADINRSVDMIVARRKESEYFATSPDYGHLASKMGSVYLAKLLSQHLESVIRARIPSITSLINKSIDELESEMNLLGRPIALDAGAQLYTILELCRAFDRIFKEHLDGGRPGGDRIYGVFDHQLPAALRKLPFDRHLSLQNVRRVVSEADGYQPHLIAPEQGYRRLIEGSLNYFRGPAEASVDAVHFVLKELVRKSIGETQELKRFPTLQAEIAAAANEALERFRDESKKTVIRLVDMESSYLTVDFFRRLPQEADKGGNPASTTADRYAEGHFRRIGSNVSSYVGMVSETLRNTIPKAVVYCQVREAKQSLLNYFYTQLAKKEGKQLSQMLDEDPALMERRLQCAKRLELYKAARDEIDSVSWAR >OMO62115 pep supercontig:CCACVL1_1.0:contig13345:107:1708:-1 gene:CCACVL1_23017 transcript:OMO62115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase MGTVDAANKDVKLSLADKKPTVVFVLGGPGSGKGTQCANIVQQFHYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLEKAMLDSGNDKFLIDGFPRNEENRAAFEAVTKIEPEFVLFFNCPEEEMERRLLSRNQGREDDNIETIRKRFKVFLDSSLPVIEYYRPRGRFK >OMO91730 pep supercontig:CCACVL1_1.0:contig08309:52:12833:-1 gene:CCACVL1_07041 transcript:OMO91730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESAVELLQRYRRDRRILLDFILSGSLIKKVVMPPGAVTLDDVDLDQVSVDYVLSCIKKGGMLELSEAIRDYHDHTGLPNMNSAGSAGEFFLVTNLESSGSPPRRAPPPIPVSVSIPTPSAPLFAPSPVVSTVSRSESFNSEQVQELTVDDIEDFEDDDDLEEVNSLKISRRTPNDVGDLVLKLPPFATGITDDDLRETAYEILLACAGASGGLIVPSKEKKKEKRSKLMRKLGRSRNENIVAQSQHSSGLVGLLETMRVQMEVSEAMDIRTRQGLLNALAGKVGKRMDTLLIPLELLSCISRTEFSDKKTYIKWQKRQLNMLAEGLINHPAVGFGESGRKASEFRILLAKIEESEAFPPSTGEVQRTESLRSLRDIAIPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLLSVFDVLDEGKLTEEVEEILELLKSTWRVLGITETIHYTCYAWILFRQYIITSEQGILRHAIDQLKKIPLKEQRGPQERLHLKSLHVRVDGEDGSHDVSFLQSFLLPIQKWADKQLGDYHLNFSEGAAVMEDIVTVAMIVRRLLLEESDIAVQSSTVSDRDQIELYISSSIKNSFARILQAVDKSDSMGEHPLALLAEEVKKLLKKDSTNFMPILHRRHPQATIVSASLLHKLYGNKLKPFVDGAEHLTEDVVSVFPSADNLEQYILNLIKSSCEGENVEVHFRKLIPYQIESVSGTVVLRWINSQLGRIISWVERTLQQERWDPISPQQRHGSSIVEVYRIVEETVDQFFSIKVPMRPSEVNALFRGVDNAFQVYANHIVDNLASKDDLVPPLPVLTRYRKEAGIKAFVKKELFDSRLPDQRRSVGINVPTTPTLCVQLNTLYYAISQLNKLEDSIRERWTWKMPQDKIYIGKSLDDKSKSSTQKGSFDGSRKDINAAIDRIREFTGTKIIFWDLREPFIENLYKPSVSQSRLEAVIEPLDL >OMO91731 pep supercontig:CCACVL1_1.0:contig08309:16014:16836:1 gene:CCACVL1_07042 transcript:OMO91731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALQHSWGKTESGVTSQQSKSMEKKEKLIISSIDFMGLGFADKKTSRGLPPRLIPVLDLFPEGGLPNVEII >OMO95333 pep supercontig:CCACVL1_1.0:contig07696:7677:11184:1 gene:CCACVL1_05430 transcript:OMO95333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAETKAGGEDLNLSFEKLMMVAAGNSGGGVKMEGVVITEWKDIPMELLLRIVSLVDDRTAIVASGVCSGWRDAICFGLTQLCLSCCFIVNSYMGVKSFEYWGFTPCFPFDAISLRDTMVKLDFDGCKKNMNNLVLSLAPKFTKLQTLILRQENPQLEDNAVETIAKFCHDLQELDLSKSFKLTDRSLYALAHGCPDLTKLNISGCTSFSDEGLEYLTNFCRKLKTLNLCGCVKAATDRALQAIGRNCNMLQSLNLGWCDNVGDLGVMSLAYGCPDLRSLDLCGCVLITDDSVIALANECLHLRSLGLYYCRNITDRAMYSLAHSRVKNKPPMWQSMKGRYDEEGLRSLNISQCTALTPSAVQALCDTFPALHTCSGRHSLVMSGCLNLTSVHCACAVQAHRTLNSIPHTAH >OMO95334 pep supercontig:CCACVL1_1.0:contig07696:13464:15579:-1 gene:CCACVL1_05431 transcript:OMO95334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPTAVFALLFGSELFEDYIGHLAVASMASSELACESDNPEKLHDKLKATFGVEILHTIGYVYSRQAAQELGKKAIYLGVPFLAEWKITAGAFQLLQLQEDMRRQFKMDGSGPENDVESHVRLNKDTLMNSLWKLNVVDIEVTLVHVCQMVLRESNVKKEELKARALTLKILGKIFQEQQAQNGGTSRRKNVAEIDDDDGSSSDSSSEEDSPRALSYRTPFLTQACYRNSSGLLY >OMO85968 pep supercontig:CCACVL1_1.0:contig09554:4284:13383:1 gene:CCACVL1_09889 transcript:OMO85968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGSWKFFSIFLVVFALYHFCHAETAGLDYNFVEESIFAPEISYFDYIIVGGGTAGCPLAATLSETVNVLVLERGGSPYDDPNKSDKANILVNLLDILPNSYTEMFITEDGVINHRARVLGGGSVINGGFYSHAEPEFLNKSGFDDLALVNDSYRWVEKKLVFKPEMLQWQTAFKDGLLEAKVLPFNGYTFDHINGTKISGTIFDEDGHRHSAADLLEYAKPDNIKHKALLTLGSKGEVILAAGTIGSPQLLMLSGIGPAHQLGSLGIKMIMDQPMVGQEITDNPSNCLLVFSPAPVEVSSVSAVGITKTNNFIESISGVNLLPSWSQWISDNLLPIINQTGAKVNDAPNRTTLRVPGGLILSKVRFPMSKGHLELRSTNPKDTPKVRFNYFKDPKDIKTCVQGMETLMKTVDSKAFSKFRYEAITAKENLKIMTYLPVNLRPKHNNTATSLEQYCIDTVVTEWHYHGGCEFGKVVDKNYRVRGVDSLRVLDASTFKSAPGTNPQATLMMLGSFVQEATSAPRVSYYDYIIIGGGTAGCPLAATLSTSAKVLVLERGGSPYTNPGKSDEENFFPNLLDRSPNSYSQQFTSEDGVYNNRARVLGGGSVINAGFYSHAESDFLKQAGLNAGLVNVSYQWVEKKVAFEPPMLQWQSALKNGLIQAGVLPDNGFTFDHLYGTKVGGIIFDKNGHRHTAADLLEYANPLRIKGMADNPLNVLFIPSPSPVEVSLVQMVGVTRSDSYIEACSGVSFTPSWTRRVAKELASILNQESTMGLFQEAIARPQTSLNTKIRGGIIFEKLKNPISTGHLELRNTNPHDNPKVTFNYFRSPEDLRTCVRGMETVLNAINSEAFSDFRYKLLSTTGLLDLVSRLPLNRRPRHLNTAFSLEQFCLDTVMTIWHYHGGCLVGKVVDKDLKVIGVDALRVIDGSTFTRSPGTNPQATVMMLGRYMGLTIQQERYFQETN >OMO85972 pep supercontig:CCACVL1_1.0:contig09554:26754:29932:1 gene:CCACVL1_09894 transcript:OMO85972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA synthase, eukaryotic MATTHPENVGILAIDIYFPPTCIRQEVLEEHDCMAFCTEVEDFISMSLTAVTSLLEKYEIDPTKIGRLEVGSETVIDKSKSIKTFLMQIFEEYGNTDIEGVDSTNACYGGTAALFNCVNWVESTSWDGRYGLVVCTDSAVYAEGPARPTGGAAAVAMLIGPNAPIAFESKFRGSHMSHVYDFYKPNLASEYPVVDGKLSQTCYLMALDFCYKHFCDKFEKLQGRSFSIPDAGYFVFHSPYNKLVQKSFARLFFNDFLRNARFESLCFFCISSFLTCVLEHRSHIMLPGVTLMKLAPFSGLSNDESYQSRDLEKASQSVAKHLYDSKVQPSTLIPKQVGNMYTASLYAAFASLLHNKHNSLAGKRVVMFSYGSGLTATIFSLKLQDGQHPFNLSNIVKVMNVSEKLKLRYEFPPEKFVDRMKLMEHRYGAKDFVTSKDRSLLFPGTYYLTEVDSMYRRFYARKA >OMO85967 pep supercontig:CCACVL1_1.0:contig09554:116:3243:1 gene:CCACVL1_09888 transcript:OMO85967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRFAVDQDYSFVEESIFAPEISHFDYIIVGGGTAGCPLAATLSETVNVLVLERGGSPYDNPNKSDKENILINLLDILPNSYAEIFISEDGVINHRARVLGGGSVINAGFYSHADTDFLKKSGFDDLALVNDSYRWVEKEVVFKPEMLQWQTAIKDGLLEAKVLPFNGFTFDHINGTKIGGTIFDEDGHRHTAADLLKHAKPDNIKVYLHATVSEILFTAQVNGSRPKAKGVVFEDLLGYKHKALLTLDSKGEVILAAGCIGSPQLLMLSGIGPVHQLESLGINAIMDQPMVGQDITDNPANGLVVLSPLPVEVSSVSAVGITHSNNFIEAVSGVNLLPSWSQWISDNLLPFINQTGAKVASNLTTLRAPGGLILEKFKDPKSKGHLELRSTNPKDSPKIRFNYFKDPKDIKNCVEGMETLMKVVDSKAFSKFRYEAITAKENLKLMTLLPINLRPRHDNTSTSLEQYCIDTVLTIWHYHGGCEFGKVVDKNYRVRGVDSLRVIDGSTFKSSPGTNPQAIVMMLGRYMGVRILQDRHS >OMO85970 pep supercontig:CCACVL1_1.0:contig09554:18497:18742:-1 gene:CCACVL1_09892 transcript:OMO85970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYVPSLHQPVPFPGHSSSHPVSEVPILYLSCPCGKVRFGSLEVGKSSVWICSKCNIDFIFMSKIRPTVESSEICIVIVK >OMO85975 pep supercontig:CCACVL1_1.0:contig09554:34512:35998:1 gene:CCACVL1_09897 transcript:OMO85975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MALNKLFIVMIFLVLNVSFSKAALDVDYYDRTCPNAEKIILETVRNASMHDPKVPARILRMFFHDCFIRGCDASILLDSTPQNQAEKDGPPNISVRAFYVIDDAKSKLEKECPRTVSCADIITIAARDSGGPFWNVLKGRKDGRISRASETINLPAPTFNVSQLIQSFAKRGLGVKDLVALSGGHTLGFSHCSSFEDRLRNFSSVHDIDPSMNIEFAQTLRQKCPKPNKDRNAGQFLDSTASTFDNNYFKQLLAGKGVFGSDQALFNDYRTKWIVESFARDQSLFFREFAASMVKLGNFGVIENGEVRLNCRVVN >OMO85973 pep supercontig:CCACVL1_1.0:contig09554:31338:32785:1 gene:CCACVL1_09895 transcript:OMO85973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MECNQNQTIKEIDESISNNTAIIINGHQQQQQQSTNTSPSFSPSEGTVMDPNQPMKEEEEDLTDTEAQQFTNPTLHHSLVQLQPGTVTNNRSSFAPKRPSKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIIEATGTGTVPAIAVSVNGTLKIPTSSSSGKQQDGDLPRKRRKRPSNSEFIDINDHPEHQSSVSSGLAPIAPIPYNSVSMNSQGLVPIWPMGTFGVLPAAATPGGGVGSLGPNQAQLWAFPATATPFFNVAGRPISSFVSALQPEMQGSVGSVGVLSGSSISVMGGVT >OMO85971 pep supercontig:CCACVL1_1.0:contig09554:22239:24112:1 gene:CCACVL1_09893 transcript:OMO85971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVHPLSSAAAPMSLRPKPPPPPNQRALPPLAAVTLRRKLLPLFSQTPNSPIMNHFHPMTGARYPYLWMSIAQENGWHVLIDACALGPKDMDSFGLSLFRPDFLICSFYKVFGENPSGFACLFAKKSAVSILETSTSSGMVGIIPTKQLFRSITESPGTDSDVEQSQTSNSIFGSVLVQASQSGRLGIQHEEVTEKLKGLEMAEISESGNVKEKGEIECKGLDQVDSLGLVTISNRARCLINWLVNALLKLQHPNTEGIPLVRIYGPKVKFDRGAAIAFNIFDWKGEKVEPVLVQKLADRSNISLSYGFLHHISFADKYQEQKDKVMERRSNNEVNGIGTDGNKRKDKNDMGIAVVTAALGFLANFQDIYKLWAFIAQFLDADFVEKERWRYTALNQKTIEV >OMO85974 pep supercontig:CCACVL1_1.0:contig09554:33108:33188:-1 gene:CCACVL1_09896 transcript:OMO85974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGNDVLASRRVAVGASLVLSARPA >OMO85976 pep supercontig:CCACVL1_1.0:contig09554:36813:38566:-1 gene:CCACVL1_09898 transcript:OMO85976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MADYKKSTTTQKWPVLKPKLNLQITRLKETDLFTVQNFLTSVEAKAFVKAAESIGFQHQGSLGPTKGEAYRDNDRISVNDPELADAIWQSGLSKLFSDIKIRGRVAVGLNPNIRFYRYKVGQRFGQHIDESVNLGEGKRTIYTLLIYLSGGSKTKGKSDSSNLKDSTSEPLIGGETVFYGSRNNVVAEVAPSEGMALLHIHGDKCMLHEARNVSKGIKYVFRSDVVFA >OMO85977 pep supercontig:CCACVL1_1.0:contig09554:42282:48372:1 gene:CCACVL1_09899 transcript:OMO85977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MVRGKIQMKRIENATSRQVTFSKRRNGLLKKAYELSVLCDAEVAVIIFSQRGKVYEFSSSDMQKTIERYHKYIKEVQAAKPRMEQYVEQLKLEAANMVNKIEQLEVSKRKLLGQGLGLSSRLELEEVFNQLERSLAKIRARKDQLFKEQIEQLKAKEKSLLEDNAKLAAQCGELRLQASTQQKEATVTYNCSQSSQSSDQSEQLKLEAAKMVKKIDQLKASIRLGQRRLNLSSRLDLEEISSQLKRGWNDINAIKDQLIKEEIEQKKKYSSITGRRE >OMO85969 pep supercontig:CCACVL1_1.0:contig09554:14969:15742:-1 gene:CCACVL1_09891 transcript:OMO85969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA binding protein MASSSLAKNLFAVLDGEEDDELVKPSVIKKGATSLPVSKKKKQLQKQQAEKEQSKNKKPLNPEGLRAKAKPLILPDHVLSQQKVIRRPKPKPIATANGQVQAADHQQENIEAEKPKSPQNLTLKEYEEGLLGNNKKGFEFDDQRKPVNQDRTLNINGKGFESMKLVGKKRDDSFSVKKEENSGKKFIKSVDGKKDNKSININEFLKPVNDNEKPSANYSCIKSISGAQEVGHEKAAPRIDFGDFDQFPVLHPGARKA >OMO85487 pep supercontig:CCACVL1_1.0:contig09614:8748:13939:1 gene:CCACVL1_10153 transcript:OMO85487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAPPPPPPQLVATVAGGTPLQVSALYFCDLDFDVTNDDLSKKFSPIVRVDPLRIFRCESTGKSLRYGYVNFAPHSDASKVLARLNHSKLLEGKPMGIVWSLRDPSPNVYVKNLEPSVSCTDLEEVFSPFGTILSCKVAEENGGFGNVQFDSEEAAKISIFTLTLNDTFLKGKKLLALAEVLEDDGLIRPKAVKKKNLVALTEEDDDQPCTELSAENLELQQEQICIGEAEESDSFDPPVRLALAEEEDDGIIRPKAEQICIGEAEESDSFDPPVRLALAEEEDDGLIRPKAVKKKNLVALTEEDDDQPCTELSAENLEAEESDSFDPPVRLALTEEEDDGLIRPKAVKKKNLVTLTEEDDDQPCTELSAKNLEGEAYKLFWPEQSGFVRKAARFGAKIVPFGVVGEDDIAKLIMDYNDQMKIPWRKGRNRTNDKSVFKIED >OMO85488 pep supercontig:CCACVL1_1.0:contig09614:14292:17924:1 gene:CCACVL1_10154 transcript:OMO85488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol acyltransferase MAAIGGVSGYLATAGLLPCLRRRTTIYGEYQKSRRILAVSTEQIERVRSFEDINYERFAKKKGETEEVKLKPNLYSNPDEIPEVEKGKKSLNDYFEECKEFIRSNQNGPPRIDGTGLGLIMHHHKLSKMFNIWCLNLPVKDRTPFPELVKLVERTVRSESYRSPNRPIYLVGESLGACLALTVAARNPDIDLVLILSNPATSFSRSALQLLIPLLDIMKSPMQPLLPMLEIMPDQFPLNPSYLLSLATGSLPQPIGELSQDLVTMSSYLPVLADILPREALRWKLDLLKSGAASANSCLHAIKAQMMILFSGRDQLLPSQEESKRLRKAFPDSDIRVLEESGHFLFLEDKVDLVTIIKGASFYRRGKHLDYVSDYIPPTPSEFKKIYESIKWIITATGPVMLSTMEDGKVVRGLAGIPSNGPVLFVGYHMLLGIETIPLVAQFLIERDIGVRGIALPALFERIQDRNPPEPSEFDVLRIMGGVPVSPRNFYKLMSSKSHVLLYPGGTREACHRKGEEYKLFWPEQSEFVRMAARFGAKIIPFGVAGEDDIAEIILDYNDQMKIPWQREEIERLTKQIPNVRSNASGEVANQPMHMPWVVPKFPGRFYYYFGKPIETQGMKVELEDRDKSHELYLHVKSEVERYIAYLKQKREKDPYRNLLSRLIYQATHSSISASQIPTFDLSD >OMO84005 pep supercontig:CCACVL1_1.0:contig09807:3682:5902:1 gene:CCACVL1_11044 transcript:OMO84005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRVDVPRRSDVGRLNRGHLHDFPQKNGFNNPSNRNNLVSQRKDGYDLGSRRCGLEDLVRVKKDGTRILDDGVQQPPQKKMKFSPIIWDLEEKEVRVSSRNRLVSKDTTLSSPSPCKFSKVVSNGDVPVPDVAAVLSPSLPDQLFQDDEPEQVLVEEEVVQAPNLFTSRWASDSDDEDVSRSEEKVRRSSSLESGECKTDDFEGDLSNERSCSVLSTCHDEDMVCKMDSDGVMDVDDMSEGDAVDESELDVEEPTGRGMNMLLGCRSVFEYEKLNKISEGTYGVVFRARDKKTGEIVALKKVKILDRRDAEEFGFPVTSLREINILASFDHPSIVKVKEVVVDDHDSVYMVMEYMEHDFKGLMDSMKRPFSTSDVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNQGELKICDFGMARQYGSPLKPYTTKVVTQWYRAPELLLGAKKYSTAVDMWSVGCIMAELLAKEPLFRGTSEIDQIRKIFDTLGTPNEKIWAGFSELPGAKANYSKQQYNMLRKKFPAASFTGYTVLSDAGFDLLNRLLTYDPAKRITADDAVNHEWFRELPLPKSKEFLPTFPSKK >OMO84007 pep supercontig:CCACVL1_1.0:contig09807:11577:13435:-1 gene:CCACVL1_11046 transcript:OMO84007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MGGGEQLVVRNKQLIFNKYVSEGLPKESDMEIRESSIQLKVPEGNKDAILLKNLYLSCDPYMRNRMKKLETPSFSFETGKPLNGYGVGKVVDSTHPDYRNGDLVWGMTAWEEYSLIIAPDGLFKIQDTDVPLTYYTGLLGMPGITAYAGFYEVCKPKKGEYVYVSAASGAVGQLVGQFAKLLGCYVVGSAGSNEKVELLTNKFGFDAAFNYKEEQDLDAALKRYFPEGIDIYFENVGGKMLDAVLPNMRNYGRIAACGMISQYNNERPQGVHNLMHVVTKRLRLEGFVAFDFYHLYPKFLEMILPFIKQGKIIYVEDKAQGLESAPAALIGLFTGRNVGKQLVVLSHD >OMO84006 pep supercontig:CCACVL1_1.0:contig09807:8941:11205:1 gene:CCACVL1_11045 transcript:OMO84006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate synthase MIGLGTYGYTAPTPKKINAYDVPEGVDIRGRFDEEFSKILTKDALQFVADLQREFRNHVKYAMECRKEAKIRYNQGALPGFDPATRYIREGQWNCAPVPPAVADRRVEITGPVERKMIINALNSGAKVFMDAVDGTITFHDKARNRVYKLNDQIAKLFVRPRGWHLPEPHILIDGEPATGCLVDFSLYFYHNFATFRKTQGEGFGPFFYLPKMENSREAKIWNSVFERAEKMAGIEKGSIRATVLIETLPAVFQMDEILYELRDHSVGLNCGRWDYIFSYVKTFQGHPDRLLPDRVQVGMAQHFMKSYSDLLIRTCHRRGVHAMGGMAAQIPIRDDPAANEAAFDLVRKDKLREVKAGHDGTWAAHPGLIQACMEVFTNNMGNAPNQIQTVKREDAVNITEEDLLQRPRGVRTMEGLRLNTRVGIQYLAAWLTGSGSVPLYNLMEDAATAEISRVQNWQWLKYGVELDGDGLGVKVNHDLFWRVVEEEMGRIEREVGKDKFKKGMYKEACKIFTRQCTAPSLDDFLTLDAYNHIVVHYPRGMSKI >OMO99846 pep supercontig:CCACVL1_1.0:contig06788:8447:8551:1 gene:CCACVL1_03581 transcript:OMO99846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHYSSFNKGTLTYRKKTKQQSRHVAPNCRSKQ >OMO99847 pep supercontig:CCACVL1_1.0:contig06788:8990:14905:-1 gene:CCACVL1_03582 transcript:OMO99847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVAAFLLGNVAYIAAIYSTMAKQMSLLQVGSLYDA >OMO99848 pep supercontig:CCACVL1_1.0:contig06788:41049:41174:-1 gene:CCACVL1_03583 transcript:OMO99848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAQISNITLVRLQDRRSISMYYSLMSATVCDAWLLANVD >OMO80593 pep supercontig:CCACVL1_1.0:contig10309:1745:4752:-1 gene:CCACVL1_12869 transcript:OMO80593 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein MNDVLLNHEVRVRKFRLTVYDYTCNPNSVSNWIHRWTEASSLNLEELHIDVPSYVSDRYVNLPGTVFSCRRLKSLRLSGGLRIDNIPSDAVFPCLKTLKLLSVSFVGNPNLNNLLSSAVCPMLETFHIQNCSIEQFISDHDIYRTMCVIKYIRGTMGESACCSSNQLSTVDSAPNMDPTFMFVKMINKVEQLEISYANMSQFISEEYNQPVFKNLRHLVVKDCRYIDTPALSLLLKHSPNLTSLVLEEFDWWTDHEPEAASFTKCLLRNLETIQISIKNSYMKTDEVEVVKQFLKNALVLKKLVLLCDERGLRDGMKASILSEPRASTQCDIEFHSKSRIRDLAYAAAPVGRLTHHNQVAGTTTLASKLVKS >OMO96997 pep supercontig:CCACVL1_1.0:contig07332:35116:36725:-1 gene:CCACVL1_04721 transcript:OMO96997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFTSDLQGFKQSFSFLDIDPSAMESLNHFGELNQSVNLDNNNSSALNFQSFLPFSNDNFFSSNQQVHGNWSENLPANFVHQMSSSVSVAHNNNQPAVVTAKTEMFHEGCKKRKALDIVSESSSGISSSPQVSESGQKRRNNSGRGKRAKSNNEKEEEKPKEVVHVRARRGQATDSHSLAERVRRGKINERLRCLQDIVPGCYKTMGMAVMLDEIINYVQSLQNQVEFLSMKLTAASTYYDFNSESDAMEKMQRAKAQEAKELERLMREGYVGGLACFHSSSSTTWSSLT >OMO96996 pep supercontig:CCACVL1_1.0:contig07332:12032:13617:1 gene:CCACVL1_04720 transcript:OMO96996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRYSSQFGSENHILAAEEEIRDKGHRGIGSYERQMSRT >OMO96994 pep supercontig:CCACVL1_1.0:contig07332:1259:2434:-1 gene:CCACVL1_04718 transcript:OMO96994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFVTGTARFEMAVANKTMNLLESLVKEGSFKWLLSKRSTFAEEFEELERSPSAGRNWIPELSPVANIVVRRCSKILETSSSELQESFNTEASDSIKHKSRYARNFLEYCCFRALALSTRVMGHLADKKFRRLTFDMMVAWEAPATSSQSPVNLDDDLSVGVEAFSRIAPAVPIIANVIICENLFEVLTISTGGRLRFPVYDKYLNALE >OMO96995 pep supercontig:CCACVL1_1.0:contig07332:4380:4562:1 gene:CCACVL1_04719 transcript:OMO96995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTERREPRFEGDGKGRKRESNTEWESVRHHRLGPPPFNPFPDVRYGEDLDGFQATDSTMD >OMP05461 pep supercontig:CCACVL1_1.0:contig05378:528:593:1 gene:CCACVL1_01894 transcript:OMP05461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ANAPPQIFFFFSHYPSCNTFD >OMP12139 pep supercontig:CCACVL1_1.0:contig00377:1320:2608:-1 gene:CCACVL1_00106 transcript:OMP12139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPKEKRKISCKPGVEFQEYPGLLAA >OMP12138 pep supercontig:CCACVL1_1.0:contig00377:83:789:1 gene:CCACVL1_00105 transcript:OMP12138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IIETRRSLPKDLTQEDMVMDSFFTNLETELASAETTVFSQEVEEALAKVEEALNIAPADFGDLARVCSIEKAFKVLCVSDCSSSFTLNQKAESLCMEKSFKELPERVAKAIRDKNMILGKESIKLTISQSLESNRIKFIETKAVTEEIDKKIG >OMP00033 pep supercontig:CCACVL1_1.0:contig06738:4484:4603:-1 gene:CCACVL1_03488 transcript:OMP00033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQNKNYKAYNKRGAIELAVMEGRKTEFGGQFSACAQS >OMP00034 pep supercontig:CCACVL1_1.0:contig06738:28104:28184:-1 gene:CCACVL1_03489 transcript:OMP00034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDFAEEEGRVECRVWFWALPFWRI >OMO71891 pep supercontig:CCACVL1_1.0:contig11529:372:464:-1 gene:CCACVL1_18037 transcript:OMO71891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGLSAAIKLDIKGKKKRFLGRVILFRRVQFK >OMO89783 pep supercontig:CCACVL1_1.0:contig08614:14016:14204:1 gene:CCACVL1_07632 transcript:OMO89783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARASVSALNLIKEDFPATTPLNNEKARLLSIADFESKSANNTPTSLDFPKIRPTPLSDLQM >OMP12063 pep supercontig:CCACVL1_1.0:contig00484:533:601:1 gene:CCACVL1_00154 transcript:OMP12063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASALRTSKKEQNYRRDKKQGGD >OMP08288 pep supercontig:CCACVL1_1.0:contig03893:858:920:1 gene:CCACVL1_01132 transcript:OMP08288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGATKGGGKVEEVALGDW >OMP10368 pep supercontig:CCACVL1_1.0:contig02572:52:1133:-1 gene:CCACVL1_00982 transcript:OMP10368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSIVGNVFGFKALRALRLEDLRIPPAYIKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEAIFKSQAETGEIKGHYLNATAGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHAGTVVGKLEGERDITLGFVDLLRDDFIEKDRSRGIYFTQDWVSIPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNEVIREASKWSPELAAACEVWKEIKFEFQAMDTL >OMO62597 pep supercontig:CCACVL1_1.0:contig13235:3615:3674:1 gene:CCACVL1_22727 transcript:OMO62597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHPPMQIKANVMALNRETS >OMO62598 pep supercontig:CCACVL1_1.0:contig13235:6758:8926:-1 gene:CCACVL1_22728 transcript:OMO62598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAVGDSPETDMEYIAPTTDRPC >OMO72241 pep supercontig:CCACVL1_1.0:contig11489:8359:10379:-1 gene:CCACVL1_17881 transcript:OMO72241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MADGGFAAQVQYELAAMLQKYEQLESKPEPKYQQKIDSSVDNLHSSINRLLSSIDHLALEVRRLTEIYLTQRENGSGSTIAINSDDGGKSGSQSETVSLLGAKSGSQSQTVSLLEEANSPLSVTDFSNGDHQPYCHSLNNHAKVGCPQLVATEFFGCHEKINQSFDVSIPAKKSCLGSNKNKDQLAGLEELPVVTVELPLKLDSDNFSTTLMLFNYYLGSTATVMRFVTSILTGVILLNDCSLWYNAWKMHVLGTKLEYFIGTSIIGRYTPVELFQIGKKIQGYILRTWGCIGRVLVKDEQFFLLWPQQDGTLALKDVYKGMSPSGPELRKISGDSHVLREICYEVNVEEPWRSLCCLKIMMEQKERVIVYGLLQALGTAQLQWIFPRHNSLSESSRVVPQGVDAIQMFATKCSYTTLPHKISLLAGIALLEQLMQLAAYFQWLSCLYQLLHCFYLLSWSTTKTLAPSLRSRMLPWRKYLKITDIRASKVQRVGVFDSIIDFPCCLFIRKYGSSTSTEWTMKTQAMSDNSKSACISRKGTMGISLGNCMMVEIKRICDNENIIRNWFFEAQQRMKHIGYAPSKVLDSHGTFVSEPTTVLARRMAKFPSFNPCGQGSFEERGNCYTPNNYQAQYLSCLY >OMO72242 pep supercontig:CCACVL1_1.0:contig11489:10726:11281:1 gene:CCACVL1_17882 transcript:OMO72242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASRKVADVDQSEFSQAEGNQW >OMO72243 pep supercontig:CCACVL1_1.0:contig11489:22030:22182:1 gene:CCACVL1_17883 transcript:OMO72243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKQNPKWMKIELGFINPTPMKLNCRLLLPMEGSMILAIHVETTEILMKE >OMO99577 pep supercontig:CCACVL1_1.0:contig06861:8877:8975:-1 gene:CCACVL1_03729 transcript:OMO99577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKETSEEDEDGEIISSSMANTTKETRRKRMD >OMO99576 pep supercontig:CCACVL1_1.0:contig06861:192:4906:1 gene:CCACVL1_03728 transcript:OMO99576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MALNSLYSSGWFALGFAAMKTGDVQRALDAFTRAIQLDPENWEAWGNIAYLHLIRKKKKESFIAYTQAVKFMRDSWKLWENYGLVAVEVGKIVQALDAARRVLDVTNYKITGVRLLDKITQYLEESSSNTHSAVSDGDYCANKNLIDSCIYSVDKLANSEPNEGMSPEKWQMVKLLGNILDEISRRNPIADIWGLCARCHRMNGDLIMCSVALSKQIRSYKGSDMWKDGDRYRRFAHSLWELCKIYITLFSSTGGHEQLQSAERELQNAIKQARYSCIFLVA >OMO57251 pep supercontig:CCACVL1_1.0:contig14420:12264:26344:-1 gene:CCACVL1_25879 transcript:OMO57251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MSGWDTVRSSTRSNQDRNHNLNQRAPSRTVTLGRVQPQAPAFRTIYCNDRDANIAHRYKGNSISTTKYNFFTFLPKGLYEQFRRVANLYFLMVSILSATPYSPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMAINNTLVDVLQDQKWESIPWKKLQVGDIIRVKQDGFFPADLLLLASTNADGICYIETANLDGETNLKIRKGLERTWDYLTPEKACEFKGEVQCEQPNNSLYTFTGNLVMDNQTLPLSPNQLLLRGCSLKNTEFIVGTVIFTGHETKVMMNSMNVPSKRSTLERKLDKLILTLFCTLFTMCLIGAIASGVFINRKYYFLGLSKGVEDQFNPNNRFLVALLTMLTLLTLYSTIIPISLYVSIEMIKFIQSTQFINKDLNMYHAESDTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGETYGTGMTEIERGVAERKGIKVQEASISSSSVKEKGFNFDDARLMRGAWRNEANPDACKEFFRCLAICHTVLPEGDESPEKIKYQAASPDEAALVVAAKNFGFFFYRRTPTMIYVRESHVERMGKIQDVSYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADTVIFERLVSGNDDLKKVTREHLEQYGSAGLRTLCLAYRDLAPDMYESWNEKFIQAKSSLRDREKKLDEVAELIEKDLVLIGATAIEDKLQEGVPNCIETLSRAGIKIWVLTGDKMETAINIAYACNLLNNEMKQFIISSDTDAIREVEERGDQVEIARFIKEEVKKQLKNCLDEAQQYFHTVSGPKLALVIDGKCLMYALDPSLRIMLLNLSLNCSSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHIGVGISGLEGMQAVMASDFAIAQFRFLKDLLLVHGRWSYLRLCKVVTYFFYKNLTFTLTQFWFTFNTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSSSLSKKYPELYKEGIRNMFFKWRVVAIWAFFAVYQSLVFFYFVTVSSSTSQGSSGKMFGLWDISTMAFTCVVVTVNLRLLMICNSITRWHYISVGGSILAWFFFIFVYSGIMTPYDRQENVYWVIYVLMSTFYFYITLLLVPVAALLGDFLYLGIQRWFFPYDFQIVQEIHKDEIEETGRSDLLEIGNQLTPDEARSYAISQLPRELSKHTGFAFDSPGYESFFAAQLGIHAPQKAWDVARRASMRSKPKTSKKH >OMO57252 pep supercontig:CCACVL1_1.0:contig14420:38196:39254:1 gene:CCACVL1_25880 transcript:OMO57252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLICVCFDNFQFYGSSGEETGAIDDPFFGDLVEEEESDEDDETEESSVDLLIRFLQSMFKKASKRAKKASRSVLPAAMSPQLVSFAVDGVLLLAALSIVKALLEVVCTLGGTVFVVILLLRVIWAAVSYFQSSGSSFNQGEGSFGSAQPVT >OMO57249 pep supercontig:CCACVL1_1.0:contig14420:683:2326:1 gene:CCACVL1_25877 transcript:OMO57249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQGCEFQALLELCYTRMQPRDLSNRGTYRKF >OMO57250 pep supercontig:CCACVL1_1.0:contig14420:2921:11303:1 gene:CCACVL1_25878 transcript:OMO57250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDGVVNTTSPAGFRLRWDVFLSFRGEDTRHGITQSLYDCLVENGIRVFRDDDGLERGDQIAPSLLEAIEDSAASIVILSRDYASSHWCLEELARICELKRLILHVFYEVDPSHVRKQRGSFEKAFCSHEKRFGIDKVKSWRNALETVGGIAGFVFTENSDEKLLIRVLVRKVLKKVNNTPFRVATYVVGLDKRVTDLINMLDVKSNGVKMLGLHGMGGIGKTTLAKAVFNKILPHFEFRSFISNVRDLSRQEDGLVSLQNKIMADLSITNLNNVDNTDANANASKIRKFVNEKNVLLVLDDVDEVSQLHPLGATISKWQGDGRSRIIVTTRNRGVLGEHYVNHLYEVKELYFEPALELFSYHALGRPKPTDEFMKLSKQIVTLTGNLPLAVEVFGSFLWDKRKVSEWEDALKKLEGIRPRELQDVLKISFDGLDMENQRIFLDIACLFVNMRMKREDMVDILKGCDFKAEIALRVLEEKSLIKFTAWDDSLTMHDQIRDMGRQIIQNENFADPGMRSRLWDRDEIISVLKNHKGTRRTEGIAMDLKKEANNKKEVVVDTKPFESLVNLRLLQINNVKLEGKFQFLPHELKWLQWQGCSLKTLPPFCPQKLAVLDLSDSKNLERVWNTCKVVAENLMVMNLSGCSKLAALPDLSGHGKLQKLVLTYCVGLINIHESVGTLKSLRHLNLTGCKNLTELPSDVQGMKNLQTFILNDCLELKKLPESIDSMRSLKELYANSTSIKNLPESIYRLEKLEKLSLRNCQQMKQLPACVGKLVSLKELHLDGSALEQLSDAIGDLENLEILSLIFCGSLTQLPDTIRNLKLLKRLLIKGSAITELPNPIGWLPYLKYLSVGGRQLSILPDSLQGLASVVELRIDETSITDLPSQIGALRLLRKLEIISCTGLKSLPESIGSLLALTHLNIFNADITELPESFGMLENLITLRLNECKRLCKLPSSIGNLKSLHHFYMPETAMTQLPDSFGMLSSLVVLKMRKKEKSKSFSVLPASFSNLSSLEDFDAHGWSICGEISDDFEKLSALEFLDLGKNDFSKLPSSLRGLSLLKKLFLPKCEELKSVPPLPSSLEELNLADCISLEKISDLSSLNSLELLNLTNCEKLVDIPGLECLTSMKRLYLTNCRTCSSAAKQRLSEVYLKNLRSLSLPGSGIPDWFSRDSVRFSSHKRLDFKGVIVAVVVSLNHQIADELRNELPAIVDIQAKIFDGDHKQIFATVLDLTGVPRTNEDQVYLLRYPELYPIVSMLKDGFEIQVTMRNPPYVKGAELKKAGIFLVFENDDDY >OMO57253 pep supercontig:CCACVL1_1.0:contig14420:40548:42948:-1 gene:CCACVL1_25881 transcript:OMO57253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPAMMSDAGYNLTEIWQYPVSESGIRRSQFGPGLGQFGDPNREVSGNDPLGLEQRQLQQQQRASGLRRRRDGEDEAAKSDGDGKRLKASGGRDENHDSKAETEPSSGKPLEQKAQAPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRMTPGIDVFPPKDYGQQTFDVTGMAFGSQATRDFSRGTSPEWLHIGGGFERTT >OMO75188 pep supercontig:CCACVL1_1.0:contig11061:62430:62898:-1 gene:CCACVL1_16285 transcript:OMO75188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETVVKLFSKSLTDTDIRKRLAINPYQNPTLFARNGAYKKPVFSNGWKDFVVGNDLNVGDQVTLYRVMDEASCVFYRIDVEKPARPSTPSDHQAFSTDLSDHQVDDHDHNQYPVEAPMNLELTLALP >OMO75185 pep supercontig:CCACVL1_1.0:contig11061:46987:47835:1 gene:CCACVL1_16282 transcript:OMO75185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIFSKLLTETDIKKRLAIPSNALPFLPDFNGRHAVEIRLLYRKRAWPIICSVRKMGYKKPVFSGGWRDFVTYNQFNVGDVFKLHKHKETGSFFYYRVEVDKKQPIPPALSATNIFLNNFEQELEQVFKVAALIPAVKEERAVTVTEPSPTDHASVTADHVISSTSTNTIFNTGGISKEDQFKNEHEAEINFFGAKPIIIGMSEPPFHAYYTTKSVLDMMMIKPFDDLDGVGGSLASHADAEAYYKSKQRLNLDLVLAQPTPIHEGQVLNLDLTLAQPAAPC >OMO75196 pep supercontig:CCACVL1_1.0:contig11061:108313:111028:1 gene:CCACVL1_16293 transcript:OMO75196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGNSLSFFYLLFLLSLLITHVFADSHFEGFDAEEDDAVEEEPLDHHSIRSPPLTQSESQPAPDPQTQVTPDPDPVPTSDPPPQSDLQKPSTTTFEYWDEDEFEGLPVEQPPPEPPKVTETATPNESDPTTTSKPQTAPASKKSFTVEIVCGSFLIAFLINYFTGKRENENLALAWAAKFATKGSIFEKNFSLLGVGEGDDSPLLLKEGQTVFKFYASGRRYCQGLLATMELKSRHDLISRLFNMVVPCKDEISFEVYMNDESMDQVIFAVAKKKAAKGMQKEIRDLQRFAGLVAAPSGRKWVAEELSVISESKEVAGDLITEAVLEQVFGDKAFEKYGKDFISMHFSDQHPGTHKKMLLFKFALPDANNMADMTRLVALVPYYIDLIGRYKLSSQARSKTEAARAKAAQEAYKELQNARQEALQRKKMERKKMLEEAEAKLSAEAVRKKEAKERARQMKKAMPKMKMTRAQ >OMO75192 pep supercontig:CCACVL1_1.0:contig11061:77377:79992:-1 gene:CCACVL1_16289 transcript:OMO75192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVVVSPTYCCRAHQTLEPSCSRPTTTKHLSFFGTRISLTKGRNPARRSSWRCVRIRSPALTRRQVVKAVATPDSALELPLTAENVESVLDEVRPYLIADGGNVALHEIDGNVVRLKLQGACGSCPSSVMTMKMGIEKRLMEKIPEIVAVEPVTDEETGLELNEENIEKVLEEIRPYLVGAAGGSLELVAIEEPIVKVRITGPAAGVMTVRVAVTQKLREKIPAIAAVQLL >OMO75206 pep supercontig:CCACVL1_1.0:contig11061:172584:175769:1 gene:CCACVL1_16303 transcript:OMO75206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRGHKKGNKAKAKAAAVVPNEAAVNVVSVNSQDNSVKDEHESGMEVDTPSSTGTEQALNVASINPDGSIHKAAGKSVGRVKVKLKTSSKAMESDVPSHSDTDKSSPHVGIGRQDVVNEKMEDSANSSGEMKAGVSSSVSRKAGSIKIKSSRMLGGSNADKGNAVAAERDSSLQKEVKTPHQGSRYNKQELDSALTVIKKVMKMEAAAPFNEPVNPEALGIPDYFDIIDTPMDFGTICKNLENSDKYMNSEDVFKDVQYIWDNCCKYNNKGDAILDLMRRVKKNFMKYWTAAGLYSEQSRGTNDMAELAVLIPFVTGAEGGEVEDAALSSQGKMQMKAGQSKMKSRKQGRRHKSDCLCAICVLKRRKREREANARVAKGQIGVQEMQQEESSPVNSPYGDDSSLNMDEAVDLDADGEVEAEGEKIKLEASERQNSHTEERHEEEDDDKEEEEEEDEEENDINIVNKGEGERKEQSQLGEILSKEPISQPEIVEKLGAADICQKESIAAQNEEESEAVQQHRDKESQERRQRAKLLEGFRFENPMLLDLCGILFPDKQKSVWSGPHSLVKNQGSRTSPIHAAIETLM >OMO75187 pep supercontig:CCACVL1_1.0:contig11061:57317:61542:1 gene:CCACVL1_16284 transcript:OMO75187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MMFGSEAKMQIHEELLELIKSGEMLCLEANDHVKYSFRAECYEVAKRVDHLLQMLKNLFCFLKSARTCLYLRPLHCILAEVNHNFELAATMVHKSKRRSLFRRFFRSLFTGHTYTRFHDLFHLLDDSICRMEWLLIVYNPQNSRAAAPIETKKSTTFLVWSCIATLQMGCELEDRVNAAECLALLAKEKDEFKELIFEEGGVPPLQKLFRGSSSTLKAQITAADALCLLANDKERRRIIIKEMTATIINRLSRTSPMKDQIQAANLVTSIAEHNPQVKEDDLFREKVIWRLVTLLSSEPSTDDDARKDLQLLKLKISCSKALRVLTLESLPNCRTLTETKGMLCLAKLVETEHDELQYNCLMIIKEITFLAESDKDFRNSAFKPTSGAAKAVVDQLLRVITEFDDTTLKTPVIKSIGSLARSFSAKDSRVIISPLVSQLGDAEQEVAMEAAIALQKFVSPENALSLEHSKSIIGFNGIPLLMKLLGGHKESQRHGLALICSLSKHDSNRNVLIEAGALTVLETTGQEVAAEDPELNNLVSDTIFKLQSNSATHKESNDSLSEARIRSKRCRSLALLKQVKVLCDLIILANQVGQEAEQATSYLKPQCIEMATKVCHLSQLLPTLFAFTNNPTPFFNQILCVVTTQLFNIFQEALTLASTCKPRKTSFFSPFFDIDLSTSVDIYPTHFHHLHRRLDNSIANLNWLRVLSNPEFGSAFNEIFLSTRAPQFLTIDFPTLSDWFCMATETMIRKLSKILTTEIAPPRDLTICCVEALSMLNIPIQFATLKYNRVLRATESLMVTLAQLVEHGAIIMQYNCLMIIVEITAAVENDLDLRCKLFKTHSPGSKAIVEQLLRVIEESQDPSMQILAIRSIGSLARIFSERNNRRVIRILVLQVGNYIGHPEVATEAVMALRKFACPGNHLREVHSKTIIMEFQAVRCQLNKLFIDV >OMO75180 pep supercontig:CCACVL1_1.0:contig11061:32842:33459:-1 gene:CCACVL1_16277 transcript:OMO75180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFSKILTKTDIRKRLSVRTKKKECFLNFGDKYKVEFKVIDQKGIVWPFECSKREKGKYPKPVLSKGWLAFVREWQLAVGDEVIFHEELDKAGQCFYRIQVIKTTTNQSPVPNHDAFEPTGVASSGDLEEDPSATMNSGVTTDDDPAIDDQSEGVRGQPVTGNMVGFMFGFGSEEPGVEVREPQFIDFFEREKERPSPTFIQFF >OMO75191 pep supercontig:CCACVL1_1.0:contig11061:73466:75140:-1 gene:CCACVL1_16288 transcript:OMO75191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQKTVVKLFSKSLTETDTNKRLAIPTKILPLLPDFRGTSAVKIQLIYGTKEWPIVCTIRKNGHKKPVFSHGWREFVRCNNLNAGDQVSLYRVLKKDETETGGSVDVYYMIDVKKPTRACPILPESAFFTPSPKVDDGPTLSVSLEDPDPNQLPDAPMEEEELAATKAHVMAVSSDIPYYYMSREERQINYPFGLASVGAAAALFHGTSQAYNYDDKSSTRLSLDLVLGRQSQSVLPPPKAFSTIVDLQFLFPFSP >OMO75195 pep supercontig:CCACVL1_1.0:contig11061:88188:105541:1 gene:CCACVL1_16292 transcript:OMO75195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKKPQKQSNRKSTSSSTSSSSKTSKPSSAAPRLQISAENENRLRRLLLNSGHSVQSQTDPNPAQDSLSKAQKAKKLKAVYEKLSCEGFSNDQIELVLSSLKDGATFEAALDWLCLNLPRNELPLKFSSGTSLHSDGGGTISVISLPQEEDWTPSVDASTRIKENLQGLSVRNKASADDDSLNTCQQSQADWIRQYMEQQEEDDSKTWEDEASDEDSIKESFTVLCKPGQLAVSGPRPYDVIAKEYHEARLEATKAKEKRDKKGQEKAGNIIRKLKQELSALGLSDAALESDFLHERTSAYAAEPFTCSMPEEHPEAISLDDEEGDSAASVMFWGEATGDVNDMDDSSNDFSTKPSPSMLPAQEKSVSENMSEDVEIGDFFLEDASPNDGLPPEVLQLQKKEKMKEFYSEKNLEKLDGIWKKGDTKKIPKAVLHQLCQKSGWEAPKFNKLPVKGKTFAYSVSVLRKASGRGKNRKAGGLVTLQLPDEQEASESAEDAQNRVAAFALCQLFPDLPIQLVVTEPYSSLFFQWKEGESLNKIEDNEEERRAGFVDWLLNADDSRSKAPSDDTDKSALDEFPKASIGGKKTLSSAVADATAERTRHEKEAESLYLRQEEESKKQTQKYQRMLKARSALPIAGLKNDILQLLKENNVLVVCGETGSGKTTQVPQFILDDMIESGRGAHCNIICTQPRRIAAISVAERVADERCEPPPGSNGSLVGYQVRLDNARNEKTKLLFCTTGILLRKLAGDKSLTGVTHIIVDEVHERSLLGDFLLIVLKNLIEKQSAHGTPKLKVILMSATVDSELFSRYFGLCPVITAQGRTHPVTTCFLEDIYESINYHLASDSPASLRYETSTIDTSGTVNNRRGKKNLVLSAWGDDSMLSEDYVNPHYDSNNFLSQKRLNEDVIDYDLLEDLVCHVDETCCEGAILIFLPGVAEIHTLLDRLAASYRFGGSSADWLLPLHSSVASTEQKKVFLSPPDGIRKVIIATNIAETSITIDDVVYVIDCGKHKENRYNPQKKLSSMVEDWISRANAKQRRGRAGRVKPGICFCLYTRHRFEKLMRPYQALEPPKEEAMNSAISLLYEVGAVEGDEELTPLGHHLAKLPVDVLIGKMLLYGGIFGCLSPILSISAFLSYKSPFLYPKDEKQNVERAKLALLSDKLDDSSDSNEGDRQSDHLLMMVAYHKWEKILREKGGKAALQFCNKFYLSSSVMYMIRDMRIQFGTLLADIGFINLPKNRQVWGKKKESLDSWFSDYSQSFNMHSHHSAVVKAILCAGLYPNVAAMELGIAAVTLSRLNPATKGRLFWYDGRREVHIHPSSINSSLKAFQHPFLVFLEKVETNKVFLRDTTIISPFSLLLFGGSINIQHQSGLVLIDGWMKLTAPAQTAVLCKELRSALHSIMKDLIRKPENATVVDNEVVKSMIHLLLEEDKPPK >OMO75197 pep supercontig:CCACVL1_1.0:contig11061:111767:117198:-1 gene:CCACVL1_16294 transcript:OMO75197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCETLAAKASVSPYDLLFQAFLLIPVSHYFLAVFFLFLIFLYNFLEIHFLHDLFTLFRGDPVTLTYNSCSQLYQSVVSKCKVLHGRYLVTPWLSSPHLLTAFLSIFEKAPSVTYRRQIFRALDGGTIALDWLTCSDVVEGTSRMIDCSCSATIKGDKTPILIVIPGLTSDSASAYVRHLAFTVARQGWKVVVSNHRGLGGVSLTSDCCYNAGWTEDTRKIIDHIHCEYPEAPLFAVGTSIGANILVKYLGEEGTNTPVVGAAAICSPWDLLICDRFINRNPVQKLYSRVLTVGLQGYAQLHHSILSRLLDWEGITKSNSVRDFDNHATRVLGKFETVDTYYRRSSSTNYVEKVSVPLLCVSALDDPVCTSEAIPWDECRLNENIILATTPHGGHLAYYEGIAASSLWWVRAVDEFFGVLRSSPYIQRRQKMQGSSLPGPLESSIDQGPYVNVTEDGMVAAVGNEPRDPVQEDMPNEHMVQSKKDDDTNQEKGTSVGLTDKPYPEKHLMQQPDQNVKDLIAPVQRRVDQLSRRSRQSIWLMAYIAIITTWPFVGSVLLSVLKRRFKSFVPASLLRK >OMO75201 pep supercontig:CCACVL1_1.0:contig11061:133465:139761:-1 gene:CCACVL1_16298 transcript:OMO75201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bile acid:sodium symporter MSLLSLNLSPFLSPPQNHLKFSFKPHFSRPKSSSQPKFRFLPKVKAVRQNSDLPSAPPAKPRWENVISTAASLYPLYVTVGGIVACLKPSAFAWFVKRGPASYSLSLGLIMLAMGLTLELKDLLNLFIQRPFSILFGCVAQYTIMPTFGFLISKTLGLSPSLSVGLILLACCPGGAASNVVTLIARGDVPLSTVMTVCTTLGAVILTPILTMIMAGTYVPVDAVGLSISTLQVVVAPVLIGSYIQSSFPVVVKNFTPFAPLFAVLASSLLACSVFSENVVRVKSSMVNASLASSASLIDRLQSILSGELGVVILSVFLLHLAGYFVGYLSAAICRFREPERRAISIEVGMQNSSLGVVLATSHFASPAVALPPAMSAVIMNIMGSSLGFFWRYIDPSDPKTTQELDDDENMLDINIAADEANYYNNDNEHEKKVLKQGDDHGMSQSIDLFRKYLLSKSQNHNVGKTNKEEESEILKKKSPPPRPLIPLMPPPQFAFCTNFARPLSLLERKLLPAPGTRGESETHRETISTSPGNNDSSKAQLTIFYAGVINVYDDVPNDKAQAIMLLAGESSLSKPIAIANHEESKTELPKTPLHLHRPNIESSCKLQAADLPIARKLSLQYFIEKRRRRIVCNSPYAAAAAKKDQEKEINELKSDNNNNFKENNNHNISLSPFPSRLGYFLPAAPNRGCQA >OMO75202 pep supercontig:CCACVL1_1.0:contig11061:140556:140798:-1 gene:CCACVL1_16299 transcript:OMO75202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-18 MSNNAKEQRSQEGSESQSQKKDVLEGLPLESSPYLKYHDLEDYKRQAYGTEGHLQVQEKRGAAGSTDAPTLSDAKNATTN >OMO75183 pep supercontig:CCACVL1_1.0:contig11061:41707:43737:1 gene:CCACVL1_16280 transcript:OMO75183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MLHSEGRRMQKLFWDLSLLEEKISSAVEDVKSFVEECSQVRKRSKQLSEMLDTLLCFINSTQVPLYFRPIDCIVSTVKDNLELALDIVSKCKRRRSLLGRLFTGCNATQFHKLFNLLDASIRDMEWLVTVYDPKNGAMGPVSNKKSARMVVWSCIATAQMARKLDDRIHTAKLLASLAVAEENDEYKELIFEEGGVPLLQQLLKKTSSVEVEAQITVANALCLLATDEKRKDFILKEMVSSIVNRLSRKSPLMDQIQAVDLVSSIIEHKELKEYHLIRENVIWRLVTLLSPEESTETNLQTLELRIRCSKALLKLALGSVKNCRTLTETKGMLCLAKLVETEQDELQSNCLMIIKEITTLAESNEEFRHSTFKTTSAAAKAVVHQLLRVTEEFDTKLKIPAIKSIGSLARSFSAKHSQVITPLVSQLDNANQEVALEAAKSLQKFVCPDNGLKMAHSKSIIESNGIPLLMNLIHGDKEKELQQHGLALMCYLAKHVENREALIKAGALTALETTGCKVAAEDRMLNKLVTEAIEQLRSNRTDDNKPQEPESSSECSIKQIFMENSKAAFGSLRCGSNVLFEKLTSLPQKLQVKPSSMENRCEMGLSVVSYLRNKRISHSPRRLRDVMDHLGARLAKAVAQNLWLKNIDKQSTKKLIRKKFGFIIHKFCQNVKCKYW >OMO75204 pep supercontig:CCACVL1_1.0:contig11061:143991:144128:-1 gene:CCACVL1_16301 transcript:OMO75204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKWRTEVGAKVLGETIGLEVGAEVEVESDLLKGTIIDSWRREK >OMO75190 pep supercontig:CCACVL1_1.0:contig11061:69782:71179:1 gene:CCACVL1_16287 transcript:OMO75190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFSKLLTETDIKKRLAIPSNALPFLPDFSGRHSVEIRLLYGTRVWPIICSVRKTGYKKPVFSGGWRDFVTYNQFNVGDEFKLHKHKETGSCFYYRVEVDKNHPRSAATALESETSTLSPPAFSGTKIFKAEHEREQPFNAGAIPPVKEEAADATVTVIADHVISSISPNFIFGTAVSDESTSKDQYLKNEHEPEINFFGAKPTTVLTNTHIL >OMO75193 pep supercontig:CCACVL1_1.0:contig11061:82114:82851:-1 gene:CCACVL1_16290 transcript:OMO75193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQSSTPIPSPKPPKDYRSNVDTSRPFRSVKEAVAVFGERLLVGEIYTPKPYTYSRPPSQEITWYSPSPPQNGVVDNNNNQPQPGVLDTLKKLEAELEETKAELKLLKQRESETEIALASLNAELHKNMSKLASAEAAEAKRAAAATATPRTASLEVAGINIGEDIAREEERRKELMKRMENNPTLSQILSIGDKEGYFGGKRSERKLMKKKPIVPLVGDWLFKKKGSSTPLHNSLYASTGNVF >OMO75177 pep supercontig:CCACVL1_1.0:contig11061:9594:11360:1 gene:CCACVL1_16274 transcript:OMO75177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MELTDLQSNKQSSNNTNTNNNNNNNNNNNSSTTTEPHHHHHHQSLHHHHHLLLGQHQKQSPSPSLVVPFDGSRSSSATPNPFNMASFSPSHPHHHPLHPHHHHHLLLNPSSSTAATSPTPPLSSSSSSSSSSSSSASTTTTNPPQLVDASLAIATRSTSLNTDSAKKNQPNLQVSTTTTTTTTTAVTTANPPVKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNVSLRSSGSTLSAPPSKSAPHSFHSALALASHHHHHPYEEGFAHSALLGFHHQQQQHQQSQHHLLTADQIAEALPGGGSGGGGGGGDSGNSSENYMRKRFREDLFKDESNQQQGESGSGGGGGGSGSGDGSPIKAFKSSLSQLPKPEQEAGSSGLLRSSNILPATAMWAVAPAPTSGAGSTFWMLPVTTGAAGPSVATGASGAGPSEPQMWPFGTASPASGNTLQAPLHFLPRFNLPGNLEFQGGRASPLQLGSMLMQQQPSQHLGLGMSESNLGMLAALNAYSRSGSNINSEQNNPMEHHHHQQQQHQPQGTDSGDEDPNNSQ >OMO75199 pep supercontig:CCACVL1_1.0:contig11061:124262:125058:1 gene:CCACVL1_16296 transcript:OMO75199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWLSSTSSSSSTTLPLLSLNHVSFVCKSVSKSVKFYEEVLGFVLIQRPSSFKFEGAWLFNYGIGIHLLESESNVPTKKGKINPKDNHISFQCSDMNLVMRKLEEMNIEYVTAVVEEGGIQVDQLFFHDPDGYMVEICNCQNLPVLPLASCPLKLNLPNSTTTHAPSSFYGKESRERHCAGVASLMMENLAIDLLDISI >OMO75189 pep supercontig:CCACVL1_1.0:contig11061:65889:66446:1 gene:CCACVL1_16286 transcript:OMO75189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETVKIYQKRKILSKLLSETDIKKRLAIPVKILPALPEFNGRHAVKFQVMYGNGTRMWPIVCSVRKHGGYKKPVISVGWRNFVICNGLEDKVGYELTLYKVQDELGTNFHYSIQVEKPNPVEVQEISNGTSSASNAPQLIKTKEESDIKIKPPFDLNEKPGGGSVAPGAGEALQMYLMNNPNEG >OMO75179 pep supercontig:CCACVL1_1.0:contig11061:26643:30895:1 gene:CCACVL1_16276 transcript:OMO75179 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP phosphoribosyltransferase HisG MSLCPPAFTPAFSISTPCPSIHISVSKRSFISCCSSQSQTAVVNGKLDARIVERDEIRIGLPSKGRMAADTLDLLKDCQLSVKQVNPRQYVAEIPQLSNVEVWFQRPKDIVRKLVSGDLDLGIVGLDTVSEYGQENEDLIIVHDALEYGDCRLSIAIPKYGIFENINSLKELAQMPQWTVEKPLRVVTGFTYLGPKFMKENGLKHVMFSTADGALEAAPAMGIADAILDLVSSGTTLKENNLKEIEGGVVLESQAVLVASRKALVQRKGVLDTTHEILERFEAHLRAVGQFTVTANMRGSSAEDVAERVLSQPSLSGLQVSADYYAIVICVPKMALYKSVQQLRAIGGSGVLISPLTYIFDEETPRWRELLSKLGL >OMO75200 pep supercontig:CCACVL1_1.0:contig11061:125752:131113:-1 gene:CCACVL1_16297 transcript:OMO75200 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASTOR/POLLUX/SYM8 ion channel MHNNGGSGESPSIQLPRNPSLKKAERPPKLKKFKATSLDDQPSTPHFPGPLFPAVRGVTGLPPSSNRHVSIDTDASTKHTSRSNVSTSLGNADANSLSNRDWFYPSFLGPQAARNRVVTVKAASKSPSQGSGERLVGDVQGKVVDGLPQTYTPTKKEEVKIMASQVSTTTMTHLSSVSSPSMRMIRRIKWKSYLFFSLIIFSCIYPVSYVIHLRNKVARLEKENINLRTWCSEINVVGNNDNEVLQPDEYSAFNFFGNADSRTVALYTVVFTLVMPFMLYKYLDYLPQIKNISKRTQPNKEEVPLKKRIAYMVDVCFSVYPYAKLLALLFATMFLIGFGGLALYAVSDASLAEALWLSWSFVADSGNHTDCVGIGPRIVSVSISAGGMLIFAMMLGLVSDSISEKVDSLRKGKSEVIEKNHILILGWSEKLGSLLKQLAIANKSLGGGVVGVLAERDKEEMEMDIAKLEFDFMGTSFICRSGSPLILADLKKVSVSKARAIIVLATDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGDLIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENNEFYIKRWPQLDGMRFKDVLLSFPDAIPCGVKVAADNGKIILNPDDNYGLKEGDEVLVIAEDDDTYAPGPLPELHRFVFPKLPEPPKFPEKILFCGWRRDIDDMIMVLEAFLAPGSELWMFNEVPEKERERKLIDGGLDISGLENIKLVHREGNAVIRRHLESLPLETFDSILILADESLEDFVVHSDSRSLASLLLIRDIQSKRLPHKDTKSTSLRPAGFSHSSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLFDQEELSFYDVVIRGRERQEIVIGYRLANSERAIINPPKKSEPRKWSLDDVFVVISSGS >OMO75194 pep supercontig:CCACVL1_1.0:contig11061:85961:86317:1 gene:CCACVL1_16291 transcript:OMO75194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIFSKILTKTDVEKRLAVPSKHLGSFPRFKGHEHAVNFEAIDDQSGKICVFQCSIRKKNYMKPVISKGWVQYARNNKLRVGDKVKFYREAGGNYRIKVKKSVKIFGVVIGYAPARG >OMO75182 pep supercontig:CCACVL1_1.0:contig11061:38091:40038:1 gene:CCACVL1_16279 transcript:OMO75182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAQSFLHQWLDVVKRVNRLTLMLETLLDFIKYSTKKSLYLRPVDVILEEVQRSLKHALAMASNCNLQNVFCRIFTFTTESPDFQTLFRNLNDSSGNMRWLLEVYDPKNNGTFGGIIVFLPPIAINNPFLLLVWHCIATVQMGRHLSDRIDAVNSLASLAEDNDMYKRCIVEERGVPVLLKLLEESPFLEAQIAAINALCIIADVEEIMQIIAIAIVGLLYNAPVEEALWMLARGNVSNCRIITETKAMLCLANLVQKKQSRLLQYYCLMTIKEIAAAAESDIGFRCATFKTNSPSAKAVVNQLLRVIKESKKPEFQIAAIKSVGSLARIFPARESTRVIGALVSLLDNRHLAVASESTIALQKFTCEKNFLHEEHLKSIEESSALMRLQRCGEFAVIQ >OMO75178 pep supercontig:CCACVL1_1.0:contig11061:14936:23613:-1 gene:CCACVL1_16275 transcript:OMO75178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter NIPA MGVVRSWSEGMSSDNVKGLVLALSSSLFIGASFIVKKKGLKKAGASGIRAGVGGYSYLLEPLWWVGMITMVVGEIANFAAYAFAPAILVTPLGALSIIISAALAHIILRERLHTFGILGCVLCVVGSTTIVLHAPPERQIESVTDVWDLATEPGFLFYTALVLTAVFILIFHFVPQYGQTHIMVYIGVCSLVGSISVMSVKALGIALKLTFSGMNQLVYPQTWAFTLVVVSCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQTPTQIITEMCGFVTILSGTFLLHKTKDMVDGPSLTTSLSSVDRSTIVLFLFELGAEILTSLAKLAEECCREGGLFTTSTSSIPSFLLKPTSKDSRSRQLLIRTGQCFLLNGFIFLGSLFVLNSVVIPMLQWILPDQGSQINSQAAFDGVLRFYCFLRGLLIQLFYVFWFYPLYVFSLILSNLWYNDIAKYGFAAMGRSGPSVVESSKQDGTSTSDKVQRPDGLGGIMIGIGEQVYSVLLLSFFFLEVYATGFIPYVGKAVNFLLLSWMYAYYCFEYKWNFSEWGLEKRLDFFETNWAFFAGFGSPCVLAIFFFSPLVSYGVMAILFPLFVLTATDTEAEQVISTQRRKWSGDELGRLPIFYAVDALLMRVLSLLPLESREQVQDNKKTL >OMO75203 pep supercontig:CCACVL1_1.0:contig11061:141606:142295:-1 gene:CCACVL1_16300 transcript:OMO75203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEASNLRPRPVISKQQSQSEAPTTTSSSSISINREAETPKSPSRPPTLSQRAISQTLTSTANLANLLPTGTLLAFQLLMPIFTNNGMFLFDYPDPSGSGLPDLSKYRIKFIDGVHAVVSVLVFGAIALRDKNVLNCFYPMPEHETQEVLNIAPVGIGLICSLLFVVFPTRRHGIGYPITSGK >OMO75181 pep supercontig:CCACVL1_1.0:contig11061:35598:35954:-1 gene:CCACVL1_16278 transcript:OMO75181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVEKSLKQTDISKRFTLPSKSLKYFPRLSGKEHMVDFDVRDDDDGHVRKFRVYTRKGKKYLKPVITKGWRDFVCSKELQVGDKVAFYLEEEQASPVKYRVKVQKQVKIFGAVVFTP >OMO75198 pep supercontig:CCACVL1_1.0:contig11061:118840:119532:1 gene:CCACVL1_16295 transcript:OMO75198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCAGIPHRMLLVRTFVNARIKWVREPFLDMAVRREKNLKPIISLKNQIVSYPSNSLPVSSDFSPLKTHFTSRLFQRYPSVFSEFQPSPSLPLHVKLTPQAMTLHKEELAIHSSASHRNDTVQRLAKLLMLTGAGRLPLHIIDRFEYDLGLPSHYITFLLSDYPEYFQICENKNYADGKDEDTPFLELISWRKELAVSEMEKRASSSGNVEVKKEMPLRFSMELWEAEA >OMO75184 pep supercontig:CCACVL1_1.0:contig11061:44238:45395:1 gene:CCACVL1_16281 transcript:OMO75184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFKQKQIIKNLLRESILLANQVSQAVDQAKSFRLNCREMGLKVYQLSQMLKTLLLCITLDPILFYSNPIHPVIIQVSETLQEALTLACNCKRRGIFCRLFTGTKSNCFHKLYHLLDVSIFNMNWILILYNPDFGSAFSEIFLWLPQILINDPSIISSWSGIITQKMIWELANMLAGETSPIELKICCVEALSMLNVGKILNGAMIKETQLLMLGLAKLIEGEHINEVLQSNYLTTIVEITAAAESDLDLSCKAFKTNSPNANVIVEELFRVIKESEDPSMQVLAIRSIGSLARTFYERKNRHVINVLVSQLESRHQEVATEAVMALQKFACPDNFLRKAHSKTMIEFKALNPLVKLLRAGGETQQVEGLVLICYIAEAERERKL >OMO75186 pep supercontig:CCACVL1_1.0:contig11061:53864:55561:1 gene:CCACVL1_16283 transcript:OMO75186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MEGSNKALVVVKLSNSISLAKRVSKATEMAKSFLEQWRDVGKQVDQLSSMLRTLRLRFIDASGSAKRSLYLRPVDLILEQVQRSLKDTLSIAANCNFKSVFRRLFTSTVKARPDFRILSRNLRDSIGNIKWLLEVYNPQKKDTFDDVIIVFLPPILNNKPFFVLVWHCIVTVQMGCRLSDRIEATNRLALLAQDSDMYKRYIVEEGGVEALVALLEHSTFFDVQIAAAKALCILTNEHDKEIMKKIAVAIVGLLKYAPVEGKIEAAILVAKMAEQNPRCEADQYDSQGNVIWPLVTLLSSETSNILELRIRCAKALRMLARGSVKNCRMITETSAMLRLAKLVETEQGRLQYYCLMTIMEITAAAELDTEFRQTAFLRNSIPVKAVVDQLLRVIKESDNSELQIAAIRSIGSLARIFSARDNRVIGALVSVLNTRHVAVATQSAIALQKFICKDNWIRDKHLDSIKELNAVPALRRLLKGGGDDMDMQLRRLALAPPRNNFDACHCQVLVRDFRIISWGVDGIRGLFPSSNKYSQMDEEYYPSLQRKWVDYGSKCEVWFILDLAV >OMO75205 pep supercontig:CCACVL1_1.0:contig11061:144480:170955:1 gene:CCACVL1_16302 transcript:OMO75205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKRKRACRKPPARKPPAKKAASVAQNETADAENQTEEAFLNFIHQNNKEKSVSADSNDLGVADKKDCVSGGAKSSPPSSPSSSDSDESFNAGENSSDDSSSVDRRSRKLTKGHGNGKQQASKLPKKGRNEDVTSSRILRSSSQKQNEFKMPQIPQQDSHYNKKELKAALEVITKIMKMDEARPFNVPADPVALGKPDYYSVIDTPMDFGTIRSNLENGIKYMNSGDVFNDAQYIWENCLKCCKKGEYIVYLMKLVKKKFMKYWTAAGLSTEQSRKTNVGTLYGPSTTDYATKHGRSEAFYPGGYAVDGVNQIQQDRLGSYQPYQYVPPLSYNQPYPFQQPPPSTAWPQLSQLPQVSYYQPSQSQQPQPSINQPQFSQLQARTGCNSAGYLHFQPPKDIAPKHKKHGSSSKHKNNASMGPANSVYGGAPSHSHSQHPQLIHQQPYGLQQQQQQQQQNISQLHSSRLPAVADGGNSYFQPPTDIAQAHKNDASTSKHKRHASVGPASSIYGGPASHSQSQHPQLSHKQPFSLQQHQQSISPLQPSQLQNVADGGRFNLQSQADLTRGSGYVPLGPVDPMVVAPGQSHQQQFPLSDGESSEPHQLQPQTSHYAPQSSQIQNRVDIEQSNVSLTDSALRGINCALRYSAGPTTNKTHQEIPSQLGPTEVQSKQIPQSQDERHQSPDRLKRKKRGRGPTRCLFLNDLADGERIFVHINEYGQPVGPEASKLSSFLGTVARNGHRAPLNFVHWRAMPDSYKEDMWEYVQTKFDMDPSGKAWVLQSIATKWRDWKADLKATYYDTLKTDEERLMVKDPRVVPEQWPSLIEYWNSDETKKRCATNRANRLKSKAVHTSGTKSYARIREEERKNRPDGKEPTRAELYVLTHTRKNGQPVDEKAAEFISKIQERAAKKQDDTQCSDDSKDTLFHVIGKEKRNRILTYGLGTTRSDVFGPRPSRKDLMFMAYEAKKSANEEVQKMVVKMEAMEEKYARLETHIARMTSNMEKFLEKIGGSSQILGLEQIKVFEEIEAEMNSKEEAFMDFVYQNANVNYGVDDSDSDSDFDSDDDDESASNNTSSSSSGSDEDFSLQPSIDWTLKRGKQKISHSLNEARSSKVKSRYSKKELELALMVIKKVMKMDEAQPFNVPFDPIAFGLPSNKGVPSSLVSPIIDSASHAEPQQIHLRGGQPNCVQQTEHTSQMQPPQAQAVEDTGQSRLSPAQSVRGGEAAGSYALGPVTNSPSQECEIHSDPDHRQPKHPSAGQNQPSASQNEPYETQRPRQKHNEKKKTRVPRYHNLLDSLNGEPSKFDIELRSKSWVLKTISRCWRNWKAKLKAQHYYPHNTQEEQLNDCHPKVLPDQWQSLVLYWNSDKAKLNCAKNRASRAQQMAKHTTGTKSFARLREEERAKRPDGKEPSRAEIYILSHTRKDGRPVDDAAAAIISKLREQATKRQTVSGDDNDSHDTLYQVLGSEKPGQVRTYGLGPTPSDIWGEKCVCQKMKISTIEEEKSIFERMNSMEQKYAHLEAKIDRLTSNMQKFLASVGASSKILGFDQTLDASDGDENPLQPHVDGSSPNHAVPSDEGFITFGWCNHLLDSYSNIFFSNRYFEDIEAEMNSKEAAFLDFVNQNAYVNYGVEESESDDDDESASDNASSSSSGNDEDYSSESSIDRALRRGKRKISHSLNKGRSSNIIRSRYNEKELQLAAMVIKKVMKVDEAQPFNVPFDPIAFGLPPKPTQRTIWSQLSQLQQSIYSHHHQLEQPLPQPSYNTPHFSQLQTDTGSTPGSAMIDSASQPEPQQIHLRERQPNCVQQPEHSTSQLQPPQVQAAGDIGQSHLSPAASMRGGRAPCTDAIDPASNSLIQEREAHSDPNLQQPNLPLAGQNQPSQSQNEPNETQRSRRKRNVKRKTRVRSQDNNLLVSLDGEPIFIPTNELGQPVGPKATMLSNFIGKVAKDGHLAPLSYITWRKMPNEAREEMWRLVRSKFDIETQSKKWVLKTIGKRWSDWKAKLKADHYNPHNTDEERLNDCHPNVLPDQWQALVSYWNTDKAKILCAVNKACRAKQKALHTAGRKSFARIREEEKEKRPGGKEPSRAELYILTHTRKDGQPVDDAAAEIIAKLREKASQKPTVSGDSNNSQDTLFQVMGSEKTGRVRTYGMGPTPTELWGQKCVCQKTRIPTTEEENSILEKMKVMEKKYARMEARMNRMTSKILKYLVNIGAPSNILQESLNTSDADEDPLQPNVDGSSSNCAVPSDEIKVFEDIEAEMNSKEAAFLDFVNQNAYVNYGVEESDSDESASDNTSSSSSGNDEDYSSESSIDWTLRRGKRKISHSLNKGRSSKIIRSRYNEKELQLAAMVIKRVMKMDEAQPFNVPFDPIAFGLPNYVYVIDSPMDFGIVWRNLRNGVKYMNSEDVYMDVKQIWENCYKFSKKGDYTFHLIKLVKKMFLKYWTEAGLYSKPSQEISSILLPFLFRSPYHVVFQSHSRDFSMRRSQCELAHFPPSYHPDQLQKPPQRTLWSQLSQLQQSIYSHHHQLEQPQPQPSYNTPQFSQLQTGTYGSTPAVKSNKVLPSCLGSAMIDSASQPVPQQIHLREGQPNCVQQPEHSTSQLQPPQVQAAGDTGQSHLSPAASMRGGRAAGTDAIDPASNSLIQEREAHTDPNLQQPNLPSAGQKQPSGSQNKPYQTQRSPRKRDVKRKTRLPTQDHNLLDSLDGEPIFIPTNELGQPVGPKATMLSKFLGKIAKDGHLAPLSYITWRKMPKEAREKMWRLVRSKFDIETKSKSWVLKTIGKRWKDWKAKLKADHYNPHNTDEERLNDCHPSVLPDQWQALVSYWNSDKAKLLCAINNACRAKQRALHTAGSKSFARIREEEKARRPGGKEPSRAELYILTHTRKDGQPVDDAAAEIIAKLREKASQRETVSGESNNSQDTLFQVMGSEKTGRVRTYGMGPTPTELWGQKCACQKRRLPATEEENSILEKMKGMEQKYARMEARMNRMTSKILKYLANIGGSSNILQSGDTSDADEDPLQPIVDGSSSNCAVPSDEASGREE >OMO97119 pep supercontig:CCACVL1_1.0:contig07279:33068:41354:-1 gene:CCACVL1_04641 transcript:OMO97119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MAVNDATMKILAQNDVGKLNQFDGTNFTRWKDKIRFLLSAVKVYYVLDENLPALPAATPDDTDEQRAERQNREDDMMTCRGLILNSLTDRLYDLYSTLQTPREIWTALETKYQNEKRGTDKFLALNYFDFKMTDDKPVMDQIHELQIMTHLQIECETRIRDEKMSKDKDAAESSKNKSQVHMVGAKFGNKSDKSKSLKVNNKGFKKNSKSDKTCFHCGKKGHFIKECRYKKREDKKSGSSSKTNEANIVKDEDYVAVVIEVNAVVAASSDFFIDSGATIHICNNKDLFSSYVEDESEVFMGNQAAVRVVGKGNVSLNFTSGQKLTLVNVHHVPDVKRNLVSASLLVKRGFKILLESDKVVITKNGAFIGKGYSLDRLFKLSINEINSVSVYLIGSIYNVESSNLWNSRLGHLNYATLKYMASHDYFICKHDYPDKCEVCAQAKITRKPFPKAERETQILDLIHSDICELNGKLTRGGKRYFITFIDDCSRYVYVHLLKTKNEAFEAFKEFKAKVENQKERKIKVLRSDRGGEYFFDDFDEFCEEHGIIHQRTAPFTPQHNGVAERKNRTLVDMEALASRDAAFWQEAVNDEMDSIMANGTWVLVDLPPGSKPIKNKWVFRRKYNSDGSLQTFKARLVVKASIYKLHIHQMDVKTAFLNGDLKEEVYMEQPEGFVLPGNENKVCKLVKSLYGLKQAPKQWHEKFDIAILSFGFRHNTADKCIYSKFTSEYGVVICLYVDDMLIFGTNMIGINETKKFLTSVFKMKDLNEVDTILGIKVIKYDNKYMLSQSHYIEKLLNKFNYLKISDYTTPYESSVTLLKSNARPIEQLKYASAIGSLMYAMHCTRPDIAYAVSKLSRFTHNPSMEHWRAIERAAVVKQTKEHGHVDAGCLDGVNGVLPAVGAVSTGAAGSLLRGTEAFVADAGGNYLADDEQDIAGRRWEGDEKEDSPPGMLIASSLSRRILPAPFEIGGEEFISGRLKSDDFRGDLEEMKARNELRKLRQMDLQILSSTELRSSSGTSGQGGGAIPDGGG >OMO64025 pep supercontig:CCACVL1_1.0:contig12873:77321:78921:-1 gene:CCACVL1_22110 transcript:OMO64025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFLGNVNKMLAMMIFLAMISMAIAEGRRIEKEYCYNTQKNSNHGGCFGKGGGVGGGVGGGGGASGGVGGGSGGGGGGGGGVGGGIGAGGGAGGSVGGGVGAGGGVGGGGGGGVGGGTGGGGSGGGGGRGGGGVGGGVGAGGGVGGGIGGGIGAGGGGGVGVGGGVGGGVGGGVGAGGGVGGGAGGGVGGGGGGGRGGGGGGVGGGVGAGGGVGGGSGGGVGGGGGGGRGGGGGGGIGGGIGAGGGVGGSVGGGIGGGVGAGGGVGGGAGGGAGGGAGGGGGGGRGGGVGGRGGAGGGVGGGVGGGVGAGGGVGGGVGGGVGGGVGGGVRGGGGAGGGVGGGAGGSGGGRGGGGGGVGGGVGGGVGGGAGGGVGGGAGGGSGGRGGGGVGGVGGGAGGGVGGGGVGVGGGVGAGGGAGGGVGGGAGGGGGAGVGGGAGAGGGASVGVGVGVGAGAGAGAGAGGGAGGGIGGGAGAGAGGGS >OMO64027 pep supercontig:CCACVL1_1.0:contig12873:85151:87615:-1 gene:CCACVL1_22112 transcript:OMO64027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PGFHLKKVGPYSFSCQKLSQISVKTYSKDHPNEPIPSLLLSLSGDDGYRNNSISGTGGIFVFENLFPGSFYLRPLLKEYAFSPSAQAIELGSGESREVVFEATRVAYSAMGTVTLLSGQPKEGVSVEARSESKGYYEETVTDSSGNYRLRGLLPDTTYSIKVVQKDGLGSAKIERASPESVAIKVGNKDIKGLDFLVFEQPETTILSGHVEGNRIGELNSHLLVEIRSAGDTSKIESVFQLPLSNFFEVKDLPRGKHLLQLKSSLPSYTHKFESDIVEVDLEKNSQIHVGPLRYSVEEDHHKQELTPAPVFPLIVGVSVITLFLSIPRLKDIYDAATGIPTPGFMTTTKKEVRKPVVRKKTY >OMO64022 pep supercontig:CCACVL1_1.0:contig12873:49134:50497:-1 gene:CCACVL1_22105 transcript:OMO64022 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MERKEGVKMEDVMGNPSPFSDCLASGFGFPLQSIFDLSEGEKIPLGFMELLGVQDSLMMSSYSPPLFDMTHQLPSLATQAPNPTKIESPEVFNQPATPNSSSISSASSEAVNDEPVKAEEDQEEDQQKTKKQLKPKKTNQKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSTSCNVKKRVERSFNDPTIVVTTYEGQHTHPSPLMPRPTLGGAHLSSALSAAGAAATFGLPMQRTTTSPSLYQQQQSFGIGIGNGLSPLNFGHNGSNNINASFLNERRFCTPTGQSLLKDHGLLQDILPSHMLKEE >OMO64026 pep supercontig:CCACVL1_1.0:contig12873:80336:83683:-1 gene:CCACVL1_22111 transcript:OMO64026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPPHCSITATTKPYQSHQYTQNHLKNHRNHHNNQRNQPHPPRFSLSKPPPSPCNAAKPATAAAAAAAAARGPISQAPTPFPALAPDFSGRRSTRFVSKMHLGRPKTTINARHSSIAEEVLQVALHNGQGGLERILVSFESKLCGSDDYTFLLRELGNRGEYEKAIKCFQFAVRRERRKTEQGKLASAMISILGRLGKVELAKGIFETALSEGYGNTVYAFSALISAFGRSGYCDEALKLFDSMKSYGLKPNLVTYNAVIDACGKGGVEFKWVVEIFDEMLKGGVQPDRITFNSLLAVCSRGGLWEAARNLFSEMVDRGIDQDIFTYNTLLDAVCKGGQMDLAFEIMAEMPTKNILPNVVTYSTMIDGYAKAGRFDDALNLFNEMKFLGIGLDRVSYNTLLSIYAKLGRFEEALDICREMEGSGIRKDVVTYNALLGGYGKQGKYDEVRRLFEEMKMHKVSPNLLTYSTVIDVYSKGGLYEEAVDVFRQFKRAGLKADVVLYSALIDALCKNGLVESAVSLLDEMTKEGIRPNVVTYNSIIDAFGRSATSEYVSDAGSEISELQTKSCSSSLVVKHDIEGKATDGEDNRIIKFFGQLAAEKGVQAKKDCRGKQEILCILEVFRKMHELEIKPNVVTFSAILNACSRCDSFEDASMLLEELRLFDNQVYGVAHGLLMGFRENVWIQAQSLFDEVKLMDSSTASAFYNALTDMLWHFGQKRGAELVVLEGKRRQVWENVWSNSCLDLHLMSSGAARAMVHAWLLNIRSIVFEGHELPKLLSILTGWGKHSKVVGDCALKRAVEALLTGMGAPFRLAKCNLGRFVSTGPVVTAWLRESGTLKLLVLHDDRTHPENTRFEQISNLQALPL >OMO64023 pep supercontig:CCACVL1_1.0:contig12873:67314:70215:-1 gene:CCACVL1_22108 transcript:OMO64023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MAPPNNPASSVFNLLHSNSLEKNFTLSNGKFSVKGFPLLHDVPSNVTFTPFSVNSESDAPLPLLERVQSLSHRGGFLGFTKTEPSDKMTNSLGRFTDRNFLSIFRFKTWWSTQWVGTSGSDLQLETQWVVLDVPEINSYFMIIPIIEGSFRSALWPGQDGHVMIFAESGSTQVKSSSFNSIAYVHVSDNPFNLMKEAFSALRVHLNTFKLLEEKNTPSLVDKFGWCTWDAMYLTVEPSGVWQGVKEFSEGGVPARFIIIDDGWQSINFDSDNPHEDAKNLVLGGEQMTGRLHRFDEGEKFRKYKGGSLLGPNAPKFNPQRPKMLITKAIELERAIKARNRAVQSGVTDLSDFDSKIEILKKELDDMFGGEEESSGSDGGYGKDADDYGLQAFTRDLRSKFKGLDDIWVWHALCGAWGGVRPGATDLNCKVAPCNVSSGLRGTMPDLAVDKIVEAGIGLVHPSQATEFYDSMYSHLAKSGITGVKVDVIHTLEYVSEEYGGRVELAKAYYDGLTKSLSKNFKGTGIISSMQQANDFFFLGTKQVAMGRVGDDFWFQDPNGDPNGVFWLQGVHMIHCSYNSLWMGQIIQPDWDMFQSDHVCAKYHAGSRAICGGPVYLSDSLGCHDFDLIKKLVYPDGTIPKCQRYALPTRDCLFVNPLFDNKSILKIWNFNKYGGVIGAFNCQGAGWDPKERRILGYSQCYKPVSGTVHVKDIEWDQSVEAAEMGDAEEYVVYLDQAEKLLFAISKSDPIQVTIQPSTFELFFFVPIKKLGPVAKFAPIGLTNMFNSGGTIQELDYEEAAAKIKVKGGGNFLAYANGPPKSCLVNGAEVTFEWSNDGKLMLNVPWIEEAGGISHVVIAF >OMO64019 pep supercontig:CCACVL1_1.0:contig12873:34685:40280:-1 gene:CCACVL1_22102 transcript:OMO64019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MENRPDPDIDDDFSEIYKEYTGPPGSTVSRAQDRVKENKRSHAGSDEEEEQRDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVPAREPHVRALFTEKVIQRIEKDIGCKIKMDEKFIIVSGKDRLILKKGVDAVHKVKDEGDQRGSSSSHKSRSRSPERSPIGARFRRPESQRAYSGPHNQSLYQQRFGRQDKAVEDRIREDMRKISRGSPQAYGNDGARGRSSHSKSPGRLPYAGNSYNPYDGHNQNMAAYRTDGWDSERRGSDMPSGNQLEYPAFPQTLDELELEFKREAMELGRIRDREEDEENYKHRETIKEMRENYIKKLAILRGTHTKQWEEFLQLEAQRRQQQARQQMSASSFGAYKQQAYTEYDSSSVNAHYAGPNLPMDSRGRYSNPMENYPARPHDSYGEFPRQRREDFGKAYNHLKVCSKNFQGPRELGRVGSDMVVSSNLGSWIKDRFRKLEWGKLCIETKCLIIQLFYLENIPRSLKSFLGDPNVTFAGVEIASDAAKLRNEYGLVCSRTAGLKDLASTVVGLSMPKKKRVTMSNWQSRVLDPLQIGYACIDAYASYRIGHRLLKEN >OMO64024 pep supercontig:CCACVL1_1.0:contig12873:75191:76767:1 gene:CCACVL1_22109 transcript:OMO64024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MQCLQNILALTLNSLLLYFSLSKALECQSDQGNLIKVDQSGTGDFTTIQQAIDSIPPDNVKWMHILISPGIFREKVTIPVNKPCIFLDGAGNQLTSIEWGDHKDTGESATFTSSPDNIVAKGITFKNTYNIPPNYNLKNKIEPALAARIYGDKSAFYNCSFFGLQDTLWDAEGRHLFHNCYFEGSVDFIFGRGQSIYEKCQIYLTAGKYTPEHPNGFITAQARNSSDDPTGFVFKYCAFTGIGEAYLGRAYGAYSRVIIYHSEMSESILPLGWDAWTYHGHEGNLYYAEEQCSGRGAGTSKRVPWLKKLTASQLSQFVSISYIDKEGWIAKQPKM >OMO64018 pep supercontig:CCACVL1_1.0:contig12873:12308:13610:-1 gene:CCACVL1_22101 transcript:OMO64018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKRVENGTEAENARAGAENSYHEMREDEVPEEQNSEEGTKSVKKSK >OMO64021 pep supercontig:CCACVL1_1.0:contig12873:48147:48218:1 gene:CCACVL1_22104 transcript:OMO64021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRHTAHKETVDSDLKSSSNAP >OMO64016 pep supercontig:CCACVL1_1.0:contig12873:9141:9752:-1 gene:CCACVL1_22099 transcript:OMO64016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANASASNPNSLIVSFSGKNIETTVTDHASVVDAWVSNIRSMYNGQQIVVGLDSEWRPHHISYLSNKTAVLQLCIETKCLIIQLFYLQQIPQSLKSFLGDPNVTFVGVEVARDAEKLRNEYGLQCSRTADVRELAMNRWPWKFYRKPGLKDIASIVVGLSMPKPMHVCKSDWQSRDLDRLQIEYACIDAYASYRIGHRLLKET >OMO64015 pep supercontig:CCACVL1_1.0:contig12873:5888:6446:-1 gene:CCACVL1_22098 transcript:OMO64015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLISKERDVEVDLESGGTTSEDERTKDYVSVNNQTRRTFSKVWADLLGFDRIGKGECGISSCSSSSTFGGVDGENVECLVDKNSEDEENRELMALVEKNFSEEICKKKNCRKPHRPPRPPKAPLLDAADQKLVREIAELAMRKRARMKRIQAMKKMKAAKASSSRSSLSAMVITVLFCLVIFFQ >OMO64020 pep supercontig:CCACVL1_1.0:contig12873:41204:46792:1 gene:CCACVL1_22103 transcript:OMO64020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHRDRFNEPPPLNSTPWFPDDQRPNFPADNHHYHHNYNHHQHQHQHYHHYNNQYNHQQFEHQPNQHHNFERFPNHQQPNTEQNDASWSNCANGYNSGRKRGFNHSGRGASPEHNCAKLYVATVPRTATEETIRSLFQEHGNVVEVIHPKDKKTGERHGYCFVKFATFEEAGRAITALDSRYTFPGELTTIKVRYADGEKDRVVGLLPDKLYVGCLNRQASKREVEEIFSHYGHVQDVFIVRDEQREHRGCGFVQFSHRDMAVGAIKGLNGIFTMKGCDQPLIVRFANPKRPRNGEPRGSYGFNSMNMGSHPQDLAMRPMPNGPNFGDPMAGHIPTNASYPMQHFTTNSQPQSLSHWAKPEVGSSHVTHQPYAPVQQAQSQPTTLPLQQIQTPYGSSQSSHQSTSDQKQLPPPTSQDLGKQNSHVPKLETGSSQTTTATSVSPTSQSLETVDPPECDWSEHTCPDGDKYYYNCVTFESQWKKPEEYKLFEKMLQKQEKLQNSAQELDSHSTVPATQQVSQNEEVQLQSCLIPQKLNTQQPWSTLGLDHLQIKSETSPVVDPTCV >OMO64017 pep supercontig:CCACVL1_1.0:contig12873:11064:11681:-1 gene:CCACVL1_22100 transcript:OMO64017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANASASNPNPNYVIVSFSGKNIETTVTDEASVVDAWISNIRSMYHGQQLIVGLDSEWRPNYRKYQNNKTAILQLCIETRCLIIQLFYLEHIPRSLRSFLGDPNVTFVGVEVASDAAKLRNEYGLVCSRTADVRELAMNSWPSNFSRNPGLKDLASTVVGLSMPKQKRVTMSNWQSRVLNPLQIEYACIDAYASYRIGHRLLKET >OMO64014 pep supercontig:CCACVL1_1.0:contig12873:946:5472:1 gene:CCACVL1_22097 transcript:OMO64014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSTIPNFPRLSPNYNIKIMKIKIDYEPKASKRILCFKHVVAYAEARFQGKFIPPLVAFDMIIAQDGSGDFTTIREGISSAPNFSPTR >OMO53998 pep supercontig:CCACVL1_1.0:contig15074:6009:6116:1 gene:CCACVL1_28148 transcript:OMO53998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSDVANPRSEHATLFRLRLLFMDFDRCKRICCSAK >OMO53999 pep supercontig:CCACVL1_1.0:contig15074:16945:20169:1 gene:CCACVL1_28149 transcript:OMO53999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKALAKSKRAHSQHHSKKPRPSQKPKPPLAAATGDAANAKTQTGKQIREKTRQAQPVSVLPSNWDRYEDEFDSASEDQSGGNASQAPDAIVPKSKGADYRHLIANAESQLQSNPYSDSFPSLDDVLPGDFNQFVGSMLSVRGEGILSWIGNDNFVVEDRTTATPKASFLSLNLHALAEQLEKVDLSERLFMEEDLLPPELQVERSKANNHQKSDQMETTSGRNTAALITEELTSNDFPEKVNIAAQNVEHTSFGSGGKSADATLSNGGRDLANESCGDFISSQHGKSGETKTLESSIQDISNSVSVSNKNVLTFEVAAAEAELDKLLDSFSETKLLDSSGSKPKIASSDFGKETFPSLRQLATKAVSSTFDDILDDLLQETSSTVKQNDLSRQMDVEGAPDSIQSSSSSHSVSKLKVLNDFDSWLDTI >OMO54000 pep supercontig:CCACVL1_1.0:contig15074:21031:21504:-1 gene:CCACVL1_28150 transcript:OMO54000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MQQAIPYKSWPLPYGSATSHRAPSSTIGHNNNNNNNNSNLVLSRGSGSKHMLNIVSENAVIVFARKGCCMSHVVRRLLLALGVNPAVYEIEEADEVDVLNELEMICKSDVGLGNDKKLQLPAVFIGGKLFGGLDRVMATHISGELVPVLKDAGALWL >OMO60110 pep supercontig:CCACVL1_1.0:contig13785:26661:38023:-1 gene:CCACVL1_24396 transcript:OMO60110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine-tRNA ligase, class IIc MTDELICEFEEYATTQGMWNALKEKFENVSDTKLKQPFIKFDNYKKRPENNMRHHLQEMSNMMRELKIARHVLNDQQQVQAVVHSLPRGWEYMNAMLTHNDSIKTFADVQHHLELEEERLMAMSNHPEVNMAQSSKRSGSSHKRKKGGKNVKQEDNAEPHAKRAKTNKGKRGQHSAETNHVARSREAYVEYRRIPAGTCWIYMGNGTAVEVLRFRGGGSRPPLSFDRSKKLLALSQTPYILKMLEKFRMHNCNIVKTPMVEGIYLNNDMGPKTPEEKKRMENVPYSNAIGSLLYAIMCTRPYICFAVGLLSRFQSNLGEKHWEAVKRVFSHGDDLDERKSTQGYTFSLSGVISWSSKKQTCVSLSTMEAEFIASTTAVQEAIWLRRFIQHLEIVPNAEDPVTIFCDSEEALAYTKNPKYHGDRVRFVGGNAPSGVLSSLKLALRLVSIVVQVMGDVFPELKQHEARIRDIIVAEEASFGKTLVKGIEKFKKAAQDVQGGTLSGQLMAEERGLILDVEGFNNAMDEAKGKSRGVPETRSFLQQAGGAIVMDADATSALHRKGVSPTDDSFKFIWFQDHESVIKAIYNGSEFVESAIAGDDVGIVLESTSFYAELGGQVFDSAPQNLQPKIVFTLHFQIFDTRSIDGSFGSFEVCNVQIFGGFILHIGSLSGVTGKFSVGDKVTCKADYDRRTLIAPNHTCTHMLNFALREVLGNHVDQKGSIVLPEKLRFDLSHGVYSKEATLAEAKRINGLRAVFGEGTDASQVNEAMELATSFASMKLK >OMO60109 pep supercontig:CCACVL1_1.0:contig13785:20344:26131:1 gene:CCACVL1_24395 transcript:OMO60109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMETSFGKGTNEMGSMESILEGHGDRIDGKRRGPIKIHGVRERVREVKMRTIESLSCELVTVAQKEISVQFSKIHGPSCDVEVERIDKICRDLFREYEKKFTDTVVERNNSDIPGVNLDGYDEFIRKEYKKTEVRSEFDYYLEEDVWPRSPDFDILEWWQSYGLEYPMLRRIARDIYAVPVFTKASESTFDSSLCLELTDEE >OMO61089 pep supercontig:CCACVL1_1.0:contig13601:2862:3209:1 gene:CCACVL1_23745 transcript:OMO61089 gene_biotype:protein_coding transcript_biotype:protein_coding description:two-component response regulator ARR9-like protein MGDRCLEGGAEEFLLKPLRLSDLDKIEAYLLKSLHHSCTIIDKDHLINDGDDDDDNNNDANNDDVDNSNDDNNINESSSSNNNNNNNNFSKRKAISSEARESERRPKMKGVALVV >OMO61090 pep supercontig:CCACVL1_1.0:contig13601:8870:9790:-1 gene:CCACVL1_23746 transcript:OMO61090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MALITQPVQKKKKDILSFGAKGDDIFDDSMDATANDIGNEQFDVKGYPTVYFRSSNGNLTPYDGDRTKDDIIDFIEKNRDKTVEQESLKDEL >OMO78729 pep supercontig:CCACVL1_1.0:contig10545:18943:23727:-1 gene:CCACVL1_14163 transcript:OMO78729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKKNPKINFPFLVVILVPTRELALQTSQVCKELGKNLQIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLIMDEADKLLSPEFQPSVEQLIRFLPAHRQILMFSATFPVTVKDFKDRYLQKPYIINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCR >OMO78723 pep supercontig:CCACVL1_1.0:contig10545:986:1192:-1 gene:CCACVL1_14157 transcript:OMO78723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipopolysaccharide-modifying protein MACSASGTWRKFMEESMVISPSDKMPCALPPPYEPEELREFLQRKANSTRQVETWEDEYWRSIDNKKP >OMO78731 pep supercontig:CCACVL1_1.0:contig10545:29062:32724:1 gene:CCACVL1_14165 transcript:OMO78731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRSFEGIHDCVIKQRINPQRRKEKVYIGCGAGFGGDRPMAALKLLSRVKELDYIVLECLAERTLAERYQAMVSGGDGYDSKISEWMSLLLPLAMEKGTCIITNMGAMDPLGAQEKVLEIAGSLGLCVSVAVAHEISISQYSGSESLPEEPVILKGGISTYLGAAPIVECLERYQPNVIITSRVADAALFLAPMVYELGWNWDDLELLAQGSLAGHLLECGCQLTGGYFMHPADNHRNLSFAHLLDLSLPYAEVSFDGKVTVMKAEGSAGVLNFSTCAEQLLYEVGDPSAYITPDIVIDFRDVSFQPLSSSKVLCIGAKPSALPVPEKLLQLVPKVCGWKGWGEISYGGYECVKRAKAAEFLVRSWMEEVFPGVSCSVLSYIIGLDSLKASSVDNHSLAWRASEDIRLRMDGLFREKKHAEQLTKEVLALYTNGPAGGGGISTGIKKEILLEKQLIGREHIFWCIGATQTKAGESKSQKEVIADVMKCSVLHEPVATLPPSPQEDKHNSCLDCSSSPETGLSATPSRQKIPLYNIAHSRAGDKGNDLNFSIIPHLPHDLEKLKIIITPQWVKAVVSVLLDETEKWVDENVKVEIYEVRGIHSLNVVVRNILDGGVNCSRRIDRHGKTISDVILCQHVALPP >OMO78724 pep supercontig:CCACVL1_1.0:contig10545:1659:8065:-1 gene:CCACVL1_14158 transcript:OMO78724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine deaminase/editase MDSELEVIESSSSPSSCCCSSSDFAEKEKEWGERVAEKVFALYKSLPKKGKPQGREVTVLAAFLLSSPSQELEVVSLGTGTKCIGRSRLSNGGEIVNDSHAEIIARRALLRFFYAEIQRINGNLNKQGPTHETRLLQAVGLETSVFQWDLDGSGDVRYKLRSGWKLHLYISQLPCGGASLNLGPSSVDEHGHPIFVLSNASSRNSGDASELVGLVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSHFLRPVYLCSITVGKSPFVSDDFCLEEQLKRSLYDRIKLLPNELIEPFVVNKPTFCAAPVPATEFQHSETAQATLTCGYSICWNKSGLHEVILGTTGRKQGTSAKGAVFPSTESSLCKKRLLEIFLSLKQECTIKCSFNEVSYRELKDRAEEYNSASKLFKERPPFHNWLEKPANLENFSIIANKGNFPPCKPCGLQGTTWRPEKKKAAASTVVVTVSLLIIFVIVFLGWFDDSSLFSGVSSRQNLILASNTTKTREKLEFPLRCPTGNLTCPKDYPTKHDPESSSRTTCPSFFRWIHEDLRPWREIGISREMIEGARRTAHFRLVIVKGKAYVEKYRNAIQTRDVFTLWGILQLLRLYPGRLPDMELMFDCDDRPVVRSRDFQGPKASPPPVFRYCANEASLDIVFPDWSFWGWAETNIRPWKNILEDIKVGNKKTEWKDRVPYAYWRGNPHVAPTRKDLMKCNVTEQNDWKTLLYIQDWNMESREGYKQSNLEDQCTHRYKIYTEGWAWSVSEKYILACDSMTLYIKSGFYDFFVRGMVPLQHYWPIRENSKCTSLKFAVEWGNLHPDK >OMO78728 pep supercontig:CCACVL1_1.0:contig10545:18301:18360:1 gene:CCACVL1_14162 transcript:OMO78728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGISAYFTRLWSYKVMQP >OMO78727 pep supercontig:CCACVL1_1.0:contig10545:14104:16966:-1 gene:CCACVL1_14161 transcript:OMO78727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYHVSPRDSMRLPNPTCQFRVPLDPLALPFHQSLKKLSAPTSPATRHMAQWESARLEAEARLSKESLLFNSPSSSWKPDTDYFLRLWNSEVGESFRKLNGVDKTACQSPISQTSSSTKCGSVSAVTTDICPINTTTSHQTEDTECKSFIKSLCTEDPIDASDSSCSNESEDSSDTALQLLLDFPINNDMSFLETVDHTFATSAAMLTDSSFNISPPEGYLKA >OMO78726 pep supercontig:CCACVL1_1.0:contig10545:10973:13482:1 gene:CCACVL1_14160 transcript:OMO78726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEVKKVEAETPAPPPPAAEAPKAVSEEKAVAVAPPPPEEKPDETKALAVVEKAPEPAPKKISGGSHDRDIALAEVEKEKRLSFIKAWEDSEKTKAENKAQKKLSSIVAWENSKKAALEAKLRKIEEQLEKKKAEYAEAMKNKVALLHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGQTPKKFLGCF >OMO78725 pep supercontig:CCACVL1_1.0:contig10545:10482:10544:1 gene:CCACVL1_14159 transcript:OMO78725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARESGIPFKGFNLFKAIQG >OMO78730 pep supercontig:CCACVL1_1.0:contig10545:24119:27287:-1 gene:CCACVL1_14164 transcript:OMO78730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-CoA carboxylase, alpha subunit MASISSVAGNCGRGRVLGEDGRFEFGSNSYFAKDFFASELLIRSFRKGYWSKASKGSGDENGKRFRVVAKVKKGKKHDYPWPDDIDPNLKEGHLTYLSNFKPLEEKPKPVCRLADETGLDFTSQIGDLEKKYQQALKDLYTHLTPIQRLDIARHPNRPTVLDHILNITEKWVELHGDRAGYDDPAIVTGIGSMDGKSYMFIGHQKGRNTKENIFRNFAMPTPHGYRKALRMMKYADHHGFPIITFVDTPGAFADLKSEEIGQGEAIAQNPRTMFGLKVPIVTVVVGEGENNFSPFVCAAILYKSSQAAPKAAEKLRITAQEHYKLKIADGVIPEPLGGAHADPVWTSQQIKHAITEAMEELTKMDTEELLHHRWLKFRSIGGFQEGKPVEPERKRNMKPSDSESDPERLKKNILEVKVPSDPITDQSIEKLKQDVDKEITRAFISMELSRAPDNQSLKEKVDKLMHEFKRNLSQPGAYLGLKQKLEKLSMVNRLVEMKEKSGKPKAEIDQKILAEVKAKLEQLANAQERLSKGDPLDKELVEEAVKVEKELMEVLKSANLKIVTVKKRKELEEKIVNVNEEINGEIERAIDAAGLHGKIEELKEELAQGFTSPKVQKLQTEIKE >OMO78732 pep supercontig:CCACVL1_1.0:contig10545:33142:34165:-1 gene:CCACVL1_14166 transcript:OMO78732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MSSFTGTLDKCKACDKTVHVVDMLTLEGVPYHKTCFKCSHCKGNLVMSTYSSMDGVLYCKPHFEQLFKESGNFSKNFQTTKAAEKQNELSRIPSKVSSLFCGTQDKCAACQKTVYPLEKVTMEGECFHKTCFRCAHGGCPLTHSSYAALNGVLYCKHHFAQLFMEKGNYNHVLQAATHKRNNSSASNDAADNEGDADHPEAAADEEKPEEDS >OMP12130 pep supercontig:CCACVL1_1.0:contig00397:1635:7210:-1 gene:CCACVL1_00110 transcript:OMP12130 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MEEPIEIVLEVAREEVSRAIRYALIGKIVADRALNRKGVFNVIRSIWGSRDLEDVRELGKNLYGFSFKTRKGLEFALNNGPWAIIGHHLILQRWEISKGVKEIEFKEIAFWIQVHNLPLEMQTMTNARRIGSTLGRIMDIEDPSWNLGIGRGFLRIKVAIDVNKPLVGGFWVPKENNGRLWCEIKYERFADFCYECGRMGHTEKTCGFVHESENLVKKYGSWLRAAPLRDAGRGDREWKREDEQGAEMMTPHNQARLMKEWNVEQWRFVDVEEAGNVDSSIEKEKTELSHESTNSLEEVQQNPNLPEPVRLSPKISTTTEIPETSSRPASTFVKTNIILQHLYSTVESNPSQTEIHNTNLVSENHLSKQLSISPTKNPVVTVINHEGEAEPGYKVEFPAEEDDDDKGLVEIRKDDVAEQALGVLVPVFQQMNLKRSVDHYDVDTIDGGRSKARKIDLNEMLLKVYEENEQLGNKENECVIEKQSVRWLASCSHQGYMRYLSWNCQGIGSALTVNNLHNLRRKYDPQFLFLMETKNKEKKLEVLRRKMHMEGRIYVEPEGLSGGLALWWVAGISVEVVDATKNFIDVRVEDDIQGVKCRICWVYGPPKFADRKVVWEMIKGRAKDFDGPWMMLGDFNDFLYHHEKEGGKERNPQKLQCFRNMMDTCGLFDLHYQGQRFTWLDKRESLIKERIDRAIANMQWMETFAKTQVFNLPIVGSDHSPILVDSNFCDKKVPKQFKFEIIWDEKEECEQIIRDGWSSAFQGSHSYQVVQKLKKCRRMLIAWSKKAFPNNKKVVEELMNEVATIQDKDVSVECCQKVEKLIADIKKAWDCEEKYWMQRSRVNWLQHGDNNTKFFHHNTLARMQHNKILSIKNEDGEWVENEDEILGTFQKFYEKLFTSGGSNNWRNILDNIPALVSEDMNIDLLRDVEDEEIKAAVFELGALKAPGPDGYNGWFYQRYWKIVCDSVIKAVKCFFTSGHLLKEINKTNVILIPKAKKAEDVGQFRPIACCNFLHKIIAKVMVNRLKPHMDSLITQNQSAFIAQRQIQDNILVANEAFHYLKLKKKGKKADMAIKIDMNKAYDRVEWKFLEAILHKIGFENKWVRWLMECVSSVSYNIFVNDVLSRMLNSASESGSVTANKQNCETMVAILEDYSRASGQMINLNKSRVVFSANAKPEQKEEMKSILHMEDAPHTGNYLGIPSFWGKSKRQAMAYVKEKIPKNICDEINSVMANFYWGQGDNENKIHWKGWKSLTNSKCEGGIGFGDLNTINIACLGKLVWRFVNNQDALWARILKGIYFPNSTIWDARKGARASWAWTSILEGRNFVKDNSQWLIRGGSDVHIWTDKWVLKQGKVELRDDEASIDLDWQPKMVSELIDKENVIWRTELIEDRVFERTVQAIQNMPINEEGGQDRLIWPADRNGEYSVKTGYIVKKTQEEKIMKRNPSSSHTVATKTWRIIWSMKVPRKIRSFLWRVCSNSIATNYLLWKRRIKDNPCCPFCLEFDETPEHLLLLCPWTRCVWFGTDLGYKLEKESISTFDTWLENAVSDECSHQEKEQIYIRIGYTCWCIWKERCRAVFELCEPNPGKVNDQIRRGVAESIGLIKVETVAKEIEQKCMNWEPPEDGWLKMNCDGAYNDSSIESGIGVIIRDKDASIVTGLNKTVKACSCQMAEGLAMREGLKLARRRRIPKIIVETDS >OMO64372 pep supercontig:CCACVL1_1.0:contig12835:74609:74722:-1 gene:CCACVL1_21825 transcript:OMO64372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKAFQVEESSIKDGIEIKHVMRQRFGAGRNKYQLR >OMO64375 pep supercontig:CCACVL1_1.0:contig12835:82901:85116:-1 gene:CCACVL1_21828 transcript:OMO64375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MSRLSSKIITIVYFRQTVSVVLLIMTMAMLSSCSSDSKCQFKAIFNFGDSNSDTGGFAAAFPPQLGPFGMTYFKKPVGRATDGRVITDFLAQALGLPFISPYLQSIGSDFRHGANYATSASTVLLPNTSLFVSGTSPFSLGIQLNQMREFKAKVNEYYHSGNRKGSKLPSPDIFGKSLYTLYIGQNDFTSYLGSVGIKELRQYLLQVISQIAYTVKELYALGGRTFLVLNLAPVGCYPALLAQTPHNSLYLDKFGCLIFANKAVVIYNNMLKKALTQTRRDLADASVIYVDTHAVLLELFQHPTSHGLKYGTKACCGHGGGIYNFDPQVYCGNTKVINGTNVTASACKDPENYVSWDGVHATEAANKLTTLAILNGSYSHPSFPLHKFCHLQPIG >OMO64366 pep supercontig:CCACVL1_1.0:contig12835:48180:51225:1 gene:CCACVL1_21817 transcript:OMO64366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEKSAEREGEKQEFMVKKLKRGILVGKRAGPSTPSPTWRLESSSSQNATTAKDLLEIPTGPSISARKLCANLWELQPHYPLPNMRRGAPKLRLQHSKDKGFDAHVDPPDSSLDQSGSASSLRRHTAESLMQQHRSVERNGQALQPVSLASSGSSMEVAPYNPAITPSSSLDFKGRTGGSSYSLKTSTELLKVLNRIWSLEEQHVSNMSLVKALKMELDHSRARMKQLLQEKQTEREEMDDLMKQVAEDKLSRKNKEQDRIKAVIQPLRDELEDERKLRKRSENLHRKLARELSEVKSSFASALKELERERKARILLENLCDEFAKGIREYEQELRFLKHKHEIDQVGGENPERLILHISEAWLDERMQMKLAEGQTDLAEKNTIVDKLSLDIETFLEAKRSSGSRKSEPKENWSRRHSLESFPLNEAVSAPQGIADEEDYSVSDSQCYELKKSASRIQSKGSSKHHGDNALVSHPEGLVNPTSTRKKLGSRNAIKGNKLHSLQGQLDERMTTGEDIHDTKVKWNGSHGLNSSHVLDSLVRIHSLSSEGDKIHPECSLREDSYVQSTFRGHASPVRQWVSKLTSPEFEKTESSLKLPPGVKENTLKAKLLEARLEGKQSRAKAYKG >OMO64374 pep supercontig:CCACVL1_1.0:contig12835:81218:82072:1 gene:CCACVL1_21827 transcript:OMO64374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSLLSSTLGGSEDSYDREALDALFHYYANQTLAKHHTGTLYKVPLPSNFSGMEVSIVRLRSGSLWGRGANLSLFNVPRRVKTSPYVKRLAIVYYNLGKYWSTRYYQIPDYSLVSPVIGFNVYASSNLTALSDRNVTLILTNGSISIHFPYIEAEDKINVTELKCVNFEPDGSVEFKDMTERNVCVTEKAGHFCVVTPSLGVKKERVWKWWVIGFVSAIGGLIVLVSAGVIGVILLRRMKIKAMEKESDTAEALDTIWVRGDKMPAASMVRTQPVLEHDYVP >OMO64365 pep supercontig:CCACVL1_1.0:contig12835:37786:43763:1 gene:CCACVL1_21816 transcript:OMO64365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGETSWISHDMPKEIDDFDSFSELSDEGNKEATMVSVDLILPDDLLERILSYLPIASIFRAGSVCKRWHEIVSSRRFLWNCSHVLAQKPWYFMFTSSDEPVGYAYDPLLRKWYSIELPCIQTPNWFIASSCGLVCFMDNDSRSELHICNPITRQCKKLEEPPGLKFSDYSALAISVNRTSHNYTISIVKSKQVPGNFFQWDLSIHIYDSETMMWATSLTEVLTGWRGGDESVICDGVLYFLIYSTGGGTPENRHGLVTYNLSSRSSPLIRSFIPVPGPLTCGRLMNLKEKLVMVGGIGKPDRPDIIKGIGIWILDGRSWAEVSRMPHKFFQGFEQDLSAADLRATHTNFVQYSKQHCKNLPPGAITDFEWKDYCPRAFRLLRDIDNIDNDDYILSVCSDEIIRKVSSGAKPGNLFLLSKDTRFVIKTLRKSEVKVVIEMLPCYYNHIRKYRNTILSKLYGVHVVKPGGGVKAYFLVARNVLKSDALMHRCYDLKGSLQGRKGKKMRIREKTIHKESDLDFLFYIEPLVRHRLLAQIKHDCAFLEAVGIMNYSLLLGLRIKGSPQGSLEGETAFSPDSPSGGSIDSRCFNNELTHQSSIYSKRSSISSNRESVDSRSSSNIDSRDSFQENQVTELSFGEDWLQNNSSNIKFGEEMSARGVRITKEGKVGSKSCHGNSRSREYHDVSLCFGIVDYFQDYGVIKRIEHAYKSLQFDSKMIAAVNPKAYSSRFQDFMSDIFQADDSL >OMO64373 pep supercontig:CCACVL1_1.0:contig12835:75596:77371:-1 gene:CCACVL1_21826 transcript:OMO64373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASSASMAATTVLIPRVLAAVRTTRCSALPPLPPRVSNASFSTSLKLIPESRRFSLLQTKASEETSVDAGELFTDLKEKWDKVENKSTVLLYGGGAIVAVWLSSILVGAINSVPLLPKIMELVGLGYTGWFVYRYLLFKSSRKELATDIEALKKKIAGTE >OMO64367 pep supercontig:CCACVL1_1.0:contig12835:54938:55444:1 gene:CCACVL1_21819 transcript:OMO64367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMPQLLVHGHPCLRTNSPAQARVVGGNEELQFILLPERLIQTVKFFAMYNLKMKVVKDIYYQVK >OMO64369 pep supercontig:CCACVL1_1.0:contig12835:67264:70282:1 gene:CCACVL1_21822 transcript:OMO64369 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MDSDFSRGASASDGDFGFAFNDSNFSDRLLRIEIMGGPPECRPDGEGCTSIADWARHRKRRREDIKKENVLDLSLCPEEQILNDNQPDMDDGVGCENQDEDAVAMVEENQSGDEDANSNDSWSMDCTTVLKVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEAALMELLNFMYSNTLSVTTAPALLDVLMAADKFEVASCMRYCSRLLRNLPMTPESALLYLDLPSSVLMGEAVQPLTDAAKQYLAARYKDMTKFQEEVMALPLAGIEAILSSDDLQIASEDAVYDFVLKWARTQYPKLEERREVLGTRLARFIRFPYMTCRKLKKVLTCNDFDHEVASKLVLEALFFKAEAPHRQRSLAAEESATLNRRFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMADDSLYFINGILHLRAELTIRH >OMO64362 pep supercontig:CCACVL1_1.0:contig12835:13510:18662:1 gene:CCACVL1_21813 transcript:OMO64362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRCREEPYPHLQNFGNYPTTPARLGSLAAHIHVARKLQTVRWKGTRETSRTEKKIFESEKIWSLPLQATL >OMO64370 pep supercontig:CCACVL1_1.0:contig12835:71742:72176:1 gene:CCACVL1_21823 transcript:OMO64370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 1A (eIF-1A) MGNKDKNKLQQKRIVTLRSQITLNENTARQNRRELEFKRDEEEYAIVVRMLGNGRCEAMCMDGKKRLCHIRGNIRKRFWISVNDVVLIALREYQDYKAGIIWKFYPGEVKDLIRYGEIPDSINKEQDGDHGFNFGNSIVFEDDD >OMO64368 pep supercontig:CCACVL1_1.0:contig12835:59523:64252:1 gene:CCACVL1_21821 transcript:OMO64368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMPQLLVHGHPCLRTTFPAQARVFWVMLYMKLQWLQNLQKSDYLSALLG >OMO64371 pep supercontig:CCACVL1_1.0:contig12835:73451:73888:1 gene:CCACVL1_21824 transcript:OMO64371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 1A (eIF-1A) MPKNKGKGGKNRKRGKNEADDEKRELIFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIAGGIDEEDDGGADDYIEFEDEDIDKI >OMO64364 pep supercontig:CCACVL1_1.0:contig12835:23922:24746:1 gene:CCACVL1_21815 transcript:OMO64364 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MEMEMFYVLEITLISAQGLKEPSSKLRRMQTYATAWVDSSVKLRTCIDRVGGENPTWNDKFLFKVSPEFLSSETSGVSVEIFSVGVLKDTLLGTVRFLVSNFLPTGSSFDALKTPSFNAVQVRRPSGRIQGVLNVGATIRDGADVPALSGGVSAIGFRDLIIENIRASNRSMKKSKSRDVLVSRENSFHGSDDQSDGCDSTVSSSSTAARALKEWNEVVGDLEGKNRVRSSSDGGMMFCGLMSSPSRKATCLPTLKSSQSFNEGKLGNKPSRFA >OMO64360 pep supercontig:CCACVL1_1.0:contig12835:82:4680:1 gene:CCACVL1_21811 transcript:OMO64360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 VFADLLVEPQQLLMVTIATALREIGYEIEVYSIEDGTVQKVWQSVGVPVTILQVPNEIAVDWLNYDGILVNSLQAKGIFSSFMQEPFKTLPLIWTIDEGALAVRSRQYISSGQIELVNDWKKVFNRATVVVFPNYALPMMYSAFDTGNFYVVPGSPGEAWKGENAMKLYKDNQRISMGYRPDEILIAIVGSQFMYRGLWLEHALVLEALLPLFADFSSDNNSNSHPKIIVLSSYSTSNYSMAIERIALNLRYPSGVVKHVAIDGDLDSILSATDLVIYGSFLEEPSFPEILIKAMSLGKPIIAPDLSNIKKYVDDRVNGYLFPKENIRVLTQIILEVISEGRLSPLAHNIASIGSGTVKNLMVRETIEGYALLLENVLKLPSEVAPPKAVMEIPPKLKEEWRWSLFEDILNSTFEDRSSKYLKKLEDQWNHSQKEKVGSLIAINDSFSYEIWEEEKKLQSMNTKRRREELELKDRTDQPHGNWEDVYRNAKRADRMRNDLHERDERELERTGQPLCIYEPYFGEGTWPFLHRNSLYRGFGLSTKGRRPRMDDVDGPSRLQLLNNPYYRDILGEYGAFFAIANRIDHLHKNAWIGFQSWRATARKASLSKIAETSLLNAIETRKYGDALYFWVRMAMDPRNSLQGDFWSFCDAINAGNCKFAFSEALKRMYGIKHDVNSLPPMPEDGGTWSVMQSWALPTKSFLEFVMFSRMFVDALDAQMYDEHHRSGHCFLSFSKDKHCYSRMLELLVNVWAYHSARRMVYVNPETGMLQEYHKFKSRRGKMWVKWFSYNTLKGMDEDLAEEADSDHPRKRWLWPSTGEVVWQGVLERERNQRNKQKEKRKQKSKDKLERMRHKHHQKALGKYVKPLPEEMENSNSTLVR >OMO64361 pep supercontig:CCACVL1_1.0:contig12835:6624:7858:-1 gene:CCACVL1_21812 transcript:OMO64361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAKKAPKFGGMKKIVTQRAIKNYKEQVLNPNKKDLTKEKLPRNVPNVSSALFFTYNASLGPPYRVLVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCIHKGTYADDCIVERVTQA >OMO64363 pep supercontig:CCACVL1_1.0:contig12835:19435:23145:1 gene:CCACVL1_21814 transcript:OMO64363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNPPQRNQAPHPQHYFPGFEAVPPHLKVDPYKSPMMYESWPCNSNYGYSVPYHGCCNHGNLPGYYSFRPPCPHFAPQPCHHYPNYPPFPEPYPVYYVPPPHPQSEQPRYEYDKDAHHCCGCPNHAQHQKSDRSLKIEEQEPDAEKKEGDSVIPIQPRSYSYPIVWLPPDYVKNKENEKRSDQPEISNWEKSKPSKSLKPAQQEPRVWNGWLPLDMKDLKSLMQGEGERKTQNQQNEDKTRQLPFPIYWVPSDWKQEEGENQPKLKVKNASDHSKQAPVSFEFVPFQPPDNGVRMDKPQAKEDISGNTNASGMMGETANQKCVLEKQVQVHKEDRSGGTEKKVRDVSVKHIEETKKNERAKEKSPSPPKSSKLPPVCLRVDPLPKKRNGNGSQPKSPSTPKEQKQETLTKTSTAAGLKGNDAVKIQNLNGSPDKVEPGRKERKDIQVTEARSKEDKAGECTGASQVPVLRDLPTQEEATKPIIEKTVTDSNESKTESFKEVAGAEKEGETMETTNPDKSAQGQCRAAIKRISEAEAVKLIQSAYRGFAVRKREPLKKLKQIAKVREQVDEVRNRIQALESSFDSKKDDRQRLLIGEMIMSLLLKLDTIQGLHSSVRDARKSLAKELVTLQEKLDSLTSKQAEAKAKELAAAESADKNTSVEKENENVSAVNTSSLDSTSENKTNIKDSDQECLTHLVVEQVNDKDEETTKPLFVDKDLDRKTENSTTEAVCESEGHTAQGMQDGDVSLNFEHVTHPSSVPEEKSHAGSLEANDLSGEEKREVVEMNDQLLVSNNAEEDKVRSLPEEMIDQVHAVCESEERSGISEGEKELDLPINPTLPDEVENLRCINKEQEINLLEELPVGIIDEESTISEIEKCEVQETGETNTLPSTEGPLGRCQSDEQLPKAASDNCVKDREENESTKSPEIVEVEQIQEEEVSNGDKSESVSKPEEVPLTVGEENDDKVHEEEDDYVMIPVDHVASSESEAGSIATQEKEVLFEEKKAEEDQPVEVQEQERMDREEETTDTPQETAEEKVLVETDALPEPNVEQELLPASPASSQKVNDEHNLGETGGDNRLIEENRKLREMMEKLMEAGNNQLTVISNLTGRVKELEEKLSSRSKKSSKPRYKKIYASPKSRSMRVRGKGAEVAM >OMO51885 pep supercontig:CCACVL1_1.0:contig15684:123:3098:1 gene:CCACVL1_29524 transcript:OMO51885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTMGLESAKRKKTHVRPKVAAEPAHKKQKTSPLVVQIPGDRLWQNLTRTFLNHPKVANGANLLGLSHEPETPDQTAHIPADTVMKFTLERGVMPPSKVRFFGIRDIPNWNEWVADIMGDDAHRNKLISAGIYDAVRASTKLLYIEVKSDQACGKPRSFKSWVDQCRDDEDLDLQRHQLVCFLLTWLARYVLPGNPEKGISQALIPLAIRLSRGLLLPLGPLYLGSLYAHLDLLHEKMKASMGVYEVMAYLDIPFIQMCLWERFPSAAPTCKQCPPSSVDSRFRAWAWYNCKAKTLILSLMDKWKEFEPRPYVRLLGGYGDPSKYPTQSGAPSVDMRPWAFAHELPAVIESDKFARDFSFSTVLYAPSRVAVQFGFDQLVPSKYEANHGFLQILSSFGVTYMEGISRHLPSVTRKGVYSNKWKLFYGECFTSWNEYAKYDPLLREDWVAEEISTNDLSLRKVTTQEKSQKKSKGKQQKKQQQKKKAPARDHINTGGNASAHNATLSRKNVSSHDNDVLSIEAANILPSTIAVSPPREIVEVTDDIIDVENDNVISEENGEPDGDEEPDSNEEPDSSSDDEDGGAEQEEGNEEGGSDEDHGSHCGSGSDEEGSEAGSGEEESDAESEVDIEPEIHAPDSDARQSDVRLTSSSQGAGRGTSATPATPHASPPPTSSVTGVVSTESGFHGFPVKSMYLAPLKEAELKGGKFWESTFLESDIVIAGLLDQLGDFILKANVPSNLSVEELESMRKTYDDLVKIKFNLDFMESTRTQLEKIIGALKFDPEAELATVNKEMADIDAREKQLKASLLKLERHKHSISARQRHYASLCDFLNTFRQGGNFFP >OMO51886 pep supercontig:CCACVL1_1.0:contig15684:12660:13522:-1 gene:CCACVL1_29525 transcript:OMO51886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein A MKVMVGIDESDESFYALQWAIDKLFRNEFAIAADVELEPASLTLLHVRQPSKHYGGAAAPTGTAFPAGPGVAANYPSIPVVESERNMHQQISARILSRALEMCGDKVNVETQILEGDPKDKICEASEKMGIDLLILGSRGLGMIQRAFLGSVSDYCAHYAKCPVLIVKPPKETTK >OMO63707 pep supercontig:CCACVL1_1.0:contig12915:3736:5036:-1 gene:CCACVL1_22342 transcript:OMO63707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKFSGRNVQGLDLKKFVEDIETFENVFFPPQSMNYNPNYVNPVNFDQVNQLLNEGNGNSDDGQVVVNVGGQGHGSNMQEPVQVQMNNNGQGNEEENVTRKRYKKDSVDDAQKRELRKLKNRTTAARAFQQKKAYVEQLELEVQELSKKNAYLKKSLQFGISSLSSGMTQRNLRRTASAPLSTEYNCAGVCISVKNA >OMO59756 pep supercontig:CCACVL1_1.0:contig13889:30943:31101:-1 gene:CCACVL1_24640 transcript:OMO59756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVHDPHACEQSGKLDPAICNVSGPLLFIIGFGPLDLSQYELGFAGNPSLLFG >OMO59755 pep supercontig:CCACVL1_1.0:contig13889:19925:26246:-1 gene:CCACVL1_24638 transcript:OMO59755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MDSEPPSPCHPRYVMLNDQKPPPQRRHVPRYKSRGRNSPGACCLKCICCCYCFLFILILLLVTAVVVFFTINKPQKPTYDISQVEVKAFKIHKDLSVYTQFIVSVKADNPNPHIGFIYGKDSSVNVLYTENTLCSGTLPSFHQPGNNISMMNITMEGQNVLDSGLREDLLKKKKEKKIPILVTVKAPISLVIAKFPLRQFSEKWEALWCGGANWVSACGLSKKLIKRVQCRLKLLKNKRNSIVKQLREDMAQLIKLGYEETAFKRAEQLFKDESILAVYDMLDSFCEFINIQLSYIRRNKDCPNDINEAVSSLIFASARCADLPELPAIRKLFGERYGQRFATAAVELLPGNLVNREIQEKLSIKSVSDDAKYRLFDEIVRDHCQKQEILAIEYIPEMQKPVKEISLYQEVDSKCEESQTQTSDSNETEDGKSIQVDTLAICTSLNSSQLEKMESPVETKAEKVANYPQTDSLLELTFDLPGEEQTQKSSSICTNTLEDQIERIKAPSSPESLPESEDIIIYLDDIEELKSSKKCQDQRLFKFKSPTMPKAGSYEGFDDLQNDKSSPRTFIKSRSPYGKKSRRRSFCVESPSMKETDHELYYEKPCKSSPSHKHKSHNCRKLPKKIITFAETEQSDYVLKRQIKHPGCNSHFTCSLENPCYFCTGDDEEEQFPTKNQNRGLRNSGQVPISDGEEDLDDQFCHCQCSCHGETKFKNEIGVVAQTPGRRSYDNGARVHECFSLPRLEKEKTIGKVKGYGSLVSMGNSQTRNEAVGPYLRAMTMPQERPSRESHMHSILRSNSLSFPNSNHVHPKLPDYDDLSAKFMALKKQNLLYNQ >OMO62175 pep supercontig:CCACVL1_1.0:contig13330:6007:6069:-1 gene:CCACVL1_22984 transcript:OMO62175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQTVLANNMARQIGGSSG >OMO62174 pep supercontig:CCACVL1_1.0:contig13330:3610:3672:-1 gene:CCACVL1_22983 transcript:OMO62174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTLLANKMAHQIGGSSC >OMO53006 pep supercontig:CCACVL1_1.0:contig15364:1961:5907:1 gene:CCACVL1_28956 transcript:OMO53006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MAKLKETFLVVLALFWFLYPSFAVKKEDILFIKYHIHITNDLPSDLPPGVPYLELHCKSKTKDLGLRKMLRHEDYSWDTKINYWRTTLFFCNVSWEGKQRYIEAFKAIRDEWRCLKYHHSCMWSCSLYPAFAVKNEEDLLFINYHIHITNDLPTYVPPKIPFLDLHCKSKTRDLGEKKLVQREDYTWDTKINYFRTTLFFCNAFWEQKHRFYATAMKMLSVDSHHS >OMO54278 pep supercontig:CCACVL1_1.0:contig15018:18086:18157:-1 gene:CCACVL1_27925 transcript:OMO54278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAFLSGGANESAAYNCVFIGY >OMO54279 pep supercontig:CCACVL1_1.0:contig15018:22384:22900:1 gene:CCACVL1_27926 transcript:OMO54279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHKQTYQAGQAEGRARERGEQMKDNIKDKAEAAKQKTFEAAQQAKDKTFQTSQAAKDKTQESGHATGEKAGEAREKSAGILQQTGEKMRNMATGAADAVKHTFGMADQAEDEDVDHFTNRRPGH >OMO54281 pep supercontig:CCACVL1_1.0:contig15018:31739:32778:1 gene:CCACVL1_27929 transcript:OMO54281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDQFRMLKSGRMRGALFAWNILTMQYFCSVLPLIKDAGLICAIQATAIRIVSISFTEGSSDMEPKLLCPLCRGDIYGWSVVEPARQFMNSKARSCSSESCDFIGTYSELRKHARSDHPLVRPTEVDPERQRDWTRLERERDYEDVLSSIQPILGEESNGEGISDLDDFRSWLTLNIAYYAVTLELLNDLSTEDVPFRRRPGGRRVRYGREIDRATREHNGSIVDRDRALPVHRNNPSSVERFQGRQRPRGMLRWRHRSPASDRVFHGRHQSSQAFHGRHQSSEADRTRRGRGLQWRTQRWSTFNNGQ >OMO54277 pep supercontig:CCACVL1_1.0:contig15018:16806:16988:1 gene:CCACVL1_27924 transcript:OMO54277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEPQPLTPEQRYTLSWEAATQRFIEYSKLDRVLNSEHNGTKLRLSNGKVIDAKPFRDG >OMO54280 pep supercontig:CCACVL1_1.0:contig15018:24786:30530:-1 gene:CCACVL1_27928 transcript:OMO54280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKVKPLLTCFTQEGDYLAILSPDGTAKIWSTTTGSLLAECKQPDGNSAETYSCMACSFIGKKRKKGKGTCLLAFGTKEGEIFAIDVFTGDRKWNSTCSYPGGIAGLSFTNKGHSLYVVGNNGKASEINSETGNVIREFKASKKSISSLTFSQDGKYLALASAKSRIISMENGKELLKFPDDLDAVQHISVSNDAKTIVTSGFGETNLQVWSCDLSSKTVSGGSVISMPHPPLAFECKNNGSEDGGSAILAVSESGIAYVWNFETLPQDDVKPTKITLKADKAEVDHLEKSASSRKSCISVIAARLHAPGMGQQVDVLIAYGPLDSPQFSLVNVGKTGENIVINAADTTGNENIQENTAASVKAAVDANGKPNKKRAAPDPDLATTRGINDTGHEENVDGVLVDDDLNEPTMGEKLASLNLVENGNSETHESQEREESSPHAKPPTADSVNVLLKQALHADDRALLLDCLYTQDEKVITNSVSQLNPSDVLKLLQSLISIIQSRGAVLACALPWIKSLLLQHSTGIMSQQSSLLALNSLYQLIESRVSTFESALQISSCLDLLYAGIVEDEFDENATVPVIFEDKDESDEEESEDAMETDQESQDGEALDGEALDGVSDFEGFDDMSE >OMO54276 pep supercontig:CCACVL1_1.0:contig15018:13904:14452:-1 gene:CCACVL1_27923 transcript:OMO54276 gene_biotype:protein_coding transcript_biotype:protein_coding description:late embryogenesis abundant protein 1-like protein MATAQNIGEKAGEITGQAQTGEQVKNMAQGAADAVKNTLGMNTDNTSAGSNPPSSTNLNTPSSAPSTTTLNAPSTNHPSNPSPRT >OMO54275 pep supercontig:CCACVL1_1.0:contig15018:7648:12430:-1 gene:CCACVL1_27922 transcript:OMO54275 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MSLTRVKMSEDVWLTCLTHALSTETEEIMGLLLGDIEYSKDGNVTALIWGASPQSRSDRRKDRVETNPEQLAAASAQADISLIFVQFYLCFENYLVLTHYKTNVRTQAMYQLLDSGFIGLIFSCFSEDTNKVGRIQVIAFQSSDGKQSHVSVPLAITPVNRNSVIDLDSSLSSSDNVAMASGYGKVGSPLQDTGDSRLAQGSNKGGVRAADMGGFFANADANYLGREQRGGIYNTNDNNVQSAVAVGDIDPMDMSESMQEAMHRSNLDISGAEYVRKEIPLYVLPTSSLLNLDSPLLSFTDLQRVLYEEERAAYNQAILQNMRDGKVHPLSFIHHTSTYQASMCKLIEYCLSPAINALQDRLKENEIRLAMLTNEAKILGTEAFKGSGPSSPHHASFHGSRVSAMSGQRDSHNSAEPSNLRTYTGAGSRSRKRS >OMO67333 pep supercontig:CCACVL1_1.0:contig12446:46818:48266:-1 gene:CCACVL1_20607 transcript:OMO67333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVSLQNTGNSLSFSSHLKSSITIKHSTALKKKIKLCFSQNPTKLNPLCTYAASNSNSPTRTYRRQGVTTHRQTAKNRPNPRRLNTDNGKENHPSHENPTFPSVTVDLMKLCKEGKVNEALDYMGQGVLADYNVFDALLDACGDMNSPESSRRVNEFLRRSKFAGDVELNNKLISVYGKCGSIRDARRVFDKMRERNMDSFNFIINCYAVNGKGDDGLLLFEEIREDAFQPDSETFLAVFSACASVAAVQEGVKYFELMKNVYRIAPGIEHYLGVIDVFGRAGYLNEALEFIENMPIEPTVEIWEAIRGFARIHGDIDLEDHVEELLLGLDPSMRSENERQAPPRKKLSIVNMIEEKNRVSDYRCMNPFKGEGNQKLKGLNGQMREAGYVPDTRYVLHDIDQEAKEQALQYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSKIVGRELIVRDNKRFHHFKDGKCSCNDYW >OMO67323 pep supercontig:CCACVL1_1.0:contig12446:1038:1765:-1 gene:CCACVL1_20597 transcript:OMO67323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase A2 MVFGAVIPARKRFAATFAFIFVFLTVFADSATNDSQVKCSRTCVAVNCNSVGIRYGKYCGVGWSGCPGEKPCDDLDACCKIHDECVEKKGLINVKCHEKFKSCIKKVQKSGKVGFSRDCPIETAVPTMVQ >OMO67326 pep supercontig:CCACVL1_1.0:contig12446:11995:13786:-1 gene:CCACVL1_20600 transcript:OMO67326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MAIEIEQPSVGLSKVAVSETHGEDSPYFAGWKAYDENPYHESTNQSGVIQMGLAENQVSFDLLEKYLEEHSEASSWGKGAPGFKENALFQDYHGLKSFRQAMANFMEQIRGGRAKFDPDRIVLTAGATAANELLTFILADPGDALLVPTPYYPGFDRDLRWRTGVKIVPIHCDSSNNFQVTPEALEAAYSEAESMNLKVRGVLITNPSNPLGATIQRSTLEEILNFVTRKNIHLVSDEIYSGSTFSSSEFISIAEILESNSYKNSERVHIVYSLSKDLGLPGFRVGTIYSYNDKVVTTARRMSSFTLISSQTQHLLACMLSNKEFTENYIKTNRERLRKRYDMIIKGLKNAGIQCLEGNAGLFCWMNLSPLLEEQTREGELALWKIILNEVRLNISPGSSCHCSEPGWFRVCFANMSEQTLEIALERIHKFMEQRRRN >OMO67327 pep supercontig:CCACVL1_1.0:contig12446:22277:26753:1 gene:CCACVL1_20601 transcript:OMO67327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPSALSPPEVPMELHACNRKKLLDSLRKHLSDSSRPLHGFVLLQGGEEKTRYCTDHCELFRQESYFAYLFGVIEPSFYGAIDIATGNSILFAPRLPADYAVWLGEIKPPSYFQERYMVSMVYYTDEITQVLADQYKGSGKPLLFLLHGLNTDSNNFSKPAEFEGIENFETDLRTLHPILTECRVVKSELELALIQFANDISSEAHVETLEDGDMALLDMGAEYSFYGSDITCTFPVNGKFTSDQSLIYNAVLDAHDAVINTMKPGISWVDMHKLAEKVILESLVKGNILAGHVEDMMGERLGANFMPHGLGHLLGIDTHDPGGYPKGVERPKEPGLKSLRTARHLQEGMVITVEPGCYFIDALLVPAMKNANTSKFFNREILDRFKNVGGVRIESDVLVTANGSKNMTKVPRKTWEIEAVMAGGPWPLGKASNHSENGGEAKV >OMO67331 pep supercontig:CCACVL1_1.0:contig12446:40686:42815:1 gene:CCACVL1_20605 transcript:OMO67331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase PHOSPHO-type MAGIVVLFDFDRTLIDGDSDNWVVTEMGLSDLFRQLRSSLPWNSLMGLVLDDIYASAPEGGRQKCIYLGDGGGDYCPTLKLQDTDHVMPRKNYPLWNRIFSDPALVKAAVHEWSNGEELEKTLLHLINTISTKQNTSGSNSSESNSSNCKLHMSVSTPEVHTRTLKVPH >OMO67330 pep supercontig:CCACVL1_1.0:contig12446:37620:39609:1 gene:CCACVL1_20604 transcript:OMO67330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ndr MSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAPICPSVSPHCVDDLADQILEVLNFFRLGTVMCMGVTAGAYILTLFALKYRERVIGLILISPLCRAPSWTEWFYNKVMSNLLYFYGMCGLLKEFLLQRYFSKEVRGTAEVPESDIVQACRRLLDERHSSNVMRFLQAINRRPDLTRGLKRLRCRTLIFVGDNSPFHSEALHMTSKLDRRFSALVEVQACGSMVTEEQPHAMLIPMEYFFMGYGLYRPSQLSGSPRSPLSPSCISPELLSPESMGLKLKPIKTRANIQL >OMO67337 pep supercontig:CCACVL1_1.0:contig12446:73277:74385:1 gene:CCACVL1_20611 transcript:OMO67337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKEIMGRKCSHCGNIGHNSRTCTTFRGTSALVGAGGLRLFGVQLDINPSSSSSSSVFSMKKSFSMDCLSSASPINSSPSPSNSSSRLSMDNENISSDKTSIGYLSDGLMARSPERKKGLHHHNHQIHHQYAATAAPVTLQHGLFSDSHTNAAQSMSSSNVVVAVADDLELTLAGPRYLEDNKSSSSPRTTLLTRPISVT >OMO67328 pep supercontig:CCACVL1_1.0:contig12446:27218:30201:1 gene:CCACVL1_20602 transcript:OMO67328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase MGRRKNSNAAQTSTNSSNRFEGNKIRFQDADDEEAATVSSNLDDSIVANDKATEDVSMGEADVSLVDCAADDDKTSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIYQNKFLFKDKVVLDVGAGTGILSLFCAKAGAAHVYAVECSHMADMAKQIVETNGLSDVVTVLKGKIEELELPVAKVDIIISEWMGYFLLFENMLNTVLYARDKWLVDDGIVLPDKASLYLTAIEDAEYKDDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDQNQIVTNCHLLKTMDISKMAPGDASFTAPFKLVAQRDDYIHAFVAYFDVSFTKCHKLMGFSTGPRSRATHWKQTVLYLEDVLTICEGEAITGSMTVAPNKKNPRDVDIMVKYSLSGRRSVISRVQMYKMR >OMO67329 pep supercontig:CCACVL1_1.0:contig12446:30940:32783:-1 gene:CCACVL1_20603 transcript:OMO67329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIILVLVSALLLSSWVPVSLCSKKPVAVARKEDIPYIKCQVCEKLAAQLYQQVQSKQAQISPKKISEFQIIEIAENVCNLKKEEADWILKIDIVEQGDKLELIEQDAEGICNSECKTIERTCQEVMGYSDTDVAEYIYTAKPSLESLVNYLCKDLTKSCKTKPPPLPKNRTPGEPFVPKPTKEAEMEKMLRSMEGMPGAPSMKMYSREELMNSKNLGEDGDDEDDDEEEQFPSNLGKLLREKESKKGDWKQRISKEIKSASQALKKHANKVSFRLQRWWRGFKAARSKSSKAEL >OMO67334 pep supercontig:CCACVL1_1.0:contig12446:49343:50731:-1 gene:CCACVL1_20608 transcript:OMO67334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGELRYPSPVSSPQPSQATETPVVVEEDDEAWVWAQIKAEARRDAESEPALASYLYSTILSHSSLERSLSFHLGNKLCSSTLLSTLLYDLFLNTFSSDPSLRAAAVADLRAARVRDPACVSYSHCLLNYKGFLACQSHRVAHRLWNQSRRPLALALHSRISDVFAVDIHPAAKIGKGILFDHATGVVIGETAVVGNNVSILHHVTLGGTGKACGDRHPKIGDGVLIGAGATILGNVKIGAGAKIGAGSVVLIDVPPRTTAVGNPARLVGGKEKPSRHEECPGESMDHTSFISEWSDYII >OMO67324 pep supercontig:CCACVL1_1.0:contig12446:2588:4447:-1 gene:CCACVL1_20598 transcript:OMO67324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ATP synthase subunit G protein MASKLQQLQSKACQASKFVSKHGTAYYKQLLEQNKQYIQEPPTVEKCNELSKQLFYTRLASVPGRYESLKKELDYAKNLWKNRKELKVEDAGIAALFGLECFAWFCAGEIIGRGFTFTGYYV >OMO67325 pep supercontig:CCACVL1_1.0:contig12446:4806:4886:1 gene:CCACVL1_20599 transcript:OMO67325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGLGYTRRLPQTEELETDERAFGD >OMO67336 pep supercontig:CCACVL1_1.0:contig12446:58010:66127:1 gene:CCACVL1_20610 transcript:OMO67336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKGNSDLVGKVVVVAIKAAREIPKTALVWALTHVVQPGDCIKLLVVVPAHSSSKKIFGISRFTSDCTTGHWKSLSGTSSDQKQDIADSCSQMIFQLQDVYDPEKVSVRIKIVPGSPYGIVAAEAKKAQSNWVILDKRLKHEKKHCLEELQCNLVIMKRSQPKVLRLNLVGSPNMAPEVAWPSSFESEESPRHKKSKPEQLDEIRGPFVTPVSSPEHESSLTAASSISSSDPGASPFSLPGLYESLKKDYSFITEESKNLFESDSDSDSEIDHPKTRSCFEPWMAEIHNSGTNSKHPPGKGLQSVNDSSLTSKYSVLLEKFSTLNREPVNYRLDLKARPLLESHAIKDLVDPRLGDCYAEQEVYGMLQCASLCIRRDPHLRPRMSQVLRMLEADFITNSADVFAEKEATSFIHPTAVVHPNAVIGKGVSVGPFCTIGSSAKLGNGCHLYPSSHIFGNTELGNHCILMTGAVVGDDLPGRTVIGCNNIIGHHAVVGIKCQDMKYRSGDECFLDVGDNNEIREYTSIHRSSMSSDRTVIGDNNLIMGSCHIAHDCKIGNNNIFANSTLLAGHVIVEDYAHTAGATVVHQFCHIGSFAFIGGGSVVSQDVPKYMMVSGERAELRGLNLEGLRRRGFQVIEIKSLRTAYRKIFMPSDTNSMGFDERLAEVEHNEDLSSVPAVHSMLQSIRDSFTENRRGICKFRQWSSS >OMO67335 pep supercontig:CCACVL1_1.0:contig12446:51756:54047:-1 gene:CCACVL1_20609 transcript:OMO67335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKLAVVSSRLKREDHKRTKHDSQFSKWKVLIGPDDWEDYSAGKEGVSRYRVENLPRSSSSGLYELAINRTGSSSREKSGKFDLDKVLVVYLGEADNVKTRLQQYGRTGAHLGRSSSGEKGCGCFEDVFSRGYSILYRWAPMENKAEAYRTEAQLLNTFDYAWNKGSNGARRHDDILQKLDKGVSNSNPLAIFSRKLLPFRQKQVGIKIKASKLVSQDDDFGNSEGYSFLSQVFKFSRSQPRLVLDHGGSDENETITCGVVLGDGSICRRPPVDGRKRCAEHKGKKTKGSSVRVNTSEKSELHKACSEYAVSNNLEFDIYGEIPNFAAIPSLIAGESPAIEHYSPICGVAMDDGSICSRQPVKGRKRCNVHKGMKKCNFKSETTRYQAVPDLLFDSYANDALNFDKNSEILIPGKVETGVAPCRPVNEGCNTICGVELGNGCFCTNQPVKGRVRCEEHKGLRVTSLTPHAFDADSNYNSYNWNYGSRSVSKCGAPTRNGSYCRRTVNGNRKCWQHS >OMO67332 pep supercontig:CCACVL1_1.0:contig12446:43761:45821:1 gene:CCACVL1_20606 transcript:OMO67332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MAKKGEEREMGMLFDGIIESMPLFAKELIAGGVAGGLAKTVVAPLERVKILFQTRRSEFQSIGLFGSFQKIAKTEGIMGFYRGNGASVARIVPYAALHYMTYEQYRRWIIDSFPDIGRGPVLDLVAGSFAGGTAVLFTYPLDLVRTRLAFQVVSPPKINVQGIAGSDQVYKGILDCFSKTYRGSGLRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRHVPEGQKKNIMVNLVCGSVAGLLGQTFTYPLDVVRRQMQVQRLLASNSPELKGTMETLVMIAKSQGWKQLFSGLSINYLKVVPSVAIGFTVYDIMKSSLRVPSHDEARIEVVTNKRNTQTSSLHS >OMO49723 pep supercontig:CCACVL1_1.0:contig16424:3750:7137:1 gene:CCACVL1_30832 transcript:OMO49723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MAFSLCTVRVHYQGRFEWQGPRLRYVDGLVNDIRIDLDKLSYSQIKEVLEDNGYKNVQNIYYLRLGFRLEKGLRRVFSDDSAMGMISDALSRNFVEIFIEHSVQEGPEIFLALPPPDNNANDEDHDELHVEMNNEGNDGGGVQDQGVGPSLPLISFPEDSNHQKISMASLEEQVASLAKAVESLANNIKEKDEQISFLMMKVVENKGKDKPQILQQVDETTAENSNNKNVQEFGENSTKVAAEDLQSISSDQIKELIKEAIKDQAENIAPLSYTYAKSYSQRIDCMKMPDNYQPSKFQQFDGKGNPRQPVAHFVETCNNAGTYGDLMVKQFVRSLKGNAFDWYTDLEPGSIDNWEQLEHEFLNRFYSTRHIVSLIELTSVTM >OMO98068 pep supercontig:CCACVL1_1.0:contig07168:23272:23421:1 gene:CCACVL1_04355 transcript:OMO98068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation release factor pelota-like protein MELVKSAQKAGGKAFVFSPMHVSEEQLAKLTGIAAILRFPCPDLEELVL >OMO98067 pep supercontig:CCACVL1_1.0:contig07168:8040:10596:-1 gene:CCACVL1_04354 transcript:OMO98067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MHRNLVTRALRDSGLESSNLILGIDFTKSNEWTGKISFNNRSLHAIGDTPNPYEKAISIIGKTLAPFDDDNLIPCFGFGDATTHDTEVFSFHSDHSSCHGFEEVLACYRNIVPNLRLAGPTSYGPVIDAAVDIVENSGGQFHVLVIVADGQVTRSINTNDRELSPQEERTINSIVNASSYPLSIVLVGVGDGPWDDMRKFDDKIPARDFDNFQFVNFTEIMSKNTTAEAKETAFALASLMEIPFQYKAVMELGILGQRTGKAKKVVPRPPPVPYTRRPLPQPPERVPSNVSSPALDDRTQACPICLTSAKDLAFGCGHMTCRECGSKVSNCPICRQRITNRLRLFT >OMO98066 pep supercontig:CCACVL1_1.0:contig07168:214:2388:1 gene:CCACVL1_04353 transcript:OMO98066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEEEIECSEKSDEKLVCMAYRVKQKHLSAATTSKDTGKAVVCVPDRVKEELFWVYVQIQMSEVMALYDSASQRNLISHQLVKQLNLKSTPHPEPYPLGWLNKDAELQVTEQCTFKFAINEKFKDEVTCDVVPLDICQVVFGSLYLWDRDAIFYRRENVWRIVKDGVGYRICPAKDARKLSLVSAQQAKHLVNTSKRPPLKVANVVMYREPSDSVIYKEQYIDDSCFQNTYRQWKQETVLMGTI >OMO98069 pep supercontig:CCACVL1_1.0:contig07168:24097:24159:-1 gene:CCACVL1_04356 transcript:OMO98069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VADWRSEEEFKAALKSAGLL >OMO62875 pep supercontig:CCACVL1_1.0:contig13134:28231:41398:1 gene:CCACVL1_22594 transcript:OMO62875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERLKRAVEAGDTDEFYRCIREDPNVLDRIDEAEFIDTPLHVAAARDHVDFALAIMNLKPSFARKLNQEVYSPIHLALQNGHKEIVFRLLEIDKDLVRVKGKESYTPFHHVVENENLEFITQFLKDCPECIEDVTIRNETALHIAAKNDRVDALEVLLRWLQRSHIYGKDSKTHLLNLKDGDDNTVLHIAAYHTQPQMMKLLIECKVDMMEINIRDLTALDILETQRQSLNRDICLKLLRSKGALNASSIPIIEDSYNSFRSKITFLERIVMGMVGPIMTMSADRINALLVGLTLVITAIYQAILSPPGGVVQGDITMNATEAAEAGVGNSVMDAPTFQAQIKPIIQSYNLTAHIEGDVAAPAPTLANNQPNPAYQSWFQRDQMVLVWITCSLSEGVLSQVVGVTTAKQAWEKLVTSFSSGAKPQIRQIRAALLKIERKQESITAYMQRAKGYFDQLAALNSPIDEELLVDAVLGGLREIYRPFTRALEAKLEPIKFANLYGSLLSEESQLGAEQTTIAIPPTAQFAARQNPQSRGRGRRGGRGRGWQNFAAQNSIRHHHHLMCKECPTPRSQNNRNQSTVKPQNNLAAIQPPNTSTWLADSGATHHLTADLENLGIHNEYAGPDSVTIGNEPLLKNSLTGQSSPFKPDWGGEYQALTEYLAQNEITQRSSCPHTPEQNGCAERKHRHIVETGLALMYHAGVPLTYWAYAFDAAVYIINRLPTPRLNHKSPFETIFHEKPKYNTLRTFGCLCYPWLRPYAQNKLSPQSTKCVFLGYSKLHKGYRCLDFETGKIHISRHVLFDEQVFPFQVSNGQEAPNVASPNYLSPLSISRTENNTFSLPKSTPKTTPINSPTQPISNKSQDKSPDPITEPMSPTHNKTESSLPITPTKPSQIENTSSSSSSTVKSPSTVKNTSPGLSLVVDFTRYDQNHVPNPGKTRSMVTRAQTGNLKPKPKAASHIVLDSVEPTSAAQALKSPLWRQAMVEEYNALIQNGTWELVPRDTAKNIIGCKWVFQIKKHQDGRVERFKARLVAKDLGDISFFLGVEATRDSNGMLLTQQKYIGELLDKAKMSSANSISSPACPQQNLIQNDGEIFSDQTLYRSIVGGLQYLSFTRPNITFAVNRVSQYMHSPMQSYWIAVKRILRYLVGTKDHGLYFSRQSSPLLHAYSDSDWGGCLDDRKSTTDVAIFYGNNLIQIGEGDINGLYSCIREDANVLRHIDEAEFVDTPLHIAALRGHTDFAVAIVHLKPSFATRLNQDANSPIHLALQNDHRETILRLLNVDMNLVRVKGKDGYTIFHHVVEDGNLEFLTQFLKVCPECVEDLTIRNETALHIAAKSYRLDVLEILVRWILRNDMYCKVSRNHLFNSKDSDGNTVLHIASYNTQPQMIKLLLECKVDMKEINKKDLTALDILERQERTRNREICLKILQNKGALNASSISRSIVPTYKSLQSKITLFEEISMGLLGPIMNMAPERINTLLVGLTLIITAIYSAILNPPGGVWQGDAGEPGVGNSVMDPVTFQAFFAVNYAVYIFAAFSVVVLIQVVTSSAAIGIMAEILAALFTCSFVGAWLVIVPKNADFFIDILHFPNLSRALELKLGPLYFLFLLASMEGCRRLCLMFKRCQLPNNTVVSHFLKPKAEPPRPCPSQVALANYACEKVPVFVFPSPPTAEPPAEPPEGGDYEYGNGLNWRLAMTLQSSSVKSAASPTHVVADL >OMO62877 pep supercontig:CCACVL1_1.0:contig13134:48666:52834:-1 gene:CCACVL1_22596 transcript:OMO62877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYPIPRAESTHHRLYEFAKTALIKIFVHPYATVCDLYCGGGADAEKWDGAQISHYVGIDVLSSGINEVKEAWGSQRKGFTAEFFEADPCTDNLETQLQEKSIQADLVCCLQHLQLGFETEEKARRLLNNVSSLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRASSMKPNIVPNCIRSETYMITFEIEEEKFPFFGKKYQLKFASDVSAETHCLVHFPSLIRLAREAGLEYIEIQNLTEFYDDNKSQFAGMMANAGPNLVDPRGRLLPRSYDILGLYTTFIFQKPDPDVAPPLTTPLLQDGSYNHDEADVSRQP >OMO62876 pep supercontig:CCACVL1_1.0:contig13134:45492:45880:-1 gene:CCACVL1_22595 transcript:OMO62876 gene_biotype:protein_coding transcript_biotype:protein_coding description:ent-kaurenoic acid oxidase 2-like protein MEMGLIWMIFLATMGGFAALNLVLKRVNWWLYESKLGEKQYYLPPGDMGWPIIGNMWSFLRAFKSKNPDSFMASIISRLKMVIREEKGKGNPDRNC >OMO62874 pep supercontig:CCACVL1_1.0:contig13134:20161:21009:-1 gene:CCACVL1_22593 transcript:OMO62874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAWRESPRRREPPRRQSRESFRWRLSLQTVCVSNIDYRVSRREIWELFNEHDVVVDVFMPTRRRYNATNFAFVRYRYIEESQKAISFGIGRKIDGRSLIVRKAAARKIDKGPQVRGYSNRYSHHYDRRADQSGVRFNSKVRGRNFFSHFSSNKQMINVRDDGKRRSRFNPQAGDRSYVPHNRFIGQRINYRNNQSSDGNSNSKRVWKEKEVFHPTEKLHNSKEFDPSEAERKGDYESNRTEVLRRHSDQPTKNTMVVETPSNPLSEGSKEEEVSEKDFAQN >OMO62873 pep supercontig:CCACVL1_1.0:contig13134:4852:7582:-1 gene:CCACVL1_22591 transcript:OMO62873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinonprotein alcohol dehydrogenase-like-superfamily MQVISKPFFPVSICCEVLVSFIKFFLWLLKQEVQDWLSHGGNILNRRFADKETKISPETVSRLSLKWRFNASKDITATPSIFDGTVYFPSWDGYVYAVKASDGSLIWKQSLQRLTGLNPTVNASNGNVTVSRTTPTIADDLLIIGISGPGYVIAVKKSNGELVWSTQLDKNPASVITMSGTYYKGNFYVGTSSQEETLSLEQCCTFRGSFVKLDATTGKILWQTFMLPDNFGKRGEYSGAAIWGSSPPIDFQRNLVYIATGNMYSAPQNITDCQERQNNQTQVPTHPDECIEPDNNSESILALDLDTGKIKWYHQLGGYDIWFFACSNLSTPNCPPGPNPDADFGEAPMILSINVNGTKLDIVVAVQKSGFAWALDRDNGNIIWSTEAGPGGITGGGTWGAATDEKKVYTNIVNSDGNNFTLKPSTRNTNAGGWVAMNANNGQILWSTADPSNGTTNAPVTVANGVLFGGSTFRQGPIYAMNANTGEILWSYNTGASVYGGISVSNGCIYVGHGYRVNFGVLNPKFTAGNSLFAFCVS >OMO62878 pep supercontig:CCACVL1_1.0:contig13134:55193:59366:-1 gene:CCACVL1_22597 transcript:OMO62878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEAKQTCKPSGKIKGKKPPPNKCNPDHDSDCCEEGKLYDIYKCSPPVSDHTNAILTLNGFGQGDDGGNPSECDNKYHNNTELIVALSTGRFNKKKRCHRYINIHGNGMSVKAKVVDECDSTMGCDEDHDYQSPCDNNIVDASQAVWDALGVPQDQQELLHSRVPSFVLSPDQARAMSQTVILKVPMSCEGCAGVVKAALETMEGVESLDINMAEQKVTVKGKVKPDDVLQIVMSKRKRATLWEAEAPTPAQEDVEAPTPAQEDVEAPTPAQEDVEAPTPAHEDVEAPTPAHEDVEAEAEAKPADNAADA >OMO50103 pep supercontig:CCACVL1_1.0:contig16318:6443:9255:-1 gene:CCACVL1_30631 transcript:OMO50103 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor MAELTQAEVYSPRSMQVWRALLNWLAFFFQIFSQIIRAVGQYPLLSSSSSSSSTTSSSSAHRFKPLPVVDSTEIESPATVEIAAVLDSPIHAEENRIEKLTVVLDLDETLVCAYETSSLPPALRNQATDAGLKWFELECVSSDKECEGKPKINYVMVFERPGLHEFLSQLSEFAELVLFTAGLEGYARPLVDIIDKENRFSLRLYRPSTISTEYREHVKDLSCLSKDLCRTVIVDNNPFSFLLQPLNGIPCIPFSAGQPHDTQLLDVLLPLLKHLSQQKDVRPVLYERFRMPEWFQKQGIPASSWSV >OMO50104 pep supercontig:CCACVL1_1.0:contig16318:11968:15948:-1 gene:CCACVL1_30632 transcript:OMO50104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGGFEVETVMEFLRKNGFKEAEKALEEEIMEKRNEEEEEEEVVAFDFEKFLFPMPPPVKIPATLRRSEIDEKVKSSDGSDSDGQEFVSLKSSTSDVCSSDFVNPYGLHSASQADSDTSSDRLSQFGTARDYPDFDMHNDLYWYDEKDEDYFMTPCFAGSDLYGCPSEDKFVTTSETEKQHDDTLSLHKKPEGFQTEASIDYLDKPCLFNMTCINGENEVQALDYYHFDGCNGLEGDFDREESSLCDENPKNLSYVSSKETNWDNLHLKVARDINPDYNSAYEHKSNKILHSSQRGSIDWIDGFKGASDLVDKIADKDVLPNTIDSYGNEDDEDNGEVIEPKAAADQVNDATDELLMYSNEDEYEVFNLRIVHRKNRTGFEENKDLPIVLNTVIAGRYYVTEYIGSAAFSKVVQAHDLLTGVDVCLKIIKNDKDFFDQSLDEIKLLKLVNKHDPGDEHHILRLYDYFYHQEHLFIVCELLRANLYEFQKFNQESGGEAYFTISRLQVITRQCLEALDYLHSLGIIHCDLKPENILMKSYRRCEIKIIDLGSSCFQTDNLCLYVQSRSYRAPEVILGLPYDQKIDLWSLGCILAELCSGEVLFPNDAVIMILARMIGMLGPFELEMLENGQETYKYFTKEYDLYHMNEETNQLEYIISEESSLEDHLQVSHVEFIDFVRYLLQVNPQRRPTAREALQHPWLSYSY >OMO50105 pep supercontig:CCACVL1_1.0:contig16318:25371:25795:-1 gene:CCACVL1_30633 transcript:OMO50105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAAPPPISPPPPQETALHQDDTTEEDENVKQLGDCSAVYLSLQDCLINSNRDWKSCQKEVQALKACNDMRNNSKKK >OMO58597 pep supercontig:CCACVL1_1.0:contig14200:928:5116:1 gene:CCACVL1_25427 transcript:OMO58597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPRLRDEYCCDRIREKTDVHVWEVRWQFSEMDTGLIENDECSSENDAPRAYHGLEEHIGANEDQGDVIDASLEEHGVGSTCDRPLLGEAAQHRPHDRPSHATSHEATSHNRPSLGAPTGHNRTSIGPSGQDRPFDPLAIPQGPMTRAQAKRFKEALLGFVRSHLGGLESIEEHLEGIVVDITKNIPIDSKVFTLFEIDEH >OMO51733 pep supercontig:CCACVL1_1.0:contig15735:56332:57306:-1 gene:CCACVL1_29627 transcript:OMO51733 gene_biotype:protein_coding transcript_biotype:protein_coding description:f-box family protein MCRLPQEVLVKILKRYDDAKLLLRISLVSKQFASVVSDTHSLTLNSEAPPRNLPPDQLDEIIVGLLNRFKNLQHLRIVFYIDPVFHTQDPFLKCQVLVGPEFQLGYLLLATPHDPTLTGRLPESKWSRDFGGVWDESLPHEERREIKDKKNETILGILQSYLGVPLVYSKIMHRVVSNNYNTKLLKSLTFSDQINRWMVCMGEEEIERSSKKPLSFPETVDLELVEINLWEAPLMKLPALGCALRDVRFFEVKPFSNPTIPQQTNALEKCVWSNSDADWTIFGEARMEIMFNYDRSKKMSTILTPSDILFARFNPLLGQLYLED >OMO51731 pep supercontig:CCACVL1_1.0:contig15735:33823:42315:-1 gene:CCACVL1_29625 transcript:OMO51731 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein MAPPSNKEKVTESDCEGRRMGTEDNFERLPDDVVMVIINKLIHMKTLIRFSLVAKRYSSLVPKTHSLFVELHTVTPPPTFLGRVGGFLSNLVAKFSLRLRGASSVPRPSPSPMAQKISLLKQGFQQVKHLHLHIGASASFKMQTASFKQWVAVGGSQSHFEMCVCLMASSVSCNSNSNSNPSGNGNHGILGSFIEQPDILLTSFTQLLKYQSERHELIRQFVLDLDHKLLETLVFTDDDSKGSFIMGKEEIARLQGKQQVSDPSELLACLLHLPTLDLPQSGYTLTDVIILAAFPVDQDRQLLLLDAAAAEEDENMESYILDHSVFNTWKVFEEAMGHIFNDDFMNHNMNPKFVFYIPYNYL >OMO51730 pep supercontig:CCACVL1_1.0:contig15735:21826:22095:-1 gene:CCACVL1_29624 transcript:OMO51730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWEDQIQKLGIGSHTQDEEMKQDFFQRLPDDVVMLIINKLIRMKTLFRFFLVAKRHSSLVTKTHSLFVDLHTPPPTLLDRLGGFLGTY >OMO51729 pep supercontig:CCACVL1_1.0:contig15735:16272:18218:1 gene:CCACVL1_29623 transcript:OMO51729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat 11 Fission 1 protein MEAKIGKFFEPVTNLFSVSDQLPWCDSDIIVGCEREVAEAEKGSGELKNECIMRLSWALFHSRRAEDIQRGIAMLEEPDWRQAKSLKQAIEDRITKDGVIGIGIAVTVAGLIAGGITAALARKN >OMO51732 pep supercontig:CCACVL1_1.0:contig15735:42952:55676:-1 gene:CCACVL1_29626 transcript:OMO51732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGKENCHSLKMWFIEAKTVRWRVSK >OMO51728 pep supercontig:CCACVL1_1.0:contig15735:3285:6907:1 gene:CCACVL1_29622 transcript:OMO51728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter NIPA MGLSEDNLKGVVLALLSSGFIGASFIIKKKGLKRAAAVSGVRAGYGGYAYLLEPLWWLGMITMIVGEVANFVAYAFAPAILVTPLGALSIIVSAVLAHFILNEKLHLLGRLGCVMCISGSVIIVIHAPQESPITSVQEIWTMATQPAFLLYVSSVIVLVFLLIFHFVPRSGHSDVLVFTGICSLMGSLSALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDGQSSGSIISELCGFIVVLSGTILLNSTKDSDRGSSSRGCHSPLSPTLSTGLFGGNGESPEFEEENDLLP >OMO51250 pep supercontig:CCACVL1_1.0:contig15920:4267:4482:1 gene:CCACVL1_29906 transcript:OMO51250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVKEIAGMKAEILDRLSNWGRYAELDPSSSNYNEEEETNKCEAMTKELAMSRARESNLDYETTLLQVKLE >OMO62712 pep supercontig:CCACVL1_1.0:contig13198:30820:32871:-1 gene:CCACVL1_22682 transcript:OMO62712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MAPYVKAQKTRAYFKRFQVKFKRRREGKTDYRARTRLINQDKNKYNTPKYRLVARFTNKDVTAQIVHASIRGDIVLAAAYAHELPRYGLEVGLTNYAAAYCVGLLLARRVLKQLEMDTEYEGNVEATGEDYSVEPGETRRPFRCLLDVGLIKTTTGNRVFGVLKGALDGGLDIPHSDKRFAGFSKDSKQLDPEVHRKYIYGGHVAAYMRTLIEDEPEKYQSHFSEYIKRNIDPDNIEGLYKKVHAAIRADPEAKKSEKEPAKEHKRYNLKKLTYEERKARLVERLKALNSAAGDDYDEDDD >OMO62714 pep supercontig:CCACVL1_1.0:contig13198:38220:40479:-1 gene:CCACVL1_22684 transcript:OMO62714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNSPQPAGGGSPPKPWEQAGNSSSSAPFKPPSAGSTSDVVEASGTARPGEIVSTADRTTALNRNAVGRPLPSRPWEQQQTYGSTYGGYGSGLNYNSGYGTGMYGSSYGGLGSYGGGGLYGNSMYRGGYGGLYGNSGMYGGGMYGGGYGGLGGGLGGPMGGYGMGMGPYGEQDPNNPYGAPSSPPGFWISFLRVMQGVVNFFGRISILIDQNTQAFHMFMTALLQLFDRGGLLYGELARFVLRLLGIKTKPRKINQPGPHGLPGPDGFPGSHNPHGNQNYIEGPKAAPSGGWDNVWGENGSS >OMO62715 pep supercontig:CCACVL1_1.0:contig13198:50506:50622:1 gene:CCACVL1_22685 transcript:OMO62715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVMTILHSFAKPAFDAATDAKDPALTIRPRIIVFSR >OMO62709 pep supercontig:CCACVL1_1.0:contig13198:16299:21754:1 gene:CCACVL1_22679 transcript:OMO62709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease T2-like protein MASLSAQTLLLCIAFMAVTSFTLSVEGEHREFDYFVLSLQWPGTACQNTKKCCSSNGCCRGENSPAEFTIHGLWADYSDGTWPSCCQRIKFDENEISTLLDALEKYWPSYSCSKTKTCFSGKGLFWAHEEILNEAGYVPSNSEKYPLGGIVSAIENSFQATPEVVCSKDAVEEIRLCFYKDFQPLNCLASKTSCPEYVSFPTHASYGLKEFGTNIASISYDEAL >OMO62713 pep supercontig:CCACVL1_1.0:contig13198:35717:36880:1 gene:CCACVL1_22683 transcript:OMO62713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MDGVTSIVHLPDDCLSFIFNCFDSSSDRESFGLTCHRWLNVQNLNRRSLQFPCSFSIIGHSSLSQSSVDINSFHLYRILTRFQHLEYLSLSGCTELSDSSLTYLKYYGSKLKNLCLDCCFGITDYGLSVVGNSCPSLTIISLYRCKITDVGLETLADTCLALQHVNLAHCSHISDSGLRALSQGCNQLKAIKISNCRGISGVGLGGFSSTLVYLDAEFCDVQPEGIMAIISGGGLKFLNISGLRLNFRDGVAAIGNGFAARLKILNLRMCRTIGDASVIAIAKGCPLLQEWNLSLCHEVRFAGWASIGLHCHNLEKLHVNRCRNLCDQGLQALRDGCKRLSILYMNGNSRVSDIAVELFKMHRGNVEIKGEEVMSLGPQWDSLECNE >OMO62711 pep supercontig:CCACVL1_1.0:contig13198:27257:29802:1 gene:CCACVL1_22681 transcript:OMO62711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAQLVIGPAGSGKSTYCSSLYQHCETIGRSIHVVNLDPAAENFDYPVAMDIRELISLDDVMEELGLGPNGGLIYCMEHLEENLDDWLTEELDNYLDDDYLVFDCPGQIELFSHVSVLRNFVDHLRRKNFNVCAVYLLDSQFITDVTKFISGCMASLSAMVKLELPHINILTKMDLVTNKKDLENYLDPEPRLLLSELNERMAPRFRKLNKSLIELVDEYSMVSFIPLDLRKEKSIQYVLAQIDNCIQYGEDADVKVRDIDPEDDE >OMO62710 pep supercontig:CCACVL1_1.0:contig13198:23230:25867:-1 gene:CCACVL1_22680 transcript:OMO62710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLFLSEPNWDDETGDNEATQLIISLLHQLGSVIWSLMISGGRSVAQLWLCNAVSSISSISPYQQREIFMKLLTSKPKRRDLASQLLQMVFEKRPRKAGSVLAKKSYLLEKFFKGNPKRIMQWFSNFGDGGGLEHKKGAKALSKFAFANRDICWEELEWKGKHGQSPAMVATKPHYFLDLDVQRTVENFLENVPEFWSSSEFAESLKDGEIIFIDTKFFVELFVDLMYKEDFRDLWEIISEFLKEESFSSLCKHLLISLEEGEFCIFLELLCRYINPTKESLQFGNPSYLLEFMLYGYGDSKSFDNLLLLNAVIDQRRQLLRLVHDEEYQDENEQVKSIVSKICKSSSNAYTLVSILKECIKTKTTEAMKMLGLYSWVIYYRMSEECQTRESWEFLFLSNGIRFRKSDKYSLLHHEGSSEESEAEANDRQSIRRKKKKKSRKKRRREFDDNNIFDGLLDFDTSDSRLELQSGNESWSLSIDDFSTSWTIVDLPEHLSNHCLYTWMKWLASKQRNVAYGW >OMO62708 pep supercontig:CCACVL1_1.0:contig13198:8203:10404:-1 gene:CCACVL1_22678 transcript:OMO62708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEGKNFGKDTHYLHNVVGDTEIRKGEGMQLDQLIQNTSHNRDTNARIQPFDLDVLKEAFQLRETTPVELPPSEKGIPTIAGKSKTEAKDKERKHKKHKDRDKEKDKEHKKHKHRHKDKDRSKDKDKEKKKDRSGHHDSGADHSKKHHEKKRKHDGDEDLNDVHRHKKSK >OMO79319 pep supercontig:CCACVL1_1.0:contig10445:13133:14842:1 gene:CCACVL1_13755 transcript:OMO79319 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-1-phosphate adenylyltransferase large subunit 1, chloroplastic-like protein VPIEGAYRLIDLPMRNCINSGINKVWVFAQFQSGNSPFLHFLGSFLLIFELLLADLLEANIFLDYPTEKKG >OMO79321 pep supercontig:CCACVL1_1.0:contig10445:32463:32534:-1 gene:CCACVL1_13757 transcript:OMO79321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIASLLGRHSVAQSPQEGGYVQ >OMO79320 pep supercontig:CCACVL1_1.0:contig10445:16926:17003:-1 gene:CCACVL1_13756 transcript:OMO79320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMLTLKSHVSTSDGVSNGPSKKD >OMO94054 pep supercontig:CCACVL1_1.0:contig07993:14345:20425:1 gene:CCACVL1_06211 transcript:OMO94054 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MNPVNKAMDLEIVLESGDEECPQWTRFMAVGKIHANKTLNQKGVMAILRSIWSEEIAPGIREIHDLPLEMLSNKNAKIIGQTIGSVINIDEPTGLKGFGQSFLRIRVGIKVDDPLICGFWVPRRNRGKLWAKIRYERLADFCYSCGKLGHLAKHCDEEVKNDMDGRPLYGPHLRASSFRMDYGKRDNSMDSSKSRVADDLSLSINENVKNLREVSCDNWSKNENQRAWRRNVIGDMEVESEVSMNKGKQLLITDGLENQNTGPIDETTPPSHPSLPKPLPADLHISIPVVSLNPDPTHALGVDPLNTTFNFPPLPIVSKNPTLIDKPNSQILGTSGALTSDSTPLMNNPMPHVLVPNDQDPVIPSLSHSNSIDGTPGPMLSLGSYNTSLYPVLPGPYDPSKYPETRREDAYEWDTNSKEAGIEHELDEVSSPFKGIDNVAYIIERGGGAIVNCHKELEFDEEFVEQDMRMAEYNLAADLNCLQLKRNAENDAKLHPVEIRQVTTFTIGSETIWKRRAGRKKYKKTKNGRENWSKEEGCSDVLIIDGCSAGRFLGWNDEPGIGSSQAVNVLHDLKKKSDPDFIFLMETKNKKDTMEKIRRNIKMDNALYVDPVGLSGGIALWWKNNFVVNSFEEHKNLVDTLIVDVNSGVSFRIFWIYGAPVFEDRKLIWEKVKLKAVNIEEVWCCIGDFNDILDDSEKEGGGLKDRRYIRCFQEMVEQCQLIDIQFQGQKFTWMGKREGIMVKERLDRALVNSQWLANFPKSQLVVHAAIRSDHSPIVLYSCFGDTKGPKKFKFEAQWVDMEAIRQELCVSDEDVKWISGCKEQRRQFNKILRIKNEDGVWLEKEEGIMEGFCKFYENLFTSEGNRNWDHVLKAIPCLVSEEDNTELIKDVTDEEIVQAVFQMGMLKSPGPDGFNGLFYQHYWDVIGEDVKKMVRSFFHKGRMLREMNATEIVLIPKVKGPERSFSFFEGEAYDRVEWDFLHALLLKLGYNSIWTDWILECVRCVSYTIVINGKSSRMILPSRGLRQGDPLSPYLFLLVIDALSRLIQVGMDTGYIEGLKLAINCPEISHLLFADDSLFFMNANIENCKSCNMGEAEKKAIVDTLGIQEAANPGTYLGIQNCWGKTKYGVMAYVKERMIAKLKGWKEKFLPMGGKEILIKAVACTMPTYVMSVFKIPKRVCKEMQSAVANYWWGQKEDERKIHWCNWQKLTEFKQDGGMGFKEFEAFNRAMLAKQAWRLIENPNALWARVLKGVYFHNCDFLEAGKGARPSWVWSSLLEGRDLFKEHMMWVPMDGKQISIWQDRWIPNLAGRVLSNPGLINDNIPQKVEEIMNKDLGIWELDQIQHWLTEEEQSAIKDIPVHEGEEPDILIWPKDKSGKFTVKSGYVTCKNVVAVGNINRASSSHLVDKRVWKEIWKIKAPSKVKVFMWRMCMGALATNENLWKRKCKQDPLCELCGQEVETIEHMILTCEWTRKVWWEGCFGLKICKDRIRTMDQWILEVFLEVNNSHGDVDIIKSTIAYTCWIIWNLRCQAIIEHKSLESVKAIQWISSAVKEYYMVCTGKKKSDRDVASEVFWEKPQDGWCKINCDGAYCHQSKQAGIGVVVRDAEGHLLHGLGKQVKGDSALMIEAIAVKTGLKLAKEMRLSNIIVEMDSEVLYKNIVDRGKNRDWRIGPILADIDHLSTCIDSIKFNFVKRNANLAADWIATRSRTEMSFADLSRYQPSSLVQILNKDGLPAPHC >OMO69859 pep supercontig:CCACVL1_1.0:contig11949:795:1305:-1 gene:CCACVL1_19226 transcript:OMO69859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EELTLNPSGQLRKQEENCTLTQNSQQ >OMO69858 pep supercontig:CCACVL1_1.0:contig11949:170:484:1 gene:CCACVL1_19225 transcript:OMO69858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLVRYQLPVVVIVFNNGGVYGGDRRNPEEVSGPFKDDPAPTSFVSGAAYHTLIEAFGGKGYLVGAPDELKSALSESFSARKPVVINVIIDPFAGAESGRMQHKN >OMO87068 pep supercontig:CCACVL1_1.0:contig09341:36347:36481:-1 gene:CCACVL1_09277 transcript:OMO87068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLFIELAKMDLEDIAPAPVPVPVEDKIERIRGRYRGLREEHR >OMO87071 pep supercontig:CCACVL1_1.0:contig09341:62605:69941:1 gene:CCACVL1_09280 transcript:OMO87071 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MDIRILLSREWHCSLQHTYREDNFYADWLANVTCVLDDDFELLSDPPDGLKTLLDADARGVHDLPLEMQTVVNLKKIGDSIGRVVTLEKPEWNQGSGRCYMRLHLELDVHKPLIPGFWVPRKDKAKIWNCGESMPVGSRECAFGPWMRAVPVRSVMEDRLINVDEKGTFELPYEGPLHENAGILTRVLPESQSDGIPVLNEEEEGEFCELAKKSKMAARETFVGNSFRKRVEISERVSQARGNSGVSNDKARVVDCVSQGISCSGIENVGDDSSGNCGYSDLNKQITAEYVDSQLVSVDKGDFFSTESTGSRSLSSVNTPPSSKDIVYVDNSLVCNKENLVSSESGCDKLIASLSEHDHSSIIKSIAYESQVDTLSSEQSTSTVVNVDPTVLHQVEIGLSSFFRNLNLKRRFEDALLNDKASSKRMWVENENGFIIKYISNESSLAVDMVASQDTDVIMSNKANRIMVNRRMRKRASIVGNGRLREVQGAGPALTVQALKELIRKNGPQILFIMETKNKRKYMENLKRNLKFRFCFYVEPEGLSGGLALLWHDDVSVHIIRSCKNLIDSTETDLKSGVVCRIFWVYGPPEADDRAHFWHLVKRRMEYQNIPWLCVGDFNDILYLHEKEGGNTKEYWKIRKFREMVDGCNLIDLPFQGQKFTWIGRRDELVIKERLDRVLVNIEWVEQFPNTQVFNNPIIGSDHSSILIDSNFIDQKTPRSFKFEIMWTESEHCEQVIRDGWSNEFMGSKSYILVQKQNSCRKALLDWSRKAFPNNKEAIECLKQKIAAIQDNECSVESCIKVEELISQLKEAWSKEEQYWYQRSRIKWLKDGDANTRFFHQTTIQMRQTNKILNLKSDNGEWIEEEKKIVEEFELYYSDLFTSNNSKNWDQVLLHVPRVVTDHMNGELTRDISEEEIRIAAFQLWANKAPGPDGYNGTFYQKYWEIVKEAVCAAVKSFFSSGRMLHEINNTNIILIPKTKNPESVNQFRPISLCNFVYKIISKILTNRLKPYMDSIITQEQGAFVGERQIQDNILIASEAFHYLKLKKKGQKYYMGLKLDMNKAYDRVEWGFLEAILEKFGFCQKWIKWIMECLSTVSYTLVINDKPSGSIIPSRGLRQGDPISPYLFLFVVDVLSRMVHSAVSVGVLHGTYLSRYCPPLTHLLFADDSLFFLAATKENCDGMTWILKAYCDASGQLVNLQESSIIFSNNTPADVRFQVEASLQIVGAPNPGTYLGVPNLWGKTKCQAMKFIQERIKDKLQGWRQCWLSQGGKEVLIKSVASALPTYIMSGYKLPKKLCGEINSDMASFWWGQGDESSKIHWLSWEKLTNGKEKRGIGFRNLEDFNRALLEKQGWRILTQPNAFWVKILKALYFKDCDFMEARKISRASWSWDSIIEGRKQGEIIGGNLPRKVAEIMDKEEGIWKLKAIKQEVEPAIINNIEKLLISHSNEEDRVVWPHNQDGIYSVKSGYFAIKDQAPRILSTSSSSHQCVWFGSCLNYQIDRRRITTFDVWIFEVLNMKGVRESVRIELQAIIAFICWQIWKARCAACFEKKGLCVEHVIYVAEKAVLEFQKAKEYRNTAAKVHSKESANAIWQKPVVGHLKINCDGAFDELTGTAACGVIVRDCNGRIIDGLAKPLLVTSSVEAEAIAVKEALILAKDRQFEHFAIETDSEVVQRSITSSPKDYVLDWKILPIVKDIKDAMALISTVDISWIGRKANMAANWVATSMRKGMCPLDWVSRPPSQLLYICDKDGVPAPP >OMO87065 pep supercontig:CCACVL1_1.0:contig09341:2142:3040:-1 gene:CCACVL1_09274 transcript:OMO87065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYVALQVRKFAVTLSYL >OMO87070 pep supercontig:CCACVL1_1.0:contig09341:52075:55381:-1 gene:CCACVL1_09279 transcript:OMO87070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYRDEEGEPIMDYDDIQSDPGSPEPRQDLLDDVEDDVDDWGQRERSQTPVYDTDKVGKPRKRLVKKGGSLGKENFDAPELLDEDDEPNFGREESESDVKRRKKKEKTHKEKQYGGESAKGTVKKLGKSEEVNEMWEWVNPENDQEGVRTMDDDDFIDDTGVDPADRYGSDNEARSPSHAPQAEEDDEDPEIKELFKMGKKKKKNEKSPAEISLLVENVMAELEVTAEEDAELNRQGKPAINKLKKLPLLTEVLSKKQLQSEFLDHGVLSLLKNWLEPLPDGSLPNINIRAAILKILTDFPIDLEQHDRREQLKRSGLGKVIMFLSKSDEETTSNRKLAKDLVDKWSRPIFNKSTRFEDMRNLDDDRVPMRRPSVKRPLNRAAAMESRDGDFDLDISRDHKSSRSSSGQQASRSESSSRHHASRPEATPMDFVIRPQSKIDPDEIRARAKQVVQDQRRLKMNKKLQQLKAPKKKQLQATKLSVEGRGMLKYL >OMO87066 pep supercontig:CCACVL1_1.0:contig09341:18461:18571:1 gene:CCACVL1_09275 transcript:OMO87066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRHPIRTEGKIHFLAPTPTLTGHFSVQHRPDPT >OMO87069 pep supercontig:CCACVL1_1.0:contig09341:40843:49864:-1 gene:CCACVL1_09278 transcript:OMO87069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIVGEMAGEAGKKRKRDGNRWLLQQNTKFPHQISGIRKQLSLEPNSTVESSSIYV >OMO87067 pep supercontig:CCACVL1_1.0:contig09341:33043:33144:-1 gene:CCACVL1_09276 transcript:OMO87067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADGDIDGDRWQRLSGLDGGFESREKMKDKAV >OMP12074 pep supercontig:CCACVL1_1.0:contig00470:852:941:-1 gene:CCACVL1_00142 transcript:OMP12074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PIRGKLERPKKWRRFVGNGDSDDPRHPEI >OMO98037 pep supercontig:CCACVL1_1.0:contig07170:8171:10669:1 gene:CCACVL1_04358 transcript:OMO98037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MEVISAEQESATAGHVTRVCQLWLKALPNKICAKIRGIARMTRELGQDDPRRIIHSLKVGLALTLVSLFYYFKPLYDGFGDSAMWAVLTVVVVFEFSVGATLGKGFNRMLATFIAGALGVGAHSLATLSGRKGEPILVATFVFIIAAIVTFMRFFPKLKARYDYGLLIFILTFCLVSVSGYRDEQVLKIAHERASTIIVGSCISVLVCVCVCPVWVGEDLHNSVSANMEKLGIFLEAFGDEYFKESEAQSNENNKSFLQGYRSVLTSKSSEEMMTPEEIRGKFQVPCKMVSQECSKALKEVASMLRKMIKTKSSTLHTAASVKAAAEEFKNLMKPNIWEKTDLLEIIPAASVASLLLEIIECIEKIDEAVYELAKVASFRSRDSVVSPGRSDFLHQRAVQQVSDDNNTDHRVITVAE >OMO98039 pep supercontig:CCACVL1_1.0:contig07170:21565:21639:-1 gene:CCACVL1_04360 transcript:OMO98039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREIRHIMKRSTPALEKYWIESF >OMO98038 pep supercontig:CCACVL1_1.0:contig07170:18872:20901:-1 gene:CCACVL1_04359 transcript:OMO98038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREIRHIMKRSTPALEKDQSYRPIIENNGPIIENFLRQHVITHKTLRALRTRCSNLHNQLIKNRLTVARAISDGEMDDEAKHPRDAEVLGFSYEPEGDSSRLVINYLNGEE >OMO98036 pep supercontig:CCACVL1_1.0:contig07170:1785:1928:-1 gene:CCACVL1_04357 transcript:OMO98036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSKLPRTSRSSSSLRVWELLLQRLLVNSLKSSKPETHLNPKLKT >OMO50305 pep supercontig:CCACVL1_1.0:contig16220:23034:23213:-1 gene:CCACVL1_30519 transcript:OMO50305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFTEILESKEPVNWDQVKSKLEHCGTQCSDHKFGYTAEVTIDDGKSSTTKVIAKLRPAC >OMO84767 pep supercontig:CCACVL1_1.0:contig09702:9364:9450:1 gene:CCACVL1_10665 transcript:OMO84767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKQSSRMKQKLKQKSKMRNYHLKKDI >OMO90177 pep supercontig:CCACVL1_1.0:contig08512:3113:3718:-1 gene:CCACVL1_07487 transcript:OMO90177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKQSDLLTEEMKSNTVRPVPRVGVVVFVLKGKSILLGRRRSSLGDSTFALPGGHLEFGESFEECGAREVKEETGLELGKAEYLTVTNNLFLEEPKPAHYVTIFLRAVLADPNQVPQNLEPHKCDGWDWYDWDKLPQPLFWPLDKMVRSGFSPFLSDSNPLDTSC >OMO90178 pep supercontig:CCACVL1_1.0:contig08512:7377:9150:-1 gene:CCACVL1_07488 transcript:OMO90178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 29 MIQERGAQPDDEVLIIKSVTHRDFNAMIKSISNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRANRKQDWSDVPSREMIGRAHAAALRLKRGAAGPLGQFGGCKVWGNAAPDKSGPISGSPDMSDVRKHSNYSKWETMAFESLRKEAQDHYAQMEGVSLYKMDGNKLDDLVCVRHSSESE >OMO68299 pep supercontig:CCACVL1_1.0:contig12236:10810:12062:1 gene:CCACVL1_19988 transcript:OMO68299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MATFSYYMKSTILLIGLLMASLGTTSAEIGVCYGLDGNNLPSKPNVIALFNSNNIRRIRLYRTDHDAMEALRGSNIEVTLGILNENLQSMATNQGNAKNWVQTNVLNYANNVMFSYIVVGNEVEPSSPFAQYLVPAMQNIQNEINAAGFGNQIKVSTAIGMNTIGVPFPPSAGAFRSDFRPILDPVIGFLVNNQAALHLNLYPFFTYNNDPAHIPLDYALFRATSPVVTDGPYQYYNLFDAQLDTVYAALEKVGGGTSLQKDAGRSGSRDIVVSESGWPTGPGRPHPNGLDQATSIDNARIYNQNLINHVKSGTPRRPGKPIEAYIFAMFDENQKPSPNIEGHWGLFLPNGQPKYPINF >OMO61843 pep supercontig:CCACVL1_1.0:contig13402:556:3112:-1 gene:CCACVL1_23208 transcript:OMO61843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILHWLFFNLLFFSMLISVLLANDPYSDALLRLKSEIIDDFNSLDDWLIPPGGNPSGKVSACSWSGVKCNENSTIVIGLNLSMKNLAGNLPGKHFGVFTELVDLNISLNSFSGEFPGEIFNLSNLISLDISRNNFSGDFPGGISGLQNLVVLDAFSNSFSGSLPVELSELKFLKILNLAGSYFNGPIPSAYGYFKSLEFLHLAGNFLSGKIPPELGNLKTVKHMEIGYNSYEGIIPWELGNMSELQYLDIAGANLSGSIPRHLSNLTKLESLFLFRNNLNGLIPWEFSRILPLTNLDLSDNFISGPIPESFAELKNLRLLSLMYNEMNGTVPEVIAELPLLDTLFIWNNFFTGSLPRNLGKNSKLRWLDVSTNSFIGSIPPDICAGGELFKLIMFSNKFTGNLSSLSNCSSLVRLRVEDNSFSGEIPLKFSHLPDITYIDLSRNRFTGGIPSDISQASNLQYFNVSNNPELGGIVPPQIWSLPLLQNFSASFCNISGNLPPFKNCKSVLVVELQMNNMSGAVPQSISNCQELEMINLGSNKLIGHIPKELASLPSIGVVDLSCNNFSGSIPAEFGKSSSLSLLNVSFNDISGAIPSEKLLQSMGRSSYVGNPKLCGAPLKSCSNSMAILGRKSNGKLKLVLLLCAAVVIFIVASVFWLIYLRKGSKGQWKMESFIGLPQFTANDVLRSFNSTDSMEEVPPLSAAVCKAVLPTGITVMVKKIEWEPKRMKAASEFITQMGSARHKNLIRLLGFCYNKHLAYLLYDYLPNGNLAEKVRMRRDWETKYRIIIGIAKGLCFLHHDCNPAISHGDLKSTNVVFDDNFEPLLADFGFKYLMQLIKGTVPATTSKTET >OMO61844 pep supercontig:CCACVL1_1.0:contig13402:7024:17927:-1 gene:CCACVL1_23209 transcript:OMO61844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTMASKKAGGEGGHEKEGSDGSAGSSSSDNNNSSNNNNINIPISGGHKHKHSRSISYDEKGIFEYFGWVYHIGVNSIGHEYCHLRFLFIKGKYVEMYKRDPHENPGIRPIRRGVIGPSLMVEELGRRKVGDGDLYVIQFYNRMDEAKKGEIACSSAGEARKWMEAFDHAKQQAEYELSKGGSTRNKLNMEADIDLEGRRPRVRRYAHGLKKLIKIGQGPEMLLRQTSNLGGNGASDGYFEGEFGDALEAHEWKCVRTINGVRIFEDVSDSKSGKGSLVKAVALVDASADTAFDIILNLDRHRRYEWDMLTGDLELVDSYDGHYDVVYGTYDPKYLTRWQSKRDFVFSRQWFRGQDGEYTILQFPAVHKKRPPRSGYRRTTINPSTWEIRSLNTPMGSDAAKCLVTQMLEIHSAGWCRWKKNSCSKFEKTIPYALLNQVSGLKEYIGANPSLKCETSAMVVQSKFSDVSISSSDFEDVEVQDEFYDAIAGDSSTSSEDEDNEGDANEGDANKGDTNKKKRKVKLKNVSWAISSLALKRAADPDANKELDPSITPVNIDASQFNGSLHKGTDEGDSNCWTCPSGRAFKIRGKTYLKDNAKVMGGDPLLQLIAVDWFKVDKATDKIALHPKCLVQSDAGKKLPFILVINLEVPAKPNYSLVLYYAAERPVNKDSLLGKFVDGTDMFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCRYFRQDNFLEIDVDIGSSSVARSVIGLVLGYVTSLVVDLAILIEGKEEAELPEYILGTVRLNRVKPESAIPLEA >OMO61845 pep supercontig:CCACVL1_1.0:contig13402:19603:20649:-1 gene:CCACVL1_23210 transcript:OMO61845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MVLTNLSQLHPPAANDAIKLRIARAWDITVPGSERIVGLAFVATDNQGNAIHVQTNESDAEIFRDLVFEGAFEAQLTITLWDRSLDMINVPQLPSMNPKPVMIFAGMLVRIINGRPALTSSFATKLYVNLDIDETAMVQAIYEEMEGNVRLLPPPV >OMP10572 pep supercontig:CCACVL1_1.0:contig02178:87:329:-1 gene:CCACVL1_00867 transcript:OMP10572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLGLLSGEPKYPETVIPFRLTSSSTYVSSTSSPDSVKSRPETRLGNRKETSSSSGTGTLSLLTAPLDSEQLSSSDYGKT >OMO93890 pep supercontig:CCACVL1_1.0:contig08035:21585:23028:1 gene:CCACVL1_06288 transcript:OMO93890 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MSDHEFRDFYYHATFGETDMYRVSSTVNHQAQADPSSYMSFTDCLHSSLDYGSLEKAFGLSPSSSEVFSSIEGNNIQTKQEVTGAGDLGNNNNNSEVMTNPNSSISSSSSEAGCEEDSDKSKKDRQPKGSSEDGGESSKKGNKGKKKGEKKQKEPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCTVKKRVERSYQDPSVVITTYEGQHNHPLPTTLRGSAAGLFPPSLFTPSPLSAGPSFPHELFMQMPHHHHMINNQAAGSAGSMFSSESLNPFQQYNHNRHHQVPTADDYGLLQDMVPSRFLKHEP >OMO93891 pep supercontig:CCACVL1_1.0:contig08035:28199:32762:1 gene:CCACVL1_06289 transcript:OMO93891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSGPITPGQVSFLLGFIPVFIAWVYSEFLEYKKTSSHSKVHSDNNLVELGEGTVKEDDRAVLLEGGLTRSASAKFHSSSIKTNLIRFLTMEDSFVLENRSTLRAMAEFGAILVYFYIADRTNILGESTKTYNRDLFLFLYALLIIVSAMTSLKKHNDVSAFSGKSTQYLSRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFTQMMWRLNFFVAFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIFNKYNEIPSVMAVKILASFLVVILIWEIPGVFELFWSPLAFLLGYTDPAKPDLHRLHEWHFRSGLDRYIWIIGMIYAYYHPNVEKWMEKLEECENKRKYWIKASIVSAASFVGYMWYEYIYKLDKVTYNKYHPYTSWIPITVYICLRNCTQQLRSYTLTLFAWLGKITLETYISQFHIWLRSNVPNGQPKWLLSLIPEYPLLNFMLTTAIYVLVSHRLFELTNTLKSVFIPTKDNRRLLHNFIAGVAISVSLYCISLILVHIPHSH >OMO93889 pep supercontig:CCACVL1_1.0:contig08035:3025:6873:1 gene:CCACVL1_06287 transcript:OMO93889 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding TFAR19-related protein MQELMAQQGMGSQQNPDQQKAQEDAKREADERRQMMLSQILSSEARERLARIALVKPEKARGVEDVILRAAQMGQIVEKVSEERLISLLEQINTQTTKQTKVTTILHMENDTLLPYASPRKKPTPPPPLFPLPEHDEVSLPLPLTPSELKDRLIFGPSPTPSSSPIESSPILEALASSFASPSPRPSSSSSQESVINLQDPLLQQSQLSSPTASWLIDPNFKWEKSNLHRCKTAPAMAVLNDVLHPPSVPKPQFGTQSIVRQAFLLLVLYLSLGVVIYWFNRHNFVGKETHPVVDALYFCIVTMCTIGYGDITPNSTATKLFSILFVLVGFGFIDILLSGMVSYVLDLQENYLLRTVQHQVQKKDARNYIIDVKKGRMRIRLKVGLALGVVVLCIGVGVVVMHFVERLGWLDSFYLSVMSVTTVGYGDRAFRTMDGRIFAAIWLLVSTLAVARAFLYLAEARVDKRHRTMAKWVLGQDMTVSEFLAADIDNNGFVRYAF >OMO93892 pep supercontig:CCACVL1_1.0:contig08035:35536:36845:1 gene:CCACVL1_06290 transcript:OMO93892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAPEVQLQIIGQENRGANWAEETNNGGGGGGQSTTTTTQHRKSYKWWILMAIYTVFCLAGQTVATLLGRLYYDKGGNSKWMATLVQTSGFPVLIPFYYLVLFKKTTTNSVDHEQNDISSVRNDMKSPSLLVLTAVYVSLGILLAGDCLLYSLGLLYLPVSTYSLICASQLAFNAFFSFFLNSQKFTPFIINSLVLLTVSSALLVFQTDSSSGGSSTVSKSKYAIGFICTVAASAGYGLMLSLIQFCFQRVLKQQSFKIMLDMIIYTAVVATVIIVVGLFGSGEGENLGREMHRFELGKVSYVMVLVWIAISWQVFSIGSVGLIFEVSALFSNVISTVGLPIVPVLAVICFHDSMSGIKVISMVLAIWGFVSYVYQHYLDDREYKTPTQV >OMP07904 pep supercontig:CCACVL1_1.0:contig04137:561:737:-1 gene:CCACVL1_01195 transcript:OMP07904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEDRRQSPEENSLATVKRKHVYFEQFHHNNLTKKQKCLSSETETCSQMGFLFQGLF >OMO68730 pep supercontig:CCACVL1_1.0:contig12185:6263:6877:-1 gene:CCACVL1_19860 transcript:OMO68730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MSIREIQVAAHNFIVHELDDVYDSVTGHALTGSWVWNSALVLSNWMSTHLNCQGKTVVELGAGVGLPGLTAALLGASRVLLTDIQPVLHGLSKNVEANGFKERVEVKELVWGSETELGPFDVVLMSDVFYDLENMAGLGYTLKRITGEGTEVWAATELRSSTGYCLNELMNQGFQVVEVQNGMEDSNTFAIFQIICHDSPTPVI >OMO68731 pep supercontig:CCACVL1_1.0:contig12185:34163:35173:-1 gene:CCACVL1_19861 transcript:OMO68731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MGKVAVVSVCSVFLVAMVVALAVGVSRRNGGGGNGAANTGGLSTSTKAVKAICQPTDYKGTCEKSLSNANSTDPKELIKVGFQAAMDEIKAVVANSTTIKDLAKDPMARQALDNCKELMDYAIDDLKNSFNQLGEFDFSKMNQYVMNLKIWLGGSLTYQQTCLDGFVNTTGDAGAKMREFLKTSQELTSNGLAMIDQFSEVINKLDIPNIPKIDTTPNERRLLQADGTPTWVPPEQQAQIAQATANNPQQPNVVVALDGSGKYNSINEALKEVPKNNPTLFKVYIKAGIYKEQVNVTKHMTNVMFIGDGPTKTTITGSLNYVDGVGTYRTATVGKC >OMP08048 pep supercontig:CCACVL1_1.0:contig04043:15931:16182:1 gene:CCACVL1_01174 transcript:OMP08048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITISFKNRDLLFYRPSCSRLKSPAAGKSIFRKISLDRSRLEAIPLDRCLARLRSRTQANRYGNELRSGTIELDQKSKGEGSNL >OMO58997 pep supercontig:CCACVL1_1.0:contig14071:1976:4109:-1 gene:CCACVL1_25171 transcript:OMO58997 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAAGILEVFLVSAKGLADTDFLGDMDPYVIIQYKGQERKSSVARGDGSRPAWNERFTFRVEYPGSGGDYKLNLKIMDKDTFSSDDFVGQATIYVKDLLAIGAENGTSELHPIKYRIVGANEKFNGEITVGLTFTRTRKANEIDQEEYGGWKQSYY >OMO58999 pep supercontig:CCACVL1_1.0:contig14071:10376:13238:-1 gene:CCACVL1_25173 transcript:OMO58999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA large subunit methyltransferase RlmN LTASSPSSSRTPHVDSRVLLGMSEQELQQLAVEFGQQSYRGKQLHHLIYKRKEKEIQDFSHLPQAFRNALIEAGWRLGRSPIYQSVTAADGTVKLLIKLEDNRLIETVGIPVQDEKGSMRLTACVSSQVGCPLRCSFCATGKGGYSRNLQRHEIIEQVLAIEDIFKHRVTNVVFMGMGEPMLNLKSVLDAHRCLNKDVQIGQRMITISTVGVPNTIKRLASHKLQSTLAVSLHAPNQELREMIVPSAKSYPLDAIMKDCRDYFAETSRRVTFEYALLAGVNDSVEQAKELAELLHEWGRGHHVNLIPFNPIEGSEYRRPYKKAVSAFASALESRKITTSVRQTRGLDASAACGQLRNNFQKKPLLTESDGEQSQSKVAVAC >OMO58998 pep supercontig:CCACVL1_1.0:contig14071:5702:8669:-1 gene:CCACVL1_25172 transcript:OMO58998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYSPQYYSPPRRGYGGRERSPPRRGYGGGGGGGGGGGYGRRREQNHGSLLVRNIPLDCRPEELRIPFERYGLVRDVYIPKDYYTGEPRGFAFVQFVDTYDAAEAQRRMNGKIFAGREISVVVAAETRKRPEEMRHKSRGVRGPSGYGGRSSYYGRSRSRSMSPIPHHPSGSRGRYRSRSYSPVPRRRGDYSVSPGRRPADNPRSPRGPPEERDGYFNHRPYSPGYEHAEGDGFDVKPPSDPEAERSGWRLRPSPRRRVSRSPSGSRSRSADLHLPELSPRRSR >OMO73102 pep supercontig:CCACVL1_1.0:contig11308:4816:10625:1 gene:CCACVL1_17474 transcript:OMO73102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MSPLASSFSFSLSFFLLALLLLSESNAQLSSSFYDSTCPDASTIVRSKIEEALKTDSRIAASLLRLHFHDCFVDGCDASVLLDNSDTIQSEKDALPNNNSARGFDVVDDIKAELEKACPLTVSCADLLALAAQASVSLQGGPSWTVLLGRRDSLTANRDGARPALPSPFDDLTTIASKFAALGLDTEDMVALSGKLYNFDGRGNPDPTLDPNYLTLLRQQCPENGTGFTPVNLDPTSPDGFDSNYFRNLQTGRGLLQSDQELFSTPNASSISLVNSFSNDQTAFFQSFARSMIRMGNLSPLTGSNGEIRLDCRKVNAGPLVLIGFCFAHASDLLPFLQFAMSSEHSGVSIQFPSILFHVFDEVLLHSHRYCWMLNRHFKMRESRWRILIIVVIRHSCCGGIKGLVYIPEDETTASDFIEQWVSICEPAKNKVKSELTELSFAEQCTNCEKEAVNVSLGNLLTYPCSLDRRGAWDVSTISEEGVFLDWGARGNLLSVVDVDFIG >OMO94340 pep supercontig:CCACVL1_1.0:contig07912:43159:45969:1 gene:CCACVL1_06053 transcript:OMO94340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPPLARGLKLDLGIAIIAMEVAIYKYWFRLRKHL >OMO94341 pep supercontig:CCACVL1_1.0:contig07912:63940:66822:1 gene:CCACVL1_06054 transcript:OMO94341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDKFGLPFCYSPEALTAA >OMO94339 pep supercontig:CCACVL1_1.0:contig07912:12483:24150:-1 gene:CCACVL1_06052 transcript:OMO94339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCKQEHCKHRGLGSWTDLIQAH >OMO82420 pep supercontig:CCACVL1_1.0:contig10040:26210:29656:-1 gene:CCACVL1_11951 transcript:OMO82420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSLISAVARRMFQYPEDS >OMO82425 pep supercontig:CCACVL1_1.0:contig10040:55441:58982:-1 gene:CCACVL1_11956 transcript:OMO82425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRQSLGNIDMENLLPGKIRKRGCSSSASSSSSVIQNYRFKRAILVGSKRGGSSTPVPTWKLMMRSPSTGSVLRAMDSPKYAGSQSGSKVKGQGQGQGQPQQPVSARKLAATLWEMNEIPSPRIKEVNEERRSRKEGRERGVARSVHSGSLPPHLSDPSHSPVSERMDRSGTGSRQRRASSVSHKLRLTDHNVGVLDSISNASLMEIETRSHAQTPTGSTVGVKTRLKDVSNALTTSKELLKIINRMWGHEDRPSSSMSLISALHAELERARLQVNKLIHEHRSDQHDINYLMKCFAEEKAAWKNKEKKVVEAAIESIAGELEVERKLRRRFESLNKKLGQELAETKTSLLKSVKELESEKKARVVIEQVCDELARDISEDQVEKEELKRESAKVREEVEKEREMMQLADVLREQRVQMKLSEAKYHLEEKNAAVDKLRSQLETFLGAKRVKDKGQLNMDNNNKNYKWAYAPGSARDSRDPLISEEEITGRKSTSSRLPRRSTSLQRSISDGVDWGMQNERLQNSGDDLEWSRFAELEKQGETKGYGDEMHGYKAVKGLREHLLSGSRTGRVYASPTRSSRDPGNVAQERPPLVPGSVMKSRLSESRGDAHNARKSRW >OMO82424 pep supercontig:CCACVL1_1.0:contig10040:49178:51558:1 gene:CCACVL1_11955 transcript:OMO82424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase MDAQMQTLEQEQQEQQQLLIPENGLQDHGTSSIQPGKKRKGKSKGDRHTKVEGRDRRIRIPLTCCSGIFRLTREMGHRTNGQTIQWLLQQARPDLLLPGPMTNPTRLIGSPTQCMSKGRVRATVVQASTVFFDTPATLDKAERLIAGAAAYGSQLVVFPEAFIGGYPRGLSFETVAISATCSEDNEEFLKYHASAIEVPGPEVDRLAKIAGKYKVHLVMGVVERAGFYLFSAVLFFDSTGRYLGKHRKLMPVASECVVWCSGEKSSLPLYRTAIGKIGGLLYWDNRIPSLRTELYAKGIEIYCAPTSDAKEKWRASMIHIAIEGRCFVLSANQFCQRKDYPLPPKCIDGDSNGDLSLDTIICSGGSVIVSPSGTILAGPNYQGESLISADLDLEEITRAKLELSEVGHSIGPDSIGWAANRPNPVLFPAAVKTEALDELSWPPPL >OMO82423 pep supercontig:CCACVL1_1.0:contig10040:47108:47296:1 gene:CCACVL1_11954 transcript:OMO82423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWSYPPTAKQLALTIGFCLTGVSLIAAGAYLSFENIAPQQECAKARSQYVKDRLRKMLDD >OMO82421 pep supercontig:CCACVL1_1.0:contig10040:39501:44255:1 gene:CCACVL1_11952 transcript:OMO82421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20 MKWLCLLTIISTLAFCSNGDPENHHSRFDLNSLTSSLLNSAKEPEFFDWLKRVRRKIHENPELAFEEHETSQLIRSELDSLGIEYKWPLAKTGIVASVGSGVEPWFGLRADMDALPIQELVEWKHKSKNKGKMHACGHDVHVTMLLGAAKLLQKRKNELKGTVKLVFQPGEEAHAGAYHMIKEGAVDNVQAIFGLHVAPEMPTGTVGSRPGPFLAGSARFVAKIQGKGGHAALPHTAIDPILATSFAILALQQIVSRETDPLQATVVSIGFVKAGEAANVIPETVTFGGTFRSMTTQGLTYLQQRIKQVIETQAMVHQCSATIDFMEEKLRPYPATVNDESMYEHAKIVGESVVGKSNVQFLPMSMGAEDFSFYSMNNMAAAFFMIGTKNETQKPSFHVHTPYLVIDEEVLPIGAAFHAALESLTRELLESARKPEFFEWLRGVRRRIHEYPELGFEEHKTSQLIRTELDSLGISYKWPVAKTGVVATIGSGAKPVFSLRADMDGLPVQELVEWEHKSKIEGKMHACGHDSHVAMVLGAARLLQAKKDELKGTVKLVFQPGEEGYAGAYHMLKDGVLDDIDAIFALHVLPAYPTGVLASRAGAMTSGAGLFSAVIKGKGGHAALPHLSTDPVLAASFAILALQQIVSRETDPLDTIVVTVGFIEGGNAVNVIPESVTFGGTFRSLTNEGLFHIQKRIREIVELQAAVHQCTATLDFMEDVPLPYPVLTNDEALYEHAKKVGETLVGADNMELHPVITGAEDFSFFSLKTKATMFGLGIRNETLKADQVLHSPYFFLDESALPIGAAFHAAVAVSYLETETHVVINRKI >OMO82422 pep supercontig:CCACVL1_1.0:contig10040:44705:46740:1 gene:CCACVL1_11953 transcript:OMO82422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20 MLSMEFFLFFFVVSAIICQALAHGSELELLSKELLESARQPEFFEWMRGIRRRIHEHPELGFEEQKTSELIRNELDSLGISYKWPVAKTGVVGFIGSGASKPVFGLRADMDALPLQELVEWKHKSKVDGKMHACGHDSHVAMLLGAARLLHSRRDLLKGTVKLVFQPGEEGYSGAYHMLTDGVLDDLDAILSIHVLPQVPTGAIASRAGPILAGVGMFSAVIQGKGSHASSPHLGKDPIVAACSAVLALQQIVSRETDPLKAMVVTVGSIEGGQAGNVIPETVRFSGTFRSLSSEGVSYLQKRIKEVIEMQAAVHQCNATIDFMEDKHMPHPVMVNDEGLYEHAKKVGNTLVGENNVHLLPITMGAEDFSFFAEKTAAAIYVIGIKNETLKSDRPLHSPYFFIDEEALPIGAALNAAVAISYLEDHQVSVAAN >OMP01388 pep supercontig:CCACVL1_1.0:contig06459:11483:12655:-1 gene:CCACVL1_03102 transcript:OMP01388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGCSASRPDTLLFTKSNNNSPEEDPYSSCCSSSLEYSMVSSSHSPPVPRALSLPTPLVHHPPVKKGDTHHLVSLTSTTYGSLILIDTKTPDINGQDFSDHHQIQSPLHHADPEDSLSPDSVINTWELMDGLDDDDFCDFDLGKPNSFVSSKSNTLISSKGLDQDYRPAKPSLTKPLWKHLSEESLLSKLDPNVVSSYRRALSSRQLGGISSNNECRSTMSVGSSPSYSSLSDSWFRVPSSAENRIVLYFTSLRGIRKTYEDCCSVRMIFRGFRVPVDERDISMDSMYRTELQSLVGGKAISLPQVFIRGKHVGGVEEIKQLNESGELAKLLEGFPIRDPRIVCEGCGDARFVPCPNCNGSKKVFEEDEGRLRRCPDCNENGLIRCPGCCS >OMP01387 pep supercontig:CCACVL1_1.0:contig06459:6172:7343:1 gene:CCACVL1_03101 transcript:OMP01387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHHKWRLCERQRQKDLGRRHRIGFLLSVNITSSSREDKVCPRMLLGRITKGDYDLAVWEYKKAKAIALPSHGHCRMYEIMKEKEVAAIVKNKEEPKWKAPEDGWIKLNVDGAYDISNENDGIVVLELQGIVARTVYKHHICNFCVDPL >OMO81633 pep supercontig:CCACVL1_1.0:contig10137:1026:1097:1 gene:CCACVL1_12337 transcript:OMO81633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DKQDRDNKCTSYQVYHKACTRNG >OMO70590 pep supercontig:CCACVL1_1.0:contig11807:6400:8074:1 gene:CCACVL1_18774 transcript:OMO70590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tify MSSSSGQKSGKAPERANFVNLLSQYLKEKRNLGDISVGMTPKPEAKGLEAMKMNFYPNMDMNPSEISRQNLVESTSNLKSSDFFPEIAGFGASGPKEDATNMTDFRKSVAADPKKAQLTIFFGGQVLVYNDFPAEKVKEIMALASQGCSITSNGVATDSAMDKINSNNSDIPDLNVASTTGNSPAQDPCAERRQYGGASDLRIARRNSLHKFFEKRKDRAAARAPYQVNNQRGSTPPLKPDESKPSHEEAQSSKAASRDLDLKL >OMO70591 pep supercontig:CCACVL1_1.0:contig11807:13187:17544:1 gene:CCACVL1_18775 transcript:OMO70591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQRLKQQQQALMQQALLQQQSLYHPGILAPPQDFEHLRFELSAKGIFCTSLLSLFPSFFISFFFFVFVFHFFILQIEPIPSGNLPPGFDPSTCRSVYVGNIHTQVTEPLLQEVFASTGPVEGCKLIRKEKSSYGFIHYFDRRSAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDAMLFACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLSGKWLGSRQIRCNWATKGAGNNDDKQSNDGKSVVELTNGSEDGKETTNTEAPENNPQYTTVYVGNLAPEVTQLELHRHFHALGAGVIEEVRVQRDKGFGFVRYSTHAEAALAIQMGNSQSFLLGKQIKCSWGSKPTPPGTSSNPLPPPAAPLPGLSATELLAYERQLAMNKMGGVHALMHPQGQHPLKQAAMGVGAAGASQAIYDGGFQNVAAAQQLMYYQ >OMO74161 pep supercontig:CCACVL1_1.0:contig11155:30800:33986:1 gene:CCACVL1_16928 transcript:OMO74161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MRRPRCYFDISIGEELEGRIIVELYNDVVPKTAENFRALCTGEKGIGPNTGVPLHYKGVRFHRVIKGFMVQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANAGPNTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSIEHVTTGEADCPTADVKIADCGEIPEGEDDGLSNFFKDGDVYPDWPADLDESPNELAWWMTAVDSIKAFGNEYYKKQDYKMALRKYRKALHYLDICWEKEGIDEEKSSSLRKTKSQIFTNSSACKLKLGDLKGALLDTEFAMRDGDNNVKALFRQGQAYMALNDVDAAVESFKKALQLEPNDGGIKKELAAAMKKINDRHNEERRRYRKMFQPHPTGADDQ >OMO74157 pep supercontig:CCACVL1_1.0:contig11155:5979:8140:1 gene:CCACVL1_16924 transcript:OMO74157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVPPELSLDFRPTFVPKTITNFLKEVSVIGNVSDKVSKLDAFVKRLEEEMRKIDAFKRELPLCMLLLNDAIVTLKEESMQCMARTVEPVLEEFIPLKNTKKENNHNKKNWMSSFQLWNTDDDNYRSTDHKLDTKRNDEDPFQGCKNRGAARAFMPFKANLGFAVRKEEKEEIPVHGLTLLTPGIKNLKEESGSTGSRTSCSRTVSSSAPNAQPSFRSGSQPLSHQQQQQQQQQTARKQRRCWSPELHRRFVNALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRLPPSTTTPANQSVVVLGSNLWMSQDQYGDSSKGSSSQSGSPQGPLQLATNTGGTSTTGGDSMEDDEDTKSESYSWKSHIHKPGKDDV >OMO74162 pep supercontig:CCACVL1_1.0:contig11155:34811:34870:1 gene:CCACVL1_16929 transcript:OMO74162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGQPHRKPKASKTELLT >OMO74164 pep supercontig:CCACVL1_1.0:contig11155:38485:40897:-1 gene:CCACVL1_16931 transcript:OMO74164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MEAEIEVRENQAEDSRERKRLSETPPDDDCCPICFGSFTVPCRSNCGHWYCGNCILQFWNYSSAAKPCKCPMCTCKIVNLMPEASLHQQQDQDQEITEVLKSVQRYNLLFVGGARGFVQKVRELPLFIKRTFRALMDPDTNDSYLAEMRLFAMFLSIIYRATPFDFIPTGSLGVGRVFDFSAVTLVLILRLVGIYRRRRLMQRVRRLAAMESLQE >OMO74158 pep supercontig:CCACVL1_1.0:contig11155:12335:15081:1 gene:CCACVL1_16925 transcript:OMO74158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAQPEERPKEEPQEKFQPKEAMASAAAKRTTSCNNNGSNSSSSSNTVTFKFNAQAPEFVPRAQTQMPISGYYYPCFHYLGGAAGSDWFFVGDQEPAAYLISNPNLSIPNCSSKNVLTDDLRNKIIKQVEYQFSDMSLLANESLSKQISKDPEGFVPISFIASTKKIKSLVTNNQLLAQALRSSTKLVVSEDGKKVRRKHPFTEKDREEVQSRTVVVENLPEDHSHQNLDKIFNVVGSVKNIRICHPQESNSSRSKSDFYISNKLHALVEYELTDIAEKAVEKLNDERNWRKGLRVRLLLRLSPKSVLKSRKSEFDGILDDDDSPFPEYSEDSSQPNSSESIENNNGEDNAVGSKKGWAKGRGKGRGRVQNHGGRGLLAASPQPSNAAPCEASVKQMSKGPRMPDGTRGFTMGRGKPLSSTVE >OMO74160 pep supercontig:CCACVL1_1.0:contig11155:23963:24358:1 gene:CCACVL1_16927 transcript:OMO74160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLEQQQPPPAGVNQEAYTAHTGHGSVGPVIAVLAVITILGVIAGMIGRLCSGRPIMGHGQYDFEGWVERKCSSCLDGRVDPPQPRRPTEELPVAAPAEEETQQEILEEGHEPAQVPQQKQHGSTSHES >OMO74159 pep supercontig:CCACVL1_1.0:contig11155:18335:20638:1 gene:CCACVL1_16926 transcript:OMO74159 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase, plant/fungal/bacterial MGHKFFSFTFPFLLCLYISSFVSPYPSAYSQLDYRFYDRSCPRLLNMVKYGVWAAYKNDTRIAASLLRLHFHDCFVNVGGPFWPVLLGRRDGTTASQQAANEQLPSPIEPLENITAKFTSKGLDLKDVVVLSGAHTIGFAQCFTFKRRLFNFQGTGKPDPTLDASAVSSLQSMCPDTDSSNSNLAPLDTASTYKFDNMYYTNLVNNVGLLESDQALMKDPKTAAMVNSYSAYPFLFWNDFSTSMAKLGNLGVITGKKGQIRKKCGAVNY >OMO74163 pep supercontig:CCACVL1_1.0:contig11155:35348:37701:-1 gene:CCACVL1_16930 transcript:OMO74163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MGPTKNLRTISQEAFDEVVKENMEDLGMDPTEALEDAIQTLSLQGVDLSGIVKCVPGEGGIKDHPAMQCLDKLKRLDADAKSTFAAEDLEQVTALLNSLSGLCSDKEESGNAAIVAKNGGIELVTSICSKIPTESRPTLVSCLKAFASLLSDVQSTETFRASGGPKIVVGIIRDGIQDLEILNSGFAVVALAATGNEVVKESFMELKVDELIFQILSGQPLGVQSLYDAIRVLLTSDDNRVVASQVYGYARRFAKIGITKALVESLHGGLSSPSLVSASIALKAVAVNDEICKSIADAGGIDALLKCVDDSGEQGNKTVARTCCSLLCKLAGSDSNKTAIVEKGGMDRLIKLSARFLDDPSVLQEVMTIISVLCLRSPDNATRAIEAGAGELAIQAMQKFPAAQQMQRSSCLMIRNLVVRNPENRQVSICISH >OMO81672 pep supercontig:CCACVL1_1.0:contig10129:13928:24096:1 gene:CCACVL1_12311 transcript:OMO81672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFPVSELFFSKSLALVSPIPRYSPLPLSPIRSNNFNPPSFLSFSSVSSSLHRCLCTSSPSPSARQEEFDDLIDVEEEGEESDGEDYELDVDALESEAKDAARRFSSSLSRQLTIEDEVDDLKELSGKKKKLKVKSTTKSIPDHLLPRVTIVGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSFWGDNEFVVVDTGGVLNVAKSQTNVMEDLAITTTIGMDGISLASREAAVARMPSMIERQATAAVEEASVVIFVVDGQAGLTAADVEIADWLRKSYSNKYVVLAVNKCESPRKGIMQASEFWSLGFSPIPISALSGTGTGELLDLVCSGLSKIEDLENMDEEENYVPSIAIVGRPNVGKSSILNALLGEDRTIVSPISGTTRDAIDTEFIGPDGQKFRLIDTAGIRRRASVASSGSTTEALSVNRAFRAIRRSDVVALVIEAMACITEQDTKIAERIEKEGKGCLIVVNKWDTIPNKNQQTATYYEQDVREKMRALDWAPIVYSTAIAGQNVDKIIVAASSVEKERSRRLSTAILNQVVREAIAFKPPPRTRGGRRGRVYYCTQAAIRPPTFVFFVNDAKLFPETYRRYMEKQLRTDAGFPGTPIRLLWRSRRKAEKDEVVHFTCAISIHLFDHGQITLSPIDSGAFVQRSYRTTADPFACNKAGWLYAVSYRDILCSGRFLEMPCDLSATADGWLLDAVLGFNQMLEKLWRESDGDFMAREEKLSSALLDWNKSSFGNIFEQKKELKARIGGIQRLVFGGWKISAVQLDCLSQPVLSAAEQESLQKDVQH >OMO81670 pep supercontig:CCACVL1_1.0:contig10129:4998:9794:1 gene:CCACVL1_12309 transcript:OMO81670 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-specific 2-thiouridylase MPHRHLKVAVLLSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFENYWTECPWEDDLQYARAVCDQVDVPLEVVHLTDEYWNNVVSYIIEEYRCGRTPNPDVLCNTRIKFGAFMDAINSMDYDYIASGHYANVVHPSADQIDKRSILKLSKDMLKDQTYFLSHLSQAQLKRLIFPLGCLPKDEVRQLAAKCDLPNKDRKDSQGICFLGKIRFSDFVARHIGEMEGIILEAETGDFLGKHRGFWFYTIGQRQGLRLPGGPWYVVEKDVKNNVVFVSRNYFSIDKRRRLFRVGSLKWLSGSPPKHIEQLQCKVRHGPGFYDCSFEIVSGKDGSEDVAVVHLPEDDQGLAAGQFAAFYEGETCIGSGVILESWDDQGFPVCAKALEIARMEDKSKLGKPVKIMAKPEISPEQSVHDENIELNRSLISS >OMO81671 pep supercontig:CCACVL1_1.0:contig10129:10752:13048:-1 gene:CCACVL1_12310 transcript:OMO81671 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI biosynthesis protein Pig-F MRVNTIDKLKHSVPNKTEKKKKKTKKEKEEDDSHIPPGAVFLVHLYSGLFVALGYWVAYRGYSINLISDPANTLLSIWVITCPVIVCLYSCFRHERENNSFRRAAFRGIISLPAGALMNACGAIVLGAPTPVEYLEKTFNWSFLMSAFTFAPAAAVYGSSSTDWHRIYGWKQTKETIDYMICLPAYGAVIGAWLGALPMPLDWERPWQEWPICVTYGAIAGYVVGCVGSWAVAFVRDMREKEKEKAE >OMO93422 pep supercontig:CCACVL1_1.0:contig08099:6596:8679:-1 gene:CCACVL1_06486 transcript:OMO93422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureide permease MYVVESKGGAIFCMFLALFFLGTWPAIITLLERRGRLPQHTYLDYTFTNLLAAVIIALTFGQIGDSSFEKPNFFTQLSQDNWPSVLFAMAGGVVLSLGNLSTQYAWAFVGLSVVQVIGSSITVVIGTTLNYFLDDKINKAEILFPGVACFLVAVCLASAVHSSNSADNKAKLSNWSNDNKTETEAELSCLSEEAVQKNVTKDVENGNGHIQKAKAGTATFLIELEKRRSIKVFGKNTLIGLTLTFFAGICFSLFSPAFNLATNDQWHTLKEGVPKLVVYTAFFYFSLSCFVLALILNISFLYHPALGVPKSTFKAYLQDWNGRGWAFLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVVLFGEYRKSSRKTYILLFSMLFMFIAAVGILMASSGHRK >OMO73368 pep supercontig:CCACVL1_1.0:contig11246:8569:8652:1 gene:CCACVL1_17304 transcript:OMO73368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSPVEGEKKLQNLDSTSGSLVWGDAK >OMO51596 pep supercontig:CCACVL1_1.0:contig15780:68113:68946:-1 gene:CCACVL1_29694 transcript:OMO51596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGDLSPDHSEASVSNSHDFDRLADQVGNSEVHHSSRRTVLLERSTPQINMQGMGENIVVKPHLKALPLSAPEFTRFSADKLIEDGQLKLALILWESIQLKIARTPFDQVHLLVDEVRKIFAAIEGIKAVDSTSLKVRVEEYFSQIAKFTDLESSFSSRMSSKDQADKLQNLATRLEESVSKESKAVVCHDNLTSELTKVEKEISTLKEKKAKLEFSLKENDKALEVVKAHVSHIREEMASVESCPILSEADAKALKVLEDILRSSREDLKNLKWKP >OMO51593 pep supercontig:CCACVL1_1.0:contig15780:9890:10018:-1 gene:CCACVL1_29690 transcript:OMO51593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYTKQVKAKPFFHSLFRNQKGSQRPKILGPVKDAKATSLKE >OMO51597 pep supercontig:CCACVL1_1.0:contig15780:72064:72189:1 gene:CCACVL1_29695 transcript:OMO51597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLACLVPYKTPSTMFRYQTIGIMSVSRTSRSISSSSRRL >OMO51594 pep supercontig:CCACVL1_1.0:contig15780:12957:13073:1 gene:CCACVL1_29691 transcript:OMO51594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWSTEIHDCIGGASTDSTYWTRQRRLLVRMMRQRGG >OMO51595 pep supercontig:CCACVL1_1.0:contig15780:19967:28737:1 gene:CCACVL1_29692 transcript:OMO51595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYNHREKNPNPLPERERDERASFFFFSLFFFFPVGEQVEEITIEPLSCLDSFAIESG >OMO51598 pep supercontig:CCACVL1_1.0:contig15780:86253:87341:-1 gene:CCACVL1_29696 transcript:OMO51598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLKLTSKRIIAEMSDLSDVIYAIHAEITVGTNPVDTRLGVLVRGGAPIRR >OMP12245 pep supercontig:CCACVL1_1.0:contig00244:154:3269:-1 gene:CCACVL1_00065 transcript:OMP12245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGHQSKQVMEKKTSRKKRDEGEEETGCWVKLRFMGSCMSSRSRVDNSMSGRTENKTTKEKSRDQPVVPVSSTTSNAESASSTPKFSEELKVASQLRKFTFIDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNIDGLQGHKEWLAEVDFLGNLLHPNLVKLVGYCIEDDHRLLVYEFMPRGSLENHLFRKGSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHLGDKRRIFRILDPRLEGHFSINGAQKAAQLAAQCLSRDPKPDLE >OMO82574 pep supercontig:CCACVL1_1.0:contig10018:61683:62594:1 gene:CCACVL1_11887 transcript:OMO82574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MLCRSRNLVMERGWKPSVEISPNCPRCGSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKSLRLPISDHHVKGLSCNVVPSDPMGHSYGNNNKVMNNNASSAESDSSSGSHIDLALVYANFLNQQPENNKSGLEVPELPSPTEFDPSLEFSSLSNSNMDSSIQIPEENGLMGCLTRSDLSTENNHLSNNDQIYYSGLESVSVQKHQDRSVLHETSNYPLLPPLPGDELSQEILWSNSNSHSSVVMSQALQATQEPVLGPETHDPNLLFGNWSPFDLSSDDTFSRT >OMO82578 pep supercontig:CCACVL1_1.0:contig10018:93956:96796:-1 gene:CCACVL1_11891 transcript:OMO82578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFHGNPEIQAPDGLQTLVLMNPAYVQYSDTPPPPPNNLVFLNSNPVTSPHGAPSPHHTQQFVGIPLPASASSGATQDPTSHDISPLHGLVQRVHYNLYNPIDPSGGARDTPRAQQGLSLSLSSQQQPGYGSQAQAVSGEDIRVSGGSASSGSGVTNGVSGMQSVLLSSKYLKAAQDLLDEVVNVNNSGITKAEFAKKGSGNNSNSSKAIGESPAAGDGSGGGEADGKRPAELTTAERQEIQMKKAKLISMLDEVDQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIRAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEIKDQEQNGSEDKTASKSQNNEDSTSKSTAPDKTLANEKSFNSKQDQNASSMSISTGPTSPMAGNIRNQSGFSLMTSELEGITQGSPKKPRSNDLLQSPSSINMDIKQNEANNEVSMKFGKDGYSFMGTNTNFMGGFGQYPIGEIGRFDAEQFTPRFSGNGVSLTLGLPHCENLSLSATHQNFLPNQNLQMGRRLDIGEPNEFGAINPSSPHSSAAYESINIQNRKRFAAQLLHDFVA >OMO82572 pep supercontig:CCACVL1_1.0:contig10018:48824:52675:1 gene:CCACVL1_11885 transcript:OMO82572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFHGRSLIFAAASENSLDTDKEILLNLKSFLLERNPVNIGLYQEWNQTSSNPCNWHGILCSPDRERVTGIDLSDSKITGNMFKNFSALTQLQYLDLSKNTLGGAILDDLNRCHNLVYLNLSYNLFENELNLAGLTSLEKLDLSTNRFHGDLKLSFPAICSKLVVANLSVNNFTGRIDDCFDGCRNLQYLDLSTNNFFGYIWTGFSSLVEFSVSENNVTGPMSASMFVDNCSLLALDLSQNMFQGEVPREISNCMNLIVLNLWGNNFTGSIPSQIGSISTLEALFLGSNSFSRVIPESLLNLKKLASLDLSRNNFGGEIQDIFGRFTQLKSLVLQGNSYSGGINSSGIHRLPNISNLDLSYNNFSGHLPVEISQMPSLKFLILAYNEFIGVIPLEYGNLSQLEALDLSFNRLSGSIPPSLGNLSSLLWLMLAYNSLTGEIPSEIGDCSSLLWINLAYNQLSGAIPDEVTNIGRNATPTFESNRKGYQMFASPSKCLVTDRLLNVDNSPFRSVTTRSWKDCRSKWDQLLRGYGIYSVCAVGSSVRTFEISGYIQLSGNQLTGEVPQDIGKMRNLRMLYLGSNEFYGKLPTEIGNLPLLDLNISWNRFSDKIPEEIGNMRCLRNLDLSCNNFSGAFPMQLSNLNELSKFNISFNPSLSGKIPESGQLATLGKDSFLGNPLLETPSFFNSDDDQHPPTPPPSNSQDEDELGTDGGGVQLEWEALLIGYGCGMVFAVAVVYITFLKGEPKWFLTAVDGIHLWRAKRLQKKNARRRGEQLVDNKQRSLECLQIMLYQWEFKQLQQENDRLKNQNKELKEHKQKLEGQLVEMENFVKAKEQDSSHLEENISSFQQEVSTQIRNNCRAIENLWPEKTEIEKQVRVLQGELASEALKTEELESKIAMLQKRRHQEIQEE >OMO82575 pep supercontig:CCACVL1_1.0:contig10018:67395:68633:-1 gene:CCACVL1_11888 transcript:OMO82575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIDVPSFFVCPISLEIMKDPVIVSTGITYDRESIEKWLFSGKNTTCPVTKQNISDGELTPNHTLRRLIQSWCMLNASHGIERIPTPKPPISKSQIIKLLNDATSPQQQIKCLKKLQSIASENGTNKRCMESSGAVEFLASIITNFESTVAEESLIDFEFESVRPTDEALSILYNLQLSESALKNLMGKNGDFIATLTKVMQRGSYESRAYAVLLLKSMVEIADPMQLIGLRTELFIELVQVLHDQISQQASKATLQLLVSVCPWGRNKIKAAEAGAVPVLIDLLLDSTERRICEMALTVLDALCACAEGRAELLSHGAGLAIVSKKILRVSHVASERAVRILLSISKFCATSNVLQEMLQLGVVAKLCLVIQVDCGSKTKERAREVLKLHARVWKNSPCIPNNLISSYPA >OMO82576 pep supercontig:CCACVL1_1.0:contig10018:76235:77422:-1 gene:CCACVL1_11889 transcript:OMO82576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPVITITGITYDRESIEQWLLRAKNFTCNCPVTQQPLPRDSDLTPNHTLRRLIQAWCTENASLGVDRIPTPKPCIDKFYFLKLLKELENRNPKSKMVALTELELLSAKNERNRKYMVEAGVPKAMLLFILKCFKDNCVNGLEEALSVLFFVRVPPPEAKAFLKQNDEIIKALVWVLGCEFKTQFSVKSHAVLVLKTIIEAASSVSLERLEPKFFETIVGVLKQGSGAWVTQQGINAALHVLLNACPWGRNRLMMVESGAIYALIELELESPEKRTTELIMGILFHLCSCADGRAEFLSHKGGVAVVTKRILRVSATVDDRAVLILSLISKFSATNSVVHEMLDVGVVAKLSMLLQVECATYLKDKAREILRSHSDEWRKYPCIDKTLLTRYIN >OMO82573 pep supercontig:CCACVL1_1.0:contig10018:54606:56885:-1 gene:CCACVL1_11886 transcript:OMO82573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNNFSALTQLQYLDLSKNTLGGAILDDLNRCHNLVYLNLSYNLFENELNLAGLTSLEKLDLSTNRFHGDLKLSFPAICSKLVVANLSVNNFTGRIDDCFDGCRNLQYLDLSTNNFFGYIWTGFSSLVEFSVSENNVTGPMSASMFVDNCSLLALDLSQNMFQGEVPREISNCMNLIVLNLWGNNFTGSIPSQIGSISTLEALFLGSNSFSRVIPESLLNLKKLASLDLSRNNFGGEIQDIFGRFTQLKSLVLQGNSYSGGINSSGIHRLPNISNLDLSYNNFSGHLPVEISQMPSLKFLILAYNEFIGVIPLEYGNLSQLEALDLSFNRLSGSIPPSLGNLSSLLWLMLAYNSLTGEIPSEIGDCSSLLWINLAYNQLSGAIPDEVTNIGRNATPTFESKRKGIQMIASPSNCLVTDRLLNVDNSHFRSVTFHSWKECKSKWDKLLRGYGIYSVCAVGSLVRTYEISGYIQLSGNQLTGEVPQDIGKMRNLRMLYLGSNEFYGKLPREIGNLPLLDLNISWNRFSGEIPEEMGNMRCLGNLDLSCNNFSGAFPTQLNKLNELSHFNISFNPLLSGRIPDGGQLATFGKESFLGNPLLQSLYFDLDDKDHFPQAPPSNSWDENNDEFGTNGGISGVQLKWEALLIGYGCGMVLGVAILYLTFRQGEPNWYLTVVDGIHIWKANRLQKKKNARFRGFLLFSLSVLSVLVITVAWSHLRFFDFN >OMO82577 pep supercontig:CCACVL1_1.0:contig10018:90936:92234:1 gene:CCACVL1_11890 transcript:OMO82577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPSTIGDRSTSDVVVRLRTQDGRDEWIYCHSNILVEKSKYFADRLSENWPTCQILDSRNCVEVYCQESDFDHHVNVLRLLYVVIDGSVDDLWNGVKNAIGILRVAIELGCPKIVTACVSYLEAVPWEESEEEEILKIIPGLGSQVEPILARLQPVNPSAIRGIFISTLQFAMSSPPSLMNDLKSSAQEQLEYMVTEDDDAPLLIADDDIKSQIKDCVCRLFSRFNNLLEALLCEPTESAGEAGKIELFQSYLADFSWACQLLTKLEIMREFVNSWVDVSDKIVKVMQSSIAVEETKAKLKVVEVVAKVLEAIGYGTVILPTAKRLHMVKVWLPFVRVTKPLIDSIITNDEDCLEFKIDSELWQSLESTFVSIILALPSADQAEILTEWLGNEHVQYPDLTEAFEVWCYRSKVAKRRLSILGGDHHLTNTL >OMO82571 pep supercontig:CCACVL1_1.0:contig10018:10611:28566:-1 gene:CCACVL1_11884 transcript:OMO82571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEYVFKQRCNVVEELFRCVFLIPKGHPSGYNLRFLARGSEFESCKGRDRIMGKEMTASYGALPSSLMLEILSELPVKALLQFKCVCKSWKDLINSENFINWNLDYSRRRRNKACGDLGVLRKP >OMP01819 pep supercontig:CCACVL1_1.0:contig06368:2802:2864:1 gene:CCACVL1_02994 transcript:OMP01819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGALVASIIVKPTLLDRI >OMO94460 pep supercontig:CCACVL1_1.0:contig07872:4791:9132:-1 gene:CCACVL1_05996 transcript:OMO94460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MKGETEKVRYDKLKRLAYDIDDVLDKYKTAVLKSEVERDEAQTPSTSSVSSQVPNTPISLFGGIAPRIKELNKRVEAIAKEKDYLSLAIDKSSSTDPKLERLETTSFFVEPVVYGRDHDKLDLIDRLLVSDNNRGRRSGNDTPLIAIVGMGGLGKTTLAGLVFKDKRVRKHFHKRIWVCVSDPFDEVRIAKAILESLKGEPSKFNVLHNLLEEIEEIIEEKRFLLVLDDVWTEDENKWKQLKGSLCSASRESRILVTTRKKNVATMMCCSNPILLDLLSKEDSWSLFSEAAFWGRSEEDCENLESIGREIVDKCGGLPLAIKTMANLLCSKGTEEQWQRISDSEMWELEDPEIFKDLYAPLLLSYCDLPMSVQQCFRYCAIFPKDYEIRRDELIKLWMAQGFLGETRKVNELEMMGEDCFDKLAMRGLFQEFKKDEIGGSIIECKMHDVVHDFGHFLTKTECLLVEGQRLAESFHENARHLTLIAKPDNIPIDGIKGLEKLRSFLVQYNSDAKVPKLYESMSNLIDQLISVRVLRFYSGHSVYDNYLRIPKEIGNLIHIRYLNLEGNSLRELSETLCDLCNLQTLNIRKCHTLRELPRGMGRLTKLRHLQNGSIKILMPKGVGRLTSLQTLEEIAVIRGGNRTSSDSFSLADLGKLIHLRGKLSIQWLGNVEETSEAREAQLSTKTGLRSLTLDFRGGNFKKREKKTESDALLLQALHPPPRLHTLKIEFCGWEEWEYELLLRSRGGQGHQSSSSSSSSSIAFPSIMPKLQRLSIGACSKLKTLPHHLLQSRALQELTIRESRFLFERFNKERGHDWPSISHIPQVEIKRPFNFPRYSAAEILEQEPSSSSWSGQGVWKELLLKPSKPIQRILIAAIGVNFFMQASGNDAVVYYSPKVFKDAGISDRKQQIGVSIIMGIAKTCFVFISALFLDRFGSRPLLLIGSIGMVISLGGLGFGSKYLEQCQDKPEWAIALCIVAGIVAVGTVFFYFFLPETKGKSLEEIEVLFEDKPLDGKQ >OMO94461 pep supercontig:CCACVL1_1.0:contig07872:50189:51134:1 gene:CCACVL1_05997 transcript:OMO94461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASSTETVVSHIASATTSHVAWEKLMNWHYICEYELVIHALNGVGSEYKELAAGIRARESYISFEELMDKFVDYEEALKKQQGNSEFNIPTVQPKGTGPVANVSTTQSPAAWLVDSGANHHVTNGVQNLQFAQEYAGPDDLLIGDGTGLAHKGASGTRPG >OMO97258 pep supercontig:CCACVL1_1.0:contig07257:3081:9606:-1 gene:CCACVL1_04620 transcript:OMO97258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQANPLPSHGGNQVGVIEKCETVKNRIQEVVTPMATVYKALVKADMVIPGEFGGAEMNEGKEVDVIIEEHVQPFIPAPPKVKPQPFVPRPPTVLPAHMQKPVASIVVKPPQPFPYTDSRQVPWKYDLNVTTSPGESSSANVLETGVRDSGKVDRPREEESVEKPVSEHEECEFLKIIKQSEYRVIEQLNRLPARISILSLLLNSEAHRKALLKVLNQSYVSQNISVADLDHMAGNISAHGFIAFAEKDIPKGMRNNIKALHITVKCQNVSMGNVLIDNGSALNILPLKMLKRLLVDEACIQTNHLIVNAFDGTGRSVIGEVEITIEVGGVPFALVFQVLDIQHPSYSCLLGRPWIHQAGAVPSTLHQVVKFLTPEKFVEVKEEEDFVVASASGFSYVEPPEGSYECSFRAFEVAETIPMSMIDHSVMSIRFLMKRGFEEGKGLGMDLQGITKAVEVPTHEGPFGLGFKPTAKDWAEAEERMKLRRLVRMGHRVEEKKMKFPPLYETFKSAGWVNNAAPEEELGIEKKLQNLDINAVTNDTLEEEDCRWIRELAPGEVLNNWVEYEKVIFVDDSEISECKPVQQKLRRMKPYMLLKIKEEVKKQLDAGFFEEARYPEWQANVVPVPKKDGKVRMCVDYRDLNRASPKDNFPLPHIDVLVDNTAGYAVWIEECRATYQRAMVNLFHDMMHKEIEVYVDDMIAKARSVEEHLLNLRKLFQLKLNPSKCTFGATSGKLLGFVVRRIARWQVLLSEYDIVYMSQKAIKGSTVAEFLADRASKDYEPVQFKLPDEDLMAICQVEEVKEEGETWKLYFDGASNLSRQGIGAVLISPEDDYLPATARLMFPATNNVSEYEACILGIQMALDKEVTRLEVYGDSALVIYQMKGEWQTREPKLVKYRDHMMELVKSFEKITFHHVPRENNQLADALAILASMFKVGTNIEIQPIQIQMKDSPAHVMSVEDEVDGNPWYFDILQYIKYQRYSEHATENDRRVIRRMAMGYLLEGDTLYKKSPDQTLLRCVGSAEAKRVIEETHRGSCGSHASGYKMARQIMRADLIGVINPKASNGHRFILVAIDYFTKWVEATSYTSVTQAVVTKFIKREIIRRYGLPERIITDNASNLNQGLLEETCKQFKIVHSNSTIYRSKMNGAVEAANKNIKKIVAKMTETYRDWHDKLPFALHAYRTCVRTSTGATPYSLVYGMEAVVPIEVEIPSIRVYQETKLEESEWVQERYDQLNLVDEKRWCKGCTSKAARELAKGTKVQLGSSKNIKLGKKLETGKGK >OMP10162 pep supercontig:CCACVL1_1.0:contig02730:108:516:-1 gene:CCACVL1_01014 transcript:OMP10162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TDWLNPKGKPVKHLTPRYEPKKGSEEESQLIIYSLALRISSIHGLTQQLSTYF >OMO86645 pep supercontig:CCACVL1_1.0:contig09448:1281:4494:1 gene:CCACVL1_09540 transcript:OMO86645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MDNQEDPYDPKPSLFPLFSVAGDGAATSASTAPATTSVPQWLCNPSFTSDLSLINDAVSSLPRALNVEEEEDEQKEAKQQQQSYELIEEEEEEDEEDSYSDGERNERKKKKKKSYKKNKKRKMSKEMGDSKSIHAKDYFFDSHPDPDNLAYGSLYRMDVPRYKLHNSERLSAFLSQGLYCWTQRASTFNKDSDIDSFDTKLKSSGRYWSPNNAALEHHNNLKRLRLFAPKDSEDPLPADFIPLLDDQSLQLGDGSSVSNNSVIEESWEDEVLRKTREFNKLTREHPHDEKVWLAFAEFQDKVASSQRQKGVRLQTLEKKISILEKASELNPDNEQILLCLMKAYQKRDNTDVLIGRWENLLMQHSGSYMMWREFLHVVQGEFSRFKVSDMRKIYAHAVQALFATCSKQFRQCCTYGGIVCLCDVISSTISSELMMQVEPDSVQNNYPWLIHQTSKPPDCAMIQLELGLVDIFLSLCRFEWQTGHQELATALFQAQIEFSLFCPHLSLNEHSKQRLFKHFWDSGAARVGEEGALCWSVWLEKEEENRQRVMKEEGSDKDDEGGWTGWSEPLSKSKETSTNLENIADNDVMAKEFDEEIENEDVKQEDDTEALLKQLGIDVDPGANAEVKDAFTWARWSEEESLRDSNQWMPIHAKSGLSLSLSLSLYTRAHTRIHGILKLITY >OMO61001 pep supercontig:CCACVL1_1.0:contig13623:17014:17850:1 gene:CCACVL1_23777 transcript:OMO61001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MVCPKPESNQTVPCDFCNEQIAVLYCRADSAKLCLFCDQHVHSANLLSRKHLRSQICDNCGTEPVSVRCATDNLVLCQECDWDAHGSCSVSAAHDRTPVEGFSGCPSALELASAWGFDLEDKKPSDQSWNRCHQDLMMPTVESWVYKSSVQEMMVPYETFGYAEIVKQPSHGTGKSKQVIFKQLVGLMKRDIMGGGGGGGENLLPNAEANGNALARQEVIQPQTQQQTQTQTPFTSLLMMQTQGNDRMGDGGDVLWRNGNRNGQTPQVRFFLVFLLPP >OMO92091 pep supercontig:CCACVL1_1.0:contig08265:5042:8036:1 gene:CCACVL1_06922 transcript:OMO92091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RANDVQGKKGPNVADIVSGEGNTAEWKMCVVD >OMO54873 pep supercontig:CCACVL1_1.0:contig14877:62928:63026:-1 gene:CCACVL1_27510 transcript:OMO54873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSALPTELRSDKSVAAGIRAQVSTATTWNSYH >OMO54867 pep supercontig:CCACVL1_1.0:contig14877:11516:12079:1 gene:CCACVL1_27504 transcript:OMO54867 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA/WDS induced protein MAEEKHHHHLFHHHKDEDKPIDTAVYSETTAYSGDSPAGGYGYGYGADQAKPEVDYKKEEKQHKHHEHLGELGAAAAGAYALHEKHKAEKDPEHAQKHKIEEEIGAAVAVGAGGYAFHEHHEKKEAKQEDEEAHGKKHHHLF >OMO54870 pep supercontig:CCACVL1_1.0:contig14877:31536:32045:1 gene:CCACVL1_27507 transcript:OMO54870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWKFFRDFGIVVDAYIPPHQRHRSKFAFVRFRTNEEANRAIMLRNGGCILYKSIRVFNAESSKDDRVPNDQNSGHHIGSFRPHEAKTDGRLFKDVVVGDHNPPVSNKQLSCAEVGKSPNGCLVECDSNTEKTTSNGGPLKEVSLDFALPQANMNWLKRSVVGRLRSNV >OMO54872 pep supercontig:CCACVL1_1.0:contig14877:62144:62533:-1 gene:CCACVL1_27509 transcript:OMO54872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAFKFIPIVLTVVVVAVAMASSVSLANKQSLIARLKLDEESPSCWEALMQLQACAGEVILFFLNGETYLGEACCESIHTIGQQCWPNMLETLGYTTEEGDILQGYCDHEIANSPPSHLNLVPAGNHN >OMO54868 pep supercontig:CCACVL1_1.0:contig14877:13794:14886:1 gene:CCACVL1_27505 transcript:OMO54868 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABA/WDS induced protein MDHFRHHHKDQDRSDNYSDNAANPTSAYSGGGYGYGGGQAQMGSDYRREERQPASAYSSGNVAGGYGSDSYGGGQAKSGDYGYGGSQTKSGGYGYGGDSYASGGQAKSGDNYGYGNNRKDERQPASAYSSGNVGGGYGYGSDGYGGGQAKSDDYGKDASAYSSGNARGGYGYGSDNYGSGGQTKYEDYRKDAFAYSSGNVGGGYGYGGGQAKSEVDYRKEERHEKHHEHLAELGAIAAGAYALHEKHGAKKDPEHARRHKLEEELGEAMAVGAGGYALHERHEFNESKRQDEESHGKKHHHLF >OMO54869 pep supercontig:CCACVL1_1.0:contig14877:17738:30458:-1 gene:CCACVL1_27506 transcript:OMO54869 gene_biotype:protein_coding transcript_biotype:protein_coding description:egg cell-secreted protein 1.1-like protein MANALKLLPFLIVLLALIMTSVSLARPLALEMTPKQSLIAGVKLDEESPSCWESLRQLQPCAGEVVNQGIRIEVSWQRTYLPARPWNRKKSGSKLEYSTGGALVGSHLTELVMQTKETDKISHLMALLPTQGANGFQGKCAA >OMO54871 pep supercontig:CCACVL1_1.0:contig14877:60033:61210:1 gene:CCACVL1_27508 transcript:OMO54871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEGLIAAKELKRLQSNPIRLERFIGSNISRLLKSDLVSVLAEFQRQDQVFLSMKLYDVVRKEIWYRPDMFFYRDMLMMLARNRKVDESRRVWEDLKREEVLFDQHTFGDLVRAYLDSGLPSEAMDIYDEMRRSPDPPLSLPFRVILKGLLPYPELREKVKDDFLELFPDMIVYDPPEDLFEDQELRKDSESE >OMO81152 pep supercontig:CCACVL1_1.0:contig10232:9501:11963:1 gene:CCACVL1_12575 transcript:OMO81152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALVNYSGDDDFYSGGSFYTNSTDLGRLCSISSQVDVYCPPRKRARITAPFLFGETDFKQNKQPSIDVLPDECLFEIFKRLPGGRERSSCACVSKQWLMLLTSIRRGEFESSKVVKENSDSVSDDVEMISSDEDDGYLTRCLEGKKATDMRLAAVAVGTSGHGGLGKLSIRGSSSSRGVTNFGLSAIARGCPSLKALSLWNVPRVGDDGLSEIAKECHLLEKLDLCQCPSVSNKGLIAIAENCPNLTSLSIESCSKIGNEGLQAIGKLCPNLQSISIKDCPLVGDHGVSSLLSSASSVLSKVKLQGLNITDFTLAVIGHYGKSVTSLMLSGLQHVSEKGFWVMGNAQGLQKLVSLMITSCRGVTDVSLEALGKGCTNLKQMCLRRCCFVSDEGLVAFAKSAGSLECLQLEECNRVSQSGIVGVLSNCGLKSLTLVKCMGIKDMSFVAPLSSTCNSLKCLSVRNCPGFGSSSLAMVGKLCPQLQHVDLSGLYGITDAGLMPLLESCEAGLVKVNLSGCLNLTDEVVLALTRLHGGTLELLNLDGCMRITDASLAAVAENCYFLSDLDVSKCAITDAGVAVLSHAEQLNLQVLSFSGCSGVSNKSMSFLKKLGKTLVGLNLQHCNSISSRTVELLVESLWRCDILF >OMO81154 pep supercontig:CCACVL1_1.0:contig10232:17138:17581:1 gene:CCACVL1_12577 transcript:OMO81154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDAVEEGGTLYIDYSSINGGDQKRVRKWNLRMQKPGNSAQQIL >OMO81156 pep supercontig:CCACVL1_1.0:contig10232:24131:24229:-1 gene:CCACVL1_12579 transcript:OMO81156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSIFRRSLCESLAGAPPISVFLREEILVKLHL >OMO81155 pep supercontig:CCACVL1_1.0:contig10232:18175:23696:-1 gene:CCACVL1_12578 transcript:OMO81155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSTRKVGKYEVGRTIGEGTFAKVKFAKNTDTGESVAVKVLAKSTILKHRMVDQIKREISIMKIVRHPNIVRLHEVLAGRAKIYIILEFVSGGELFDKIVHRGRLSEDECRRYFQQLIDAVSHCHSKGVYHRDLKPENLLLDSYGNLKVSDFGLSALPQQGVGLLHTTCGTPNYVAPEVLSNQGYDGAAADVWSCGVILFVIMAGYLPFDEIDLPTLYQKINAAQFSCPFWFSKGAKSLIQKILDPNPKTRIKIEGIQKDPWFQKNYVPVRPREEEVNLDDVRAVFDNIEDQYVSEQSTVDEGGPLIMNAFEMITLSQGLNLSALFDRQQDYVKRQTRFVSRKPARVIISTVEAVAESMGLKVHTRNYKTRLEGISANKVAQFAVVLEVYEVAPSLFMVDVRKAAGDTLEYHKFYKNFCAKLDNIIWKPTEGLANSSFLRTMTC >OMO81153 pep supercontig:CCACVL1_1.0:contig10232:12491:12619:-1 gene:CCACVL1_12576 transcript:OMO81153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEMNPTAIAKKPSNLQHPNIELYKAATIKDTNKRKHRSTP >OMP07672 pep supercontig:CCACVL1_1.0:contig04276:1360:1434:-1 gene:CCACVL1_01253 transcript:OMP07672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISHKYPHPGFFGIHHSGYHNFHE >OMO57530 pep supercontig:CCACVL1_1.0:contig14359:38363:45210:-1 gene:CCACVL1_25748 transcript:OMO57530 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MGDRQEEQNQELNMNDMLQQIMRQLGTMTRLEALKIRNPQAQQGANAAINNERVQLPPPRQVARLDPIERLRQQELDGQAHNENMRPRRGVEKEEPKDNIKYKIPKFNGRGSPSDYLEWESKLDMYFDYHRHAEPKKAESQVKRNKKSFASSSSSWKTPTKKDDKTSKEKELAQKGATLKTDSKSSSGSSSKNHVKCFKCQGFGHYAKDCVNKKVMFMNEQGEIESEDEEFTLGSSGDGDDEGDAHSDNDDGDGFALKSLVAMRTLSAYVKKDVNNQRENLFPIRMYANGKPSSVIIDGGSCTNIASVYLVKEMQLPTTKHPKPYSLGWINDKEEIRVNKQVLVSLSLGRLDSEFKAKEKCNHANLDVNCDLVDKHASSKKVSKECMLATKSEIKEALNDNSVLILLLLKYTLVSTNHLESELPSNIVSLLTDYVDVFPEEIPSGLPPIRGIEHQIDFIPGAQIPNKPTYRTNPEEIKELEKQVGELLQKGFVRESLSPCAVPVLLVPKKYGTWRMCVDCRALNNITVKYRHPIPRLDDMLDELHGVCLFSKIDLKSGYHEIHMKEGDEWKTAFKTKLGLYEWLVMPFGLTNAPSTYMRLMNHVLRAFIGKFVVVYFDDILVYSRNLYEHVRHLRCVLDVLRVEKLYANLKKCTFCTNKLLFLGFVVSSQGIEVDEEKIKANKEWPTPTNVGQVRCFHGLAGFYRRFVKDFSTLAAPITSVMKKNAPFKWGEEQQEAFETLKEKLTNAPLLVLPNFNNTFEIKCDASGVGIGAVLMQCGKHVAYFSGKLNGAALNYPAYDKELFSKMAHFIACTKTDDAINVANLFFKEIVRLHGMPRTIVSDRDAKFLSDFWRTLWAKLGTKLLFSATCHPQTDGQTEVVNRTLSTLLRALIKKNLRTWEDCLPHVEFAYNRSIHSTTGHSPFETVYGFNPLTPLDLLSLPLSVQVDMDGQRKADYVRELHARERFPEKRKSKLLPRGDGPFQVLERIKNNAYKLDLPSEYGNVSATFNVPDLSLFDSDADLRTNPFQGREDDAPRAYHGLEEHNGAKGDHASDLHGLVSESLEEVMVDPGLKGSLEEHGGEEIAYDRPSHGPIAHGQALDRPHARPSPSHARPSPSHDPAMPKHNRPHDPLAMPSGPMTRARAKCFKDALVGFVRYHLEELKTIEDPLGRFKDHTPRNIPYDSMFLDSSTYAALIGLGVGSHAEPYASGGDEWEDDEIVDFPNAFIDDEAERWYRARDKVPIVIENYPAKKIDKNLKISEIFKACGWKSLLELKGDFYPNMVKEFYANMGRYIPYGDDLTIRTMVKGRRIKDVALENFEIPDDCHKGMKQDSFENWFNVERGSCSKDQEPKHMEVTRNLENQVKVVEVNKVDITSTSKELAEEMELDVKFWGLEIYLKKIGATLEEIQSQNQEFHKLVLNLISDFTKE >OMO57529 pep supercontig:CCACVL1_1.0:contig14359:1938:2051:1 gene:CCACVL1_25747 transcript:OMO57529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLPSDPHQSKENDNPLQEKVQLRERRTCSYGEAPF >OMO87138 pep supercontig:CCACVL1_1.0:contig09314:3912:13472:-1 gene:CCACVL1_09246 transcript:OMO87138 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGRRKKRALVNVDEVVEFFNNIMVEKPYLPLLIPLILIFWAIEKWFFSLSNWVPLVLAVWATVQYGNYQHRIVVEDLNKKWKRVILSTSPTTPLEHCEWLNKLLMEIWPNYMNPKLSLRFQSIVEKRLKHRKSRLIEKLELLEFSLGSCPPCLGLQGTRWSTSGDQRVMRLGFDWDTTDISIMLLAKVAKPFFGTAKIVINSLHIKGDLLLMPILDGKAILYSFISTPEVRITVAFGSGGSQSLPATELPGVSSWLVKLLTDTLAKTMVEPRRQCFSLPPVDLRKKAVGGIIYVTVKSASKLSRSSLRGSPSRRQPSFAVDGLQERFDDNHLQTFVEVELGELTRRTDVRPGSNPQWDSTFNMVLHDSAGAVRFHLYERTPGSVKYDYLASCEVKIKYVSDDSTTFWAVGPDSGVIARHSEFCGKEVEMVLPFEGVNAGELAVRLVVKEWQFSDGSLSFNKFRASSQPSLNGSSNFLSRTGRKINVTIVEGKDLITKDKFGKCNPYIKLQYGKALQKTRTAHSINPTWNQKFEFDEIGGGEYLKIKCYTEEVFGDDSIGSARVSLEGLVEGSVRDVWVPLEKVNSGELRIQIEAIIIDDCEGSRGSSTGNGWIELVLIEARDLIAADLRGTSDPYVRVQYGNLKRRTKVMYKTLNPKWHQTLEFPDDGSPLELHVKDHNAVLPTSSIGDCVVEYQRLPPNEMSDKWIPLQGVKRGEIHVQVTRKVPELQKRASLDPEPSLTKAHRISSQMKQMMIKLQSLVEDSNLEGLPTSLSELETLQDMQEEYMVQLEMEQMLLLNKIKELGQEILNSPPSLSRRSSGS >OMO99947 pep supercontig:CCACVL1_1.0:contig06766:5452:7969:-1 gene:CCACVL1_03541 transcript:OMO99947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLLRWYYTTRLGAAQNWSATLKGNHKLTDVVLPETKDWREEGIVSPIKNQGSCGSCWTFSTTEALEAAYHQAFGKGISLSEQQLVDYAGAFNNFGCNGGLPSQAFEYIKYNGGLVTEEAYPYTGKNGVRKFSSENVSVKVFDSINITLISSNTIFLKLFWGTPIWPSPRFEHPAVVYATWFEIIFDLQLL >OMO99946 pep supercontig:CCACVL1_1.0:contig06766:4935:5075:1 gene:CCACVL1_03540 transcript:OMO99946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYCGGLRSLLRWFKPPLKHLSRFREPAQNRLQVGRIGAGLIGQPR >OMO99945 pep supercontig:CCACVL1_1.0:contig06766:2091:3787:-1 gene:CCACVL1_03539 transcript:OMO99945 gene_biotype:protein_coding transcript_biotype:protein_coding description:homeobox knotted-1-like protein MDQAKRNSTIEEIIKEEQEDEEEEIKTKISAHPLYERLVVNHLNCLKVGGIGDSGRNGEANIQSKHDYINIPCSSMLNQSELDLFMQKPGPNPARPMSKPEGLPGYTPKPEGLPGKWEGFGIFGPDKQTLDKEIGPN >OMP05929 pep supercontig:CCACVL1_1.0:contig05128:5605:6704:1 gene:CCACVL1_01789 transcript:OMP05929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTHQNGCFLGCFGFSGNKKKPPKAFHAGSQKKNSSNNFLSWPTFRLSSRKSRTKTVPVDNNSDKADQPPPPRPPADGKTKTSKLIKNKKKSDPKLISSKPQAQNPVSNHKRPSRQNSKADIKQVPPSNQAAARDKPKETTRLQQGPDHHEQRKIILGNRKLLDPLRSTGSSLPGSPKPKPKPTKLSHTVSLPALEGSKRVVNPRVHARFNLKELQRKQNNEVVQKFDPLIGMSIIMVTLIIMLVWGRFCAILCTSAWFYFCPRFRTAISDNDNDNVTVKSTTNSNELDLNSEEYKKKVVLEGLLERNVHRVTI >OMO92949 pep supercontig:CCACVL1_1.0:contig08153:43055:44617:-1 gene:CCACVL1_06714 transcript:OMO92949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWHFLDHMTFFPLPFDVEIDETSGSKIRGKRPRRVGLGCHVGSRHRHESLDSLVRVFDVDTSATIATLEAPPSEVWQMQCDPKEFGTISVFDVARAKFLHHLEGHDMPVRSLVFSPEPDSRRLYSACDDGHVHIYDGEGKGLIGAMSGHASWVLSVDVSPDGEALATGSNDKTVRLWEFKMRAAIHTTSNHTDQVWAVAFRSDGGGRLASVSDDKSI >OMO92947 pep supercontig:CCACVL1_1.0:contig08153:1953:2021:-1 gene:CCACVL1_06711 transcript:OMO92947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSCKGLAMELVKCLSESDCVK >OMO92948 pep supercontig:CCACVL1_1.0:contig08153:28943:34179:-1 gene:CCACVL1_06713 transcript:OMO92948 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MATNDDANDNSQQFQEENVAIPAEAAEHAKKVQKRPRPSLVWEEFETNNNENGVLMGTCKHCYKSYKADSYYGTSNFKRHLKHCPVLKKKSTRPGVEIDQEVYRNLVSKAIIMHGYAFEWVEHEANGDIHVYLNGTVKTISRNTAKADCLKMHLATKEQLKHALNDNSSRICLTCDLWSSCTTQGYLCVTAHYIDIDWKLNCRVLCFRHLPPPHTGVDVYEKVYGLLKEWGIDNKIFTITLDNASNMDSMQSYLSDQLHSQGALFCGGKYFHVRCSAHVLNLIVKAGLKVIEECVVKIRDSVKYDDGSEARKIRFAECVKRAGVQSTLKLWLDVSTRWNSTFLMLERALIYRRAFLGLDMVDSQYKVCPTNPEWAKVEKISFLLQPFYDITNIFSGSNYPTANLYFPHIWRIELRLLEGMENADDDLSTMAKEMKTKFDKCWSSYSTLLAFAIILDPRYKLQFVKFCFQKVHGDSGGSIMYYEEFDILESSEQGSRKSELDIYLDERKIDRCMEIDILKYWKDKQCQLPKLSLMARDIMSIPITTVASESTFSMGGRILNKWGSSLLPENAEALITTRSWLYGFQVLFKVKQLVLHLDSVPNLNLKVLQLTEDDDDDDDGVNEGRTTTTDTQGGDGQSGAEDASRYGDNYGSDYKKEKSMRELTDEFSSMSLTTASSSFGYGGHFESNFSYGTRSGANEFESSVSSNMYPEYLLEQQTYNEHPVQKPKANAVSGECPPIG >OMP12006 pep supercontig:CCACVL1_1.0:contig00582:243:329:1 gene:CCACVL1_00178 transcript:OMP12006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFMSVIKHGIYSSDLHSPLLTIQLATHA >OMO82766 pep supercontig:CCACVL1_1.0:contig09976:6099:8070:-1 gene:CCACVL1_11765 transcript:OMO82766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MSSHVDIEGSPGSSMHGVTGREPVLAFSVASPMVPTDTTANFDLPVDSEHKAKVFKLFSFAKPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKQDIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMLSAPTVFCMSFVSDAGGYIAVRFMIGFSLATFVSCQYWMSTMFNSKIIGTVNGTAAGWGNMGGGATQLLMPLVYDIIRRIGSTPFTAWRIAFFIPGWLHIIMGILVLTLGQDLPDGNLSSLQKQGNVAKDKFSKVLWYAITNYRTWIFVLLYGYSMGVELSTDNVIAEYFYDRFDLKLHTAGIIAATFGMANLVARPFGGFASDRAARLFGMRGRLWVLWTLQTMGGLFCIWLGKATTLPIAILAMILFSIGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLIFFSTTRFTTDEGLTYMGVMIVACTLPVALVHFPQWGGMFLPPSKDVTKSTEEHYYSSEYDEDEKKRGMHSSSLKFAENSRSERGKRVGSAPTPPNTTPSHV >OMO82765 pep supercontig:CCACVL1_1.0:contig09976:1739:3688:-1 gene:CCACVL1_11764 transcript:OMO82765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIEGSPGSSMHGVTGREPVLAFSVASPMVPTDTTAKFDLPVDSEHKAKVFKLFSFANPHMRTFHLSWISFFTCFISTFAAAPLVPIIRDNLNLTKQDIGNAGVASVSGSIFSRLVMGAVLWYAITNYRTWIFVLLYGYSMGVELSTDNVAAEYFYDRFDLQLRTAGIIAATFGMANFLARPFGGYASDVAARYFGMRGRLWVLWTLQTLGGVFCVWLGRANSLPIAILAMILFSIGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLIFFSTTRFTTDQGLTWMGVMIVACTLPVTLVHFPQWGSMFLPPSKDVTKSTEEHYYSSEWNEEEKQKGLHQGSLKFAENSKSERGRKVASAPTPPNSTPNHV >OMO82767 pep supercontig:CCACVL1_1.0:contig09976:11598:12359:-1 gene:CCACVL1_11766 transcript:OMO82767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQYGEEQDEATVMGFEVPRSPDSSYNNVYPGNEDEARDPPVVPPHLHRTLLSYPASMNASGNLPSPENVILNHLYIENREAPRSVVALGFTHRFRSKYGTQLEALVIFAEH >OMO82768 pep supercontig:CCACVL1_1.0:contig09976:24436:24564:-1 gene:CCACVL1_11767 transcript:OMO82768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKVVVPMQKRKGGEMGVVLWGRKCHVGTTVVSMAKTEKGA >OMP11940 pep supercontig:CCACVL1_1.0:contig00677:1018:1119:-1 gene:CCACVL1_00204 transcript:OMP11940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTFPIALVDGDGIVRAAVRTSLPVEFYGGELII >OMO58016 pep supercontig:CCACVL1_1.0:contig14283:73264:75846:-1 gene:CCACVL1_25621 transcript:OMO58016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAESFLFNIATIILQKVTPFVKEEVRMTFKTDLKEIQDTLNNIKVVLVDAERLQHQNEKLRRCMWKLRDIFYDAEDVLDEFECEAVRKEVANHPSASIQVRCLASCSNFPLALHSNSKTGHKIKGINERLKQVVAEWNSFNLVQREDNRHVNRRDMTHSFVNCSDVIGRDVDKEKIVNLLMKPNEGEFIPVIPIVGIGGLGKTTLAQFVYNDEQVAKLFPLRIWVCVAEEFDLARLLRLIISSTKRAKFDDSVVPSESTLDDLQSLLRRLLANEKFLLVLDDVWNDDQAKWYELRDLLKSFTDLSENKIIVTTRNLKVAEIMSTNNIHPYQLKGLPNKDCVTLFVKWAFKDGDERRYPNLMSIGEEIVDKCKGVPLAVRTLASLLFLKTDRREWISIRDNEIWRLEQQCETGILPTLKLSYNNLPPHLQRCLAFLSLYKKDYFHHSDDVILFWMANGLLEHPTQNNEEWEDVGNRYLNELWSRCFIQDVEHNGFYIKFKVHDLIHDLALHVSQKECKMLNSKTDSIDEEIRHLSFCADQPLVKVPQALKKQKQVRTVLLEQSSNIITDHLSFVDFCVSNFKYLRVLNLRDSALKTLPDSIGNLKHLRCLDLRGCHHIKKIPASFYKLQSLIMLKMKGVPLMQLPDGMQSFIKLRSLEESIEAMHLKGIRPGCWSCLQYLGLIDCGSSESLFEGMQYLTSLRSMFMYNCPKLVSMPRSLKFLTKLQHLHIMECPKMNFQMEPEKEDKHLQFSLEFFGLGSIALKDLPRLLLEGSAFTLKRIWLFRCYNLEVLPEWLQNLTALEKLEIIECPKLPSLPEGIKHLPALKHLTIIHCPTLKERCQGDEAADWPKIAHIQNIILI >OMO58012 pep supercontig:CCACVL1_1.0:contig14283:45614:48275:1 gene:CCACVL1_25617 transcript:OMO58012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein (ACP) MASIAGSSLSMQPRSTLATTRASGLRLISFMNQGRNSLSFSLRPTPARLRISCAAKPETVDKVCEIVRKQLALPSDKPVTGESKFSDLGADSLDTVEIVMGLEEEFGITVEEDNASTISTVDDAAALIDKLCSEKA >OMO58015 pep supercontig:CCACVL1_1.0:contig14283:67116:69662:-1 gene:CCACVL1_25620 transcript:OMO58015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAESFLFSIATTVLEKITPLVMEEVCFAFKSDLKALQDNMNRIKAVLLDAEQKQHQNETLRLCMGKLRDIFYDAEDVLEEFERKALQKEVRKNPSISKKVRLLTALSLYSFSPAFNLRMGNKIKDINRRLEKLDVEWKSFNLGVGGDNRSVIKRETISFVKSSDVIGRDVDKENIINLLMQPTGSGTIPVIPIVGIGGLGKTVLAQMVYDDKRVTRLFPTKLWVCVAEEFDVKRLVREIICNKTRKPCDDLPLEALQINLRSLFENEKFLLVLDDVWNEDPVKWKELKDLLESMSDLPGNKIIVTTRSFKVASTTMTSVRPYQLQGLPHEDCLTLFIRWAFRDGDEKKFPNLMRIGDEIVKKCRGIPLAVRTLGSLLFEKTEEREWMSVRDSEIWELEKKENSILPLLKLSYNHLPPPLQRCLAFLSLYKKDTPHATDDVILFWMANGLLEPPKGKEEWEDVGNRYLKELWSRCFIQDVVQRRFYFVFKVHDLMHDLASDVSQKEFRTLYSQTGPIDEKVRHISFCDDQPLVNAPQDLKKRKHVRTVFLHQHPRNIDLSFLEFCVSKFKYLRSLRLHDESLEALPDSIGTLKHLRILDLRGCRKITKLPSSFSKLQSLIMLKMTRVDLVQLPDSFLSFIRLRSLELSIGAKHLKEIPAGCWSSLKYLGLFHCHNFEFLFEGVQYLTSLQTLNLCVCPKVVSLPRSLKFLTKLEHLLVQNCGAINLRMEPEDEDQHLELRLKTFSLWNSESLTDLPRLLLEKSSGTLETILINNCQNFEVLPEWLQNLTKLESLMIIKCPKLSSLPEGMNRLTKLRELTIMGCPRLTERCQRGGADWPKIAHVEDITVI >OMO58013 pep supercontig:CCACVL1_1.0:contig14283:62429:63569:1 gene:CCACVL1_25618 transcript:OMO58013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MEGKIYVEPEGLSGGLALWWVSGLNVEVLDVSKNLIDTIIEDDIQGVKCRVSWIYGPPKFEDRKVVWELIKNRVNEFDGPWLMVGDFNDFLYHHEKEGGRERNSRKIHCFKELLDTCGLSDLPYKGQKFTWMDKREDLIKERLPNSLNLRYSGMKKRSVWNTPIQGSHTFQVVQKLRKCRRLLISWSKKAFPNNRKVKSKSSQEKIKISLREKREKEYPFLDSDVPKMLEELLKFDLIQLPEMKRPEEADKVNDPNYCKFHRLISHPVEKCFILKDKIMELHKEGMIEFDDEAATSNFVMANLIPSMKFGSFNPLKMHAKSTSNHDVKQANGGNARS >OMO58007 pep supercontig:CCACVL1_1.0:contig14283:2617:4005:1 gene:CCACVL1_25612 transcript:OMO58007 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGHLKAMVEVGKLLLTHQPSLSIHILIATPPYQANATAPYIAAASSAVPSITFHTLPEITIPPSSTVAHHEDLIFEVLRLNNPYIHDALVSISNKHKIQALVMDFFISVGFKVATELKIRSYYLYTASAGSLSAFLYQPTIHNQTTESFKDMGDVLLHTPGVPPIPAKDMPKPALDRTSKAYEFFLDCSITLPKSAGIINNSFQALEPRAIKAISDGLCVTDSPTAPLYCIGPLIASIDEKKTDGGKLVLEQITAMKEAAKAALSDGGSSRLALAKLVESWKQ >OMO58010 pep supercontig:CCACVL1_1.0:contig14283:23906:27882:1 gene:CCACVL1_25615 transcript:OMO58010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Na+/H+ exchanger MVAPQLAAVLTKLQTLSTSDHASVVSLNIFVALLCACIVIGHLLEENRWMNESITALIIGLCTGVIILLTSGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFHNFITIMMFGAIGTLISCTIISLGVINFFKEMDIGSLDIGDFLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLTNINPKIALQFFGSFLYLFLTSTLLGVVVGLVSAYIIKTLYFGRHSTDREFALMMLMAYLSYIMAELFYLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVAETFIFLYVGMDALDIEKWRFVSDSPGTSVAVSAVLLALVMAGRAAFVFPLSFISNLSKKTEGEKLSIREQIIIWWAGLMRGAVSMALAYNQFTRSGHTQLRGNAIMITSTITVVLISTMVFGLMTKPLIRFLLPHPKQTTSMLSDPSTPKSVSVPLLGSGQDSFDDSLIGVSRPGSIRALLTTPTHTVHHYWRKFDNAIMRPMFGGRGFVPFVPGSPTERSVHNPPQWQ >OMO58014 pep supercontig:CCACVL1_1.0:contig14283:65289:65954:1 gene:CCACVL1_25619 transcript:OMO58014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MASLEEQVASLAKAVESLANNIKEKDEQISFLMMKVAENYKGKDKPQTLQQIDDNKNVQEFGENSTKIAAEDLQSISSDQIKELIKEAIKDQAENIAPLSYTYAKPYSQRIDCMKMPDNYQPPKFQQFDGKGNPRQHVAHFVETCNNACTYGDLMVKQFVRSLKGNAFDWYTDLEPGSIDNWEQLEHEFLNRFYSTRRIVSLIELTSTRQCKDEAVIERMN >OMO58011 pep supercontig:CCACVL1_1.0:contig14283:28513:31715:-1 gene:CCACVL1_25616 transcript:OMO58011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MSTQLLEIQPKELKFIFILKKQCSCKVTLTNTTNQYVAFKVKTTSPKKYCVRPNVGVIMPKSICDFTVTMQAQREAPPDMICKDKFLIQSTVVHAGTTDEDISSATFVKDNGRYVEENKLKVVLVSPPPSPVLSPINGTMNQGIDYDSSIPKETTLTKVGIIAPLLTVTKVEESKTIDVEDLKPTNDVDWKSMKDMSYAEKPKKDAELKPRNDVVNPKDLKATIDADLKPKNNFVNGELKPVKEVESKPMEDTLDTEELKLVKEKELNTLKNEEVKAFKAVEDLKLVKDVEQMKSKLNDLESKLSEAEATISKLTEERRLSTQERKILQEELFNFSSFSLLRIVQCLFFNMWLQSSVFTLYGTIEDKDKSKKGSSGVPSLICLYGSTRQCLSWIPFAPLNMTE >OMO58009 pep supercontig:CCACVL1_1.0:contig14283:7376:15854:-1 gene:CCACVL1_25614 transcript:OMO58009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQKKGAAARVSEDAEDLARHPLQAILLADSFTTKFRPITLERPKVLLPLANIPMIDYTLAWLESAGVEEVFVFCCAHSKQVINYLENSEWSSHPNFSVSTIESHDSTGAGDALRLIYERHVIHGDFVLISGDTVSNMSLTQALQEHKERRKKDSNAVMTMVVKKSKHSPITQQSRLGTDELFMAINPDTKELLCYEDKAEYSKGFISLDKTLIADNPSIVLHNDKQDCYIDICSEEVLSIFTDNFDYQHLRRHFVKGLLVDDLMGYKIFTHEIHSSYAARIDNFRSYDTVSKDIIQRWTYPFVPDVLCGNSAIKVERQGTYRASEVTLSRSAQICPFTVIGQGTKIGNDTKISHSVIGEGCSIGSNVTIEGSYVWNNVTIEDGCQLRHAIVCDGVIMKSGAVLEPGVVLSFKVVVGKQFVVPAYSMVSLLQQPTQQDSDEELEYADSSSAITGAVDKVNGDATSDLSETRYGPTSELGTGGVGYIWSIFEGGNDEEWRHSVAPIPTDKLAKIMLEKDKDEELLTQDGNVVSPSGDLKSDSDGNASDNNDNEGSYVSFEKEVEATFLRAVLENHEVDNAILEVNGLRLSYNLASVDCAGAIFYSMMKWAVESSHNARASELHKNAAAIITKWKKLLKYYLHGIDEEIEVILKFEEICLESCREFSPLFPQILHLLYDKEIIQEEAILRWADEKEGADESDKVFVRQSESFLQWLREAEEEEDEEEEEEEEEK >OMO58017 pep supercontig:CCACVL1_1.0:contig14283:78114:78704:-1 gene:CCACVL1_25622 transcript:OMO58017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDNNSAWFSRDIQPGSWSSLQLLHLYDCNMVESMFGGMEHLRSLRRLVIRYCSRLVSLPTSLKFLTKLEEIEIAGCQNINLRMEPEGEDRDLHLRLKTLTIWGLEVLEDLPRLLLQGSASTFQIMLIRNCPNFKVLPECIQNLTSLRRLELQNCPMLSSLPQGLNRLTALKQVKIQECPALSQNCQPQVGAQWS >OMO58008 pep supercontig:CCACVL1_1.0:contig14283:6281:6898:1 gene:CCACVL1_25613 transcript:OMO58008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPGWGPPPGGPPGPPGICGCFEFLCGGICRLISSCFYVLCCCCIFERCCGPMFGGPPGPPGPRPF >OMO89258 pep supercontig:CCACVL1_1.0:contig08809:3429:3578:-1 gene:CCACVL1_07955 transcript:OMO89258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ACASYVKIDEGDLVSPLPLGLNTEVQKEQQPPTPPPIWTPYGRPILQHS >OMO58142 pep supercontig:CCACVL1_1.0:contig14267:19918:20799:1 gene:CCACVL1_25561 transcript:OMO58142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MANLFINQAKQYAEGRPNYPPELFHSIASKTPEHHLVWDVGTGNGQAARSLGEIYKNVIATDTSPTQLEFAAKLPNIRYQQTSPVMSMAELEEKVGPESSVDLVTIAQAMHWFDLPVFYKQVKWVLKKPHGVIAAWCYTTPEVSDSIDKIFQKYYQNPYWDPQRKLVDDKYASIDFPFEPVNGAESTGPFEFEFAIERLMDLEDYFTYLRSWSAYEKAKQKGVELLSDDVVEDFKRAWSEDGNNGQKLVKYPVYLRIGKVGL >OMO58140 pep supercontig:CCACVL1_1.0:contig14267:1725:7488:1 gene:CCACVL1_25559 transcript:OMO58140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LITQNSIQRGEGQMKQLSERANEDLITND >OMO58141 pep supercontig:CCACVL1_1.0:contig14267:15335:16206:1 gene:CCACVL1_25560 transcript:OMO58141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MAPYQAKQYAEGRPNYPPELFQFIASKTPEHDLAWDVGTGTGQAARSLGEIYKNVIATDTSPTQIEFATKLPNIRYQQTSPVMSMAELEQKVGPESSVDLVAIAQAMHWFDLPVFYQQVKWVLKKPNGVIAAWCYTTPEVNDSMDKILQRFYENPYWDYPQRKMVDDKYMSIDFPFEAVDGEESTGPFDQFAIERLMDLEDYFTYLRSWSAYEKAKQKGVELLSDDVVEEFKRAWGGDGNNGQKLVKFPIYLRIGKVGL >OMP08577 pep supercontig:CCACVL1_1.0:contig03687:1493:2226:-1 gene:CCACVL1_01106 transcript:OMP08577 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase VALTNRRRLTASHSPATSGLLLPPFQACSQSIFSSEGNGYFSIEKIQELNKYFSTMGTTTMDMQSFITSNVRAVVEGVMNEHFGHKIVDELFRSFPKKLDNCNFIFDDEFCKDVDLFIWLKRKAPI >OMO53489 pep supercontig:CCACVL1_1.0:contig15191:6157:6471:-1 gene:CCACVL1_28606 transcript:OMO53489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKLVMIFFALMLLLATLHEADGKRLVPDPKELASGSSAGRKTVNGLTKTLTEASLVAESAEEENNNPTYGRYGRGGSNDSGETVHRIWAQGNNPYAPKPKSP >OMO53488 pep supercontig:CCACVL1_1.0:contig15191:1415:2188:-1 gene:CCACVL1_28605 transcript:OMO53488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFVQIIFFVLVLVLATLQADGKGETLENKVDLGVGDDEVFIRSHGRKAEIGTKHRNKGAAPKTAENLGLVDSLKENIDDNQSHRYFAPATNPYGGKGNKNKADDLVGAKDSKIENKGAAYTSKPAENNIGLVDSQVQETIDDSQRQRYFPSAKNPYAKGNNNKNKGTEVGAKDSKIENNNIGLVDSQQEDNKRQRYFPIAKNPYANGNKNKGHKVEAVTENKDIVGDEHAQERNIICGKNPFPAGLKYISKCFKG >OMO95838 pep supercontig:CCACVL1_1.0:contig07596:23785:26593:-1 gene:CCACVL1_05223 transcript:OMO95838 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MAKQGRYTANRSLETLLDLDKSAAYGKSNTNNNAAAAVETTRKTVPGHGLEFNNLSYSVIKKQKKDGVWIKKEAYLLNDISGQAMRGEILAIMGPSGAGKSTFLDALAGRIAQGSLEGSVRIDGKPVTASYMKMISSYVMQDDQLFPMLTVYETFMFAAEVRLPPSISREEKKKRVNELLAQLGLESAAHTYIGDEGRRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAYSVVEKVKDIARGGSMVLMTIHQPSYRIQLLLDSITILARGRLIYMGSPSSLPGHLAGFGRPVPDGENSLEYLLDVIKEYDESTVGLDPLVLYQRDGIKPDQVARTPVPRTPKTPRTPHMKTPVSRHAISLQSHAFSVGNNGISRSQSGPFDYIDDDEEDEEFDYSLERKAQRVPQTPMSMQSGVYHPRLASHFYKDFSAWVYRGVTNTPRRAPSWTPARTPYKTPSSGARSFVSGRYPTPGQASAHHKTPVVFSPSIDPSYAPSYEYEGFEMEMEMEMEEEQVLDEPAHGPKFANPWLREVAVLSWRTALNVIRTPELFLSREIVLTVMAIILSSLFKNLSHYDFQTINRLLNFYIFAICLVFFSSNDAVPTFIQERFIFIRETSHNAYRASSYVISSLIVYLPFFAIQGFTFAAITKYWLHIQGGIFNFWMILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFITCGFFLKGTKIPLAWRWLHYISAIKYPFEALLLNEFKDKDICYSGDPSVLSPGPLGDLKHSKLHNQNPDLKNCILIGEDVISSMGIHLENLWYDILILLAWGVLYRLFFYVVLRFYSKNERK >OMO95839 pep supercontig:CCACVL1_1.0:contig07596:33535:33888:1 gene:CCACVL1_05224 transcript:OMO95839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILDNLGENIVAGFLEQESEDGGHEDDGMECIQMLMPCGPYMHSSSPPAICCNPLNDVIKTDAHCLCKASNDPQIKKNFNITLDQALALPKACGIQVDSSVCKNGTQQYSLISFPS >OMO95835 pep supercontig:CCACVL1_1.0:contig07596:8436:8537:1 gene:CCACVL1_05220 transcript:OMO95835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNLKTQSLPPKSPYSAPPITSNTARKPQTHR >OMO95836 pep supercontig:CCACVL1_1.0:contig07596:9200:12637:-1 gene:CCACVL1_05221 transcript:OMO95836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLKGWILADPNFPRKRNSQTETQDSSLTQIYDHGGSAYFNEHQDLKSITRFQAFSTNSRSAVDDSASMARTQLTTVEFLGRSTESSGAELGFSNCLNAARPLSLGVNQSCENNCNTTANKNNKAIVLCFCYDKVYVRILSKLS >OMO95837 pep supercontig:CCACVL1_1.0:contig07596:19311:22502:1 gene:CCACVL1_05222 transcript:OMO95837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MDASKVAELKQFVDHCKSNPSILHTPSLSFFKSYLQSLGARIPAEPQTEKGGINMAEPEQHSDAKRPSVSDEVVVEEDIVESDVELDNTGVVEADNDPPQKMGDPSVEVTEDKRDAAQTEKLKAIDAISEGKLDKAIDYLTEAIMLNPTSAILYATRASVFVKLGKPNAAIRDADAALEINPDSAKGYKVRGMARAMLGQWEEAVSDLHIASKLDYDEEIGSALKKVEPNAHKLEEHRRKYERLRKERELKMSERQKQKQKAEAIDQEALSAFKDGQVIGIHSTSELETKLTAATRTSRLVIMYFTATWCGPCRFISPLYTSLAAKYAKVVFLKVDIDEARDVAARWNISSVPTFFFIRNGKEVDKVVGADKSALEKKIAQYAG >OMO62672 pep supercontig:CCACVL1_1.0:contig13218:139356:140269:-1 gene:CCACVL1_22700 transcript:OMO62672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEWLSGMTRNHVGSARAGFWHGGLSSKMLLSNFQSSSWDQSGSAEGAA >OMO62673 pep supercontig:CCACVL1_1.0:contig13218:142000:144562:-1 gene:CCACVL1_22701 transcript:OMO62673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MLNKVKPYLAMISLQFGYAGMYIISMVSLKHGMSNFILATYRHVVATIVIAPFAFVLERKIRPKMTLPIFLRIVALGFLEPVLDQNLYYLGMKNTTATYASAFVNMLPAVTFILAMIFRLEKINLKKVRSVAKIVGTGITVVGAMVMTLYKGPIINFIKAGGGMHHASAADSADKHWVTGTIMMLGSIFGWSSFFILQSFTLKLYPAELSLTAWICFMGVIEGSAVSLIMVRDFSAWKLGWDSRLLAAVYSGIVCSGIAYYVQGVVIRERGPVFVTSFSPLCMIITFILGAIVLAETVHLGSILGAIVIVAGLYTVVWGKAKDGKPSDTKCDEKSSNAQELPITNNARSIGVDDGIDGIEAKIVDIPASKTSFTTRGT >OMO62677 pep supercontig:CCACVL1_1.0:contig13218:162272:164990:-1 gene:CCACVL1_22705 transcript:OMO62677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein MSKCKGMFRGGGSALVWPIILLLCLTSNFNRNILPVAEAIWLTIPSSGTKCVSEEIQNNVVVLADYYVIDENNPDHIPTVSARVTSPYGNNLHQNTNATHGQFAFTTSEAGNYLACFWKDGSHQQDAELTLGLDWRIGIAAKDWDSVAKKDKIEGVELVLRRLEGAVQAIHGNLIYLKDREAEMREVSERTNARVAWFSIMSLGVCIVVSLVQLWYLKRYFIKKKLI >OMO62667 pep supercontig:CCACVL1_1.0:contig13218:33117:35359:-1 gene:CCACVL1_22695 transcript:OMO62667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MMSLQFGFAGMYVITMVSLQHGMNHYILAVYRHLVATIVIAPFALVLERKIRPKLTLPIFLRILVLGFLEPVIDQNLYYLGMKYTTATLASATVNVIPAITFIMALIFRLEKVNLKKVRSIAKIIGTVIMVPGAVIMTLYKGPAINFIKMQGGGHHGAASEAEAKHWVAGTLMLLARCWGWSGFYILQSFTLKVYPAELSLTALICFIGTIGGAAVSFVMERDMNAWKMGWDSSLLAAVYSGVVCSGIAYYAQGVVIREQGPVFVTAFSPLCMIITAALGSIILAEKIHLGRYIIIIKDFKFSFCIYNIIILMLGH >OMO62675 pep supercontig:CCACVL1_1.0:contig13218:155843:157047:1 gene:CCACVL1_22703 transcript:OMO62675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCFPFELREKIPNNACTLLSSFGQAPPQVAEII >OMO62669 pep supercontig:CCACVL1_1.0:contig13218:55197:58182:-1 gene:CCACVL1_22697 transcript:OMO62669 gene_biotype:protein_coding transcript_biotype:protein_coding description:ChaC-like protein MAMWVFGYGSLIWKAGFNYDDRLVGFIKDYRRVFYQGSTDHRGTPEYPGRTVTLEPAPGEVCWGAAYKISNEEDKGTAITYLEVREKQYDKKAYLDFFTDPAATTPAISGVMVYIASPDKKLNKNYLGPASVEEIAKQIVHAEGPSGPNRDYLFQLEKALIQIGCKDEHVMDLADKVRRILSKGELNAS >OMO62670 pep supercontig:CCACVL1_1.0:contig13218:60417:60542:-1 gene:CCACVL1_22698 transcript:OMO62670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVMVLTDFSTKEVWLEHGFGDYGWSTGEGVCGKWRRVEQ >OMO62666 pep supercontig:CCACVL1_1.0:contig13218:27425:31347:1 gene:CCACVL1_22694 transcript:OMO62666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAHNSPAPDADAKEAAEPTAALEDDIESRITTAMRSRVSHFQEQANSLTFEGVRRLLEKDLGLETFALDVHKRFVKQCLLKYLDAANNDDTSKSPGETVEKNVSLTTEVTDSPKGRQSKKDLKEACSEDEEKLEDSPVLGLLTGSKTTKKETTETDKEENKVSESIIKKAIRKRASYIVANSEKVTLASLRRLLEEDLKLDKFTLDPYKKFISGQLDEVLKSCEVSAPASDVKKKNLKKQAQSNASKNSSKKMSSASSGSEGDEDEEEEDVKPKRKIISKGKIKNSERARKIPKTEAGMPRKKTSRRAESISDDNSDSEESGSASDDGRSRSSAAKAVKRKETPTPPTPVYGKHVEHLKSVIKSCGMSIPPSIYKRVKQVPENKREGQLIKELEDILSKEGLSSNPSEKEIKEVRKKKERAKELEGIDTSNIVSSSRRRSTTSFVAPPKPKIPDLSDDESEESDEDNDEDDGDDEDNEDEDGGEGNSQSEESDEGLVIFDTSTV >OMO62676 pep supercontig:CCACVL1_1.0:contig13218:161036:161609:-1 gene:CCACVL1_22704 transcript:OMO62676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-like protein MATTATMAARSLSIARIPPGCCLCDGLKEKLQAAFLLAGPDSLHDVDLQVRDITSNPEWEKAYQYEIPVLAKVLSDGTEIENSTWINSH >OMO62671 pep supercontig:CCACVL1_1.0:contig13218:122315:122488:-1 gene:CCACVL1_22699 transcript:OMO62671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSIGTDRSKMDTHQGGKPAHSRLVQQQKCAIHMDSQAHTLPKQADTLSQPIPVQH >OMO62668 pep supercontig:CCACVL1_1.0:contig13218:48137:54635:1 gene:CCACVL1_22696 transcript:OMO62668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSGEGKQGEVSVMNEQERPVAEIVDPVAPLANVQQPQNPSTQNLRRSDRT >OMO62674 pep supercontig:CCACVL1_1.0:contig13218:148488:151943:-1 gene:CCACVL1_22702 transcript:OMO62674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MSSMGPLSAIFSKAKPYLLMVFLQFGGAGMYIISMVTLNHGMNRYVLIVYRNAIAALVLAPFALLLERKTRPKMTFRIFLHIMALGFLEPILDQGCSYLGMQFTSASFTSAIMNAVPSFTFVIAVICRLETVKIKEVRGLAKVIGTLVTLSGALLMTLYKGPVIDLIWSRKASHSGSSGDSSDKHWIPGTLLILVGCVAWSCFYVLQSITIKKYPAEISLSSLICLAGAIQSLAIALVVEHHPSGWAVGWDSRLFAPLYTGIVSSGITYYVQGLVMKTRGPVFVTAFNPLCMILVAALGSAILAEQIHLGSIIGGIIIAIGLYSVVWGKSKDYSTAASTPAKVEIQELPISAKNRTDHGTKPVIATINSTDQKDESKKDVPKSMTLKKVAGKLASPVSKSTNKSLYINPSIRLFSAMYY >OMP05495 pep supercontig:CCACVL1_1.0:contig05353:4270:8153:-1 gene:CCACVL1_01876 transcript:OMP05495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEIPLSQSPGDYMGAMVILMRLLQIVGEWVCYYAR >OMP11466 pep supercontig:CCACVL1_1.0:contig01275:7159:7641:1 gene:CCACVL1_00509 transcript:OMP11466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPISFRARLKTAAISKKRSKSKAKHRRNGVKDMQESFKKLKTEMEEISEEQKNIREGQRQVKEKFEAIESECEALKRETRLIIQQSARTQVKLALMFRILKAREAGEFDSAAHLTELLRYVS >OMP11465 pep supercontig:CCACVL1_1.0:contig01275:3235:5705:1 gene:CCACVL1_00508 transcript:OMP11465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MESPFKADVVKGKVALVTGGGSGIGYEISLQLGKHGASVAIMGRRKHVVDSAVAALHSYSIPAIGFDGDVRKEEDAARVVESTFKHFGKLDILVNAAAGNFLVPAEDLSPNGFRTVVDIDTIGTFRMCREALKYLKKGGPGRDPSTGGLIINITALLHYGATWYQIHASAAKAAVDSITRSLALEWGTDHDIRVNGIAPGAIDDTAGVIKLAPEEILSQIKQKPLYKFGEKWDIAMAALYLASDAGKFVNGTIMVVDGGQWLSRPRYVSNDAVKQLSRREEIKSRVKPAGVPKSKL >OMP01965 pep supercontig:CCACVL1_1.0:contig06346:27779:27859:1 gene:CCACVL1_02970 transcript:OMP01965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVQYPIPNIQSSPPSSIRAKRSKQ >OMP01959 pep supercontig:CCACVL1_1.0:contig06346:132:305:-1 gene:CCACVL1_02962 transcript:OMP01959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHQIPNPIIAQTATTKRMYLPYASTSASSSRKLLIRDAPSRLFPKLKPEELYRAAE >OMP01963 pep supercontig:CCACVL1_1.0:contig06346:21564:22049:1 gene:CCACVL1_02968 transcript:OMP01963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFPSIVSDSSSDPKRVLGDLDGQVISLAERYMFRILNIPMNVGLRWAPQWTSSPIRNPYLKGKKRWSPFYRFDWDFFSGSSPYQRRAYRHQSKCSALHVSFPAMNEWAHKCILPLFLDFWPSHVIYPTRGKSDVGVTSFPWSIYSRVEDQSMSGLLRRSQ >OMP01960 pep supercontig:CCACVL1_1.0:contig06346:1332:3861:1 gene:CCACVL1_02963 transcript:OMP01960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPATSRYAELPHSLPVEVENPLVVNLFSLKPLVELLSSASSVDSPVLVDSLVSGLAALLFSTQKLGGFTGNFGGWGRKSWCGASNALTQCRYTKGHSVARARLFYFSN >OMP01961 pep supercontig:CCACVL1_1.0:contig06346:4874:7191:-1 gene:CCACVL1_02964 transcript:OMP01961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTHLLNQMKEMQMKRILWQNFLAETPCSQAYRRIPFLALLVRLLQHNADNQQSWMQRTRKDDGKASNEGGALSEERDDKSEGYR >OMP01962 pep supercontig:CCACVL1_1.0:contig06346:10719:11189:-1 gene:CCACVL1_02965 transcript:OMP01962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAYLHHRRHQKGRKIRKIVSTDSSRRRWSTLKAVKWKDSLLESVVDPSKALSDFVADHPFLAIATEESKGLDVFLSYFTPCTSVFDGSSTHDAAVYELQAIQTKDELIDKEVDHDISSSGSGCPPQKKKVHRDRFIMIADIEFLSDRLVLLSLPI >OMP01964 pep supercontig:CCACVL1_1.0:contig06346:24609:24728:1 gene:CCACVL1_02969 transcript:OMP01964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYYYTYTDVRNELRVTARRDRNHRKLKRWKYKNKSLDN >OMO64520 pep supercontig:CCACVL1_1.0:contig12815:71930:72070:1 gene:CCACVL1_21704 transcript:OMO64520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKTCQLVSRSCSSKGNFFSSSQIW >OMO64518 pep supercontig:CCACVL1_1.0:contig12815:21010:21075:-1 gene:CCACVL1_21702 transcript:OMO64518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERGDYRATDGKRVVVYELS >OMO64514 pep supercontig:CCACVL1_1.0:contig12815:5714:6530:1 gene:CCACVL1_21697 transcript:OMO64514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVKGKGFPLAASSFFLGLAAASGLIRDASGRWLTGFMAHRGVCSNTVAELQAIRHGLELAWIQGYRKVSIPFMKAFPEKETSSSTKRKERIRTQTSG >OMO64519 pep supercontig:CCACVL1_1.0:contig12815:21530:23913:1 gene:CCACVL1_21703 transcript:OMO64519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIPLLLGYTRGFSRKPFIFYSGQATKTLSAIQLAKGVKKDEETFLAALQLDEPPMEKEQAPLENSTYEANLDSLLSSFSSSNTPNDHGFYSISSGGGQGSDVANAIALCRGDVNSGACLECINTSTTELRNRCPNQREAIIWYDNCMLRYTNRSIFGVVETNPAFFMWNANNVTNVDVFNQALSDLLDRLISNASSGNSLGKFATGNYSYSAFQKLYALVQCTPDLTALSCSSCLSQAVTLIPQCCDRKQGGRVVSPSCYLRFESYDFYDPTAADTPLLSPPPPAPPTPEPPLSLPPSNDTTTTIGMTFYLSALFYQVNDFFLLLLPFPCSQDRGK >OMO64515 pep supercontig:CCACVL1_1.0:contig12815:7372:8545:-1 gene:CCACVL1_21698 transcript:OMO64515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCGEWNEVTIQKYDISATRREAAPTEGRALLATLCACEPRLSFQNGTPCVAVKKGVYAGKREREQRFFIEFETIQSFFVYPAASQRPRLKKVTLGKTRGSIPNEPHAPAISK >OMO64517 pep supercontig:CCACVL1_1.0:contig12815:19123:19704:1 gene:CCACVL1_21701 transcript:OMO64517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKLQAFATKVRMWNKENFGNIKQRKKRTLARLQGIQRDRCKGPVVTEDLEEAEQVDGDATMDDQFVEDTLILDDESDKTIEEGVSNAGPVEDGLVNLGEGEQPIDSRLPKPSASVTLVKPKEGVGKSQGLHPRKTSAFKSGGPKIKDVAISKVTSASAKKGVGGVGINTAMSYGPMIGKQSAWNLTNLTSR >OMO64516 pep supercontig:CCACVL1_1.0:contig12815:8954:9070:1 gene:CCACVL1_21699 transcript:OMO64516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSTAGLDMIGDTPVQSPPGQQTITDSIAGVYAVAHG >OMO64981 pep supercontig:CCACVL1_1.0:contig12713:29663:29917:1 gene:CCACVL1_21593 transcript:OMO64981 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Glucose-6-phosphate/phosphate translocator 1, chloroplast precursor MVPMKTIRSRVQFFQISALSLVFCVSVVIGNISLRFLPVSFNQVIGATKPFFTAVFAYLMTFKREAWLTYVTLVPVVTGIIIASG >OMO64979 pep supercontig:CCACVL1_1.0:contig12713:22141:22458:1 gene:CCACVL1_21591 transcript:OMO64979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase of outer membrane MATQSRRGGSGQPDRRSGSKAESSILTKITSSSIVSRGKQAASDAAFVSKKLLRSTGKAAWIAGTTFLILVVPLIIEMDREQQFNELELQQASLLGAPPSTPALK >OMO64980 pep supercontig:CCACVL1_1.0:contig12713:25121:26247:-1 gene:CCACVL1_21592 transcript:OMO64980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVTILLGSFPFPFPFAATAADYTTLVFKGCADQKFQDPSGIYSQNLKNLMSSLVSQSSQKSFSNTSSGQDPNAITGLYQCRGDLTTSQCGSCVGKIPDISDKLCGKAIAARIQLSGCYLRYEIVGFKQVQDTQFLYKVCGSGSSQSQAKASGAEFEGRRDKAFNMAEDGVKSGSSLFYTGDYQSVYVLGQCEGDMATNECGDCVKTAFQTAKDDCGDSISGQIYLQKCYISYSYYPNGVPTVSSSSGTGTKQHTQKTVAIAVGGVAALGFIVVCLMFLKSVLKKPSGKHQGY >OMO50749 pep supercontig:CCACVL1_1.0:contig16062:1142:4486:-1 gene:CCACVL1_30279 transcript:OMO50749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHGGEARISTLRHCLEGKAFLLAAVDYYVSLQSDIFISASPRNMHNAM >OMO50751 pep supercontig:CCACVL1_1.0:contig16062:45088:47936:-1 gene:CCACVL1_30281 transcript:OMO50751 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MKQSYNYNNQMNQSQRSAFAALFLILLPIFFPNLFAPLGRASPSLFSEWNAPKPRHLPLLEVALHRKTSIKQQSDLWSPLPNQGWKPCIDSANIPLLPEKSKGYIQVFLDGGLNQQRMGICDAVAVAKILNATLIIPHLEVNPVWQDSSSFTDIFDVDHFIDVLGNEVSLVKELPREYSWSTREYYATGIRATRIKTAPVHASANWYLENVLPVLQSYGIAAISPFSHRLAFDKLPVNIQHLRCKVNFQALVFVPQIRSIGEILVHRLRYPFAKLQASGREDQQGRIDDTEQEGPGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVLWQGRVLNSQFTDMELRNQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISTLRKLFPLMEDKKSLASVKELAEVEGKASLLAAVDYYVSLQSDIFISASPGNMHNALLGHRAYLNLKTIRPNMLLLGPLFLNKSMEWSEFQQAVINGHKNRQGQIRFRKEKQSIYTYPAPDCMCRT >OMO50750 pep supercontig:CCACVL1_1.0:contig16062:20550:26014:-1 gene:CCACVL1_30280 transcript:OMO50750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hemogen-like protein MDINSGTIKSPHKQRRCNLPFATVKSPEINPEIQEPTPPFLILLYSDRNPERLPSKSLKSKNPKHHIPTPTTAADDETSNPNATDLKATPHKSPQTI >OMO92707 pep supercontig:CCACVL1_1.0:contig08179:5177:5284:-1 gene:CCACVL1_06778 transcript:OMO92707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGQLSSFNMSHFCISEKAIGILHTLLCSFHIWSI >OMO62185 pep supercontig:CCACVL1_1.0:contig13326:9306:18495:1 gene:CCACVL1_22973 transcript:OMO62185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPSHLTPIPFQFSGVLFSGYDPLLMIQELASLMVVYNANKFATLVTKEMGPKLALTITNISLKDIQTRYQLNR >OMO98726 pep supercontig:CCACVL1_1.0:contig07043:2293:8603:1 gene:CCACVL1_04084 transcript:OMO98726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I MEEEERGNEYLKDLTSRVESGAKTCKVTKKIRHLANIQEEYDVQLPEDMGRLINMHHLDIRGTRIKKMPAGMGKLKDLKVLTNFVLGKHDGSSIGEHDGSRINNSVLEQMMQPCTSLEKLYIYKCSELSLRSLPEGSSLAMTLKQLRIEESNVLNDSKILMYASLESLHIEKSRFNGVESFPLGSFPLLNSVRIKSCQVLKWIIGTMEGEKKSHFKHFLKLDFVITKLKATERESLFAVAASTAMEAPIRARHTLFLSLFSLFFFLSTSSSLPNLVIADAERRIDLASHIVKVYLTFKVENAGSTPASEVILAFPTTQVDHLATVEALATKGKRKKTTLIRLEVKPTELPDAPNDTRYFTISLANPLNSGESITLEVLYLLTHSLEPFPAEIGQSEPQLVIYRDSALILSPYHVKQQTTFLKTPSTKVESFTTVEPTYRAGTEIKYGPYEDRPPYSSSPLLVHFENNSPFAVVEELVREIEISHWGNVQVTEQYTLVHAGARHKGVFSRVDYQSRPSFNGASSFRYLLARLPPRVHSVYYRDEIGNISSSHLRKDSRKSELEIEPRYPLFGGWKATFVIGYGLPLQDFLFESSGDRRYLNFTFGCPLLETVVDKLTVKVVLPEGSKEPSAVVPFPVEQHLETKYSYLDVVGRTVVVLEKKNVVPVHNSHFQVYYTFKPIFMLAEPLMLAVAFFLFFVACIAYLHIDLSIQLLRIVSTPINIDSDSDDDIFLVTTKVLWWCKHV >OMO50589 pep supercontig:CCACVL1_1.0:contig16115:1274:2112:-1 gene:CCACVL1_30363 transcript:OMO50589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASKGKNNNALPAAAGLRCCCCRRAAKLRCCVQDSSHKFVNFFRNYKPRRDLPLLVEKYMNK >OMP03881 pep supercontig:CCACVL1_1.0:contig05924:4399:5469:-1 gene:CCACVL1_02234 transcript:OMP03881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPLLEKAGLAFHGISRWQH >OMP04874 pep supercontig:CCACVL1_1.0:contig05591:1550:1609:-1 gene:CCACVL1_02115 transcript:OMP04874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFVTSTSNNTRVLPALRY >OMO95509 pep supercontig:CCACVL1_1.0:contig07665:11002:17982:1 gene:CCACVL1_05389 transcript:OMO95509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MLSLVKHTARALTSAALRCRRTTVPVFGLTSPWSTISRQISDGTEYDHILAEVNCPRCSNQMPVTFSNRPLSITAPEPGLYHALNFCRNCNTAFYFRPLKLVPLQGSFVELGRIREDFDGVPEKEPGRIGKLKDDSVDEDDMAGRSMRRKLPTPKEICGRLDEFVIGQQKAKKTESLHLNARSLGDNNENDADDSVELDKSNVLLIGPTGSGKTLLAKTLARIVNVPFVIADATTLTQAGYVGEDVESVLYKLLVEAQFDVEAAQHGIVYIDEVDKITKKAESSNIGRDVSGEGVQQSLLKMLEGTLVNVPIPDKGARRYPHGDSFQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRSSGFTTAAVTTSLLESVESDDLVAYGLIPEFIGRFPILISLSALDEDQLVEVKLHFTENALRLISKKAMAKNTGARGLRAILENILTEAMFEIPDTKMESHGVHAVVVDEEAVGSVDETGCGAKILYNEDELDQFLNKKTLKDFVILCLTEFISTKEPLEAESFGILQLIPPFAKAQNNESINVIQGVNYASGWAGIRDETGQRMGQRIPLNEQLRNHKTIISRISKKIGDSAAKKHLSECIYSLQIGGNDYTDNFYQYEHYNSSHIYNEEQYATLLVQQYSQQIKSLHEDGARMFAVFGLEPIGCSPNSIEIYGRNFSGCVLKLNQAAELFNGKLEAVVNELNKNLSYAKLRYLNHTPPGSFESIGIKELEKTCCETEYGSKTSGRMLCRKNSETCIHPEQYLYWDGHHHTELWNKLSARVAYEILRTLVPKK >OMO95508 pep supercontig:CCACVL1_1.0:contig07665:6436:9088:1 gene:CCACVL1_05388 transcript:OMO95508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MEERRGNHHTNNKGEMNMWASTTEEETTVDWRGRPSNPNKHGGMRAAAFVLGLQAFEIMGIAAVGNNLITYVINEMHFSLSKSANIVTNFVGTIFILALLGGYLSDSYLGSFWTMLIFGFVELSGFILLSVQAHIPQLKPPKCNMLTDGENCVEAKGFKALIFFVALYLVALGSGCVKPNMIAHGADQFNQSNPLQSKKLSTYFNAAYFAFSMGELIALTVLVWIQTHAGMDVGFGLSAAAMALGLISVVSGTLYYRNKRPQGSIFTPIAQVFVAAILKRKQICPSNSNQVQMVNGNQSIQTQRFKFLDKACIKVQDHNNTKESPWRLCSVTQVEQVKILISVLPIFACTIVFNTILAQLQTLSVQQGSSMNTQLTKSFHIPPASLQAIPYIILIFLVPLYDRFFVPFARKFTHHGSGISPLVRIGSGLFLATFSMIAAAIMEKKRRDSSVGSGEKISIFWITPQFLIFGLSEMLTAVGLIEFFYKQSLKGMQSFLTAITYCSYSFGFYLSSLLVSLVNKITSSSRSSHGGWLSDNNLDKDRLDLFYWLLAVLSFLNFLNYLFWSRWHSRNSSPPATAMQNEVMDGELINQYNLMKLSKDVGDENIP >OMO95510 pep supercontig:CCACVL1_1.0:contig07665:20464:20619:1 gene:CCACVL1_05390 transcript:OMO95510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAERVGIRYFGNIPPFAMAKDQNESDVDFVTKGVNYASGWAGIRDETGQTM >OMO69903 pep supercontig:CCACVL1_1.0:contig11937:1934:3296:1 gene:CCACVL1_19203 transcript:OMO69903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MALLQFSLSPPSTMRSSSPSLSCSGANSTAASCSVSSASKSGFLLESPSLKTVKSVSNVKINRRYGAVLKVRSSLDIAGATVGQVTEVNKDTFWPIVEAAGDKTVVLDMYTQWCGPCKVMAPKFQELSEKYLDVVFLKLDCNQENKVRINI >OMO69905 pep supercontig:CCACVL1_1.0:contig11937:10323:12633:1 gene:CCACVL1_19205 transcript:OMO69905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDAIGAFFKLLVEPMVFGVYNPHMITVPLKKQQSVAQQVYAVVLLYNYYYRKTHLNLNHLDFKSFCELALTLKPNLRPYFKWFLISDDIEVSNIEKQELSLTEQAIKDACDVCTSLDASRDVPNIKGWPVSRVAVLLIDEKKENCVLQNDSITEGVWSVIEKKVDEIGCSSEEKHGNKRQRTLDLQDESDEHGLDKQLAFSAVREATKNGIDEKDLTIIESHVVYSLDKEKAATRFYIMHCHHNGAIDSSEWLPIQEVIDCLQGPLVIKASSSNTWEHSSVVEYFHLLHYAQIISDWFSRQVRLQDGEPVQEGVSLNGSQKTEKPDEHEVLNDKTPIQIGDDLEEAFINTASAEVEMLVKNSAKLRQVLASKGQKLSETALNVLYYKRDILALKQPEIGDEIARCNQMIQKFLKGADDHDLELKLDVVIQGCNTLSERAHSKQNPSQASWRSK >OMO69904 pep supercontig:CCACVL1_1.0:contig11937:6520:9069:-1 gene:CCACVL1_19204 transcript:OMO69904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYQEMERFGMENDYEDGQWINGEFCYKKRKEKRTQTKDDVLYGVFAAYSDSEDDDYSSSRKRRKEFGKKADLTKPVNFVSTGTVMPNQEIDKNSKEDNDDNNNNAFDDNENYSRPGLGSGVGLGFGGNDSLQKSDGNDVGDEDGDDSFLPSAFGRKIKEGAQKREKERERLRMEKKSSGGRRDVRGGIGDVGVFEKHTKGIGLKLLEKMGYKGGGLGKNEQGIVAPIEAKMRPKGMGMGFNDFKEAKQPGLQQLEEKKSVSQQPIGKAKERLWSKNAKGRKKETYVTVQELLAKKEEEGVEVVQKVIDMRGPQVRVLTNLENLDAEEKARENDVPMPELQHNLKLIVDLAELDIQKIDRDLRNEKESALSLQKEKEKLEIEATRQKRQLDNMEHIVTALDLIEEENSSGKLTLESLAKSFRDLQRNYADEYKLCNLSCIACSFALPLFIRMFQGWDPLQNPSYGMEVISAWRDVLQREDSYDIWEDISTPYAQLVSEVVLPAVRISGINTWEPRNPEPMLGFLESWEKLLPSSIVHTMLDTVVMPKLSRAVDSWNPRKETVPIHVWVHPWLPMLGQKLEGLYQTIRMKLSNVLDAWHPSDPSAYAILSPWRTVFDAVSWEQLMRQYIVPKLQIALQEFQINPADQKLDQFHWVMAWSSAIPIHLMVDLMEKFFFVKWLQVLYFWLCSKPDFDQIKDWYMGWKGLLPQELLANESIRNQLNRGLEMMVQAADNVPVEQPGLRENVTYLKVSEQRQFQAHQRAAAHAAASDPDMSVKEAVEAYSLQHELLFKPKPGRMHNGQQIYGFGNISVIVDSLNEKLYAQREDGWSPVTLDDLLNLHYNSLARRR >OMO69906 pep supercontig:CCACVL1_1.0:contig11937:13624:20496:-1 gene:CCACVL1_19206 transcript:OMO69906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDTFDGQILTEKLSKLNNSQQSIESLSRWCITHRKKAKQIVETWDKLFNSSQKEQRVSFLYLANDILQNSRRKGSEFVNEFWKVLPGALKHVYESGDEHGKKAVTRLVDIWEERKVFGSRGQNLKDELLSKTPPSAPPPPPPPPSVNNGKSSNPIKIVKRDSHAVRIKLAVGGLPEKILTAYQSVLEDNPNEDPALNKCNAAVQHLNKFGEDLESSLAQGNQNGSSLLDELQQQENTLRQSVEQLENVETTRAALIFQLKEALKEQESKLEVIRSQLQVARGQIEQASNIRKRLALSAVSVHLTATTMPTAEAAIAVEQNLPSAQPTSTPPQSHLPQPVISFAPSITTEEDNKKAAAAAVAAKLAASTSSAQMLTSVLSSLVAEEAASMNGGLKSGGFTSGLSMFPPEKRPKLEKPMTVSDGSNSDSSSTAYFSPLQQQAMANMPLAQSTSVQPISQGNQIQAPFTAAPAPPPPLSPANPPASQFVQSTGMMLGVMPYGYGANALPPPPPLPPHIAMSLARPASQPLQQPQSQSLQQPQSQAQQQPATGGFYRPPGIGFYGQNSQSTPPVPRQ >OMO77588 pep supercontig:CCACVL1_1.0:contig10727:33353:33646:1 gene:CCACVL1_14955 transcript:OMO77588 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin heavy chain-like protein MPPKPQTQQNTPDDFLAHITAAVAAKFDDLQSSLDAKYDSLSISISAHSSCLHNINLQLAKLEKNPFFTRVTVRFGINPHIDFLFLPATTSTRKLFN >OMO77587 pep supercontig:CCACVL1_1.0:contig10727:22677:33045:-1 gene:CCACVL1_14954 transcript:OMO77587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MDVKKSMRRQGAITTGLLQEDTEPKPSQSPLPQLEVNINLDLRYHQGRRVNYQEEDFPQVDDELSQAEADEDYEIDEEILHDDEIQFEEISSNNHYHGMVPADESSINNMMLNNKVIISPLNLKESHDHQQEDCCVVCLEQLAEVGSQVSQMPCSHQFHTACIQTWLNNSHYCPVCRYVMPAAQDS >OMO77586 pep supercontig:CCACVL1_1.0:contig10727:13905:15139:1 gene:CCACVL1_14952 transcript:OMO77586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDSDSINTTPSPSANNCKDFSRKKRRSAKLKQCKLDARREQWLSHSAVKNKGCCKEGTNGGDLKQRPQGETDCLLENLEMSRRGEANRGGETGLVQHESDSESLPSNSPMNSILLEGTVADALAADDDKREMERNNNNRENPFLGSTPEHEQNPQLGLKGEGSDLRNAKPECPRMVQRVTGSSRAWRADDAFRPQSLPNLSKQRSFPNTDRHFGQRGVPWIHSSTFTVPSSCPICYEDLDFTDSSFFPCLCGFRLCLFCHKRILEEDGRCPGCRKQYEQDPMEAEASIQEGSLTFRLARSSSMFARS >OMO77585 pep supercontig:CCACVL1_1.0:contig10727:5691:6503:-1 gene:CCACVL1_14951 transcript:OMO77585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTSRGYGGAYLSAISTHTCTGDGNAMVARAGQHQLTRAPAMAMAMLWFVGLTILNSLLFEIEVHFVNLQFTGSRGEGGILRNSEGERFME >OMO76151 pep supercontig:CCACVL1_1.0:contig10919:50213:50809:-1 gene:CCACVL1_15853 transcript:OMO76151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSSKEVMESLDSLWFFSNVLSFTKLEPKGDLATTAIDEEDNIVKEEEEEEEESKEKTQSNSQNLQNEAPASSENWVSIRCPKCGEMGVEFEQQIMKPVKEVEFAEAEPKEKQPEIRRRRRSKRRKRSKRKVLGELDFGFHGGNLDSESWFSEESNIKGYGNFETQHYTKMPPLNDGLAMKQHLKSWAHAVACTVR >OMO76175 pep supercontig:CCACVL1_1.0:contig10919:217820:219760:-1 gene:CCACVL1_15881 transcript:OMO76175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKARNRSNSTLVLGLFVVTFLWKGRHASAGNDEYISAVGDPGMKRDGLRLAIEAWNQCNEVGDEAPNMGSPRAADCFDLYNSSQYEEEKNCSVCNLLPYDLVHRVTEDDNKLGVGDPFLGLQPNAISDVNLYAANKELYLGSKCQVEDTPNPWQFWMIMLKNGNMDTFAAKCPKNGHKTGPFGPDSGFPCFGQGCMNQPLIYHNYTTLEGPNWNTLRGRFYGTWDLDADLSKGFVGNISYYSITWEKEIGKKSWIFHHVLRTSTKYPWLMLYLRSDATHGLSGGYHYPTRGMSKIIPESPNFKVRLTLNVIKGGGPSSQFYLIDIGSCWKNNGQSCDGDTTSDVTRYCEMIINPNITSWCNANSLNMCPPYHTFPNGTRVHRNDTINFPYAAYHLYCAPGNAEHLEAPYSLCDPYSNPQPQEILQILPHPAWGEYGYPTKQGEGWIGNPRTWELDVGRLSQSLYFYQDPGTPPARRKWMSVDFGTEIFKDANQEAEWSVSDFDILVPNQ >OMO76168 pep supercontig:CCACVL1_1.0:contig10919:172084:174352:-1 gene:CCACVL1_15874 transcript:OMO76168 gene_biotype:protein_coding transcript_biotype:protein_coding description:SecY/SEC61-alpha family MGGGFRVLHLVRPFLSFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNSVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVSQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIAMFHLLITRSDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRKYSGNFFVNLLGKWKESEYSGGQFIPVGGLAYYVTAPASLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERASELGFFGL >OMO76176 pep supercontig:CCACVL1_1.0:contig10919:223669:225206:-1 gene:CCACVL1_15882 transcript:OMO76176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLIWNAGNLSSFRLKFEGRHASAGNDEHLSTVGNPGMKRDGLRPAIEAWNQCNEVDDEVPIMAEMVKTLSNPQETWPLGSS >OMO76143 pep supercontig:CCACVL1_1.0:contig10919:7739:8439:1 gene:CCACVL1_15845 transcript:OMO76143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKFMLPGILDISPPLTQSGPCTETASFLYEAQATEKGLLWLKSFAAFL >OMO76150 pep supercontig:CCACVL1_1.0:contig10919:45429:48064:-1 gene:CCACVL1_15852 transcript:OMO76150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEARRLRGHKATATCCIASRDRPGIVATSAEDGCVCWFDMRCKDVQFVMDVSSEPITSLCFNSGNENIIYVSTGNEVKCFDVHMLAENSWKSLETYNYNKEEINQVTCSPKSTFLASADDGGEIKIIDIRQQCVFKTLRAGHTSICSSAQFIPWRPWEVITGGLDSKLIKWDFSKGRPSKIVDFGLPDMSSNSNAGQCLNPAFVHSIKVPDADMLEKVGEICVVARGDGVIDVINVESELSSIKPKSSSKSRKGTQSVSKGSLPAEGEVANVDGRKWLHLDYSLGGHTAAVSCVAFSLFGSERGKFIVSGGNDKLVKVWDCSRCLDSWKTGGNNELLHLNISLSKKVNWLCTTPAESDNLVICDTTKVVKVYTVS >OMO76164 pep supercontig:CCACVL1_1.0:contig10919:142397:145598:-1 gene:CCACVL1_15870 transcript:OMO76164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter MLASKNEKIGVELASRVVGKWKETYEWIPIIGGFATFALAFSVGANNLPAPFSTSVGSGALILLKASIMACALYVPGAASASNSTTVNALFSDFLKESQPNEGFLMWSMVVVVLTATMWLAIATYLELPVSSQQSIQGAILGTVLVAEGFDYLPLWNKSSVLRRGNAEKRILIFLPIDYGISAGLLCFIIISQVIGNYVDVNRLTVIIAVAGSALIGSLLTLVVVVPLAMKNFATIKDYRNSKEISTSMKHKSVESQETQGQPSVAKVDDDVDDMLKDFMQSRVLDTVYEEEERSFASPVSDQCSANNSTAPFKELLKSTPNRLVQTRNFQRIEKATPIANAIKFIQNKTKSTFFPVLEYDRRTLIRHALAEKYDEVEDCFSFPQLLLCHNKIWPYTCRLIQSATEIAAIVNPYVAILDIFKHRSKYSRNGEDVGHLQIKWWFRGIGGLVAAMGFMLCGWRLTQSLSGKLTYISNSRGWASQLSTVATMIIVSKAKLPVSSVHVFVGSLVGIGIADDIRNVHWKLLCKFLCGWIMTIIFCCGVSYVMFSASIRTPAYAVPY >OMO76183 pep supercontig:CCACVL1_1.0:contig10919:258942:262285:-1 gene:CCACVL1_15889 transcript:OMO76183 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-diphosphocytidyl-2C-methyl-D-erythritol synthase MGANMPKQYLPLLGQPIALYSLYTFSRMIEVKEIVVVCDPSYKDIFEETRDKINVDLKFTLPGKERQDSVYSGLQAVDSNSDLVCIHDSARPLVTSGDVEKVLKDGWLIGAAVLGVPVKATIKEANSESYVVKTLDRKTLWEMQTPQVIKPELLRKGFELVNRESLEVTDDVSIVEHLKHPVYITEGSYTNIKVTTPDDLLLAERILNMASAEVTK >OMO76185 pep supercontig:CCACVL1_1.0:contig10919:265652:268903:1 gene:CCACVL1_15891 transcript:OMO76185 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP/25A-associated MTGSGGDASLPPAANGGEFLLSLLQKPQSHQQQQQSPILSRATPLTIPQPQPQLQQQQPLVIDPAVAAVGPTLPFPPLWPPNGHDHPTPWPHTLSPPLAPSFLGFPPNPWSSPGSQFTGNQGVLIDDLGRLGVSGIDNSNNRVIQNLIQPKHQEQKLVFGSLPTDIQTLRAPEGLLNGNLLENLKLNPSNQHFDSRLNSNLNSSPYAYQPRNPGERGKQQQHAGNFRPIPSPETRRPPPGFSGKPRGGGGGSQDFGNRRRHLEHNVDKVKAENGQFSSDNEVGLSRQLDHPGPPAGSNLHSVSATDIEESLSELHGDGGRGGFATRDKFRREHGGETDEVGEQLLESLLIEDESDDKNDKKQHRREKESRIDSRGQRLLSQRMRMLKRQMECRNDIHRLNAAFLAIYQSLIPPEEERAKQYQLLALLEKLVCKEWPEARLYLYGSCANSFGVSKSDIDVCLAINEDINKSEILLKLADILQSDNLQNVQALTRARVPIVKLMDPGTGISCDICINNVLAVVNTKLLRDYAKIDGRLRQLAFIVKHWAKSRGVNETYQGTLSSYAYVLMCIHFLQQRRPAILPCLQGMETTYSVTVDDIECAYFDQVEKLRNFGSANKETVAQLVWAFFNYWAYGHDYANSVISVRTGSIISKREKDWTRRIGNDRHLICIEDPFETSHDLGRVVDKFSIRVLREEFERAADIMQYDPNPCVTLFEPYVPS >OMO76154 pep supercontig:CCACVL1_1.0:contig10919:70082:73218:-1 gene:CCACVL1_15859 transcript:OMO76154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDRILGLMGLWSKIPLLIKQVEFHYLGLDSIQRRPLSFYLLAIKISAAVSITGQNLHWQAATLNIYCYHSEPSANGDLPGNSTAAN >OMO76144 pep supercontig:CCACVL1_1.0:contig10919:9087:11758:-1 gene:CCACVL1_15846 transcript:OMO76144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPVVDGNDPVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVLDHPNVISLKHCFFSTTTKNELFLNLVMEYVPESMYRVLKHYSSANQRMPLIYVKLYTYQIFRGLAYLHGVAGVCHRDLKPQNLLVDPLTHQVKICDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPLPPLFNFKQELAGASPELINKLIPDHVKRQIGLQHFMHHPAGT >OMO76165 pep supercontig:CCACVL1_1.0:contig10919:146174:148400:1 gene:CCACVL1_15871 transcript:OMO76165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPPHKHDFTDDVISFQVSTRYSTLSARTFLRPRGDIVDREKTGQREARVPT >OMO76157 pep supercontig:CCACVL1_1.0:contig10919:85877:86980:1 gene:CCACVL1_15862 transcript:OMO76157 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDGGFNKARNNPFASDQQFDDNDNVSSETGSESPTSTTFNDMKLASPKKGRRSIQKKVVSVPIKDVEGSRLKGEGAPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRVDPTMLVITYSCEHNHPWPASRNNNNAAKQAAAAAAAAAAAAAAASEEVEVEVETTTTSAVVKSEPSTSQPDTELESATEEKFADLTDDSILTTADEFAWFGEMETTSSTVLESPIFAERDNSADVAMIFPMREEDESLFADLDELPECSFVFRHQRNVGPQVGIC >OMO76142 pep supercontig:CCACVL1_1.0:contig10919:3145:7132:-1 gene:CCACVL1_15844 transcript:OMO76142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQQHVMWMVLLLFRCFCWVHSVSAGDSLLSPKGVNYEVAALMAVKRDMRDDRHAMDGWDINSVDPCTWNMVACSAEGFVISLEMASTGLSGVLSPSIGNLSHLRTIAVGTILILARTNAIVGSQNLDEICEEITVELLQNNQLSGPIPDEIGKLSELQTLDLSGNQFVGAIPSSLGSLPHLSYLRLSRNNLSGPIPGLIANLTGLSFLDLSFNNLSGPTPKILAKGYSITGNNFLCTSSSEQSCTDVSKPLNGSVSSPRVSGNHRWVLSVAIGISSTFVVCVMLLVCWVHWYRSRVLLTSYVQQDYDFEIGHVKRFAFRDLQIATGNFNPKNILGQGGYGVVYKGCLPNRTVVAVKRLKDPNFTGEVQFQTEVEMIGLALHRNLLRLYGFCMTPDERLLVYPYMPNGSVADRLRDTCREKPSLDWNRRMHIALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDKRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKTLDAGNGQVQKGMILEWVRTLHEEKRLEVLVDRDLKGCFDVLQLEKTAELALQCTRSQPHLRPKMSEVLKVLEGLVQSGMEESQGGANFSETRAGSFSTRNYSDIHEESSFIIEAIELSGPR >OMO76149 pep supercontig:CCACVL1_1.0:contig10919:40623:44637:-1 gene:CCACVL1_15851 transcript:OMO76149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLAAFERPRGGASITVFKSGPLFISSKGIGWKSWKKRWFILTRTCLVFFKNDPQRGDEDEVNLSLGGIELNNSGSVVIREDKKLLTVLFPESDGGDFTLKAETSEDLYEWKTALEHALAQAPSDAIQWRDKRTAKSLVVGRPVLLALEDIDGSPSFLEKALRFLEEFGSKAEGILRQCADVEEVDLRVEEYEEGRKTEFGSDEDAHVVGDCVKHVLRELASSPVPASCCTALLEANKIQGKEARMSAMRSAILDKTFFPEPNRRLLQRIVKMMHTISCHAQENRMTPSAVAACMAPLLLRPLLAAGECDFDVNDGDNSAQLLLAAANAANNAQTIITTLVEEYENIFDVNDDENLQRCSNVGSPGTRDDHAQPDDSSSLALDPPQIRNPDTDQLEEQGKGNENPINEVAKGESYGSMGEILSTFWGRSNQVRSMEYSVDSSGEEEVDIQRLEMTKNDLQHRIAKEARGNAILQASLERRKQALHQRRLALEQHFSRLQEVHFTRS >OMO76178 pep supercontig:CCACVL1_1.0:contig10919:230272:231576:-1 gene:CCACVL1_15884 transcript:OMO76178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding protein MDDAQASSSSLPPFLTKTYEMVDDPSSDSVVSWSASNKSFIVWNPPEFARDLLPRFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANEDFIRGQPHLLKNIHRRKPVHSHSMPNLLGQGASPLTETERQNIKDDIERLKQEKESLLLELKRHEQERQGFEMQMRLLRERLQNMERRQRTMVSSVARVLQQPGLAINLTPHLEANERKRRLPRIAYLYGEAVIDDNQTGSSQSARENADGTSLSNMESFDQLESSMVFWENLVLDFGETNIRLNSNLELDESTSCAESPAISCIQLNDVRPKSPGIDMNSEPTTVVASEQVAAKDQAVGTTVPAPAAAGVNDVFWEQFLTENPGATDTHEVQSERKDSDARKSESKHGDHGRFWWNMKNVNNLSEQMGHLTPAERT >OMO76147 pep supercontig:CCACVL1_1.0:contig10919:26889:29255:1 gene:CCACVL1_15849 transcript:OMO76147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSMAEKSELESNTYCSVLQLCADLKSLKDGKKVHSVINSNDVVVDEALGSKLVFFYVTCGDLKDGRRIFDNMAEKKVFLWNLMANEYAKVGDYKESMSLFKKMVETGIELNSYAFSCVLKCLAALGDLTEGECVHGYLLKLGFARYNSVVNSLIAFYFKGKRVENASKLFDELSDRDVISWNSMISGYVANGLAEKGMEVFKEMLCLGIDVDLATVVSVLVGCANLGTLSFGKVVHGLSIKASFEGKLRFDNTLLDMYSKCGDMDGAFRVFEKMGERSVVSWTSMIAGYTRSGQSDGAIRWLRQMEREGVKLDAVAITSILHACARNGSLENGKDVHDYIKANGMDSNLFVCNALMDMYAKCGSMEDANSVFSQMTVKDVISWNTMIGGYSKNCLPNEALELFAAMIEEPKPDSRTMACILPACASLAALERGKEIHGHILRNGYSDQHVANALVDLYVKCGVLSLACLLFDMISSKDFVSWTVMIAGYGMHGFGKEAIATFNEMRNAGIEPDEVSFISILYACSHSGLIEEGERFFNIMRYDCNIEPKLEHYACMVDLLSRTGNLSKAYKFIERMPIEPDATIWGSLLCGCRTYLDVELAEKVAERVFELEPENTGYYVLLANIYAEAEKWEEVKKLREKIGRRGLRKNPGCSWIETKGKVNVFVAGDNSHPQTKNIESILKKLRRKMKEEGYYPKTKYALINADDRQKEIALCGHSEKLAMAYGLLRLPPNKTIRVTKNLRICGDCHEMAKFISKETGREIVVRDSNRFHQFKDGNCSCIGFW >OMO76158 pep supercontig:CCACVL1_1.0:contig10919:89118:89985:-1 gene:CCACVL1_15863 transcript:OMO76158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MKRNEEMGIGPNEQQKHAIHQELKSRNLYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLRELRDHTDPNIVVMLIGNKSDLRHLVAVQTEDGKSFAEKESLYFMETSALEATNVENAFAEVLTQIYHIVSKKAMETGDEGAASAVPSKGEKIDVSKDVSAMKKGGCCSS >OMO76161 pep supercontig:CCACVL1_1.0:contig10919:111344:117288:1 gene:CCACVL1_15866 transcript:OMO76161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKIAEKNKKMGTKKRLFDDSDSVSKDTNDPIWRGCFNICNGSIDIVDGPVAYLSSKACSRVSDMARSLPASLSGVTLHKLHVWPKQFDELLPTKDDIGFYFLPASERFKEAYNNLLADLGSKDYALKFVIGNAELLVFTSLELQLESQRIQGKFYIWGLFRRKKVNSSLVDGSFPHEQGNLRGIQHSDSAAAVDAGKERNYTEGFYLPHPTGPSSDEEGATDIVKMAEASQKLKERQRSHIKKKACPINPSTSLTGEAFVEDTASSGTGTSWQETEIELHYEVREVLNQCTSMTEMKKRMEEQSRNGRQVSGVFREVNNGAIIKEIKATRKGAVGVRKLDFIAEKERDRGIRKGTIAEKSTEITTAACAINHEETTKHGKGVLEIESHGIEGRPSDAAFVPQGPAEAIDQEASMVPSSMMHEQTMIDITQQHVAYEKQKYPIEADRLGNENMHMVDDIDRFIDKLVNISRAHRAVALQSAPPSFSISAAPDLQAPDVNLRKENKKADASHELVNSDKINHSDYEHVGPYLVQSYAATILRSIFNQYGDIAQDCPLSISMRCRLLEGLCLELKKVLSIVPENLQHHHLETLDSVIGEAESEQLNVKWLCDWHAKLKRIVALHQHYEKIKRNLAMVDESPITLRPESSMLMNLKYDIQLLRSESIALEEDMRNRETITSPVLKYASKLKRFCDRVLAKVTNVFYTWNDLMLIVLVSSLMIISSFYLSPAIAFLPNSRKTLTMPDSTLNFTSGHTTWTNNNVSLPHEAKFRGNSLARFILFKEVTGPLSGDGRYGFGFGFFCHWPFHGYCDLSILFVEMPFGTISKTRPEVFWSANWNRPLKENATLEFSNGNLLLIDEKGQEIIWSSQTSGMSTFGMKIDENGNLVLFNKHFEAVWKSSNHPTDTLLLGQVIRENQKLTSRITSVNESQGLFYLSMATPSMVAYLVGEKPSAYLTTFPFVMISPSNHSTDDISLLKMGGNIGFYHQKFSKSDLPFPVVYILRLDVDGGFRVYGWYPGDKWKIIHFWPNLSDECWAPLKCGRYGVCSKKGKCNCPVSVGPNATDYFAQNQDSNGCHEINPPEGTIPNYEMVYFGNLSYFGSFGPMMNIFNLVDPNNPINVVISPNGYVEEFSNEESCKQACQNDISCKAAFFVMEKSTSKGFCYLPSEILSIMGNPDEEHYNVSAYLKVNVPKPVPETPNQENTNTLHHSKRLRLSLLAISAFLVTIIILVSIICFLLRRKVIKCAAFACKQPQDHLKTVISGTLTSFSYSELSLATAKFAKRLGGGGFGAVYKGILKDGTMVAVKRLESNGQGMEEFLAEVDTLGNIHHINLVKLIGFCVEKRHRILVYEYMSKGSLDKWIFHENGTSSILAWNTRKKIVLDIAKGLAYLHGDCRQRIAHLDVKPQNILLDDNFNAKISDFGLSKLINRDDSQVVTRMRGTPGYIAPEWQNARITVSADIYSFGIVVLEVVTGRKILDYSQPDSDVCLLNQLKKKCLENRLMGMIDLTIEDLVQHYEEVFAMIMVGLWCVNEDYTRRPSMYSVVKLLEEEKMPQLDCPSRFPSSTISSFKL >OMO76169 pep supercontig:CCACVL1_1.0:contig10919:176226:179269:-1 gene:CCACVL1_15875 transcript:OMO76169 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor MNIFRFAGDMTHLISILVLLLKIYATKSCSGISLKTQELYALVFLTRYLDLFTDFISVYNTIMKLVFIASSLAIVWCMRMHRVVRRSYDKNLDTFRHYFLILGSLVLALLVHEKFTIQEIFWAFSIYLEALAILPQLVLLQRSGNVDNLTGQYVFFLGAYRAFYILNWIYRYFTEQRFSRWIACVSGIVQTALYADFFYYYFISWKNNAKLQLPS >OMO76153 pep supercontig:CCACVL1_1.0:contig10919:59007:66838:1 gene:CCACVL1_15856 transcript:OMO76153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNGGEDNETTRQTKKTTSISLLLYVVLGCVAVICHVNRILCRRWNHLIQGVDTGLGPKFGRPARASSAQVGSVNARPAYNPSINSCALGYSGLYWPAGSSLGYSLRCGIYIYK >OMO76187 pep supercontig:CCACVL1_1.0:contig10919:284154:288328:-1 gene:CCACVL1_15893 transcript:OMO76187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIESSSILPQDGRSISAPPLFDGSKSNFYCWKKRMGVYTMSQDVELWKAVVQGLKLATKSEVELGGQGQMVPKTIDEWDKTDTKLVQINAMAMHMLYCALNNEQVVIIASCETAKEIWDKLETIYGSEKRAERILKLIGEYLSKMFIFLLFIAILAGDFKCINSKLEESCDHSGVISDIRESQGCLYDIEVFDHAYNYNGPEYTWSNHCEVWFQRIGTSSALLSSPQMSESSSILQHGRSISAPPLFDGSKSNYYYWKNCMKVYMMSQDVELWKVVVQGLKLPTKEAEGAQMVPKTIDEWNETDTKLVQINAMAMHMLYCALDTNQFILIASCETAKEIWDKLETIYGGNKGAEQVRLIRKFADLRMLPNESISDMFVRLTDIINGLKFLGTNLYELMTNKEVLLTVLQIVEEARIDWWQTTNLIRTEWDLDTCGLDKLMIFLIGCDCK >OMO76160 pep supercontig:CCACVL1_1.0:contig10919:106819:109019:-1 gene:CCACVL1_15865 transcript:OMO76160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase-like protein MTNEERQQAEGNDQKGTREIEWQGHPPSEAPEHVQSEDQNRHGEQIQLGLTTDAVTSECFHNHLWQEAGCSKEPLLMIESNNSCPSNPVASEFNASIPDIQEATTRGQSHFVLETIHETAEGEGTFSPIRVASPSIATSVFEMVNDFDEFINSHDSEYDFSSICPTDAQVGSYFVRPGLAPILHRIMKKHGDIAQNCLVTSPTMRTCMLEVICSAIQELQSLSLDSLQGHHLESLDTDIADAEKKNLDVKWLRNLHDELTEALHFTEHYKALEASKTELISKAESIEKTLDSKLAEMQSVQSEIELLECNLKNKAVETGNLESTVHDVKSKFRRFKHSVMDGLL >OMO76174 pep supercontig:CCACVL1_1.0:contig10919:214136:216260:-1 gene:CCACVL1_15880 transcript:OMO76174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIAPLLHPNLSDDVAMDNRNRFNNMPEHLIHHIFKFMGTKEMLKASAVATNWRFLWTQMPYLRFYFDPNLKSDYKLMKDAYGRYKDMINWVLMTHDKSVSIQSFLLQCKHDDYDHSIYRWMNILAQKHVRDLNLEAAIIFGSWYYELDDVVVVLPEEFADHMLKILRGVRHAEVLKLHKWILEYIYPAVAKPEFFTTFYNLKALLLPINMRKCHVQPLIYLMKCAPNLHRLYLRLEPFACFVDYISEIPDEAIECLSCHLKKVKLFDMDCYNLDELELIRFFLKNGHVLEDMSIIWYGDGRQKSQRKPAIQKVMRFPRSSSYVTVTFSEAKQSGWDDELVVRT >OMO76182 pep supercontig:CCACVL1_1.0:contig10919:252687:258005:1 gene:CCACVL1_15888 transcript:OMO76182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIRISDPSRLHLKKELTQIRKAARVLRDPGTTSSWKSPLSSSRSVAAAAAGGGGGGRPAVAAGSVSTSNAALRNHLDSQSLNRANGNAYLDLSQLPFRVESNGHKNSKVVTTTNNNANSNGNEKEKRVFLYNWKSQKSSSVNVDDDDDDDYDDGDVDYDDEEEDGEHSSSWIQGSVDDNSLSDARNCGDSKSYTYLGESRSASMLFRCRDANLVSLLTPSSKRMLGANKKSKKNGAHLDVLSRYEHKKSVLNRNSVNSRKLLKAHPALALSLGRDDSVDQSDDTEDYCNSEDFRKISAASPLLLKLKHKNWSHASTKLLKTGRKEDSSYSYSTPALSTSSYNRYFNRNPSTVGSWDATTTSLNDGDEEVDDPLDLPGRQGCGIPCYWTKRTPKHRGVCGSCYSPSLSDTLRRKGSSILCGSQSVYRHRRSSSLSNKRRVALRSAQGVLPLLSNSGDGRGGSSIGTRCSDDELSTNFGELDLEALSRLDGRRWSSSCRSQDGLEIVALNGEGEEEGTPENIKSLSQKYKPMFFDEVIGQNIVVQSLMNAVARGRIAPVYLFQGPRGTGKSSTARIFSAALNCLATEDAKPCGYCRECTEFIAGKSKELWEVDSTNKKGIDRVRYLLKSLSMGLPSSSSRYKVFVIDECHLLPSKIWLALLKFLEDPPPRVVFVFITTDLDNVPRTVQSRCQKYLFNKIKDGDIMARLRKIATEENLEVESDALDLIALNADGSLRDAETMLDQLSLLGKRITTSLVNELVGVVSDEKLLELLELAMSSDTAETVKRARELMDSGVDPMVLMSQLASLIMDIIAGTYNIVDSKYSHSFFGGRALTESELERLKHALKLLSEAEKQLRVSSERSTWFTATLLQLGSFPSPDLTQSGSSRRQSSKTTEDDPSSTSREVTAYKQKSGIQYLPRKSTSPAPLPNSVNGNSNHQGGFVSRVDNYGSDFKPSHGRTMDGGALPAACDNNSSGNMILACRNSEKLDDIWAKCIDKCHSKTLRQLLHAHGKLLSLAEDEGGVLIAYLAFENGDIKSRAERFLSSITNSIEIVMRRNVEVRIILLTDGEISLIRGNPTEMAESLQQTETAAEIEKERKAGSKNALDGFSSLDLHESLKESKGSFSDLEGKLRGVQGYSNCSAESIVRTPELLAEGNAEIGSSKESRQDIPMQRIESIIREQRLETAWLQAVEKSTPGSLSRLKPEKNQVLPQEVYRQSNLGSVDSSAFSSQQWEDELNHELKMLKTNDGHGQVIPKDQTARRGDHYPMSPSLLHNSSLIKENLGYESGSGTGGCSGLFCWNNSKPQRRAKVKGTPVRSRRSRQFSLFGECGKSKKIENRSRR >OMO76188 pep supercontig:CCACVL1_1.0:contig10919:289084:291596:1 gene:CCACVL1_15894 transcript:OMO76188 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-binding split barrel MEKMNLQTQSLSTQFLLPLSLPKPFIKPRFFPPKQTPFPKISLKVNCSSSVSTVSDPTTSTTNSHGKQQKPFPAEVSRTIMELSRIGTLSTLSQDGFPFGFGVRFAVDAEGTPVLCSPPSSTHLSLDNRSTLHVQLEQCGLRTPQCTIQGNLEKPADAAVLRRLTSVWKKRFGEEADVDSLYIVNVERVLQMEDFNEDGLWVTSSAYKNANPDPLRDFAEGIINEINTNNMEDVHRFCNVYVDLDFQVSEAKMIWVDRLGFDIRIYSPQNGVFDVRIPFPREVTDEKGAKSSFNGMSQLAWEVEKNFHVPDFEKVKQIKQIKYSGLQ >OMO76156 pep supercontig:CCACVL1_1.0:contig10919:78901:79621:1 gene:CCACVL1_15861 transcript:OMO76156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKLLRRTACRRKLHLLRNLTNSKSVKRSSMVLNALLHIYKLKVKLEEMQREYQNLMAIRNQYLTLLKHIQIPKEVKVEKNGEQFEVKVTCNKGGDKLVSILEAFDELGLNVVQARVSCNHFFAMEAIAVVDHDQGQQTIDIKDVTQAVLKAIEQQDGEGTLVIS >OMO76170 pep supercontig:CCACVL1_1.0:contig10919:181254:183305:1 gene:CCACVL1_15876 transcript:OMO76170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTGSKRTRGDDNAKKIRKPKPWKHSEAITKTQLMQMRDEFWDTAPHYGGQKEIWDALRAAAEAELVLAQAIIDSAGVIVQNDDLTICYDEKGAKYELPKYVLSEPVNLIHEN >OMO76167 pep supercontig:CCACVL1_1.0:contig10919:157062:158465:-1 gene:CCACVL1_15873 transcript:OMO76167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIKPKSAFNNNNKNSSRLARTFQKVINLRSASKIASSNGIGICVLTSQNKFSTDDSNPDHKSSNSHAGDHSKVKQKAVLEALVAKVFASVTSIKAAYAELQMAQNPYNSDAIQAADQAVVEELRTLSELKRKFLKKELDLSPQVTLMLAEIQEQQSLMKTYEITIKKLESGVEVKDSEIAVLHKQLEDCTGFNKSLEKKLNASGPLSMFDNIQFPTLNPSHFVQVLHCCLRSVRSFVRMMIKEMELAKWDLDSAAKAIEPGAVFAKQSHRSFVFESFVCKTMLEGFDSPDFGLSKDSVPKKLETEHHFNKFKTLKSALPKPFLLQNPNSSFAKFTRTKYFNLVHAKMECSFFGNLNQRKLITSGGLPDTAFFTAFAEMARRFWLLYCLGFSLHEQVSIFQVKKDCRFSEVYMENVSEESLFSGEINDANVDVRVSFTVVPGFKIGKTVIQSQVYLCPVITPPVSR >OMO76155 pep supercontig:CCACVL1_1.0:contig10919:74117:75776:1 gene:CCACVL1_15860 transcript:OMO76155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MENFFTAVMLLLLGVLFSSLALTGAESVGVCYGRIGNDLPTEEDVVDFYITSGIKKMRLYDPNQATLMAAYARLSNLELILGVANQDIQALASDFSAAANWVQQNVLPYTPGVYFRYIAVGNEIKPTDAIAPFVLPAMQNIYNALESMAPALQINVSTAIDSSLLGSSFPPSAGAFSDSASSYIVPIVKFLAEKRAPLLANIYPYFAYIGDPMTIDINYALFTSPGVVVQDGPFGYQNVFDAMLDAYYSALEKVGAPDVEVVVSETGWPSDGGIAASVVYASAYYQNLISHVETSGTGSPRRAGWPIQAYLFAMFDENLKGPAETERHFGLFSPNKQPKYPIIFL >OMO76181 pep supercontig:CCACVL1_1.0:contig10919:248549:249466:-1 gene:CCACVL1_15887 transcript:OMO76181 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor MVSKIIKRTPPRSIKRHRFTPSRRKTSPTKKNHASFSVMCSINKSIKTCHRRLARLFKKLAHIATPPSARRRRKGFRILPQEEEETDELESNLLVPRALVFDRCLLPPLISETKKTIFLDLDETLVHSSPDPPPKMYDFVVRPKIDGQIMNFYVRKRPGVDFFLEEISKKYEVVVFTAGLKQYASQVLDKLDPKGLISYRLYRDSCKEMEGKYVKDLSEMGRDLGKVVIVDDNPNAYSLQPENAVPIRQFVEDDEDRELEKLVKFFELCEPFQDLRMAVKQYFSDGGSSDVAEDYSYDVKFFLVQ >OMO76171 pep supercontig:CCACVL1_1.0:contig10919:191139:195844:1 gene:CCACVL1_15877 transcript:OMO76171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAVRDRILKDANGDISDHLRNHIHLTNCIHLKNHMHKHSPMLADRSLMRDLIVLQRSRSLRDPSASPPSWHSPSVVDLLSKKGDRDAVREGRRSVGIEKQRDGRRLSVGSPPLANFATSKVAPGEASGMNEGVPAISDRSSKSGARDSRRIKREESSRRSNKTDVLGENKEPVEEQDGDNLAPDAISGNSGLKDRKSRKQKGKHTQGVQMKTLSEQLEDLPMDSDDVVSSNVHFRGRHVVPEKTGEEPEVGVRGFSSGVNRVKRRKFRGARRARPATSSREVGGQNELSVASNSFAQGSVRPKYGMEEEENDYIEQNVTRAPRNGCGIPWNWSRIHHRGKTFLDIAGRSFSCGLSDSRLRKGGGGSRGRNAPEMPVASDQSSSSTKSDAEALPLLIEASGSQDSTENAGWVNDYSGELGIFADNLLKRNVDSDLASEARSGDQRKLGGNRLGRHQNLTQKYMPRTFRDLVGQNLVSQALSNAVMKRKVGLLYVFYGPHGTGKTSCARIFARALNCQSLEQPKPCGFCNSCIAHDMGKSRNIREVGPVSNFDFEGIMDLLDNMIISQLPSQYRVFIFDDCDTLSPDCWSAISKVIDRVPRRVVFILVSSSLDILPHIIVSRCQKFFFPKLKDADIIYTLQWIASREDIEIEKDALKLIASRSDGSLRDAEMTLEQLSLLGQKISVPLVQELVGLISDEKLVDLLDLALSADTVNTVKSLRVIMETGVEPLALMSQLATVITDILAGSYDFTKDRHRRKFFRRHPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYVLPISSADTSSHHSPLPISDVGGRNVAGKGGELVELRNNTRGLSTNARSENLHAGSSGTNFDRKRHSVAGGAPQQTDLIRVTGRQNLVKNRKEIEEIWLEVLEKIQLSSLREFLYQEGKLISVSFGAAPTVQLIFSSQMTKSKAEKYRGYILQAFESVLGSPMTIEIRYEMKKDAKGGFQGLLVLPASRDGPSQMGIDPESNSGNRVIRDRDTGVSSQAQLLHAETLEAGRSEIVEIPASPREAKDNEHANNIESNRSSSRLAGAAAYRKPTLASTSGRRKPGELSQSQSIVRSKVSLAHVIQQAEGNGWSKRKAVSIAEKLEQENLRLEPRSRSLICWKASRATRRKLSRLKIRTRRPHSLLKLVSCGKCLSSKSPR >OMO76146 pep supercontig:CCACVL1_1.0:contig10919:25103:26290:-1 gene:CCACVL1_15848 transcript:OMO76146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVLTFQSVSPFPSSFPSNQQLKLRKPALSLIEIADKSTQFCSFRLSFSSIITDGNRRGSRRTKTSVSGEKNGDESKKSFDGNTTAPNTAAQEDIIALFKRIQSSISKGETGSAKSKSLSSSKNKSTAETVLDVLRESRTTARVTRSRKGGKALRWKANVPKATQRRNEKVDAETMGFKLSRPPSYFVKRSPIPFPTAPRGMVLEQKNEVVATEDEDEGLKLAKIEKLKLPELKELAKAKGIKGYSRLKKSELIQLLRS >OMO76148 pep supercontig:CCACVL1_1.0:contig10919:31531:39562:-1 gene:CCACVL1_15850 transcript:OMO76148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MMEPGAPICHTCGEHVGLNANGEPFVACHECNFPICKSCFEYELKEGRKACLRCSAPFDENLLDDVEKTSGNRSTMASHMNSSHQEVGVHARHISSVSTAMSTVDSDNGNPIWKNRVESWKEKKNKKKKSSTKVEREAQPPPEQQMEDKPAPDASQPLSTIIPVPKEKLAPYRTVIIMRLIILGLFFHYRVTNPVDSAFGLWLTSVICEIWFAFSWVLDQFPKWYPINRETYVDRLSARFEREGQPSELAAVDFFVSTVDPLKEPPLITANTVLSILALDYPVDKVSCYVSDDGAAMLSFESLVETADFARKWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKRDYEEFKIRINALVAKAQKTPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGYSGARDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVNMRGLDGIQGPVYVGTGCVFNRQALYGYGPPSMPSLPKSSSSSCSCCCPRKKTPKDPSELYKDAKREELDAAIFNLREIENYDEYERSMLISQMSFEKTFGISSVFIESTLMENGGVAESANPSTLIKEAIHVISCGYEEKTEWGKECVNSQCKDSMNPLPPFFLLLLLFSSTTSAATIGNATKAATPTPSPTSSPTPPTTTTPSSSSPSTLDPKQIEALQSLNIPTAKDPCIQPSPHNATVCDNSKPFRHLISLHLSNCSADLSLSFTALKSLSSLHSLSFTNCHASPIRFPSDLSLSLTSFSCISSLRRLSGVWLSRFVNLTDLTVSYTPVNTSGLYVILGNMHKLNTVTISHANLTGYLPKHVHLNLTHIDLSDNKLKGGIPTSLTLLEDLEYLNLSSNGLNGEIPTEFGDLISLKNLSLASNSFSGSIPDSMSAIPGLVHVDLSNNQLNGTVPRFFTELKGLKVLNLENNQLHGVLPFNASFLKRLAVFKVGGNSNLCYNHTVLSSKMKLGIAPCDKHGLPIPPPPSKESSADSESDSSDYDDEDNTGEKKEHHHGPSKLILGVAIGLSSIVFLIVFLVLLSKWCG >OMO76186 pep supercontig:CCACVL1_1.0:contig10919:269843:280319:1 gene:CCACVL1_15892 transcript:OMO76186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor of auxin resistance MKVAGMEVPIIGSDAIKWIDLTVPSSVNHIDDGSNDGGADTFAPPTADSASATYFDGDRPFHLIWRIRKTQPNALEILKLSQEFPINTGLRLIFSHQLSPFAFICANQSKSHYVLYTLTVTGIAYCIQLSKDLTSIFSRDQIVELNVRAYSNSNEPITSTAASFGCLLLGRNDGSITCFQLGLLHQTTPGFAYELRDDSGSLGRLWGFMSRGRVVGAVRDLIITEMHGRKIVIVLHGDGILRAWDLQSHSRILSHPTAVPTAFEVSGATSTRLWLGESNNDSNIVPLAILYKSNLEVGMEMISVYSLCYGTGDREEAHCYALQEEFVADQLFQSSEHTSDDLLSTIRSIFPSGKDHIVPFVSSIFLRRLLHPGVFHNIVLRATFLDYSKHWTDNEFQSLTVDGLKKEILSLVEHESIAESPVSVFQGWKSFCSRYFEYWCKTNASYALIVHSPSGAVGLIRKHSVSLFRGLDTELLIDGLPEDLGDLVSFGLDIFDNKSDREILFEVLRCVINISQHLGKAASFIFYELFVGRQIISSEEIIPRLVKILETGYGSSTRGGHLPGLGADVAHKNIRKFSVDMLLSLHVLCKKPNPWKKVLDVVENYLQFFVPQKFTQSSGAETLFCLNNFILVQASCQIAKVMFESALDILLFVSYLVNISGQINMTHDDISRIQLELVPMIEEIIYEWLIILFFSTTPSESPAIEDFSSQLSLLQIDNNIHKRSWSEKLGKCDFTLASLLLLDIKSSFMHERHISLGCLPSPQDVISSVQKFTSWIVWGSTGEVSSSFFRRSTELAVILLRHGQYDAVEYLLTTVEANARGEKICRSIQDTSGGDWCLLQHLLGCCLLAQTQRGLHGILKERKVCEAVSCFFRAASGEGASQALQNLSHEAGLPYLGFNGHESAAWKLHYYQWAMQIFEQYNISDGACQFALAALEQVDALNLRDDTYETDASNESATAIKGRLWANIFKFTLDLNLLNDAYCAIISNPDEESKYICLRRFIIVLYERGGIKILCNGQLPFIGLADKVERELAWKAERTDIAAKPNIYKLLYAFEMHRHNWRRAASYIYLYSARLKTESVLKDQQHTSITLQERLNALSVAVNALHLVHPAYAWIDPLPEGHSLRNEHHPNKKAKKTVQEQSGNDVQAQRLQSYIDIQKLEYEYVLTSAEYLLSLANVKWTYSGIQKAPSDLVELLIQTNLYDMAFGVLLKFWKDSELKRELEKIFCAMSLKCCPSTISSSWTGAHNLLLTSSRDELVVHGSPDMAPTTQQTKANCHWETLEHYLEKYKDLHARLPLIVAETLLRTDPQIELPLWLVKMFKESQRERSWGMAGPDPSPASLFRLYVDYGRYTEATNLFLEYIEAFASMRPVDIINRKRPSAVWFPYNTLEKLWCQLEGLINSGHMVDQCQKLKTLLHGALLNHLKQLKVDSDDVASSAG >OMO76152 pep supercontig:CCACVL1_1.0:contig10919:53329:54051:-1 gene:CCACVL1_15854 transcript:OMO76152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGEFMKLFDLCWFEMEILNKRISPSTSTSSESNPDLQDEESSSKPEFLRTTTLHTRSMSDQLNISTSFLGSDSFSPDSVLHPPKLHKIISGKEITEEELQQEEEEEAPKKKVKNTRRKKGFSKSLSDLEFEELKGFMDLGFVFSEEDNKDSRLVEIIPGLQRLGKKEEEKEEEDQKVKETVHKVEISRPYLSEAWEVAEKRRRKENPLMNFKVPALGNEIDIKDSLRWWAHTVASTVR >OMO76179 pep supercontig:CCACVL1_1.0:contig10919:237796:240482:1 gene:CCACVL1_15885 transcript:OMO76179 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZR1, transcriptional repressor MTSGTRMPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEEDGTTYRKGCKPVDRMDIIGGSASASPCSSYHPSPCASYNPSPGSSSFPSPAHHTTANANANSDANSLIPWLKNLSSGSSSASSKLAHHLYNIAGGSISAPVTPPLSSPTSRTPRTQNDWDERAAGSAWGAQRYPYLPSSTPPSPTRGQGFPDSGWLSRLEIPQSGPTSPTFSLVSRSPFGFKDDSFSAGGSRMWTPGQSGTCSPALPAGLDQTSDVPMSDVIAAEFAFGSNVTGLVKPWEGEKIHEECVADDDLELTLGKNFVEEIMRNQAAIAEEADDIWLHAY >OMO76163 pep supercontig:CCACVL1_1.0:contig10919:128344:129264:-1 gene:CCACVL1_15869 transcript:OMO76163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAFRSNNAFRSKPQGPWCTHQTSLDEKTRFPKLNFCAQPRSWPSIALKTLHFVRMLVGRGNRAAARVCKYFVTAKVNYINE >OMO76180 pep supercontig:CCACVL1_1.0:contig10919:242493:245575:-1 gene:CCACVL1_15886 transcript:OMO76180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE-type MEGSNQNPCFDPNSKVPEYQVLNSRVSSLSLIEDTGDLNSNSLPDANLGLERCDSSSNVSLESQGRTVSGECADRLSMPTNSNSSMEEMNDKEKETETGYKKPGKYFFYDSPLSEDTGVWIPVSVPPMLESDHDEWARGFHPNGGYFPEGDMGWDEFIGDEKELTMWDVIVEMIFAARGKVNALTSGDIQRCGISWLSSHILEQAWQEMAQTLTESNMGNVKELLEAEPPKWLADSAASACMLCGVRFHPIMCSRHHCRFCGGIFCAECSKGRSLLPEKFRVSDPQRVCDVCCVRLESVQPYLMDQVSHAAQIPTHDLTDLSTLRSWVNFPWGQSMEQEIYKATNTIRGYIVKVGSLKPEKSIPDSILREAKGLAIISVVKVGVMVTYNIGTGLVIARREDGSWSPPSAISSFGVGWGAQAGGELTDFIIILRTNDAVKTFSGNAHLSIGAGLSAAVGIVGRAVEADLRAGDGGYATCYTYSCSKGAFVGCSLEGSIVTTRKRENSRFYGSQSITASDLLLGSIPRPPASATLYHALSDLYKTL >OMO76184 pep supercontig:CCACVL1_1.0:contig10919:262593:262993:-1 gene:CCACVL1_15890 transcript:OMO76184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSLTPRTRQRNAILSRSKCRIEKKNEDPEQDWSM >OMO76159 pep supercontig:CCACVL1_1.0:contig10919:98729:104550:-1 gene:CCACVL1_15864 transcript:OMO76159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase-like protein MESINPVECAGRVDAEDAVTGMVDAIAVDPLFQEKTTYRTNENLITEDAIIPPKIPSVTPNPIPHTCTVLRTEIPAEENIGKSKRIAMENTCTTILNMANCFEDVQLTKVGSYFTKPYLAPILIAIIEKYGDIAQDFFFEDPWKRSYLLELVCKAVHKCQAIPLSHLQPHDLKYLDSAITTAESANLKVNWLRLRHTELKQTIISLKPYSHLKRDLAKKVQEREAMEKRLDLNKDGMKMLKSECKSLESELASIVEQIKDVEGSMSMNNNNASKYEHLRRPKFLVYQQRSALSSRTYKGPIIAEKQSWDLGRFLKTLYFFNGPPNPAKFVEFIIEKLSGPSPEESPKKMDTSGFILVAGATGGVGRRVVDNLRKKGLPVKALVRNEEKARKMFGPDLQLIVGDITKESTLAPEYFKGVRKVINAASVIIGPKEGDTPDRAKYSQVKGPSPEMVEYIGMRNLINAVKEGVGLKNGKLLFGFGDESSKELLWGSLDDVVMGGVSESTFLIDRTGGENGKPTGIFKGTVSTANNGGFSSVRTRNFSTPEDLSAYDGLELRLKGDGRRYKLIVRTSTDWDTVGYTASFDTVGGQWQSIRLPFSSLRPIFRARTVFDAPPFDASNVVSLQLMFSKFEYDGKLNPTFVEGPFELPLSSVRAYLKDPITPRFVHVGSAGVTRPDRPGIDLSKQPPAVRLNKELGFVLTFKLKGEDLIRESGIPYAIVRPCALTEEPAGADLIFDQGDNITGKISREEIARICIAALDSPYACDKTFEVKSVVPFSEPFTVDPENPPPEKDYDIYFKTLKDGITGKESLEQSAIAV >OMO76189 pep supercontig:CCACVL1_1.0:contig10919:291899:293678:-1 gene:CCACVL1_15895 transcript:OMO76189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ESNNNNNKLKLYSFWKSSTSARVRIALHLKGLDYEYLPVNLKEGEQATPEFKELSPDEKVPVLVDGDMVVSDSLAILMYLEDRYPQPAPLLPLDVQKKAINFQVADIVSSSIQPYHNQNVLKYIEDRLGAQEKLSWAKHHIEKGFAALEKLLEVHAGRYATGDEIYMADLFIAPQIDHATKNFKVDMTKFPRLSKLNEYYNDTPAIKDAMPEKQPDAPSST >OMO76172 pep supercontig:CCACVL1_1.0:contig10919:203186:205345:1 gene:CCACVL1_15878 transcript:OMO76172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MATAAIFSSLRRRRSPSLEAFLAPVDLTEVALVQTLAAISSELVSCFSNKVFFFQRRNSRSLIRKIEIFVILLEYLRDSGSGSLSSLPSTAILCFKELYLLLYRSKILLDYCAQSKARENAAATLFSLSAVHDYKKRIADEGGAVEALAGLLRVGTPRGKKDAVTALFNLSTHTDNCARMIEAGAVTALVSALGNEGVAEEAAGNSTTNRDSSFAGDVSVPMSISVPVL >OMO76166 pep supercontig:CCACVL1_1.0:contig10919:150558:153635:1 gene:CCACVL1_15872 transcript:OMO76166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MMSRSYTNLLDLASGNFPVMGQTREKKRLPRVMTVPGVISELDDDQANSVTSDVPSSVIQDRIIIVANQLPVKAKRRPDNKGWSFSWDDDSLLLQLKDGLPEEMEVLYVGSLKVDVDPNEQDDVSQLLLDRFKCVPAFLPPDILTKFYHGFCKQHLWPLFHYMLPFSANHGGRFDRSLWEAYVAANKIFSQRVIEVINPEDDFVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTIGIKIMPVGIHMAQIESVLRLADKEWRVGELKQQFEGKTVLLGVDDMDVFKGINLKLLAMEQMLKQHPKWQGRAVLVQITNPARGRGKDLEEIQAEIQASCKRINETFGQPGYEPIVFIDRPVSLSERVAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGVSESESSSESSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIESTAEAMNEAISMADAEKQLRHEKHYRYVSSHDVAFWSRSFFQDMERTCKDHFRRRCWGIGLSFGFRVVALDPNFRKLSMDHIVSVYLRSKNRAILLDYDGTVMPQTSHNKTPSSEVISIINILSSDIRNTVFVVSGRGKDSLGKWFSPCKKLGIAAEHGYHLRWSTDEEWETCGQTSEFGWKEIAEPVMKLYTEATDGSNIEYKESALVWHHRDADPGFGSSQAKEMLDHLESVLANEPVSVKSGQYIVEVKPQGVSKGVVAEKIFTTMAERGKQADFVLCIGDDRSDEEMFEIISSAISSGILSSNTSVFACTVGQKPSKAKYYLDDPAEVVSMLEALAEASDPDPFSDVDSDGSL >OMO76145 pep supercontig:CCACVL1_1.0:contig10919:20347:24458:1 gene:CCACVL1_15847 transcript:OMO76145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 MDQEKRGDRIETSENLSQVNGNSKNSVDRIVSETVIVIASEENGELRLQNDSSGSCKGQEMDCERGIAHQNDLGSSQNPCAFMDGKILGNEERDLGEKNNGGEPSEALSNQETNETRKFSATTGQGNQGSGGSLNNSVDGFVVETSILINNQQAVCMDGSNRLLEVKDNGLGSSKVVTEKPKKKIAEAEENSCVIDIKGSGGGGRQFKEGWDGEKVCRICHLNTEQSLESTDSTSNNEATMDLIELGCACKDELGIAHSHCAEAWFKLKGNRMCEICGQTAKNVTGVRDNRFIEDWHDQGSTSIVGISSDRAVGCWRGQPFCNFLMACLASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERAGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQNIGA >OMO76162 pep supercontig:CCACVL1_1.0:contig10919:124399:124701:1 gene:CCACVL1_15868 transcript:OMO76162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFTSLVMMIVLVISASFLPLMESRKLLNTGDIQQISNIKNVPSLFTSLFLNALPKGKVPASAPSKKGHATLDNEKLFARHLAGIDRILQSVPSPGAGH >OMO76173 pep supercontig:CCACVL1_1.0:contig10919:211455:212732:1 gene:CCACVL1_15879 transcript:OMO76173 gene_biotype:protein_coding transcript_biotype:protein_coding description:snurportin-1 MAPHNVRRPFKRAAISDQQKRRELSLLRQAQNRRDAQQQARCLASCILSLQSPVPESEPEAEQPDIELETATEAEQECVAGASSKDLDARRASKLRGPEVRKWFAKQLMLPEWMIDVPDRLSQDWYVLARPSGKRCLVVSSNGTTVSRQRNGSILHNFPSALPAGAKTRDGSASGSGSAQSYCILDCIFHELDQTYYVIDVVCWNGYSLYDCTAEFRFYWLNSKLEEINGASYYHKYRFSAVPVYNCDQNGLYTAYAGVVPYVKDGLLFYNKHAHYETGNTPLVLVWKDDNCSQYVIDTNSKGEIPSQQQVVLELQDNGNLVTSDDPPVVFGMIQQSGLDHSGNLLRFAISDGGLSFSDGKLDQADLNYLGKSNRARAFADSYSKVLFQYRVRHSPLKIDDLLLASINSPDDDQENKPCDMEMAG >OMO76177 pep supercontig:CCACVL1_1.0:contig10919:225650:226996:1 gene:CCACVL1_15883 transcript:OMO76177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKWGRKKASSSSSSSRLPSLSRVLPTAWLSTFKRMSIHSEKKPAKEKQKVMENSVSVSSSKFAGGGERFHGGDGEAFWRLSFGDDSADGKTSKSVSRSAWNDSEDDLDFAPSSCRSCGSNSMRIKEKEYTKMFSNMAYDVEKMQEFRRDTQILPDMNMHTGEKATVIKTPRSRMISEKDLKLKKTNERAMEEKRLKRQTKSGEAQQKSVAKKTVEPDFVRTIPKAERDYQKLTGDYQREHHHYMSTLNLRTSNLTTIEEDCAFTAQKFVETDVFSPEKLSSEGREWKEMKLEKVKVKSEKQRKSLYMSRELPRKRMKQNNKVRVFSPRTASRAEICKIKALEDMKKAKLKMKAAKQKTISGKTGLENFATVKCSFDPEKDFRDSMIEMIVEKRISQPEELEELLACYLTLNSDVYHDLIIKVFQQVWFDLDQVSSDTDLQNEQCSSG >OMO68018 pep supercontig:CCACVL1_1.0:contig12308:2369:6096:-1 gene:CCACVL1_20128 transcript:OMO68018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi transport complex protein MASPATFQRSPTSTSSSPLHRLSTFKNPSSPAAAAASPPPSSLLDSFANDPILSPFLSPSFSSTSFSSAALSSGSPASTAEHLLQAIRQLDSQLRSHVLTNHPLLLNQLSSLNNAELSLSTLRSSVSSLQSSLRRVRSELSEPHNSILSKTVQLSNLHRTSELLSHSIRAVRLSKKLRDLMASAEAEPDKLDLAKAAQLHNDILTLCEEYDLAGIDMVDDELNAVKEIGTKLRSEAMKVLERGMEGLNQAEVGTGLQVFYNLGELRGTVEQLVNKYKGMGVKSVSVALDMKAISAGGGGGGFGPGGIRGSGTPQIGGSGKAREALWQRMGSCMDQLHSIVVAIWHLQRVLSKKRDPFTHVLLLDEVIKEGDPMLTDRLWEALVKAFAMQMKSAFTASSFVKEIFTNGYPKLYSMMENLLERISRDTDVKGVLPAITSEGKEEMVAAIETFQTAFLASCLGRLLDLVNSVFPVSSRGSIPSKEQISRILSRIQEEMESVQLDARLTLLVLRVINKVLLQIAERAEYQISTGIEARQVSGPATPAQVKNFALCQHLQEIHTRISSMITGLPPIAAEVLSPSLGAIYGVACDSVTSLFQAMIDRLEACILQIHDQNFAVLGMDAAMDNNASPYMEELQKCILHFRSEFLSRLLPSSANATTAGTETICTRLVRSMASRVLIFFIRHASLVRPLSESGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPLIFLETSQLGASPLLQDLPLSVILHHLYSRGPEELQSPLQRNKLIHKQYSLWLDSQGEDQIWKGIKATLDDYAAKIRARGDKEFSPVYPLMLQLGSSLTESAATSQTL >OMO68021 pep supercontig:CCACVL1_1.0:contig12308:32886:39562:-1 gene:CCACVL1_20131 transcript:OMO68021 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase MVVTTSANDVAYGDWSETRTCVVLGGRGFLGRTLVARLLRLGGWIVRVADSSSHSLQLDSSSASDSLLSDALCSGQASFCHIDVRDTSQIVKVTKGADVVFYMEPSDLDTYDFCNCYMIVVQGAKNVINACRECKVRRLVYNSSADVAFDGSRDIVYGDESLSCPGKFQDLLTDLKFQAEGLIRLANNIDGLLTCVLRPSILFGPGDKQFVPLLVNLAKSGLAKFIIGSGENMSDFTYAENVAHAHICAAEALDSRIVSVAGKAFFITNLEPLAFWEFVSLILEGLGYQRPFIKVPTWIFSYIFTLRESIHDKFRVRIYNYSVSPQYIVQLASRTRTFDCSAAEKHLGYSPVVSLHDGIKSTIESFSHLAKDSSFTRYSNFNEQSKAEKLLGSGKVADILLWRDEKRTFTCFLTLAVVFYWFLLGERTFTSSAAKLLLLVTLALYGYSVLPSKIGDFAVPRISPSCFQISESAVKDSIRTISYMWNRGFRVIRLLAKGEDWSKFFKTVVLLYLVKLIISYSLAVVFGIALIFGFTAFFVYEQYESEMDGLGKVLFCISMESKGLLLSFLPDSITSFLGNNKTVHQQNAPAVVKQWK >OMO68019 pep supercontig:CCACVL1_1.0:contig12308:20625:25912:-1 gene:CCACVL1_20129 transcript:OMO68019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPMKQQKIGKESEESDTPSNNLWVGNLSGETVDSDLMELFNKYGALDSVTTYSSRSYAFVYFKRVEDAKAAKEALQGTALHGNPIKIEFARPAKPCKNLWVGGISQTLSKEELEEEFSKFGKFEDFKFLRDRNTAFIEYSRMEDASQAMRNMNGKRIGGEQIRVDYLRSHPARREQWPNSHDLRDGPFSGRMGPSDSHSMAKRPHPQLGGRKGDGQPSNVLWVGYPPSVQIDEQMLHNAMILFGEIERIKSFPSRHYAFVEFRSVEEARRAKEGLQGRLFNDPRITIMFSSSGLAPGKEYSGFYSSIKGPRPDMVFSDHTSRPSQVDMFGQNHPILPNPVSGPLPPSSILGSNVPMRPFSHQGSYDPLLSGQEYNDLSAHHNMQDADPKNLIGPNWRRPSPPLPSGQGFRPPIRQTSGSWDVYDANQFQRDAKRSRIDASLPVDDASFPLRKIDDLGPGPVIGSAVSGPFAKGRLSPVPVKGAAGGLGLARSDGDFIWRGIIAKGGTPVCHARCVPIGRGIETELPEVVNCSARTGLDMLAKHYREAIGFDIVFFLPDSEDDFASYTEFLRYLGSKNRAGVAKFDDGTTLFLVPPSDFLTKVLKVVGPERLYGVVLKLPPQLPSATSLQPHPSLLSQPDYGLSHLKEEQALQMDYGRVLPEDSKPPARPIGQAALQSQLPSEAGALSQSGITLTPDLIASLASFLPTTSQSAAVGGVQPPLMTPATQSSFTQAVAPKGPPAQHWNQEQQPTEHAAPSFQQFNPPAQLPPVQHYSSISSTPMHSAQMALGGTQFQESSVSLPQQGVASSRSLTNFNTPSQSAHAAVSTPISQQYQPEVPPPNPQKGYGMMHGVDASGLYGAPGFQQPNNLNASNQIHGANVSQPQNVMQADRKNLEQLQSVLSGAGQGTADVEVDKNQRYQSTLQFAASLLLQIQQQQQTNTPGGQGTGS >OMO68020 pep supercontig:CCACVL1_1.0:contig12308:32461:32586:1 gene:CCACVL1_20130 transcript:OMO68020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEGKMMETKEPKSFDLIPRNVVKTGKTGGNVACNHISTA >OMO68848 pep supercontig:CCACVL1_1.0:contig12168:21228:27498:1 gene:CCACVL1_19803 transcript:OMO68848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSKSGIKSFNCRIFCTRNRLAEPSPVISRSDKKELVKKFLHIERDGSGGKVA >OMO68847 pep supercontig:CCACVL1_1.0:contig12168:9265:10030:1 gene:CCACVL1_19802 transcript:OMO68847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGSLLWVLSDDVEAVELDWKRRVNVVKSAAHALSYLHYECTPMIVHRDISSSNILLNSDLEAFVSDFGTARILDPNSSNHTRLVGTYGYVAPELAYTMVVTEKCDVYSFGVLALEILVGKHPGELLSLLSSPLSLQNIMLIDVLDPRLSPPTSQMVAGSIVLVGAIALACLNADPKLRPTMKQVSHVFLSSQRSLRNPLCTISLLQLVNGEMHVEGSYQAPHC >OMO68849 pep supercontig:CCACVL1_1.0:contig12168:36208:43078:1 gene:CCACVL1_19804 transcript:OMO68849 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MDISYNNLYGSIPQQVMNLKNLVALNLSGNKLTGQIPLGFGLLTNLTQLQMRANWFNSFIPAEIGNLKALMVLDLSHCGIIGQIPSTFGQLASLTFLSLAGNQINGSIPLEIGNLKDLVTLDLSDNMLVGQLNTLGNLTKLEYLDLHNNNQINGLIPSEIRNLRELIYLDFSFNRLTGPIPSSIGHLLKLEDLYLNSNRITGSIPSNIGDLKKLRDLNLHSNFLEGPIPKEIGNLKAVISLDLSSNNLTGPIPFQIGNLGSLTLLDLSYNQLNGPIPPQIGSLLRVLKNDVEAVELDWKKRVNVVKSTTHALSYLHYECTPIIVHRDISSSNILLNSDLEAFVSDFGTARILDPDSLNQTRLIGTYGYVAPELAYTRVVTEKCDVYSFGVLALETLSSDPGAGKMTKADDFFNLDQTPTDLKVKMASMNMEGEALVWQQNLVLYTDHGGDLTWEDTHNFLDVNVAKKLGCKIIQVKPMKVDVANGNSLACFAACKNLSWTLQGSLFVTDVLLLPLGSCDMVLGVQWLETLGDINWNFKELRMEFTVNGRKFVLRGGNMAQKFKTVGAKAMDKAISQGGECSLVHINCIQVQGKAVVELNNITLQTDGMEQIPPTIQDLLEKALNNNKVKDKYPIPIIDELLDELHGAVWFSKIDLRSRYHQIRMYPLDVHKTAFKTHDGHYEFVVMPFGLTNAPFTFQGLMNDVFRTHLRKFILVFFDDILIYNKNLVDHLEHLQTTFELLRQHTLFAKRSKCSFAQHKVEYLGHFITTKGVETDPKKITTIINWPTPKNVTELRGFLGLTGYYRRFVQNYGAICRPLHDLLKNDGFEWGDSASKAFLELKQAMTNPLVLALPDFTKAFVVETDASGFAIGAVLMQVLQRPGPGSPNPTV >OMO91266 pep supercontig:CCACVL1_1.0:contig08361:23158:25414:1 gene:CCACVL1_07177 transcript:OMO91266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MGYDRLRPSEPGGSAPASTLVQQQQQQQEQPRAKNSKRKLVIVSVIALTMIIVSAVCAGLVIGLRESGAQPVGTAIRRKPTQAISKACSKTRFPNLCVNSLLDFPGSLTANEQDLVHISFNMTLQHFSKALYTSNSISYVQMDPRVRSAYDDCLELLEDSVDALTRSLFSVVPSQDGNANSNVKPGSNQDVMTWLSAALTNQDTCAEGFEGVSGTVKDQLANQMKDLSELVSNCLSIFSASGGDDFSGVPIQNRRLLASDDDISGEHMDGENFPKWLGREERELLNTPVGAIQADIIVSKDGNGTVKTITEAIKKAPEHSSRRIIIYVMAGRYEEDNIKVGRKKTNLMFIGDGKGKTVITGGKSVADNLTTFHTAAFGIWLASRVMDSSGG >OMO91269 pep supercontig:CCACVL1_1.0:contig08361:45574:47315:-1 gene:CCACVL1_07180 transcript:OMO91269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recoverin MGCASSKRSKKTPGYEEPTVLASETPFTISEVEALYELYKKLSNAIIADGLIHKEEFQLALFRNRNRRNLFADRIFDLFDVKRNGVIEFGEFVRSLGVFHPNAPVADKIAFAFRLYDLRQTGFIEREELKEMVLALLHESDLVLSEDVVETIVDKTFTDADTKGDGKIDTEEWKEFVSKHPSLIKNMTLPYLKDITLAFPSFVVASEVEELDV >OMO91267 pep supercontig:CCACVL1_1.0:contig08361:27709:29952:-1 gene:CCACVL1_07178 transcript:OMO91267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAGVALSRATEESLSFHGNFANRYGHNLSDSILIFLTVGGSVIPMRVMESDSIASVKLRIQNSKGFFVRNQKLVFEGRELARNNSRVRDYGVADGNVLHLVLRLSDLQAITVRTVCGKEFKFHVARGRNVGYVKQQIAKKGKGCLNLKNQELVWEGEELEDQTLINDICKNNDAVIHLLVRKSAKVRTLPIAKDFEVSIEALNLNVGTDATGQYQLGALSLENQVMQRKQLLRDLILEPLVVNSKIELPLVIKELIDLTFNGLKRGNKPIQSSEGSGGAYFMQDSSGQKSVSVFKPIDEEPMAVNNPRDLPLSLDGEGLKKGTRVGEGALREVAAYLLDHPMAGPRSFGSGEKGFAGVPPTVMVKCLHQGFHYPDGYECDSKNIKIGSLQMFVNNVGSCEDMGPRAFPVDEVHKISVLDIRLANADRHAGNILVTRNCEEGRVSLVPIDHGYCLPENFEDCTFDWLYWPQAHEPYSPDVINYIKSLNAEQDIELLKFHGWDMPPKIARTLRISTMLLKKGAERGLTPYAIGRIMCRETVKEESVIEQIVREAEEAVLPGMSDDSFLEALSSIMDRHLDELTL >OMO91265 pep supercontig:CCACVL1_1.0:contig08361:8401:12704:-1 gene:CCACVL1_07176 transcript:OMO91265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEKETTKSRNSPKQIEPSVCRRPIVTVYALLLRTYKAKA >OMO91268 pep supercontig:CCACVL1_1.0:contig08361:32480:36353:-1 gene:CCACVL1_07179 transcript:OMO91268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVDDKKNLKRSRSLSPCNMPVTDSTAAQNVDDCILFPVEEIVQSPLPGYVAPTSVSFSPDDSLIAFLFSPDHTLSRKVFAFDLNAGKQELFFCPPDGGLDESNISPEEKLRRERSRERGLGVTRYEWVKTISKKKTIMVPLPGGIYFQDFSGSKPVLKLPSLSTSPIIDPHLSPDGTMLAYIRDVELHVMNLLYNEQRQLTFGANGNNLTHGLAEYIAQEEMDRKTGYWWSLDGKFIAFTEVDYSEIPLFRIMHQGKSSVGPEAQEDHAYPFAGASNVKVRLGVVSTVGGPVTWMDLLCGGSNYDDEYLARVNWMHGNVLTAQVLNRSHSKLKILKFDIKTGHKTVIMVEELKPWINLHDCFTPLDRGATRYSGGFIWASERTGFRHLYLHDANGTCLGPITEGDWTVEQIAGINEAAGLVYFTGTFDGPLESHLYQAKLCPDQNSTLQAPVRLTHGKGKHVVVLDHHMQKFVDIYDSLDSPPSVLLCNLIDGSVIMPLYEQPFTIPRLKRLQLEPPEIVQIQANDGTALYGALYKPDATRFGPPPYKTLISVYGGPSVQLVSNSWISTVDMRAQYLRSKGILVWKLDNRGTARRGLKFEGCLKSNIGRVDAEDQLTGAEWLIKQGLAKTGHIGLYGWSYGGFLSAMALARFPDVFCCAVSGAPVTSWDGYDTFYTEKYMGLPSEDAESYEYGSVMHHVNKMKGKLLLVHGMIDENVHFRHTARLVNALVAAGKTYELLIFPDERHMPRRHRDRIYMEERIWEFIERSL >OMO72407 pep supercontig:CCACVL1_1.0:contig11447:34798:40989:-1 gene:CCACVL1_17825 transcript:OMO72407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDSQEIKTIEQWKWSEMQGLELVSSAPPSDPFKTNPSPQTPIPTTPANTTPLRDQKQDKTSSQQQQTQAEAQERREMESSSSSSSSEAKNDGSNNNGGSGNGEKPGDVPAVGFGELFRFADSLDYVLMGIGSLGALVHGCSLPIFLRFFADLVNSFGSNANNMDKMMQEVLKYAFYFLVVGAAIWASSWAEISCWMWTGERQTTKMRIKYLEAALNQDIQYFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAVIGAIHTTTLAKLSAKSQEALSQGGNIVEQTVVQIRVVLAFVGESRTLQAYSSALKIAQKIGYKTGFAKGMGLGATYFVVFCCYALLLWYGGYLVRHHYTNGGLAIATMFAVMLGGLGLGQSAPSMSAFAKAKVAAAKIYRIIDHKPGIDRNSESGLELESVSGLVELKNVDFAYPSRPEVKILNNFSLSVPAGKTIALVGSSGSGKSTVVSLIERFYDPSSEMYHNSPVELLTLGQLGKQGSVSEIGTHDELISKGENGTYAKLIRMQEMAHETALNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLEASHPNYRMEKLAFKEQASSFWRLAKMNSPEWVFALVGSIGSVICGSLSAFFAYVLSAVMSVYYNPDHAYMIREIGKYCYLLIGLSSAALIFNTLQHFFWDIVGENLTKRVREKMLAAVLKNEMAWFDQEENESARIAARLSLDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLVAVFPVVVAATVLQKMFMKGFSGDLEAAHAKATQLAGEAIANVRTVAAFNSENKIVGLFSTSLQTPLRRCFWKGQIAGSGFGVAQFLLYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFDLLDRKTEIEPDDQDAIQVPDRLRGEVELKHVDFSYPSRPDIPIFRDLNLRARAGKTLALVGPSGCGKSSVIALVQRFYEPSSGRVMIDGKDIRKYNLKSLRKHIAIVPQEPCLFATTIYENIAYGHESATEAEIIEAATAANAHKFISSLPDGYKTFVGERGVQLSGGQKQRIAIARALLRKAELMLLDEATSALDAESERSVQEALDRACSGKTTIVVAHRLSTIRNAHVIAVIDDGKVAEQGSHSHLLKNYPDGCYARMIQLQRFTHSQVVGMTSGSSSSARPKDDNESQA >OMO72408 pep supercontig:CCACVL1_1.0:contig11447:60263:63315:-1 gene:CCACVL1_17826 transcript:OMO72408 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MAKSFNPKTQTYTSPRPPINLPTNPNLSLTSFIFESTSSIPHKIALIDASNSDESLTFHQLKAQVSTLAYALHHQFHIAKSDVVLIIAPNSIRFPISFLAIISLGAIATTANPSLTFNEISKQVNDCKPKLIITIPQLYEKVNRFNVPLIFLHSNSTTAPCRNSFNFSDIIGNHKSSNFRPYEVKQNDVAALMYSSGTTGASKGALLTHGNFIASTLNFAADQDRYDVVEGSSNNDVCLCFLPMCHGFGSLLTFTQLRRGNVLVSMAKFELEKVLGAVEKYKVTHLFVAPPVMVSLVKRLGALKLYDLSSLKQIISSAAPLSRELIETSAKNLPHVEIFQGYGMTEACGKISMEDPKEGARFTGSTGKLMPVIESKIVNVETMKPLPPNQIGEIWVRGPTIMQGYFNNPEATKQAIDKQGWLRTGDLGYFDEQGQLFVVDRLKELIKCNGFQVAPAELEGLLLSHPEILDAVVIPFPDDKAGEVPISYVVRAPNSSLTEEDVKKFIAEKVAPYKRLCRVTFVSSVPRSVSGKILRRELIAKVRSKI >OMO72406 pep supercontig:CCACVL1_1.0:contig11447:21645:25959:1 gene:CCACVL1_17822 transcript:OMO72406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGSNHIQNHNPQEDGGEKNPTKGGASRSWGTTGSGQSVSTSGSVGSPSSRSEQAMATPASENTFLRLNHLDIHGDDAGSQGAVGSKKKKRGQRAVGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFADPSNSVASPDQQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSLNDIEELKAERLALRSRIDKKAAYLQELEEQFVGLQNLIQRNEQLYSSGNAPTGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCDRPQSDEMAQAQNISADGEGPSSMPAMYQQQIIPPPRTNTPGRPPTSPPLPGILKARVKHEH >OMO85089 pep supercontig:CCACVL1_1.0:contig09667:90028:91506:1 gene:CCACVL1_10434 transcript:OMO85089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAGGKGAARNSVEALRPVDDRKVGKRKATKKDRKAMKDPNKPKRPPSAFFVFLEEFRAVFKKENPNVKAVSAVGKAAGEKWKSMSPADKEPYEAKAKKLKANYEKQMDIYNGKGSAANGEESKSEVHDEEEEGSAEENQQEQDEEEEEDDDEDED >OMO85077 pep supercontig:CCACVL1_1.0:contig09667:1610:1750:1 gene:CCACVL1_10421 transcript:OMO85077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCYMTPTDIQVKEEGKDVIKKGTIIATMPEMMRTIRKELSIESK >OMO85084 pep supercontig:CCACVL1_1.0:contig09667:53295:55429:-1 gene:CCACVL1_10429 transcript:OMO85084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRCFGLFDWFNKRHNLDQEKQAQEFATDNIRLFSYNSLRSATSDFHPSNRIGGGGFGVVYRGVLRDGTQVAIKRLSTESKQGSREFVTEINMISNIRHPNLVELIGCCAEDNHRILVYEYMENNSLASVLLGSKSKYIALDWPRRVAICLGAASGLAFLHYEAEPHIVHRDIKASNILLDKDFHPKIGDFGLAKLFPDNVTHISTRVAGTVKKTRIRFQTWKLKEEERLLEIVDPEMTTYPEDEVLRFIKVALFCTQAAAHQRPNMKQVVDMLSKDVHLNEKILTEPGVYRGHSSKHLGIGSSSSSSLKTKGKPSVDPSSSTNIMSSHSITQMLPR >OMO85078 pep supercontig:CCACVL1_1.0:contig09667:9099:12292:-1 gene:CCACVL1_10422 transcript:OMO85078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFPTLNFLSLFNTLLLSCIVTWQNLHSPAIAATILNGNETDRLALLAIKAQITDDTLGLMSSWNDSVHFCNWGGIICGRLHQRVITLNLNNHNLVGSLSPHVGNLTFLRGLGLEVNNFHGQIPPEIGRLTRLRHLNLSNNSFSGEIPANLSGCSSLIFLRLGFNNLIGKIPYQLGYSLQKLERFHLHYNNLSGSLPASLGNLSSVKSLSFSVNSFQGSIPDELGKLKTLNFLGLGLNQLTGIVPSSLLNLSSLSIITLPFNQLQGTLPSNLGFTLPNLSVLNIGHNLFTGPLPASLSNASNLIEFDINGSNFTGKVNIDFAGLPGLWWLVLASNPLNGDLDFLNSLTKCRNLQVLDLSFSQFGGVIPSSIGNLSTELVQLRLGGNHLRGSLPMGIKNLINLTDLTMEKNNLTGNIPSAIGNLKMLRRLDLSENQFSGNIPSSIANITELYMLHLQKNNFTGNIPSSFGNLNSLQDLDLSQNHLSGTIPKNVISLSSLTISLNLAQNQLTGPLPSEVSNLKNLGHLDLSENQLSGEIPSGLGSCVTLENLYMEGNFFEGSIPDSLRSLRGLENLDFSRNNLSGQIPEYLQHFSLMTLNLSFNEFEGPVPTSGVFKNATAVSIKGNQKLCGGIPELKLSPCSSSNSKKGKMSHTLKFMIGLIIGLLGLVLIMSLLIIYRLRRVKKEPAIPPTSSSIKKDLFLKVSYDNLLKATDGFASENLIGAGSFGSVYKGTLDQNETIVAVKVLYLHQRGALKSFMTECQTLSNVRHRNLVKLLTSCSSIDFQGNEFKALVYEFMPNGSLESWLHPVDDLKILSLIQRVNIAIDVASALEYLHNYSHKPIVHRDLKPSNILLDNDMTAHVSDFGLAKFMLEAMERSHLNQSSSAGLKGTLGYAAPEYGMGGLASTYGDIYSYGILVLEMFTGKRPTDEMFKDGLDLHNFVKTALPEGISEVVDPLFVAGREGQEEEESGRILVDIKKDQLQASLGEILRIGVACSVESPRERMKLNDVVKELQLVKSLLLGTARLE >OMO85079 pep supercontig:CCACVL1_1.0:contig09667:17093:19800:1 gene:CCACVL1_10423 transcript:OMO85079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate binding module family 20 METLASSCSKAIIGTNGDKGFSSFKDVSLHRAEICLLPSKKLVRIRFLNSLSAKHSRLQPVLASSSSPPESQACIVVDLGTAEIQPEEESPTKTSHVKFQLQKECSFGEHFFIVGDHPMFGLWDPESAIPLNWTEGHVWAVELDIPVGKTIQFKFLLKDSDGKLLWQPGPDRIFKSWDTEKTIIVLEDWEDAESQKVMEEEQLAIQDGPVMDSETAIVAENLAPAKEELVSDEMPVSDTNSISNLKNESLQVLPEALATGNGTPSQEKPLPIVAENISYQAEDMIANANNGVHGVKRNNYPNDEPLAIPNQNVLLAEDLGNIGGVETIQNPAMADVEVKLVEHEGSPVLVPGLPSSFVTQEPILDEEEKISMNDASVGVNEANYHTLPELDEKQEPEGEPQEEPKEEVTMTVSKDEEEQQDNQHIQKLQLAREEQPALGHYQSNVLQSDVQWGRKTLQKLLNGLRFLV >OMO85085 pep supercontig:CCACVL1_1.0:contig09667:64861:68120:-1 gene:CCACVL1_10430 transcript:OMO85085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKERDEELALFLEMRRREKEKEMNNNLFLLNQNSEQLNAPLGSNVNVNGNGNGNGNAGGDGGSPISKIVSAVPVRKTAADNFLNSENDKSDYDWLLTPPGTPLFPSLEKESQKTLMSQIGLSTARPTALKTRLANLPEEPASKSTLAPKQQNSSPGLNTSTTLNKRPSSSGGPKSSSRPATPTGRPTLPTATKPVRSSTPTSRATLPSAKPAASTARLSTPTRSTARSSTPTARPSLPSSKPASRSATPTRRPATASSTPTTSATTGRSSSVTRSASTTSSAPKSAPTTSSVIKSAPISSSVIKSTPATSSVTKSALTTSRNSAPSRGTSPTVKSRPWKPSDMPGFSLDTPPNLRTSLPERPVSASRGRPGAPSSRSSSVDTSSNGRPRRQSCSPARSRPSNGSVSGNGSSIRSGRRVDTSGSDNESPVVIGTKMVERVVNMRKLMPPKHDDNPRNNPTAKLSSSLDSSGFGRTLSKKSLDMAMRHMDIRRIAGNPRPLMTNVPASSIYSVRSTSTKSRTVSVTDSPLATSSNASSEPSVNNNPFCIDGMEMEDNDLSSDRGNSSPTSQRAS >OMO85086 pep supercontig:CCACVL1_1.0:contig09667:75970:77142:-1 gene:CCACVL1_10431 transcript:OMO85086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S26A, signal peptidase I MGIPGFLWLTAKRCVTFGLISVTFSDLIASVAAVRGASMYPTFNSKTGSFLDSLSDDYVLVEKLCLRKYKFSHGDVVVFSNPSDHKEKQVKRIIGLPGDWVGTHNDVVRVRQGHCWVEGDNSASSVDSRSFGPVPLGLVSGRVTHIIWPPHRIGSIETKVPQHRVPPS >OMO85090 pep supercontig:CCACVL1_1.0:contig09667:92213:93432:-1 gene:CCACVL1_10435 transcript:OMO85090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MTRASDYPREYDGACLQMRLSYSPAAHFFLFLVQWTDCHLAGALGLLRILIYKAYADGKTTMSIHERKASLREFYGVIFPSLLQLQRGITDVDERKQKEICAAKYKKRDEINKGKLSEIDLEREEECGICMEIYSKIVLPNCNHSMCMKCYRTWRAKSQSCPFCRDRLKRVDSGDLWIYTSNIDIVDLSSISRENMKRLFMYIERLPLIVPDPKIVSYDPQHH >OMO85081 pep supercontig:CCACVL1_1.0:contig09667:26284:32456:-1 gene:CCACVL1_10425 transcript:OMO85081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein MTRLGFNKSVVYHGDVCLGELDAIPVLDTNFQFPNNEIRIHHISPTSERCIPLSILHTISSFPVRCKLESSSPVEQPHLIHLHASCFYEFKTAVVLLGDEEVHLVAMPSKQKKFPCFWCFSVPTGLYNSCLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEALRGWIARETDSIRASGMSAEYKRYMDDRLLLKQYAETDCVMDNGKMLKVQMEEVAPLSDGHEKVVRPVIRLQDKNIVLTRINPEIRDTSVLVRLRPAWEELRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPESHLIGSKELLQRVVCVKSGKFQEIFAKCVPRWQVPSTNGNANAVPVLCVARNVACNVRGLFFKEFDENVLRKISEVFYEDEVVNLPPAPDVSNYLMSEDAGFGPNGNSGAPISEGMNGAEVEQRLNQSEEKHVLDSSTGPVTKNAELRCETSQPPVTIVPNVIAHASSIAPLPSQSLDLRNQNSVQPPLLSKLPAQISSSSTLPQGGWLEEEDINKAHINDRLTGSVQELDATKSDKLRGYQNPFSHNASGYGSAGLPSTASQVKAEELRSGLDTPKQNVPPSGHVSEIGGTQNHVSSISRELQTEGGKLNLLPSHLSIGVLQEIGRRCGSKVEFRSVVSTSKDLQFSVEVLFTGEKIGVGMGKTRRDAQQQAAELALHNLAEKYVAYTAPRSRAVDRDFDKLSLANENGFLWDVNPASNEAVREDGLNKDGTSEVRISDDAFST >OMO85087 pep supercontig:CCACVL1_1.0:contig09667:78741:83445:-1 gene:CCACVL1_10432 transcript:OMO85087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKAYRTNSIDWKPSPVVALATSADDSQVAAAREDGSLEIWLVSPGSVGWHHQLIVLESIGVSIWQIAVAPINKLPALEEPRSQHFGNGYLNHKHYNNDSDDDESSESDSDSEQVHQKLVQEDRRVALACDDGAVRLYTISDSDKVIYYKSLPRVSGRILSVTWGHDSNRIYSGSSDGLIRCWNVDSGNEIFRSTAALGGLGSGHELCIWSLLSLRCGTIVSADSTGSVQFWNGDNGTLLQSHSHHKGDVNTLAAAPSQNRVFSAGSDGQVILYKLSSETPQFGNDKSSSEMMKKWVYVGYVRAHTHDIRALTMAAPTSSEGSELEKGKKVKRIRREKKPLDFGYGKWAHLGVPMLISAGDDAKIFAYSAKEFTKFSPHDVCPAPQRVLVQLVANTIFSQTSFLLVQASYWLDVLSVRVPDVGSGPYGGHVTTNLVVQVKSKAGRKIICSAMSNSGDLFCYSDHTRPSLFALSRQAGQSTWSVIKRQLPQILPSAHSMVFTSDGLRLLIAGHDRRIYIVDLESLKLLHTFIPLREEFEKEVPPSEPPITKMFTSSDGQWLAAINCFGDIYVFNLEMQRQHWFISRLDGASVTAGGFPPQDNNVLIITTSSNRFYIFDVEARQSGKWSRQHTFTLPQRYREIPGEVTGLSFSHSSSSHPSKSSSLVVYSARAMCSINVALLKFQGSLNNRKLKQWLRDSQTDPVLFVGHLSKHSILIIDKPWMAVVKSFDAPPVQRHIYGT >OMO85083 pep supercontig:CCACVL1_1.0:contig09667:50720:52572:1 gene:CCACVL1_10428 transcript:OMO85083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain MSSRPFDDDGYLGYDPRLASQRFESYSNFDGDSSVKDSVADSPPMFNNQAYGAGGGDDVFVSQPMPETPSPPPIYGSGGGYSAFSPEQNGKGFSGEFGVSDEPILPPPAEMEPEEGFALREWRRQNVIRLQEKEKKEKEMLEQIIKEADEYKVEFYRKRSITVENSKASNREKEKLLLASQEKFHAEAEKNYWKSIAELSPHEVPTIEKRGKKDKEKKPSIVVIQGPKPGKPTDLSRMRQILVKLKHNPPPHMKPKPPPSAEVKKDAKNATPAASSSPGAAPPKPAAATTPATVAAA >OMO85088 pep supercontig:CCACVL1_1.0:contig09667:85934:87389:-1 gene:CCACVL1_10433 transcript:OMO85088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein, plant MAATAAAAATSSFMGTRRSDVYTAGSGRVMARFGFGTKKAAKKVAKSKAPTSDRPLWYPGAVAPEWLDGSLVGDYGFDPFGLGKPAEYLQYELDSLDQNLAKNVAGDIIGTRTEVADVKATPFQPYAEVFGLQRFRECELIHGRWAMLATLGALTVEWLTGVTWQDAGKVELVEGSSYLGQPLPFSISTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGSFFDPLGLAADPEKKATLQLAEIKHARLAMVAFLGFAVQAAVTGKGPLNNWATHLSDPLHTTIIDTFSS >OMO85080 pep supercontig:CCACVL1_1.0:contig09667:21256:23988:-1 gene:CCACVL1_10424 transcript:OMO85080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSDEWKSYFPIGKFLDPPLLLSSSSSESSPGPLFFVPKPKTLPKTLFFSPSLFPPILPPPSRLSFSRFLSTSSVPYSTSSSIASRFNCSHDAADSSLLSNNRLQLLNCPDQSIALVFFTTGSNHDRIGFFAIQVQGKDFRFLGDRNGDVLTSNNNFDRKILRILVNPVEDFEGTSGDSVVVGYLMSCTLYSVHWHSVRIDKTSKTPALEYLGCKLFKSSSIVSACFSPHLPQECMVLLETGALFFFDLETDVNCQMPNAYCKGSKLRVLWDDSSGSGSHEWLGIEFSWHPRILIVARSDAVFLVDFRLDQCNLICLAKVEMLSPYTVAEEDQFLTFSRAGADGFHFVLASHSLLVLCDVRKPMMPLLRWAHNLDNPCYIDVFRLTELRSQSSDDRYHWATETGFCIILGSFWNCEFRLFCYGPSTASEGSIASGISKFCKPFLAWDLPSDLLLSSRECHCGSCLVREEFSKCALPNWIDWRQKKDIVLGFGILDKDLCDLVYESDEFGGFTLIRLMSSGKIEAQRYCASWDLVEKENVAHREPLLNFVDSLLYTLGDNDYGFPKKFNYLNLDYLRGYLNGNLAEVLDSKMKSCKGLLEKESFSLEFHEVLCEKLKVCGFGRLRSSPPLAIVFKDISLPTSICEVASRQMWATLPLELLLLAFSNYSELLDAPFDDKTMPLEFSVVPDLPQLPPFLLRKPSCRSTKWSHKVRPDDSLMGPVLPLPVLLTIHELRNGCPDSEKVCEFSSEEELRLRCNEVMRAAAEIAKSDSSLFNIEEAVSLADDRDEIYIDSQKEKPFFLYHPVGGESSGTSKPHGNHIYEDEKYTAVITKMHDKGADPSDNMDNGGLEIFDDLCPIELKFDDAVMNFGPQELEAHKRLKRQFSNWQEYFKPYQELCMENNINFRKKE >OMO85082 pep supercontig:CCACVL1_1.0:contig09667:41488:42398:-1 gene:CCACVL1_10427 transcript:OMO85082 gene_biotype:protein_coding transcript_biotype:protein_coding description:villin-2-like protein MPSRKGSYKHDLHCWIGKDACGQEAREAAMLRFEINTELEEQFRGLAGVKQIEHQGCESDKFLSIFKPRIILEGSGFKKPQEKQAAMIACGGCLLKFHTLRVRDYERKCPKCIGGTLLEESYFPDEDSHPLDGPDESNQDRDNFYKSLQEFYKGRLIWTINSVKTFKVYRMFEIAKARGGITRLCLDGKLTEACNLTCAEMGCHMSPTLWFDIYIYVCVCVCVCVCVCVCVCVCVCKLRNSNSHGYQK >OMO58133 pep supercontig:CCACVL1_1.0:contig14269:82397:82480:-1 gene:CCACVL1_25568 transcript:OMO58133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQEADVEVEGDKRSTPSRGRCKGRG >OMO58136 pep supercontig:CCACVL1_1.0:contig14269:109231:112624:1 gene:CCACVL1_25571 transcript:OMO58136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRVARRVRTEGMILLPLVPEYWNL >OMO58131 pep supercontig:CCACVL1_1.0:contig14269:67463:72642:-1 gene:CCACVL1_25566 transcript:OMO58131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSSSSSSSSSSSSSEDGNGNGARQGGDFEGPSLTRRRANHEIWPGPFVEDLVVQVAIDASRALGRLAVAAAVANVFQVCTTWRAVYRSDPLWHRLTNIIWGRTHRMHASWREEYMFRHRTAQNFRDRRSIHQTLHFVPSDDDTPDGLTCRCLTLSDTHLACGFADGTVRLFDLATRQHVNTFRPHHRDRFGRFSRAVSGIVISDSRIIFATLDGDIHEAIIDGGPETRRALMGNVVDDGALVDFTGCGRWWVGLYAGVPGRAFRIWNGNTGELVYVNTTLTDPEAVMGWHMLTELTEAVGRVRVTSQESAVACTSLRYMVLDLRNRVFPMHDRPCRRGIIVTSFDTNNEAFMTVDSRGWAIVRRVDSQEELCRFRVNIGQRNVVVMGCMNLGYALICAGGIIRVWDIEQGRGQHLYRFSENIGDVNAMVADDRHVAAAGIDTTIHLWDFGPQQG >OMO58130 pep supercontig:CCACVL1_1.0:contig14269:44081:55537:-1 gene:CCACVL1_25564 transcript:OMO58130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSWQKVVRKLQNEYESKREASQQLLVLEMYAMGHPDIYITLHKTKNPLGPFEQRGPHVDFLHLILSSKFILDLD >OMO58134 pep supercontig:CCACVL1_1.0:contig14269:82788:85226:-1 gene:CCACVL1_25569 transcript:OMO58134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MAPITDLTATIQSGYTSQSPHAPMEKVLARSEENALHQPVISLDFLARKKIAASTSPKTVVLPKLSMIGGKVLNSHSDNHFEMSFYPRSTYQWWTPLPSEIASVMTTSATTLEEKLTAREKDIADLIKAIEEKDAEIARLKSQIERQQPNDKSKDDQIHPPENESDDLIVIEENNIQGVKDPIVIATSSASVGVLSVQQLQEMITNTIKAQYGTSSKAYHAYVKPYTRRIDELKMPENYQPPKFQQFDGKGNPRQHVAHFIETCNNAGTYGDLLVKQFVRSLKGNAFDWYTDLDSESIDSWDQLEREFLNRFFSTRRTISMTELTNTRQRKDEVVIDYINSWRALTLDCKDKLSETSSVEMCINAMHFGLLYILQGIKPRTFKELATRAHDMELSIANSGNKYPFVSDLRRGKKDANEAKTSKSSTEESKFISAKNIGRKKEDSRKQDGQRVSLREKEAEKYPFPDSDVPEMLEQLMKNKLIELPYHPTEKCINLKELIMKLADEKKIEFGADDTVKVDYTTFTSSLDFAASMKSTCNDLQLGAKCQLIQFGLLEPVLVQFSKENSVCCLVTEGDSDIINEAWTFMKPKNSQRRRHQPKISIMQRRYQGKALLPPTKKGYEEHSLEVSNNKTSEQSFSKPVMLTEFFPQEFFNEDQVTAGVHMVSFEELSEDEMPRVSVFDRLGRPQTRKCVFNRLSAQSSRQKGVIQAGGSVFDRLASSNVSTAKALLEDEWKDDKETCSRIPSRMKRHLLLEIDTNGSLKVKRHVVVRTSSVVQRNSGGNVSEHVSS >OMO58135 pep supercontig:CCACVL1_1.0:contig14269:103554:107001:-1 gene:CCACVL1_25570 transcript:OMO58135 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase MDGRPSAKGINSKEEEVSFDFSDSEEVQSTLSITVVGASGDLAKKKIFPALFALYYEDCLPENFMIFGYARSKLTDEELRNMISGTLTCRIDKRENCEDKMEQFLKRCFYHSGQYNSEENFAELDMKLKEKEGGKLSNRLFYLSIPPNIFVDVVRCASLKASSANGWTRVIVEKPFGRDSESSAELTRSLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQLEDVIVGQYKGHSKGGKTYQGYTDDTTVPNNSLTPTFAAAALFINNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLFKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYRARYPREIPDAYERLLLDAIAGERRLFIRSDELDAAWSLFTPLLKDLEDKKIAPELYPYGSRGPVGAHYLAAKYNVRWGDLSGEES >OMO58132 pep supercontig:CCACVL1_1.0:contig14269:75050:81855:1 gene:CCACVL1_25567 transcript:OMO58132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDLLVKFMLLRQATTEEIRRKALAISKLRRKLKRQQSKELKMACNNQTTWRIEEFMTALVAFINGLHNWATREGWVPSIHHISPASGFESGNKNDSSSSCPWRWPPSRFHSFSYLNPIVTVTPYALMNLNLYATWEQNLKHLSCRQSTILTFNWQRQFSVSFSIPDVADYSSLVDSKNLRSFENIQSIFFALRIRHIAHNR >OMP10516 pep supercontig:CCACVL1_1.0:contig02335:435:533:1 gene:CCACVL1_00906 transcript:OMP10516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWLIAPVLKTGIVRNKELSRVRIPLSPFAR >OMO49663 pep supercontig:CCACVL1_1.0:contig16444:19946:21199:1 gene:CCACVL1_30863 transcript:OMO49663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPPQPKIAAPNSAPLTDDLVPMLPYLPEDLVIIEILTRLPVKPLFKFRCVCKSWDSLFYEPRFLKRHVKLAQQKLLVNSRPIFLKSFQVSTLLGDKSCTNTQEFRFPISSHKPDVRIVGSCNGLVCLSICSRGNESICFWNPSTKEAIRLPHIFSAQDCNVYGFGFDDSINDFKLVRAFADPSGEADWKAFNVEIYRVFNRSMKKIANFPSGFPYSRREGILLNGALHTLGLNIESSVENGVETKTSTWSIVALDLATETFRHVPQPDQFDDGGFKAEEMSMGLYRVGDSLCLVRGFHEAFGDVWVMKQYGVEESWVKLVRIPLGAVTASPYMLRVKPVYFSEKYGQLLIIVRDKLYSYNPKENVFRVVNLHGVNLRSKFFIQFSNHVDSLYSPSMMKGLRSGRQPHYMNLEG >OMO49664 pep supercontig:CCACVL1_1.0:contig16444:27457:31479:-1 gene:CCACVL1_30864 transcript:OMO49664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNKNTGVLRQSKKEVLLFYCVECEELARKVAAQSQHITLQSINWRSFDDGFPNLYINNAHDIRGQHVAFLASFSSPAVIFEQLSVIYNLPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPISRGGPTSLVIYDIHALQERFYFGDHVLPFFETGIPLLKQRLHQLPDAEKIVVAFPDDGAWKRFHKLLDHFPTVICTKVREGDKRIVRLKEGNPAGCHVVIVDDLVQSGGTLIECQKVLVAHGAAKVSAYVTHGVFPKRSWERFTRKNGEGSEKGFAFFWITDSCPQTVKAIENKAPFEVLSLAGSIADALQI >OMO49662 pep supercontig:CCACVL1_1.0:contig16444:5731:11214:1 gene:CCACVL1_30861 transcript:OMO49662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRSKGLLSSQSEGPSISPSVNISRKWETGAWGIPRGTGAYHSSSDASLFSSSLPVLPHQKLNFADLEPAGHSIDDNSPDLLKLELENGGKDPLEDVETNAIGNLLPDDEDDLLAGIMDDFDLSGLPTQLEDLEEYDVFGAGGGMELDFEPESLNIGISKLNLSDGVPANGIGHFPLPNGVGTMAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNDDLRQIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNRSDIAGKRIKLEPSRPGGARRNLMQQLSQELEQDEARSFRHHVGSPLANSPPGKYSRSSGTWAQFGSPVEHNPLHGYSKSPGLGPFSPVNNNNLPGLASILPHHVPNSPKIAPIGKDHGKTNHTNQVFTNNGAVQGTAFQHSRSFPEQKLSASPGPVSAFGESSSNSSGVGTLTGPQFLWGSPTPYSERASSAWPTSSVAHPFSSSGQGFPYTSRQGSFLGSSNHHHVGSAPSGVHLDRNFSFPESPDTSFMNPVSFAGGLNRSNGSLLMNIGARGTMGAGVSLPGNVTENGSPSFRMMSMPRHSSVFLGNGSYPGQGIAGNEGFADRTRSRRVESNGNQIDNKKQYQLDLDKIMGGEDTRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFLYLPIDFKNKCNVGYAFINMISPSHIISFYQAFNGKKWEKFNSEKVASLAYARIQGKAALVAHFQNSSLMNEDKRCRPILFHSEGQETGDQESFLSSNLNICIRQPDGSYSGDSLESPRGLPDEEPEVS >OMO49667 pep supercontig:CCACVL1_1.0:contig16444:66636:66989:-1 gene:CCACVL1_30867 transcript:OMO49667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPIHKHKFFRPKHNQFKWFQCFWCCAFPPLSIDIRCRPHRQIKPVSANIPSGMPHFVAGQILSILEHR >OMO49666 pep supercontig:CCACVL1_1.0:contig16444:65486:66103:1 gene:CCACVL1_30866 transcript:OMO49666 gene_biotype:protein_coding transcript_biotype:protein_coding description:coatomer subunit beta-like protein MPSMSHIGAATDSPSQTHTRAMARCKADVVARKLENYMLNLLLRRLVGKRAKRFPAAESLLALLIMVILKPFDASWFAHMEIVPMKTTRRTLEMMPELDAEKNPFSSSPVAEAAAEVEEEWVAKRGRERVWSSMDGMDA >OMO49665 pep supercontig:CCACVL1_1.0:contig16444:49564:51649:1 gene:CCACVL1_30865 transcript:OMO49665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MVFPVVFLKLADWVLYQLLANSCYRAARKMRNYGFFLRNQTLRSSFHQQASVSLFPSISKFDLANNNTKFETLVCDIHGVLLRSETFFPFFMLVAFEAGSIFRALLLLLSCSFLWVLDYELKLRVMIFISFCGLKKKDIETVGRAVLPKFYLENLNVQAYEVWSKSSSKFVFTSLPRVMVEGFLQEYMGVNGVVGTELHTVGNRFTGLLSSSGLLVKHKALKEYFGDKKPDVGLGRSSSLHDHYFISLCKEAYVVHKEDCRNNAPSCEMPREKYPKPLIFHDGRLAFLPTPFATLAMFLWLPLGIVLAIFRILVGICLPYKLAIFFGTLSGVHLRFEGCFPSSNSHHKKGVLYVCTHRTLLDPVFLSTALCKPLTAVTYSLSKMSEIIAPIKTVRLTRDRKQDGETMQKLLSEGDLVVCPEGTTCREPYLLRFSSLFAELADEIVPVAMNAHVSMFYGTTASGLKWLDPIFFFMNPRPSYHVQILGKVPREFTCAGGKSSFEVANYIQRKLADALGFECTTLTRRDKYLMLAGNEGIVNENKKN >OMO52435 pep supercontig:CCACVL1_1.0:contig15536:14729:27911:-1 gene:CCACVL1_29223 transcript:OMO52435 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MATALSNLSRLSIQDEDETNGARVEENRVVIADADWLDGTEEGAPRFHLLGKLFSKRRANVEGFGNQMDCPIGIEQIKTHGQMIRKYSDYLRAETPDIKPRHFHTAISSLKSGGVRDSLVQRGRAVARAIAGDDEVGEVASRVGGLFGPKGKEPLVAEIEARSMRRLENGGLGLLGDVEGHQRQRVKGVVAGGEGLQCYGPDYLSLKVFEGSGANYEPQLVPGIGPSFVGPMMLQEGPEDMGSGSKQKGQLELGLDLKQASLLVPNSGPNIGLDSNGKGLSGTGHDTRGIFKFTATNRSGSKRAKPTYNNDFGPDIYSPSPTGNNSKFAIPYQTSSPTFVIGQDTSAGTNSVRKWRKMARVSSKYSFEALGPYTNLKDGRKRGFNSGIMITEGATSTKKSHDCEGYWELILQSPRRGLVQQQMSRTVRKLAKFISCNKPSILFLSETKKSSNAMEWIRVCLGYDSCLAVNCRGSDLATAWRFIGFYGRPETHRRNESWQLIRTLKAVSNLPWLLSGDFNEILRSDEKIGGLSRLFHQMDVFRLVIEEYNLQSLPVQGPLSTWLKRINGEIVFERLDRTFVDDRWWNRFGLSLKRHLITTVSDHLPLLILVKDQPVSLDIGQRLFKALGQWNKLVFGNVRYKLEAKRREFETVYGGLAGNDIDSFDECKRELDDFIAQEEVMWRQRSKALWLKEGDCNTKYFHSVAMNRRRKNTILGIEDESGIWKTDTGEVERIVCAYYQNLFTSSDPSEHLIDSVLANLPNRIDDDMRFQLDKPYSAEEIKEAIVSKAIANRMKLVLPSIISDCQSAFVPGRMIYDNAIIAFETIHFLRGKRTGKRGHMALKLDLSKAYDRVEWSFIEKYDSIVFLRASLEECEAILGLLHEFEQVSGQQVNIDKSAILFSSNTTEDVRRLVMWKLGVQRVLEQDKYLGLPIMIGKNRKRELQFIKERLAKRVSLWQNKLFSIAGKAVMIQSVGQSILVYPMSVFCFPKGFIHELNMILAKFWWGDSVEKRKIHWKAWEELCVSKLDGRLGFRDFEAFNLALLAKQCWRIYHNPDSLCSRILKAKYFPRSNFFDAALGNNPSFVWRSLLEGRKVIEEGSRWRIGQGDFSFWHTKWLAESPCSRPRPKEGIVLSNEKVKDMINVEEKQWNVDKLLELVLDEDVSRIMCIPIPRVSRPDHTLIWDGSLLGEFTIKSAYFVARKILGWVDNVDEQQRLSWRRVWGVNTLPKVRKQVWELSAPWILLCVEEWRNEDDFWLRLIDKASRLGLSAARPLDTMARVGKRWQPPPIGRLKINVDASFCSSTLEAGLGPYFISLIAMHDDVCNDYVKWHARLGHKGREHLFELFEELCDEKGILRQLTIPGTPQQNGVVERRNRTLLDMLSVSFKSVLSTPHELLTGEKPDLEWTNAMNDEMESMKTNHVWDLVDLPPGRKTIGNKWVLKVKRKADGSIERYKARLVAKGHTQQEGVDYEETFSPVVRFASIRLILAIVANFDLELYQMDVKTAFLNGELDEEIYMDQPEAFVAKCQERKICKLKRSIYGLKQSSRQWYLRFHQAIIQNGFEMIEEDHCVYVKRSKGSFVILSLYVDDILLAGNDMEMIVTTKKWLSSNFEMKDMGEANYVLGVKILRDRSKRLLGLSQETYIKKILERFQMQNCKPIDTPIAKGETLSLQLTPKTSDEQKQMARVPYSSAVGSLISNLNLIGYTDADWGGDLDERKSTSGYAFLLNGGAITWSSKKQSCNALSTMEAEFIACSAVVQEAVWLKRFLGSLGVPSAVDPVTIHCDSMAAIAYTKDPKYHGRTKHIDMRNNYIRDLIAQKEVILEHISTSHMVADPLTKPIPRDAYVTHEVEEWIPASSPCESYEGLDKPYIVPLVTFDYVIRRGMDVCYFGMLPKTMDDSVLRDITGKAVCFKPSDMRARGKTI >OMP05178 pep supercontig:CCACVL1_1.0:contig05494:2238:2330:1 gene:CCACVL1_02025 transcript:OMP05178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSDRPPIRSKSTRLKTQPVRYKDYELDRK >OMP05180 pep supercontig:CCACVL1_1.0:contig05494:8503:12032:1 gene:CCACVL1_02027 transcript:OMP05180 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MQARRAKGLCYNCDDQYKPGHRCRTTPFLLLQTEEDTNDAPDTDSPSVLALASLPLPPPPSDYDDQDTSAFQVSIHALNGSSSCQTMKMTGWLMGHKVRVLIDSGSTHNLIQPRIAKQLGLRLEPAPPFSVMVGNGDRLLCSGKVPSISIGLEGHDFTLDLFLLDIWGADVVLGVQWLVQIGPFIADYKALFMSFYDSYGNYITLHGDKPIQVSPATCQQLRCLIHMDSVASAHLLAISEIENVYDSSTLQVPETLQTLLSKYHSVFAKPQGLPPFRQQSHHIHLQPGASPINVRPYRYPHSQKQDMTNIIEDMLKQGIIRPSVSPFSSLVLLVKKKDGTWRFCVDYRALNTTTIKDRFPIPTVDKLIDELHGAKIFSKLDLRAGYHQIRVAPENIHKTAFTTLDGHYEFLVMSFGLTNAPSTFQATMNDIFRPYLRHFVLVFFDDILVYSSSMEAHYNHLATVLQLLAHNQLYAKLSKCTFGQTSIEYLGHVIGDQGALKAALTSVPVLALSDFSLPFSIEADVSNVAIGAVLTQAGHPVAYFSKKLGPQKQLASTYVRKLYAITEVVHKWHQYLIGRPFTIYIDQQSIKNMMNQTVQTPEQQKWLVKLLGFQYSIEYKPGRQNSAADALSRCYTMQITHMAMTQPILSFLDDLCNFYLTAEQGEQLWAQAAANGGDGLTIADGLLFFKGRVYVPEKHPLRALLLHEFHSSPTGGHAGVKKTLARLAANFHWPNMRHDVESFIKLCATCQQVKYETAKPGGHSTPLPIPSNIWEYCRLHGMPSTIVSDRDPKFLSIFWKELFKLQQTKLFHSSAYHPQSDGQTEVLNRCLETYLRSFVSDKPRLWTKFLHWAEFSYNTSKHSSTGFTPFEVVYGRPPPSILSYLPGASKVAQLDSGLIKRQQILSMLKANLARAQNRMKMQHDLSVSKRPSQKLAKRYFGPFKILRKLGPVAYELELPSEAKIHPVFHVSLLKKCYGSHTDHYAPLPKTFVEDQPVLEPSDILNCRTTMLNGVVTKQYLIQWKDLAAVDATWEWEEDMKVNYPLFNLEDKVVLGEHGNDRPPIRSKSTRLKTQPVRYKDYELDRK >OMP05179 pep supercontig:CCACVL1_1.0:contig05494:3582:3737:-1 gene:CCACVL1_02026 transcript:OMP05179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNIEGLTKEEAIHAYGIISADKGKSESFMMIPDDEGKFIYVKGVISGIV >OMP05177 pep supercontig:CCACVL1_1.0:contig05494:1056:1115:-1 gene:CCACVL1_02024 transcript:OMP05177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADVAQKMEQREASAIFVE >OMP06211 pep supercontig:CCACVL1_1.0:contig05025:20646:21443:1 gene:CCACVL1_01679 transcript:OMP06211 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperone MAILSDFNEDQENNNSSSGLSFNGVLDPSNPMGFLESAFNFVSENSDMFKKGSPERKISSLANGLDMENHSWGQSLQEVTINIPVPEGTKSRDVSCEIKMKNLKVGLKGQTPIIEGELFEAVKPNDSYWMMEDQKLISVLLTKCDKMTWWKSLVKGGPEIDTQKAEPEPSKLADLDGETRSAVEKMMFDQRQKQMGLPTSQEIENQEMMKKFMAQNPNFDFSNAKMM >OMO84899 pep supercontig:CCACVL1_1.0:contig09682:52643:53005:-1 gene:CCACVL1_10584 transcript:OMO84899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRLLCFITMALLFCSVIAQSPSPSPVPSPKKSPTPVSSPPKASVPSPSKTPIATSPSPSTVASPPPSSPSPVTSPTTISETPAEAPGPAENSAVLNLNKFGAAGSVAVGVLAAVMVL >OMO84898 pep supercontig:CCACVL1_1.0:contig09682:50561:50884:1 gene:CCACVL1_10583 transcript:OMO84898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEPATLFRTAPLRDGLGATEGGTGIEDGGFAGEAAGCFGSKTGGSAGAKERAGDGAATGGAIIGDGAGTGGRSISGTVGVGVETGGKAGDGGSEVGDCDGEEAGD >OMO84897 pep supercontig:CCACVL1_1.0:contig09682:46858:47592:-1 gene:CCACVL1_10582 transcript:OMO84897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSIVNVMLFMLVFGFAAAQSPASAPTPHIPDRQVFVIPPAHARSHRNHPPAHAPSPVVHSASPVPSHAPSHPVNPPAHAPSPVVHSAPIPVPSHAPSHSVNPPSPAPSPVVHSAPTQSPVPSHAPSHPVNPPSPAPSPVVHSGPTMSPVAAPAPSPVVHSGPTKSPVAAPAPSHSANPPAHAPSPVVHSSPSPTKTPVVANGPSTTSPTVARVPAKNAAVVNRFSAAGSVAVGVFAAVLVM >OMO84900 pep supercontig:CCACVL1_1.0:contig09682:54012:57795:-1 gene:CCACVL1_10585 transcript:OMO84900 gene_biotype:protein_coding transcript_biotype:protein_coding description:3,4-dihydroxy-2-butanone 4-phosphate synthase, RibB MASFNVSSPSTAALSRPQASKSFKLFNGLHNTNLFSANGYTADLALRQLRSRSSFVIKSVGKTRAAIVSGEGDLLSYSNGNGASTNGSLFGDKFGIDSQQDATALGTLAADTAAISGNDFNIENDEFDLDRPTKGFASIPEAIEDIRQGKMVLVVDDEDRENEGDLIMAAQLATPEAMAFIVKHGTGIVCVSMLEEDLERLQLPQMVNQRENEEKLRTAFTVTVDAKHGTTTGVSAHDRATTILALASKDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAVLAGLDPVAVLCEVVDDDGSMARLPKLRQFGERENLKIISIADLIRYRRKRDKLIERAGAARLPTMWGPFTAYCYKSMLDGIEHIAMVKGDIGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMKKIEAAGRGVVVYLRGHEGRGIGLGHKLRAYTLQDAGRDTVEANVELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYSGLKGYGLEIAGRVPLVTPITKENKRYLETKRAKMGHVYGVDFSSRLNGLIIGGNADTAPVDAAVSET >OMO84895 pep supercontig:CCACVL1_1.0:contig09682:29023:30219:-1 gene:CCACVL1_10580 transcript:OMO84895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGFQHRKLMPDSANKTLSDYCTKTLECDQYCYSPCFQSCFQFCPDDFNPPPSPSPHSGSNPKTVAKALIITFTVLSVAFLVLCYYIYYVRFCRARSNARRRSQPETTENRDEFLDEDHGPIVDHHIWYINTVGLQQSIINSIAVCKYKRGEGLVEGTECSVCLNEFEEDETLRLLPKCSHAFHVPCIDTWLRSHTNCPMCRAPIVSNTANNKESSSSSEVNGNDSEVSEEIQVVIREDDGEQSEREADEGEIETSETRVRSRTEEEEELDVENENQSKTGESSGVEDENEIQAIRRSFSMDSLAASQISQAIATGFPEGSNGNSDNEMVKEKESSVRIVTRRAAGNQRLLRLMCHSSIGKSLQNGPIFLKRSFSCNGKFSLPICNNKGKNKNPPLRSF >OMO84896 pep supercontig:CCACVL1_1.0:contig09682:43916:45258:1 gene:CCACVL1_10581 transcript:OMO84896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRRSLFASNYKLQSLFESILHPYKSKSGNMISPGNNKQYSMVKRYDHHPLNQQGLRFLSFNIGIWRKLDSNIAKDVGQNSSPPAGSGPPKFSFPIWARWVLGSVLSLIFPFWKDQWANFKTIEEEAEMIVEEVETVAEVVEKVATVAEKVSAQVAKNLPDDSKLKKAAIMVEHVSEITAQDAHATTEFIHQVEAIKQDLDGLESLVEPEAKMGKKLGGQGK >OMO93076 pep supercontig:CCACVL1_1.0:contig08130:6879:9943:-1 gene:CCACVL1_06639 transcript:OMO93076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRTEKDRVKESFSILRHRLEVAMADACMTGGGSDVVGDGTVIGRWWHGCGWAWHADGSGCPCCSSSGGLSLGLTSLGGEGGGMSILISSCDASDSSGTILETSFGRAGTGTLHGSSSCRLERRGDQPGEFCCQTCEDELDPRSAALAGGGCYELQKVRSDAASGAHVGPKQRRIVENCGGNMGRGT >OMO93077 pep supercontig:CCACVL1_1.0:contig08130:60631:61199:-1 gene:CCACVL1_06640 transcript:OMO93077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEKEDGKDWLPLLVEAAMSRKK >OMO60225 pep supercontig:CCACVL1_1.0:contig13755:14910:15371:1 gene:CCACVL1_24319 transcript:OMO60225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSFETSPPTVLPVSRGENTMHVNEFEGVPVRSKGMKTEDDPRKMIEESTEKQWGRIEEKFKGLGGKQTYFKGVDKVALVIDLVLPANFKVPDFENFDGTKSPENHVNTYVRQMQPYCTDNMLMHYLQRSLSGSAFKWYNILDPGQIKTWD >OMO60226 pep supercontig:CCACVL1_1.0:contig13755:22056:25453:1 gene:CCACVL1_24320 transcript:OMO60226 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MNTVVWNMRGLNDPSKQVQVAKRIRSLNVDIACFLETRVKSPNFDRILKKHFMGWQSLNNYSHAINGCIWILWKSDIPLNHVDTSEQHITMKVTLYQKVFYLTAAYCDNRGISRRRLWMQLRNLAQDIDSSSWILAGNFNCFCKLDESSDFSGNASDIAEFNECLNDIAVFDHSFTGPLFTWSKNIDEHPLARKLDRVLINSDWLLHFGNSMVEFLLPEISDHCLMNIITDVKIFSPPKPFKFFNFWTKHDDFLPLVNRVWQEPVFGNPMSRLFNKLKNLKPHLTNFNREHFGNLPQKVVEKKAEIVVLQRNLLTSPDTNLVQRHKAAVNDFHELQKAVESYYRQKSRILWLQEGDLNTGFFHKTMKIRHNRNTIKLLYSENGDRLDTYEAIRDEAVNYYKSFLGKKDFNISGCSVDILQDILQNSLSEEMAKKIIEPVTAQEIKTTFFSLNSHKAPGPDGYSAHFSRKLGILWELVCGYHRPNMSPRCALKIDLKKAFDTLDWDFILNIFKALKFPDLFIKWISACITYPKFSVSVNGGLEGYFSGARGVRQAHGVFSFHPKCKKIDLTHLSFVDDLLIFTKGNILSILGIKNLLELFYQFSSLSMNCSKSEIFYSGISDESMEEIQVATVFRVGQLLVRYLGVPLVTKSLNVRDCMPLVERITQRVQSWAARNVSYAVIDKISQICASYLWKGKEGSSRGAKVSWESIYLPKSEGSVWISWVSAYILKGRDIMFIPSLPRYSWAFKKLLSLRILVNTLQDNGEWHFPGGKYKIMVYDSLKHRQNKVEWKKQAGDWFAEYNWAMQGFKGKSLLTTILKLAWTSFCYYIWLERNARLYGKSPSSEDVIFGHIQDAIRFKLHGLTRVGDDFINRRLCASWNIVIPSFCN >OMO98156 pep supercontig:CCACVL1_1.0:contig07157:17891:17953:-1 gene:CCACVL1_04315 transcript:OMO98156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPYTMKEASNLRSQPPDQ >OMO98157 pep supercontig:CCACVL1_1.0:contig07157:65530:66390:1 gene:CCACVL1_04316 transcript:OMO98157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotianamine synthase MASFQISNFESQIPAEIIVASIIQLHDSISKLESLRPSKQVNSLFTHLVKLCTLPSNIDIEALPQDIKDIREKLILLCGRAEGLLELEFATFLSKIPQPLNNLNLFPYYGNYVKLAGLEYRILSENGVVQPKKVAFVGSGPMPLTSFVMATHHMKSTHFDNYDIDEAANDVARKIVASDVEFEKRMQFVTRDIMEVREKLEEYDCIFLAALVGMSKEEKLKIVAHVRKYMKAGGFLHVRSAKGARAFLYPVVEEHDLRGFELISTFHPTNEVINSVVLVRKPIFQN >OMO99604 pep supercontig:CCACVL1_1.0:contig06851:22372:22446:1 gene:CCACVL1_03712 transcript:OMO99604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHRLKHKDSKCPKAKGDEAQKAN >OMP05523 pep supercontig:CCACVL1_1.0:contig05332:2184:5533:1 gene:CCACVL1_01871 transcript:OMP05523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MEPNVNNAIEKFPIGLTAEQYTQLLNLLNGKTSSISSGNLAGESTHSSRIVDSGATDHMTPFLSVLNEIFSTPLHQPVKLPNGASLPVSHVGKAIVSHDIILKDVLCVPNFSCNLLSISKLTKDLNCVELFFPDFCGFQDLHSRKPIGAGHPSLDRLAKISSLSPFIFVKDRHTQFNSKVCHIRSDNGKEFDSDIMRSSFHSHGISHQTSCVATPQQNGVVERKHRHLLELARALHFQAHLPLKFWGECILTATYLINLIPTPVLDGRTPYEILYGKVPSYTHLRVFGCLCYAHNHSQSRHKFDTRAFRCVFLGYPYGQKGYKVYDLESKKIFVSRDVVFHEHIYPFLQPTSEPNPISSPHTPLHSTIIDDPEVLATNSNIDHITETLNNTQPFTSQEIEPPEPEVAPQPNTNSNSLSPSIRPRRSIRDEKWREAMANEIAALEQNETWTLENFPVGKKEIDSKWVYQIKYKPDGTVERYKARLVAKGYTQVEGLDYHEIFPPVAKLVTVRSLLAIASIKNWTLQKLDVNNAFLHGDLHEEVYMKIPQGFARKGETKDLDSLKYFLGIEVARSPSGIVLNQRKYALDILNETGMLGCRPCLFPMEQNHHLEKASGPILADPSLYRRLVGRLLYLTVTRPDLCYSIQVLSQFLHSPRQEHLYAVMRVLRYIKCSPGQGIILPSNGSLEFKAYCDSDWAGCSVTRRSTTGYFILLGSSPISWKSKKQTTVSRSSADVEYHAMSTTTSELFWLRSLLHDLQVSQSSPMLLHCDNQAAIQIAANPIFHERTKHIEVDCHFVREQIQSKELATTYIPSAAQLADIFTKALGCERFTHLLSKSGIINLHAPT >OMO83961 pep supercontig:CCACVL1_1.0:contig09812:2312:2395:-1 gene:CCACVL1_11070 transcript:OMO83961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDYIFAFNMELKCFIYVFLGPQLVQLHL >OMO68890 pep supercontig:CCACVL1_1.0:contig12160:31878:33139:1 gene:CCACVL1_19780 transcript:OMO68890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MKYSTIPSLFHLSIFVVLIISASASGEDDQQEYSNSDPTYSTMPEEPRSPLDHYHLSFNYYRQTCPMLDEIIHKKVRESVAKDRTLAASLLRLHFHDCIVTGCDASILLDHEGSERKAGPSKTLRGFQLIDDIKAELEKLCPKTVSCADILTAATRDATVLLGGPYWMVPYGRKDSRTSIAKVTDSVPMGHESVTSMLELFQSLGLNVIDLVVLSGAHTIGRTSCGSIQHRLNHLNGTYDPVIDDKFLDFLQRKCKCESENEYVDLDATTPNTFDGQYYANLEKKMGLLYTDQMLYSDSRTRPIVNTFVQNPSIFKHQFSVSMVKLGNTQVLTGPNQGEIRTNCNFANSY >OMO68888 pep supercontig:CCACVL1_1.0:contig12160:10816:11887:1 gene:CCACVL1_19778 transcript:OMO68888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFEIPEIGTETGNFRNADPWEHVLGMGLGAVFVNQLVKWDAQLQQDLDKMLEKAKEANERRYFEQDDD >OMO68887 pep supercontig:CCACVL1_1.0:contig12160:1832:2128:-1 gene:CCACVL1_19777 transcript:OMO68887 gene_biotype:protein_coding transcript_biotype:protein_coding description:CC-NBS-LRR class disease resistance protein MAYVSFHGTFLEEDPMPTLEKLRNLRILNLEENALSGKKMVCSAQGFPKHDSLSLEKLYDLEEWEVDEGAMFALRHLEISFCKKLEMLPEGFRFIATL >OMO68889 pep supercontig:CCACVL1_1.0:contig12160:12739:16596:-1 gene:CCACVL1_19779 transcript:OMO68889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDFFICMLLLACSAFVSVFSQNQDYLSLLLQVKKSFQEDPGNALRDWSSTSNPNFCTWTGVTCGLGSHVASLNLSGLSLGGSISPSIGRFRNLLHLDLSSNLLSGPIPTTLSNLSSLESLLLFSNQLSGFIPPELGSLTSLRVMRIGDNGLTGPIPSTFGNLVNLVTLALASCSLTGPIPPQLGQLARVESLILQDNQLEGPLPPELGNCSSLNVFTAALNNLNGSIPAELGRLKNLQILNLINNSLSGEIPSQLGDLTQLNYLSLMGNHLEGEIPRTLANLGSLQNLDLSSNKLTGGIPEEFGNMSELVYLVLSNNKLSGSIPRNICSNTSNLEHLILSDIQLSGEIPPELRLCRSLKQLDLSNNTLNGTIPVELYELVELTDLYLHNNSLVGSISPFIANLSNLQTLALFHNNLEGNLPREIGMLGNLEILFLYENQLSGDIPLEIGNCSSLKMIDFFGNRFTGEIPVTIGRLKELNFLHLRQNGLVGEIPATLGNCHQLTILDLADNHLSGGIPASFGFLQSLEQLMLYNNSLEGTLPAPLINLANLTRINLSKNRMNGSIAALCSSSSFLSFDVTNNAFDGEIPPEIGNSPSLERLRLGSNQFTGKIPGSLGKIHQLSLLDLSGNLLTGPIPSELMLCRKLTHLDLNNNSLSGLIPPWLGSLPQLGELRLSFNQFFGPLPRELSNCSKLLVLRLDGNSLNGTLISEIGNLSSLNVLNLKSNQLSGSIPATIGKLSKLYELQLSQNNFSGDIPTEFGQLQNLQSILDLSYNNLTGQIPSSIGMLSKLEALDLSHNQLTGEVPPQIGDMSSLGKLDLSHNNLQGKLSKQLAHWPAEAFEGNLNLCGSPLDRCKLASSKQSALSEAAVVVISAISTLAAIALLALIVSIFLKQRREYLRGASEVTCTDSSSSSQTRRRLLFQSGNTKQDYKWEDIMRATKHLSDEFVIGSGGSGTIYKAELPNGETVAVKKISWKDDLMFNRSFTREIKTLGRIRHRHLVKLMGYCSNRAAGLNLLIYEYMENGSVWDWLHGQPLNVKKKSLDWETRMRIAVGLAHGVEYLHHDCVPKIVHRDIKSSNVLLDSNMEAHLGDFGLAKSLAENYDSNSNTESNTWFAGSYGYIAPEYAYTLKATEKTDVYSMGIVLMELVSGKMPTDAFFGVEMDMVRWVETRMEMQGSEREELIDPALKPLLPYEESAAYQVLEIALQCTKTTAQERPSARQASDLLIHVLNNRYKMVAFDKNLDLAHD >OMO50171 pep supercontig:CCACVL1_1.0:contig16281:10480:11492:1 gene:CCACVL1_30586 transcript:OMO50171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MNTTQRSESINSFFDSFVDSTTTLKQFVVRYDKPLDSRREKERREDFESRHKSRILRIGSKMEHHGALVYTKTIFNLFQDELVQSNQFIKEKISKDGSCYEYKVLSSFDSRDSFLVRIDLSSKVGTCQCNLFEFKGILCRHILAIFHIKNVVEIPNHFILKRWTKEANKGNGVFENKPSFEDDHEKAAAARCLHIFKKVLSMEEDNNLSQQPISEVNRDALENQHNQSNPPLLLNIGDPHISQTKGRKKGGRESQSSRFKSGLEIALAHGTMKKRTCHSCGGYGHNKRSCKVKEKSTNTPTFGDNEVEV >OMO50170 pep supercontig:CCACVL1_1.0:contig16281:773:1913:-1 gene:CCACVL1_30585 transcript:OMO50170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 1 YFCCYRYLCPLYYGDYPAVMHERLGERLPKFSREEKELLRNKLDFLGLNHYTSRFIAHVPNGIDENFFYKAQEVERIVEWEGGEKIGEKAASEWLYIVPWGLRKALNYIAQKYNNLPIYVTENGMDDEENNSLPLHEILDDKLRISYFKGYLAAVAQAI >OMO67780 pep supercontig:CCACVL1_1.0:contig12392:31828:32205:1 gene:CCACVL1_20321 transcript:OMO67780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWIFDKKGVARLISNPTRESFEQKDPIYPGTSTAPGARPRVLVYLPTNQVIRSYSELEQRLGELGWTRYYNLSQPELLQFHKSNNSVHLISLPRNFANFRSLHMYDIVVKNRSFFEVRDPSH >OMO67777 pep supercontig:CCACVL1_1.0:contig12392:13065:13136:-1 gene:CCACVL1_20318 transcript:OMO67777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFDANDSRGPGLTFRFMIASD >OMO67782 pep supercontig:CCACVL1_1.0:contig12392:40545:42972:-1 gene:CCACVL1_20323 transcript:OMO67782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICLSARIKAENPSTGLSSKYVSGSGRDISSTSSKVSSFSAPLTPRSEGEILQSTNLKSFNFADLRLATRNFRPDSVLGEGGFGSVFKGWIDENSLAAAKPGTGIVIAVKRLNQDGFQGHKEWLDLNSNETGNNQSNTSSTPRVRRRSAGDAIGGRSTTAYPRPSASPLYA >OMO67778 pep supercontig:CCACVL1_1.0:contig12392:21814:23381:1 gene:CCACVL1_20319 transcript:OMO67778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIIE, beta subunit MALQEQLDRFNKQQEKCQTLLSNIAAKSASSRANTTARPAPAAVSPFPAGKTPAPVKFSNDTERLQHINSIRKGPVGAQMKRVIDILFQTRQAFTPEQINEACYVDVIGNKEVFEGLRKNPKVNYDGKRFSYKAKHDVKNKNELLVLIRKYIEGIAVIDLKDAYPNVMDDLQALKAAGQVWLLSNFDSQEDIVFPNDPRVPIKVDDDLKELFRSIELPRDMLDIEKDLQKNGMKPATNTAKRRAAAQVQGISSKPKARQKSKEFSKKAKLTNAHLPELFKNLKNS >OMO67779 pep supercontig:CCACVL1_1.0:contig12392:24153:26153:-1 gene:CCACVL1_20320 transcript:OMO67779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVYPWMGIIANIPTKQQGGKRVGESGRRMREELTAKGFNPMRVHPLWNRFGHSGYAIVEFNKEWDGFSNAIQFEKSFEGKGCGKKDFYASRKREDKLYGWVAREDDYRARGLISDYLHKHGDLKTISGKEAEDIRKDTKLVITLNNTLETKFQHLKEMENKCLEANISYNTLMMQKDEMTKAYNAETRKMQQDAHDHFKAISVEHEKVTQNLVDQKRQLDLREKELLQREAQNEAEARKLKQEKEIVCKVLSSLLYIAVSQNKANESMLKLAEEQKKEKERLHSEILKLEKQLDTKQALELEIQRMKGALQVMQHMEGDGESDVKTKMKDIEEQLKEKEEEYDDLEQLNQTLIIKERKSNEELQEAKKELIKSLSGNTRAHIGVKRMGDLDAKPFVTAARSKFSGKEAGEKAMELCSEWEDYLRDPSWHPFKILTDEEGKAKEIINETDEKLTALKTEYGDEAYKAVVTALSEMNEYNPSGRYIVPELWNFQEKRKASMQEGVEYLLKQWKLNRRKK >OMO67776 pep supercontig:CCACVL1_1.0:contig12392:2822:9015:1 gene:CCACVL1_20317 transcript:OMO67776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S54, rhomboid MGKDSRIEIKVVNPSKGDNVVHPVGPGHPTSASKPSNSSPVPHERRRPQQDRERERQRRARQEQGATELKPFKKWVTWMVPGFVLVNIVVFMISMFINNCPKNSASCFAPFLGRFSFQPFKENPLLGPSSSTLEKMGALEVSKIAQGHQAWRLITCIWLHAGVFHILANMLSLVFIGIRLEQEFGFVRIGLLYVIAGFGGSLMSSLFIQTGISVGASGALFGLLGSMLSELLTNWTIYANKLAALLTLLLIIVINLLVGILPHVDNFAHIGGFLSGFLLGFVFLIRPQFGYVSKKHVPAGYIGASHKPKYKPYQCALWVISLVLLIVGEIQRLVMGVVANS >OMO67781 pep supercontig:CCACVL1_1.0:contig12392:36836:38906:1 gene:CCACVL1_20322 transcript:OMO67781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFHFTNGEKRDGGDDGAAVSRASSKVTWTRSLSVASSSVDTRRSEFDSESTRDFASDYSSMTFCDFLTQRRANDLRVFSFAELKSATRGFSRALLIGEGGFGCVYRGVVKVPDEANDGRDVKVDVAIKQLNRQGYQACIQGHKEWINEVNFLGVVKHPNLVRLVGYCAEDDERGIQRLLVYELMRNRSLEDHLLARMPLPLPWVTRLKIAQDAARGLAYLHEEMDFQLIFRDFKTSNILLDEDFNAKLSDFGLARQGPAEGSGHVSTTVVGTVGYAAPEYVQTGRLTAKSDVWSFGVVLYELITGRRALERNLPRSEQKLLEWVRPYVSDSKKFHLIVDPRLEGQYCIKSAQKLASIANKCLMKNPKSRPKMSDVVEMLGNIISETSSQDEGVSQSVSENEDVKEESEVEAETETIKQGHNYLKKVFDIRDIISLRNRSVGKLDWRNWTPGLVRTW >OMO60382 pep supercontig:CCACVL1_1.0:contig13728:38496:38684:-1 gene:CCACVL1_24198 transcript:OMO60382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKKDVANGTQNSLEAGSDLVKEPSLTDNSNGDLKLSENGSAKPTIVPEKRVLSNGIANGI >OMP08039 pep supercontig:CCACVL1_1.0:contig04050:1044:1109:1 gene:CCACVL1_01175 transcript:OMP08039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRPSTCTALFVKILPISKN >OMP11017 pep supercontig:CCACVL1_1.0:contig01704:1673:1777:-1 gene:CCACVL1_00725 transcript:OMP11017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACGKDPTPRKNVGVGNDSTARFSRDGGRFVGA >OMP11932 pep supercontig:CCACVL1_1.0:contig00688:957:1061:1 gene:CCACVL1_00207 transcript:OMP11932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATMGNLGLAELQIALASRFAKFDLESSPHRSSFR >OMO58122 pep supercontig:CCACVL1_1.0:contig14271:703:819:1 gene:CCACVL1_25576 transcript:OMO58122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQNKRQRKVHVGNGYVSPSKTHHVTIIHPRCICFGR >OMO63912 pep supercontig:CCACVL1_1.0:contig12883:15371:20823:1 gene:CCACVL1_22188 transcript:OMO63912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAALSFSVASVVEDVLQQHGFRSKDLDLESRKAEEAASRRYEAAGWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNVLNKVQPGAVPKVVESPCDAALIPDGAALSAFQYFENVRNFLVAGQELGLPTFEASDLEQGGKSARVVNCVLALKSYSEWKLAGGNGVWKFGGNVKPATTTLGKAFVRKNSEPFMNSLQRTSSMNEKLLNGHSQEVDPNKMASSGSLSMLVRAILIDKKPEEVPMLVESVLSKVVEEFEHRIASQYEAMKTISNGITTSHHNKSILKPVPGDKKLEEKNMKVLKKEDCFTKNLIDDEELKSRHQKQQMIFDQQQRDIEELKHNINATKAGMQFIQMKFHEEFNNLGVHIHGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLSGQSNYLSTVDNIEEGNITINTPAKYGKGRKSFNFNKVFGPSATQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKDLTEKSQGVNYRALGDLFLLAEQRKDTFRYDVAVQMIEIYNEQVRDLLVTDGKIRNSSQTGLNVPDANLVPVSSTPDVIDLMNLGQKNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVIASLAHKNPHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDAIGETISTLKFAERVATVELGAARVNKDTTDVKELKEQIASLKAALARKEAETEQSQHSVSGSSEKYRTKAGDLSPFNPNNRVGDALGARQPMNDVGNIEVCTNSTMRQKRQQSFDLDELLANSPPWPPVISPSQNFRDDDKELGSGEWVDKVMVNKQDAINRVGNPLGCWEAENGNLSDVFYQKYLQDSSKIYPDQSYNMFMGGNRFNMAGGDDMDDLDAATSDSSEPDLLWQFNQSKLSSITNGINESKPKKPTSKSARNPELSKNVNYMAGPSPSRKLANGVGQNLQRNGRQPPPADGKRKTGSRK >OMO63911 pep supercontig:CCACVL1_1.0:contig12883:11396:12835:-1 gene:CCACVL1_22187 transcript:OMO63911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPLGLGRLANQASPSSILNYKVTTWHSADGYFKQA >OMO63914 pep supercontig:CCACVL1_1.0:contig12883:24211:25239:1 gene:CCACVL1_22190 transcript:OMO63914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVEPCYYSVLGIRKQASASEIRDAYRRQALKWHPDRWMRTPKVAGEAKKRFQQIQEAYSVLSDKRKRKIYDAGLLGLLADDDDEGFLNFMQEMVLMMQNVRSKEGNSLEELQGLLMDMMTEDDERSKFGVFDWKSSQSQPAARKRPRFADPRFAAM >OMO63913 pep supercontig:CCACVL1_1.0:contig12883:21371:22876:-1 gene:CCACVL1_22189 transcript:OMO63913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTKNEKDNDKPFAQNIQGFDLNKLLEDPNAFEMAFFPPESMNYNPNNHYVNQCIDFNELNQLLNEGNSGHDQVVTNGGCDGSIMQQPVQLQVNNAQVMEADPRVQENIKQSGRGRRKYKQYCPDAAHIRKIKNREAARRSHDQKKAYMQQLESEVQGLRKQNANLWKFIQFSTSASSSGKDQKNLRRTASGAV >OMO63910 pep supercontig:CCACVL1_1.0:contig12883:3921:6817:1 gene:CCACVL1_22186 transcript:OMO63910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MSNDSHVPNSRGLLCNAGAGAAAGVIAATFVCPLDVIKTRFQVHGLPTLSNGTVKRSLIVGSLEQIFQKEGLRGMYRGLAPTVLALLPNWAVYFTMYEQLKDFLCSNDENHHLSVGANMLAASGAGAATTIFTNPLWVVKTRLQTQGMRAGVVPYRSTFSALRRIAHEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKSYLAEKDNTTMDKLGARDVAVASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYSGVADCVRKVFQQEGIAGFYRGCATNLIRTTPAAVITFTSFEMIHRFLVNLFPPDPHPHTL >OMO55146 pep supercontig:CCACVL1_1.0:contig14780:9628:10356:-1 gene:CCACVL1_27367 transcript:OMO55146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKFTASFFIFLIFMLVSLPPIYACVPCTQPHPPPYHRPTRPTNPKVPRPNPPSTKHPPHHGGAVRPPKVKPPSTRPPVNPPVVIPPIIITPPITNPPVVTPPIINPPVTLPPPSSPNYPPYTGGPPSGGGGGGGGGGGGGGGGGTSPAPPTTQPTCPVNALKLGLCVDVLGGLVHIGLGNPVENACCPVLGGLLELEAAVCLCTAIRLKLLNLNIFIPLALQALITCGKTPPPGFVCPPL >OMO55149 pep supercontig:CCACVL1_1.0:contig14780:22362:24071:-1 gene:CCACVL1_27370 transcript:OMO55149 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone dehydrogenase 1 beta subcomplex subunit 8, mitochondrial isoform 1 MAGRLSNAASRIMGGNGIVSRSVASSLRLRSGMGLPVGKHIVPDKPLPVNDELVWDNGTPFPEPCIDRIADTVGKYEALAWMCGGLSFFASLGLLAWWNDKASKIPFTPKVYPYDNLRVELGGEP >OMO55148 pep supercontig:CCACVL1_1.0:contig14780:21581:21682:-1 gene:CCACVL1_27369 transcript:OMO55148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRSYPKFINVGQWAPTAHVHDPCFVTIDKEN >OMO55147 pep supercontig:CCACVL1_1.0:contig14780:11535:17611:1 gene:CCACVL1_27368 transcript:OMO55147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRFPCMHGNSSSSNAETGALIYSHDGPQISIWTQVSVQPH >OMP03386 pep supercontig:CCACVL1_1.0:contig06085:6909:9518:-1 gene:CCACVL1_02443 transcript:OMP03386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNVNSTPKDYYKILEVDYDATDEKIKLNYRKLALKWHPDKHNGDSAVTAKFQEINEAYKVLIDPDKRFEYDLTGIYEIDKYTLREYLARFKGMILTCNGLGISHTSIWVMSPTYLQYR >OMO58801 pep supercontig:CCACVL1_1.0:contig14145:12479:14069:-1 gene:CCACVL1_25349 transcript:OMO58801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TQCRVVKTSKLNAQKFTLTTSEEAQVRYLEKYPYKLRDLVEAARLVLYGINPEPYKMKGIKKQKCSARVCTQLTIHEEVEKNGEKLDVPRRAIVVKKGGSQSCLLPQDSAECAPPKYRVDKWVRPVSQPVGRGADRGEYNMNPTRLAATQKRLEEQMVAVKTMLAAEANFLKYEKEIRAAVLGIGNFIDKNKPGASISQVNKLKDDLLSAQAQLVSIKGKLKITELDLKDAKKKANNKDDLLRKQTKARVKAEKKLQINNLNAELDAIYEDTVRQVLRARASVIYMIQQHKGIED >OMO62773 pep supercontig:CCACVL1_1.0:contig13162:44299:47082:-1 gene:CCACVL1_22641 transcript:OMO62773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C3HC4 RING-type MECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEERTRNKQIQASIAQIFQRQSEALVKRRSLGKESTGAFMTRSQRNHRSAHPRRRRNSRGAEHQGSEDNEDENDDNGGKDSSSTDERGTEMRQRRRKRRAGIRSSQPSSSVVNSDGGYVENDTEVSRDSRGISPGLVWNTDKLAWGAGGARSHTRHGNSGGGSSKSSRARLNRLVEYLRSLEENNDELDVHLKLISVDSQSTSSLQQPYLCCRPSLSVKQLCEYIALQTPLRAEEVEILLVNGKYSTDDSHWNALPFISEDTLEILDGQETLSGLKVKCSSRRNHLILAYRQKQTS >OMO62772 pep supercontig:CCACVL1_1.0:contig13162:38995:43513:1 gene:CCACVL1_22640 transcript:OMO62772 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 protein family MDESSGAMASMIDELYEFSAPRFFDFVRGESEEDRRTAELWFETALSYAPSPCMARIKTGSRCFQMESLCSFSEADQTQKASESSDETNSKSNSEEKVQAELMPDKVTDEVKLSKETLEINQTVTQISNDNEDNENLGTICAQENRSALAERVPSTVTADKENSKSSAKVETEACTPKPVLNIQQKQVSDKKHQTAKKIASMVRNSSALKPKNQLQCNTGTPNLAQENQAVKRQKLDGGRSRQILNLKPHNLPHKSKLGVTSSSSNLCSSTAKTSNKLDRKVYVREPAPFVSTAEMMKKFQSSTRDLSLPQSSLSHDGAISVQTKPKLTLTRPKEPEFETAQRVRSVRVKSTAELEEEMMAKIPKFKARPLNKKIFEAPTLPALPRSTPKPPEFQEFHFETMARANQNVETSSVASASTNVSHQNNQWKPHHLTEPKTPVLQTSLRARPPKVKSSIELEQEELEKAPKFKARPLNKKIFESKGELGIFCNAKKQVTVPQEFHFATNERIPPPAVVFDLFDKLSLKSEPSHDPIPRNTIPNPFHLHTEERGADKERKFVMEVIEKRIEEERARVPKANPYPYTTDYPVIPPKPEPKQCTKPEPFQLESLMRHEEEMQKEMEERRRKEKEEAQMRVFKAQPIPKEDPIPVPEKVRKPLTQVQQFNLHVEHRAVERNEFDQKIKEKEMMYKRYREESEAARMIEEEKALKQMRRTMVPHARPLPKFDNPFCPSKSSKEITKPKSPNLRVLQRKERRRIMIDAATSSPATLMR >OMP11070 pep supercontig:CCACVL1_1.0:contig01595:2161:2499:-1 gene:CCACVL1_00686 transcript:OMP11070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGIVGNDDGRLGRGGRVTLGALGMVGNVGMLASGGRDGNEGIAGCGRFGIDGNGGSFPVGIGGKGGSCCRRWRPASPPLMLEIDKATKNATTKQLKEAMSRGFKLTTTFC >OMO56631 pep supercontig:CCACVL1_1.0:contig14494:9315:10733:1 gene:CCACVL1_26401 transcript:OMO56631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAEIDRLPIDLLAHILVMITSFTDLAQASGVCRKWRQGVKQALARREALSFAGCKMDDDSTARLVRHAYSLKELDISRSRWGCQITDDGLYKISMAKCVSNLTSVSLWGMTGITDKGVVQLITRANSLQHLNVGGTFITDESLTAIADSCPRLKTIVLWSCRHVTENGLFVLVSKCRKLESINVWGTRVPLDCFIGLVAISPALQIKPKGLPLNVGVGVGGAVAMLPVV >OMO56632 pep supercontig:CCACVL1_1.0:contig14494:11998:14777:-1 gene:CCACVL1_26402 transcript:OMO56632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLACFADHLGLCRATAIGYLFLLLFSFFISISTACSNGNCQVLDSCSTATDCGSGLYCGNCPSLGKTQPFCTRGQAIIPTSVVGGLPFNKYSWLVTHNSFSIVDAPSSTGVQRLTFYNQEDTVTNQLMNGVRGLMLDMYDFDGDIWLCHSFRGQCFNFTAFGPAINTLREVEAFLSQNPTEIVTIIIEDYVHTPKGLSKLFANAGLDKYWYPVSKMPKKGEDWPTVNEMVEANHRLLVFTSVASKEAEEGIAYQWKYILENEAGDPGVKPGSCPNRKESRPLNSKSASLFLMNYFPTYPVETEACKEHSAPLADMIGTCYKAAGNMLPNFIAVNFYMRSDGGGVFYDLDSMNGQRLCGCNTIAACQPGAPFGSCKNISIPAANPVSNTAGSFSGSVQFSRSASPVNHPNRFVVGLFAFPLFIMFLL >OMO56634 pep supercontig:CCACVL1_1.0:contig14494:27579:28608:1 gene:CCACVL1_26404 transcript:OMO56634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKLLSHPSATAVLGYTLGGCSGWATSMLVLDKWERHAKQKRIDEKTEELSAHDRPSKEVEAKAALTAEA >OMO56633 pep supercontig:CCACVL1_1.0:contig14494:16439:22613:-1 gene:CCACVL1_26403 transcript:OMO56633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSSPSDSVNRSEPPKDASMTEIEENTPNAEEVLSVIDSLKKQVTADRSVSVKKRLEENQKKLRGVTSHLYKLSNERRSSWISDTDSALDLLTKRQKDALGMQNGNDVNNGDNNCHGYQEPSTAVLMGSSIPVKNAVRPIKLTEMKRLPPYTTWIFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEAIEDEEEKREFVESEDFILRMTIKQLGLSDLVLESLAQCFSRSPSEVKARYETLMKEEKDAGASKDADIEAQNWNSFLEKDVEAALDSFDNLFCRRCLVFDCRLHGCSQDLIFPADKQAPWNHPDEENTPCGPHCYRSVLKSERNGAASSPINTEEKSNSSSDGAGTQTSSRKKSSGPSFRKKVKSGQSESASSNAKNLSDSSDSEHGDSSPPHQSSPSKNKIAGKTGIRKRNSKRVAERVLLCMRKKQKKMAASDSDSVVSGGVSPADTKLRSNARKENEDATSSSQKDGKSPNTGRSRRKELQEGLQGEVPEVPSNETTNDLLHTSSNGGLRKDEFVDENLCKQELSADKSWKAIEKGLFEKGVEIFGRNSCLIARNLLNGLRTCWEVFQYMTCSDNKLACHTADGVTSLLEGYSKFDLNGTMGNNEVRRRSRFLRRRGRVRRLKYTWKSAAYHSIRKRITERKDQPCRQYNPCSCETACGKQCSCLLNGTCCEKYCGCPKTCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTGSLGVPPQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERINAGEELFYDYRYEPDRAPAWARKPEASGSKKEDGAPSSGRAKKLA >OMO56630 pep supercontig:CCACVL1_1.0:contig14494:5569:6507:1 gene:CCACVL1_26400 transcript:OMO56630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDTDCQVTPPLGSEKVTTSEVSPSESENVTPPEEGSKKETQSEKALPSKSKKESSEKETEEEAEKAYFWEIVWKSIVEGWKKFAKVFTGLWAFLGVVWVGNNINFASSLYALGTALIYPLFQRIKKFCEDIYKKCFKPPVEKAGEISFI >OMO56635 pep supercontig:CCACVL1_1.0:contig14494:32929:37774:1 gene:CCACVL1_26405 transcript:OMO56635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MALQFTKTLSKTDVEKRLALPTKYMKHLPEFRGGHQAVLNLPVGDVNGTQWHFGYTIRCQGHPKPVLSSGFSNSVYSLQSIVKKQGKQTDISQFRAQLDALGLKIIQLTADGNCFFRALADQLEGDEEEHGKYRSMVVQYIVKNREMFEPFIEDDVPFDEYCQSMEKDGTWAGHMELQAASLVTRRNICIHRNMSPRWYIKNFDDRGARMVHLSYHDGEHYNSVRLKEDPCNGPAIPVIIKADADISASSNQAKAAVSKPKGTAGKDNINTGPIKLVLAGSGCESADKVEQVLLEVDGDIDAAIEFLIAEQGSEQDTAKNDSLPHHVDGSYGNDENGNSEQHKEEAVRKTCKQDPSSDSIKTLDDSSSRPDEKKIPRNKLCPCGSKKKYKACCGSASRKSSTKLIVNQTTDARRGRKDRKQGKKGASATPAASPVSDGGAPDVGALCI >OMP04710 pep supercontig:CCACVL1_1.0:contig05685:1428:1589:1 gene:CCACVL1_02144 transcript:OMP04710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase VGGWTQEYAGLNFVSVRGAGHEVPLHRPKQALTLVKGFLSGTPMPDLKLVSDS >OMP04711 pep supercontig:CCACVL1_1.0:contig05685:2461:3218:1 gene:CCACVL1_02145 transcript:OMP04711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLFNSRALQPSNISTIHKIVNNPLICSSLCGFSAQAAKLQVPHNPISMSVPCSDFDSHTYGALLQRCIQNDDPISAMRLHCDILKRGNCLDLFATNILLNMYVKADLLSEAKILFDEMPERNTISFVTLIQGYTKVLQFVEAVGLFVRLHREGHELNPFAFTSVLKVLVSMEWADMGWNLHACIYKLGHESNAFVGTALIDAYSVCGRVEFAREVFDGIRCKDMVTWTGMVACYAENDFFEEGLEVFSQM >OMO81868 pep supercontig:CCACVL1_1.0:contig10090:81105:81477:1 gene:CCACVL1_12161 transcript:OMO81868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKGSQGFTKKFHNQKRPVCTFCGKEDHTADKCFKKHGYPTGVKNNFKKVNASANSVESLDVTINPNVSDPLVSTTSNSPSSNMQEGCQFTKAQYDQLLRMIQGQHQVNAVAVAAQPHIANSS >OMO81867 pep supercontig:CCACVL1_1.0:contig10090:45120:45350:1 gene:CCACVL1_12160 transcript:OMO81867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCQATSKEEAKSATCLNHLPIMFQQVLKPYGAMKKTHTMKLLNGIGCQYEHLLNAAIKLDNLTSFLSSISDYFAT >OMO81866 pep supercontig:CCACVL1_1.0:contig10090:29798:30556:-1 gene:CCACVL1_12159 transcript:OMO81866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSLLNPRGREKRPRSELPPAANYYPLLLP >OMO85862 pep supercontig:CCACVL1_1.0:contig09566:18317:19856:1 gene:CCACVL1_09957 transcript:OMO85862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S15 MGRMHSRGKGISASALPYKRTPPSWLKISSQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPTWKYESTTASTLVA >OMO85866 pep supercontig:CCACVL1_1.0:contig09566:32313:36333:1 gene:CCACVL1_09961 transcript:OMO85866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MNDIKALLMGPYWHCNAVAEPTIVIRGAATLLLAAALAIAWWFGLIGTYGGSSISWRSNGPEWRKLRRIFVSEVMSNASLDAIYVLLRREVGAMVRYVYAKDGSPIDIGDQMFLTNLNVILSMLWGASLHGEERSRLGIEFRQSVKEFVKLLGAPNISDLFPFLTRFDLQGVQFKMQKALMWFDKIFESVIAQRRKVDDHQQAEESKDLLQLLLELNQQQGDYKSPLSMNEIKALFM >OMO85859 pep supercontig:CCACVL1_1.0:contig09566:1293:2077:1 gene:CCACVL1_09954 transcript:OMO85859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWSAENATKAYLKTLKMGQKANEPNVAEFVSALAAGNNAQLMVVACAGAANSTVLALVAAAHQTGGRVVCILSGTEQLQLSNKILGYDACHVEFVVGEAEDLLFSHYSEADFVLIDCKMENHEGILKAVQVQASRKRTGAVLVGYNAFAKGSWRSSGSKTQLLPIGEGLLVTRINAVKPKVESGLIGKRSHWVVKVDKCTGEEHVFRVRFPQGKVIQA >OMO85860 pep supercontig:CCACVL1_1.0:contig09566:5635:8374:-1 gene:CCACVL1_09955 transcript:OMO85860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKATLFFFLLLLATQCCFSSNSELKALMDIKAALDPDDRYLSSWTSNGDPCNGRFEGLGCNEKGQIANISLQGKGLSGKVSPAISELKHLTGLYLHYNSLYGEIPREIANLTLLTDLYLNMNNLSGEIPSEIGNMESLQVLQLCYNQLTGSIPTQLGSLKKLNVLELQSNHLTGAIPASLGDLGTLMRIDLSFNHLFGSIPMKLADAPLLEVLDIRNNSLSGSVPLALKRLNDGFLFQNNFGLCGSGFPSLRACSASDSINPGRPEAYGPREIPETANLKLPCEQTQCSRPSKSQRGPILVGLIVVTIALSAIGILSFTQYRRRKQKLGTTFEISDSRLSTDHQVKGVYRKNGSPLISLEYANGWDPLADGRSFSGFAQDVFQSFRFNLEEVETATQYFSEVNLLGKSNFSATYKGILRDGSTVAIKSIGKSSCKSDDAEFVKGLNVLASLKHENLARLRGFCCSKARGECFLIYDFVPNGNLLRYLDVKDGDGLVLEWSTRVSIVKGIAKGLAYLHGYKANKPALVHQNISAEKVLIDQRFNPLLSDSGLHNLLTNDIVFSALKASAAMGYLAPEYANTGRFTEKSDVYAFGVLVFQVLSGKRKVTNLVRSGAESCRFQDFIDPNLHGRFFEYEATKLARIAWVCTHDNPIERPSMEAVVQELGNFSSCL >OMO85863 pep supercontig:CCACVL1_1.0:contig09566:21307:23135:1 gene:CCACVL1_09958 transcript:OMO85863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sensitive chloride conductance regulator MPFQLTRSPTLLPIETGDDEDNSEDSDSDCNEVLDLTKVTEMRLIPSDASQLDTLFQIFCECAELNPEPIEGEEEGHNWIFSADQLGEAAGAMDGDDLEWNIPQNPNTIGHSNGDHDLARNVLELQINDQRFDDAEEMEEVNDSSHNHQ >OMO85861 pep supercontig:CCACVL1_1.0:contig09566:10142:10258:1 gene:CCACVL1_09956 transcript:OMO85861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLRCHVVSKEWTVAIRTNYEVMWPFCNWIGDSSPAP >OMO85864 pep supercontig:CCACVL1_1.0:contig09566:23797:23913:-1 gene:CCACVL1_09959 transcript:OMO85864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAAASTRVAAPHCSSNRSPGKLEQKPYPKRFSMLQE >OMO85865 pep supercontig:CCACVL1_1.0:contig09566:24996:31858:1 gene:CCACVL1_09960 transcript:OMO85865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MENLSSKVSVLACQGSYWNCNGLAERTIVIRGAATLVIAAALAIAWWFWFCKKFTKSRAPLPPGPPGLPILGNLPFLKPDLHQYFHKLSQIYGPIIKLQLGSKTCIVVSSASVAKEVLKDHDAILANRDPPIAGTYGGSNIAWRPNGPEWKKLRRLVVSEVMSNTSLDASYALRRREVRETVKYIHAEVGSPINIGDQMFLTILNVILSMLWGGSLQGEERSRLGIEFRQLVKEFVELVGAPNVSDLFPFLTRFDLQGVQLKMKNVVMWFDKIFESVIAQRRKVDDQVDEDQGKDFLQLLLELNQQGDYKSSLSMNDIKALLMGSYWSYNGLAERTIVIRGAATLVLAAALAIAWWFWFCKKFTKSRAPLPPGPPGLPILGNLPFLKPDLHQYFHKLSQIYGPIIKLQLGSKTCIVVSSASVAKEVLKDHDAIFANRDPPVAGLIGTYGGSSISWRPNDPEWRKLRRIVVSEVMSNTSLEASYGLRRREVREMVKYIHAEVGSPINIGDQMFLTILNVILSMLWGGSLQGEERSRLGIEFRQLVKEFVELLGAPNVSDLFPFLTRFDLQGVQFKMKNAMMWFDRIFESVIAQRRKVDDQVEEEGKDFLQLLLELNYQQGDYKSSLSMNDIKALLMLSMENFLGNLACQRQGSYWSYNGLAERTIVIRGAATLVLAAALAIAWWFWFCKKFTKSRAPLPPGPPGLPILGNLPFLQPDLHQYFHKLSQIYGPIIKLQLGSKICIVVSSASVATEVLKDHDAIFANRDPPIAAIIGTYGGSNISWRSNGPEWRKLRRIVVSEVI >OMO67938 pep supercontig:CCACVL1_1.0:contig12347:3890:5449:1 gene:CCACVL1_20185 transcript:OMO67938 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATECP63 MQDQSESTVSGGEKVKKEELHFKVKSKDMDPSNEKAENIEVELELKTKSVEKEKVKHEKDKEVKHKEKDEEKSKKDNKDEEKKEKEKEKKKEKKHKDKEVEVEKDEEKDEKKTTKDKEKEKKKEKKHEVTEDEDEKTEEKKKDKEKKKEKKQDKGDEVEDKEKKEKKKNKDEKEKEKKKEKKLKDEEDEEDKGKKDKKQKDKDDVDEDEEATKKEKKKDEEGKEKKKDKEVETEDDGEEEKEVKKTEKKKGKEGKEKKKDKEVETEEDEDKEKEAKKKEKKKEKGGKEKKKDKDETEEDVGEDEEVKKKEKKKDKEGKEKKKEKKKDKEDEDEEKELKDKEKMKDKEDKEKKNKKKDEEEEGEEDEEKKKKKGKDEKEKKKQKKHKDDEEEGEVDVETDEKKMKKEKEVNKEKKHKDEGKTDITPREIVIEDIEKESEGEVEQEKQKDKTKEGKEKEKDTKSEKKRKLDEKDTSKDLSKLKQKLEKINSKIEALLQKKADILSQIKGAEGKSSEVEVAE >OMO67939 pep supercontig:CCACVL1_1.0:contig12347:6164:9131:-1 gene:CCACVL1_20186 transcript:OMO67939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQYMKYKDPKQPLGARIKDLMSRMTLEEKIGQMVQVERNTSQPEVIKNYFIGSVLSGGGSVPAPQASAKEWIELVNGIQKSALSTRLGIPMIYGIDAVHGHNNVYKATIFPHNIGLGATRQIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPSIVKAMTEIISGLQGEIPSNSRKGVPFVAGKRNVAACAKHYVGDGGTTNGINENNTVIDRHGLLSTHMPGYYTSIINGVSTIMVSYSSWNGVKMHANHDLLTNFLKNTLRFRGFLISDYQGIDRITSPTHANYTYSIEASILAGLDMIMVPFDYKEFIDGLTNLVKKNVIPMSRIDDAVKRILRVKFVMGLFENPFADESLVDHLGSQEHRELAREAVRKSLVLLKNGQSADPPLLPLPKKATKILVAGSHAHNLGYQCGGWTIEWQGLSGNNLTSGTTIFTAIKNTVDPTTEVIHMENPDAEFVNSNNFSYAIVVVGEPPYAETFGDSMNLTIPESGLSTISNVCGTVKCVVVMISGRPVSIQPYLSNIDAFVAAWLPGSEGQGVADALFGDYGFTGKLSFSWFKTVDQLPMHVGDPHYDPLFPFGFGLTTTPTKA >OMO94195 pep supercontig:CCACVL1_1.0:contig07945:6820:8643:1 gene:CCACVL1_06115 transcript:OMO94195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELASSNKTTSQSKSDPSDISSHNPTSPYFLHSSDHPGAILVSSLLNGDNYPTWSRAITNALKAKNKFGFVDVISTTASLMQNQPWRFGLTLRKDSPKILSTDPLPSLSKAYALIIREEKQQILQSTRSPTMEAAAFLVKVGPLKEVLLKRVEVMEKKFDSMTKYQRNLNYEFGLSNEESKRTSEAEESYKIGVRAMKQGDNSLSMPSNCNSTESSIGLPSILAYNSLMARKSKAKVDRDDGLPDLFDYGAQTRSGFNSVGTE >OMO81764 pep supercontig:CCACVL1_1.0:contig10110:2425:2541:1 gene:CCACVL1_12228 transcript:OMO81764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGAAIGTNLMEIGAESQSIQTSGPPRSTTRLVGTGGVP >OMO81767 pep supercontig:CCACVL1_1.0:contig10110:36666:36740:1 gene:CCACVL1_12232 transcript:OMO81767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSLIMPRTTNVSAQASLRYRL >OMO81768 pep supercontig:CCACVL1_1.0:contig10110:38247:38444:1 gene:CCACVL1_12233 transcript:OMO81768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPREIPTREEAQIPFATTVPSKNIISNAARNRQARWQSKC >OMO81766 pep supercontig:CCACVL1_1.0:contig10110:33167:35580:-1 gene:CCACVL1_12230 transcript:OMO81766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTVDVTEDKHQLTSNLLLRTIRRKREQMFDVQEIQPIDANEPIDVEKKDEYDSKDGVPLNKKIKIEKD >OMO81765 pep supercontig:CCACVL1_1.0:contig10110:21456:28574:-1 gene:CCACVL1_12229 transcript:OMO81765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQYIGEEITSDRKHEFLRQEQLPPNPKRGDLTIARRRHLWLCRCLIELQWPPKPLK >OMO81769 pep supercontig:CCACVL1_1.0:contig10110:39287:41069:-1 gene:CCACVL1_12234 transcript:OMO81769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 35 SKVGCRRGCASSGLCIGLCRKQKLVPRISICFSLMMDNMNFLHSLIQQICVVLYPGDTTENGKLLRLKQQFFLCSASLQDIILRFKERRSGKGLRNGLNFPARLLTIAYTNHTVLPEALEKWSQPVMWKLLPGHMEIIEEIDKRFLALINATCPDLGHKLPSKSTLDHNPQKPVVTMANLCVVSAHTVSKPQQTFPQ >OMO63625 pep supercontig:CCACVL1_1.0:contig12928:1847:4180:1 gene:CCACVL1_22375 transcript:OMO63625 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEETLHLILQGCKLAKDLESNLGNLANQPEILSKSCEEIITIFAIAKQRLINNAQEAAALFTQREAQTSLQQQQQQQSTGDPSLQEWLKYGTVISQAMGMIQQQYLAAGGKTAPFEISEMGGKNMMEGSSSAASSRLKSSMGGEIQAMDVSDSGRASSSSSQRSRRRKDDDEKHIMTVPAPQMGNTDLPPEDNYTWRKYGQKEILGSRYPRAYYRCTHQKMYNCHAKKQVQRLDNDFNTFEVTYIGQHTCHMSSTAPSIPPPPPPPLAQDHHHQMMMATQSMVTQQSTPASSSSIPLGRWLSMEFSLGTSASGGSAGSSGGAGGSTTTAATRYGRDVVDYPVVADMADVMFNSGSSSSNSMDFIFPSVDDKWETGDKKN >OMO63628 pep supercontig:CCACVL1_1.0:contig12928:24241:24369:1 gene:CCACVL1_22378 transcript:OMO63628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHRCSSHINIPLNITRKSMFHCDERTSATRKEERKWKRLQ >OMO63626 pep supercontig:CCACVL1_1.0:contig12928:21372:21449:-1 gene:CCACVL1_22376 transcript:OMO63626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRMLASPYRRRIEFLDGRPALLGT >OMO63627 pep supercontig:CCACVL1_1.0:contig12928:23746:23817:1 gene:CCACVL1_22377 transcript:OMO63627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLQRREKNLEEHHIQRTKIE >OMO84856 pep supercontig:CCACVL1_1.0:contig09688:15070:15591:-1 gene:CCACVL1_10608 transcript:OMO84856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKRGKVQVKRLSVRMKKLRSEMVEISEEQQKIKEGQRQVREKFEAIEFECDQLRKETNLILKQSVSTQIRLAFMFQILKARENGEFDKAAQLTSALREFIARENQQKKILAPADDHVLRNK >OMO51414 pep supercontig:CCACVL1_1.0:contig15844:12849:12956:-1 gene:CCACVL1_29809 transcript:OMO51414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAGGRTLEFECEVRWLDEAVMGGAGGRRLEVEGE >OMP09215 pep supercontig:CCACVL1_1.0:contig03325:22:801:1 gene:CCACVL1_01071 transcript:OMP09215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPFEAVYGYAPPTVASYLPGSSKIAQLDDCLVERQTLLARLNVNLARAQNRMKMQADRHRKERHFEEGEWVWVKLQPYRQQSVVKRTTQKLAKKYFGPFQIIKRVGTVAYELKLPADSRIHPVFHVSLLKAYRGNLDINPTPLPALAIEDQPVLEPEVVLKTREVKYQDQNLPQILVKWKNLPEAEATWEWLDDVKTNYPAFNLEDKVVSDRESTDTSLAPSPNEPSSQDNSEAPVRRGNRARNAPPWHVDFIRQHLS >OMP10599 pep supercontig:CCACVL1_1.0:contig02128:351:902:-1 gene:CCACVL1_00854 transcript:OMP10599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEATDSPPSEIVFIGAVLTVLIVVAALLLIVFGFADPYPLGGMQSARWWWLRYPMVFAAVMLCVTLQTIIASRIDDVDFAKEFDRATDGMNAVLFATGLAVVTFGGGTLMNLYNASYGEGAIVKAKRISTFIVPSGPRSISRTEVQYEVLEGSLKGETLSSAGAARADTGHAPTTASLPCSR >OMP03203 pep supercontig:CCACVL1_1.0:contig06122:15222:16397:-1 gene:CCACVL1_02508 transcript:OMP03203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIKLVSSELKAVLKWRLDNLQNAQGKAQKQKRTASN >OMP03202 pep supercontig:CCACVL1_1.0:contig06122:4113:4283:-1 gene:CCACVL1_02507 transcript:OMP03202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLISHVNPGYYRALFSTDITNPLYTLNGHNPYQKSPPLIRLHAGNVALPYQRKKA >OMO99906 pep supercontig:CCACVL1_1.0:contig06775:609:1586:1 gene:CCACVL1_03553 transcript:OMO99906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVQVVERLWVPELSLERYGIRHIAKECYKIRPKQNQVSANVATSSSKPSNSWLLDIGALHHVTSDLRNLELTERYGGTDELVIGDGSGLSISHTGSTSIPTKNSSFILNDVLCVPRANKNLCSVSKFCKTNNVSVEFSHFLILLRICPRGEHLQEAGVMEASRSSTLPGQSLIAMPLLM >OMO99908 pep supercontig:CCACVL1_1.0:contig06775:17461:29286:-1 gene:CCACVL1_03555 transcript:OMO99908 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase, type IA MSGAIKVLNVAEKPSVAKSVSGILSRNQGLRVREGRSRYNKIFEFNYSIRGQHCQMLFTSVTGHLMELEFEDRFRKWHSCDPVDLYHAPVRKHVPEDKIDIKRTLEEEARKCQWLVLWLDCDREGENIAFEVIDVCRAVNRHLIIRRARFSALIDREIHHAMQNLVDPNMWFSDAVDARQEIDLRIGASFTRFQTILLRDKFIIDSATDDRNLVLSYGPCQFPTLGFIVERYWEIQSHEPEEFWTINCSHKSDEGLATFNWMRGHLFDHTCAVIIYEMCVQEPSATVTKVQQQEKFKYPPYPLSTIELEKRASRYFRMSSEHTMKVAEDLYQAGFISYPRTETDCFSSRTDLHAIVQEQREHPEWGSYAQRLLDPEARLWRIPGSGGHDDKAHPPIHPTKFSAGEHSWTQDHHKIYELVVRHFLACVSKPAVGAETTVEIDIAGELFSAIGRVILERNYLDVYRYESWGGSLIPTYTPGQQFIPTSLTLDTGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRFYATKDSNTRFSPTNLGEALVMGYDDMGYELWKPNLRSMMEFNMKEVSVGNKTKDEVLATCLQQMKACFLDARLNKVKLLEAMAIFFERSNRAAGDDNNAAGDVVRQCGLCQESSMVLKKNRDGNFMVGCLGFPQCRNAIWLPGSVLEAAVTSNICSSCTPGPVYLIQFKFRQLEIPPGFNANHLGCIGGCDDTLRQLIEICGTGSRMSARGRGPTVTSTNAQRSSNRQSACLHCQQTGHSSNDCPSQHSGSRNSRSRMNSQNGETSVSCSTCGTPCALRTANTANNRGRKFYSCPSQECNFFMWEDDHNNGNGGRSIQRANINSSASNSSRRGGRGQSGRNGGGGRGADVTFVSATGDPVSGRRCYVCGDPSHFANVCPNRGM >OMO99907 pep supercontig:CCACVL1_1.0:contig06775:2853:3740:-1 gene:CCACVL1_03554 transcript:OMO99907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MLGNNLPLKPEVIALFKSRNITRMRIYGPDQATLQALRGSEIELMLGVPNEDLRRIATNQAEANNWVQTNVRNYANVRFRYIVVGNKIQPSDSAAQYLVPAMQNIRSALLYPYNQIKVCTAVDVIELSFQFRPFFAQLIPILVSNNAPLLLNMYPFSAYDVTPAISLEYALFTAPNAVVSDPPHQYQNLFDAMLDAVYAALDKAGGGSLEIVVSETGWPSAGGSKRGAANINNARTYNQNLINHVKGGTPRRPGKPIETYIFAMFDENSKPGEEIERHWGLFSPNGQPKYPINFN >OMO95744 pep supercontig:CCACVL1_1.0:contig07614:8735:10742:1 gene:CCACVL1_05279 transcript:OMO95744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARLTPDQKGLGLLRFYLYQQDGLGVSLSIMEFL >OMO95745 pep supercontig:CCACVL1_1.0:contig07614:19767:20831:-1 gene:CCACVL1_05280 transcript:OMO95745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFSLAEALKSPKALGAPWKVYGSARREKPSWFPSSLLDGYISFDATDADDTRNKLGPLSNQVTHVFWVAIQVRRNELPDITVNAAMLGNVLNVLKSSPTSRLSHVTLQTGTNHYLHPIFYTPHLNLHGPPFTEDLPRLPYPSLYYALEDIVFTHAPSLTYSVHRPSIIIGASSRSVCNMLLTLVTYASICRHEGLVFRYPGNKYTWEHFCDMSDTRVLAEQQIWAAVTPHAENQAFNCTNGDMFTWKSLWKVLCDIFDLEFVPFDESEQFDFVGLMKGKGKVWDEIVEKHGLCKTKLEEITCPFALWFVLRLEFQHVSSMNKSKEFGFFGYANTLKSLGLWVERLREMRIVS >OMO95743 pep supercontig:CCACVL1_1.0:contig07614:6264:8394:-1 gene:CCACVL1_05278 transcript:OMO95743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S54, rhomboid MDEETPADKPHAQIDIKSPPPPPPPFSFSVDNVNPEKNTEVEGKFPFFKSRYRQRASDTWLISFFVILHLLAFITTMLFNYFSLGTALFQPLSENPLLGPSASTLDKVGALRRTFLAQTNQTWRLFVCPWLHAGLIHFSINIGCMVFVGIHLERDYGPLRIGIIYLVSAFFGSLVCSLFVGNSPVVTSSAALFGLLGAMLSGLIRNWKVYTSKCAALLVLFIVFAVNFLLGLLPYIGNFANIGAFIPGLLLGFVLLFTPQIRQMSKNKMGLFEYSVKRSSNLKQKLKFGMPVLRGVSLLLFTILLVGCLIAVFRGIDINQYCEWCRFVDCLPSKRWSCNDRTNACENALSSAF >OMO95742 pep supercontig:CCACVL1_1.0:contig07614:3279:3431:1 gene:CCACVL1_05276 transcript:OMO95742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHECLYFVHGWKFSLKVAVGINNKPAVAVDNKEKEKGISECYNTKGCS >OMO55944 pep supercontig:CCACVL1_1.0:contig14572:146859:148502:-1 gene:CCACVL1_26895 transcript:OMO55944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MVRAMALWAGIILILVAAGVVVGADIGVNLGAQSSHPLHPSIVVNLLKDNGMKKVKLFDSDPWYVAVGNEPFLSSYNGAFTNQVFPALQNIQKALDNAGVGDKIKATIPLNSDVYESGSDKPSEGAFRGDIKELMTQIVHFYKENNSPFVVNIYPFISLYQNPDFPKDFAFFDGGHPITDKNIQYSNVFDANFDTLVYALKKAGVPDLKIIVGEVGWPTDGNVYATKDNAKKFYDGLFKKLASNKGTPLRPGKMEVYVFALFDEDLKSVLPGFFERHWGIFTFDGKPKFPMDFSGNQKDKLLVAAKGVTYLEKQWCVFDPTAGKQYLLPAQLDWACGHADCSSLTNGSSCGNHDATVKNSYAFNSFFQVNDQDVEACRFEGMGTIVKEDPSKGSCQFPIMIETAGVERLSLRFGVICAFVSFLSFFITLI >OMO55936 pep supercontig:CCACVL1_1.0:contig14572:115231:116637:-1 gene:CCACVL1_26887 transcript:OMO55936 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGMAEPKILLIALPAQGHINPSLQFAKKLISYGVHVTFMTAVSGFSRMNKTPPSIQGLSYAKFSDGYDGGYDMRTVDVNHYMTEARRCGSQTLRDFLVESINNDTKFDCIVYNLMLPWVAAVAREFHIPSTLFWNQPASIFNIYYQSSKGYEEIIKNGVEDPSFLIELPGLPLLSRHDLPSFYIPGNSYAFAMSTLKEHMDVLDEEITKPKVLVNTVDALESEAMKAVGKYKLVGIGPLMPSAFVDGKNPNETSFGVDLFKLSKEKEAMEWLNSKAESSVIYVSFGSLTVLSKEQIEELAKGLLETERPFLWVIREDGIKEGELKGLSCHEELEKQGMIVPWSSQVEVLSHQSVGCFVTHCGWNSTFESLVCGVPMVTFSQASDQPTNAKLVEDVWKTGVRLTKNEEGLVEGCEIKRCLELVMGEGERGEKIRKNAKKLKELTKEAAVENGTSDKNLKDFVDELCNSC >OMO55938 pep supercontig:CCACVL1_1.0:contig14572:123588:124257:1 gene:CCACVL1_26889 transcript:OMO55938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLQVLLVTFPAQGHINPSLQFAKKLIGYGVHVTFMTAASALNRMNKTSTVDGLSYASFSDGYDEGFKRGTVEPDHYMVEKLKL >OMO55927 pep supercontig:CCACVL1_1.0:contig14572:50686:52698:-1 gene:CCACVL1_26878 transcript:OMO55927 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II, Rpb4 MSGEEEENASELKIGEEFLKAKCLMNCEVALILEHKYEQLQQTSEDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDDAIERMLNDLSLIKKFE >OMO55919 pep supercontig:CCACVL1_1.0:contig14572:398:493:1 gene:CCACVL1_26870 transcript:OMO55919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQLALQEQYMKREGEGLASREGRREMVIGER >OMO55933 pep supercontig:CCACVL1_1.0:contig14572:103416:105142:1 gene:CCACVL1_26884 transcript:OMO55933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L4/L1e MAAAAVRPLVNVQSLEGDMATDAAPTAHLPDVMKVAIRPDVVSYVHDNISRNKRQPYAVSKRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRKINVNLKRYAVVSAISASAIPALVMARGHRIESVPELPLVISDSAESVEKTSGAIKVLKQIGAFADVEKAKDSQAIRAGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNLPGVEIVNVDRLNLLKLAPGGHLGRFVIWTKSAFEKLDSIYGTFDKASEKKKGYVLPRPKMVNADLARIINSDEVQSVVKPIKKDVKRATLKKNPLKNLNAMFKLNPYAKTAKRMSLLAEAQRVKAKKEKLDKIRKPITKEEKAAGKAWYQTMISDSDYTEFDNFTKWLGVSQ >OMO55934 pep supercontig:CCACVL1_1.0:contig14572:105692:107008:-1 gene:CCACVL1_26885 transcript:OMO55934 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAQPHILLVTIPAQGHINPSLQFAKRLISHGVDVTFMTAVSAFNRMNKTSTIQGLSYASFSDGYDQGFKQGTDDINHYMVEIKRCGSQSLREFIAKSINQGTKFACVVYCTLLPWVPLVAREFQIPTTFLWNQTATVLNLYYHSFKGYDNSLLSHHDLPVFLIPSNPCTLVLAAFKEHFEILDQETNPMVLVNSIDALEAEAMKAFVSYKSVGIGPLLKDPLETSSEGDLFSVSKEYKEMKWLNSKPESSVIYVSFGTLSVLSKAQMEELAKGLLETGRPFIWVIKETKPEQEDEGLSCQEELEKQGMILPWCSQVEVLSHPSVGCFVTHCGWNSTFESLVCGVPMVAFPQSSDQPTNAKLVQDVWKTGVRVRKNEEGLLEGCELKRCLDLVMGNGKTGEEIRRNAKKWKELTREAAKENGASDKNLKAFVDGLFTTS >OMO55925 pep supercontig:CCACVL1_1.0:contig14572:38233:42463:1 gene:CCACVL1_26876 transcript:OMO55925 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MDLSTKFNRLDLRSTFFTSLRPSFTPNSSSLLSPKSLKFRPTRISAQVSTLSVETSVKDAENDIESLFSSNAVEEIDRKRSNKQPTGASGISSGVKLENISKSYKGVTVLKDVSWEVKKGEKVGLVGVNGAGKTTQLRIITGQEEPDSGNVIKAKPNMKIAALNQEFEVSMSKTVREEFLSAFKEEMEISERLEKVQKAIERSTEDLELMGRLLDEFDLLQRRAQSVDLDQVDAKVSKLMPELGFSPEDSDRLVASFSSGWQMRMSLGKILLQEPDLLLLDEPTNHLDLDTIEWLEGYLNKQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTFEGNYSQYVEAKAAWVEAQYAAWEKQQKEIEHTKDLISRLGAGANSGRASSAEKKLERLQEEDQIEKPFQRKQMKIRFPERGRSGRSVATIKNLEFAYEDEMLFKKADLSIERGEKIAIIGPNGCGKSTLLKLIMGLEKPIGGEVILGEHNVLPNYFEQNQAEALDLDKTVLETVVEVAEDWRIDDIKGLLGRCNFKADMLDRKVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAIREYSGTIITVSHDRYFIKQIVNRVVEVKDGHLQDYIGDYNYYLEKNLEAREKMLEREAELEEKAPKVKAKSKMSKAEKEARKKQKMQAFQAAKQKSKGMKNSKRWK >OMO55922 pep supercontig:CCACVL1_1.0:contig14572:8441:11656:-1 gene:CCACVL1_26873 transcript:OMO55922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate kinase MEAAVACKLQYPTWIESERFGRKPTGSLSFGRRLRKDKAREIVSVRFPVQTSCSRRQRSVFVVSCSSYKNVSASTLETGSIQAPSDEDLLLKNKSREVEPYLNGHSIYLVGLMGSGKTTVGKILSHVLTYSFFDSDKLIEQEVNGLSVAEIFKLHGEGFFRNKETEVLRSLSSKKQLVVSTGGGAVVRDENWDYMQEKGIVVWLDVPLEALAQRIAAVGTQSRPLLHYESGDPYTKAMKRLSHLLQERGENYAKANARVSLEDIVGKLGYGDVLDITPTEIAIEALEQIEAYLKTEGGMAFAGL >OMO55920 pep supercontig:CCACVL1_1.0:contig14572:1769:2905:1 gene:CCACVL1_26871 transcript:OMO55920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTTATATATVKPSATSPPQPPQLIPSLPDDVALNIIARIPRCHHPTLSLVSKPMKSLISSPVLYTARSLLNAPEHFLYITLRLPHSPFLRFYALHQISTQPNNNPKNILVPLPHFPSPSLVASTFAAIGPRIYVIGGSVQDIPSSHVWSLDCRTHTWEAAPNMRVSRELAAAGVVDDKIYVIGGCVVDNWARSKNWAEVYDPKTGKWNSVPSPIEVRDKWMHASAVIDGKVYAMGDRNGVCYEVKSGSWGAVETDLDNGWRGRACVIDGVLFCYDYLGKIRGFDVKEGIWKELKGLDKVLPKFLCGATMVNLGGKLMVVWESKDGGGGKGMEIWCAEIEVKKDEAGDLWGKIEWSDVVLTVPLRTFIANCLAVAL >OMO55937 pep supercontig:CCACVL1_1.0:contig14572:119112:120512:-1 gene:CCACVL1_26888 transcript:OMO55937 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGAMAEPKILLVTVPAQGHINPSLQLAKRLIHYGVHVTFMTAASAFNRMNKTFTTTQGLSYATFSDYDQGFNRRTADLNLYMAELRRCGSQALRELIVESINTGTRFNCVVYSLMLPWVATVAREFHIPATFLWNQQATLFNIYYQSSKRYEEVIENGVKDPMFVMELPGLPPLSRYDLPSFYIPPNPLAFVLPTLKEHFEILDEETNPKVLVNTIDELEAEAMKAVDKYKLVGIGPLMPSNPNETSIGGDIFKVSKEKKEMEWLNSKPKSSVIYVSFGSLAVLSKAQIEEIAKGLLETGRPFLWVIREDGTEQKTGEEKGLSCEEELEKQGMIVPWCSQVEVLSHRSVGCFVTHCGWNSTFESLVCGVPMVTFPQVSDQPTNSKLVEDVWKTGVRLTKNEEGLVEGCEIKRCLELVMGGGERGEEIRKNAKKLKELTREGAKENGSSDKNLKAFLNELSINRQSC >OMO55929 pep supercontig:CCACVL1_1.0:contig14572:76583:77089:1 gene:CCACVL1_26880 transcript:OMO55929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLVWFIILSQQIQSVVCDIGQQKNEDQKAQPSVYQVVSNTLSLLKKSHKSSWEKMKTIMHNFQLQFTPPNLDFRGAGNEEGNMKEAVKKSVGTGKATVEETAKSAADMAGDAVHKTKEKVKGYVSDEKQSNHDEL >OMO55924 pep supercontig:CCACVL1_1.0:contig14572:30260:33178:-1 gene:CCACVL1_26875 transcript:OMO55924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRSKEKNAPWLSVPQFGDWDQKGQLPDYSLDFSKIREMRKQNKREVSRASLGNEEELINPTATSVSTAPSDDHHHHHSPTTRRSIFSYFNCCVKA >OMO55941 pep supercontig:CCACVL1_1.0:contig14572:132788:141015:-1 gene:CCACVL1_26892 transcript:OMO55941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKIVNLHYMLSKSMVKSRPTVLWCYKDKLELSSHKKKRAKQMKKLMQRGLLDPEKVDPFSLFVETGGLTYCLYKDSERILGNTFGMCILQDFEALTPNLLARTIETVQGGGLIILLLRSLSSLTSLYTMVMDVHERYRTESHSEATGRFNERFLLSVASCKACVVMDDELNVLPVSSHIRSISPVPVNEDSEGLSESERELKNLKEELNEDFPVGPLIKKCCTLDQGKAVITFLDAILDKNLRSTVALLAARGRGKSAALGLAIAGAIAAGYSNIFVTAPSPENLKTLFEFVVKGFDAIEYKEHLDYDVVKSVNPEFKKATVRINIFKQHRQTIQYIQPHEHEKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQSQVSKGVEGSLSGRVFKKIELSESIRYAPADPIETWLNALLCLDVTNSVPSISRLPPPSECDLYYVNRDTLFSYHRDSELFLQRMMALYVSSHYKNSPNDLQLMADAPAHHLFVLLGPVDESRNQLPDILCVIQVALEGQISRKSAMKSLSDGYQPHGDQIPWKFCEQFRDAVFPSLSGARIVRIATHPSAMRLGYGSAAVELLSRYYEGQLTNISELDFDDAEDNPQGQQLRLTEAAEKVSLLEENIKPRTDLPPLLVHLRERRPEKLHYLGVSFGLTLDLFRFWKKHKFAPFYICQIPNNVTGEHTCMVLKPLNSDDLEVSESDEWGFFTPFYKEFRLKFSRNLSLHFRNMEYKLAMSVLDPKIDFTDTEPTPSTSDELSKLIKTRLSPYDMGRLKDYTNNLIDFISISDLVSPLAHLYFQEKIPVTLSYVQASILFCMGLQKQDVSYVEEQMKKLERQQILSQFKKVMIKLYKYLYGIASKEIDSALPRLKERELEPHSISVDEDLNDAAKKVEEEMRAKTEGLLNPDFLQQYAIEGREADLENALQSGGEKLVSGGLITVKSSRSGAEKHGKQNESDKSAKKRGKDDRGSKSNKKRKP >OMO55939 pep supercontig:CCACVL1_1.0:contig14572:125234:127376:-1 gene:CCACVL1_26890 transcript:OMO55939 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MANLQTQILLVTFPVQGHINPSLQFAKRLVNLGVHVTFMTATSTIRRIMNKNDGLSYASFSDGYDDGFHLSIDINHYMAEIKRCGSQSLREFLSQSIDQGTNFTSIVYCTLLPWVATVAHSNVNTISTFKEHIEILDQETNPRILVNTLDELESEPMKAIGNGNYNIVGIGPLIRNPTEKTSYGGDLIKSD >OMO55923 pep supercontig:CCACVL1_1.0:contig14572:24287:28148:1 gene:CCACVL1_26874 transcript:OMO55923 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein-related protein MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPSSKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVIMYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRKKDKGGINFTSTVANTHLDLDTVKAICSEYRIHNADITLRYDATADDLIDVIEGSRIYMPCIYVINKIDQITLEELEILDKLPHYCPISAHLEWNLDGLLEKIWEYLNLTRIYTKPKGMNPDYEDPVILSSKKRTVEDFCERIHKDMLKQFKYALVWGSSAKHKPQRVGKDHELEDEDVVQIIKKV >OMO55931 pep supercontig:CCACVL1_1.0:contig14572:97392:99262:1 gene:CCACVL1_26882 transcript:OMO55931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MDVSMKRRTLLKVIVLGDSGYVYNKFNQQYKATIGADFVTKELQIDDKLVTLQIWDTAGQERFQSLGSAFYRGADCCVLVYDVNVHRSFETLNNWREEFLKQADPSDPEAFPFIVIGNKIDVDGGSSRVVSEKKARDWCASKGNIPYFETSAKEDYNVDDAFLCVAKTALASEHEHDIYFQGISETASELEPRGGGCAC >OMO55940 pep supercontig:CCACVL1_1.0:contig14572:128974:132002:1 gene:CCACVL1_26891 transcript:OMO55940 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP synthase 24 kDa subunit, mitochondrial protein MAFSTRLLSKSRQLYGSQAILRQEHAIPVRFYATPTPPVSEGDQFLKNLFLEMKTKFEAAIGVFRKEQITINPDDPAAVYRFANVMKMARDKAGSPTESERISEFIAEETEDIKDARTYFLTLQEVRIREGLVDDLGIEAMMMDAVDKVEKEIKKPLMRDDKKSMELLKAEFEKINKKLGIRQEDLPKYEEDLELKIAKSQLEEMKKEAVEAMETHQKREEFKDEQVPDVKSLDIRNFL >OMO55921 pep supercontig:CCACVL1_1.0:contig14572:4627:6525:1 gene:CCACVL1_26872 transcript:OMO55921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAPLLGPPAPAKAIEPSNQSDEVIVIGRQPQYDNLLWGYTENGSATFLSSGNPCLDFFFHVVPDTPPESLTQRLQLAWDHNPLTTLKLVCNLRGVRGTGKSDKEGFYAAAIWLHQNHPKTLAGNLDSLADFGYFKDLPEILFRLLEGSDVRKNRKEEWEEKKREKLYGSRFRSRYGSRFRFCRDEREKETQKKKSEPGVPKGEKDRIEKEKARASRKEKKINMAKKIIARYSHDPEFSFLHERVSDLFTVFLNADVESLKSGQVRKIGLAAKWCPSIGSSFDKSTLLCESIARKMFPRESNPEYESIEEAHYVYRVRDRLRKEVLVPLRKVLELPEVYICSNKWDSIPYNRVASLAMKFHKAKFLKHDKERFSKYLEDVKSGKTTIAAGALLPHEIIAMLKDSDNGEVAELQWKRMVDDLLKKGKLRNCMAICDVSGSMSGTPMEVSVALGVLVSELSDEPWKGKLITFRADPELQMVKGDDLKSKTHFVRHMDWGMNTDFQKVFDLILKVAVEGKLKPEQMIKRLFVFSDMEFDQASTSRRWETDYQVIVRKFKAKGYGESIPQIVFWNLRDSMATPVPGNQNGVALVSGFSKNLIKIFLDHDGDIDPEAVMEAAIAGEEYQKLVVFD >OMO55932 pep supercontig:CCACVL1_1.0:contig14572:100189:102740:1 gene:CCACVL1_26883 transcript:OMO55932 gene_biotype:protein_coding transcript_biotype:protein_coding description:FeS cluster biogenesis MAVSSITTNCPSLLRCSNYSKQSFSLPQTSVSFRFSQSSLSRRKPLSLRATAAPTEGLAPAITLTENALKHLNKMRADRDEDLCLRIGVKQGGCSGMSYTMDFEKRENARPDDSLIEYNGFTIVCDPKSLLFLFGMQLDYSDALIGGGFSFQNPNATQTCGCGKSFNAEM >OMO55928 pep supercontig:CCACVL1_1.0:contig14572:68692:75760:-1 gene:CCACVL1_26879 transcript:OMO55928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSFDCFCGEIESVRIPSVNLSLSVDA >OMO55926 pep supercontig:CCACVL1_1.0:contig14572:45523:47902:1 gene:CCACVL1_26877 transcript:OMO55926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVLLGLPGPWAEDNREPSDHYTTKIGGLPDWPFPIEALDPKLLHCLQCGSKLCLVAQVYAPVSSPTLKTEERLLLVFGCLKPKCGSIPRSWRALRIQKVENDAKESTSANAEDKDPAAASPVSVSKSNWWDSLGVADDDDVDLEDLSKAFSRATSLTSQSKQTNSNQNSESTVTHSSPFTPQTRQADTDTPVIPCFYIYSQAEASSKDVASKRSNYSSHSIKEKESYIDDCGHEEKWAPEKYEYDRALCADRTYLKFKKQLDASPEQCFRYAFGGKPLLATAEVRDTGKCRLCGASKRFEMQLMPPLIYFLQEEAEASHKGVLEDWNWLTLVVYTCSKSCSKSCDKEKSKCGNWLVVEETVIVQSDKTLNELAQRYFAG >OMO55935 pep supercontig:CCACVL1_1.0:contig14572:111354:112748:-1 gene:CCACVL1_26886 transcript:OMO55935 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGMAEPKILLITLPSQGHINPSLQFAKKLISYGVHVIMTAVSAFNRMNKTPPAIHGLSYATFSDGYDQGYDMIKTDVNHYMAETRRCGSQTLRDFLINNDNSHTRFDCIVYNLILPWVAAVAREFHIPATLFWNQPASVFNIYYQSSKGYEEVLIENGVVEDPSFLIQLPGLPLLISRHDLPSFYNPGNPFAIVLSTLKEHMAVLDEEITKPKVLVNTVDALEAEAMKAVGKYNLVGIGPLMPSNPNETSFGGDIFKVSKEKKEMEWLNSKPKSSVIYVSFGSLAILSKEQMEELAKGLLETKRPFLWVIREDGIKEGELKELSCLEELEKQGMIIPWCSQVEILSHPSVGCFVTHCGWNSTFESLVCGVPMVTFPQVADQPTNSKLVQDVWKTGVRVTKTEQGLVEGCEIKRCLDLVMGEGERGEEIRKNAKKLKELTKEAAMENGTSDKNLKDFVDELRNSC >OMO55930 pep supercontig:CCACVL1_1.0:contig14572:77659:82930:-1 gene:CCACVL1_26881 transcript:OMO55930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MFWRERERENKELNGGGPPCGQVRVLVVGDSGVGKTSLVHLIVKGSSTARPPQTVGCTVGVKHTTYGSPGSSSSSIKGDSERDFFIELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQKWAAEISATGTFSAPLGSGGPGGLPVPYIVIGNKADIAAKEGTKGSSGNLVDAARQWVEKQGLLPSSEELPLTESFPGSGGLIAAAKDARYDKEAVMKFFRMLIRRRYFSDDLPATNTWTISPVQRASQRLDENSSDDDQLYKRTSLSGDPYKYNMLPPLPAQRNLTPPPTLYPQQPVSVSENYSLPRFSFTGSQEINSSARSKQSKFLISFNESERIATELSLLLSLKPCSEWIGTGISHLIVVVQKRKEGKRERACLIQFVMVEVWWTLLGAAIPAVVAGQAFRLKKRRAEEQRIKSARGREKSSDDIFVCERVCTSKRMLKKVGAFSKDPIPDTCVTVCGVSELDACSDACARTVCVNQHQVPNWNDICLRRCQGECLRLSSSHSS >OMO55942 pep supercontig:CCACVL1_1.0:contig14572:141421:143760:1 gene:CCACVL1_26893 transcript:OMO55942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MCSVKAFSFISQTEFPIVKFPSHKPCKTLSPLFSNPPPFCKLKPLSFQTTKLHVSHNPTSILPTISSSVSPKTQFIKSLSEKIVVFLVGSFIFLGCFNARPCLALPAQTATSSRVNSEGKNGTQTANSEEEEMFEKVLEKEPRNVEALKVVLYGKMRRGKTKEAVECVEKLIDIEPNEVEWRLLQALCYETMGHLSKAKRLFKEILEEMPLLLRALHGLAMVMHKNHEGPAVFEMLKDALKLALREKRVTEERNIRILIAQMHVVKGELEEGLKKFQDLINDNPRDFRPYLCQGIIYSLLDKKEEAAEQFEIYQSLVPEEFPQRCFLDDVVLAAKSKSREWLQKEFEAEFSSKKGQF >OMO55943 pep supercontig:CCACVL1_1.0:contig14572:144657:146524:1 gene:CCACVL1_26894 transcript:OMO55943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Violaxanthin de-epoxidase-related protein MSLSLEPPPLPNPKTGVPLNRRSTSIRTALSPFSFSHCRRAASRFSLSSSFRSRAHVVTAVLKTEEDKGAVTEQVEPPVRIVAVVGEGTVSPLKSATWEEVMLHTASKLKWVDEGYEMVVFTNNFHQSIEQKAMNLQKELLSADILVVVAVKDEDSVKWIQANSRNIPNIICFESHHDLVNKLGGSYVQSEVKGNIFDKIVGISQQKKTNEPVEVVQTVSEAWDRHNSDDIRFCLLVIINAYIRPVPILKNLRSKGFSTLNCMVKNCGPQILDCLLDPNCRKALQCLNKCSPVDQVCNYRCIASYESPKLEAFSLCVLQKHNCLELDAKIPEKPYVQPMLSFRGKDLCHDAAEDLFVGWLGNLDWSWRVVAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPVFQVKTLEGEMVWRRRKYRVKRGKVPGTFYFSVLDNGVVSNEFWTIVDVCEDFSWGLFHYHGAARVAGQSYTGAVLVTPDGAYPKQGSRLSSALEKCGIKEWELYTVDNCSCQDPPLGIPEGSRLHSIVRVEDQSWASG >OMP08733 pep supercontig:CCACVL1_1.0:contig03630:2837:3973:-1 gene:CCACVL1_01100 transcript:OMP08733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MAYYVINYSKLRIWEFVEYDKMIYLDGDIQVFDNIDHLFDSPNGYLYAVMDCFCEKTWSQSPQYKIGYCQQCPEKVRWPAEMGSPPALYFNAGMFVFEPDLFTYHDLLETLKITPPTSFAEQDLLNSFFRHVYKPIPCVYNFVMAMLWRHPEAVDLDKIKVAHYCAAGSKPWRYTGEEENMQREDIKILVKRWWEIYEDESLDYKNCCRPHQYPHLISPLPAPALAPAPAQQDRVKAPSAA >OMP08732 pep supercontig:CCACVL1_1.0:contig03630:1287:2103:-1 gene:CCACVL1_01099 transcript:OMP08732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dimeric alpha-beta barrel MEEAKGVVKHLLLAKFKDGTTPEKIEQLIKGYAGLVDQIEPMKAFHWGTDVSIENLHQGFTHVFESTFETREGIAQYVAHPVHVEFANVFLAHLDKVLVIDYTPTIVPH >OMO74356 pep supercontig:CCACVL1_1.0:contig11138:61:7988:-1 gene:CCACVL1_16801 transcript:OMO74356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDTAYYDVLGVNVDASPAEIKKAYYLKARLVHPDKNPGDPKAAENFQALGEAYQVLSDPEKREAYDKHGKAGVQSDSMLDPSAVFGMLFGSEFFEEYVGQLALASLSSVEVDDNDLLDKEARMQKIQEKMKALQKEREEKLITILKNRLQPFVEGQTEEFIKWANSEAERLSKAAFGEAMLHTIGYIYTRKAARELGKDKRYMKVPFLAEWVRDKGHRIKSQVMAASGAVSLLQIQDELNKVNQLEVDKEENLLKTIEAKKEAMLQSLWQINVVDIESTLSHVCLAVLKDPSVSKDVLVLRAKALKKLGAIFQGAKAAYSRENSLRQESDTAMSTAGGRSKFVNKLAEEVVDAAFEDGGEELGVVHERLLRANTKDYGRYDPSPALVKPPFKLIPN >OMP00310 pep supercontig:CCACVL1_1.0:contig06688:18211:26553:-1 gene:CCACVL1_03384 transcript:OMP00310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSLAVVLQAALSPNPAERKAAEQSLNQFQYTPQHLVRLLQIIVDNNCDMAVRQVASIHFKNFIAKNWAPLDPNEQQKISQSDKDMVRDNILVFVTQVPPLLRVQLGECLKTIIHADYPEQWPRLLDWVKHNLQDQQVYGALFVLRILARKYEFKSEEERTPVHRIVEETFPHLLNIFNGLVQIVKPALEVADLIKLICKIFWSSIYLEIPKQLLDPNVFNAWMILFLNVLERPVPLEGQPADPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLRNPENRAFAQMFQKNYAGKILECHLNLLGVIRVGGYLPDRVTNLILQYLSSSISKNSMYSLLQPKLDVLLFEIVFPLMCFNDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFIQFIVEIFKRYDEAPLEYKPYRQKDGALLAVGALCDKLKQTEPYKSELEHMLMQHVFPEFRSPVGHLRAKAAWVAGQYAHINFSDQNNFRQALHSVVTGLRDPELPVRVDSVFALRSFVEACRDLNEIRPILPQLLDEIFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTEEADDEADDPGALAAVGCLRAISTILESVSRLPHLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISLDMWSLWPLMIEALADWAIDFFPNILVPLDNYISRGTAHFLTCKEPDYQQSLWTMISSIMADKNLEDNDIEPAPKLIEVVFQNCRGQVDHWAEPYLRIVVDRLRRTEKSRLKCLLVQVIANAVYYNPTLTLSILNKLGVTTEVFNLWFQLLQQVRKSGVRANFKREHDKKVCCLGLTSLLSLPGEQLAGEALGRVFRATLDLLVAYKDQVAEAAKEEDAEDDDDMDGFQTDDDDDDGDGSDKEMGVDAEDGDEADSIRLQKLAAQAKAFRANDDSDDDSDDDFSDDEELQSPIDEVDPFVFFVETVKVLQASDPMRFQNLTQTLDFHYQALANGVAQHAEQRRAEIEKEKMEKASAAAAPS >OMP00308 pep supercontig:CCACVL1_1.0:contig06688:11601:16322:-1 gene:CCACVL1_03382 transcript:OMP00308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MPWSKRLLLPLLFLITLSLTGQATPKPLQSHFTKFSRSPLNNSPSYYCKDAPYISACETVLLSLKTTKHASPKFTPKQLFDPTLQFSLNHAEMARAHVYGLSLSYQQFQLKNKLVLGAMNDCMELLDDTIELLSNVINGSNTNPSTHSFDDVQTWLSAALTNQETCIQSLQNHKLIMSTYSENTSLLGSLAQNLVHYITNSLALHVSSMKPDMNPEGSRRRLLLSSDSNFPSWVSKSERKLLEASVEEIVGWNKSNTNIKVAVVAKDGSGTHKTIGEAVFGLLLKDGNNGRTVIHVKAGTYHENLKFSTKQKKVMLIGDGKGKSVIVSDRNSEEGWTTFQSATVDTHPKLTMASQQQIDIVMIPMMAHGHLIPFLALAKQIHHRTGFTIAIVNTPLNIQYLRSALHKDPTPGITLAELPFNSADHGLPPNTESTENLPLDLIGNFFASSVSLKAPFHNFMLDILQKQTKRPLCIISDVFFGWTVDVAKILGILNYSFTTGGAYGTLAYVSLWLNLPHRQTDSEEFSLPGFPQRCRFHVSHLHRFLRNADGTDIWSRFFQPQISSSLQSSGWLCNTAEEIEPFGLDLLRKYIKLPVWTIGPILPKPLLTKSSTNPSNGLLYKQHAGKEPGISPEKCLQWLDLQGTDSVLYISFGSQNTISASHMIELAFGLEESGNPFIWVIRPPIGFDMKAEFRAEWLPEGFEERMSESKQGLLVKNWAPQLEILSHESTGAFLSHCGWNSTLESLSQGVRMIGWPMAAEQGYNSKMLVEEMGVAVELTRGLQSSISREQVKKVIQMVMDKQGKGGDMKKKAQEIAKHIRAAVREEGKEKGSSIQALDDFISTIIRKNEEL >OMP00309 pep supercontig:CCACVL1_1.0:contig06688:17712:17789:1 gene:CCACVL1_03383 transcript:OMP00309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRSLPGYQEMIRWNSQSTNGVT >OMP00306 pep supercontig:CCACVL1_1.0:contig06688:792:8778:1 gene:CCACVL1_03380 transcript:OMP00306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPPGIRRRFSASLPLVFLVAISFSVAVIADGGSQQDGTKLPGCNNPFLSVKVKMWVNGKEDADLSGISASFGASLPEEANKSPKLPAVLSDPLNGCSSSSSKLSGSVALSTRGDCDFTTKAKVAQSGGAAALLVINDKEEIDEMVCSGNDTSLNISIPVVMIRKSSGDKVSKYMAGNKVEFLLYAPSSPLVDITVIVLWTMAVTTILTASLWQEFGTSENADERYNELSPKESSNSGGDDDKEILDINVKGAVVFVISASTFLVLLYFFMSSWFVWLLVVLFCLGGVQGLHNCIMTPISRKCRNCSQKTLKLPLFGEVTIISLVVAIFCVIFAVVWAVNRQGDGAFSWVGQDILGISLMITVLQLARLPNIKVATVLLCCAFVYDIFWVFLSPLIFHESVMIAVARGDGIGGDSIPMLLRVPRLLDPWGGYNMLGFGDILFPGLLVSFAYRYDKANKKRLVNGYFLWLIIGYGFGLFLTYIALYLMDGHGQPALLYLVPCTLGVTVILGLVRGELKGLWNYNPESSSSATNPSGEA >OMP00312 pep supercontig:CCACVL1_1.0:contig06688:29820:40543:-1 gene:CCACVL1_03386 transcript:OMP00312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MAFLEKGGGGDEDDADTPIRYVSLDRVYSAASLCVSATNSSNVMSKKVKARKLILDNNHRDHLEPHNPPLMHVYSRRSKRSRQCVSFYDSLLEGENETAVKSDIDESFRKKRRIGCSELAKLGVDSGVLLSELDRPRLRDCRNNNNDNVNSNSVKKRKLNSTPNSQRASTGSATVKKWLRLSFDGVDPKAFVGLQCKVFWPLDADWYLGRVIGYNAETNRHRVEYEDGDEEELILSNEKLKFRVSHDDMERLNLSSSVNSTDDDSYDYDEMVALAASLDDCQELEPGDIIWAKLTGHAMWPAIVVDESLVGDRKGLSNISGGISVPVQFFGTHDFARIKMKQVISFLKGLLSSFHRKCKKPRFTRGLEEAKLYLSEQKLPRRMLQLQNGIDVDDGECASSEDEGSVNSIEDHIKDNGIQITPGGLGDAPFIIGDLQIISIGKVVKDSEYFRDDGIIWPEGYTALRKFTSVRDPSTCTLYKMEVLRDPQSKNHPLFRVSIDGEKFEGPDPSTCWNKIYRRIRKRQSDSSYDYKVGVERAFESGSGMFGFSNPEVLKLIQGLSKSRLSSKFSAFKLASGRYRDLPAGYRPVRVDWKDLDKCSVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPVDGVLWLCNLCRPGAPESPPPCCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDVKRMEPIDGLNRISKDRWKLLCSICGVSYGACIQCSNTSCRVAYHPLCARAAGLCVELEDEDRLFLLSVDEDDESQCIRLLSFCKRHRQPSNNRLASDERIGRTVGQCSDYIPPLNPSGCARTEPYNHFGRRGRKEPEALAAASLKRLFVENQPYLVGGCCQHGLSGSTLLNNRVNGVKFSFSLNKPKAPQLDAPNNILSVAEKYSYMRQTFRKRLAFGKSGIHGFGIFAKHPHKAGDMVIEYTGELVRPSIADRREHFIYNSLVGAGTYMFRIDNERVIDATRAGSIAHLINHSCEPNCYSRVISVHGDEHIIIFAKRDIKRWEELTYDYRFFSIDERLACYCGFPRCRGVVNDTEAEEQVSKKMFVHRSELTEWTGE >OMP00311 pep supercontig:CCACVL1_1.0:contig06688:29084:29191:1 gene:CCACVL1_03385 transcript:OMP00311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQDELKDVIGKGTSANRKEEKKHQSISITRITA >OMP00307 pep supercontig:CCACVL1_1.0:contig06688:10230:10844:-1 gene:CCACVL1_03381 transcript:OMP00307 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGCEKEEMIKIVMLPFMAHGHLIPFLALAKQIHLRTGFNITIANTPLNVEYLRSTLGHGNGGIHLAELPFNGADHGLPPNTENTENLPLHQIGKLLTSSPCLQTPFHGLLLDIIAKQGGKPPLCIISDPFFGWVADVAKSTGILHISFATGGAYGTLAFASFWLNLPHRQSTHSDEFSLPGFPERCRFHVSQLHEFMRKADGSD >OMP01042 pep supercontig:CCACVL1_1.0:contig06553:246:329:1 gene:CCACVL1_03187 transcript:OMP01042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTSYKLQLVQLSKEPGKERIIFEKVRL >OMO95272 pep supercontig:CCACVL1_1.0:contig07704:6112:7392:-1 gene:CCACVL1_05443 transcript:OMO95272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MACKTKMHPFPLLFLIALLFSFISLQVSARSYQTLVAPIIKDPKTSLYTITLNSREKYVIDINAPLSWRLCQKNPPSPVFCSAPQCTQVRSFLSSMCPEANNIWMKYGHCQCIVTAVNSVAKSCVPERLTYSNFMLSWTNGKNPTGDANLNKIYYSCAPNTLFKSLPQGVSGLAALSRAPLGFSSQFTPAFVGMTKKFALCLPSATGNIAPGVTFFGNGPYYFGYYMPTQFDVSKVLSYTPLLKKSNSVEHYIGLKGISINGKASKFLPSAFAFDSRGNGGVKLSTIVPYTTLRSDIYNTLLKDFYKATKNVPKVKNVSPFGLCVKASSLGWTRIGLVAPTIELGLGNGVIWRIYGTNSMKEVGGDVACLAFVDGGKTAKEAVVIGAYQMENHFLEFDLAASRLGISDSLYTYRASCASFNLTSAV >OMO95279 pep supercontig:CCACVL1_1.0:contig07704:48831:51267:-1 gene:CCACVL1_05450 transcript:OMO95279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVKCFSGGASLEADAEKILRAITPSLDPTKYKGQAGKIAVIGGCREYTGAPYFAAISALKLGADLSHVFCTEGAAMVIKSYSPELIVHPIFKESYSISDVDDEGRRHISKKILAEFDKWMERFDCLVIGPGLGRDPFLLECVSQIMKHARQSNVPMVVDADGLFLVTNSVDLVSGYPLAVLTPNVNEYKRLVQKVLGCDINDQDASGEVLSLSKRIGGVTILRKGKSDLISDGEIVQSVRIYGSPRRCGGQGDILSGSVAVFLSWARQHILASDGRPIISSKNPTVLGCIAASALLRKAASLAFEHKKRSTLTTDIIECLGQSLEDICPAS >OMO95271 pep supercontig:CCACVL1_1.0:contig07704:3730:5043:1 gene:CCACVL1_05442 transcript:OMO95271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MAISTKFLLFTAFLFFFFVSPSTQASSFRPKALVLPVKKDASTLQYVTQIKQRTPLVPLKLTLDLGGEYLWVDCDNGYVSSTYKPARCNSAQCNLARSKSCGECFDGPKPGCNNNTCSLLPANTVTRTGTIGELAQDVVSVQSTNGKNPGKSVSVPKFLFTCGSTSLLEGLANGVKGMAGLGRTKISMSSQFPAAFSFRRKFAICLSSTSNSNGVIFFGDGPYTFLPRDFDISQSLIYTPLILNPVSTSPAFFSGEKSADYFIGVKGITINGNAVKLNTTLLSINKEGIGGTKISTVTPYTVMETSIYRAVVNAFVKEISKIPRVAAVAPFTACWKSESLGSTRVGPGVPQIDLVLQNKNVFWRIFGANSMVQVNDKVSCLGFVDGGLNPTTSIVFGGHQIEDNLLQIDLAASKIGFSSSLLFRQTTCANFNFTSNP >OMO95276 pep supercontig:CCACVL1_1.0:contig07704:17152:18677:1 gene:CCACVL1_05447 transcript:OMO95276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MGSSTSMSRNGEILIENSGFRCFPYGKDLNLVRAILAELLGTFILMFCICGILASTQLTGGGAALLEYAATAGLTIVILIFSIGPISGAHVNPAVTIAVAAFGHCPWSKVLLYILVQILGSVLATFAGELIYGIKSDLMVTRPGQSCEAAFWVELIASFILVFVVAALSHQSQSVGPVSGLVIGMAIGLAVLITGPISGGSLNPARSLGPAIVSRNFERIWIYLTAPVIGAVSGGLVYRFLRLRAPPCSSSSSPSSSSNMISHSLPFIS >OMO95281 pep supercontig:CCACVL1_1.0:contig07704:60568:68920:1 gene:CCACVL1_05452 transcript:OMO95281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKGSSQQDHETMPIFEVFRKVKRLKVFEPSLGVLGFFLVAVCVICCFFYLDYRAVAKGYRVPSQSQRFMWLKLDGSSSPTEIKRVEFLSQEGKGCDVFDGDWVWDEKYPLYESKDCSFLDEGFRCTENGRPDLFYTKWRWQPKHCNLPSPFHHCSVMFLFVSYSFMVIFSPYLLSRPPAGSPEKIKTTLKLDQMDWNSFRWKDADVLVFNTGHWWNYEKTVRGGCYFQEGKKVKMEMTVEHAYQRSIETVMQWIHSEVNTSKTEVFFRTFAPVHFRGGDWKTGGSCHLETLPELGSSLVPTETWEQFKIVSDVLSAYSNASASRPPDGSPENIKTTLKLDQIDSSSIRWKDADVLVFNSGHWWTYEKTLRWGCYFQEGDEVKMEMTVEYAYQRSIETVIRWIHNERRELENWRKMPFGDTARACVGVS >OMO95282 pep supercontig:CCACVL1_1.0:contig07704:70008:73417:1 gene:CCACVL1_05453 transcript:OMO95282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAASTASESRDLDKLLLRPGNLVDPDFEPGTELRDALQEQVRVLVVGAGGLGCELLKDLALSGFKNLEVIDMDRIEVTNLNRQFLFRLEDVGNPKAEVAAKRVMERVKGVNIVPHFCRIEEKDNEFYSDFHIIALGLDSIEARSYINAVACSFLEYDSDDNPREETSKIMVDGGTEGFKGHARVIKPGFTPCFECTIWLFPPQVKFPLCTLAETPRNAPHCIEYAHLIKWNEAHSGKAFDPDNSDHMKWVYDEAVKRAELFGIPGVTYSLTQGVVKNIIPAIASTNAIISAACALETLKIVSGCSKTLSNYLTYNGVEGLHTKVTEFARDKDCLVCGPGVLVELDTTVTLQKFIDMLEEHPKLLMSKASIRYQGKNLYMQAPPVLEEMTRSNLSLPLYDLMDKVPKDILHATGTINKDNKKSSGLRKLRVVFKGIDGVTDMDMAGGA >OMO95278 pep supercontig:CCACVL1_1.0:contig07704:47173:47934:1 gene:CCACVL1_05449 transcript:OMO95278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CBF/NF-Y/archaeal histone MDQQGHGQNSAMGAVGSAGSVPYAVTTYQPNQMMGSSAPGSIQSPTQPAGLPASSAQLTHQLAYQHIQQQQQQQLQQQLQRFWENQYQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASVPRVGGPTEGLPYYYMPPQLAPQVGAPGMTVGKPVMDHALYGQQSRPYGGQQMWPSQQQQPPSDS >OMO95273 pep supercontig:CCACVL1_1.0:contig07704:8724:9967:-1 gene:CCACVL1_05444 transcript:OMO95273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MHPLPLSMLFLIAFAFISLQVSALPNKTLVAPIIKDSKTSLYTITLNSHEKYVIDINAPLSWRLCPNPPSPVSCSAPQCYQARSFLSGMCPPANNIWMKYGQCQCVVTPVNSVAKSCVPERLTYGSFILNWTNGKNPTGVAKLNKIYYSCASKTLFKSLPQGVSGLAALSRAPLSFSSQFSRDYLGMAKSLQFVSLVETGLPALLSLEMGISINGKASKFSPNAFSFDSNGNGGVKLSTLVPYTVLRSDIYKTLLNDFSKATKSIPRVKNVSPFGLCMKASAMGWTRWGLMAPTIELELGNGVVWRIYGVNSMKEVGNDVACLAFVDGGKTAKEAVVIGAYQMENQFLEFDLAGSRLGLSPSLYSYRTSCANFNFTTAV >OMO95280 pep supercontig:CCACVL1_1.0:contig07704:51892:53811:1 gene:CCACVL1_05451 transcript:OMO95280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERVRSNLVRSVIVVVGSLAFGNLVCVCLFSHNYTEMGSTSKDLLRFEHKTSSISPLESALLVCDKKDSSSECKFDPAKKPTITPVPSSQVLGKVKDFLGVMAEANKRLELDAKNNSQAYDIEVLNGNESEVIEMDLMLGVADLHTPEALAAAESAIAGNQPAIPLAGSSSESESDDSSEDSDHNEESNNNGCDDNETSSHSKLEAARKNRSKKRPRIVELP >OMO95275 pep supercontig:CCACVL1_1.0:contig07704:14041:15288:-1 gene:CCACVL1_05446 transcript:OMO95275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MHPFSVIVLIAFAFISLEVSALPYKTLVAPITKDTKTSLYSITLNSREKYVIDINAPLSWRLCQTPASSVSCFAPQCNQARSFLSGMCPPANNIWMKYGQCQCVVTPVNSVAKSCALGKFTYNNFILSWTNGKNPTGKANLNNIYLSCAPLSLFKSFPQGVNGLAALSQAPLAFSSQLTPSNLGMAKKFAICLPSGNKAPGVTFFGNGPYSFNYFLPTQFDAAKVLSYTPLLKKSNSVEYYIGLKGISINGKASKFSPNAFAFDSKGNGGVKLSTLAPYTILRSDIYNTLLNDFSKATKNVPRVKNVSPFGLCVNASAMGWYRVGLLAPTIELDFGNGVKWRIVGANSMKEVGNNVACLAFVDGGKTAKEAAVIGSFQMENNLLQFDLAGSRLGFSSSLYSYRTSCADFNFTSGV >OMO95277 pep supercontig:CCACVL1_1.0:contig07704:38247:40875:1 gene:CCACVL1_05448 transcript:OMO95277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVFSSAIVSPPDELVAAGCRTPSPKITSDALVKRFLDNNSSAVSMQIGDHVQFAYSHQNESALQPRSFAVKDEIFCLFEGALDNLGSLKQQYGLAKSANEVILVIEAYKALRDRAPYPPNHVVGHLSGSFAFIVYDKSTSTLFVASDQFGKVPLYWGITADGHVAFADNADLLKGACGKSLASFPQGCFFSTAVGELRSYENPKNKITAVPAKEEEIWGATFKVEGPAVVAATE >OMO95274 pep supercontig:CCACVL1_1.0:contig07704:11790:13041:-1 gene:CCACVL1_05445 transcript:OMO95274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MHPFPLLFLIAILLFSFISHQVSALSYQTLVAPIIKDPKTSLYTITLNSGEKYVIDINAPLSWRLCQKNPPSPVSCSAPQCTKARSFLSRMCPPANINNIWLKNGHCHCIVTPVNSVAKSCVPERLSYGNFNLNWTNGKNPTGIAKLNKIYYSCASKTLFKSLPLGVSGFAALSRAPLSFSSQFTRGMTKKFAICLPSVLSYTPLLKKPNSVEYYIGLKGISINGKASKFSPNAFAFDSHGNGGVKLSTLVPYTIQRSDIYKILLNDFSNATKSIPRVKNVSPFGLCLKASALGWNLWNLMAPTIEFNLGNGVTWRLYGPNSMKQIIGADVACLAFVDGGKTAKEAAVIGSYQMENHFLEFDLTASRLGFSPILFSYRTSCANFNFTSGI >OMO95283 pep supercontig:CCACVL1_1.0:contig07704:74897:75208:1 gene:CCACVL1_05454 transcript:OMO95283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDEDPVAKYPSVSFQGFPKSNYAMAPSPFFHGQSYLRESKGTGVFIPQSSRPRRKHRQGRFTSYNTKSKGQPA >OMO70958 pep supercontig:CCACVL1_1.0:contig11767:17562:22514:-1 gene:CCACVL1_18547 transcript:OMO70958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDVLPQDITAEEGDSHGYMFIKKNCSCLSTSKNYATNTTFTVRSNEGYVYDMILEAYDGLAMVPNVSRQARIGAVVSLRLFCGCSSGLWNYLMSYVMKDGDSVQSLASRFGVSMDSIEQVNGIVNPDNVTVGALYYIPLNSVPGEPYHEENVIPPAPVPAPSSDTISEIQVNRKAHVPYGWIIGGLGVGFALIVLSIILCVCLRSASCQGNLAKDSDGKSSHKFQILRKPSFCCGSGRYICGKSGNWNQNNGEPSSHQITIPKALGTDVLDVDKPVVFTYEEILFSTDGFSESNLLGHGTYGSVYFGLLRDQEVAIKRMTATKTKEFMAEMKVLCKVHHANLVELIGYAASDNELFLIYEYAQKGSLRTHLHDPQNKDFGLSKLVGKTNDEEATATKVVGTFGYLAPEYLSDGFASSKSDVYAFGVVLFEIISGKEAIIRTEGTTMKNSERRSLASIMLAALRNTPDSMSMSNMKDYIDSNMLDLYPPDCVFKLAMLAKQCVDEDPIVRPDMKQVVINLSQILLSSVEWEATLAGNSQVFSGLVQGR >OMO70961 pep supercontig:CCACVL1_1.0:contig11767:64934:69532:-1 gene:CCACVL1_18550 transcript:OMO70961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexokinase MGKVAVGVAVVCGVAVAAAAAVVVHRKMKKSGRWVKAMEIVKEFEEKCGTPIGKLRQVADAMTVEMHAGLASEGGSKLKMLITYVDNLPTGNEKGLFYALDLGGTNFRVLRVQLGGKERGIINQQFEEVSIPPSLMTGTSDALFDYIAAELAKFVAEEGPDFQLAPGRQRELGFTFSFPVMQTSIASGTLLRWTKGFSIDETVNDTVGTLAGGRYTNNDVAAAVILGTGSNAAYVERAHAIPKWHGLLPKSGEMVINMEWGNFRSSHLPLTEYDHALDAESLNPGEQIYEKVISGMYLGEIVRRVLCRMSEEAAFFGDTVPPKLKVPFVLRTPVMSAMHQDTSPDLKVVANKLKDILEISNTSLKTRKVIVELCNIVATRGARLSAAGILGILKKMGRDTLKEGERQRTVIAMDGGLYEHYSEYRKCLENTLNEMLGDEVAGTIVVEHSNDGSGIGAALLAASHSQYLEVEE >OMO70960 pep supercontig:CCACVL1_1.0:contig11767:44420:46774:1 gene:CCACVL1_18549 transcript:OMO70960 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MESGCSKNVEGPQLLDLIPQGREWHVKNEDERRHGSSEEKKLELRLGPPGEDNWSNIKDMNLSKTNNRERDESMLSLGYFSSSSMNSNGKQTHNNTVLSCPWAKNTHQQPPSFLQFPSTTPQSLPVIAKESSQPCCTKAVDLQNAEKKAFSPPPANPAVLPNTSQKRTAPGPVVGWPPIRSFRKNLASSSSSKLASDSPSVVPHKGSNEKAPAAEPTSKGLFVKINMDGVPIGRKVDLKSYDSYEKLSTAVDELFRGLLAAQRDSSAAGGIVNKQDEEKAITGVLDGSGEYTLVYEDNEGDRMLVGDVPWHMFVSTVKRLRVLKSSELSALSLGSSKQQGKIPA >OMO70959 pep supercontig:CCACVL1_1.0:contig11767:42167:42262:-1 gene:CCACVL1_18548 transcript:OMO70959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGETKKTRLIGPNAKTFLAAHQTSQTFTA >OMO70956 pep supercontig:CCACVL1_1.0:contig11767:1:1661:-1 gene:CCACVL1_18545 transcript:OMO70956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAESKTKSVRKPLRDLSNNYNSSVRSFSKSEIPKKKMVEKAKNQNHGSLDRLLLVQSDLSSQIDELVAEAFKLKDTSEHGRKEIESFTNVLLEMISSLKPWVPRFQKSLSSPAWETKDKSGYGLKTETVPFVNVNLNEEECFEVGSPEENTLDSLISPSPLVSWRANDCTVERGRQLFLLTPLPMSKALSSKRHDSSKSVFEQTVSKSTLELPSFLNIPKDENDDLLEGVVIEPTPMKPSDSAVPETQKTLKCGFVSSPVFPKREHSMLVMTPCLKMSPPKSCVLLEPIKESSHRGDCAMHKSTPFPVGIKNCGLSESSGSDDSEDLTMRYPELLGIQRTYKSGIGKKDLESSPTWLFSPPKSCILLEPPDEKSLDNVATDHNSPIASASNQQTEFLSKESDVIDGFQQNKSF >OMO70957 pep supercontig:CCACVL1_1.0:contig11767:5761:7260:1 gene:CCACVL1_18546 transcript:OMO70957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Taurine catabolism dioxygenase TauD/TfdA MADQVQYFIETQLPNHQKHYNSFTFPSVLTPNPASSPSSLSLFTKEIKSQKPFLDSLLLKAGAILFRGFPVQTAKDFNDVVEAFGFEELPYVGGAAPRSNVVGRVFTANESPPDQKIPFHHEMAQVPEFPSKLFFFCEVEPESGGETPIVLSHIIYERMKEKYPEFVSRLEEHGLLYTRVLGEGDDPSSPIGRGWKSTFLTTDKSVAEERAAKLGMKLEWIEDGVKSIMGPIPAVKYDKSRQRKIWFNSMVAAYTGWEDARNDPVKAVTFGDGKPLPADIIYDCLKILEEECVAIPWKKGDVLLIDNWAVLHSRRPFDPPRRVLASLCK >OMO50840 pep supercontig:CCACVL1_1.0:contig16042:7446:11523:1 gene:CCACVL1_30215 transcript:OMO50840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MAAPPSLYLGGSRHKLLHMVRHAQGVHNLESDKSRDPVTSSKFFDAQLSSLGWEQVRDQRKTVCENGLFQRIELVITSPLSRTLQTAVGIFHGEEVIADESGKVDVKKDETLTFNNRPPIIVNERCRERLGKYECDKRQSISQYRSRFPAVDFSLIEDEDDILWTADEREPRDEVIARGMDFMKWLWGRKEKEIALVSHGVFLQELMIELINSKKCLPLNMEGDIPRSRFKNCEIRSMIISYDSVMGLVSDSFAINNINHCGRIQYGFELLQRDSAKENVSVEDQVTSTNGFPDSTLTVRS >OMO50839 pep supercontig:CCACVL1_1.0:contig16042:863:940:1 gene:CCACVL1_30213 transcript:OMO50839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPNQSVREAELCQRVRERNRVGNQS >OMO83162 pep supercontig:CCACVL1_1.0:contig09927:22961:23308:1 gene:CCACVL1_11535 transcript:OMO83162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6 MTFTYKVAVPPIIMEAKFFRFLKIVGVGYKARAEAEGRLLFLKLGYSHEVELTVPPAVRVFCFKNNVVCCTGLDKNRVHQFAATVRSCKPPEVYKGKGIMYIDEVIKKKQGKKSK >OMO83159 pep supercontig:CCACVL1_1.0:contig09927:5966:7668:-1 gene:CCACVL1_11532 transcript:OMO83159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGARKMNSNSMASSLKLFIPLFVSSIFLSISAATVVEDLANLHPPSDFNTTITSNCLKDPSLRYCSFSPMNLDEIFRFTIVASHLCNQSKNPNCVESFPRIDLSSQPKTAPLYLSFSFFWKYCPISILSIDLSNNSLKGTFPTDILLCTQIQALDLSHNLLSGDLPLQAFTPLTNLTLLNLSYNLFSESRISDSEFFFKRFNNSSSFLHSGLLPNHKKYRIKAVVILVGFPISVLMLVGGFWWLCFKNKHKYSNSVLKAATNGFSRKNMVGKGEGFAIYKGILRNGTQVRIEMYYLNNSSNNISRENCRKFVEDCKILVQLRHKNVVKVHGWCSDRYSRALVTEWTGEVSLDMWVSESAPPWKQRLKVLVGVLKGMCYIQEQWPEVGYDLRTSSVLVHENAEPLIARFKVGENSSTKKIYRFGVLVLEMVTNGRVMREEFEGSEAGLLECFKMHYPGNLQKLIDERMELTENTFEQAKEAIGIGLLCTDHSINRQLSLGQIFNMITRIYAACLVLATQNHKTSYADGGRGHKRV >OMO83160 pep supercontig:CCACVL1_1.0:contig09927:7990:13713:1 gene:CCACVL1_11533 transcript:OMO83160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVVSYCKPSPFVGQFPSTFGKSASSQSVEISMQSSRITALFWGSKKSVKSQPLDSSLGDFTLTGSGTEEATGNQAVAKKISVSVISSILEVSANEWDACTLDATGPEKFNPFLTHGFLSSLEETDCAVKETGWMPSHVIAKDESENILGVVPLYLKSHSYGEFVFDHSWADAYYSFGSRYYPKFQCCVPFTPVTGPRILIRNTPFKDQVFDIVVSALKDLTAKSQVSSLHITFSSESEWRKLKDKGFLQRIGMQYHWKNRNYKSFDEFLMDMKQSKRKNIRQERKKIPAQNLTMKRLRGYEIKASHWDSFYTFYRNTTDNKWGTPYLTRDFFHEMGSKMGDQVLLVVAEQEGKLVAGALNLIGGDALFGRLWGCDPRVYYPSLHFEACYYQAIEAAIELNLSTVEAGAQGEHKIQRGYMPVTTYSSHYLMDEGFKKAIAEFLMRESSQVNLVMKLLHESGPFKEGIH >OMO83161 pep supercontig:CCACVL1_1.0:contig09927:17247:20309:1 gene:CCACVL1_11534 transcript:OMO83161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSDNMLHKETSKVASSSLSEGLLGKVPAENGHIAPTPKYKHRRISAIRDYPPGCGRLAAPVTTPSEQAQGPINSESENPVPAVRNYPPGCGRLAAPVTTPSEQAQGPINSESENPVLSYLADKIECDRSLSGDLELGTHLVNGISELQKDLPQDNFVNSKEGVVPQSGLKSHSVESSLEKALTRSYPPRRGVTAVRDFPPFCGRNAPPLSEEERMKWLTSLKNKGFNLESYFKEEKPPEKNISTDMKQVMKDCQDVNDLECGVEHAAPRLPAEQIQYKPEKPASEKLRTQGADEASSMNDMEEDKECMEQRSIKSPCENFSNEFDSKSKKATETSDNTRELDMVVYEKDKSSENKLYDSSAVEDRWLEEYCVSQDALWDRSIAPIASSSSPLQQGKMTGKRDSAGGSFKKRRKNEFILLPRAKSTLVADKNDAKSSVETCTNKNSCPAKRADQSIGQVATWNNNDSLRQDGPYNAQIIYRSRVSLPPTCPSRMNNNNGVTSARSKVREALRLFQVICRKLLQEEESKLIEGRSKSKRVDLQAAKILKEKGYYVNSTGKSNVGPIPGVEVGDEFQYFVELNIVGLHRPSQGGIDYAKEGDKVVATCVIASGGYEDDLDNSDVLIYMGQGGNVMQKGKQPEDQKLERGNLALANSKTAKNPVRVVRGGTRFSGVPECRGKIYKTYVYDGLYKVEDYKQEPGPHGKLVYKFTLVRIPGQPELAWKVVKKSNKSRAREGLCSNDISEGDEEIPICAVNTIDGEKPPRFNYVRQMIYPNWYCRIPPKGCDCTSGCSESGNCSCVVKNGGEIPYNHNGSIVESKPLVYECGPACKCPSSCYNRVSQKGIKLPLEIFKTELRGWGVRCRDSILSGSFICEYAGELLKDKEAEKRTGNDEYLFDIGNDYGDSSFWDGLQTLMPDAHSSSQPVVQDSGFTIDAARCGNIGRFINHSCSPNLYAQNVLYDHDDRRIPHIMFFAAENIPPLQELTYHYNYMIDQVLDDKGNIKKKICYCGSSECTGRLY >OMO51353 pep supercontig:CCACVL1_1.0:contig15867:1950:18173:1 gene:CCACVL1_29836 transcript:OMO51353 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAGLNREIHDIVEMQQHYDVEELLQHALKAESQIKRNKKSFSSSSSSWKTPIKKDDKSTKEKELAQKGATPKSDSKSSSSSSSKNHVKCFKCQGFGHYAKDCVNKKVLFVNEQGEIESEDEEITLGSSGDRDDEGDAHDDSDDGVGFARKSLVARRTLSAYVKDDVNNQRENLFHTRMYANGKPSSVIIDGGSCTNIASVFLVKEIQLPTTKHPKPYSLGWINDKEEIRVNKQVLVSLCLGRYKGDVLCDILPMQACHVLLGRPWQYDNKVQDDGETNQYTLMCGKKPFTFIPLSPQEALKDQLKLKEDFAKLDSEFRAKEKTNHANLGENCDIIKHVSSKKVNKECMLATKSEIKEALNDNFVLILFLLNYTLGEKSTPPLAIEGVDVPRVFKGENRSSPVLAINNITVKYRHPIPRLDDMLDELHGACLFSKIDLKSGYHQIRMKEGDEWKTAFKTKLGMYECRNLDEHVRHLRCVLDVLRVEKLNANLKKCTFCTNKLAFLGFVVSSQGIEVDEEKIKAIKDWPTPTNFGQVRSFHGLAGFYRRFVKDFSTLASPITSVMKKNAPFKWGDEQQEAFETLKEKLTNAPLLVLPNFNNTFEIECHASGVGIGAVLMQDHESLKYLRGQQKLNKRHAKWNEFIESFPYVVRYKQGKENVVADALSRRKERFPEKRKSKLLPRGDGPFQVLERINNNAYKLDLPSEYGNVSAIFNVSDLSLFDSDADLRTNPFQGRGDDAPRAYHALEEHNGAIGDHVLDKHGDVLEIQEDATDDPGLNTSLAELGVEDRPPSHGATGQDRPMHGTSHGPSHGPSHGPSGHNRPLDPLAMPQGPMTRARAKGSRKFYWALEDLSKNLFGGAESVGEAGGAILRKPIAEALELMETVASNNEESYGERDGSKRGLHSVDSVTMLNAKLDSIVTMLNKANINAISNPSNPSMSCEWCAEYHDSSECLQLEQAQYVANTGRQQSNPYPNTYNQGVRNHPNSSWGVKPQAPPPQTSAFEKRLDKIEELVMQMTKSNQTAIQNLEVQVSQLAKQLSERGNGQFPSDATVNPKENYKAITLRSGKLVETDATKEKAVKSTLKEAELVDVDADEVSTTQMSKPISQSPVKPYVPPIPYPQRLRKRHDEDNYKRFLDLFKKIHINIPFVEALAKMPSYAKYLKHIVSSKEKLEEYSTVPLTEECSAILRRKLPPKLKDPGSFIIPCYFGELSVVKCLADLGASINLMPLSLYRKLGLEGLKPTTIALQLADRSVRYLIGIVEDLLVKVGKLIFPVDILVMEMEYDVDVPVILGRPFMATAHALIDVAAGKLTLSIGEDKEEFSIFKAMKSLPFEDSCFRLDVLDESVNENMVKMISDDPLLPCLTLTLGDEEVVENFHDYVTRLEGSGSFNKCLAIEELGQRKPKLLPSCQAPPKSELKQLPSHLRYVFLGESNTYLVIISSSLSSVQEEKIVRVLRKHKMAIAWTIADIKGISPSVCMHKILMEENHKSSVEHQRRLNPNMKDVVRDEVLKLLDAGIIYAISDSPWVSPVQVVPKKGGITVEHNEKNELIPVRKQTGWRVITRFTLPQKIRRRPLSHVLMALLPYKRMPFGLCNAPATFQRCMMSIFSDMAEKIIEVFMDDFSVFGPSFDECLSNLEQVLKRCEETNLVLNWEKCHFMVQEGIVLGHKVSQKGIEVDKAKIEVIDKLPPPTSVRAIRSFLGHAGFYRRFIKDFSRIAKPLCDLLQKDVPFNFDENCLNAFNRLKKELTSAPIMVAPDWSLPFEVMCDASDYAVGAVLGQKQDKRLHVIYYASKVLDNAQTNYATTEKELLAVVYAFEKFRFYLVGSRVVVYTDHSALKYLLIKKDTKPRLIRWILLLQEFDLEIRDKKGSENLVADHLSRLEQEDKVDDVPINDSFPDENLMAVTDANVPWFADFVNFLVSNEVPLGLNHHQKKKFFSEVKHYIWDDPLLFHRCADGVIRRCIPEEEMVSILTQCHSLPCGGHHGASKTAAKVLQCGFFWPTLFKDAMSFVMSCDRCQRTGNISRRHEMPQSGILEVEIFDVWGLDFMGPFTLSGKNKYILVAVDYVSKWVEAVALPDNLGSSVVKFVQKNIFSRFGVPRAIISDNGTHFQNDQFRTLMRKYGCTFKSGTTYHPQTSGQVEVSNREIKAILEKTVSNTRKDWSRKLDDALWAYRTAFKTPLGLSPYRLVYGKSCHLPVEIEHKAYWAVKAMNYDLKLAGEKRLLQLNELEEIRRDSYANARIYKERTKAWHDKNILRREFKVGQKVLLFNSRFKLFPGKLKSRWSGPFVVTKVHPYGTIEIEGDEKRPFVVNGQRLKHYFEGEYVQHVQKITFSE >OMO82188 pep supercontig:CCACVL1_1.0:contig10064:27795:29157:-1 gene:CCACVL1_12042 transcript:OMO82188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding protein PEBP MSTRSIEPLIVGRVIGDVLDSFIPSITMTASFNNKKVFNGHEFFPSTVAFRPRVEIEGGDLRTFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDATFGREVVSYEIPRPNIGIHRFVFVLFKQKRRQIIKPPSSRDNFSTRDFAAENDLGLPVAAVYFNAQRETAARRR >OMO82184 pep supercontig:CCACVL1_1.0:contig10064:8925:9080:-1 gene:CCACVL1_12038 transcript:OMO82184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTCRSKPIPNHFWEWDAQIFILNFLLRFIEIDFYIKPSPFTLDPTPPGV >OMO82185 pep supercontig:CCACVL1_1.0:contig10064:13808:14473:1 gene:CCACVL1_12039 transcript:OMO82185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin MFLKFLVLLVIVSMHGYGALASDPDPIQDFCIPNPRFGAIRTAHLNILPCKNASEATTDDFVFSGLKAAGNFSETGLATIPVNPSVFPGINTLGMSFVRADLKVGGINPPHYHPRATEIAYVVQGSVYSGFVDSSNRVFAKVIEQGEVMVFPRGLVHFMMNVGEKPATIYANLNSQNPGLQKIPTAIFGSGINEELLEKAFGLSSKQIGTMRRRFDPKTVR >OMO82181 pep supercontig:CCACVL1_1.0:contig10064:1914:2077:-1 gene:CCACVL1_12035 transcript:OMO82181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKKKGRVYPSLSSSPASYRDPDSVFKLFPVAILALAASLPPHDREVLAYMIT >OMO82183 pep supercontig:CCACVL1_1.0:contig10064:5689:7293:-1 gene:CCACVL1_12037 transcript:OMO82183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTESEIGEVESALQEVDQQQKNHPFSSLGRQSSIYSLTLDEFQHTLCESGKNFGSMNMDEFLTSIWNAEENQAINSNQNNNNNTPIKQVSSSSNLNLSLSETVISSKGIAKQPSLPRQGSLTLPAPLCRKTVDEVWSEIHRGQQGQGQGQGQNSNNNNSNVQSTSENNTNTNNNASTRQPTFGEMTLEDFLIKAGVVREQNMPPSAPPPPPPPQPQYGLYQTSNNASVGQGFVPRPIMAMGSNGGGFGGNAYHQTMAATGGVMGDASGYQAAPPQPAAVCYNGKVAAGGGYAPGPGMGVVAPMSPVSSEGMCNSQVDNAANQFGMDLGLRGRKRIIDGPIEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKQENAQLKQALAELERKRKQQYFEEWKMKTQTKAQKAKEKLRVIRRNLSCPL >OMO82186 pep supercontig:CCACVL1_1.0:contig10064:15523:20783:-1 gene:CCACVL1_12040 transcript:OMO82186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVGKNGSYVMGFPLYPATTNAASDEQRRGFPSAPPLTANNTAAPPLCNRHRSSPEFGLTSVSVSKFNISEGSEITAEWEVGFLVRNPNPVWLHWFDHPVVSVYYRDQLVSELGSFPQVKIPRNTTKSYVGKTVAVGKRIEDREVAEAMARDWSEQGVVAFTIRLLQIDHSYTNLKVIQPPSPKICGSPNGPPITAPRIRLKDGRYLAYKEYGVPRDEAKFKIIYVHGLNSGRHNTHVANLSPEVTEELGVYIVSFDRPGYGESDPNPKRTVKSMALDIEELADQLGLGSKFYVIGYSIGGQWIWSCLKYIPNRLAGATLLAPAVNYWWPRFPANVSNEAFNQKSPQDQWVNRVAHYTPWLFYWWNTQKWFPASSALSGKLNNLSSQDKEIMSEDSAVKKFKPQQVTQQGVFESLHRDFIVGQGAWGFDPLDLENPFPNNEGFVHLWHGDQDRIVPITMNRYIAQQHTWIHYHELSGAGHFFPLADGMPNAIIKALLIREK >OMO82187 pep supercontig:CCACVL1_1.0:contig10064:21756:24875:-1 gene:CCACVL1_12041 transcript:OMO82187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGVNRKISAASARAHTRRAKQNTSSKFFSGIFPKILLVLFVGISAWAYQAIQPPPPMTCGSPDGPPVTAARIKLKDGRHLAYKEYGVPRDAAKYKIVFVHGFDSCRHDTVVAENLSPELLETLGVYIVSFDRPGYGESDPDPKQTVKSIASDIEELADQLGLGSKFYLIGFSMGGQVVWRCLKYIPHRIAGASLLTPVVNHWWSGLPTNLSKEAYQQQFIQDQWTLRVAHYAPWLTYWWNTQKWFPSSSVIAHSIDILSSQDKDLLPKIISTRSSYMSIVRQQGEHESLHRDLNIGFGTWEFSPLDLENPFPNNEVPVQLWQGDEDMLVPVTLQRYIAQQLPWIHYHELTGAGHMFPHADGMPDKILKALLVGEK >OMO82182 pep supercontig:CCACVL1_1.0:contig10064:2925:4552:1 gene:CCACVL1_12036 transcript:OMO82182 gene_biotype:protein_coding transcript_biotype:protein_coding description:FeS cluster biogenesis MAYRLFVTVADKIGPAAARRQALSLTDAAASRIRQLLQQRQRPFLRLGVKARGCNGLSYTLNYADEKGKFDELVEDKGVKILIDPKALMHVIGTKMDFVDDKLRSEFIFINPNSKGQCGCGESFMTMPATSGGAAKQGSG >OMO97742 pep supercontig:CCACVL1_1.0:contig07203:15702:17407:-1 gene:CCACVL1_04474 transcript:OMO97742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNYDACLLEEEDDIPSPISGPIFDFADADLFSEALQNSEVTSSNCCYEESNSYGNNNTNNLTIVVPQDIENLNGYHDNNGNTPNSSATPNTATSTTTTASTNTTAATTAATNNNNLSIIFDSPDDIDNDISASIDFSQSPASFSVPNFLTQQDQFDLSLVQSQIQLSDGIPQYSAHHDPVGNLMGPPLSSVFDEDCLSSVPNSYHVPNLNPSSPSCSFLGSFMPPGALASALSGDHGNSGIFAFGNSHELQPQDLEFQGDNAGIFCADSLQRCFNPGDLQALSSESQQLVGATASSTPLASEISSLEDSSFNKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGETPRQASSNHEEDDDDEVVVKEEEDMVDSSDIFAHISGVNSFKCNYPIQSWI >OMO97740 pep supercontig:CCACVL1_1.0:contig07203:671:9053:-1 gene:CCACVL1_04472 transcript:OMO97740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTFVGGQGDDQRKEDSLRNLENNKERSKDNGMNYSELKLKKLARRVNPQHALIFDAKRLQAGKSLLKDSLLQSIFRIGNKIPRHVVTLDEKYLRRCLESIRISAAKAAQCDISLNLSSVKMGILADGLNSAKIRVENTCDSGRFVFECPLAVGSGSGSLVIGPAGQWVVGSIMGSKSMANILKSPLLQKLGALDAGARVNDVKGSISYDFMSSPGGFSFYPTPKLGSETPILGNHKDGREAVNNRLVSLSSTNSTCSDQSFSSTSTTISQGMLQCTWKNGIPHFVFSVDDQREVYVANLTREKSVGHRGQDYMYLFHSSKGKNKDHGIRDNESDLVGKMRVSTSFSISPQDSKLMETQFVLFSGDETFDGEMQTSSHNYRKNKGLSKKVVKVFKSSHSSKQRTMPRFHRSGSLIEESSWDPCQDTVKNPAALSGTNLLEDELPPNLELAAIVVKDRVPETPRQEVGGWGLKFLKKAGVKQAVGTLEAPVSSACARNTGDCSTSMDILVPAGIHGGPRTRNGGPSSLIERWRSGGHCDCGGWDLGCPLTVLKAKSSKEDCSPPIDMSEACKLFDFVIKGSEHGAPTLRIANVHDGLWNPEERIEAGGAWLVVLLNLKAMLCNANSSIGSIPQYVSLKQPYHEERGVYIMSTKRKQETDACKAMKPFGFIQRQVKSAIKELLPLYGGSWELIKDANYGVLLEFLLSNNEGEDKVAKSKPEVGKKRSTEAPKKMEVLPLPAVSSDSEDCQPLLRRSRRRQQTDNSNPVMVQNSVVKVEDDENEQLVRLLPWHQIEKGSVFKKSDLQLVGSTIERVGWVAAGGLTTDLGTGISCLATEVEPKKEQIPSASELDQLPLAVVHPETVEKHTSACGTMKAILYVDDISKGEERVKISLVNRCTDEELPDFPYISQNFVYDKAYVNFTLASITDKDCCSRCLKDCLTCSMPCACANRTGGEFVYAPGGLLKDSFLEEIISSQRFKRPNLIFCRDCPLERSKGKYEPKSCKGHLERKFIKECWSKCRCSKQCGNRVVQRGISVALQVFETPEGKGWGVRSVNDLPRGAFVCEYVGEIITNAEMHERIMQSRSDNKNRYLVPLDADWCCDANLVEIPVEVESPDHHYYHFAFFTTREIAAMEELTWDYGIEFGEQDHHQPVQAFKCLCGSSFCCDKNR >OMO97741 pep supercontig:CCACVL1_1.0:contig07203:10200:10298:1 gene:CCACVL1_04473 transcript:OMO97741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKEQRSTTSIQQTKQKKSSKDKKKQQLVHQ >OMP08500 pep supercontig:CCACVL1_1.0:contig03725:78:775:1 gene:CCACVL1_01108 transcript:OMP08500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRIFPFAVALKRHLPSLYGFFFNPKLLLRRSNHHGRRR >OMO56754 pep supercontig:CCACVL1_1.0:contig14482:32439:33565:1 gene:CCACVL1_26309 transcript:OMO56754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKESGCQLVLEAAVMGNEPKLAGLFQNNVGL >OMO56755 pep supercontig:CCACVL1_1.0:contig14482:33985:35002:1 gene:CCACVL1_26310 transcript:OMO56755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MSATTESSSPALDTFRDIILWRRKKLSASVLLVSTATWVLLQVYRFNFITVASWVVMFIVGSLFMWGNILRLLGKEPPILSDLEISEQNANEIANTCRTLIEEAIRWMFHVTVEGDWFVFARTIVGFLFLSYVGSFFEFLTLLHIGIQMLMTIPVTYDKYGNQIKRCGEMGVAQFRRFCGMFNERVIRQVKNKFDVKEEKERYQKNKEEKEKKVE >OMO56753 pep supercontig:CCACVL1_1.0:contig14482:21454:30114:-1 gene:CCACVL1_26308 transcript:OMO56753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MGKAVMLHLLIGVLAVLSVFNSNVNAEDPYLFYTWTVTYGTRSILGVPQQVILINGQFPGPKLEVVTNNNIILNLINKLDQPFLLTWNGVKQRKNSWQDGVLGTNCPIPPNSNYTYKFQAKDQIGTYTYFPSTLFHKAAGGFGALNIIHRSVIPIPYAPPAGDFTLLIGDWYKTSHKTLQQTLDSGKSLPFPDGVLINGQTQNTFTGDQGKTYMFRISNVGLSTSLNFRIQGHTMKLVEVEGSHVIQNMYDSLDVHVGQSVTVLVTLNQQPKDYYIVASTRFTRNVLTATAVLHYSNSQAAVSGPLPVAPVSGFHWSMQQARTYRWNLTSNAARPNPQGSFHYGMIKPTKTIVLANSASLINGKLRYAVNGVSYINPDTPLKLADYFNIPGVFSMNALQSVPSGGAATVASSVMPTSLHDFLEVVFQNNENTMQSWHLDGYDFWVVGFGSGQWSPQKRKTYNLVDALTRHTTQVYPNSWTTILVSLDNQGMWNMSVFCANAEDPYRYYNWVVTYGTRNPLGVYQKVILINNQFPGPTIEAVTNDNIIVNVINQLDEPFLITWHGIKQRRTSWQDGVLGTNCPIPPHSNWTYKFQLKDQIGTYMYYPSTLLHRAAGGFGAINVNQRSVISIPYPAPAGEFTLLVGDWYKSDSKALQKTLDSGLSLPQPDGLLINGLHQSAIFTGQQGKTYKFRITNVGISTSINFRIQGHTMILIEVEGSHTLQEAYESIDIHAGQSVAVLVTLRAHVPKNYYIVASSRFTKPVLTTFGILQYEGSKTQASPPLPIGPTYQIHWSMKQARTIRLNLTANAARPNPQGSFHYGAIKVVRTLVLANTKTKINGKLRYAVNGVSYVDPTTPLKLADWFNIPGVFKLNSTKDTPVPGPAVLGVSVYGITLHDYVEIIFQNTEAAIQSWHLDGSSFYVVGYGSGTWIPKLRKKYNLADAVSRHTVQVYPASWTAVMVSLDNKGMWNLRSQIWERRYLGQQVYLRVWNDEKSLYTETDIPPNALRCGKAK >OMO56749 pep supercontig:CCACVL1_1.0:contig14482:4091:4982:-1 gene:CCACVL1_26304 transcript:OMO56749 gene_biotype:protein_coding transcript_biotype:protein_coding description:methylesterase 10-like protein MEGFKKHFVLVHGTAHGAWCWYKVVSLLKTAGHQVTALDLGASGINPKRLDELVSISDYVKPLMDFLASISDDDKVILVGHSYAGLCISLAMERFPKKVSVAVFIAAYMPRHSSPPGTLIQEFFKRIPVESILDCEFTFDYTGMEKVPTSVLFGPKFMEEKAYQHCQIEDLELAKMLVRPSGLFLKDLATENLLTEGKFGSVDRVFIGLEGDEVMMEEFQRVMIEKSPPKQVKFISGAGHM >OMO56756 pep supercontig:CCACVL1_1.0:contig14482:37073:38496:1 gene:CCACVL1_26311 transcript:OMO56756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MAAFRDPALGVALSTAINGLGQAIKDVLETATRFKPVLNHLNDTISWITPRIREISRSEDFEDEIQRLLDLLNEAKRNVDKCSRISSWNYYKKYKMAKKLIELDNSIRTTVQLFFPVMILGDTRQILDSVDELKLLFLVFFFFMFDDFSSSAKNTGGVVSDMIRSNSKASIEKIIKSQPAELVSDIALWKKKELSGSLLASSTVTWLLQQVYEYNFLTIASWVIIFIVTLLFVWRNVNRFQNREEAMKSRLEEIREKIVTEIANACWELSDQIIRWILNVTDVEKEWFVFPQTIVFLLIFSYMGNFFDLPTLCKGVMMGTTLPVMCAKHKDKVQILSSLVMDHSGKAIEMVGEILADTVNKSFAVDGKNKKKSKKQN >OMO56751 pep supercontig:CCACVL1_1.0:contig14482:7043:8167:-1 gene:CCACVL1_26306 transcript:OMO56751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGILHTIVFHRALGLVRPKDVDLELFEITYVQCGDVELEKKIDEKIEQFVSWVEKHPNKKSQICVSFYEVKSKQPSWFTNKTERLYWEQWYLNLNVTQQPKPHSGKSHHSKVVLDPGESASEYRSSRRAALEASLREVLFQIIKFVNEKKDHVPPISEGVLYFPYEITIPSFPRTLCFSSSFGA >OMO56752 pep supercontig:CCACVL1_1.0:contig14482:18721:19737:1 gene:CCACVL1_26307 transcript:OMO56752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MIQELLGGAGLIGGERKISINGSILEGTPTTNSPSPSPSSSTTSTTTTTTTNNNNSSGSANSENQNLRCPRCDSANTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKNTTISAAVAKSSSVAKMKTVASEIGRSGLGNGFDHHELQSPLLWASPQSSHLLALLRTTQNPNNPNTLSSIKEEVSLLGSQTMNMMNEPSAAVSTGALNGRTLGLDPLSQVPSLGLCSPFWKNNNQAQHHQSNGFVLGEVQNTGIQELYQRLKSSSTNYYSDGSAVVLSNVGSSASSSSTSSSILESAPVAGGELGYWNPVFSSSSWSDLPTTNGAYP >OMO56750 pep supercontig:CCACVL1_1.0:contig14482:5368:5502:1 gene:CCACVL1_26305 transcript:OMO56750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCLSNLKAIDITASEQRQVICQWNSTDMHETGNKLPNPVKAI >OMO97030 pep supercontig:CCACVL1_1.0:contig07317:27446:28004:1 gene:CCACVL1_04699 transcript:OMO97030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEATSFFLVAKLFSKRSPHVEGLRRAMMHAWKLDSDFTVKRIGERFFLFTFEDKLEKDRVLVSQPWSFQRSLLLLRNSDGLKPIESLEFETCPLWIRVFGLPPLMMTYMVGYATSSSMGIVQDVDDSDGHFLRIRVESDVHQPIKNGTMVTGPTGDLEVNFTFEKSPDFCFVCGGASTSGRRLSSG >OMO97029 pep supercontig:CCACVL1_1.0:contig07317:8658:25041:1 gene:CCACVL1_04698 transcript:OMO97029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVIRISGHLTFGVLGRPKTNILLINAPEKGKLRYDGIYSCTSMFRKAAFMRSVPNLQQLYLWENDLSGNIPNSIYEV >OMP08855 pep supercontig:CCACVL1_1.0:contig03568:278:346:-1 gene:CCACVL1_01087 transcript:OMP08855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERKGKVKVAWEMNGNHCIGVK >OMO89408 pep supercontig:CCACVL1_1.0:contig08730:15802:18379:1 gene:CCACVL1_07857 transcript:OMO89408 gene_biotype:protein_coding transcript_biotype:protein_coding description:KDPG/KHG aldolase MVLTSTLPLPQSVFFTREGFLQQYPVPSSPFKPCCSSSTSHHHNNNSNHRRRNHAFSLIQDSAIIACLRANSADQAMEAARAAVIGGIEVLEIVRSTPGVFEVLKTLVKEYPTKAFGVGTILNAEDAKTAINSGAKFLMSPATVKDIIDDVQDADVLYIPGVMTPTEILSAYNAGAKIVKIYPVSALGGTRYISAIMKPFPHIPMVASQGITLDSVGEYISQGAISVVLSDAIFDKEAMSQNNFNAVHLLAKSAALQGKSAVE >OMP10178 pep supercontig:CCACVL1_1.0:contig02724:196:291:-1 gene:CCACVL1_01013 transcript:OMP10178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TDWLNPKGKPVKHLTPRYEPKKGNPSLRKVA >OMP10535 pep supercontig:CCACVL1_1.0:contig02272:642:746:1 gene:CCACVL1_00892 transcript:OMP10535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPSVPIDKLSRSLLTKSTSRLMDHYPDDIIT >OMO95351 pep supercontig:CCACVL1_1.0:contig07692:3574:6924:1 gene:CCACVL1_05425 transcript:OMO95351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKESPPHMLAHRNSFSLFHRLELKGPILDQLGEYHEIRA >OMP05482 pep supercontig:CCACVL1_1.0:contig05363:5107:8561:-1 gene:CCACVL1_01879 transcript:OMP05482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQADSAMVSKGLLLMPGSDTQLVGGKRSIGDLEESYDVSPKRVKLRDLDSVIRSEENNAPNSKSLKRKDSGQELQLSGEGVSQVTEVPVTFNFGDSQVERTKWDKLLAVVPSVSQPLDLNTEICLANNESSDNDQKCEEKLDLLCSQESRCAPSKGIGLDLNAEDVSSSVNHESVPPKRVNNMKPKDVSQCGSSVGPVEVKDSLRVWKEMKQNGFLSNPHGGISIQTGLLSSSHGGIPVPKQRGRKSKNDVAKKKTEAAKREQVDRFANIAAPSGLLNGLNPGIINHVRNRKQVHSIIKALVKSEEHENIHSECKQASHVTSGTKDDGGKKDHENKDDLGLNQLSCYHEDWHPSTASMSKKERDYLVPMHTPFSSISEEGSGDGDSSMIDLVSEDDALALKLSSSTKASENASCMSNEESANFSDSSLSVKAATVASQWLELLQQDVKGRLSALRRSKKKVRAVITTELPFLISKEFSSNQENEPNIIKTSGDGFSNDATAEMHRARWSALFDQMDRALSEEEKQLESWSNQIKAMQLHCDQGLQYMHWNTLHSLLPVGVSEINIRSAMGDSLDRDKELAVRAAAASIYSTCNFLLSKENVACS >OMO99222 pep supercontig:CCACVL1_1.0:contig06931:6015:6497:1 gene:CCACVL1_03885 transcript:OMO99222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C3HC4 RING-type MSPRESEERLMSRRLGPVNDVYYYKRSPTATMGMMVRKNYKGKEKIVIHEWLGEMKPKSKLKGLGFCKICQDYSAIEWMFAVKRCKHSFCPDCTRQHIATSMKENMIKIPCPDSGCACFITPKTCQFLLPDEVIDRWATAVTCSQSPPLDLDNYPITTIT >OMO99221 pep supercontig:CCACVL1_1.0:contig06931:1952:2011:1 gene:CCACVL1_03884 transcript:OMO99221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPAQSSNQTLALPTYQQ >OMO99223 pep supercontig:CCACVL1_1.0:contig06931:9059:9677:-1 gene:CCACVL1_03886 transcript:OMO99223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S27e MVLQNDIDLLNPPAELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >OMP12354 pep supercontig:CCACVL1_1.0:contig00101:736:945:-1 gene:CCACVL1_00025 transcript:OMP12354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGFTDLMKGEKDYNTHVDDEIAARENSQCCINMESTTEARPWAPWSNTFWLYRSYRIQSDLVAVKFA >OMO56582 pep supercontig:CCACVL1_1.0:contig14501:7414:7494:1 gene:CCACVL1_26432 transcript:OMO56582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEKEKGGKGWGRRDPQKSLNKEMG >OMO56592 pep supercontig:CCACVL1_1.0:contig14501:60223:60366:-1 gene:CCACVL1_26442 transcript:OMO56592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSKEETATDADTSSGDTPPSNSNLFTEGERVLAYHGPRIYEAKA >OMO56585 pep supercontig:CCACVL1_1.0:contig14501:16648:18431:-1 gene:CCACVL1_26435 transcript:OMO56585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDTPEASPGGSKSGQKQNKLKTGTIVGIVVGDIAGIGFVVMVFLLVYKLRKKKKVETTLKQEANTAKDNWSITSSSSESRGFTRWSCLRKRTEYEEESDATSETEDDQNQRGNNKSHDNQRHPEQEHDKKGTLVIVDGEKQLELETLLKASAYILGATGSSIMYKAVLEDGTSLAVRRIGENSVDRFRDFETQVRVIAKLVHPNLVRIRGFYWGVDEKLIIYDFVPNGSLANARYRKVGSSPCHLPWEARLKIAKGVARGLAYLHEKKHVHANLKPSNILLGSDMEPKIGDFGLERLVTGDTSSYKAGVSARNFGSKRSTASRDSFPDLAGPSPSPSPSSFGVSPYHAPESLRSLKPNPKWDVYAFGVILLELLTGKVIVVDELGQGNGLVVEDKNKALRMADAAIRADLDGKEEGLLACFKLGFNCASPIPQKRPPMKEALQILEKIPSSTSSSSYYYGH >OMO56583 pep supercontig:CCACVL1_1.0:contig14501:10087:11334:-1 gene:CCACVL1_26433 transcript:OMO56583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, Rab type MGMTISRLVRMLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNVSFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERISEARDELHRMLSEDELRDATLLVFANKQDLPNALTVSEITDKLGLNSLRQRRWYIQATCATSGQGLYEGLDWLSSNISTKARQAIQASFSFILMVILG >OMO56589 pep supercontig:CCACVL1_1.0:contig14501:46126:51468:-1 gene:CCACVL1_26439 transcript:OMO56589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MEARSISLTKLSPLARPKLRRLGRLSSFKFKPFIFSPVIIRHKSLSLPLSSPNLRITCAANHDGHHHHHRDHHGHHHDHDHEHHHDHAHHHHHHHHHHHHHHDSGKLNGPQRAVIGFAKATRWMDLANYLREHLHLCCCATALFLAAAACPYLLPKPAVKPLQNSFLFVAFPLVGVSAALDAITDIAGGKVNIHVLMALAAFASIFMGNALEGGLLLAMFNLAHIAEEFFTSRSMVDVKELKENYPDSALVLNLDDDNLPNVSNLSYRSVPVHDVEVGSYILVGTGEAVPVDCEVFHGSATITIEHLTGEIKPLEAKVGDRVPGGARNLDGRMIVKVLKTWKESTLSRIVQLTEEAQLNKPKLQRWLDEFGERYSKVVVVLSVAIAVLGPFLFKWPFFSTAVCRGSVYRALGLMVAASPCALAVAPLAYATAVSACARKGILLKGGQVLDALASCHTVAFDKTGTLTTGGLQFKAIEPIYGHFVGNQKTNFTSCCIPTCEVEALAVAAAMEKGTTHPIGRAVVDHSIGKDLPSVSVESFEYFPGRGLIATLNGDESGAKGGSILKASLGSVEFITSLCKSEDESRKIRAAVDASSYGNGFVHAALSVNEKVTLIHLEDRPRPGVLDVISELKDQAELRVMMLTGDHKSSAWRVANAVGINEVYCSLKPEDKLNHVKRISRDMGGGLIMVGEGINDAPALAAATVGIVLAHRASATATAVADVLLLRDNISGVPFSIAKARQTTSLVKQNVALALTCIILASLPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNDPSWSWRQDVLLLLSKLKSKLPLLRHETSSSTIKTAPL >OMO56593 pep supercontig:CCACVL1_1.0:contig14501:66806:67678:-1 gene:CCACVL1_26443 transcript:OMO56593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNMMIKSFLSFSSLLLVLSSILIGTEARRDPFNILISKNFAGDKKLPDFFDILGAIKDSGPSPGVGHKFTDSQTLGGIKNSGPSPGGGGHKVIDSSTLGGIKDSGPSPGEGHKVVDSSTLGGIKDSGPSPGVGNKFTNGFTLGGIKDSGPSPGVGHKLTNSFNLGGIKDSGPSPGVGNKFTNGFTLGGIKNSGPSPGGSGHKFTNSFTLGGIKDSGPSPGVGNKFTDSFNLGGIKDSGPSPGVGNKFTDSQTLGGIKDSGPSPGVGNKFTDNHTLGGNKDSGPSPGAGH >OMO56587 pep supercontig:CCACVL1_1.0:contig14501:27397:36026:-1 gene:CCACVL1_26437 transcript:OMO56587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHISFSKALPFLSTKGKVRSFPTIIPPPSI >OMO56588 pep supercontig:CCACVL1_1.0:contig14501:43745:44596:1 gene:CCACVL1_26438 transcript:OMO56588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIKGPWSPEEDDLLQQLVQKHGPRNWSLISKSIPGRSECNFISNGGYDGNLGGDERPPLKRSVSAGLYMSPGSPSGSDVSDSSVPVSSSHVYKPIARTGGVNVDVNVMPAGLEATSSSNDPPTSLSLSLPGVESCEVSTHPTVTTESTQTRNEGKNEERGSGVMGFSAEFMAVMQEMIRVEVRNYMMQMQQQNGSVGGVRNGDMFGWRV >OMO56584 pep supercontig:CCACVL1_1.0:contig14501:12074:14801:-1 gene:CCACVL1_26434 transcript:OMO56584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNIGMMDSAYFVGRSEILAWINSTLHLSLSKVEEACGGAVHCQLMDAVHPGMVPMHKVNFDAKNEYEMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKRYCDSVNGGGLHNYNPLERREAAKGGKEANKKSVASQSSTKGSTAAPRPPSSHARRNDVSSSVNPSNQSAKVSSKPPAAPIPAYDEQITELKLSVDSLEKERDFYFAKLRDIEILCQTPEIEDSPIVAAIKRILYATDGDASVVSEAQAMVSLPSKEAEALSPIAEQLSEEKSNSETQKRKNILNIDVDAAGITTLSPRQRLSDASDVHCRKPQETKSVQVIHQIPQTNQKTSGSVLTGAAAAIVSTLESAKDAISRK >OMO56586 pep supercontig:CCACVL1_1.0:contig14501:25399:26787:-1 gene:CCACVL1_26436 transcript:OMO56586 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD dependent oxidoreductase MAVTSPTPSISTLTPFLRPNIRNSRTFSNVVITAASFRCFCSKSQPMEDQQQLETTKRVVVCGGGVIGVCTAYFLSQKGAAVTLVEKSSVACAASGKAGGFLALDWCDGGPVGPLARASFNLHRSLSEELNGPESYGYRPLTTLSLTVRESPSSSPSGSKSSGDSKIPSWVDGSARAPMTIGSTQTTAQVHPQLFTRALLNTAVEKYGVEVVIGKLEQVRVEEGRVRSVVLEGGRVIESDSVVLALGPWTGKFEMLASMFRISGLKAHSIVLEPKQPDAITPHALFLSYHPQGGKAMEPEIYPRPTGEVYICGMSSEEEVPDDPEQIVGKPESIEMLKRVAKNVSSHLAEGEAQVKAEQACFLPCTDDGVPVIGELPGVKGCYVATGHSCWGILNGPATGAAMAELVLDKRASIVDLSRFSPARFLGRRKVKV >OMO56581 pep supercontig:CCACVL1_1.0:contig14501:3717:5136:1 gene:CCACVL1_26431 transcript:OMO56581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQEEETEQIQSSPLILDGLFCEEEDLGEYSFEENGSGICGETTLGKETFLPSFFIEHDLFWEDDELMSLMSKEKETHFGYIDVNSDESLVLARKETLEWIFKVKAHYGFNALTTVLAVNYFDRFISSLKFQKDKPWMGQLAAVACLSLAAKVEETQVPLLLDLQVEDSKYVFDSRTIQRMELLVLSTLQWKMNPVTPISFFDHITRRLGLRTHLHWEFLRRCERLLLFLIADSRFMLCIPSILAAATMLHAIKEVEPCHYLEYQKQLIEVLKTCEDKVNVCYKLILESLESHCKGNQGHKRKHRSIPNSPNGVIDASFSCESSDDSWTMASSVSSSPQPLFKRSRIQEQQMRLPSLNRMFVNVLSSPR >OMO56591 pep supercontig:CCACVL1_1.0:contig14501:56005:59848:-1 gene:CCACVL1_26441 transcript:OMO56591 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRG family protein VQKAELRKKEWKYFVHYLGWNKNWDEWVGADRLMKHTEENVMKQKALDKKQGVDKSSKSGRSSQTKPKSSADAKEDKEEVKNTVPKGKKRKSDSGVEKDNSSVEKLVKIQIPSTLKKQLVDDWEFVTQQDKLVKLPRTPNVDDILTKYLEYRSKKDGTMTDSIGEILKGIRCYFDKALPVMLLYKKERQQYNEVVVDDVSPSTIYGAEHLLRLFVKFPELLAYVNIEEETLTRLQQKLMDFLKFLQKNQSSFFLSAYEGSTTSSEGKGKGKDDA >OMO56590 pep supercontig:CCACVL1_1.0:contig14501:53866:55293:1 gene:CCACVL1_26440 transcript:OMO56590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MEGRRVVGLAARDESGHLSPYSFTLRKTGPEDVLLKVLYCGVDHSDLHQMRGELGPTNYPLVPGHEVVGEIVELGSEVNKFKIGDKVGVGCIISSCGECYACESNNEQYCSKRILTYADTNKDGTPTQAEMEGAVNSLDYILDTVPAFHDLEPYISILKVEGKLIFVGVATKPLQFNNDHLILGKKSLAGSFIGSMADTQEILDFWAEKGLTSMVEVVKADYINKAFERMEKNDVRYRFVLDVANSNLE >OMO59555 pep supercontig:CCACVL1_1.0:contig13924:43070:47380:-1 gene:CCACVL1_24763 transcript:OMO59555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKGGEGVAEYCGVFYKASVK >OMO59554 pep supercontig:CCACVL1_1.0:contig13924:23922:28220:1 gene:CCACVL1_24762 transcript:OMO59554 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MATELEIVLESQEEDVREATKFTVIGKIISKKPLNRRGVIGVLQSIWPRKEILTIREMGQNLYAISFVNQQFMEDALANGPWSVMGCYLNIKKWEIGQAMSDLDFSRVDFWLQVHNLPLEMLTCQNAEKIGVVIVRNCEQYEGGQLRYGPHMRTGPIWDKKVVRWPGGDKGKQCMDSTLNKDCLMLDKEGEGILKWESRSMGESSGANKRVYQECSFISPVKCGDGVIMVEDGIDKLQKVDTDATAHKVDEVDIVGVEESQVQHDNEGGKDVEVADFRKLNLKRGCQDWEELVLPIGKRCKLEIGENKENNYKIDSAKVDSELSLRDVKGRQRKKYQSRSAVKNKGVRRRCRVERSLRGGVLQEVEVHEIEYWDANKVNEQLESFLEGSCYVDPVGIGGGLALWWDQYWVVTVIGKCKNLIDVEVAGGDLERSIRIFWIYGPPTFEERQDVWRMIIDRSRSFDAPWICIGDFNDFLYIHEKEGGNVRAARKMAGFWNFVNQCQLIDVHCHGQRFTWMCKRDGNLIKERIDRVMVNVSWMDLFPNTQAFNLPIIGSDHGPILVDSNLEDSKAPKQFKFEIMWMDKEDCAQVIQDGWNADFQGSYAYQLVAEIQDKDSDSHSLAKAEILIVRLHETWKQEEKYWSQRSRINWLRHGDQNSKFFHQSTIIQRQRNKILSLKVENEDWIENEDEILEVFTQYYEKLFTTEGDRDWHNELEYVPQLVTAAMNEEITKEVTEEEIMEAAFQMGALKAPGPDGYNGAFYQGYWEIIKTAVVNMVKSFFHCGVMLKEVNRTRVALVPKGLKPELVTQFRPISCCNYVYKIISKVIANRLKPMMNDFGSEERRKNHGRQRSSGASSSYLIPEDLWKFIWNLNVPNRIRIFMWRACKSILINHVLWRRHLRQDPVCPCCSKFPETIEHTLLLCDWVLPVWFGVIGIRVNRDQIRTLDEWLMTVLRDSGQGKEYQIHLGTAIVVTCWFIWKARCQHVFEKAELRPDIIVPIIQRFILELEDANSLTRSKKATTEVRIKEDKWKAPEEGWSKLNCDGAIDTKTFDAGIGVIVRNSTADLIGGKGLSLQVSSIEEAEAVAIR >OMO59553 pep supercontig:CCACVL1_1.0:contig13924:14997:19462:-1 gene:CCACVL1_24761 transcript:OMO59553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKITSSTTTTKPTNTVLPYQTPRLRDHYSLGKKLGQGQFGTTYLCTHKATGALYACKSIPKRKLLCREDYDDVWKEIQIMHHLSEHPSVVQIKGTYEDSVFVHLVMELCAGGELFDRIVAKGHYSESEAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFDNPGDDAILKATDFGLSIFYKPETESGIFRQILHGKVDFVSEPWPSISNSAKDLIQKMLERDPRQRIHAHEVLCHPWIVDDKVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFQELKDGLKKVGSELMESEIKSLMEAADIDNSGTIDYGEFLAATLHLNKTEREENLVAAFSFFDKDGSGYITIDEVQNACKEFGLGDLHLDDIIKEIDQDNDGRIDYGEFAAMMRKGDGVGRSRTMRNSLNFTIADAFEVKDSSLSDTN >OMO59556 pep supercontig:CCACVL1_1.0:contig13924:49513:53222:-1 gene:CCACVL1_24764 transcript:OMO59556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MGDRQEGQNQTNDLATMMQTLMRRMDTMSTQFNQRLDTLEAQNQPRANAAQGNGQREQQQAQCQVVRRDPMERLKEQEAGGQAYLDNLRPRRGGDRDEPKDNIKYKIPKFNGRGTPADYLEWESKLVCTLTIILFPKLRRRRNLERPIETWPELKSVMRKRFVPSFYINSLYQSLQTLRQGSKSVDEYYSEMMLLMSSAKIREAPQATMARFLASLNREIHDIVEMQQHYDVDEMLQHALKAEGQVKRGVSAKRGYGHYAKEVLYFKKVLYFNVNKKVLYFNEHGELVSEDEEFNLESSGDGEDERKEDVATSDDDDEIPPLKSLVARRTLSAYVKGDVQNQRENLFHTRCYVNGKPSSVMIDGGSCTDIASVYLVKELQLPTTKHPKPYSLGWFNDRGEIKVNKQVLVSLSLGRYKEDVLCNVLPIQACHVLLGRPWQFDNKVQHDGETNKYSFMCAFRTNPDETKELEKQVGELLEKGYVRESLSPCVVPVLLVPKKDGTCRMCLLSNYEGFEYIKDLYAMDVYFGEIYKECAKSGFGKYHQIHMKEGDTYQPHFIGTFNRFSKMAHFIACSKTDDAITVANLFLKVIVRLHGMPRTIVSDRDAKFLSHFWIILWAKLVDMDGKRKVEFVRELHEKVRAQIEKKTQHYMKMANKGRKEVIFEPGDWVWLHLRKERFPEKRKSKLLPRGDDLRTNLLQGGGDDAPRTHHGLEVHNRDHGEDVHGLQGSMDKNEDDGDIANHVSSTNKMPFDPLKMSNGLMTRARAKRFKDALMGLIRTHLDDMKTIKVQLKRFEDDLVKESTIDPKLITLLAIDS >OMO78418 pep supercontig:CCACVL1_1.0:contig10589:21980:24546:1 gene:CCACVL1_14401 transcript:OMO78418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MHHQSIALKPTQFPFPVHLHPPNPIPSRLVFPPISFPLYPNTTITTTTASAPRSVLNCRGFHIPVPNHPPRSLSSSNPTKTVDVATLGNLCVDIVLNVPQLPPPSPDARKAFMEQLSSSPPDKQYWEAGGNCNMAIAAARLGLNCITIGHVGKEIYGNFLLDVLHDEGIGMVGMSEETDPVSSPSAFYETLLCWVLVDPSQKHGFCSRADFSKEPAFSWMSTLTEEVKSGIVRSRILFCNGYDFDEFSPGVIMSAVDYAVEVGTSVFFDPGPRGKSLIRGTAEEQKALRHFLRMSDVLLLTSDEAESLTGIVNPILAGQELLRKGVRTKWVVVKMGSKGSILITASSITSAPAFKVKVVDTVGCGDSFVAAIAFGFIHNIPLVTTLAFANAVGAATAMGCGAGRNVATLKQIVELLEAPDINEDDQFWNELLGEHADSQEVTVLSKVVVNGNNGRMNRVTLQKVVSELLSQLKSSQLEGKLSS >OMO78416 pep supercontig:CCACVL1_1.0:contig10589:9942:15934:-1 gene:CCACVL1_14399 transcript:OMO78416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MATYCTSAPKIQRNFKGFATALKSAACEWFLIFLLFIDAALSYLLTRFAHYCELQIPCILCSRLDHVFGNEKPGFYRNLLCSNHRSEISSLISCNIHGKLVDGRGMCENCLSSHIEENKSNSDLQSLVLGKLGFDLAGHGDCSSRSSLFKRDLNPASKGKKLCLCCNKPWIPRPNSQRLLYVKSSGIAVGKPNIPLPRRLSRRNGLKRMRDKFSAPAASHLLAQAGSDPLSHVGYTELKITSESESEVPFSDDETANSIVHNINESKRELLVNSAPETPCRRLSDDLATPEWPDAKEPRDVRCLTPDIPCEDDVCERKEQPSDQKHNTPVLPELISLDDSPPSPCFVEVPSFSASLLSDLISLVDAPLSVDNTQVPLESSEKYVTEASKTEKISVNKNDETLKVISTSTGASLKTDQVEDDTAVVNSTNEDLSAVHILPACSEEKDLSAVHILPACSEEKDLSAVHILPACSEEKDASGFVTKEPTVTYNNGIDEDVKSLPAQNSTIQGIQLSLNTLSPRFRDRSFELQRSSESNSNKAHERSESACLESFDPSSINEIEGESLVERLKQQVEHDQKCINALYKELEEERNASETATNEAMAMITRLQEEKAALHMEALQYLRMMEEQAEYDGDALEKANDLLTEKEKEVQDLEAELEYYRLNFPDETVGETVPEASINIKNVSLENTSASSIKDDPKFPSKTMYPASEVNANPVDISSWAEFEDEKLYISQCLQSLERKVNRFAHRGTSPYVSDSEYCDEAADRGQNHQERLHRSISRHQLVSQRNGCMVSNGQKGSVGCGEIDLASLENEISDLNERLEALEADSNFLEHSLNSLQNGNEGLPFILEILHQLRELRKLGIRNLPIFFLILTQTSKSQKSSIFPKSYPLIGSYFAIKSNLSNRFEWITKILKNSPSATFTLHLFGNRRQIITANPANVQHILKTHFDNYHKGRIFITSLYDFLGDGIFNVDGESWKFQRQVSSHEFNTKSLRKFVRTVVDTELHDRLIPLFSGAATSGKTVLDLQDILQRFAFDNVCKISFGFDPACLLPSLPEAEFAVAFEDAANLSSERFRTPAWKIMRFFNVGSEKRLKIAVSQVQEFARKIVREKKQELAEKSSLESVDLLSRFLSSGHSDENFVTDIVISFILAGRDTTSAALTWFFWLLHKHPRVEKEILKEIKQKSDVAVFEEVKDMIYTHASLCECMRLYPPIPVDSKLAINDDVLPDGTVVKKGTIVAYHPYAMGRMEEIWGSDWAEFKPERWLQRDEAGKWRFVARDPYSYPVFQAGPRICLGKEMAFLQMKTVVAGVLSRFKVVPAVEDGFEPKFLGYLTSKMQGGFPVRIEKREELNLD >OMO78417 pep supercontig:CCACVL1_1.0:contig10589:17536:20822:1 gene:CCACVL1_14400 transcript:OMO78417 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase-like, ParA/MinD MKGFWRPFARLGGVRNFSVLSNDQLRINGVKDVIAVASGKGGVGKSTTAVNLAVALANKCQLKVGLLDADVYGPSVPTMMNIHQKPEVTEDMKLVPIENYGVKCMSMGFLVEKDAPIVWRGPMVMSALQKMSREVDWGKLDILVVDMPPGTGDAQLTMSQRLQLSGALIVSTPQDVALIDARRGVRMFSKVQVPILGLIENMSCFKCPHCGEPSFIFGTGGAQKTAAEMGLQLVGEIPIEVDIRKGCDEGVPIVVSAPDSVVSKAYNDVAQNVVTRLEELSRE >OMO78415 pep supercontig:CCACVL1_1.0:contig10589:8132:8431:1 gene:CCACVL1_14398 transcript:OMO78415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MSYGQASERSSLSCSDEGKSSWPELVEVNGEAAAATIMKENPKVGAVIVKEGMMVTMDFR >OMO78419 pep supercontig:CCACVL1_1.0:contig10589:26511:28808:1 gene:CCACVL1_14402 transcript:OMO78419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant MVKNELAIGQSQDFSLRQNQYVAIGHNADCVLGRNHDLLRSEQIRDYDLAHNHVLGSGNTLEHELASCETHSNNLALQHDDLHNFEENGLDMDQMNYPDAEEDQHADVKEHDDQFRVAAQNHEFGLCDNSELTLVENQENLISEMEQNQEMSIVPASDIYSQQQAVVVAAPVLQYRPLAPVPNHQLTVGQEFPDVHSCRRALRDAAIANRFEIQTVKSDKTRFTAKCASEGCPWRIHAAKQPGVPTFTIRTIHDEHACGGITHLGHSQASVQWVADAVAERLKENPQCRPKDILEEIHRVHGITLSYKQAWRGKERIMAAVRGSFEEDYRLLPQYCDMIRRTNPGSIALVYTNPTDNCFQRLFISYQASIYGFLNACRPLIGLDKTVLKSKYMGTLLFACGFDGDGAVFPLAFGVVDEENDSNWMWFLSELHYLLEINVENMPKLTILSDRQKGVVEAVEANFPTAFHGFCMRHLIDNFQKEFDSTTLINLFWEAATALTGLDFEKKIFEIQEVSPEAANWIRKIPNQFWATAYFEGTRLGHLTANIVECLNSWISEASGLPIIQMMECIRRQLMTCFNERREKSMQWTGILVPAAQSLVSGAIELGYTYQVLKSDEALFEVQTETEGAFVVDIRARNCYCRGWQLHGLPCAHAAVALNSCGQNAFRFAEGCFTVASYRKAYSQTIHPVPDKALWKEMSEQYPNEGDIENKDTEVVIKPPKSLQPPGKPRKRRAPSDKGGRVKRVVHCSRCNQTGHFRSTCTAPI >OMO78414 pep supercontig:CCACVL1_1.0:contig10589:3447:3783:1 gene:CCACVL1_14397 transcript:OMO78414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MSGCSDEGKSSWPELVGVNGEAAAAVIMEENPKVGAVIVKEGMMVTMDFRCDRVRVWVDKCGIVKEIPHIG >OMO81055 pep supercontig:CCACVL1_1.0:contig10245:21464:22369:-1 gene:CCACVL1_12634 transcript:OMO81055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQKGVSVSGSSNIKNGKFVKERSVAANNINKTKFVGVRQRPSGKWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFSTQIPSNSHLSLKIRNLLNHKKSLKQGNSAASTMNINSKKATIKASTIISSNNNNTNSSSFCNPIPSSSSNESFLSNSSHGSVVCDSIMKQDIQVFDGAYRPDLSSCIGELEPVSSQQFGQSWPISMGFDQIHLNHQGLHQLPQNPGPVSAAIEPDLNQMVEFERLKVERQISASLYAMNGVNEYLENVFDPSDAALWDLPTLCQLFCQS >OMO81057 pep supercontig:CCACVL1_1.0:contig10245:48423:52938:1 gene:CCACVL1_12636 transcript:OMO81057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIYCIGAGYVGEEHSYQFFLGGICYARVKGSELAIQHRQFLYDDLGIRVQISAAPKTK >OMO81053 pep supercontig:CCACVL1_1.0:contig10245:2303:4994:-1 gene:CCACVL1_12632 transcript:OMO81053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKKAPAGVWHTIKPFVNGGCSGMLATCVIQPIDMIKVRIQLGQGTAGTVTRTMLREEGVGAFYKGLSAGLLRQATYTTARLGSFKILTAKAIEANDGKPLPLYQKALCGLTAGAIGACVGSPADLALIRMQADATLPLAQRRNYTNAFHALYRITADEGILALWKGAGPTVVRAMALNMGMLASYDQSVEFFKDNLGMGEAATVLGASSVSGFFAAACSLPFDYVKTQIQKMQPDAEGKYPYSGSLDCAMKTLKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKLQKKVGL >OMO81054 pep supercontig:CCACVL1_1.0:contig10245:18255:20382:1 gene:CCACVL1_12633 transcript:OMO81054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin MREIISIHIGQAGIQVGNACWELYCLEHDIHPDGMMPGDTSVGVAHDAFNTFFSETGSGKHVPRAVFVDLEPTVIDEVRTGPYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPTSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTIVPGGDLAKVQRAVCMISNNTAVSEVFVRINIKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVGDDEEGEEY >OMO81058 pep supercontig:CCACVL1_1.0:contig10245:58789:59990:-1 gene:CCACVL1_12637 transcript:OMO81058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISLKLIVLLRSLACLWICCAVAAARNTLNQGEKLNSSNQLVSENGSVTLRFIKLEYDRTWDDKDFGFYLAIQYTDFINQSIDDLGHPICTSRMKLGFSRERNWSLSSWLSESIPAPGPFNLKWDPFQERLVISLRDRVVWTSGEKFANIPFLVLLDFYSTNYSFTKVFNGDDEQYAYYTSRDGRKYGRVVMKYDGGVRAGEVLLDSYNCGGDSTKGGCERWDGPKCRSNGDKYELKTVPPTSQHSTTHSTSNKSLTVYDCKDMCWKDCQCMGVYAGDDNKYGPGCHFLIGPYVEGIFHGHLYQIITTRHHSSKF >OMO81056 pep supercontig:CCACVL1_1.0:contig10245:39724:40893:-1 gene:CCACVL1_12635 transcript:OMO81056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATSRSHMESEDIMSAVLRSHLKSEDIVRKPPRPISEPPKDIVAKRLIFGPISEPPIVRKPVEPPHKPPFSGRIFEPPKVIVPKNPISGAISGPISEPPIVRSANSTYNECNSTYNECTSGTLFHQCECRVDIGPISEDVVPKHHISEPKPPITPITSDFEPPKDIVPKHPISERDFVSKRFSTVSDPRIEYYDPGKDRENIAFDRIWGTTRPIRKEDFCNMFGLDVLCVIAIDSFNRKHNTKYEFLKIERANKHLIGRGHVFYIIFQARQNATTQNFQTLVYKDFVTKDITLDFCGLNREACK >OMO94868 pep supercontig:CCACVL1_1.0:contig07789:53099:59365:-1 gene:CCACVL1_05736 transcript:OMO94868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipid transporter MSEVPSTAPSETPGDGDRPNLSRTFKYLLATQFLSRGIPFIFNSWIVRHLTEEDYAVYAVQFHLFVTCVLFLSREGFRRACMRADVKFEGASAKENAAKLLKVAWMTFPIGVVITIVACLFVFWLQGLHLSDPYAQAILINGCACILELLAEPLYILSQTLFLLKLRLKVETAATFLRCITMYILLVNCTNMEKGIVFALSQAAYGGSLFLGYWSYFLFSHVFRSYDLFPFRLGQMMNFDKQLSNMCILFTLQSFRKLVLQEGEKMVLVWFDTPYNQAVYGLIDKLGSLVVRLVFLPFEESSYATFARSASGQSPHNSKILGKSLTEALKLVLLIGLIFLAFGPSYSYSLIRLLYGQKWSDGEASVALRYYCLYIIVLAMNGTSEAFLHAVATENQLKRSNDSLLVFSLIYVALNVILIRSAGAVGLILANCLNMILRIIYSAVFIKNFFQESSAFSFYSCLPSGWTTLLLSGVATLISEKVFLDRENFWPSFFIHFSIGFTFFCISAFVIYRRERSFINKIIRFREHVD >OMO94876 pep supercontig:CCACVL1_1.0:contig07789:88089:89643:-1 gene:CCACVL1_05745 transcript:OMO94876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQSVAKKKNNNKKLNSGKKKKINNVNFDDLPTELKVDILCRLSGKELVRLTLVSHPWQDFITVSCFSTMAALPLSYSGSIYVHKDSSRSSLYGSETLFNMLRHQSLNVDKSFRYVNLGTMEPHNCSDITLAQLMASCNGLVLICRGDRHYPRWDKDGLLEMHKVLYSYYVINPKTDQYVQVPKPSPSVCCYAALAYHPAESNFFKIVRFQGVQRLNVFNSETGDWVTSPFELENDITKANWVEQSAYLQGAIYRLSMSGHLLRFTVDKVVSKKDLARAIEIPGAIDKSEIPKLHVGLSNERIHLAMYDIQRTILKIWVLEEDYQWSLKCSFCCPQISNFYKTYVQSIGFHPYDEGVIFVSYFQRNYLLELKCLRYHEQNPIIENSRSFNYYRRYGSDFRTGPIYHLLQCEVPFACRKLQIKPLIKAIK >OMO94873 pep supercontig:CCACVL1_1.0:contig07789:79722:80312:-1 gene:CCACVL1_05742 transcript:OMO94873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKYIKQSLGKKISKKSAKGSTDQDDFKKGKALRHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVASMAIKGSSAYLNFPESAHELPRPATSSRRDIQEAANKAAYAMTESPCSTTTMSCETQGSPSSQSVDSDQSMWFDLPDLSLEADSSQRFGYNSSSWWQQAEIDTDIPFDETLTPWD >OMO94867 pep supercontig:CCACVL1_1.0:contig07789:40364:43074:1 gene:CCACVL1_05735 transcript:OMO94867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLANQFESLRPKTETETDRAGWGWLGRGGLGQGPGPGPGNVWKWGPKTLFSFQFVPFIPFTIAKGGSRACHVQED >OMO94880 pep supercontig:CCACVL1_1.0:contig07789:117344:120235:1 gene:CCACVL1_05750 transcript:OMO94880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MGMGKRSWGLWIEEALPKVESLNMFIALRPMRLPTAKQQGQEVEEEATSQALVPNEEEYETFQGVQPWDRLSVQISIPDSFFQRLLNGEEFTTKYERDDNYNASSNQQQYKKLILFAGNDFLALGRHPAIAKATIKAAAEHGTGPRGSPLVCGYTDYHIALESGLAQLKKKEACLLCPTGFSANMAAMVAIGSIAPLLSAGGKPTVEEKIAVFSDSLNHASIVDGLQLAKQHGGLEIFVYRHCDPIHLDALLTSCKMEKKVVVTDSLFSMDGDFAPMVELVKLRKKHGFLLVVDDAHGSFVFGKNGGGVTEEFNCQDEVDIVVGTLSKGAASIGGFIACSKIWKQFIQSRGRAFIFSAAHPVPLAAATYASLVVARNEPWRRLEVRKRIREFQALTGIPVESQVLSVIIGSKEKTWQVNRELLTSGLYVVAIGPPVANTWRLRVTLTAAHTTEDIRKLVKIISNHVKFEDMENYNPNLHVKAKL >OMO94872 pep supercontig:CCACVL1_1.0:contig07789:73448:74084:-1 gene:CCACVL1_05741 transcript:OMO94872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase, LH2 MKAHRSFSIFSIIVLLFSLASADSSNDCVYTLYVKTGSIIKAGTDSKISVTLGDSLGRSVWVPDLESWGLMSPSYDYYERGNLDIFSGRGPCIAAPICRLNLTSDGSGSHHGWYCEYIEVTSTGPHKDCSQSVFFVNQWLATDAPPYQLTAVLDGCDMWDGPAHNAINGPFVVGSPQIPASA >OMO94874 pep supercontig:CCACVL1_1.0:contig07789:82784:82888:-1 gene:CCACVL1_05743 transcript:OMO94874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGGLSTFRPTNFVKQVAPLALGRQQLIALLLN >OMO94875 pep supercontig:CCACVL1_1.0:contig07789:83791:85180:-1 gene:CCACVL1_05744 transcript:OMO94875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQNVVKKKKKKYINNITFDDLPTELKVDILCRLSGKELVRLTCVSHSWQDFITVSCFPTMAALSYSGSIHVRKESSKPYRHEIFCYMLKHQSLNVDKSFGYVFLRTMEETHQYSDIRLAKLMASCNGLVLICRGDCHYPRQSRNTDGKVERPKILHDYYVINPRTDQFVKVPKPSPSVCCYAALAFHPGESRYFKIVRFQQGLKRLNVFNSETGDWANSSFKLEKDVTKAKWVEQSAYLQGAIYRLSKSGHMLRFTVDKVVNKKYMARAIDIPGVIYKYEIQKLQVGSSNGRIHLAMYDTNRTTLLKLKIWVLEEDYQWSLKCRFRCPEISDFYYTPVQSIGFHPYDEDVIFVSYYRGKGGLELKCLRYIEQNHIVENNTSFYCCSLSNLGNGPIYHLLQCEVPFACSKLQIKPLEKD >OMO94879 pep supercontig:CCACVL1_1.0:contig07789:110463:113110:1 gene:CCACVL1_05749 transcript:OMO94879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MEKGKSTWDLWIEQALARLESHKMFAPLRPMQLPGVNLEESEVEAAQTMPNDDEYETSQRLQPWDRLSIQISLPDSFFKRLLTGGELIRKNELADYKAVAEHGTGPRGSPLICGYTNYHRALESSLAKLKKKEACLLTSSGFAANMAVMVAIGSLAPLLSVGKRPTKEEKIAIFSDSLNHASIVDGIKLAERHGGAQHFVYKHNDMFHLDQLLTHCKNQRKVVVTDSLFSMDGDFAPMVELVQLRKKHGFLFVVDDAHGTFVWGKNGGGVPEEFNCEYDVDISVGTLSKAAGSLGGFITCSKTWKQFFQSRGRSFIFSTIAPVPLTAASLASVVVSRKEPWRRMEIRKRMKEFQTLTGIPVTSQIVSVIVGSTEKTFSTSWELLKAGFYVVPVGPPAVPPNTGRLRITLTAAHTSEDIKRLVKILSDHISFSDINNYSSELYARL >OMO94871 pep supercontig:CCACVL1_1.0:contig07789:68726:72367:-1 gene:CCACVL1_05740 transcript:OMO94871 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase III Rpc4 MGQDELSPARRKVRFAPKAPQKPKITVPKSEATNDFEDKEAARAQFLLGRFNENQTRQKPKVEKKSSAQIAFGPGAPSRTLLRTYGTRSGETSDRNATPIHRSPDDNDGQIVCYLPSTSKEDMMDICPLDASEASAQKIKREYREPWDYNHSYYPITLPLRRPYSGDPELLDQAEFVEAAGKEYNENTINPASDLGLLEEGETGKMFLFQLPANLPMVKRPASTKGKEKAEISVPSQHFGASQKGCQLEELQGGYMGKMLVYKSGAVKLKLGEMLYHVSPGSDCAFAQDVAVVNTAKKHCCMIGELGKRVVVEPDIDTVLDSVIDLD >OMO94862 pep supercontig:CCACVL1_1.0:contig07789:25433:26278:1 gene:CCACVL1_05729 transcript:OMO94862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MGGHMRSHLAKLPLPPKPKPIDKSPSPYSSLTEVNNHRSTEDIESAAKNPTGRRSKRPRKLPLVVGSPSDSVSSVVLASEDENSLSDEDAARCLIMLSRDSWTRKQEKKESYDFDDDHEFIIDGDGVFVGAEEDGYYLKEEEEDEDDDDESFCVTNYHSAKAHAKYKCETCKKVFKSHQALGGHRASHKNKPMILNEGGVEDGGDGGGGHQQRIFKCPFCDKVFQSGQALGGHKKVHFTYLAVPEKVSNEFESSSSSSAVQSFDLNLPAPEYHDGGGGDEY >OMO94878 pep supercontig:CCACVL1_1.0:contig07789:104034:107666:1 gene:CCACVL1_05748 transcript:OMO94878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MEKRPWDFWLEEALAKLESLNLLRPIRPIHLSTPQNPVPDTDDEEYEVFHQLQPWNRRSVEVSISDSFFQRWVQGGDEVVWRDDVPIDTQDNSNQQQLKKLLHFAGNDFLGLSSHPTIAKAVAKAAKEHGMGPRGSAAICGYTNYHRSLESSLADLLKKEECLLCPTGYSANTALMVALGSVAPLLAAGKKPSKEEKIAIFSDVLNHTSIIEGIHLAERYGQAQLFVYRHCDMSHLDELLTSCKIKKKVVVTDSLFSMHGDFAPMLELAKLRKKHGFLLVIDDAAANLGGFIASSKKWKLFLQTKGRSFMFSTTTPVPFVAALNASVYIARKETWRRRAIQDRMRDFHDLTGIPITSHIISIFVGTEEKAVEASRYMIKSGFYVTPIRPPGVTPGACRLRLTLCAVHTRDDMERLVTALSHCINFQDITSRHSNLSAKL >OMO94870 pep supercontig:CCACVL1_1.0:contig07789:65574:68115:1 gene:CCACVL1_05739 transcript:OMO94870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGGGKGMGGGAGGGGANMFRTVGRAVARAGSNPTNFQEPFSSSSSSSNSSSTTPSPTSASKRHQNSNNSNYLTISSGNCSPLPISANSGLPSNWHGFVASPASSASSCGDDEFEWVSVDESEGGRGRQHGFFDDYVLAPVPSVGEVQNVVSALQRVFDPSSCPQLIRDKFSYHSSRDIAYQIPSPTGSMRRIHSAGSDLDWMEPSLHLYNTRALQPYGTNRVYDAFHLLQTEPAVQKMVLSLSSDKAVWNAVLKNEVVRELRESFYAAGENGALSSEGSSDENSDESNKATNIVMWIFDNTKAKIMDIFDKITKLVNELFKMPPPDYEKGAAEGTLGPFEERLRTSFLLSVVVLLVVVVARANII >OMO94864 pep supercontig:CCACVL1_1.0:contig07789:30981:33038:1 gene:CCACVL1_05731 transcript:OMO94864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPLTRRQYHYEAARPPSPQLLRSRSGAPALTLPENRASSTINSSTQRFAANRSKSTTRSRSTQRIRNEENVNPATIVAATMQKRPNSSHQECNKDGFVRFLQRGSPRNSSTVSKGGSKPVTSCYSPSAWALSPGRSSPWMAAAAAAPEPNVSCGTGTGAAKSKTKSSKGGGVGGVLKYFRQKKVSPVQEEEYHRFRVYYNRLLQWRFVNARAEVAMAAVKTDAEDKVFSVWLKVLKIRNSNLEKRILRQKLMHEIKLHQILGPQLNLLDEWVKLERKNYEAISRVIRKLSAILVKVPLTQDAKGDVESIYEAISAAVEVMDGIESTAIKVFSQPVEKMLYMVTELTSMLEQQKESLEELEDVITMIPPLVVCEQSLRAHIVQAAT >OMO94861 pep supercontig:CCACVL1_1.0:contig07789:4801:23054:-1 gene:CCACVL1_05728 transcript:OMO94861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSTVSSDRIHGAQRSGAGTIKRIRLENFMCHSSLEIELCEWVNFITGQNGSGKSAILTALCIAFGCRAKETQRASKLKEFIKNGCSYAVVQVEIKNEGVDAFRPETYGDSILIERRITESTSSTILKDRQGRKVASRREDLRELVEHFNIDVENPCVIMSQDKSREFLHSGNDKDKFKFFFKATLLQQVEELLQNISKQLKDAYALVHELENSIRPIELELRELEEKIGNMERVEEISWRVQQLRKLLAWLLVYDMDQKLPKQREIIETLKNRIPACQAKIDSSLHAVEKLQERFSKKKVLVSCFVEKTSELKKKKEELSEACRSASKEKKELEADHDRCTSQIQKMLNHVQKLEEQVHDIQEKHIRNTQAEESEIEAKIMELEHEVNRFKSILSSLEADEYNLSEHCSEARDAVKEMDNKIKDYERKQHDIERQISELRKHQTNRVTAFGGDGVLQLLREIERHHHRFTEPPIGPLGAHVTLVSGGDTWAPAIEQAVGKLPNAFIVTNSKDVSILRKCGRDAGYNYFPIIVHKFSRPRVTIPNHSLPQTRHPTTLSLLHADNPTVFNVLVDSGKAERQVLVKDYNSGTAVAFDQRIPNVLEVYTLDGFKMFYRNRVQTILPPNKRLRIGRLCGSFDGQIKEFQRDGSNTQEEIAKCKSRKKELEMQVGDFQRRLDIVKRKRLDAERDVTAKRMKLQDVQNSCVSEAALSPESTTNELLQEISNVKMEIKKKEAWLETLRERMKVAETKARSLELSHKELEESTKGEIEDFQKAEEELIEIERNIADAKARVAHYTSIMNDKVLPDIKEAEAEYLDLENRRKEYCKKASVICPESEIKDIEGWDKELDEVNAEFNTLKQKLRDESQRFSESIDDLRMLYQEKERKIARKAQIYKAFRGKLDACQKALDLRLKKYDRNASYLKRQLTWKFNGHLGKKGISGSINVNYEEKILSVEVIMPQDASSSIVRDTRGLSGGERSFSTLCFALSLHQMTEAPFRAMDEFDVFMDAVSRKISLDTLIEFALDQGSQWIFITPHDIRISSENTINVFDSVKLTSDLFKAKRVQLINMYVPAKKGKGSKSQWLKQKLRQEQEQEQKQSKKSKALIKTMSVLEENPDSPLKQRTPRWSDIWLKKTKSLNHVVLAMQLQSLASKDPKSQTLIPNFVNLDRTLLLSDELLLKILSKLPLSQRNNNSLVCKRWLNLQGRLVRSLKILDWDFLESGRLIVRFPNLTHVDLLNGCLFSPPRKSGILLTHRMVSMQMGSGFRSNWKLLEENLLPVEVVDRGLRALANGCPNLRRLAVINASELGLLDVAEECLTLQDLELHKCNDNVLRGIAACANLQILKLVGKVDGLYSSLVSDIGLTILAQGCKRLVKLELSGCEGSFDGIKAIGQCCLMLEELTISDHRMDDGWLAALSYCENLKTLKLLSCKKIDLCPGPDEFLGCCPALERLHLQKCQLRCKKSVRALFRVCEAVREVVIQDCCGLDNDMFSFVSVCRRVKFLSLEGCSLLTTEGLEAVILSWKDLESLTVVSCKNIKQSDISPALATLFSILKELRWRPDTKSLLASSLVGTEGFISSSTMKWNSIEIYAQIRDGPGDSLSICDVPRFSLLLRRRRRPKSYVEDNMGLMYFQHRSCAGKKVSEKQVDKGNHGIEKQRFQLTDFTATGIEKITVVSHWNEHFPVAARISFLSRHSRTLGLLRRHYPPLSMQPLDRSALGVPNSAESEKPAPSTPAPASVLPGQMELNVFNNFKSLDVTLGTKNHPQQYLK >OMO94877 pep supercontig:CCACVL1_1.0:contig07789:91055:91962:-1 gene:CCACVL1_05746 transcript:OMO94877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEQYSKITLAKLMASCNGLVLICRVPKPSPSVCCYAALAYHPAESRHFKIVRFQQGLKRMNVFNSETGDWANSPFQLEKDVTKAKRVEQSAYLQGAIYRLSESGHILRFSVDKVVGKKDLARAIEIPGAIDKSEIPKLHVGLCNERIHLAMYYDTKRTNILKIWVLEEDYQWSLKCSFSCPEISNFYYTSVQSIGFHPYDEDVIFVSYFGRHDLLELKCLLTTSRILLSKTADLLIITTGATCLIPAMDLYIFCFNVKFHLHV >OMO94869 pep supercontig:CCACVL1_1.0:contig07789:60357:62203:-1 gene:CCACVL1_05737 transcript:OMO94869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15e MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVNHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLAALRVVNSYWLNEDSTYKYFEVILVDPHHNAIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGKGHLYHKARPSRRATWKRNQTLSLRRYR >OMO94865 pep supercontig:CCACVL1_1.0:contig07789:34493:35706:-1 gene:CCACVL1_05733 transcript:OMO94865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYAYTLQVDEKSDIYSYGVVLMEILSGKRSVDSEFGDGNSIVDWVRSKIKTKDGIIDILDKNAGASCSSVREEMMQMLRIALLCTSRNPADRPSMRDVVLMLHEAKPKRKLPEIIISGGGHMVRVGSGDISDDAIAQKATVEC >OMO94863 pep supercontig:CCACVL1_1.0:contig07789:27301:29186:1 gene:CCACVL1_05730 transcript:OMO94863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNPEIYSESDFQLGYKISQYPIITLNFD >OMO94866 pep supercontig:CCACVL1_1.0:contig07789:36339:39341:-1 gene:CCACVL1_05734 transcript:OMO94866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSSVLVFSASSAPPLPLISLLAIKSSLKDPLSTFKDWDPNPTFKEEPVWCAWSGVKCNPRTAQVTSLDLSRRNLSGSVPAEIRYLTSLVNFNLSANYFDGPLQPAIFELTQLRTLDISHNSFNSTFPPGVSKLKFLRIFNAYSNSFKGPLPEEFVHLRFLEELNLGGSYFEGEIPVVYGSFTRLKFLDLAGNALEGTLPPQLGFLSQLERIEVGYNAFSGRIPVEFALLSNLKYLDISNCTISGPLPEQLGNLTKLEALYFFKNSFTGEIPVSYTNLKALQVLDLSDNQLSGPIPEGLASLTELTWLSLIGNNFSGSIPEGISELPNLNTLLLWNNNFSGILPQKLGSNGKLLSLDVSSNSLTGPIPPNLCFGNKLFKLILFNNMFMHELPASLVNCTSLSRFRIQNNLLNGSIPYGFGRLTNLTFVDLSKNNFTGSIPEDLSFAVKLQFLNISENSFNSPLPSNIWSSPSLQIFSASFSNLIGKIPDFIGCENLYKIELQGNFLNGSIPWDIDHCEKLLSLNLSQNLLTGIIPWEISTLPSITAVDLSRNFLTGTIPSNFQNCSTLENFNVSYNLLTGPIPSSGPIFPNLHPSSFSGNDGLCGRLLAKPCPAEAMATGDVEVHNKQQQPKKTAGAIVWIMAAAFGIDPRDGVHGDSVQSRDARWRDHSGEEAMGQAQRKHQTAERGAGGG >OMO71476 pep supercontig:CCACVL1_1.0:contig11624:5690:5749:1 gene:CCACVL1_18209 transcript:OMO71476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFGVGVFTHEVLETEPTLS >OMO70983 pep supercontig:CCACVL1_1.0:contig11760:695:775:-1 gene:CCACVL1_18532 transcript:OMO70983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIALGTISQLQETPSGSIFEVSMEYA >OMP11624 pep supercontig:CCACVL1_1.0:contig01089:94:3891:1 gene:CCACVL1_00386 transcript:OMP11624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREDKSEEGEAEKEENLCEKLSKRVLLVGNRKAAGGPCTPLSSWKLYHPKQPHHHHNNNILPLLPPPAAAVVSARKLAASLWEFHHYFAHQPAKMHRGVNNTNGRYHQRHHNNLFKDKGIDFSQFLVDPCPSSDPDQPESAGSLRRHIAQTLMKHHRSIEKNNHALQPVSPASYGSSMEVAPYNPAVTPSSSLDFRGRIGESHYNLKTSTELLKVLNRIWSLEEQHASNVSLIKALKMELDHARIRIKELLRDQQADRHGIDDLMKQIAEDKLVRKSKEQDRIHAAVQSVRDELEDERKLRKRSESLHRKLAREVSEAKASLSNALKELERERKSRKLLENLCDEFARGIKSYEQEVHILRQKSDEDWAGAADHDRLILHISESWLDERMQMKLEEAQSGFAEENSIVDKLGFEIETFLQAKRIGTSISKRTDYLSRKDRRKSLESVHLNEAVSAPKDACEDEDSAGSDSNCFELNKPGSVDLKSHEDEAVNGDIEEIMKANNAEKKPASHSHEKSKSSRHPSSLQVKFEEKMARAMCNGNKKSQLADTEQETTGAGNTTEITVSQKFENDEATQYGSEGRKNKLDEMHGVSSNYVLDNLIRNHIALSEGGNMNPENDCGEASCSFPPRRNQPSPVRQWMTKFTSPDLDVSESSTKLPPATKENTLKAKLLEARTSKGQRSRLKIFKGKS >OMP11625 pep supercontig:CCACVL1_1.0:contig01089:5072:7067:-1 gene:CCACVL1_00387 transcript:OMP11625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C45, acyl-coenzyme A:6-aminopenicillanic acid acyl-transferase MEGKMLEIFEVGPCEDNYQLGFLIGSRFCNQIRSRVAGDLILQNQLLPFARTLRGQSLIKALSETNQKKFPRYWAELLGTADGSGVPVLDIILINFRKEILPFISNTAINSNGDTIDDCSDVLVVSDSMALAAHNEDASVALVGHIYLIKGKLSNGLSFLAYTYAGELPSCAFGFNSNGLAFTLNSVPPTEAEIVPAGIGRNFVSRDLLEATSIADALTRIRSSEVSVGHSYNLIDIHKRKILNVETASKSRVSVHEVGATPFFHANMYLHLQVPQVHDENSISRQNRAAVLPQGSKTDFLSLLGDTENKKYPIYMTGPTLYTLCTAVFDLDEKTLTIVEGNPKKGKASHVFSMSSNCEFG >OMO52737 pep supercontig:CCACVL1_1.0:contig15461:24143:36470:-1 gene:CCACVL1_29093 transcript:OMO52737 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MAKELITTETLYDYGYLSLPYQDSYDIGDDIEDGLLFHENSEVSRVFTDSSDNVHQLGATMLASKFAANTRKMSDNQKGIVVVYSSSFSLKMPKLFKPDEPNFSIVHIVHEDAEAPSRTLPSSIALKANPVDQCSKEQELQKLSVKLILHATGNIAAKFYYNQVLVDAFRRIPHATWNAKERLWIFPLSSLSSAEKVLAEIFSPKVEVENLHPLVQRAIASASSLPDLRGKIGSYTLAIAVASCLRDSWPVLILTPSSLRLHWASMIHQWLNIPSSDIVVIFSQISGSNRGGFTILSSKNKGGIRLDGMFNIISYDLVAKIENVLMASEFKVVIADESHFMKNAQAKRTTACVPIIKKAQYAILLSGTPALSRPIELFKQLEALYPDVYRKVYEYGERYCKGGIFGTYQGASNHEELHNLMKATVMIRRLKKDVLSELPMKRRQQVFLDLTEKDMKKINALFRELEVIKSKIKACQSEEEVQSLKLIEKNLINKIYTDSAEAKIPAVLDYLETVIEKKKVGCIRIDGTTAASSRQALVNDFQEKDSIKAAVLSIKAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQHKLETLGQMLDGHENTLEVSASQQHKSPMKQKISDSISSQEQRSPAKQKTIYSFLKRCNNIDDAEYQSKLKFPRH >OMO52736 pep supercontig:CCACVL1_1.0:contig15461:17443:21281:-1 gene:CCACVL1_29092 transcript:OMO52736 gene_biotype:protein_coding transcript_biotype:protein_coding description:KRR1 interacting protein 1 MGIKLFEDVDAQNDDISKIEINKEYARRFEHNKKREDLQRYEELKKKGRVEESDDESDEESSSSDEEEEEEDFDDQTTLSKKDEDFFKALIRVRNRDPRLKEKDVKLFESDDDESSKQEEETEQKEMKDKKAVYLKDVVAKHLIEEGPDFQDQDAIVDQKMKVKSYGEEQEEIKKAFLDAAQEVDDEEDGDFLRVKEKKGNDEEEKEDIGDGEFSQKLEEYFGEDEKVDENTKFLKEFFKNKMWIHKERSGGDLTVDNDAVDELLKDEEEIERQEGYELEYNFRHEENAEDRVLGYSRKVEGSVRKKESKRKEQRERKEERMRIAEMERKEELKHLKNLKKEEIKERMKKVMKIAGIKKVEDCPFSAKDLEEEFDPEEYDKMMKAVFDDKYYDGEDMELNSDTDEIEKPDFGKEDELLGLPKGWDVLESQGGFLAARERSKHKLQCVDGDDTEEDIGQEEEGEDKIEGDEGDEDDDGTEEDIGQEEEGEDKIEGDEEDEDYGVEHKNEDDEEGMEEETEEGKRKRKRKMSVVQKALQEMWDEFYKLDYEDVIGGVKTRFPYKSIKPNRYGLKPEEILAMDDKDLNQYVSLKKLAPYREKEWKVPNNKRYEQKLKFRELMKEKRNGRKAGKKRSRDAAEQSTSKFGGGMEDKKAKSEDPDEQGKKKHRQSSKISESRRKAYGMISSKSKKNKHKH >OMO52738 pep supercontig:CCACVL1_1.0:contig15461:73812:122382:-1 gene:CCACVL1_29094 transcript:OMO52738 gene_biotype:protein_coding transcript_biotype:protein_coding description:trihelix transcription factor GT-2-like protein MAMSISGVYVNSEIIFFPFLLPPELCIALFLLIYVLLFSIGFCLGVVEILAIICGIEFLYSNLGLGLALIIGILSLPTAPSCISWITDYGAQKDSSSPPTLDSDYSKESSGIARHGSNVEVFDIFFDFFRFRIGRSDEEERKWKDFFERLMKEVIQKLEDLQKKFLEAIEKHELERLVEENGPNGIGETESGRVCPQHNTA >OMO84842 pep supercontig:CCACVL1_1.0:contig09690:17273:19966:1 gene:CCACVL1_10614 transcript:OMO84842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase MASATTSTTTSTTLSLLKATASTTSSSASRTSASLVRVPAALQQKSSVSLRQSLGFSGAASGDSLLSHHVSSKVRSFKGKGSRGVISMAKKSVGDLTAADLKGKKVFVRADLNVPLDDNQNITDDTRIRAAIPTIKHLIQNGAKVLLSSHLGRPKGVTPKFSLAPLVPRLSELLGIQVVKADDCIGPEVEKLVASLPEGSVLLLENVRFYKEEEKNEPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLDLATSLLAKAKAKGVSLLLPTDVVIADKFAPDANSKVVPASAIPDGWMGLDIGPDSVKTFNEALDTTQTIIWNGPMGVFEFDKFAAGTEAVAKKLAELSGKGVTTIIGGGDSVAAVEKVGVASVMSHISTGGGASLELLEGKELPGVNALDEATPVAV >OMO84840 pep supercontig:CCACVL1_1.0:contig09690:11207:11293:1 gene:CCACVL1_10612 transcript:OMO84840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSNLPPRASLQSSAVKMAQQNQKPR >OMO84846 pep supercontig:CCACVL1_1.0:contig09690:46522:52454:1 gene:CCACVL1_10618 transcript:OMO84846 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MESTPPASPLVTDLADEAIRRSKKKYKKRRVKEGPVDGLDKGEEELDTEIPTPKVPLESSNVSYKDRVTGSVPVQESTWEDWTEIDEGDDSIMFEDSVSDGEEGEDSDSRGRFSVEEKRELRKPWKNALIVKLLGKILGFKALSSRVQQLWRIEGKYRIIDLGNDYFCFKFQKRGDYKHVLDGCPWVIGGHQLTVRQWTHNFKPNSNVIKSIVAWIRLPQLPLEYYTNMAIRRIASKVGRVIRLDKTTESVVRGGFARVCVELDLSVPLRSSVVETSTNASFGPWMIFQRRGRRPVQETVEKGKSTVRSDPGHDSRNCFNHLKNVKEDLVEKKKEKLVEEFSSVKKGPFKYDRKEWKPKKDYGINITSKSSGKEGFKAKSKGVASFSRSNADLPKAYSVFEPNKVIGPPPGFSFKAGDSRPVTFDAKRLVELVGSKLHGPVMDGVMETSTASLTTMPSTNEGASVVAKEFSKSDGGKKLTSDPSILPPQNPPSNVSCVPAVSLEEKEVEGNEERGLGYSNFRRTCKELLKLYKPEVLCLLETKANTEVVKRVAKYLRFSDYFEVPTEGMAGGIVLFWNAATTDLTVHSFSTQIIHTSIVYKGVQHMVSFAYVRPQTQFKDIFWRDLKDFSVSISSSWIVLGDFNDFASLKERWGGKDDVGFLINRVLKFRNRWNDCNLLDAGSSGSKFTWRRRVGGRVVLQEKLDRVLWNLVALTELTNAKIMNLPCLCSDYHPILLDFNCIQKAPLENRLVRFEAAWLTHPEFSQVFAAAWSRGEGSLSRAIAEVTKDVQAWKGLKAPGVDGIQPIFYQRNWVTVKESLLKFVDEAITSGKIDVNLLHAHMVLIPKGSNPTSVKDFRPITLLNTCYKILSKVLVNRMRPILQRIIGPFQNSFLAGRSTTDNILITQEIVHTLSNLKGRKGAMILKIDLQKAYDNVSWEFLHEVLNFFGFPTQLISLIMFCVTNIDLSIIWNGKALPSFKPQQGLRQGDPLSPYLFILAMERLSHMILERVDRKQWVPVKSCRSGPKLSHLFFADDLMLFGPASEEQVNLIMDVLLDFGKASGLEMNLLKSKLWVSPNISKQQAGRLSSLCGIPLGQDLGTYLGVPIIHTKVTRSTYSYVIDRVLKKLANWKGKVLSYAGRRTLIQSSLSSIPVYTMQTALLPVSVCEKLDQVSRNFLWGGDVKNSHDHLVNWDRVCRPKGNGGLGLRKARLTNVAMLAKTWWKLQMRQHSLYTEIFEEKYLKGVEFVNSKYTSRQSSTWRGDGPLINHVLSSDADSFARDTKVASILTSDGEWDLNALRPFLSNLKLEEIRAIRYFTSSLEGDRCAWAWDKSGCFTTKSAYGVLANLFRHDDLAVRSRKGLTTEIGCSLCEGDVETIDHILRGCPFAEGVWSSLYRRYGLDARSHQEFRVWMRSNATRGTPSIVLGSQSVLWDLLFVSVLWSLWKARNKMVFQGIVPNADSVVAYAVKLAQDSAMAWNAGFRNRCQHRGTTDSLSAELWGIRQGLLMAKSMNIASLVIEMDADVVVQFLKDQLDSSHPCYTLVRDCYEIIQGVSWCDEPPVQLLPLLEDDRIGEGVLRP >OMO84843 pep supercontig:CCACVL1_1.0:contig09690:22889:26185:1 gene:CCACVL1_10615 transcript:OMO84843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase MATKKSVGDLKEADLKGKKVFVRVDLNVPLDDNFNITDDTRIRAAVPTIKYLMGHGSKVILSSHLGRPKGVTPKYSLKPLVPRLSELLGVEVKMANDCIGEEVEKLVAELPNGGVLLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVAKYLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSSKIGVIESLLAKVDILVLGGGMTFTFYKAQGYSVGSSLVEADKLDLATSLLEKAKAKGVSLLLPTDVVIADKFDKDANSKVVPASEIPDGWMGLDIGPDSIKTFNEALDTTQTIIWNGPMGVFEFEKFAAGTTAIAKKLAELSGKGVTTIIGGGDSVAAVEQAGLADKMSHISTGGGASLELLEGKPLPGVLALNDA >OMO84845 pep supercontig:CCACVL1_1.0:contig09690:42147:43564:-1 gene:CCACVL1_10617 transcript:OMO84845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELSTGAKIAIILGVLGLAAIYRVIIALYESTEVSKQERAKKLEKVMNLETMLTDWLKARNQPTKHQIDDVEAGIDKETVERFIEHVLEEKPARFSSQLLQLFTSNYPTKLGEGGYGAVYKGHFPNGQQLAVKVLNSGVDKRIEGQFMAEVNTIGRTYHRNLVRLFGFCFDEDTKALVYEYMENRSLDRLSFGKEHEIEWEKLYEIAIGAARGLEYLHHFSHKRIVHYDIKPANVLLDSNFCAKIADFGLAKLCTRDTTNVTMSRVGGTPGYAAPEVYMPFPLSYKCDVYSFGVMLFEIVGRRRNFLGESQEWFPKQVWEKFEKGELEEVWANCEIEDKDREKAKTMVTVALWCVQYKPEARPSMRNVVKILEGGAEAAIPPNPFEHLMPSANVPSCMVSSYTGTTDYDEDEDERSDTTIM >OMO84847 pep supercontig:CCACVL1_1.0:contig09690:57000:58379:-1 gene:CCACVL1_10619 transcript:OMO84847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELARMLMELLVENLKEKETTTDKKKKKGKQSNETETVTELGRMLKELLNDYQKQARAAVDEEAGKLKEQTETVTELAKMMMETLADFQKQARPDEEANQTVERLIEEMLKQEKPQSSSSATDHDDHQDETEDTIIMRKYEIHYATS >OMO84841 pep supercontig:CCACVL1_1.0:contig09690:11598:15244:-1 gene:CCACVL1_10613 transcript:OMO84841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVSSSSLSSPKIFPNFLSSHSFPLSHPISFLFLRTAASLTPQKSSHYRPPRALREWQEYEEAVKRKDLATALRFLINIEKNENDNPLEKSGSLSTESTTPSGLGGLGFLGGSMRDWDVLDTCLNADDMRLVGTAYEFLKHKGFLPNFGRFSSIVLEGTRNVTPTVLKSSIGLEASKFSPKKWGVSGSSSVALVAFLGGLSYLLQQGIDIRPQLAILLGLSFTDAIFLGGTCLAQISSYWPPYKRRILVHEAGHLLVAYLMGCPIRGVILDPIVAMQMGIQGQAGTQFWDKNMDTEMAEGRLSGSTFDRYCMVLFAGIAAEALVYGEAEGGENDENLFRSICVLLQPPLSVAQMSNQARWSVLQSYNLLKWHRHAHRAAVKAMESGGSLSVIIRKIEEVMSSSR >OMO84848 pep supercontig:CCACVL1_1.0:contig09690:60996:62795:-1 gene:CCACVL1_10620 transcript:OMO84848 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase MKESDFFAAEDEPTIVNTGMANASFVDNFPHRHRLEVNPDMISKFEAAGLSFVGRDESGSRMEIVELPSHPYFIGAQFHPEFKSRPGNPSALFLGLIAASCGQLDSLLKSGHLGKPMANGISNGKATFKAQQNGNHHFKASNGSLNGVHCNGNGNGVQPCTIKEAV >OMO84844 pep supercontig:CCACVL1_1.0:contig09690:39526:41343:1 gene:CCACVL1_10616 transcript:OMO84844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 62 MGNCLTTSSAPSDISKKKKASPIETVFKLPSPLPTWPPGEGFASGTIDLGGIQVCQISSFTKIWATHEGGPDNLGATFFEPSSIPTGFHMLGCYAQPNNTLLFGWVLAAKDETNGTLLKQPIDYNLVWSSDTLKINQDGTGYIWFPIAPEGYQALGHVITNTQNKPSLDKVRCVRSDFTDQTENYTWIWGPEHSGGSWVEASELEFQGGNNKPVSYSSLSGHAMYAKPGLVLQGSGGIGIRNDTAKSKKVLDTGLQFSIVAAEYLGSAIVEPPWINYLRKWGPKIEYDLADEIKKVEKLLPGKLKSAFEKFVKSLPNEVLGEEGPTGPKVKRSWNGDEV >OMO96382 pep supercontig:CCACVL1_1.0:contig07483:172:3922:1 gene:CCACVL1_04981 transcript:OMO96382 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MDKSWMQKQKWTKEYQDGVEAILNFAFQNASLDGMILCPCKNCRSDYWRPRDVVQEHLVCDGFEPGYSAWMFQGECASHSASSSSYRPPSHFISGNQLDPIREDNLGGMLRDVFNMHDQHFHPQSPQNDPLPDSPSGTSIVGDPGENGGCEEPTGEAAKFYKLLDDMNEPLYEGSKCSKLAFSIRLFHLKCLCGMTGKGLDLFLEFLRDVFPFAAIPTSSYDSKKIIRDLGLGYEKIHSCPNDCMLYWGDKAKQESCDVCGNSRWEDSNTNETLDEDDGEVKVKKKPAKVLRYFPLIPRLQRLFMSSKTAGDMTWHEDGRTKDGAIRHPADSLAWKAFDSRFPDFALDPRNVQLGLATDGFNPFKLMSTTYSTWPVVLINYNLPPWIGMKQPAFILSMIIPGDKGPGNDIDIYLQPLIHELKQLWERVETYDSSRKQNFHLRAALLWTINDFPAYAYLSGWSTRGRYACPCCAAETCSKWLSNGKKFCYMGHRWWLDADHHFRFQNHLFDNTIETREPPSITYGSDILSMLMDVNFTYGKANEASRNKGNSNQSSQKRVRDVGDGERDVQNDAQVEDVVREADLWKKRSIFFELDYWQHNLLHHNLDVMHIEKNVPDGYASNISRRVNAKERKLSSLKSHDYHILLHDLLPLALRSAMSSSTSKQVTHAILELSNIFKVTLWFLLKLGSYLRNKRYPEGSIAEGYLAEECLTFCSRYLEGIETRFNRPGRNVGVAENELCDNYLFQSGGQAKSKAEVVELDSLARIQAHRYVLLHRELMEPFHVEYKNHLRANARSRRPNPRELDKLFIETFHDWIVQRVRDGVNVTEEVRDLARGPDTMVKRYSAYVINGFRFHTKSREQKRRTQNSGVVVTSSTISYASARDRNPLEGDVNYYGILNNIIELNYYNKFKVVLFRCDWADVTTSKGVKKDQFGFTLVNFSHLIHTGKQLIDEPYVFSSQVRQLFFSEDPKDPRWFVVLRNTTRDLYDMGEEASDDVERRTECFPSVNPSPIDDDNVQWVREDVDEDIGTP >OMO81163 pep supercontig:CCACVL1_1.0:contig10231:23316:26785:-1 gene:CCACVL1_12562 transcript:OMO81163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, UBP-type MFILRVHSVDTEQPIGSSEEIEFYATTSPSKPNPQISERRGVIHLYRKASKSSLPNPSTRSTTLFVVAVPNYLSAVDFIRFAGPHLDNIVHLLFIRNDGIEDRYSVIINLVDQSAADGFYRSLNGKRFSPAEAEFCHILFTHSVEYTESCEIASTPPVEYMESESCEIASTPPVEFTESGEIASTPPVEYTESGETASTPPVEFTDASTPPVEFTESGETETASTPPVEYTESGEIASTPPVEFTESGETETASTPPVEYTESGEIASTPPVEFTESGETASTPKVKFTESGETASKPKPKVKFMELPTCPICLERLDPDTSGILHTFCDHSFQCSCTSKWTYLSCTVCRFCQQQDEKPSCSVCGSLENLWICLICGFMGCGRYKEGHAVRHWKDTQHCYSLELISQQIWDYVGDKYAQRLNPSKVDGKSVEMSLHCTSLEGNCGSCGNGDDSGIREAIRHSKVEATFDEYSRLLATEWEKQRQNYESLLAEAKSKRESLIADAVKTATSGMQDIQSELEQCTEEKNALAEINRDLIKNQKIWSNKVKEIEEREAAQLKLKDEIILDLEEQIRDIKVYIEAQKTLKDMTDSDGIKGGTLLPVPPTQSSSANTKKHKKSGRRRN >OMO81174 pep supercontig:CCACVL1_1.0:contig10231:82224:82725:1 gene:CCACVL1_12573 transcript:OMO81174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILGSQGVVLATAMAVSGTVILLAFRLQKSLPLDHQPSQQALRSCISSEEKKRDKKKKKKVHFAEDVRDPRTDGEEFRRQLRVRMKINSASSATSSSSAAAALNSSPKFKKMPGNRAALYNGILRDRVVQRLAYSC >OMO81165 pep supercontig:CCACVL1_1.0:contig10231:32154:34222:1 gene:CCACVL1_12564 transcript:OMO81165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MSNIVVLDNGGGLIKAGHGGERDPAVVIPNCLYRPLSSKKFLHPTTTLADTNEDLTSAAIRRPIDRGYLINPDLQRDIWSHLFTSLLHVTPSSSSLLLTEPLFSLPSIQRSTDELVFEDFGFNSLYVADSPSLVHLYEASRRPDGLVSEARCSLVVDCGFSFTHAAPVFQNLTLNYGVKRIDLGGKALTNYLKELVSYRAVNVMDETFLMDDVKEKLCFVSLDVDRDLQNARKHGKDNLFRCTYVLPDGVTHTKGFVKDPEAAQRHLTMTDGATPSQAAGMKKETDQLEVMERTEERKKADLTKNEFDLTNERFIVPEMIFQPADLGMNEAGLAECIVRAVNSCHPYLHPVLYQSIILTGGSTLFPRFAERLEKDLRPLVPDVYQVKITTQEDPILGVWRGGSLLSSSPEYESMCVTKAEYEELGSARCRKRFFR >OMO81162 pep supercontig:CCACVL1_1.0:contig10231:13878:22005:1 gene:CCACVL1_12561 transcript:OMO81162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDTVHKLEQESGFFFNLRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVEILVKDLKVFSTFNEELFKEITHLLTLDNFRENEQLSKYGDTKSARGIMLAELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPVTNPLMGAVPKAGGFPPLGAHGPFQPTPAALPTSLAGWMANPTPVPHPAASAGPIGLTAPNNAAALLKRPRTPPTNNPAMDYQSADSEHVLKRSRPFGIAEEVNNLPVNILPVPYAGQNHGQSSFSPEDLPKTVVMTLSQGSAVKSMDFHPVQQILLLVGTNIGDVLVWEVGSGEKIAHKTFKVWDLSACSMALQASLANDYTVSVNRVTWSPDGTYFGVAYSKHIVHLYSYHGGDDLRNHLEFEAHAGSVNDLAFSYPNKQLCVVTCGDDRVIKVWDAATGAKQYAFEGHEAPVYSICPHHKENIQFIFSTATDGKIKAWLYDNMGSRVDYNAPGQSSTTMAYSADGTRLFSCGTNKEGESYLVEWNESEGAVKRTYFGLGKRSVGVVQFDTTKNRFLAAGDEFTVKFWDMDNLNLLASTSADGGLPSSPSIRFNKEGTLLAVSTDDNGVKILANSDGIRLLRTVENRSFDASRVAPAAIVKPPNIGTFGSNNVPIGTSIGDRAAPVAAMVGINSDGRSLVDVKPRIADESVEKSRIWKLTEINEPSQCRSLRLPDSLTAMRVTRLIYTNSGLAILALASNAVHKLWKWQRNDRNLTGKATTSVQPQLWQPPSGILMTNDISDTNPEEAVACFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKTKLKGHQKRITGLAFSHTLNVLVSSGADSQLCVWSTDGWEKQASKFLQIPTGRAASPHADTRVQFHLDQIHLLAVHETQIAIYDAPKLECLKQWVPREASGPITHATYSCDSQSIFVSFEDGSVGVLTALTLRLRCRISAAAYLPPNPSLRVYPIVIAANPCDPNQFALGLTDGGVHVVEPLESEGKWGTSPPAENGAGPSTTSATGSEPAQR >OMO81166 pep supercontig:CCACVL1_1.0:contig10231:35705:47553:-1 gene:CCACVL1_12565 transcript:OMO81166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPISRPSPNETWDCMLPGPPSRNNFGSADLSPSGLLAFATGSSVSVIDSRSLQLITTIPLPPPSSSSSSPSNPSSSLSPFVTSVRWTPLPLRRDLLSTEPSSSHLILAAADRHGRISLIDFRQRSLILSIDPPDPSSKSGVQDLCWVQARPDSFLLASLSGPSLLSFYNTSSSRCIFKYDASPEYFSCIRRNPFDSRHLCVIGLKGFLLSIKVLGETEDDIILKEHQIQTDCTELLRLEKDAAASAAGGSSSSSPPASAVFPLYAVRLAFSPHWKHVIYAMFPRELVVFDLKYETILFSAALPRGCAKFLDVLPDPNYELVYCAHLDGKLSIWRRKEGEQIHVLCTMEELMPSIGTSVPTPSVLAVLVSQSESTLQNISKLYSDSSNGAIDVDFDNPFDFYDDTLLVSKTHLISISDDGKLWSWIVTAEGTGDVQKDVINSGKIADASEELTTKNISSSDGLFTEGGNQLDDTNGRIQLSNSTFGLADATFKISLVGQLQLLSSTVSMLAVPSPSLTATLARGGNNPAIAVPLVALGTQSGTIDVIDVSANAVAASFSVHSSTVRGLRWLGNSRLVSFSYTQVSEKTGGYINRLVVTCLRSGLNRTFRALQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPIMLRSLALPFTVLEWTLPTVPRPVQNGPSRQSSLSPRANTAVASEETASSKMASDSKAGNSDSSQDDSSESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFVSSDGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGHSSSFNTHREGIRRIKFSPVVAGDRSRGRIAVLFYDNTFSVFDLDSPDPLANSLLQPQFPGTLVLELDWLPMRTDKNDPLVLCIAGADSSFRLVEVNTNDKKLTPGPPSRNMKERFRPMPLCCPILLPTPHALALRMILQLGVNPSWFNTSGTTIDKRPHFIPGTASSSGDLRSYLIELPPVGDSVVPELLLKVLEPYRKEGCILDDERARLYATIVNKGYAARFAFAAATFGEVSEALFWLQLPRAIKHLINKLVNKSPQKAPISAPNSQIVDTSLLSRITSKGKSTPETGRRDALSQGQLRLMAFEQEDLWESANERIPWHEKLEGEEAIQNRIHELVSVGNLEGAVSLLLSTNPESPYFYPNALRAVALSSAVSRSLLELAVKVVAANMVRTDRSLSGTHLLCAVGRYQEACSQLQDAGCWTDAATLAATHLKGSDYARVLQRWADHVLHAEHNIWRALILYVAAGAIPEALAALREAQQPDTAAMFTLACREIHAEIVNSLADSDDESGSSIKDSLANLPGLNPENEDVIAVGEYFGQYQRKLVHLCMDSQPFSD >OMO81171 pep supercontig:CCACVL1_1.0:contig10231:67108:67997:1 gene:CCACVL1_12570 transcript:OMO81171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDFQVTTVEVIRVLIAGVEMGTLFPAPCLRRRVEKGKFSFYRKNWVMTYDAFNTVVVLG >OMO81175 pep supercontig:CCACVL1_1.0:contig10231:98062:101977:1 gene:CCACVL1_12574 transcript:OMO81175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVNSLSSGCFGCCTKPTPIIAVDEPTKGLRIQGRIVRKPSLSDEYFWSTSTYDLENCAVQSQRSLSSISTSNPTLSQCGSTGGTANQSEFVNQGLIVWNQTRLQWIGNRRPRNHTQQSREPGLSWNASFESLLGTRNPFPQPIPLSDFQEPYSGNSLWAYSYAMGSCEFVKIQVKNLEKSPSVSKTSRG >OMO81168 pep supercontig:CCACVL1_1.0:contig10231:55887:58427:1 gene:CCACVL1_12567 transcript:OMO81168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPRPYECVRRAWHSDRHQPMRGSIIQQILRLAIETHSTATKKNKEWQDKILTVIVKAEEIMYSKANSEAEYMNPDTIWDRVNDAINTIIRRDESTETGELLPPCVEAALNLGCHPVRASRSQRHCNPRTYLTPRAQEPVSAAPRILDKGSEERCPQLLPVQSDSQFARMATTNANLNISASQTNRHSHPPFMSDNSPSGHDQSMRMETNTLQNLGNVYPLYYGVHYQNAESHAGSPVQENIASDTIIVGRPIE >OMO81172 pep supercontig:CCACVL1_1.0:contig10231:69329:70522:-1 gene:CCACVL1_12571 transcript:OMO81172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILVEKRIPSWWRRASTLLLPYATKAVILAPFLLMAHDRYYFEVQPTSKLPTLYGDLFMSMSMLGRFFFLRRNVALLQQFQAK >OMO81170 pep supercontig:CCACVL1_1.0:contig10231:61734:63895:-1 gene:CCACVL1_12569 transcript:OMO81170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSEYIVETGKATKRRRYKDKMKVLLLRESHWAQRKTRAVQPKTNSVITHNPILREKKRTSLSPLSGEGTGGRNRVPISTPYKKKEKKEEAKTKAWRVIPSLKLKRSSDTKSFGLCSSSFQAK >OMO81169 pep supercontig:CCACVL1_1.0:contig10231:59754:60638:-1 gene:CCACVL1_12568 transcript:OMO81169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MASRLGMGLFLIASLIAAMGLFQTAVADTYTVGDDLGWTIPPGGSIAYSTWARTKNFEINDTIVFSWTNTHDVAEVSEADYDNCTKTNPIGSVQQTSPANFTLDSNRSRYFICTISNHCELGQKVTINIGEWNSASSLTIGAALSLLLSTIVISLLSHQI >OMO81167 pep supercontig:CCACVL1_1.0:contig10231:50424:51942:1 gene:CCACVL1_12566 transcript:OMO81167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSAVIVDDKFCFAEETQLTVHKTSVFFPGDGFVVYNPKGELMFRFDSYYNSDSHPKDELVLMDATGNCLLTLLRKKPSLHQRWEGLRGEKMEKETPIFCVRRSSIIGRSNVVVDVYGDPGEEYQIEGSFPQRRCKIYGTSLESSSKEPMAEIKRKVDPSTHVMLGKDVFLLCLRPGFDSAFAMGLVLILDQMFDDADEDDNNDDISNNDDETVNLGVEDSTS >OMO81173 pep supercontig:CCACVL1_1.0:contig10231:70969:78286:1 gene:CCACVL1_12572 transcript:OMO81173 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MLKGKKLKFPDRLGSLVSGFDDKDEDSGGSDSVFESGEELEIIQPNGYGSDRESETDDEEDEVEEAKVKKQGEVLEHNKVRPRPEKDGSGHVSGMKKVIEEDEKRSDIEHELSQKEINLEKLQRIASTGLPNGGSLRATVWKLLLGYLPPSRDLWEKELTENRQKYAKLKEELLLTPSELARMKEEALLSNEHNADHSVTDGPLTRQEISHEDHPLSLGKASVWHRYFEHTEIAEQIDRDLKRTHPEMKFFSGETSFSRKHREAMRNILLLFSKLNPAIRYVQGMNEVLAPIYYVFSTDTDEQNAFNAEADSFSCFVRLLSDSVDHFCQQLDNSSVGILSTLSRLAELLKANDEELWRHLEFTSKAKEIKGENLSIEMAPFLLHGTLHVTIFEVDRLAEAGCCGGVFCKLIQNIEEDTGVGQGFSRLYVTIDLGETRIGRTKMVEKECSNLQYNESFHIYCAHKASNVVFSIKEDYAIGASLIGRAYLPVQELLNGQQEVDRWLKIVNKDYKPLRRRSRIHVKIQFTDVNRHPNWSKGIKSPKFQGVPYTFFKQRENCMVTLYQDSHVPDKFAQGLIPLAGGKYYEPHRCWEDIFTAIYNAKHFIYLANWSVYAEITMIRDLQRPKKPDGDLTLGELLIKKADEGVKVLMLVWDDRTSIQLLKKDGVMASHDEDTRHYFHNTKVHCVLCPRNPDNLHNNVVQDIEVSTMFTHHQKIVIVDSEVPNEESKRRVVSFIGGIDLTDGRYDTPSHPIFRSLGTVHHQDFRQPCFPGASIAKGGPREAWHDMHSRLEGPVAWDVLFNFEQRWKKQGEKGLLVPLQELADIFIPPSAVTLLEDQETWNVQVFRSIDAGAAFGFPDNPKDAAKLGLVSGKDHVIDRSIQDAYINAIRRAKNFIYIENQYFIGSSFGWDSKDVNVQEVGALHLIPKELSLKIVSKIEAGERFTVYIVIPMWPEGISNSQSVQAILDWQKRTMEMMYKDIVQALQAKGLEANPKDYLTFFCLGNRERIIPGEYQPPEKPEHDTDYSRFQQSRRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDTEIAMGAYQPYHLATNEPARGQIHGFRMALWYEHLGKLDNSFLQPESLECVRKVNKTAEKYWDLYSCDSLEHDLPGHLLCYPIGVTSNGEVTELPGFEHFPDTKARVLGSKSDILPSILTT >OMO81164 pep supercontig:CCACVL1_1.0:contig10231:29353:31257:1 gene:CCACVL1_12563 transcript:OMO81164 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein MAPSTIRKAIGAVKDQTSISIAKVAGTISPELEVLVVKATSHDEDPADEKYYREIISHTSYSRGYVSACIATISKRLNKTHDWIVALKSLMLIHRLLVDGNPCFEEEIVFATRRGMRVLNLSDFRDEAHSNAWDHASFVKVYAMYLDEKVEFSVFEKKKKRGGDGKFEERDERSRREYGDFRDDYDRGMGRKSRSFGDLNDANGKEQRREVTPMREMRPERVLVRLNHLLRILDRVLGCRPAGMAKNSRLVLVALYQILKESFGLYVEICEALGILLDRFTEMQYADCVKGFDAYVSAAKMIDELVGFYGWCKDMGIARSSEYPEVQKITDKLLGTLDGFLKEMANRPKSPERIREEKSPVREEPEANMNEIKALPAPENYTPPPPPPEVEQPKTPKPQVTQDLVNLRDDAVSADEQGNKLALALFSGPPTSNANGSWEAFPSNGEPEVTSAWQTPAAETGKADWELALVESASNLSKQKAALAGGFDPLLLNGMYDQGAVRQHVSTTQLSGGSASSVALPGPGKTATQVLALPAPDGMVQPVGHQDPFAASLTVPPPSYVQIADMEKKQHLLVQEQQVWQQYGRDGMQGQSSLTKITTGSGYYGPVAQPMMMPYRMPQVNGMGQPGGYYYPPY >OMO81161 pep supercontig:CCACVL1_1.0:contig10231:2910:4007:1 gene:CCACVL1_12560 transcript:OMO81161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 17 MATRLVRIAHRRSPPKLLTIILLILLPVCLIGIFMNAQKISYFFRPLWDKPPAPFRKFPHYYAENVSVQHLCQLHGWSIRPYPRRIFDAVIFSNELDLLDIRWHELNPYVTKFVILESKTTFTGIPKPLFFTSNRTRFSFADDKIVHDVFPGKIASPGSHEDPFVLESLQRGAMNRLLQKAGISNGDLLIMSDTDEIPSPNTLKLLQWCDGIPPIMHLELRHYLYSFEFPVDYSSWRASVHIYSPSTRYRHSRQTDLIFSEAGWHCSFCFRSIEEFVLKMTGYSHADRVRRSDFLNHDRIQKIICKGDDLFDMLPEEYTFHDLISKMGSIPRSTSAVHVPAYLLENADRFRFLLPGGCVRNQDFT >OMO89759 pep supercontig:CCACVL1_1.0:contig08626:2531:2659:-1 gene:CCACVL1_07653 transcript:OMO89759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKWESLLLGVTSTYKCLLHENAPSSCTPQLSGGVCSPSWR >OMO75731 pep supercontig:CCACVL1_1.0:contig11005:28723:28812:-1 gene:CCACVL1_16064 transcript:OMO75731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSDHLMAPLIKTRSWRHASYTFQSLSV >OMO75730 pep supercontig:CCACVL1_1.0:contig11005:12218:12469:-1 gene:CCACVL1_16063 transcript:OMO75730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isy1-like splicing MAKKLPRVRELFEKPPELRKRRSKYNISKRIDASYYGYRDGEDGVLEKEEGPSEANLREEEADEEWRRKGTKEVVTVGVAAASE >OMO50126 pep supercontig:CCACVL1_1.0:contig16306:5715:5822:1 gene:CCACVL1_30609 transcript:OMO50126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDPIHASTTNSVVAIKGQTTIVALVTKPPSCFP >OMO50127 pep supercontig:CCACVL1_1.0:contig16306:10631:16151:1 gene:CCACVL1_30610 transcript:OMO50127 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSEEQAKLRMFPLTLEAEANAWFISLPPRSITSWKGLETVFLNKYFPEAKRADNFYDGLLYEDQTYIDSASGGSFTSKTPEEARKLLNTMTENIHQFSTTRISSSTNEPEPKFLQGINDRFYKVNSAITYLTNLVQQLVLNQMVNQTQIQQSHLYSNLGCTNTQGCRVNAIEEAQHWYVQKMELPDVPSPPTISSASVFPVTPPPVAVSKEPVQGDEEISTKSKEDLPYASPKADQLQLEAEWMEEITNIKPEGLVEDVLVQIGDFVFPADFYVLKMSEDDLHLTHMSLLLGRPILTTAMMKFEAQDGILSMEFDGKPIKFNIYEAMKAPAEIHSIYAIDAIDACSKQVQSLHQVDELEVVLTKGLFKEDIEEEKIPMPKDVAETVKELASDEEKESVSYISLEPTPKALPPIIQPPEVKLKPKRHCLLIRLEDDAVAVRQPQRRLNLNLAEVVKEEVLKLLGACLIYAISDSKWVSHVQVVPKKSGFTVVENKEGELVPQRLQNGWRIPIAPEDQKKTTFTCPFGTYAYTRKHFGLYNEPASFQRCMMSIFQDYVGDKMKVFMDDFTVYGDSFNQCLHNLELILKRCVETKLVLNAEKCHFMVEQGIVLGHVVSKEGMQVDKAKVDVVQNLPYPTYVKDIHSFLGYAGFYRRFIKDISKISAPMCRLLQKDVEFELGDDCKEAFDKLKTTLTTTQIVKPPDWRLPFEIMSDASDIAIGAVLGQRVGKVPHVIYYALRTLDAAQKNYTTTEKEMLAVVFALEKFRSRFSVVEKRWRAACQTKSESTVNNPVKYGVYSSLPSDSELAAAFFMRKRSYFDFQAYSTVGTPDYIAPEVLLKRGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKVSLEI >OMO51958 pep supercontig:CCACVL1_1.0:contig15658:4037:14787:1 gene:CCACVL1_29477 transcript:OMO51958 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/Surp MDSDEEDYVFFGTPIEREEEITNRRKKAAAESSGNLRSVVPWKQEVRDEEGRRRFHGAFTGGFSAGYYNTVGSKEGWAPQSFTSSRKNRAEVKQQSIFSFLDDDEKAELEGQHLGTSSQFDTFGFTAAEYARKQADKEQKQRLVIPSVMMGMEVCWLRPSAIPGPVPDELVVPAAESIGVKLLLKMGWRHGRTIKDSHASSLYDARREARKAFLAFASDITKASHPADYEPVEDEPESLVEQPTVDDAKSSQSLPVFVLNPKEDLHGLGYDPFKHAPEFRGKAAPGFGIGALEEFDAEDEDVYTAGKYFTLVNMHLRVIKVYWSLWKAKLIASETIKMKSVTFYGGVKKSYFVFPKYMILPSFCVCALGYDFEETYVEEDEEPSRLSIVNKQKVVVKDQGVLPGFKLASVSDYQLERFDPPIIPKDFVPHHKFPGPLETLKKLDIPSPPEVPPPDDNNLKLLIEGVATLVARCGKLFEDLSRKKNQSNPLFSFLSGGNGHDYYARKLWEEHQKRGVQGKLPMDEKLTPSMQKMTAESRGKLLGEKPLERSSKETTSSSIASGEFAHLQSNLTDTFTKPASFSELPEVAKPFKDDPAKQARFERFLKEKYEGGLRSTGSSSASNMSEGARARERLDFEAAAEAIEKAKSGKGGVIPTKPFDFLATGMQFTSGGLEQVKDAHVEDLLTKKMYPRREEFQWRPMPILCKRFDLIDPYMGKPPPAPRMRSKMDSLLFMPDSIKGAKLEEDITNRDLPVAETDAQKTIEDAAEKNIEVEVEVENVERPVDLYKAIFSDDSDDDVEDSKTNKVGDPEKKIEVATTTLNRLIAGDFLESLGKELGLEVPPDMPYSTNKASSRTETRNGDAENAKIRPDDGRISYASNVASGTSEILGQENAQEGESPKNESIPGSSGRYSSKNNDDLSENVFDKRYVEKEKSAQKDRKPKSPSKHSRNWSSSSSSEDERSRKHSRRQRYRSSDSYSDSSTDHRDRSRSRSKVLVPSLPFGNPELSCDRALLFKMKSSMIGQGCSLINDMPPGSWLLPFRISSTVEVFKLRLQA >OMO61514 pep supercontig:CCACVL1_1.0:contig13454:12009:12164:-1 gene:CCACVL1_23458 transcript:OMO61514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATGLPCRLSRANHRSRRRQPLDGAGWPQKHLLSKARSLLILTLAVVSLKSA >OMO61513 pep supercontig:CCACVL1_1.0:contig13454:4160:7494:1 gene:CCACVL1_23457 transcript:OMO61513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHISRGGSRIFCFKRSAVPATKWVRRGTSYAAPLPSETPKHKRVSKDERRAMIESFINRYRSTNAGKFPSVSAVQKEVGGGYYVVRKIAQELEHKSKICSSNSSIEKLSENAFDKDDKVFSVEALSTVVRVQNNACTEAMNDVKILDSGDKQLEAAGGLQVCTSVEETLSEVVLKPQTAGGHCGVVLDENHMKKEDAKSLEKSEITGLDSSDKLLTVLDKQKFVHVSYQPLESAEECKTESEAVQSVFCELEGDFLKQETELGKADDDKTEQTASEELLDSGSPELKKESEVGNTEGDTKEQTASEELVDSDIPEIKQETKVGNAEGDKKEQTVSEELLDSGRPELKAEHYQQLEEEKHAKNFSHEQRDDAEHSKQSTLWGNLKSFADGIISMWRKL >OMO84804 pep supercontig:CCACVL1_1.0:contig09696:74098:78283:1 gene:CCACVL1_10654 transcript:OMO84804 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MGDVRSKEEIITTLFARQMLQLPMFFLLNLLFLTSLVCSSAKENIAVNSSIKDGEGDSLISPGKRFELGFFTPNGSSNTRRYVGIWYYGSTPQTVVWVANRDKPLLDHSGVFVVADDGNLKILDGNGKSVWSTNLEAVSSANRKAKLTDGGNLVLSNEEQENHFIWQSFDFPTDTFLPGMRMDGDMILTSWKSYDDPSSGNFTFQMDQERVNQFIIWKRTTRYWKSGVSGRFIGPDGMPSAMPSAISFFLSNFTSVVLHNESMPHLTSSLYSDTRLVIDFSGQIQYLKWDSEKIWSLIWAEPRDKCSVYNACGDFGSCNSNNDLMCKCLPGFTPSSPTNWNSQDYFDGCTRKSRICDKNAESDTFLRLNMMEVGNPDSQFNAKNEMECKLECLNNCQCQAYSYEEPNVEQQGGGRIAACWIWLEDLNNIQEEYEGGRNLNVRLAVSDVVSTRRSCETCGTNLIPYPLSTGQKCGDPMYLSFHCNISSGEITFDAPSGSYRVTSINPETHKFSIQTNDANDCKAANSGDSFFKLKQPSPFHVTSRCNADEIEIGWDPPLEPVCSSPTDCKDWPNTSCNVTSDGKKRCLCGKNFRWDNLSLNCTEDSAFGEKRHKSSTRKMALSLVLVIVFLSAFVLIILSSTIIYVYLQRKKLAEGEGIWGKLQRNSALHLYDSGRHVKDLIDSGRFKEDDTDGIDVPFFQLESILAATKNFSNTNKLGQGGFGPVYKGKFPGGQEIAVKRLSSGSGQGLEEFKNEVVLIARLQHRNLVRLLGYCVAGDEKMLLYEYMPNRSLDSFIFDRKLCTVLDWDLRYRIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDGEMNPKISDFGLARIFGGKETAVNTNRVVGTYGYMSPEYALDGLFSFKSDVFSFGVVVIEIISGKRNTGFYQPEQSLSLLGYAWHLWKTDKALDLLDQTLRESCNANEVVKCLNVGLLCVQEDPGDRPTMSQVVFMLGSEIASLPGPKQPAFVVRRCPSSRASSSSKPETFSQNELTVTLGYGR >OMO84801 pep supercontig:CCACVL1_1.0:contig09696:52516:52593:-1 gene:CCACVL1_10651 transcript:OMO84801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQWGKPENHWGKPEDRRRWGVPE >OMO84802 pep supercontig:CCACVL1_1.0:contig09696:58825:64691:1 gene:CCACVL1_10652 transcript:OMO84802 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MDKSFPYWKSSKPGSSNLFASDNMPSTIVSFLNISQNNTAEDFNDKRLVMNYTGNLQYWQLDNETNNWKLIWWEPRDKCSLFNYCGSFGTCNANNKLPCQCFPGFKPKFSEKWKAGDFSGGCSRNSTSSCGNDQFLRLRNMKVGYSGLSFEAKDEAYCREVCLKELQDLQDHQDGGYHLYIRVAHSDIESTDRNCETCGMHLVPYPLSTGPGCGDPMYSSFYCNNNTDQLSFMGNYNVIRVDPEARTFVIQIPSKEADSCDAIQSSRSTILQLNQSSPFNVTNWCTSDSSLIGNGENRCLCNRNFQWDGLALNCTQEFHLNGGQAADSSNRNRSLPLILGVTLAIRMGFLCVVVSIYMWKRKVVKKPKSQRKVAPHRYDTKRGVKELMELSHFEEKDGTCIDVPFFDFESILTATDNFSNENKLGKGRFWASLQGSKIPFYAPRAYHGLEEHNGANGDHVSDKHGDVMDVQEDVKVDPGLNASLEEHVVGGITCDRPLLGEAAQHRPHNQPLDRPSHAPPHGATGHNRPSHGASTGHNRPSHGASAHNRPMDLLVIPQGPMTRVRAKRFKEALLGFVRSHLGGLESIEEQLESIEVDITKNIPIDSKIFTLLEIDDH >OMO84796 pep supercontig:CCACVL1_1.0:contig09696:10262:12638:-1 gene:CCACVL1_10645 transcript:OMO84796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, typical subtype MVRFSCFNAHLGCQKPKKTVQPSIEAMHKTIEDISQFQASKGSNKAAHLESFLPQAEAIAEIHDSEPVKNSSAVFHSWKPEEMKNIIDLDTDTMVHQKGQYLKKSRSLGSRLFLEGRVPGKTDVDDGTDQGFSSDSHDHGLLEADGSKLTIVSQKALCAESVQESSNDVNDEPIFTIGDPQHSEKDGHDNSDVPLSGEGANGSGELTPRSAPVIVKSCSMPNIVASEITSGRQSPFKYLTNNPRSCEDVHVLNMRRKEISVHEVDTEVMREGDDIHKNHKSNMENSYYDGYDSYRYSASAKDWIVPVSDEVNSVKILQEDLPVPDWNEVTGKDFMIQRIEDWVNDLQHCSPLEETCELSHPSDHVKEEPIVSNALTATKVDTKVTPGMEAAKRYISSLSASATTAQLANHGLAVIPFLSAFVSLKVLNLSGNAISRIAAGALPRGLHMLNLSKNNISTIEGLRELTRLRVLDLSYNRIVRISHGLASCSSLKELYLAGNKISEVEGLHRLLKLTVLDLRFNKISTAKCLGQLAANYNSLQAISLEGNPAQKNVGDEHLKKYLQGLLPHLVYYNRQAIKVSTLKDAAERSVRLGISADRGLRSENKATRKSNHGSATHRPSSSSTHAHRATASPRHSRGRNVRLPPSGTKATSNQRNFIDLGSKILNLKSELSMRRTRSEGNLGPL >OMO84797 pep supercontig:CCACVL1_1.0:contig09696:15269:15580:1 gene:CCACVL1_10646 transcript:OMO84797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEREGEASQQTASTPPSSLTELVQERYRKMKEHAETYPYVWASYTLVYGGLALWTAYRWRKLHKTEDRVRALQDRLRKLVENEEAATSVKKAQTSNEKSPK >OMO84800 pep supercontig:CCACVL1_1.0:contig09696:28423:37548:1 gene:CCACVL1_10650 transcript:OMO84800 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MSLKNLYVYALFLHFCSLSCCFARNTITFGNPINSSGGPQPHIVSSAGKFALGFFTPNGTPHPERLFVGIWFHGVEPRTVVWVANRDRPVSNSTIWVFGIGNDGNLMLSDRSGRSKMIRLTNLGNSALSSRMVKLMDSGNLVLSEDGGNGSESRVVWESFLQPTDTFLPGMKITENLTLTSWKSQDDPAPGVFSFRQDGEREKQYMITKNEVPYWKSGLSGKFITNNDEIPLFISLLLLNISQTGIDELCSKIHFKILINSNNTTAQKYCNHTLPQDSSNNKTRLVMGFDGKLRFFKYNETNEWSLNWFEPKDRCRVLDACGKFGTCNKENKVPCKCLPGFKPLSPDNWNNGDFAEGCTRTSPVCSQHDRFGDFLKLSMMKVQKPVSVFPVDDEGECQRRCHEKCNCEAYSYSHFETYLRGRPSNFSCGIWLNDLNNIQESYAEGGLDLYLHVPHSQTGSRKTCGCGTNVIPYPLSLSTGPSCGDPMYLSFNCQNETDTGQISFNASGGGAYRVTSINPETQRFSIQVNNSECRSSRNAMEKLLQLPAGSSPFFVSSACNPPQNNFSIDSSSEEKWFYEVEIGWKPPPEPICNSSKDCTDWPNSSCKVASDGTHRCLCNKYYQWNSSNVMCALDIDRFMDRRRGPFEGQKPIFYVFIGVTASMLFILCTACALYQNWRRRIVRRQGGNLKLSDKTGELWSTSLGLKRLDLVAKLNDTGNLILLDDRLREHLWESFGQPTDTFLFGMKMDDKFALTSWTGEEDPEVGNFTFMQDPERRNQFVVMEKSFPHWKSSNPDSEELQDIHDDQDDGYDLHVRVSKSDIESTDRNCKICGINLVPYPLSTGPNCGDPTYSSFYCNNTDQLSFMVSSGNYDVIMVDPEARTFLIRMPSENVDSCNAIQSSASKILELNQSSPFTVTSGCSIDPGDFTNDSSLNGTVEVKISWKPPTEPTCVSSADCKDWPNSTCNNTGNGQKRCLCNTNFHWDGLVSLNCTPGSQSAESSNRNKSLPLILGVLMAIAMVVFGVVFSIFVWRRKGVQKRENQRKAALHRYDTERSVKELIGTLSTTASTSSKAEPPSSEIVSTVQEGR >OMO84803 pep supercontig:CCACVL1_1.0:contig09696:68343:72034:1 gene:CCACVL1_10653 transcript:OMO84803 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MGTTSRWSVHMLSTLLIYYTVLILSCPQYCCSASARDNITISTNLVDGETLVSAGNRFELGFFGPPRSSIVKRYLGIWYKSNPQVVVWVANRRRPVSDISGVLSISGGNLRLNDARDEVYWSTQLELKRSNPQNLVARLNDNGNFILLDYRSGDALWQSFDQEVQDQPIDTFLSGMKINERFALTSWTTEEDPDPGSFIFKQEDPERSNQFVIMEKSLIHWRSSRPESGNIFESNDMPDTIFNLLNFSGNSTEVFTDKRLVMNYTGELQYWQLNTTTKHWSLIWKEPKDNCSVFNFCGNFGTCNANNKLPCQCLPGFNPKFPEKWNASDFLDGCSRTSVTSCGNDFLSLKKMKVAYPGSPYEANDETDCRGECLKNCQCQAYSYLVQNGSNSCFTWTEELKNLQDNQDGGYDLYVRVALSDIESTVRNCETCGINLVPYPLSTGPDCGDPMYSNFYCNNKTDHLSFMTSSGNYNVIRVNPEVRAFVIQMPSKEVGNCNASQSSASRILQLNQSLPFNVTNWCSGDSPVNGTLEVEISWKPPLEPTCVSSTDCKDWPNSSCNNTGNGQKRCLCTRNFHWDGIALNCTQELISKGGPSDENKPVILGVSLAIGTAFLCVVVSIYMWRRKAVKKLAKQRKAVLHRYDTERGVKELMDLSHFEEKDGTGIDVPFFDFESILAATDNFSDENKLGKGGFGPVYKGKFPGGQEMAVKRLSSVSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCIRGEEKILLYEYMPNKSLDSWIFDETFRQQLDWETRFNIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDAEMNPKISDFGLARMMQGKETEANTLKVIGTYGYMAPEYALDGLFSVKSDVFSFGVLMLEIVSGKKNMRFYQVEDAPSLIGYAWRVWQEGKALDLMEETVRSSCNASEFLRCVHVGLLCVQEDPSERPSMSNVVVSLGSETSSLPIPKQPAFLTRRTVSTSVSTSSKAEAISEITSALQEGR >OMO84805 pep supercontig:CCACVL1_1.0:contig09696:81960:82322:1 gene:CCACVL1_10655 transcript:OMO84805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFGKTAPVFEDLLPAMAEKLGGEGLIKELCNGFKVLMDKEKGVITAESLKRNAAMLGLQDLRDDELVSMVREGDLDRDGALNEMEFCVLMFRLSPGLMEESQLLLEEILEDELRTAGF >OMO84795 pep supercontig:CCACVL1_1.0:contig09696:6790:7703:1 gene:CCACVL1_10644 transcript:OMO84795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein A MNPQQQNQSELDLPVPPLASLRIQPSSPHVPLTTNATPTAGAQRRIAIAVDLSDESAYAVRWAVHNYLRPGDAVILLHVRPTSVLYGADWGSIKLQITPNSTINNENATAMTAEQSDEESQQKLENDFDIFTTTKTNSLAQPLLDAHIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGASRRGIKGRLGSVSDYCVHHCICPVVVVRFPDDEEPEKGRAEKVTKKKIVAEEVELQPVPEEEPEFHDAEEEHRVISVLYGFFTCSNAVKT >OMO84798 pep supercontig:CCACVL1_1.0:contig09696:16360:17264:1 gene:CCACVL1_10647 transcript:OMO84798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frataxin/CyaY MASRLPLLRRISKFLKPPPSSPVRSSSNSRALLLLLQASSPCPSPWFYASSRSFCSGPLNLPHESQGPTAIDYRSVLQEDEFHRLANSTIHDLQEKLEEYGDIIQIDGFDVDYGNEVLTLKLGTLGTYVLNKQTPNRQIWLSSPVSYMQV >OMO84799 pep supercontig:CCACVL1_1.0:contig09696:23830:24701:-1 gene:CCACVL1_10648 transcript:OMO84799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MKSCELCKLAARTYCESDQASLCWDCDAKVHGANFLVARHVRCLLCQTCQSVTPWRAAGSKLGHTVSVCERCVNGGDREESEAENDGEDAGDDDDEEEEEEVDSDDDDVPEEDGDNQVVPWSTVPNTPPPALSSSSSENSSGGEREDDLDPRSSKRRYAYRTVWATRCGQEDEAASVDSMRLLKDHTVEPEARVEFESDSSPSGAATTESVGKLE >OMO99888 pep supercontig:CCACVL1_1.0:contig06782:2646:3785:-1 gene:CCACVL1_03560 transcript:OMO99888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKMAGVKRRIITDSDVRALYKELDEVSCPICMDYPHNAVLLLCSSYNKGCRSYICDTSYRHSNCLDRFKKLRANSSGSPEVSHPIPINPQNASTSDMNLASRPDFVEGNASQNLNETSSRPGESEVNVPEPSMYLDSQGEGIIEIGESDSFRGRAESVEIDAENLSESKSCLKCPLCRGDILGWEVVEEARKYLNLKKRSCSRESCSFSGNYQELRQHARRVHPTTRPSDIDPSRERAWHRLEHQREYGDIVSAIQSAMPGAVVVGDYVIENGDRFAAERNGTGEVSAPLLTTFFLFQMIGSIDSPSEHRARSRVWSRHRRSAGALSERRFLWGENLLGLQDDDDDDLHILNDAGEDASPNPRRRRRLMRSRSDDDQS >OMO99889 pep supercontig:CCACVL1_1.0:contig06782:7135:8950:1 gene:CCACVL1_03561 transcript:OMO99889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYEITAEGRRITKLDQILLNGNNIAILVPGGSPDPE >OMO99890 pep supercontig:CCACVL1_1.0:contig06782:10643:14124:-1 gene:CCACVL1_03562 transcript:OMO99890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiolase MEKALNRQRILLDHLRPSPCQPHESESVSAALFPSVCAAGDSAAYQRTPAFGDDIVIVAACRTAICKAKRGGFKDTLADDLLAPVLKALIDRTKLNPSEVGDIVVGTVLAPGSQRGMECRMAAFYAGFPDTVPIRTVNRQCSSGLQAVADVAACIKTGYYDIGIAAGLESMTIDKVVPGVGQVNPKVESFAQARDCLLPMGITSENVAQRYGVTRAEQDQAAVESHRRAAAATASGKFKEEIVPVYTKIVDPKTGAEKPVTISVDDGIRPNTNMADLAKLKPAFKKDGTTTAGNASQVSDGAGAVLLMKRSLALQKGLPILGVFRSFAAVGVDPAVMGVGPAVAIPAAVKSAGLELDDIDLFEINEAFASQFVYSCKKLGLDSGKVNVNGGAIALGHPLGATGARCVGTLLYEMKRRGKDCRFGVISMCIGLTLSLSLSLHIK >OMO99891 pep supercontig:CCACVL1_1.0:contig06782:17005:26915:1 gene:CCACVL1_03563 transcript:OMO99891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVCQFCMALTNDFESVQSSLLHRESPPSLEIVISEVLAEETILATMKSQHNHSFDHLVAAMSSSSTVGAVTSSSSDSKKKSVTVAATKGSAADSASPSITNSMSDSETIFKQLVNKTVNETVANSDEVKTLDVLKQVIKNSKDDIKAADYAITPFFTALPPAKFDQHYPLHAGLPFQDKAYSLRRWVEKSLSWPSYLTEWCHWVDRVVKYHEDVLRKQDLLAFVLLIKREFSYDGATLGALSTFCNRCWNYFHLPCGPMSASLLDIAAITGLPVDGEGISCVSSLSAEDDPLYHIIFIVGTPSHACTSEYIHLAKLMASGKRLALGQYVLGVLYRGLYNFTPDVAGVPHGPFWILQLWAWKYFPALSPPCHAPSSNMLCYGELYHDQIHSNNNIHVVFQESYRLRNDHVPMRMAQKRATFVDGAKHIGSTSKKKQKPQDLNELSLRPIEPDARAYLAGANSLSYSPNCPPERVANYETIQDLAPVTISPGYSPSIFLNDEEMIEIDELLASNQPYGVVANIWKDVDGVYSSNDSRELGSELISNPSLNPTAYKANNSSWGAIITYPAPNCHALMMPILKNLISINQPRPPGIFAKANQSRSKTSRGRALAFFDALRAYHGLEEHNGAIGDHCSDKLGDSSEVQEVVKVDSGLKASLEEHGVEDRPLLREVAPSHGTMTGHDRPLHGSIAQDHTPTGHNRPMDPLTISQGPMTRARAKRFKEALLGFVRSHLGGLESIEDQLESIEVDITKNIPIDSKVFTLLEINEH >OMP03315 pep supercontig:CCACVL1_1.0:contig06102:23915:24706:1 gene:CCACVL1_02476 transcript:OMP03315 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidyl-tRNA synthetase 1 MDVIKKVLEPTGLADQPIKQLLQILGGAGEGIADLRRLFSLAEKFAYFQWIRFDAAVVRWLAYCTGVVFEEDIPACGFGFGNAVVVEVS >OMP11113 pep supercontig:CCACVL1_1.0:contig01541:2970:3266:1 gene:CCACVL1_00665 transcript:OMP11113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRHWAIALEDGDREGCMAGADRSSWSSWPW >OMP11112 pep supercontig:CCACVL1_1.0:contig01541:1208:2449:-1 gene:CCACVL1_00664 transcript:OMP11112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIEIPQHFLCPISLQLMRDPVTISTGITYDRDSIEKWLFSCKNKTCPVSKQVLLDSDLTPNHTLRRLIQAWCTLNASHGIERIPTPKPPIDKTQIAKLVKDAKKFPEMKLKCLKRLRSITLEGERNRSCLESSGAVEFLVSIIKSCDSTLLEVVSNEGSEFIKASDEALSILYHIKVSETCLKSIISNDQEFVESLVKVMKNGNYQSRAYATMLLKDVFEVADPIQLMSVTPEFFAEVVRTLRDQISQQASKAALKLLVELCPWGRNRIKAVEGGAVFVLVELLLESAAEKRGCELAMIVLDQLCGCAEGRAEFLKHGAGMAIVSKKIFRVSHVASDRAVRILSSICRFSATSRVLQEMLQVGVVSKLCLVLQLDTSHKTKEKAREILKLHSRVWKNPSCIPFHLLSSYPS >OMP07932 pep supercontig:CCACVL1_1.0:contig04121:246:305:-1 gene:CCACVL1_01189 transcript:OMP07932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAGSDESAAIYLQPSD >OMO94467 pep supercontig:CCACVL1_1.0:contig07869:27380:32282:-1 gene:CCACVL1_05991 transcript:OMO94467 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MDACLSKANQNTVEDKEKDKENVSPVEEKDNDPPFGPWMLVGNDKKKAHATARRGRSHFRKSRRGRSNQALLNSGQVGPSEAHNVPSCVKEISTMVSLSSPKTQNCCHLTNSQELPGNGSKFSLPTNNNFFEPDLCDSNSKKLWDGSFFDSALPADGEIDGEQLQDLHRRSESGVEPTEITKPLGFCNRARESSPLVQSNKLCGRGDGSGLNDQNAEEYTPKKVGTTGTESKAQARPEISGRSLQSRYSRRRGDSKGIGLRQVLSCARKGNDGSPNRGSGRARRSALFRREVGLACKQLRQGGHSPITTSFSMKIISYNARGAANPKFRQTVRDLKERYQPDLFIVTETRVSRDRAKNIRDSLGFDGMSWVNPVGFSGGIWFLWDTADLVASFVRKSQQEITIQFQNVKTWNREHFGNVFYKKQKLLSRLLGAQKALSDQPSQFLIDLDSFLRKELQLVLRQEESLWAMKSRVSWLTKGDNNATFFHTSTLVRRKKNKIIKLKDDSGNDVVGADCISHIANFFLKLYSSESDYCEINPTKPDFSFNLVDSEFHNSLSVVPSISEIKKALWSMKPFKTPGPDGLHAGFFQHCWEDVHLSLCKDIQEIFATSSMPDSWKRFLIVLVPKINNPESIRLFRPISLGNTCYKIVTKIVASRIKGTLNDLISPFQGTFLEGGRASDNIILAQEVMHTAKTSKAKDGWMVIKIDLEKAFDRKWNPIRIGRNGPKISHLLFADDIILVAKANERNCLAIKNTLEDFCAKSGQKVNLDKSKIWFSPMVEEDKADYLNSVLGFRKVHNLGIYLGQPLLEKKGNKGDYSYLIDKMRSRLAGWKAKKLSLAGRSTLIQSMTSAIVDYPMQVGLLPASIDSEIDKIHMDFLRGDTDETKKIDLVGWNKVARPKNQGGLNLKRAKLRNMALLAKLHWRIRKDPNNFWTKALCSKYNLDTSSNSNASNVAKSLVYSQALFNKGVKKVIQSGSNTSFWYDVWAFNYPLRTLIQGPLNKGEDSMLVRDCFPPAGGWNLDSISLTLPPDFVDTILSIPFSLRDNVSDSFCCKYSSNGNFSLNSAYDLANGFDVVSDFNPFWKKFWKIHCHNRIKFFFWSVAHGKIPCKSMLYNRKISQELCCDLCPDSEETILHILRDCPLASSVWNSLHCIPSHFFLHSNLETWLSCCLFSSLTWKSIPWTTIFFYSCWNLWKARNLRLFSGQFLSMDSIIQSSSFQALEFFHVARPAPKSIVKSWKNIHWEPPDQGWFLLNTDGSSSQDKGGAAALIRDHLGVWIVGCIRRIPLADSLQAELWGLRDGLLLAKSQGISNLLVKVDFECMI >OMO94468 pep supercontig:CCACVL1_1.0:contig07869:50506:50610:1 gene:CCACVL1_05992 transcript:OMO94468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIIIGKVGHLDNKEGIGSNETNPKRIGKVPENK >OMO87589 pep supercontig:CCACVL1_1.0:contig09218:94549:95439:1 gene:CCACVL1_08922 transcript:OMO87589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRGQEHDIKPPAGSSGFGHHSPGAERRRDGNHNGSAVLTCTQTLDHVHRPQRQQSFGQGRSPHHPDRVSGAAVAPISVGSNAAKPTSSVRYRECLKNHAANIGGNVYDGCGEFMPCGEEGTLEALKCAACDCHRNFHRKEVDGETQFGGNSSRRSLMLNPLQLPPPLPSPTMMHHHQKYSSPSSAMVAPMNVAFGSGGGGGGTESSSEDLNVFQSNADGMPPPPPYVLSKKRFRTKFTQEQKDKMLEFAEKVGWRINKQDEEEVEKFCAEVGVKRQVFKVWMHNNKNVKKPPQE >OMO87580 pep supercontig:CCACVL1_1.0:contig09218:60711:60773:1 gene:CCACVL1_08913 transcript:OMO87580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHYSPKPPNLQTKASPAG >OMO87592 pep supercontig:CCACVL1_1.0:contig09218:109058:116688:1 gene:CCACVL1_08925 transcript:OMO87592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAAENFELPHYSTIKWMQVHRLMCLELKTLVDSILLIFSSLESARPRCTSGMQALCSLQSTLDKAKLLIQHCSESSKLYLAITAKAILLRCEKIRKTLEICMIQVKDLVPPLLAAKISRIVEELSSARFPLEPSEYEAGAVLRSMLQQDKPASGSKIQSELEALQLAALRLSITSPFALLIERRSIKKLLNKVRDTDPKKRRILTYLLNLLKKHGKSIWHLQSKSIIPSEEDNYFHSMEQEQGAKTEDETLRKDMDIFIPKLPEQFKCPISMRLLYDPVIIASGKTFERVWIEKWFNEGNQVCPVTNTKLEHLSITPNLAMKDLISKWCLRHGINVPEQAQPIPSLLSLQKRSSISSISSFASSVMGLQLPMGSVSLSLGSISTDSSLNSLDGKCDDEIASGLPQVAAESQFQRYHSSSTPPSSQEINAAFLCELDKHSWNSQCKAVEYVKGLLGNSDKAQYLTFSYSNVNPVIKFLKDANDISDMKMQKHGAEVLFAILSRSRVELPPCHEDIIYLLASLLDCGAAGESLAILEVLSCQQHYKSRILASGILPSILRFLDTTVTELYMLAMKTLRNLSHGSDVGYHIAYLGYIPKLVSFLEDSEVAAGYCIEILNNICHIEEARIEVAEANLCSSIATLLEAGNKAEQELAVQLLLSLCYENTGYYCQMIMSESIIQSLFSISVNGSSKGSENALLLLDLLGCMMKNDASQSHCSISSDILSQESSHVSSNGSEFRAKKSSSPKAFGWLRKKMLRYIHSTRETKLSYAQAEAKLAPSDSEEEEDGTMNEFLSRFVWIMRGKLSEVYTDCDKKTIDGMLMVIIGKVVEEMEKGGIQQMLGSAVAMSSEDFSEDLWRTVWEVSNMVLDDMENARKKEKMKQFLQCEEVQEMCHFAGEVGIRGDLLRELRFKWAREKMEESDFYEGLERLGKEAQSKDKKTVDEQAGVAEDEPRVVSLPKRKGKIKYKIYGLDLSDPKWAEVADKIHEREEIFWSQEPKPITGKCKLVMDKMLSLKKEDDPSPILAEWVELLQPTRVDWMAFLDRLKQQNPDIHLKVAELVLSEKSLQAIISDYTKLVDAYAKEKRVEDAERILQKMLQDGLMPDISTTTILVDMYSKAGNLDRAKEAFESLRSHGFEPDVKVYNSMIMAYVNAGKPKLGEALVREMETKDIKTSEEIYMALLRSFSCIGDVVGAVRIATTMQFSGFQPTMESCSLLVEAHAKAGDPDQARNNFDNMIKLGHRPDDKCTASMIAAYEKKNLLDKALDLLLQLEKDGFEPGIATYAVFLDWMSKLQLVDEVEHLLGKIAELGEVPPLNVHVSLCDMYSRAGYEKKTLQALGVLEAKKEELGPEEFERIFKALIAGGFVQDAQRILDLMEAKGFIKPEQLEQLKVSLMASHTLNRKRPMMRPTMR >OMO87573 pep supercontig:CCACVL1_1.0:contig09218:19684:24148:-1 gene:CCACVL1_08906 transcript:OMO87573 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MALARLARKVKRPHGVCIKMTAVAILGLCFIFVWSMFSAPSASVTVQRESFDDIAEPVASGTRVSKSKGNVNELEKHGSSSHEKKVESGLKKKDEKKVNESLPLPAHHEHKSGKKNEKHVPNRKKEKGKLKLPSGASKENEENQELEKSQGEEAVKENENENEEEEGVVVDGKEEGLDGEGEGEGNGETEGDGDLDESADQETQEKEEKTNEGLQTEGKKRKIKGPVFDPKAHYSWKLCSTRSKHNYMPCIDVESGFTRLQSYRHKERTCPKAPPMCLVPLPHDGYDPPVRWPESKLKILYKNVAHPKLAAFMKNHNWLIESGEYLMFPQNQSEFKGGVVHYLESIEEMVPDIEWGKNIRVVLDIGCADSSFVASLLDKDVLTLSLGLKDDLVDLAQVSLERGFATVVSPFGRRRLAFPSGVFDAIHCGQCTMPWHSNGGKLLLEINRILRPGGYFILSTKHDSIEEDEAMNTLTSSICWNILAHKTDDVSEVGVKIYQKPESNDIYELRRRKNPPLCTEHENPDAAWYVPMKTCLHHIPSAIEQHGTEWPEEWPKRLETYPDWVNDREKAIADTKHWKDIVEKSYLIGLGIDWSTIRNVMDMKAIYGGFAAALLQQKIWVMNVVPVHAPDTLPFIFERGLIGIYHDWCEPFGTYPRSYDLLHADHFFSRLKNRCKQPVSIVVEMDRILRPGGWVIVRDKVEILDPLEV >OMO87575 pep supercontig:CCACVL1_1.0:contig09218:25808:30212:-1 gene:CCACVL1_08908 transcript:OMO87575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDVPCCGGEFALYLLIIVGLVCFAGLMAGLTLGLMSLGLVDLEVLIKSGRPQDRIHAAKIFPVVKNQHLLLCTLLIGNSMAMEALPIFLDKLVPPWAAILISVTLILMFGEILPQAICTRYGLKVGAAMAPLVRLLLFLFFPISYPISKVLDWMLGKGHAVLLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALEMTEKTAKVAMTPISNAFSLDLDTVLDVETLNKVMTMGHSRVPVSYGNPTNIIGLVLVKNLLTIDSEEAVPLRKMLIRKIPRVSEDMPLYDILNEFQKGHSHIAVVYKNLNENKESVNKGEEGERLEFKDSCKKRRDEPEKSSRKDDHEVGVSTQKTGLILDSQDVQTPVTNSAGGQQTKKSPPATPAFKKRHRGCSYCILDVENTPIPQFPSNEEVVGVITMEDVIEELLQEEILDETDEYVNIHNRIKINMNPSQENAPSLNSTQPSPNRSSATGTTPTPHHQCLQDISLNIPLSPST >OMO87577 pep supercontig:CCACVL1_1.0:contig09218:35106:36810:-1 gene:CCACVL1_08910 transcript:OMO87577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPATLRLISSSSVSTVAGFPLIPPKQPLSNQNLSVKRNQENQRKEQRHLRNNQIQISRRDVAFLSLISLFPSFFQPSDASAFSIGISGPKDWLKEQKRKSSRFLLAPIDASRQSLRSAYLLLKDKETNSDKDLEEVQKLLKSAARDCIVQERNSLVAFQANTGVEVCTFRLVVNNAASLLEKTNPVKLEAEAMLDDLISSFTSLNSLANETDIQVASSRQKIADALMDTISALDKFEQGVKDCLEV >OMO87576 pep supercontig:CCACVL1_1.0:contig09218:32519:33959:1 gene:CCACVL1_08909 transcript:OMO87576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase MDSSKQENALPQDQELELKLPHLLSTKTVRELYTQIVNEWDFLRKSACQTAAGRALWKHVIDDPLAEVLAGETYLRNLHEKIKKDRHNNARETSGVILAVRTLWFDSKIEAALDSFGGAAQVVLLGAGMDTRAYRLSCLKECDVFEVDFPEVLQVKAALLQVALESTENLRLMAKSLTRVATDIRENDWLEKLQLSGFVPEKNTIWVLEGIIYYLSHSQAMQVLKVVAEKCTLTHTVLLADFMNKASTTLSNSTFHFYSDWPDQLLPSLGFSHVKLSQIGDADAHFGLMHDPLNLFNKLRNLPRSVETHPDDGTPCCRLYLVQASGSPDQNNFLENHANVI >OMO87591 pep supercontig:CCACVL1_1.0:contig09218:101235:101495:-1 gene:CCACVL1_08924 transcript:OMO87591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSMTYSRDSNSYWTAKQNKLFEKALAVYDKDTPDRWQKVADAVGDKSAEEVKRHYEILVADLMYIESGQIAIPNYKSTGGSRQ >OMO87596 pep supercontig:CCACVL1_1.0:contig09218:123771:123842:-1 gene:CCACVL1_08929 transcript:OMO87596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLVQSSLWRWLRRREAERERGKS >OMO87593 pep supercontig:CCACVL1_1.0:contig09218:117249:118157:-1 gene:CCACVL1_08926 transcript:OMO87593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQEKGLENITVADVLMTKGEENVGSWFWCRINDNVDDAMKNVDNHIRHVPVIDGRMVGMVSIVDIVKAVVEQQNGELKRLNEFIKGEYY >OMO87571 pep supercontig:CCACVL1_1.0:contig09218:13159:13521:1 gene:CCACVL1_08904 transcript:OMO87571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKPQKTKELSVAIAEASSTAGKEVQQQGQPQAQTPRKRGRPRKIVEKTETEEIKEEENIETQSKKGRVIEKQQQKYEAEEQKEQKAEASKKGEGQYSGAKEPPRRRTSRKSKPRKSS >OMO87572 pep supercontig:CCACVL1_1.0:contig09218:16257:19359:-1 gene:CCACVL1_08905 transcript:OMO87572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavodoxin MAPSSLSTRLTLLALLSGATFYFLYKSRRRLIPLKQLSLIPNPRKGKLFFISQTGTSKALAERLHKLLSSNNIPFDLVDPQNYEPEDLPKESLILIVASTWEDGNPPQNSKFFVNWLAEISTDFRAGNLLLSNCKFAVFGVGNRAYGETFNAVARDLGKRLRGLGATEMVAVGEGDVDGDIAGKGPSRKKLVNVAETNGKPDGKREMVTPVIRANLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWQWKMDDPLEIVDTAIDLHTKMIKQMKGVPGVTQERLTEGLYPRHCALSLVGEPIMYPEINMLVDELHRRRISTFLVTNAQFPEKIKMLKPVTQLYVSVDAATKDSLKAIDRPLFGDFWERFIDSLKALNEKYQRTVYRLTLVKGWNTEDVDAYSKLFNIGKPDFVEIKGVTYCGSSATSKLTMENVPWHADVKAFSEALALKSDGEYEVACEHAHSCCVLLAKTEKFKVDGQWYTWIDYEKFHDLVASGKPFKSEDYMALTPSWAVYGAEEGGFDPDQSRYRKERHHKPKTTE >OMO87584 pep supercontig:CCACVL1_1.0:contig09218:71954:73501:1 gene:CCACVL1_08917 transcript:OMO87584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-ketoacyl-CoA synthase MDQRGAATANGGSGSGSVGVQIQQSRRLPDFLQSVNLKYVKLGYHYLISNLLTLCFIPLIAVISIEVSQMNLDDLRQLWLHLQYNLVSIIICSAIFVFGLTVYIMTRPRPVYLVDYACYRAPEHLKAPYARFMEHSRLTGDFDESSLEFQRKILERSGLGEETYVPKAMHNIPPTPSMAAAREEAEEVMFGALDILFQNTNINPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLAKDMLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAVLLSNKSKDRSRAKYKLIHVVRTHRGADDKAFRCVYQEQDDAGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFTTLVVKKLFNAAVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPIHVEASRMTLHRFGNTSSSSIWYELAYIEAKGRMRKRNRVWQIAFGSGFKCNSAVWEALRNVKPSTNGPWEDCIDSYPVKIST >OMO87579 pep supercontig:CCACVL1_1.0:contig09218:48129:52504:-1 gene:CCACVL1_08912 transcript:OMO87579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISSALPMEAQRSVLPIFLLALILFLIQCGIAQKVSISSPVERRALLDLRSSLGLRAKEWPIKADPCTSWRGVHCENGTVVNVTVSGLRRTRLGRLNPRFNVESLVNLTRLVSFNASGLPLPGSIPDWFGSQLVNLQNLDLRSCSVSGSIPSSLGNLSRLTSLYLSSNNLAGSIPAALGQLGNLQILDLSNNSLTGSIPSSFVSLGNIQRLELGSNYLSGSIPPGFGSLQGFQVFNVSDNNLSGSIPVQFGNLSGLVELDLSKNSLYGSLPEEFKTLKRLQKMVIGENELEGPLPVDLFSSLAALEVVDLSDNKLDGGLDWIFGSMPNLRFLDVSSNNFTGTLPVLNSNSSAASTAVFNLSNNFLYGTLNFSLGMFKSIDLSGNYFQGKIVNDSERSATINQNCLQKVQNQRSLEDCRLFYTERGLSFDNFGEPDTIQPPAAESGSKSRKRWIYILAGVFGGLGFIVILVLVLVLLLRKCDKGITTQRGADVGPVPEGDSPQLPKDPTNIAGSGDPFTFEQLLLATDHFSETNLIKHGHSGDLFRGVLEGGIPVVIKKVNVSSSKKESYMMELDLFRKLSHARFVPLLGHCLEDEADKLLVYKYMPNGDLAIMDASNHKCPSVVVNSESICQDIQATSILLDDKFEVRLGSLSEVRSQEGDTHQNVLTRLLWKPQTSSDPGPSGPGSSSTSTAYDVYCFGKVLLELITGKIGIGKADDASTREWLEHILPCISIYEKELVTKIMDPSLIVDEDLLEEVWAMAIVARSCLNPKPSKRPSMKHILKALENPLKVVREESFSSARLRTTSSRRSWSAAFFGSWRQSSSESANAQGHPNRDGFSGFRQSGRVGSHGSGGIEHSSSNKRLSNEIFPEPVDIQDMERLDEN >OMO87595 pep supercontig:CCACVL1_1.0:contig09218:121769:122771:-1 gene:CCACVL1_08928 transcript:OMO87595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSPALSGDMSKLLLAAKKIRKATYTDFVISLVGDDFSRSSNTYVGKLSQPPDESTVASSCQSRRKTHSRQVPPRVTMSNYEIANITYELNVLRTRGPRRMNCTMCSIPSSAIEEGGTAPTPMAFTSCVDEHSSPLLESEGKRPQVGNSSSGYAKPLKSVQSAPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPSQNVTAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >OMO87582 pep supercontig:CCACVL1_1.0:contig09218:67200:70591:1 gene:CCACVL1_08915 transcript:OMO87582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein MEDKTSSFKIILGSSSIARRQILAEMGYEFTLMSADIDEKSIRKEKPEELVMALAEAKAEAIIQRLPVGDYGKEAEPTLLITSDQVVVYEGVVREKPADEKEAREFIKGYSGGHAATVGSVVVTNLKTGSRKGDWDRVEIYFHEIPDEVIEKLIEEGTVLYVAGGLIIEHPLIKPYVKDVVGTTDSVMGLPKALTEKLIKEAL >OMO87569 pep supercontig:CCACVL1_1.0:contig09218:4280:6630:-1 gene:CCACVL1_08902 transcript:OMO87569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPCATPENGKVADHNLQIIRYSPFQPCTRLSSLWFELRVFYVRVSNFRVDDSTPEFLTLNHIPLNPDTLLEVNGIRCSTYSDGVSLLLRRDRVDKKSEEATFVSTDSIRLTGSVKFEVFDRKDLILSGVLEMSSSNGFIGESKNNAKRWSMNCESEITAGNGFLKGKQTAGPESSQPTIEVYVAGCFSGSPIILTKTLQLNYRKKHNRKGMLDAIPEYETTECQKDMSPGLDMQVAEYRNYELENDEDYKNVYWRRTEYMDGEDGELSWFNAGVRVGVGIGLGVCLGVGIGVGLLVRTYQATTRNFKRRLI >OMO87594 pep supercontig:CCACVL1_1.0:contig09218:119541:121235:1 gene:CCACVL1_08927 transcript:OMO87594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQRQIFRFRLPWLSAASAPPRPIAEPQPRPAPQPQTQAPSQPSTTIPIQRPPFRPAGIAPVQTAPGQAQAPPQKTEPQPAAPFRVGASTEPKANSQPASPPRLTSQVRASSVPPSPSRGTIQTRATSQTQSPTRAPTQARAASVPPPPSRIALEPQSTVQAVSKQQSPPRAGETSSQLSSSPSRRVTQVQPTLSPPKKPPTATQESSQPSSTTFRPFAAVKPSETSAQVKEVAAITAAARETPSAPLKPKERDERKKAAEERRKARTKGSSHEEAEQRTTTKLLAAATDAASETGKRHHEKKEDIEKKKTWTTSSTDEKQIKTVSLTHSKDGSMPNTSHQKHVTSNWEQVPLHKEIREDISKFVHELATGQPNLPTEEKSISVLTLAGENRGAVFHLGSESAKKDGLVHIHRGYKINPDDSPDTTTDGEGSSRGRKPKDSMTKEKPAPRAYVNSNIQSVNNSVVFESSVNERNPGVHLEFEQIFEESTKSRENAQPLDTRKAEFNITPAEKLTYEPTVRRRCLRGLFAEPSDSDPDNPEKPRRHGCRYSCGEKNKEKEIGIF >OMO87574 pep supercontig:CCACVL1_1.0:contig09218:24815:24940:-1 gene:CCACVL1_08907 transcript:OMO87574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIMRRPTSSHFRRKRLYLCPIPISITLQTEVPKSLSGQI >OMO87578 pep supercontig:CCACVL1_1.0:contig09218:40194:46277:1 gene:CCACVL1_08911 transcript:OMO87578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L27 MAGTMLGDKAAQSHLTANSNGGEKPCVWSSPGGCKIDIGKQIFCNRSLNMRNIVAVGFDMDYTLAQYKPETFESLAYEGTIRKLVYDLGYPQELLKWTFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRELSKEEKVDTYGSTLIRDSFDEPDYALIDTLFSLAEAYLFAQLVDFRDNNPGKVPEGADYARMYKDVRAAVDLCHRDGTLKQMVAKDPKRYITEDTSIVPMLKMLRDSGRSTFLVTNSLWDYTNIVMNFLCASRTMDGSNTCNFDWLQYFDVVITGSAKPGFFHEDNRANLFEVEPESAMLLNTDNGTPMPQVGNTSPKLLLKGLNKSCRVFQGGNVAHLHKLLSIESSSQVFYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWELRDMRKQLRLLRNERDLIEDQIHHMKWSLRFESYHDDQKQKMNSILHELEAQRDQVRLGHQHSQRECHQKFHKIWGQLMKTGYQNSRFAHQVESSIFLSNMFNIATTFCKRVGIKELVSSAPVYGSITDGSSSALSLMIKRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVMPGNIIVRQRGTRFHPGNYVGIGKDHTLYALKEGCVKFETHKLSKRKWVHVEPKEGYVLHPVYATAVASEELQTAAT >OMO87590 pep supercontig:CCACVL1_1.0:contig09218:96953:97359:-1 gene:CCACVL1_08923 transcript:OMO87590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVSSTAGDAAQSVSETAGHATDSVSEAAGDAGESISESAGDASDSVSETASSWTDWAKDKLRNMGLMSKESSSPEMAPVMAPVGAYAMRAFGGEPAAAPM >OMO87581 pep supercontig:CCACVL1_1.0:contig09218:64158:64674:1 gene:CCACVL1_08914 transcript:OMO87581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGNSNWTPKQNKLFENALAMFDKDTPDRWHHLARAVGGKTVEEVKMHYENLVEDLKQIESGHVPLPPYKKAGKFSFMDEEQRMRNLRLQ >OMO87570 pep supercontig:CCACVL1_1.0:contig09218:8238:10727:1 gene:CCACVL1_08903 transcript:OMO87570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavoprotein MSLPTQALTSNQRLRPHALFGGRYLFLSCLSSESHEEKPNAKNAEVPKPITLVASSRVVQVTINGERLSEVNFESFTLALLFQPNLLYFYLLWRGQRNCNSKAQILYSSLILDSRQKLSLVGFNVSSKLSFFMVMAYLEAQNAGREIVKVNPSSRKPRILLAASGSVAAIKFGNLCHCFSEWAEVKAVATKAALHFIDRASLPKDVNLYTDEEEWSSWSKIGDNVLHIELRRWADIMVIAPLSANTLGKIAGGLCDNLLTCVVRAWDYNKPFFVAPAMNTFMWSNPFTEKHLMSIDELGISLIPPVTKRLACGDYGNGAMAEPNLIHSTVRLFLESRPPPREGRAN >OMO87583 pep supercontig:CCACVL1_1.0:contig09218:70974:71072:1 gene:CCACVL1_08916 transcript:OMO87583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLTKLPPSSRLSSVETNLSFTSFRFTFTIP >OMO87588 pep supercontig:CCACVL1_1.0:contig09218:89998:91170:-1 gene:CCACVL1_08921 transcript:OMO87588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDNEKPFLCDELLEQILRKVPLKQRTRFKCISKFWQDLITRLRHTSPSNSGLAFSFKKPCAKGDLKYELTLIEINDRGKTCSINSSIPHEFPWLIDSCNGLLLFGRKDGSFLSYHVFSPMKNQWRRLPRGHDFLRLASASLAFDGSRPNFKVICNFWEEADTENGTITYQIFSSKNWEWRECRARIHKSGLLLSNQDFNMNEWSCPSLYRKGKVYWIWSIYLLVLDEEEESFKLMKLPKNKETKMSVYCGKRLWEFEGLLHYCDSTYMGFYIWYYAENSVHNKKKNDCKLMWRPKHRVQFDLLVSRIKEVLQIEEEDKFKISPFIVKPCALNEDLEILYLQLPGSIGAYSFETRKLVKVHSDSAIGNNINDNIYPFLFEAVDLFGKDY >OMO87585 pep supercontig:CCACVL1_1.0:contig09218:74712:79267:-1 gene:CCACVL1_08918 transcript:OMO87585 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MSFREDAEDGRDLRKPFLHTGSWYRMGMGSRMGSSMLGSSQAIRDSSISVVACVMIVALGPIQFGFTSGYSSPTQSAIIKDLGLTVSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFATRSVASTTRRTAVRFAELKQRRYWFPLMVGIGLLMLQQLSGINGLLFYSSTIFETAGVKSSNVATLGVGAVQVIATAVTTWLADKTGRRLLLIVSSSLMTLSLLIVAVSFFLKDAVASDSSLYNIMSIFSVVGVVIMVVGFSLGMGPIPWVIMSEILPINIKGLAGSVATLSNWFFAWVITMTANLLLNWSSGGTFTIYMLVSAFTIGFVARCVPETKGRALEEIQSSFR >OMO87587 pep supercontig:CCACVL1_1.0:contig09218:86911:88881:1 gene:CCACVL1_08920 transcript:OMO87587 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MNHENYDLSFPDQPVVDQYLPIWASLPAFRSKPAFIWPNDGSTNVSNNGSILTYGQLNDSVQSISSNLLSSLKRSETVVILCSPGLELVEIIFGCQRAGLLSVPIFPPDPSFAKENYHHLARVLSQTKPKAAIADNDYITKVNQYLSLPSKDKRLADMLQNLSWISTADIKQKRVDSTADSMFYNGCKPDEIYLIQYTSGATGIPKPVLVTAGSAAHNVRTARKAYDLHPNSVIVSWLPQYHDCGLMFLLLTIISGATCVLASPGAFVKRPRLWLELITDFKATCTPVPSFTLPLVVKRGGVGKVGSAINLWSMRNLIIINEPIYKASVDEFLDVFKPYGLKPSSISPSYGLAENCTFVSTAWRNDDISNGNSSFPNFPSYNKLLPSARLANEEEADMNIIVVNEETHEPVEDGIEGEIWVSSPSNASGYLGHPFLTQDIFKGTLSNKVGRCFVRTGDRGIVKGEQRFLFVTGRCLDIIKLPNGQEIHPHYIETAAYDSCPQFIRGGCLAAFDYSRMIVLVAEMQRSEKDDKILKNICERIREDVLNKEKVEIGMVVLVSCASVPKTTSGKIQRWAAKDNLLGGKMNVLMEMKFDNYHWGLLPSPGAIIHASNRDGQRRGRRREGEGRRVLVAEEKEEIQVSLSSAQIQYPGLSRL >OMO87586 pep supercontig:CCACVL1_1.0:contig09218:81659:83119:1 gene:CCACVL1_08919 transcript:OMO87586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQTPAQPQPQVQSQPPNNDDSSVSTQAQAQVQNQTQNPNDNSNAALPTITTVSSAVVASSPTVTELTNVPPQTSSPPAKIPLRPRKIRKLSPDPNGDPNASQQATTSSTSVMEPPKTVARTTKAKLTQHRALAVVAPRIMGRSLSCEGEVETAIRHLRNADPLLSSLIDLHPPPTFDTFQTPFLALTRSILYQQLAFKAGTSIYTRFVALCGGENGVVPETVLSLTPQQLRQIGVSGRKASYLHDLARKYQTGILSDAAIVNMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLGVRKGVQMLYNLEELPRPSQMDQLCEKWKPYRSVASWYLWRFVEAKGANSSAAAVAAGATLPPPQQEEQPQQQQQQQQQQQQQQQQHQQQPQLLDPINSILNLGINNK >OMP05844 pep supercontig:CCACVL1_1.0:contig05179:320:793:-1 gene:CCACVL1_01811 transcript:OMP05844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHEYSVQPEGSSNLVLCEIKYELVGKKRKSCDDLGEYEEEGSSSTVKKIGLDLDCQNKPVPVPIISQNKNMNNEVILEELPVELNHEAIWEELPVELNHATYELNPVVPVNDYGFPTDDPIYPGPESVLDNLGWDWCNCIEHHNSMAALGFHSTFC >OMP04644 pep supercontig:CCACVL1_1.0:contig05692:1168:1716:1 gene:CCACVL1_02147 transcript:OMP04644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSISDKAFTGVGNLIKLLPTGTVFIFQFLNPVLSNNGHCSTVNKVFTAILIALCGFSCAFSCFTDSYKGSDGLIHYAVATASGLWPSTNSDSVNLSKYKLRVGDFVHAFFSVIVFAVLSLLDSNTVQCFYPSFESTEKVLLMALPPVIGAISGSIFMAFPNTRNGIGYPSSDSSSNSSDE >OMO63955 pep supercontig:CCACVL1_1.0:contig12877:18212:20042:-1 gene:CCACVL1_22156 transcript:OMO63955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPESSSATASTSTSTSSPSGKRSRDPEDEVYIDNLHSHKRYLSEIMASSLNGLTVGDPLPDNLMESPARSEGMLYSRDEMAWQYSPMSEDSDDSRYCEMPMNTSHSDSLPTSPVSPYRSPRTLNGFCTTNSTSSYPSHGNVGAVTCSQPRQRGSDTEGRFPSSPSDICHSADLRRAALLRSVQMRTQPSGQSSLELPFGSSQENVPNIEVEERSCSFMKSLDDDREYQIEDCSSLSISEPEYSEEKSPRVSNMNLKGNDSRE >OMO63960 pep supercontig:CCACVL1_1.0:contig12877:39055:39660:-1 gene:CCACVL1_22161 transcript:OMO63960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKNSDVAQRAWRLLRLALLWTRKGGVFKRRLMMDLRVVPKFLKGIAHTNSGAAATRHQQLHYYQERQLSFDETPVFHVKMHRPASMRFLLPCISPEEVDFDYDFGADEYDDGVYGRDDQSGRKSFTSTSCSSGGEEEGEEKEGGYEGCDEKSPYPYPLEEEGNIDSKAEKFIADFYQQMKLQRQVSYLEYTEMINRGAS >OMO63953 pep supercontig:CCACVL1_1.0:contig12877:11031:11120:-1 gene:CCACVL1_22154 transcript:OMO63953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLFCPALWQQQETSDDGRLSSFRVLK >OMO63954 pep supercontig:CCACVL1_1.0:contig12877:12406:17425:1 gene:CCACVL1_22155 transcript:OMO63954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MENGGGILLQTEKRDPLRIGGTKKKNQGSPCKDSCPNKKDVSPKLGNSTTSRDLENNNDKSSNFRTSSSVTIKNVPSIIDLPQLEEAISVFGKVSKAFKRSVPNGLDCCDIEFKTIKSCKTALSSGCLTIKNFNLAICPLHSSETVTIRISNISSATAYSAIHSTCASCGPLEGLVRTKEDVVVALFSVKGEEDTKSIIKRLNSTVMDESNWSADLHHSEAPIVEMTDNADPEDDLGLKISEPFADDVVVLTEENFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIGKVDCDEHKSVCSKYGVQGYPTIQWFPKGSLEPKKYEGPRTAESLAEFVNTEGGTNVKIATVPSNAVVLSADNFDEVVLDETKDVLVEFYAPWCGHCKNLAPTYEKVATAFKLEEEVVIANLDADKHKDLAEKYGVSGYPTLKFFPKGNKAGEDYDGGRDLDDFVTFINEKCGTSRDGKGQLTSKAGILESLNALVKEFVAASNDEKKAVFSKIEEEVEKLKGSTARYGKIYLKAAKSCIEKGAEYPKKEIERLQRMLDKSISPAKADEFTLKKNILSAFA >OMO63957 pep supercontig:CCACVL1_1.0:contig12877:26325:28889:1 gene:CCACVL1_22158 transcript:OMO63957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTSAAASAELSRCVAASFIPSFDKPAAASQSPFGISPLRRFSPRYPRLSFFPQGRSGVSSCRVISMSKKDSDGAAGLTYKDAGVDIDAGTELVRRIAKMAPGIGGFGGLYPLGDSYLVAGTDGVGTKLKLAFETGIHETIGIDLVAMSVNDIVTSGAKPLFFLDYFATSHLDVDLAEKVIKGIVDGCQQSDCTLLGGETAEMPDFYAKGEYDLSGFAVGIVKKDSVIDGKNIVAGDVLIGLPSSGVHSNGFSLVRRVLARSGLSLKDQLPGAAVTLGEALMAPTVIYVKQVLDLISKGGVKGIAHVTGGGFTDNIPRVFPKGLGAVIYKDSWEVPAVFKWIQQAGNIEDAEMRRTFNMGIGMVLVVSQEASLRILEDGNGAYTAYSIGEVVTGEGVSYN >OMO63952 pep supercontig:CCACVL1_1.0:contig12877:5940:6770:1 gene:CCACVL1_22153 transcript:OMO63952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTKANIAKRKGGRTSRSSATKKTKTSETQKDETTENVTVNEVVPLPSTPNLEKEALSTTAIEDRMEVEVEVEDKEITVAVKAGPGEEGTTENSMLGASGEGETETDILAPLESIESGGMLCFNDIMDNELLETNGDLTLLSEWGENRVAVNVEERDNSSSGNLTTSPNQKVTNNNEELDSSGNVSSNIGDCGDRNSCCSITSCLVDDCNLVDNFDWDWESVVQRNEIWDDKEEQMPTWPWEIDSNDKEEEERHNKIDDSSDFERQNSMVAWLFS >OMO63956 pep supercontig:CCACVL1_1.0:contig12877:23992:24957:-1 gene:CCACVL1_22157 transcript:OMO63956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFVLSSSASATCHFSSSESSLMSAQSDGSSFEFEFSSRFSSSDSLPVGSMTSADELFLNGQIRPMKLSSHLQRPQILAPLLDLDGEDEDADFKNDAGSNNREAVRGRDLKLRSRSLHRKARSLSPLRTAEFQWEEEDEEEQRSREEVQKEVSQRLLEGLKETVTSTETTPSCSASSSRSSSSGRNSKKWIFLKDLLYRSKSEGRGNGKEKFWTSISFSPSKEKKINQEEKEKLSLASKEKQQKENKTVKKMKPANGVAKRRVPPSPHELHYTTNRAQAEEMKKRTYLPYRQGLFGCLGFSSKGYGALNGLARSLNPVSSR >OMO63958 pep supercontig:CCACVL1_1.0:contig12877:29423:30458:-1 gene:CCACVL1_22159 transcript:OMO63958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific eukaryotic initiation factor 4B MLGRESKNGSVFSWADEVEREEEAAGGFDQAQAQLQQPRQKPNPFGSARPREIVLQEKGIDWRKLDQQSPSRHGSQNEKLHKQSNSQSETTVFNNKEQNTPPRFGTSPVSSQVISTPQIQSPILVIPPLRYPPKFVAGVLYEQWVSGKENRFHTKHEKEKRFHEERKSQNMKSESAMCGNIRITESVQKGRRNVEDCATRKEGFHCTNSHRLPLANKNIGIGPSQVQNKRVSGKVKQGIGIQNGTAFQVKEKSRTMEDDKWQKITRNAQDYLSVKQVDNNGGRDTDTAHGKIKGDLGRNNNKSFQKKQFKLKTSN >OMO63959 pep supercontig:CCACVL1_1.0:contig12877:32308:37958:1 gene:CCACVL1_22160 transcript:OMO63959 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTKENNITTGKIYQSVLEKERRGDYLGKTVQVVPHITDAIKDWIESVALIPVDGKEGPADVCVIELGGTVGDIESMPFIEALRQLSFSVGQDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLACRSAQPLLDNTKEKLSQFCHVPAANILNIHDVPNIWHIPLLLRNQNAHHSILKQLDLLSIATAPDLEAWTRRAETFDNLTDSVRIAMVGKYVGLTDSYLSVVKALLHACIACSLKPSIDWIAASDLEDDSAQSTPEAHAAAWKTLRNAECIIVPGGFGDRGVNGMILAAKYARENNVPYLGICLGMQISVIEYARSVLGLARANSAEFDEHTPDPVIIFMPECSRTHMGSTMRLGSRRTLFQTPDCITSKLYCNPEYVDERHRHRYEVNPDVIGVLEEAGLKFVGKDETGKRMEVLELPGHPYYVGVQCHPEFKSRPGKPSAPFLGLILAAKGQLEAYLSKHQNGS >OMO67573 pep supercontig:CCACVL1_1.0:contig12415:10405:11310:1 gene:CCACVL1_20432 transcript:OMO67573 gene_biotype:protein_coding transcript_biotype:protein_coding description:2S globulin MLVFPLILQTFIALFSSNLAVAANSKLFREYIGAEEKGVKFSDVPVNSEVDFHFILSFAIDYTTSSSPPSPTNGNFRVYWDTENLSPSHISSIKAHHPNVKVAMSLGGDTIKDKHVKFSPETINSWVRNAIHSITEISMKYHLDGIDIDYEHFHADPDTFAECIGRLLFYLKQNGVVSFASIAPYNDDSVQPHYLALWRKYGHLIDYVNFQFYTYDKGTTISEFLKYFDEQSFNYRGGKVLVSFGTDGSGGLSPKNGFFEACRRLKHQGKLHGIFVWSADDSKKAGFQYEKQSQTLLARST >OMO67577 pep supercontig:CCACVL1_1.0:contig12415:31879:39863:-1 gene:CCACVL1_20436 transcript:OMO67577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTDKSPKQSTKIPPLPRDSRGSLEVFNPSTFSTRPVNPAFRTSQPTWPAFEEPRGSQESDPSSNSGRVEEITTSWMALKEPSSAPSPSPSSLLSSPIVHKTINPNDNHGGTASPKPSDEAGVAAKRAAEWGLVLKTDDETGKPQGVGVRNSGGDDPNAKPGTSRRNSNNSVRSSDELSDNEYSKERGFPRVSEDLKDALSTFQQTFVVSDATKPDYPILYASAGFFRMTGYTSKEVIGRNCRFLQGAGTDPEDVAKIRESLQSGTNYCGRLLNYKKDGTPFWNLLTIAPIKDENGKVLKFIGMQVEVSKHTEGAKEKTLRPNGLPESLIRYDARQKDMATSSVTELLEAVKKPSRSLSESRNHPFITKTGGGEEDGVAAAMAARRNSENVPPHRRNSNSNGGSRLSMERISEVPEKKQRRSSRLSFMKLSRKSQSITESFDNSLLVDADEDESDYDDERPDSVDDKVRQKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSAKVDPVQNKIPDITAQESENLVKKTAENVDEAVRELPDANMKPEDLWMNHSKVVHPKPHRKDSPSWKAIQKILDSGEKIGLQHFKPVKPLGSGDTGSVHLVELCETGLYFAMKAMDKGVMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFLLLDRQPMKVMKEDAVRFYAAEVIVALEYLHCQAPDEKKKRNRSQQNPIFMAEPMRASNSFVGTEEYIAPEIISGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANILQKDLKFPRSVQVSIHAKQLMFRLLHRDPKNRLGSREGASEIKKHPFFKGVNWALVRCMNPPELEVPLFATETGKEDKVVDPELQDLQINVF >OMO67580 pep supercontig:CCACVL1_1.0:contig12415:58766:63003:-1 gene:CCACVL1_20439 transcript:OMO67580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHEQKEARNGLVSEGQGLGSSEEDESRIVERNVNLNDGSLVTIEANDGSQLPQQQPQGSMIRWERFLPIRSLKVLLVENDDSTRHVVSALLRNCSYEVTAVANGLQAWKFLEDLNNHIDIVLTEVAMPVLSGIGLLCKIMSHKTFKNIPVIMMSPHDSIGLVFKCLSKGAVDFLVKPIRKNELKILWQHVWRRCHSSSGSGSESGTQSKKSVKSKSNDEAGNYADSSDENDDESDDLIIRDGSENGSGTQSSWTRRAAEAESSQPMSSSNQLPDAPDSTCAQAIHVKPEKYGIQWACQTEIKECQEQHEQVDDAAVGKEIEARVKRNPVLQCGHQRESLPTDQVIVKQNGLPDAGCEQSDCGKFKHQNENISCKDRTLNANTASSNCINRQAKSRDSDAPSGPSDLPQVKDGACHASGEIPSIELTLKRLQGAAEADGRNAANDEHNVLRHSDSSAFSKYSTASSANQAPTGNVGSCSPLDNSSDTMKRETVCTFPSHSSGILLNQPSIGSSNKNDLTAAAKSVSPKEEALNDKSGSVSAFKHSHSSSFQPVQNAHIYSSQEVLTEKADHIGLKTAHSQLTSSIEVFHVQHQCHLHQVEKERQHQLQADHDTSLKTMELTTPQCGSSNVFAGPCDCNVINCSVNGSGSGSNYGSNGPNGSSTGLDADQAIIESENGAAGAISGRSSSGADEDRAAQRVAALTKFRQKRKERCFEKRVRYQSRKKLAEQRPRVKGQFVRRIVSDSEGGKDCSSNGLASEDNSSEF >OMO67583 pep supercontig:CCACVL1_1.0:contig12415:68630:71787:-1 gene:CCACVL1_20442 transcript:OMO67583 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IIA, CECR5 MISLQMSRKTSQIQSRKQLWRLFSTISLPSSQQAEPPSFGIAFDIDGVVLRGSTPIGGATKALRRLYDDYGVLKIPFIFLTNGGGVRESKRAAELSEFLGVKISPLQVVQGHSPFKQMVNRFENELIVAVGKGEPATVMSEYGFKNVISIDEYALCFDNIDPLAPYKKWSTMEAAVSSTKKSIINSARVQAAFVVSDSVDWSRDVQVLCDILRTGGLPGSEEGPQPPLFFAHDDLKYQGAFSSERFGMGAFRIALESIFNSIHTKALTYASVGKPNPVAFKNAEVVLKQLASSLHHDLTTVDHANSRSHDFQKLYMIGDNPLVDIKGARQAGHPWFPILTRTGVFKGDPHSNHAEFPANLVVDTVEDAVNFILRNELIKS >OMO67576 pep supercontig:CCACVL1_1.0:contig12415:27112:29540:-1 gene:CCACVL1_20435 transcript:OMO67576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASREVSAMIKQGFISDPTISLSFSPSRTTPTVTLSRLYSPTSSPPPPPPPPTTTATTELTRSTQNPTLFEMMSEEHFRDAKSIDESRRKMNSKVSKSLTELKIFSMNNLGLGSGDVRLTVVSRDGYRVSMDVHKRVLSEKSKYFAERLSQRREKGTSHTVEISEIDDLEVYVEIVVLMYSDDMKKRLIGEDVNRILALLKVSAEIMFDAGISACLEYLEAVPWSEEEEEKVIAHLSQLELHSSTEVLQRVSSEPSTSARPDDVFLQLLSGVLLAKDDKARREMKNLISRLLREDSFDDDNRLDVSKDTLYHLCHRCLSSLVLCLSEATGMDDSRRDRGVLMAEIAREADNLQWIVDILIEKRMANDFVKLWADQRELAALHSKIPTMYRHEISRITAQLCIAIGRGNILVPKDSRFSLLSTWLEALYEDFGWMRRASRSVDKKLVEDGLSQTILTLSLRQQQIILLSWFNRFLNKGDDCPNIQRAFEVWWRRAFIKQYGDMHDGSQLQITVCNFPS >OMO67582 pep supercontig:CCACVL1_1.0:contig12415:65873:68255:1 gene:CCACVL1_20441 transcript:OMO67582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MAVAGSIVAKAVNTGLWSLKRCRTWNFRQAQTIRALSTLMSPPSRAVVYEHQGPPDSVTRLVELSPVEVKENQVCVKMLASPINPSDINRIEGVYPVRPEVPAVGGYEGVGEVYSVGSAVKGFAPGDLVIPSPPSSGMWQTYVVKDDDMWHKISEDSPIEYAATVTVNPLTALRMLEDFTTLNSGDSIVQNGATSIVGQCVIQLAKFRGIHSINIIRDRPGSDEVKEKLKALGADEVFTESQLEVKNVKSLLSNIPEPALGFNCVGGNAASLVLKFLSQGGTMVTYGGMSKKPVAVPTSSFIFKDLSLRGFWLQKWLSTDKAKECRHMIDYLLCLAKEGKLKYEMELVPFDNFHTALDKALGKHGSQPKQVIKF >OMO67585 pep supercontig:CCACVL1_1.0:contig12415:75921:78302:-1 gene:CCACVL1_20444 transcript:OMO67585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEAMAIDLRGTPTYGGRYVRYNILGTIFEVSSKYVPPIQPVGRGAYGIVCCARNSETKEEVAIKKIANSFDNRIDAKRTLREIKLLCHMDHDNIVKIKDIILPPEKEKFNDVYIASELMDTDLHQIIRSTQPLTDDHCQYFLYQLLRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILMEILRREPLFAGKDYVQQLALITQLVGSPEDSDLGFLRSDNARKYVKQLPRFPKQPFAEKFPDVSPMAIDLAEKMLVFDPSKRITVEEALNHPFLSSLHEINEEPTCPTPFIFDFEQTNLNENDIKELIWRESLNFNQDRMLE >OMO67572 pep supercontig:CCACVL1_1.0:contig12415:3836:4497:-1 gene:CCACVL1_20431 transcript:OMO67572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase/lipooxygenase, PLAT/LH2 MILACCLVLLALVAAGENLPQNKKENCSYAVTIQTSCIKGAETSDYVSLRFGDTESTDIVIQHLNSKHVRELDPLQPLVLDDIPRKPFQACVVDEFQVTGECVESPICYLYLKLSGNDDWRPGFAQIEALGLGKSHFSSRYFYFRRYLPRNVWHGSNLCDNKEVTPFGIKQKRKVFAKKSRP >OMO67579 pep supercontig:CCACVL1_1.0:contig12415:47811:52149:-1 gene:CCACVL1_20438 transcript:OMO67579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFWCTGNSSNRSEKPTKKNSIRNDSSSNKNKLNDQTQASSDALKVSPYDSVKKEEATKKDQLSLDVKNLNLNDEVSKELAQSFTFEELAAATGNFRSDCFLGEGGFGKVFKGFLEKTNQVVAIKQLDRNGLQGIREFVVEVLTLSMADHPNLVKLIGFCAEDDQRLLVYEYMPLGSLENHLHADHLIYSNKFEEKKSQYKMMRPGHLPVSTAMGDVAKKERGASRSRLFPLAEGWIHAVPVIVLLSFFFLWWMSHPVHVEIKDGKIVAIHEVEMPLPLNHSEIEMTILASSATSPIASVPQNLTGNGTETNLVSKNN >OMO67571 pep supercontig:CCACVL1_1.0:contig12415:326:436:1 gene:CCACVL1_20430 transcript:OMO67571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETGPGLPPVPVGFGIEKMKMKMKEEDDQAKKKVTWY >OMO67575 pep supercontig:CCACVL1_1.0:contig12415:13565:26197:1 gene:CCACVL1_20434 transcript:OMO67575 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase, alpha subunit MQKQSQDILFTKRPYIEDVGPRKIKSIKFSMLSDSEIAKAAEVQIYGDAYYDANNRAIVGGLLDPRMGPANKSSPDCATCGAKFVDCPGHYGYLPLALPVYNVGYLSTILDILKCICKSCSRILLDEKLCRNFLKRMRNSKIEALKKVEIMKSIVKKCTAMAGGKAVKCRKCGLVNGTVKKAGMMLGILHDRSKINDDSLEEFRSAISHTKECKASFNIATYVLNPVTVLSLFKRMTDVDCELLYLSDRPEKLIITNVAVPPIAIRPSVIVEGSSNENDITQRLKKIIETNARLRQELVETNVAFRCLGGWEMLQVEVAQYINSDVRGVPFSMQASKPLTGFVQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPIHMARILSYPERVSNHNIEKLRQCVRNGYYKYPGATAVKFPDNSSKVLTGNYRKRIADELQVGAIVHRHLEDGDIVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEAIMLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRAAFSLICCYMGDAMDLIDLPTPTIVKPIELWTGKQLIGVLLRPHASVRVFLNLTVKERNYSKKIVKTIGDTEIEVETMCPNDGFVYIRNSELISGQLGKATLGNGNKDGLYSVLLRDYGAHAAATCMNRLAKLSARWIGNHGFSIGIDDVQPGKRLNDEKAVTIAGDYKKCDEEIQMFNEGKLQLKPGCDAAQTLEANISDILNKIRDKTGKVCMKELHWRNSPLIMSQCGSKGSAINISQMIACVGQQSVGGRRAPNGFIDRSLPHFHRGSKTPAAKGFVANSFYSGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKSLEDLSVHYDNTVRNASGCIVQFIYGDDGMDPAYMEGKDGFPLNFERLLMKVKATCPPIEQKYLSADDIPRMVEEQLDKHDPSGICSQAFKKSLKGFLERQTNELKRVMGLVGNCAQKNEILDKVIHKMSGITDRQLEVFVGTCISKYRSKVIEAGTAIGAMGAQSIGEPGTQMTLKTFHFAGVASMNITQGVPRIKEIINAAKKISTPIITAELEFGNNVNIARMVKGRIEKTILGQVAKSIKIVMTSRLASVVITLDMERIQDAQLYIDANTVKESILQTPKIKLKEQHVKVLDVRKLQVVPPGDRSKIHFDLHSLKNVLPLVVVKGIKTVERAVIAEKKNDKQKDQKEKLYHLLVEGMGLRAVMGIEGINGRKTFSNHVMEMEQILGIEAARTCIIDEIAQTMASHGMSIDIRHMMLLADVMTFRGEVLGITRFGIQKMDKSVLMLASFEKTADHLFGAAVNGRVDKIEGVSECIIMGIPMQIGTGILRVRQRVDPLPLLKYGPDPILA >OMO67584 pep supercontig:CCACVL1_1.0:contig12415:73917:75065:1 gene:CCACVL1_20443 transcript:OMO67584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPSSPSPEQDPPLNTSPPSPSEVQLALPAPPTATSTPPATATVVPNPRRLPPPCWSHDETVALIDAYRDKWYALRRGNLKASHWQEVADSVGRRCPMATPPKTAVQCRHKMEKLRKRYRTEIQRARSMPVSRFVSSWVHFKRMDAMEKGPNVKPDYNSDSPDEDSDDDDEDDQDQDYYGDAYKNGSVNTRSVQKLYRNGIGNSGGSVGGSGSGGGSSGGFRIRIPTGVSIAQPGPRFYAKMDQKYGANPNSNPNVNVNPHPIKTSYGGSGSASAAYGTRVLRGFEETPGKTPGSSGKRERERDRDAVAEMVSAIKVLGDGFVRMEQMKMEMAREIETMRMEMEMKRTEMILDSQQRIVEAFAKAVSDRKKKAKRMPSPES >OMO67581 pep supercontig:CCACVL1_1.0:contig12415:64015:64149:1 gene:CCACVL1_20440 transcript:OMO67581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERERRYYSGEMLTCNKWRCGAVQIFTWQVGYFHVSKCKPLME >OMO67578 pep supercontig:CCACVL1_1.0:contig12415:44963:47438:1 gene:CCACVL1_20437 transcript:OMO67578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLYILYSNVLLSSNNQKESPDIPNLSTKIETTLPFNDSSTKIEKSLVHQEKRQDRRVDLDQPVPNYQRYDTELKHIAFGIAASSKLWNIRKQYIKTWWRPRETRGVVWVDRKVATNRYEGLPEIRVSDDTSKFKYTNKQGSRSALRISRIVSETLKLGLKDIRWFVMGDDDTVFIADNVVRVLSKYDHTQFYYVGSASESHIQNIFFSYAMAFGGGGFAISYPLAQELAKMQDRCIQRYPALYGSDDRMQACMAELGVPLTRELGFHQYDVYGELLGLLGAHPVTPLVSLHHLDVVEPIFPGMTRPSAIAHLLEAAKEDSASLMQQSICYDSNRFWSITVAWGYVVQIMRGVMSPRELEMPTRTFLNWYKRADYTAYAFNTRPVERHPCQKPFVFYMSKIKYDRARKQTIGVYYRRKIRSRPCRWKMDSPEKIDAIVILKKRDDLRWKKSPRRDCCKVLPSDKNNTMVIWVGNCREGEISELQINQGSS >OMO67574 pep supercontig:CCACVL1_1.0:contig12415:12388:13107:-1 gene:CCACVL1_20433 transcript:OMO67574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVTGKILSSTPISVSKAARTIAKFAATDNGASLAISAYLRRASAGFNELKQLHKELQRSSKSDRKDKKSKSEATVEGAGESSLEPSVFNLTRGGVELTQEASQGYSEGKKHKNKKKKENGEVGKYGSGEGKIVLEDGESRRNKEKNEVGNFVEDVDRTVIDEHGERKKHKKKKEKSGKKTETFQENGVNIQEGKMINGEQREREKKKRKSTEMEGTENNSSEPRKKKKKKIKNEGDD >OMO69875 pep supercontig:CCACVL1_1.0:contig11942:25042:31430:-1 gene:CCACVL1_19217 transcript:OMO69875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEISPTVEITGSTSDEAPPTNQLGSTLIDPAPVVESELSQAEDNAPSPLVSVPLSETTSSEIVSGAQPPTHNNVSLSIHPMKTRTRAGKHTGVGRKDVRQRHNKSISLLRASKGLNQKKIVHIMALITTMESSIADLLLGKIVSIFENEVVLLSGFRDEVKEIKLELISMRSLLEDADKRRVHSRTESAWISSVRDMVFELSEPRRTVVSVVGMGGLGKTTLVANTFNKQIVKQHFDCCAWITVSQQYMIQEILKSMVKEVCEKANEDALDNLSSMSYRDLVKTLVEILQTKRYLIVLDDVWHINFWHDITIALPTNITGSRILLTTRMEDIASFEFDVVNFVFPLNPLTDEASWNLFCKKAFANKHAQCPPYLDPLARNLVAKCEGLPLAIVALGGLMASRKSLAEWNEIYSLSNASYIVAFFQKIIGLREKGSLDSGWQKEKEIKVCQGIRRLRSLFAFAYVDISQSSFNMLASGFKLLRVLDLEDAPILELPRELVNLFNLRYLNLTRTKVKELPKSIGKLSNLQSLIAKETQIKELPPGIVKLKNLRHLVAYYYNFNSIMEFEIGSGIRVPSDICSLGSLQVLSVVEARGNLTKQLSRMTQLKLLQITKVKEADYENLCNSIGNMRFLRTLTVTSYNEDEVLKMDALEVAPPLLEKLFLSVKLEKIPHWLKSLHSLTILVLNWSRLREDFLPHI >OMO69874 pep supercontig:CCACVL1_1.0:contig11942:18500:18939:1 gene:CCACVL1_19216 transcript:OMO69874 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding 8 EVSLVIFVPTSTVFVNVPSISKLQWHPFTITSSSNLNPDKLSIVIKGQGSWTSKLYQKLSSPLPIDHLEVSIEGPYGPASTHFQRHDTLVMVSGGSGITPLISSSEISSLRPII >OMO88732 pep supercontig:CCACVL1_1.0:contig08952:11976:15754:-1 gene:CCACVL1_08241 transcript:OMO88732 gene_biotype:protein_coding transcript_biotype:protein_coding description:YL1 nuclear METGKEEPAVFLDRASRQTRGKRMTKLLDEEAEEDETFWNQDAFKEEENDVNYEEEPEVADVFDSDFDEDESEPDDEAENEADERVRTKKRLIFPGKPSSSTKKKKKTKDLSKLDGAPKDEKVTEIPTSPQHHDGPDDAEGERTIRKSTRTSVIVRQAERDAIRAALQATMKPIKRKKEGEEKRMTQEEMLLEAAQTEIVNLRNLERVLAREEEVKKRAIIHKPVYSGPQIKYVSKDGYSYLEFSKGVSFQSELSTTSVPYPEKAKCVITGLPAKYRDPKTGLPYATMEAFKTLRERFGDERRGAQKEMDMGVLFDSLSDCTFNPFSGKLGVLPCDDHMVTTSLKWEHVTLFLVPEENVQFGKRSKVRVPDCTLVKR >OMO88729 pep supercontig:CCACVL1_1.0:contig08952:5703:6508:-1 gene:CCACVL1_08238 transcript:OMO88729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MDTASVVMTLVVVIALTLTLVIGIVTTRKMEDVRAPCYFVFGDSLSDNGNNNNLDTSIKANFTPYGHDFPNGPTGRFTNGKTIHDFLAERVGIRYFGNIPPFAMAKDQNESDVDFVTKGVNYASGWAGIRDETGQTMVYRAWTMRSCL >OMO88733 pep supercontig:CCACVL1_1.0:contig08952:16831:21617:1 gene:CCACVL1_08242 transcript:OMO88733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESRNGRQVSGVFREVNNGGTIKEIKATRKGAVGVIRKADYIAEKERDRGTHKGTITTAACAINNEETTKHGTENMPFGTISKTRPEVFWSANWNMPLKENATLEFSGGNLLLIDEKGQEIIWSSQTSGMSTYGMKIDENGNLILFNQHFEAVWKSSNHPTDTLLLGQVIALFQSDQMPQITLYKIKILMVVMRSAPRKMAEAEPKKATSSGVDSKSKEKGLLLDEEFGKDFRSSWKSMPVTESEYDAAYSSGIISKGNKKASNSDKLSSKLDDHYFRIKYKNEKLIGSGAFGLVYSCEDEKGNKFAKKIVNFNKAEKEETLREVAIMKHLDDHPSIIKYHEYWIEDVPEPVGNGGKDCRLHLIMDYCPSTLAGVIAKKGAEISDSKIISWFRQIILGLEHLEAKGVIHNDLSSNNIFLDQEDHIKIGDLGLSKRLDVVGTCTKIQISTDEIGTDVYAGPEKKNKETLLIDFRSDIFSAGVILFELLYPMTEERSWTVLKRLGKDRKFPKDFKHKRVFLLRLLTSYTGGRPLASVLLRKLDGVIEGGGTDGDILSRILEMDPYP >OMO88730 pep supercontig:CCACVL1_1.0:contig08952:7331:9325:1 gene:CCACVL1_08239 transcript:OMO88730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Valine-tRNA ligase MANMSKYLSTRLSSMESPIQFRATKTDNAAKNLPIHITLWLNGDSNIMNRKDAATSVYSWWQYQFCDVFIEAIKPYLAGDNPAFSSERKCAQDALWVCLENGLRLLHPFMPFVTEELWQRLPGVESHTKKESIMICEYPSPIESWTNEKVEIEMDLVESTVRSLRSLRAQHLAKQKNERLPAFAFCQSKEVAEIIKSCELEILTLATLSSLKVLLSGVDVAPAGCAFENVNENLKVYLKVQGNLNAEAELEKIRNKLDEIQKRQEKLKKIMNASGYQQKVPSHIQEDNANKLAKLLQDFDFFKKEEERMESEADRQH >OMO88731 pep supercontig:CCACVL1_1.0:contig08952:9508:10692:-1 gene:CCACVL1_08240 transcript:OMO88731 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MKDSTISDLLQELNTTKAQLIASYSLIAELQKTINSTNLLTEALLVELSREQRVNAAEKVRELLAKDDDYFGVAASSSDELKLSVLPQKLPLGYSPRSGSEAIYPPVGAGCLKFQDELAQYMTYEVGGECPVDDVFAQKLILRGCEPLPRRRCHPKSPVGYVEPRPFPASLWATPPDTSIVWDPYTCKSYKCLIERNKLPGIYDCKDCFDLNGREKKRWLFDGGLDYGIDQVLGTKPPGTIRIGFDIGGGSGTFAARMRERNITIITSSMNLDGPFNSFIASRGLIPMHISISQRLPFFENTLDIVHSMHVLSNWIPDDMLEFTLYDIYRVLRPGGLFWLDRFFCQGSQLNQTYIPLFDRIGFKKLRWNSGKKVDRGADKNEWYFSALLEKPMT >OMO76516 pep supercontig:CCACVL1_1.0:contig10891:33048:35941:-1 gene:CCACVL1_15615 transcript:OMO76516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEESYFYSWPPVGAPLNVQREDHWKHFDNSVNAVSFGFVATAILISMFLVMAIFERFLRPNSSPGGRNHGDLESQLTFNGKLGHPSPKMTIYTSGVSVLMPGDEIPTFIAHPAPVPCLPEHRSLPHNHQKSPVNPASNSSTNINES >OMO76515 pep supercontig:CCACVL1_1.0:contig10891:29968:30885:1 gene:CCACVL1_15614 transcript:OMO76515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCVSTNKGSHGEKEAHLQVGAESFHQKQSLESRAPPPSAEEETVKEVLSETPKPKVPKAHIFIPQEENKKVQIERPAFVKIQEKEESLNFDIKTEPKSPVIEESASEDVSEICSVSLSESVSTITDRRDEEDEVRQQKVFRSPARSGPRNRVVGRSPTRKLDRSPARRNGVVNGGSGSSVRIVQSSRESTVRRGSRPDPPRKDPGESSGRRSRSPAVNRSVMGRSPSGRRTNPSPGRVRGDPIELGNSKKVQQHHNQHGASSTTTTNMEGKWPSSNNHKAAATSSPNESLENPLVSLECFIFL >OMO76520 pep supercontig:CCACVL1_1.0:contig10891:46144:48197:-1 gene:CCACVL1_15619 transcript:OMO76520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFWIVIRQLAEIEEQMATSKKVFTREEWEKRLNDVKIRKEDMNKLVMNFLVTEGYVEAAEKFRMESGTEPDIDLATITDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDATNCPVGELMDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLDEKASYPRINNLSSATLDDPTV >OMO76512 pep supercontig:CCACVL1_1.0:contig10891:19791:21635:-1 gene:CCACVL1_15611 transcript:OMO76512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESGYSTRRRRPDSPDRISALPDALIHLILSLLPIGEAINTDLLSKRWKGLWTSVPNLIFTHQGNNFQRFAQFVDKTLSLYSTSVMVDKFSLCFNYRDALLEFSKTQLNSRVTSWLQFASTHKAEELYVDLEVDNYDEYSQHLKMPLCLYDNSSLKKLFSNCCVFDEPKGQVSWGLLKDLTISSQSLDGDGDRPPLRMILRGCPVIEYLELHNCLLAEEIDASSCTRLKKLVLNQTIGTPDLDISIPSVISMEISGAHVYCVTFKRLNAPSLVDVTLDFQMDKTELAQYEVPPQDVQNESQLLQMLNYVEQLTLGPWFVQALSLLELKRQPSPLSNQRKCLTIAVDFEDWYLYGVASLLNSSPNLEKLVIRVTSQSHGHNYKFDGEQNETLDNVGENFWEADERSFSFECLLTSLKTVEIVGLQPLHRMDEFFIAYEFVEFILGSARVLERIVLVTEKSSNSEEADGSLVEAQSLLSSLPRSSPHAVVSFA >OMO76513 pep supercontig:CCACVL1_1.0:contig10891:21722:21880:-1 gene:CCACVL1_15612 transcript:OMO76513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLRALELSESRHVWVCWNSGCYSVLKVRRKDRVLTFAVLHLESKTGGLQI >OMO76519 pep supercontig:CCACVL1_1.0:contig10891:45176:45475:1 gene:CCACVL1_15618 transcript:OMO76519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYMDFMGRLEWVNSYGKKHCRSLFWRVKAALKKAVKNSKSKQQFKFQYDPSSYALNFDDGCCHSTVEENTIEIARFQDYSQCNKKNIMLVYVLWVKS >OMO76511 pep supercontig:CCACVL1_1.0:contig10891:13315:19238:-1 gene:CCACVL1_15610 transcript:OMO76511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLQAQISALPNALILQILSLLPLEEAIRTGILSKRWKGLWTSVPNLIFTHQGKSFQSFFQFVDKSLSLYSSDKVVRFSLRFKYLDAMNEISRAQLDSIVTSWLQFASTHKVEELYVDLEPDDESLDYFTMPRCLYDNPSLRKLYSNFCVYDEPKGQVSWGLLKVLTISTASIADKYVLRVNTHFHDKRSVLEKILQGCPIIEYLEFCNCREFEGVDASSCTRLKKFVLDQTMGIPALDISIPSVISMEILGGYVFCVTFNSLNAPSLVDVTLDYPMDSAELRDNFEVALQDVVYEPLLEKLNHVEQLTLGPWFIQLDEEELETLQNVEENFWEAEERSFECLLTCLKTVEIVGLKPLRRMDGVVYGFVKFILGSARVLERMVLVTEKCSNSEETEKVLVSNSSPFINAQLDDRGKRSSNSGSQCRIYRKGQKRKEKMKKKATTRSKGKDKDPKQKRLKTIKKGKDGISALPDSILHRILSLLPMEEAVRTGVLSKEWKFLWTCVTNLNISDSRLAKNTIDSGDYLKRFFNSMKRTLLLCSSEKIERFSLRFDRAYAPEVLEKSELDVNVTWCLRFATIRNVEDLELLLPMPKEEDEEYEEGAMAMEDEEASGYVMPKFLYQNSSLTKLSSKYCDYKVKVAPVSWTSLKVLTISKSRFKEGMLQKILSGSPLLECLELHGCHLRPTKLSNFHQIDTSSNTRLKRIVIDELTGGLKLSIPNVNSLEILGSGDELELAYFKLLEVSSSLVEVIIDFGLDIYFEDESESELELLLDMVFDLLGKVNHVNQLTVGSFCVQVLSLLEFKGQPSRLSILECKCLTIGIEFMKRDLYGAASLLSFSPNLEKLVIKMTEYPWFHSEELKELDEEENENFWKVKERFFECLLCLKTVEIGLSDSNPVRSWMDLKTGLFEFVEFILGSARVLERMVFIPEKGSKFKADDLYGVLKFPRLSPNAVILLPKAK >OMO76510 pep supercontig:CCACVL1_1.0:contig10891:2730:12424:-1 gene:CCACVL1_15609 transcript:OMO76510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDLDLMEEDEEEGNSNDSNSISEEAEEGENEEECNGDLSSKGEDEDSKQKRQKPMGEDRISALPDSIIHHFLSLLPMKDVLKTGVLSKRWKDIWTSVPTIDISYTGECECFKRFFQLINRTLILYSSEKIKKFSLSFDYSHATEDMGEPELDVNIASLLRFATIRNVEELNLLLRVEFDDEGDYRYVMPHFLYQNSSLTKLHSSFCYYEPKASVSWTSLKVLTISVTKFEKGILQKLLLGSPLLECLELLYCVFLEHDKIDTSSNTGLKRLVIMGMVGELTMSILNVSSLEISGLSEYDHYDDLTYFELLNVSSSLVEVTLDFGLDYCYNGEQFSQEVQDMVFHLLEKVLSLLELKGLPSPLSIHKRKCLTLATDFEERDLYGVASLLSISPNLEKLVIKLTDYHFFHSKELDKLDDEKKENFWKAKERFFECLLCLKTVEIVGLQSPCNGLRYWINLHSGLFEFVEFILGSARVLERIVLVPERGSKLEAVAAINSLYTSLGSPPLVGWIAVGGDPCVEQWQGVSCVFSNITELKLNGMNLGGVLDEGIGGFVSIMLMDLSHNQIGGSIPSNLPLTLRNLYLSGNQFNGSIPPTLSTLTQLTELFLDDNHLSEAIPDSFQGLKGLLNLDLSGNNLSGPLPPSFANLSSLTTLHLQNNQISGVLDVLQDLPLTDLNVENNILSGPIPAKLLNIPNFRKDGNPFNTTILPSPPAALPPSIAWAPSPFGGPRVPNGEPSTAELPQWAKARKFWTNQRVIWIAVAGLVAILLLGVLLLLVWRCCKGRQGNKNSDKPGVDAYEEGPKKLNQINKSSSQPTYQTDKVAKEAVEKPVDGYDLESEGTGISSKLQDEQVADVTRMPSRSRDQKTHGINTGGLTVKSFSLRPPLPPPLLPPEEVGVSPIHPAEINVGSRSSRGQNSRSTSVFTVASLQQYTDSFAEENFIGQGMLGSVYRGELPDGKLLAIKKLDTRASRWKSDAEFLEIVSDIAKLKHPNIVELVGYCNEHGQRLLVYEYCRNGTLNDLLHGDDGIKKKLSWSARVRVALGVARALQYLHEVCQPPIVHKNIKSVNILLDDKLTVHVSECGLAPFLSSSGSASEFSGSFFVSSGYAAPEIELGNYTCQSDVYSLGVLMLELLTGRKSFDRSRPLGEQFLVRWAIPQLHDIDALSRMVDRALNGSYPMKSLSRFADIISRCVQWEPGFRPPISEIVQDLVHMI >OMO76517 pep supercontig:CCACVL1_1.0:contig10891:39048:39158:1 gene:CCACVL1_15616 transcript:OMO76517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPEGGVGSMSGVRTQTQDSGMVARANEAWLSSWLT >OMO76518 pep supercontig:CCACVL1_1.0:contig10891:40024:41142:-1 gene:CCACVL1_15617 transcript:OMO76518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MGSLPPQATTPPPSSPDFNPSRFRVFAAFCPREAGPNPNIFNCIQCYNPSNNTWSHVSFIPDLLKNHVLKGFAMVSLGESIYIIGGRLWDKGKPQNSSESPDDAVDFGVEVSSLVLRYNVRLDQWSKCAPLGTPRYDFAYSVCDNKIYVAGGKSGLNSPRGISSAEVYDPALDEWTPLPSMSNLRYKCVGVTWQGKIYVVGGFAERGDSDPYFVTFSPQRCSAEVYDTRTERWDLVAGMWQLDVPPNQIVAMNDGSGFHISTCGGNYPPMERLYLTMAPIGTQLYFLAGYKTYGEGEPSRSLSMVYIFDTSAPVNAWKCLEPMEEDGEKELCSHCCVVQLSS >OMO76514 pep supercontig:CCACVL1_1.0:contig10891:25964:27648:-1 gene:CCACVL1_15613 transcript:OMO76514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESCNSNSPQTDNPRQRRRNLECFNEEDRLSSLPHSLIHHILSLMPIEDAVKTTFLSKKWAGLWTSVPNLIFTYQGQNFPHFFQFIDKTLSLYSSEKVQKFTLSFHYPNATTEENSNLKLDASIDSWLQFATTHKVEELHLDLEPSNVEPDEDAAPFLYQDGHSGFIMPRSIYEFPSLTKLFTRFCDFEDPEGQVSWGKLKVLTFCESEFLPDVLQKILSGCPLLETLELINCRYLDRIDTSSSTVFKRLVINEVQGLPAAEVTVPSVTSLEISGNLSFTTFQILNVPSLNEATVDFQLDSYDLEDMNPHVTFQEVVKQLLYKLKHVKHLTLGPWCIQVLSLLEIKGPPYFFTYQNCKQLTLVTSFNKRDLHGVANLLNRLPNLEKLVILLRRVENKNWFSKDDVYCLDYTQEGNFWAGKESCYECLLRRLKIVEIVGLNYYNQLSDFCGFILRTANMLEKLVIVPAKNPGLRLRTSNLYGMAHLQRVRRFSPHAFS >OMO79828 pep supercontig:CCACVL1_1.0:contig10372:26210:28532:1 gene:CCACVL1_13391 transcript:OMO79828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLRGKNQDSGRGPRKILVGSSSTAAVTAAAAEESEALNKTEYKRPRREKTPAEKAAHAATCRKSRLKKKTERQELEAQLKKIRAENQRLNEENGQMKHKLQCMEPELEALREAYEQQKQEMERMKQSPSEWNFKERSFRDAEELEAWVLSQTYKENRGIRDENQTMKMDQEMETAVPERRKALQQKGKEMMLEYKKMKETNKEIMKVNTELQREKEELNSKAQKQESQILDQESQILNLKSIIRNQESQIKELEKRLQAWVLSGTPGNSSPVSTPGVLNDAVVDQCPGNPFPVSTTGCLDDADQLIPNHLILSQRDWDFVDQLN >OMO79827 pep supercontig:CCACVL1_1.0:contig10372:23641:25398:1 gene:CCACVL1_13390 transcript:OMO79827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDAQFKKMEPEFKKMQGENAKVINMNTQLQRENEELKSMVPVLESKIDELNDTLNRKETSFRTFEEVQAWLLSSSPSHNEDVANHIVHKTQGDHKTYSDELVLDFTKKLDANEKSLVEYSDFKGLMEEVGRTRMGSLPSSLAPIYLCIKNKYGEIETESKQSRCTAMPNRILLCAAIKEMNELRLEDIDEKKMLLWRDAINSALNINFKVEFAMKHLKKIARAYFGLKASYDQGCYQDQIKSLEQMMSTLRIELLELESKHAEKVKEQNSTVRKECLLDAEYFLGKTLTTGLFDY >OMO79823 pep supercontig:CCACVL1_1.0:contig10372:553:2622:-1 gene:CCACVL1_13385 transcript:OMO79823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MLETVKYLIGSRGASGFGSKSTAEQVTETSPDLRSITAIITGATSGIGAETARVLAKRGARLVLPARNLKAAEEAKARIVSEFPGSEIVVMALDLSSLSSVRQFVAEFESLNLPLNLLINNAGKFAHEHAISEDGIEMTFATNYLGHFLLTKLLLNNMIKTAKETGVQGRIVNVSSMVHGWFSGDMIRYLGQISRSKRSYDATRAYALSKLANVLHTKELAQRLKQVDANVTVNCVHPGIVRTRLTREREGLITDLVFFLASKLLKTIPQAAATTCYVATHPRLVNVSGKYFADCNEAWTSKLGSNSNEASRLWAASEIMVSTDPKAVYDPLNAFDYDM >OMO79824 pep supercontig:CCACVL1_1.0:contig10372:9515:12957:-1 gene:CCACVL1_13386 transcript:OMO79824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 37 MPIMASLHAQPNREKQFLFLVYVLFLLSLSLTPMSATNSHLSCGGKDSGPVVHTTPLVRFLERVQDTALQTYGHSGFDPKTYVDLSLKFNLSVTEEAFDKLPKTANGSISVEDLNGFIKKYFKPAGEDLVYAEPVDFVAEPHGFLPKVENPKVRAWALEVHALWKNLSRKVSDSVHQHPELHTLLPLPGPVIIPGSRFREVYYWDSYWVIRGLLASKMYETAKSIMSNLIYLLDQYGHVLNGARAYYTNRSQPPLLSAMVYEIYNRTGDVELVRKALPPLLKEYQFWNSEIHKVNISDAEKRNHSFARYYAKWNKPRPESSTTDREFASKLSNDSERQQFYRDSASAAESGWDFSTRWMRNSSEFTSMATTKILPVDLNVYILRMELDIAFLAKVVGENTIAEEFLKASQTGHKAFNSVFWNEGMGQWLDYWVNNGAACKESHTWKAQNQNHHVFASNFIPLWIDLFNSDTPLVEKVTGSLKSSGLVCAAGIATSLTNSGQQWDYPNGWAPLQHMIVEGLSRSKSTEARAVAKEIAERWIRTNYVSYKKTGAMHEKYDVEKCGEYGSGGEYIPQTGFGWSNGVVLAFLEEFGWPKDLNIDCN >OMO79830 pep supercontig:CCACVL1_1.0:contig10372:38970:45470:1 gene:CCACVL1_13394 transcript:OMO79830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPARSRDGERHTRHDAAIRSQNPTGKKTTREKKDEHNKRCRESRKRKREEIDKMAKENREIRDENQKVKMELQEMEAAVPELRKALQQKGQNFSSVDELATWFTFQNYGPVGSNQAKLSACAGVAGSSVENNHLNSPNPNNNLFSGGPAAVNLGVAASAPNPGHSTVPYLDLLFGAADGNDNAFLDYDFPLEEIPASKTIALAISSSPPQADSDRPAFCRLTFLVVGANELFCYENTQPWKNIGRLLTVFLARDRTIILHLV >OMO79829 pep supercontig:CCACVL1_1.0:contig10372:30871:32798:1 gene:CCACVL1_13392 transcript:OMO79829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASGTTSRTVTEADLAAPPQLQTKRRGRKSKLDPALRKLIKNRRDRERRAKEKVELQRLKNVEIKYNEMMPEFEKMKEFKGKIKEIENVNTGLRRENEELKSKARNQETEIQNLKSQIQNLKSQIKELHEKLESWLQSSPVHIAANYDNFDFSKILSPPSHCENAANTQGPKSSQGEKTYSDELVQDFTRKLDANEKSQVKISDFKGLMEEVARMQYWDTLPPSLLTINMIIKKKYGEIDAESKHCSWVAMATRTLLCAAIKEMNELRLEDIDEEKMLLWRDAINNALNMNFKVEFAMNHLKKIARAYFGLKASNDRSDQDQIKSLEQMMSTLNIELLELKSKHAEKVKEQNSTVRKECLLDAEYFQGKTLATGLFD >OMO79825 pep supercontig:CCACVL1_1.0:contig10372:14945:18395:1 gene:CCACVL1_13387 transcript:OMO79825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKRQARVQAPKSKILKGKRARFYIIRRCVFMLLCWRDHGDENHRAGCRERGKMTGRLVTKEEHSPKKETIRAKDIDKQRGFHTRNPTNGSVIPDRAFYNRGLPFPSNDPASPGSSTVRAPFPPSAMLPRRRLTTLRARGVFARRSLASSAILPAGCMGGLSLAAAFC >OMO79826 pep supercontig:CCACVL1_1.0:contig10372:22138:22341:-1 gene:CCACVL1_13388 transcript:OMO79826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSDNNSLSVISRQKLEEVANWVSVAVASAFFSSLERCSCVNLSTTDPDDDDDPEEAKDRPLNFSN >OMO68562 pep supercontig:CCACVL1_1.0:contig12208:27765:33314:1 gene:CCACVL1_19904 transcript:OMO68562 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MCKPWRNAIIVKLLGKILGFKALSTRVKLLWQIEGGFKIIDLGHDYFLFKFQKKEDYRHVLDGGPWIIGGHYLTVWQWCPNFQPDSDVINTIIAWVRLPQLPMEYYSAMAIRRITSRVGRVIRLDRTTETVERGGFTRVCVQLDLSKPLKAVVNIGSMVQKVEYEGLHLICFRCGQFGHRRDACPLNVSDAPAAATSTDNGVLSQTPSQVKDPPDAGLGPWMIVQRKGRRPMKETPAKDRGKADGEGSDSRNRSNIVIDAGKDLRNRYNPLNVVLFDSWDKAKELLSTAVNTSSKGVKAFTRKEWIPKKEGGIKIVSKSALKKGHKDKKKVDEGVLFSQSSLDKPWASTSFEPPVELLRLRVISAAIVSKQIEAAGHPDGEGVKSLTSDPLSLPQQHPPLTSNLVLHNGDLDMVVDKMDNLSKEDSVGVCEPLCAAMQGAANPDFRRNMLELIHSHHVDLLIIVEPRVSGVKADRIISRLRFDGFTKVDAVGFSGGIWVLWKSSIGLMNVVEQSDEKFGGRPEPVRRMEQFREVVDRRQLIDLDASGCKFTWSNKQPPGYLIKKKLDRALCNVHWRHSFSDAIVRNLPRAHSDHCPVAISVYGLLSRNPLTRPFRFEAAWQSHDSFPLVLSDAWNSAESLNHSLSHLREALGIWNKNTFGNIHRRKRLLMARLGGVQRALETRPNPFLYRLEKELSDEFNLVLSQEEMLWFQKSHSNWMVLSHFKSVYQAERLVVDFDNLCPHIRMPLNDEHVLLLSHIPHDDEIYGALKSMAPYKSPGPDRFQAAFYQANWEVVRESVCSFVRNAFITGNFEEELSRVLVVLIPKVESPKKVTQFRPISLCNVTVKLLSKLLVTRLRPMLRDLISPMQSSFIPGRGTTDDIVVLQEVIHTLSRRKGRVGGMVMKVDLEKAYDRISWDFLRWVLMDLDLPSTLINLIMFCITSTRMNILWNGELTKYFQPSRGLRQGDPLSPYLFVLCLQKLSDMIDSAVDSGSWKTIKMTRRGPSLSHIFFADDLKVNFTKSLVYFSPNVSPQLVSSVVDQTCMSSCDDLGRYLGVPLLHRRVSRHTYDHLVDRVKKRLASWKANSLSLAGRATLVQSVTSSMPVYTMQTSKLPSSVTNQIDSLNRDFLWGSTDDKKKVPLVKWDSVCQSKSSGGLGFRKLGMMNQALLAKMGWKLENEDPGETSMDIGWKKIWRLDVPPRVKHFLWLVRHGRLLTKLACFRRHITSIATCSRCGVADESVLHVLRDCGTSQSIWSRWLHGARSTVFIGWSKPPTGFVKVNVDAAARTNPGELAAGGICRNPEGAWIFGFTCKLGWGHILKAELYAIFHGMRAVWDAGYRLVIVESDSLVAVNKIMQPPMSQDPMFQVIHRCRELLQRDWQCFLVHTYREANMCADFLVSWAYQGSFDVTILDHPPGVLHHFIEEDIWGMSRPRAIVS >OMO68559 pep supercontig:CCACVL1_1.0:contig12208:12489:13583:1 gene:CCACVL1_19901 transcript:OMO68559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPFSIILLVLFTVISTVNSCPPSDRAALLAFKAALHEPYLGIFNSWTGTDCCHNWYGISCDAESKRVADINLRGESEDPIFERAGRSGYMTGYISSEICKLPRLSSITIADWKGISGEIPKCISSLPFLRILDLIGNKLTGEIPADIGKLQRLTVLNVADNQISGRIPASLTNLSDLMHLDLRNNKISGPIPKTFGRLRMLSRALLSGNSISGPIPASVCRIYRMADLDLSLNKISGEIPPCLGKMAVLATLNLDCNKITGGLPSTLLNSAVGNLNLSRNALQGKIPDVFGPRSYFMVLDLSHNFFKGPVPKTLSSASYIGHLDLSFNHLCGRIPAGAPFDHLEASSFMYNDCLCGKPLRSC >OMO68560 pep supercontig:CCACVL1_1.0:contig12208:13964:22043:-1 gene:CCACVL1_19902 transcript:OMO68560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIRGQRECSWSNSDSSSRHIDKEWRLRGRATVLGREGNNYLIELTEDIDRNYVVQESPWLLDGGMLVVEPWRPNTALRDVEVRHTNMWVQLWGMPLEYFQEEIAHGWEPDPNMQTVGAGNENFGQQTDQQHQQQQGGEQLQNLEGTNINLPPLPEILLNLPQVEYEENRVTIETFHQSEGSQQEEPQLLPEEVNHEISPPSLDITMGPTQRTGETLTEIQSQEAHINQGKGLAETMFEIWQEQQSKIDEMFNEMNKQGGRYLDDLLQRVYGSPYTQPADNTPRTLDVEKGVIAR >OMO68561 pep supercontig:CCACVL1_1.0:contig12208:24746:25837:1 gene:CCACVL1_19903 transcript:OMO68561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSFSIISVLLFAVISTVNSCPPSDRAALLAFKAALHEPYLGIFKSWTGTDCCHNWYGISCDTESKRVADINLRGESKDLFERAGRSGYMTGYISSEICKLTRLSSITIADWKGITGQIPKCIASLPFLRILDLIGNKITGEIPTDIGKLQRLTVLNVADNQISGRIPASLTNLSNLMHLDLRNNKISGPIPKTFGRLRMLSRALLSGNSISGPIPASVCQIYRLADLDLSLNKIYGTIPPCLGKMAVLTTLNLDCNKITGGLPSTLLKSAVGNLNLSRNALQGKIPDVFGPRSYFMVLDLSHNFFKGPVPKTLSLASYVGHLDLSFNHLCGRIPGGAPFDHLEASSFMYNDCLCGKPLRAC >OMO68557 pep supercontig:CCACVL1_1.0:contig12208:2039:4189:1 gene:CCACVL1_19899 transcript:OMO68557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSISTSEAILEPHQDVEFESHEAAYSYYKDYAKAVGFGTAKLSSRRSRVSKEFIDAKFTCIRYGNKQQSDDAINPRPSPKIGCKASMHVKRRQDGKWYVYSFVKDHNHELLPDQAHFFRSHRNIDPLKNDVGRRRKNLAAVSKLFSAYQNVDFLEGYMRNQHDKGRSLALEEGDAQVLLELFMHMQEENPKFYYAVDLNEEHRLRNVFWVDAKGMEDFSNFGDVVSFDTTYFTNKYKIPLVLFIGVNHHIQPTLLGCALIADETVYTFLWLMQTWFIAMGERAPQVLLTDQNNALKAAVAAVFPNTCHCFCLWHVLEKLPRHLEYLSLWQDSLMQKFDKCIYRSWTEEQFEKRWWKMVDKFHLREMQWIQSLYEDRKQWIPAFMKDISFAGLSTALRSDSLSSSFDKYVHAETSLRDFIEQYKAILEDRYEEEAKADFNAWHETPELKSPSPFEKQMSHVYTHEIFKKFQVEVLGAAACHLKKENEDQVSTIYSVKDFEDNQNYMVEWNESKSDIYCSCHSFEYKGYLCRHAIVVLQMSGVFSIPSKYILQRWTNAALSRRPISNKLDEVQSKVRRYTDLCRRAIILSEEGSLSQESYNLALSSIKEALKQCASVNNSIENDVRPNTLVTHAISGVEGHDQCVNAPEDKAPDPRMTNTTKTSQSVEAALERQLNENNATRRGKVSGTLLICMILDDNILIYKGIGKENHQYLLL >OMO68558 pep supercontig:CCACVL1_1.0:contig12208:7187:7252:1 gene:CCACVL1_19900 transcript:OMO68558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCAAHMQMLRRRRPQQSGPT >OMO98301 pep supercontig:CCACVL1_1.0:contig07128:206:4217:-1 gene:CCACVL1_04257 transcript:OMO98301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MNSSNSTTLDSSNPYFLHHSDNPVTPLVSTISTGDNYSSWNQPMMTALSAKNKFAFVNSTLQQPKSSSSLSPLWARCNDMVKSWLLNSVSKEIASSIIFETSAATMWTDLKESVNRVYSLLLQEEKQRQVSAAPDMNSDKVAFAIAHSSSNRTQNFHSGSSKFLSKNRPTCEHCKMVGHTKNKCYILYGYPHGHRLHRGTKSKIDQGGQISKENTSSMASLTSEQIQQLLSLLPNNQSSKANFVGKVSLSPNFPVWIVDTGYRLYDLSNQEYLVSRDVVFQENIFPFQQPRTPPTPSQVLPLPIPDNHSFNSLPSTPIESLNETPIISNDSSLNEISLSSNEDQPLARPQRNRRPPPYLQYYECSKVRRQPSQSSSTTSGSDPNWKAAIDAELHALEANKTWSIVDLPPHKSPVGCKWVFKVKYKSDGSIERYKARLVAKGYTQQEGIDFHETFAPVAKMTTVRCLLAIASAKNWPLYQLDVQNAFLHGDLDEEVYMSLPPGATSKGENSVCKLHKSLHGLKQASRQWFAKFSTALLTYGFVQSRSDYSLFIKSSKTDFFAILVYVDDIVITGNNSKLIDSVKNALQRQFSIKDLGSLKYFLGLEVAHSKQGIYLSQRKYTLELLSETGLAGARPLYVPMEQNIKLYAHEGELVKDPSPYRRLIGKLIYLSITIPDIMYFVHVLSQFMNQPRHPHFDAALRLVRYLKSSPGQGILLSSLSDFKLRAFSDSDWASCPDTRRSLTGFCILLGSSPISWKTKKQQTVSCSSAESEYRAMVFTCREIVLLQSLLHDFGISQCTPASLHCDNKAALHIAANPVFS >OMO52288 pep supercontig:CCACVL1_1.0:contig15551:29577:29884:1 gene:CCACVL1_29282 transcript:OMO52288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGAAKIMLRCVLEGSLAIYEIEMDRRPYHPLGDFPSQVEKASMGL >OMO52285 pep supercontig:CCACVL1_1.0:contig15551:1945:3373:1 gene:CCACVL1_29279 transcript:OMO52285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWAAEMTLGCVYEGSIVMEDSLIERRPYHRNCGCALHKLKGPRAMPFISALPMATGLSL >OMO52286 pep supercontig:CCACVL1_1.0:contig15551:17899:18006:-1 gene:CCACVL1_29280 transcript:OMO52286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHSKMRLRGTVERATHECCRVGRANNSNALGSK >OMO52287 pep supercontig:CCACVL1_1.0:contig15551:26853:28523:1 gene:CCACVL1_29281 transcript:OMO52287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGAAEMMFRCVFEGSIVMQDTLIERRPYHRNCGCALHKLKGACSSTCFGTRNLSFPKKHKWNECSLSVSISASKFSSQSSLPVDDSSVCSQEDAIAVLCEHWVRS >OMO52289 pep supercontig:CCACVL1_1.0:contig15551:33681:40610:-1 gene:CCACVL1_29283 transcript:OMO52289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MSPTVFKTSSLLKSMLLISDGTALKIYHTGSTKITPSTHPLSLNDVLCVPQSKSNLLSVSKLTESNDVSVEFFSKNFLVKELHTKEPVAHGLNRGILYYFTNNNPPIIELKALAMLSTKTDLETWHHRLGHHTSSTLHSVLNSFSLPCSSNKHFSSSNVCSCNTSHRLPFSTSSVTGRGPLDVIYTDVWGPARITSDQGFKYYVAFVDLFTRYTWFYPMKFKYDVYLLFPKFKSLVENYFKTKIVTIFSDCGASPTTSTSATENATTMMPLINAELPQIPQAETLQQTESPQATNTGDLTLVCAGSSQTITETIPQLETVEPTSSTTAIKDPQWKQTMLEELEALNRNGTWDLVPAPTNCTIVGCKWVFRIKRNVDGSIARYKARLVAKRLVLCLAFTNGWPLQQLDVNNAFLQGDLQDEIYMKQPPVLYDKQHPTLVCKLKKAIYSLKQAPRAWYCALSNFLISFDFKKFVADTSLFIYKSGDIVAYMLVYVDDLILTGNNKEFLATFTQTLASKFSIKDLGSLHYFLGIAVTNTASGLFLSQSKYIADLLEKASMTGAKECSTPLSTSNALTLNDGTASVDDTEFRKLIGSLQYLTLTKPDICYAKRNQAYTDSDWAGNRDDRKSTSAYILYLGNNLISWCSKKQKIVARSSTEAEYRAIASSVSELTWIESLLHELHATLPEAPLVLCDNLSATYTYVNPVFHTRMKSLCFYPKGNNKSGGDDHISLYLKIAETSTLPYGWEVLAEFEFFIFDQLRDTYLTIQEAEVRRFQKTKTEWGITRLLSLDIFKDISYGYLLDDCCVFGVEVVVIKHIGRIECFKLIKPLNNSFTREIKKFSTLRKEDY >OMO87280 pep supercontig:CCACVL1_1.0:contig09257:13401:16898:-1 gene:CCACVL1_09145 transcript:OMO87280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSGEVFVFSHPSLDTLSPFLSFLPGSETAFSDNDESTTLFVFFFSV >OMO87282 pep supercontig:CCACVL1_1.0:contig09257:32099:32431:1 gene:CCACVL1_09147 transcript:OMO87282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNSTPRRRCYRQRDVETEELPDCSHIVSSDSIGGGHNHKEENNGLQQSGFSTPKGKKFRIPEMLSCPGAPMKPRVGPKLMSRRSSAITFFSSPEIELFFFLAFHHVSA >OMO87281 pep supercontig:CCACVL1_1.0:contig09257:28291:30597:1 gene:CCACVL1_09146 transcript:OMO87281 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MLEQERFCHGSTLLLCISLGCLLFGVVVSDIPLGSKLSVEEKNSWVSSTGDFAIGFYNRPDQPNQFRVGIRFNSKLIPDDRHEVVWVPGGDVSVSNNSYFQLQKNGELVLFDALQGQVLWTSKTSQLSVASAFLRDDGNLVLLNEKKDLVWQSFDYPTDTLLPGQRLSASSTLRAASKDDISSLYSLYMNVSGQLQLRWESSIIYWGSGRLSHSNLSAVLTSAGSLQLVSPNLGTIWSVFGEDHNDTVSFRFLRLDVDGNLRLYSWKEESQTWKSVWKAVEDQCKVFATCHQRGVCVYNASGSPVCSCPFHQSAQANSNCLVSSNHDCKSGSVMVEHNHMFLYGLYPVNDSISLTSLDQCKKMCLTDSSCTAITFTNDGSATCSMIRTQYVTGYSDPSLSSTSFVKSCSDPVAVNPIIVPSKSPPQANTESYKICVPCLVGAASATFVVFVLIQLGIGFYLYKKRRNSSYRRLASLAYSSPGSKYLIMLSFTEIKDLTGNFNLQIGPKMFKGALANNQPVVVKELEATIEARKLRAAVSKIGCIYHKGLVKLEGYCCELDHRYLVYEYAKNGSVDKYIEDSNLAETLTWRKRMEICLSVGRAIFYLHTECREFLCHGNLKCENVVLDEDFEAKVNEFGLGMLYGEASSHRASAEKDVEDFGKIVLTLVSGLREVNDVLDWAYKEWMDGHPENVVDKRLKSEVDAEELERALRIAFWCLQTDERVKPSMGEVVKVLEGTLPVDPPPPPFTCWRSPREEDESSELGSEVV >OMO87279 pep supercontig:CCACVL1_1.0:contig09257:12189:12293:1 gene:CCACVL1_09144 transcript:OMO87279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMQVMKNLPSAVLFVAALLPERVVRFYKVEGK >OMO87283 pep supercontig:CCACVL1_1.0:contig09257:37556:38793:1 gene:CCACVL1_09148 transcript:OMO87283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCFNVKVWPPELFAMAADLSDVAYWVQIHNLPREVFSTANVRKIGPKIGESLEIEELMGQSGRNQRFPLMMILFRNVLLEAETPIIVRGRTGVFFGIVVCFTWRRYLLLRMEAGNMEKSDDAMSGLPTLVEQNTVKVLVEIIDGSHKWALPPIRWGKMNINSGFDRVEDSAVTSGILIIVIIIKLLLLPSGLLAPLCSWKFHLFQREAIVAIVKMEMCPAMGWDSHPPSPSCWIVDLQPLLFPCVFFASLETLCIFEFHFYDSLSDAMVFSYPCYLFLAFLSLLFVLNFLFPVAVLCQMYWFLIP >OMO87284 pep supercontig:CCACVL1_1.0:contig09257:40497:46339:1 gene:CCACVL1_09149 transcript:OMO87284 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MENSPRKSTINQDIINRTRKRFKRRRHPNDSEQEIVDQEADFIEGTGAPSFANMVKGVNKSKAGDWEDWANVDPTNVMSFGDADSDTETMGEGDDDCITFSKEEKEAMRKPWRNALIVKLLGKVLGFSALTSRINQLWKLEGDYKVTDLEHDYFIIRFQKKVDYEHVLEGGPWIIGGHYLTVRQWRPNFMPSSDKIEKLIIWIRFPNIPVEYFNMIALKKMGGKVGRVIKIDQVTDEGTRGKFARVCVELDLSKPLKPRLTVEGRDVLIEYEGLSLICFDCGIFGHRKSDCPLQKPSSSEKEGEPQPSMVPETQEEPKDQTYGPWMMVQRRKRNPGKPGAAQGVIHGGKSVIRDFRNGFDYHKGVTADNLNVKKSANFKGVMEKNKGDAPVIPSAAKPIYKGKKVAKDVAQMGAKPNFNLGQSVFNLQDKGFVFSAEASSSGPKVGPSKPKAHTKPIHLVNNAQQKGRCYVVKGTMAENSAGKEKLRFASGMDKEQYLQPTDLGSRDTRDTSSPSPTLSDPGIVARQSSEISSSIEVQCDGSNSDKPVMVNGIGVTDVSMQDDAEGMAGGIALVWNSNSCQLDIIGSTTQCIHVSCDMNKVSQVVSFVYVRPTCQWKDQFWAEMIEFSQSISSPWNVVGDFNDFAAFDERWSIQTGSGDSFNKITKFRERWETCNLIDAGTSGCRFTWIRRVGGRVVLQEKLDRLLWNAVALTCNSNAKVLVLPCLCSDHHPLFVDFLGTHHKSKNRPIRFEAAWLVHSEFDDMFSLAWEKGEGSLPKAIDEVVKAVTVWKSEVFGNIHKKKRLLLARIRGIQCCNEYGISDFLQKLEVQLRGEYQQILLQEELLWFQKSRLNWVQQGERNTKFFHLTTMVRRHRNLISALKIDENRVTSPDLLCRHVLDFFSSLFGRQPSLNLMAVYDSFCLKISSDEKQALLSPLRIEEKQWETVKETVFNFVAMAFANQRVDLNVLHAHMVLIPKGNCPTTVKDFRPITLLNTSYKILSKVIVNRLRPILQRIIRPFRNSFLAGRSTTDNILITQEIVHSLMGRKGSKGAVIAKIDLQKAYDNVSWSFLREVLVFYDFPAGLINLIMYCVSNIDLAIIWNGEVLPAFHPQQGLRQGDPLSPYLFILVMERLSHMILERVEHKVWHPVKASRSGPMVSHLFFADDLMLFAEASEDQVNVITDVLDEFAKASGLRVSLEKSKLWFSPNVHQQKATVLSRLCGIPLAQELGTYLGVPIIHGKVSKATYQHVVDKVLRRLANWKGKVLSYAGRRTLIQSTLSSIPIYTMQTALLPASTAERLDQLNRNFLWGGDVDNSRGHLVNWDRVCRPKGNGGLGLRKARISNVALLSKFLDVETWGRASSTWRGLLRTKDCVQRGSRWLIGDGKSISLWYDWWVGDGPLIKEVPPSTQVPSLSCKVASILQDDGSCKCDDLSSLLSGSKIAEIQATPTPIQAKKADQCIWGWDKHGQFTAASAYKGLANHFVADYWSGKCLWIWKLEVQERLRFFLWLAFFNCLNSKEVLFRKGVVDNKTCSLCEIGEETLDHILRYCPFVDGLWSCVYRRFGVHVTFDASLPDWLYQNATSNHKVRSKSCLGFIIYIYLMECLEARNRLLFDGHRPCVESILNYAVKLAVECAAANGSLAPTPSNAGRMIVWRTPPDGVLLLNTDGSSRLVDGHASAGGLIKDSNGLWVAGFLLNIGITGSLEAELWGIRQGDWIVDIRHICRESNRCANRLADLAHTSAQGVSFYEDPPLQIQHLLNEDREGIGVLRS >OMO82555 pep supercontig:CCACVL1_1.0:contig10022:31251:37520:1 gene:CCACVL1_11907 transcript:OMO82555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex protein Exo70 MGVPRAMEALRGRAEVIRESLQKSQNITENMVSILGSFDHRLSALETAMRPTQIRTHSIRRAHENIDKTLKAAETILGQFDLIRKAEAKILRGPHEDLESYLEAIDMLRSNLQFFSENKSFKSTDSVVNHTNNVLAKAISKLEDEFRNLLELHSKPVEPDRLFDGLPNNLRPSATSPGRGDPGSKSHAENQKNIENAVYTPPTLIQPRVLPLLHALAKQMVLAGHQQQLFRIYRDTRASVLEQSLKKLGVERLSKDDIQKMQWEVLEAKIGNWIHYMRIAVKLLFAGEKKICDQILDGIDSLRDQCFSEVTANSVAVLLSFGEAIAKSKRSPEKLFVILDMYEIMRELQSEIEYLFGTKCCLEMRESAQSLTKRLAQTAQETFVDFEEEVEKDATKTAVVDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFDDSDPDEQLTTITTRIMQALQNNLDGKSKQYKDPALTQLFLMNNIHYIVRSVRRSEAKDLLGDDWILQCLTVQGNTGGGGGLLGGDSGGSGLSRAMVKDRFKSFNVQFEEIHLRQSQWTIPDSELRESLRLAVAEVLLPAFRSFLKRFGPMIENGKNPGKYIRFRPEDLERMLSEFFEGKLMASEEPEEYSSILQLVLTVLGTVTAMIILIVGITMASKYGPQPQHQPLIIKIHAFSVSGLNVSNLLMATNWDITLLFANQNSELEVAIDRFRSLLYYNYSSNYDPISCAVTKAIHLGPKKQRVVQMKFNSTQCGEEQPFLDEKVLEGIKEDEIKGEMSFGIGMKLMVSYRTGILGWDYELKPDCPKVHIGIESGTGNGVVIFDQPKVCLVPLRD >OMO82551 pep supercontig:CCACVL1_1.0:contig10022:15955:18255:1 gene:CCACVL1_11903 transcript:OMO82551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MQPKINSFFKPPPPPPSSSPVQSSNSPPVLSDGENDDLAIWEKTQHTFVNTYTRRSQIHGNDAEKESSDKRLEKPIPENESSREESGLRGKNLGKKRSYAQFHLELGQSDFLLRTCLICGLKYSPGDEEDEKGHSKFHKNFTLGIKFKGWKNERVVHIPEVEGSRIIMVSDADPVTHRNKVREVLNMMEIELGEGWIYNKLCKVYLFVCSQRIVGCLVAEPINEAFKVLPCSVGERQAGAAAAKQTKSKSSKLQFGELVLQREVVKRGTSKKSSENHTGAVLCEKEPVSAACGIRAIWVTPSNRRIGIATQLLEAVRKSFCMSFVIEKSQLAFSNPSADGQALASNYIGTASFLVYK >OMO82558 pep supercontig:CCACVL1_1.0:contig10022:63532:64161:1 gene:CCACVL1_11910 transcript:OMO82558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MSAKDCGNHGKGRRKLFRRIIACLLVFLLITLITILLIWAILRPSKPRFILQDTTVYAFNASVPNFLTSNFQVTISSRNPNDKIGIYYDRLDVYASYRSQQITLRTAIPPTYQGHKEVNVWSPFIFGNMVPIAPEFSGSLNAEQNAGSVFLVIKIDGRVRWKVGTFISGKYHIYIRCPAYITFGSRSTGVLVGENAVKYQFVTRCSVNV >OMO82553 pep supercontig:CCACVL1_1.0:contig10022:21550:22224:1 gene:CCACVL1_11905 transcript:OMO82553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAAGGGGLVTFPVVFFDGECETDIGNIIIHPQMDFKAFQSVLSRKIGISPHQFSVYIVDSNNTRNRAPVTGRLNFSAVCREKSCFFLVVLKRTRRGWSRKVKNVEASPPVVPVRKEPPANATLLRRGGGGANNLMDASVFTGLDGFESRVRELQMEKQRYLMNLGMANSRIERESRNSACEECENAQVAGREVGFHWCVNDPVTSGFRSRAGPIARPGKGPG >OMO82552 pep supercontig:CCACVL1_1.0:contig10022:19137:21178:-1 gene:CCACVL1_11904 transcript:OMO82552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCQLMGNSMFYGTKRQNCPCKLSKFSGVPLFYLQKVPISTNIWAAASSSSVAVEYPPAGYRKNVGICLLHPSKKIFTASRIHIPNTWQMPQGGANKGEDLRKAAIRELREETGVTSAEFIAEAPYWLTYDFPSKSKTRLNRRWGRNYKGQAQKWFLFKFTGTEEEINLLGDGSEKPEFKEWSWRLPEQVVELAVDFKKPVYEQVLKVFSPYFSSEADEEHAAEYEANGVVVHK >OMO82559 pep supercontig:CCACVL1_1.0:contig10022:69369:70046:1 gene:CCACVL1_11911 transcript:OMO82559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MAEKQAHLNGAYYGPSVPPTTNYHRPGRGSGCGCGCCLLKCLFQIIATIVVVVGLAVLIFWLIFRPINPIKFHATDVSLTEFNLTNNTLQYNLALNITIRNPNKKIGIYYDRIEARAYYEGERFDSQTLTPFYQGHKTTSHLNPVFQGQHIVLLGNDAISEFNQEKNSGIFSIDVKLYLKIRFRLGKVKVGKFKPKVSCDLKVPLSSVNGSLAGVPETTKCDWDF >OMO82557 pep supercontig:CCACVL1_1.0:contig10022:53499:53924:1 gene:CCACVL1_11909 transcript:OMO82557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLLIFFSNSLSYSQVQATLINQFDDKHERELAISPATPSFHQRPGDIANFGFGFELANEFVGEKVAKGLADAWAHGYVDFEVRLKAKLKCRGLCFLASHENNINCGPLRFGLLSNNGTGLYVGIIYETRRGGGGTADCL >OMO82550 pep supercontig:CCACVL1_1.0:contig10022:5905:14377:1 gene:CCACVL1_11902 transcript:OMO82550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MVRSKASSKKQQQKKGIDFKKIKRKLGRKLPPPKNATNTEIKSKAIVLPEQSVATNKEGVAVSKKGLTLKELLQQTSHYNAKVRRDALMGIKDLAIKHPAELKLHRYAVIEKLRERISDDDKVVREGLYQLFKSEIFPGCAEDNQGPFISLVMTYIFNAMTNLAVDIRLMAFRFFDLVVQHYPPCFSLYAEKILQSYEGILRKSQFYLEDKGKLKSTLSGLVRCLSLLPIKKPECEEDVSGGRMIHAFEPDAPTKNAGFSVIVKKLKELVLVLINCFQDFIPLLNAMPQLDAQSFDCIQSILQSIDIAVRFFIYGDHEEASESKPFQGSWDQTLSSSLSKKLFGVFPLYPKHHLSVKEDDRYFILNAVITEIFLHLHEWISPSANVFEKFLEFLENALLGKACSSTRSGKATWEKHVPSLLPFIPKLISVVTTVWQSHLFEAFTQTFKDCNPESSLKLACLSTIEEMLISRGDMHCAEASDPVALDYQAIWIRELPLLLILLDNKRPSSSQVVLNLLLRLGRFACSNTSLLWEYENTQFALSEFFSTCQEGNINYGPFMRLPWDSQELSICCLYYFSNLSTLLLKSVASCCLCPELEPNVLFRIIEVLHAAYKAGHIQIADHISFFITLLSRFKVLPENICPVGESDVQISNYRTFKSLTSTVSSCLSQMGDCSVVFLIIEKAMLDLILLKPPLDNACAMLRVLIMLDSKPTRLSEQSIIALSSFIPGYLLDVVHHIPEDGDNEATVSNHVRTCRYYLLPCFFLFDRSNKLLKLVLNVINSSLAELAPQTSSQHATDSLSQMNAIVSLLLLMYRDVKVQKVMSLLRTEIDSIVQSIATLQSSEVNMTLEERHKFQCSFEQLKVVTTKDIFVKAEGIEINGKRHYKLLTVRAIYGNERGLIV >OMO82556 pep supercontig:CCACVL1_1.0:contig10022:38490:39443:1 gene:CCACVL1_11908 transcript:OMO82556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MSSQSENQQNKEQRDHQPNDHGHNQSSTLDANNHHPSPPPPAAPHHPPGPPPPPLGPSLPPNIQSPPHGFPPAMGYPPPMMYPHGGQYPPGYPPPPPHVYNRYPYGQPPPGTVYYYPATTPPNRAAGCARGCLAAMIIIVILICLSSLFTWAILRPQSPVFHVDNMSVTNWNTSTPFTATWNTNISIQNPNHKLRVYFDKIMVVILYDEENTLGDTWLNPFLMETKSNTSVNAVIAVNGSAQNAVPIWVSQEMGKDRNELGSVIFSLSFRIWATFKSGNWWTRSLIMKVSCDDLKVKFEYSSGTLEPGKRDNCAVYA >OMO82549 pep supercontig:CCACVL1_1.0:contig10022:2716:5257:-1 gene:CCACVL1_11901 transcript:OMO82549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med27 MLQQQLQQHQHQLQSAATAATPPLPAATSTPPPSTTGEAPPKQVALAMDRLGQAARLIADIRLGADRLLEALFVSSQPHQSSKPLHFFRQEDESMRQHLQDLRSVGRQLEESGVLNESLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLEDEQDGQGTDSASKKRRSLQTLPEDNQDILSDCKTLSDILTSLEKDTSNLKVSTYERLDWLKRASSLPASANDNTVEVPKHNFHSSSILRPEPENFVSMDKVAVIELFFPSIFRAVVSLHPAGSTDPDSVAFFSPDEGGSYIHARGSSVYHIFRHITEHAIVALQYFLGIRSETALHSLLHWICSYQTLFTKVCSKCGRLLAMDKQSALLLPPVYRPYRNFSPSKVTSTQPVSPTGDQNSYVPGAYHIGCFSDEV >OMO82554 pep supercontig:CCACVL1_1.0:contig10022:26619:28353:1 gene:CCACVL1_11906 transcript:OMO82554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIATRFAALSIPKHQQKLPKATDFERLKEPVRYRPMNGTGGFEMGQSLYGIRNGPLLAGAEPGYELPFLKPTQAQVESYVEARARARVLQRQQNRLVPNRVLPFQASGFNANKLGFGGGSVRESGGTGVFHPLVRANNSNSNATVFDSRKKQTGRHRQSQEIQLARQMNAAMKRVVVGKQEDCYYHLPPEMGLPRDWTY >OMP11993 pep supercontig:CCACVL1_1.0:contig00604:2881:3563:-1 gene:CCACVL1_00181 transcript:OMP11993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MSLTQSYKFHVGGKDGWVVTPSENYNHWAERNRFQVNDTLFFKYKKGSDSVLLVTREDYFSCNTTNPILSLKDGDSVFTFDRSGPFFFISGISDNCNKGQKLIVVVMAVRHKHSPSPSTSPATPPIPSPPSPPTTNPPVESPEPSDAPSDLDAPAPVPSQQQKSGSVGLVCSTWLVLGFSTWVSVVTLGSF >OMO52971 pep supercontig:CCACVL1_1.0:contig15382:8571:9999:1 gene:CCACVL1_28960 transcript:OMO52971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MKAEVFDIVAKYQAKADGKTDISTPLLNAWKEACASETASQVVIPKGSYYLTVAQLSGPCKAPIEVKLQGTVMAPADPHFFKDPNWVVFNNIDHFTLSGGGTFDGQGKTTWGKNDCAKNKYCKSLPINLRFNFVTNAMIRDITTKDSKQFNVNVLGCKNVTFEHLTVSNPEDSINTDGIHLGRSDGVTIQYSEIKTGDDCISVGDGMKNLHVNGVSCGPGHGISVGSLGKFQGEEPVEGIFIKNCTITGTQNGVRIKSWPASYPGTASDMHFEDIKMDNVGNAILIDQEYCPWNLCNLNMPSKIKLSKISFKNIKGTSSTKEAVKLVCSSSHPCDNVELANIDLTYKGPDGPATSRCTNVKPLLSGTMNPKACTAAPAPTAQ >OMO56344 pep supercontig:CCACVL1_1.0:contig14535:2475:6408:1 gene:CCACVL1_26616 transcript:OMO56344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MASEQHQVIQIKLDGPNYSYWSYLMRIFLIGKELWGYVDGTVTEPNSTSTEYAKLKKEWETYNARILSWINNAVEPSIGMHLAKFKTAKEVWDYLANLYVQSNFAKRYELEKVIRSEGQKDRSIQDFYNFMNGVWDQLDMMDPPELSSFPAYMKLREEQKLVQFLMALRNEFEQLRGSILHRSPLPTVHNVVSELIAEETRLKTQTPPVMNTQEVLIASSQVKPANLNSGARGNQRIAIDECGYCHEKGHWKKDCPKRNKSRGILPNPSQGFQQGKTASRTMLPRHNSAFTAATSGYEPKQTSFGGNVNHDDLESIISRQIQQIMGSCIRNDLANSSAMSAVDQGINRALSSGTSPSPWVLDSGASYHMTSDSSILENCLDLSQPLDIQTANGPLMQISKVGSVTTKSYPSGKFSIPNIFYAPQLSANLLSVGQLADLGCDIWFSDVFCVVQDRHTGKQIGIGRREGVFICHVSSSRLRYMSSVGLLGNVKYSDISDCKACKLAKFSALPFNKSTSVSNAAFDLVHSDVWGPSPVATKGGSLYYVLFVDDYSRYSWIFLLRHRSEFFQIYSKFVAMVKTQFSSKIKVFRSDSGGEYTSTQFQELLASEGTLFQSSCTDTPQQNGIVERKHRHIIETARSLLLSSSMPSSFWGEAVLTSVYVINRIPSSITSGISPFERLFKVQPNYSELRVFGCVCFVLLPKNERTKLTSRSAMCVFMGYGIEQKGYRCYDPIARRIRVSRNVAFFEHIPYYTVPESSSTLTKDELHALDPFSNSDLFASNDIESATLLNSENLQNSTENSTGDSSSTNMEGKEAIGCKWVYKVKTKSDGSIERYKARLVAKGYAQEFGVDYEETFAPVAKMTTVRTLISVAASREWPLFQMDVKNAFLNGDLHEEVYMQPPPGYSCPVKKVCRLRRALYGLKQAPRAWFEKFNDALKQIGFLQSNNDSALFHLSSKKGTILLLIYVDVAYSPRGYVLSQSKYANDVINRARLTDERTVDTPIELNVKLRPTDGTLLPDPKLYREIVGCLLYLTVTCPDIAHAIHIVSQFVSAPRSVHWSAMVRILRYLRGDANDRRSTTGFFYLSRRLFNILEE >OMO94393 pep supercontig:CCACVL1_1.0:contig07899:14805:20112:1 gene:CCACVL1_06027 transcript:OMO94393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGNGKKVTDHGSAKSSSSKKEAGSKLIASLQFKKRSKISHGRVRKPKCHVKKVGSALLKRKVSASVSKGNLTGNNVSSAKKAGNKLNLQKSNKKGCSKKLNSSKQHGNDAAVGSSEENGKKANGDLRTKKLTKKKKKKQKDKVEVDEASRLQRRTRYLLIKMKLEQNLIDAYSGEGWKGQSREKIKPEKELQRAEKQILDCKLGIRDAIRQLDSLSSVGSIEGSVIAPDGSVYHEHIFCAKCKLREAFPDNDIVLCDGTCNRAFHQKCLDPPLDTENIPPGEQGWFCKFCECKMEIIEAMNAHIGTHFSVDSHWQDIFKDEAAFPDGAIALLNPEEEWPSDDSEDDDYDPERTEKSCSISGAATDGDQSDDTDSSTSLSWSLDGEDFSESGRRENHSVDSAADSCETSDGEIISGRRRRREVDYRQLYDEMFGKDAPPYEQVSEDEDWGPSKRKRREKESDAASTLMTLYESETKFPNVETTEMRRQLPSNLKSKRTFFRIPPTAVERLRQVFAENELPSKVIRDNLSKELGLEPEKVNKWFKNARYLALKSRKVEKADQLQSSPRVSKESGVESPKSKDPDIVALEDMSKATLSPTSKILKKKVRKSPKSNSLHSSLKRSLRDQVSPAKSSKVSKDLSDDVILKKLLKVKKKRDKKRIIVAGGLQEFELEMERLCRAKVRLERMQQTLLRLESGKARKMNKKRLHEESVIYIPIAELKEKA >OMO94396 pep supercontig:CCACVL1_1.0:contig07899:36886:38550:1 gene:CCACVL1_06030 transcript:OMO94396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRETEKRTELSSAIEELSMLAKVDIPAGENLTTTHIPTKPFLHVCTLILQVLDKIGPTMAVLRQDIYQNIQRLEILCESDPAKYSNLIEVLKKEESEGNARKNSSCSKAFLWLTRSMDFMVALLQRLVKDPWQNMEQVVEESYNLTLKPWHGWISSAAFKVALKLVPDNKTFVSLLMTKDQSSGNLKEDMQTLISLLVPILEEHHSILKFYRLDRLKST >OMO94395 pep supercontig:CCACVL1_1.0:contig07899:24195:24715:1 gene:CCACVL1_06029 transcript:OMO94395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Macrophage migration inhibitory factor MPTLNLFTNVPVDAVTASDILKDATKAVAKIIGKPESYVMILINGGVPMAFAGTEAPAAYGELISIGGLGPSVNPKLSSTLADILQTKLSIDASRFYIKFYDAQKLLNYGLNAVV >OMO94397 pep supercontig:CCACVL1_1.0:contig07899:38907:41282:-1 gene:CCACVL1_06031 transcript:OMO94397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MGMADDAERQYVRFVHTIPEAGRRLLPSASKWNSIQVNLNNVVPQSSNGYESFPCHLSKSYDYKLLITDKSHYKRFLYISLTLALLILALGLLVHFLPRKNHHHGASKNLTLAVNQAITFFDAQKSGVYPTNSPVKFRGNSGLNDGRNSSHGGGDLVGGFYDSGNNIKFTFPTAYTITLLSWSVIEYHYKYADIGELDHIKDVIRWGSDYLLKVFVGSNKITDPAVGSAGNGTQNKVAVPNDMNCWQRPEDMSYKRPVSVCDARASDLAGEIAAALSAASIVFKDDKKYSEGLITAAEKLFQITDKEDQINKAATYTTMNACGGEARDFYNSSGYKDELVWGATWLFFATGNPTYLEYATTNFAAAANNETIADKGVFYWNNKITANAVLLSRLRFFRDPGFPYENALALSSNMTDQLICSYLSRKIFNTTPGGLILLRPDHGEPLQFAATASFLSKLYNDYLTILGKSGGICDNDGFSLEMLQSFSISQINYILGDNPRKMSYMVGFGKHFPTQVHHRSASIPWDGQFHDCDEGERWFQSQDQNPNLLLGALVAGPDQLDDFSDQRDKPWFTEPSIASNAGLVAALIAHHHPPASTGLNLGIDSMGLFQKIHLDT >OMO94394 pep supercontig:CCACVL1_1.0:contig07899:21078:23729:-1 gene:CCACVL1_06028 transcript:OMO94394 gene_biotype:protein_coding transcript_biotype:protein_coding description:CMP/dCMP deaminase, zinc-binding protein MESEGEGFSSENLAFMELAFHQAKLALESLEVPVGCVLVKDGKVIASGRNRTTETRNAARHAEMEAIDVLLEKWQRDGLSKSEVAENFSRCILYVTCEPCIMCAAALSILGIKEVYYGCANEKFGGCGSILSLHSSCSDPLISGEVSQRKGFKCTGGLMASEAVSLLRSFYEQGNPNAPKPHRPLVEKEAA >OMO94398 pep supercontig:CCACVL1_1.0:contig07899:42982:48967:1 gene:CCACVL1_06032 transcript:OMO94398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDPPREPTFCEIAATRGLASTPQHDNENSASL >OMO59405 pep supercontig:CCACVL1_1.0:contig13980:29496:30102:1 gene:CCACVL1_24848 transcript:OMO59405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWSSSLKLYFYDLHGNGAKVQVMEDARHSNMDEDEFSKFPIVCGKNEESLEKIESVLGSKPEPDEASLPFTMFDDIQILLRLGCQHLKMP >OMO59408 pep supercontig:CCACVL1_1.0:contig13980:54943:55122:-1 gene:CCACVL1_24851 transcript:OMO59408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKDSSYKNGKMLNLEPNVCCDARFGAKRFNCNKMRSNVFGTAQFGAFSNGAGQVNYTHR >OMO59404 pep supercontig:CCACVL1_1.0:contig13980:20720:28417:1 gene:CCACVL1_24847 transcript:OMO59404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVCNTLQREGKIRRSFGLNRLRRLCKGGELLEEYFQVAIT >OMO59403 pep supercontig:CCACVL1_1.0:contig13980:5370:8404:1 gene:CCACVL1_24846 transcript:OMO59403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRCLLQAVSAVLRKKTVYEVDVQIVLEEPKVWSDTNAADAFNQENNDYANIESSGKLTDNQENNDEFHFSIAESGGQEITRVQQTEYANTAPHKLQVDLQQVINSNWRFIACDESLKGGEKVCKDVVAELTKAMERRGLLLEILSLLSHKAVRSYSQQA >OMO59407 pep supercontig:CCACVL1_1.0:contig13980:49758:52358:1 gene:CCACVL1_24850 transcript:OMO59407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIPSPADASLADKAIISLMRHPYLLKILASDFTPQAASSVFLTDDRLNGNEGSNPCRCTPFSLLLAFLNPQLCYDLFLTA >OMO59406 pep supercontig:CCACVL1_1.0:contig13980:41034:41171:1 gene:CCACVL1_24849 transcript:OMO59406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFRANLDYIHRQSYSRNAISSSSTPLEISAPVAAMVVYAAGKR >OMP07183 pep supercontig:CCACVL1_1.0:contig04607:914:1012:1 gene:CCACVL1_01358 transcript:OMP07183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDMPYPPGVEAPVVEPVGEIGSPDEIESER >OMO83193 pep supercontig:CCACVL1_1.0:contig09923:9839:13816:1 gene:CCACVL1_11514 transcript:OMO83193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer, epsilon subunit MAVAAAVPDHLFNLRNNFYLGSYQAAINNSDLPHLSPDDAIERDCLVYRSYIALGSYQLVINEIDSNAATPLQAVKLLALYLSNPHDKESTISSLKEWLADPAIGNNAILRLIAGTIFMHEEDYNEALKHTNAGGTMELNALNVQIFIKMHRSDYAERQLRVMQQIDEDHTLTQLANAWLNLAVGGSKIQEAYLIFQDFSEKYPMTTLILNGKAVCCMHMGNFDEAETLLLEALNKDAKDPETLANLVVCSLHLGKSSSRYLSQLKLTHPEHILVKRSSAAEDSFERALQTVA >OMO83195 pep supercontig:CCACVL1_1.0:contig09923:14921:18878:-1 gene:CCACVL1_11516 transcript:OMO83195 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MLNFARGRTQPRSNRSMPFVGVDYPDPKRKGNFVGKILLAATLTALCIIMLKQSPTFSTPSRFSQHEEGVTHVLVTGGAGYIGSHAALRLLKESYRVTIVDNLSRGNMGAVKILQELFPEPGRLQFIYADLGDAKAVNKIFSENAFDAVIHFAAVAYVGESTLDPLKYYHNITSNTLVVLEAMAAHNVKTLIYSSTCATYGEPEKMPITEETPQVPINPYGKAKKMAEDMILDFSKNSDMAVMILRYFNVIGSDPEGRLGEAPRAELREHGRISGACFDAARGIIPGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALQKAKPGKVGIYNVGTGRGRSVKEFVEACKKATGVDIKVDYLPRRPGDYAEVYSDPTKINRELNWTAQFTDLQKSLQIAWRWQKAHRNGYGSS >OMO83198 pep supercontig:CCACVL1_1.0:contig09923:44067:45196:-1 gene:CCACVL1_11519 transcript:OMO83198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVGTLTVGINTAKQRHRYSSKAGGKVTSG >OMO83199 pep supercontig:CCACVL1_1.0:contig09923:48047:48202:-1 gene:CCACVL1_11520 transcript:OMO83199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTIETDSKRHGEAEKGKVYRHVAVDGQSGLSRLALNVKPRSKLISHVRLA >OMO83197 pep supercontig:CCACVL1_1.0:contig09923:38126:38815:1 gene:CCACVL1_11518 transcript:OMO83197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVVAAAAAAVCGGSSSSSSNANTSSISSAVSLSPPSPQPLLPLSRYESQKRRDWNTFGQYLKNHRPPLTLSRCSGAHVLEFLKYLDQFGKTKVHTQSCPFFGHPHPPAPCPCPLKQAWGSLDALIGRLRAAFEENGGQPEMNPFGARAVRLYLREVRDAQAKARGIAYEKKKRKKPPQQQQQQQQNEEIYGSGMNHFQQSNNEHLTVPIPTAAAAGASMIALSVLN >OMO83196 pep supercontig:CCACVL1_1.0:contig09923:31845:35974:1 gene:CCACVL1_11517 transcript:OMO83196 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein CHUP1, chloroplastic-like protein MREENPSENRAKGSKFADQNQAPKSHTTKTITNQSKPKSSWGSQIVKGFTADKKTKVQTITVPTKKLPSSNSDASNQKNPSLASHSRVKRSLITDLSCSVNPTQVHPQAYPTHRRQSSGSRDLFIELDHVRSLLQESKEREFKLQAEVNEWKTNAKVLDLERQLETRNSEVDDLSKRVGVLESEKISLCEQVATLNSILERNEESLEISKEPHSMRNLEMEVVELRRLNKELQLQKRSLACKVSSLESQLSSLAKANESDAVAKIKAEASMLRHTNENLSKQVEGLQMNRLNEVEELAYLRWVNSCLRDELKNSGSTMSSDKTLSPSQSKGEYVGSPNSVKSKNSEYGSVKRLNLIKKLRKWPISGQDFSSTECAEKLVDKDWVHLEEGRSPGRRHSISGSKCYMEELIPNTRRQSDGFMYRKENEKEVEPLSSEKYDTVQRMQLPPCLDVEKRALRIPNPPPRPSCSISKGIKEESSTQIPPPPPPPPPPAPPKFSVRSSTGAVQRAPQVVEFYHSLMKRDSRKDSSNGGTYDVPDVANVRSNMIGEIENRSSHLLAIKADVETQGEFVNSLIREVNNAVYQNIEDVVAFVKWLDDELCYLVDERAVLKHFDWPEKKADTLREAAFGYQDLKKLESEVLYYKDDIRMPCDIALKKMVALSEKMERTIYNLLRTRESLMRHCKEFQIPTDWMLDNGIISKVLEVVISNILKMFQFLQRTMFLQDFYIVKLGSVKLAKKYMRRVALELQLKATLEKDPSMDYMILQGVRFAFRIHQFAGGFDSETMHAFEELRNLANLLNKK >OMO83200 pep supercontig:CCACVL1_1.0:contig09923:48720:55538:1 gene:CCACVL1_11521 transcript:OMO83200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWFKKFQNNKNENSPRKKKEVENAKYANKPPIDGGGAAPSTATKQKAAAAKQYIENHYKAQMKNLQDRKERRWMLERKLADADVTAEEQMNMIKFLEKKETEYMRLQRHKMGVDDFELLTIIGRGAFGEVRLCKEKGTGNVYAMKKLQKSEMLRRGQVEHVKAERNLLAEVDSECIVKLYCSFQDDEYLYLVMEYLPGGDMMTLLMRKDTLTEDEARFYVGQTVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDSSSFPDLREDDQGVGRNIKPSVETGKHSNLPSTPRRTQQEQLLHWQKNRRTLAYSTVGTPDYIAPEVLLKRGYGMECDWWSLGAIMFEMLIGYPPFYSEEPLSTCRKIVNWRTHLKFPEEAKISAEAKDLIRKLLCNVEQRLGTRGAYEIKAHPWFKGIEWDRLYQMEAAFLPEVNNELDTQNFEKFEELGAQVQASSKSGPWRKMLSSKDANFVGYTYKNVEIVKEHHLPGIAELKKKSNAPKRPSVKQLFETPGSPDPPTKGSFLNLLPTQPEEPESPVAEHQSTRSTQYFRKPLRR >OMO83201 pep supercontig:CCACVL1_1.0:contig09923:62867:65490:-1 gene:CCACVL1_11522 transcript:OMO83201 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MENYQGDLNDIVRGGPMGCGGGGGGGGAGHQAALDVHVPSATSSTWQNQNQGFPCVDSDPLNFSSSALEDHRDDRVVINHNFGDPFSTMRDPLLHELNVAANSTTYFGSSPNSAIDNNNNNNNNNKIFEEELRSPAACNIFSRIQISPNSNKLAAVAMSPCDSSPPMMAAGAGGVGIGVSPRGGIKAPAALGSDMIINGGNSSKSCLIDNTGAAGSLQISSPRNLGIKRRKSQAKKVVCIPAPAAANSRSSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPSKTNNNASKTSPTSQQQNQNTLLNPKEEVKENIDEALSPTVGPSSTASASVKEEIEIEDIDHHKQDDAADQIFVEAAGGNNSNMAQQTYRPAMAEGSNSEDFFADLDEIETDHLNLLFPQGFSAEDHGEEQQKDQNKAMDPFSLFDWPSGDNNPSF >OMO83192 pep supercontig:CCACVL1_1.0:contig09923:8585:9451:-1 gene:CCACVL1_11513 transcript:OMO83192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSKSSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKNSSTNEEREDRRTLGLVLLRGEEVISMTVEGPPPPEESRSKAAAANAVPGPGMGRAAGRGVPTGPLVQAQPGLAGPVRGVGGPAPGMMQPQISRPPQLSAPPMSYPAPPGGPPVIRPPGQMPPGAYPGQPQPPQMRGPPPQVPPPAFGVRPPQQYPGPPPQFGQRPMVPPPGPMMRGPPAPPPPRPGMPAPPPPRPGMPPPPGAVPVYGPPRPGMPPPPNPQNQQQNQQQ >OMO83194 pep supercontig:CCACVL1_1.0:contig09923:14372:14461:-1 gene:CCACVL1_11515 transcript:OMO83194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPVPVPAPAMGSGVRVTEILDFFNNQD >OMO50901 pep supercontig:CCACVL1_1.0:contig16032:1235:3764:-1 gene:CCACVL1_30154 transcript:OMO50901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDWWAKGSHKGTPVVVKMENPNWSMVELEGPSEDDFLIGNTPTGPREKARGKNAKQLTWVLLLKAHRAAGCLTSIGTTLVSLGAAIRRRLAAGRTDADNDTETDNTSPNENKTVKTRFYNCIKVFLWLSLLLLGFEVAAYFKGWHFGAPNLQLQYIFTAPFAVRDFFDWIYARWVLIRVEYLAPPLQFLANVCIILFLIQSIDRLVLCLGCFWIRFKKIKPIPKQDAIADLESGEEGFFPMVYQQSIGAVCTLDWPKSKILVQVLDDSDDPTTQLLIKEEVLKWQQEGANIVYRHRVIRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPNPDFLKRTVPHFKGNDDVGLVQARWSFVNKDENLLTRLQNINLAFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLQGWKFLFLNDVECQCEVPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRSKISIWKKFNMIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAQLPAWVVCYIPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLVEKEPKQHQRAESEPNLDELKADIQEEEKGRKKKHNRIYTKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQ >OMO50902 pep supercontig:CCACVL1_1.0:contig16032:6057:7153:-1 gene:CCACVL1_30155 transcript:OMO50902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARQAAAAGFGGQPGLLFLSFHINLPRVFLSLLSLTCVSLVSLLPTRVSISTAILTPSLLLLGIQQIELFQNSPFQVQTSPPSLTSNYQLTPYPLARIA >OMO50903 pep supercontig:CCACVL1_1.0:contig16032:13403:15893:-1 gene:CCACVL1_30156 transcript:OMO50903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MTALTSSERKYRRSSRAPLLAQKHGDQLFEAHESGFDGASISGAVFNLSTTIVGAGIMALPATVNQLGLIPGMITIIVVSMLTEASIDMILKFSRSSKSATYSGIAGDAFGGAGRTLLQACIVINNLGMLVVYMIIIGDVLSGTWVDGVEHRGVMEEWFGEHWWTTRSALLLFTTIFVFAPLISFKRVDSLRYTSALSVALAVVFVAITAGVAIVKVMEGKIGMPRLMPKLVNQASFWKLFTTVPVLVTAYICHHNILPIENELKDPTQMKSIVRKSLTLCSTVYIATSFFGVLLFGDHTLDDVLANFDGDLGVAYSSLLDDIIRVSYGLHLMLVFPIVFFSLRLNVDGLLFPYAIPIAFDNRRFFSITVALMGFIFMAANFVPSIWDAFQFTGATAAVCVGYIFPAAITLKDTHGIATKNDKLISWIMIFLAVSTSTVAVISDIYSIFNVDDGVIT >OMO50904 pep supercontig:CCACVL1_1.0:contig16032:16884:19864:-1 gene:CCACVL1_30157 transcript:OMO50904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHFECANLSVWKEAISAYESRIESLNKPNLISLDEFYRQELPSLLHQRNPNPFITTSELSRLMQWKLTRGKWRPRLLDFVSSLDESLVKSASEKAFQSLPNVSKAVTELTVLKGVGPATASAVLAAYAPETAPFMSDEAMEAALGNSKDYSLKQYLLFVDKLQSKSKELSSKGEPFTPSDVERALWSSAVGVKLQSSQAVVDNKITGSAQIETSALQWPVSHLVG >OMO87642 pep supercontig:CCACVL1_1.0:contig09209:31660:32556:1 gene:CCACVL1_08861 transcript:OMO87642 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNS1/SUR4 membrane protein MQSVKYWLSEHPSIVNFRWSHTQSFGSTWSFLFSSIAVYVVAATTLHGFLSLVLSKRRRVPLGPIPAVHSLCVSLISAVIFVGILLSAAAEIRDTRWFWRRTKTVTTPFQWLLCFPLGTRPSGRVFFWSYVFYLSRFLHLFRTFFTILRHRKLTFFHLFNQSILLCMSFLWLEFSQSFQVLAILLATLLYSVVYGYRFWTAIGLPSACFPFVVNCQIVLLGCNLLCHFGVLVLHFLKGGCNGMGAWGFNSVLNGVILLLFLNFYVKRHLRKRHGNDHLTGHGGSSSVRSCGSSEIKSE >OMO87643 pep supercontig:CCACVL1_1.0:contig09209:35602:41700:1 gene:CCACVL1_08862 transcript:OMO87643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MEVSRPLKSDDKLKHRPLTPFRFLRGLICLVVFLSTAFMFLAYLGPWSVLLRYFSLHYSRKATSFFFGLWLALWPFLFEKINRTKVVFSGDNVPQKERVLLIVNHRTEVDWMYLWDLAMRKGSLGYLKYILKSSLMKLPVLGWGFHVLEFISVERKWETDKNVLHQMLSTFKNPQDPLWLALFPEGTDFTEEKCRKSQKFAAEVGLPVLTNVLLPKTRGFCLCLETLRDSLDAVYDLTIAYKHQCPFFLDNVFGVDPSEVHIHVRRIPLKEIPVSNNEAAAWLIDTFKLKDQMLSDFKSQGHFPSQLAEEQLSTWNSLLNYIVIISFTTIVTYLTFSSKLFMVYVSLACIYLAYITRYQIRPMPVLTSVKVLSNRKGTRGTIFSSATLFTLQNHCSFTVWPGSLSGNSAALGDGGFELPSGSSAQFQAPPGWSGRFWGRTGCTFDNSGAGKCITGDCGGVLKCTGGGEPPVSLVEFTIAGGSSDKDFYDVSLVDGYNVALGVKAVGGTGDCQYAGCVTDLNTNCPEELRIMNSGSVVACKSACAAFNSAEFCCTGDHATPQTCSPTQYSELFKNACPTAYSYAYDDASSTRTCSGSDYLITFCPTGP >OMO87644 pep supercontig:CCACVL1_1.0:contig09209:43014:48638:-1 gene:CCACVL1_08863 transcript:OMO87644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M8, leishmanolysin MEDIIRFGSSTVTRFDFKLRFAAVLFEVSFDFTILLISLWFEAASAKIREHQLQWQGPEGGNTDNIVSHSCIHDQIIEQRRRPGLKVYSVTPQVYEHSGISNHVHHKRRSLLALPELVGPSKDAKQPIRIYLNYDAVGHSQDRDCRKVGDIVKLGEPPLSSYLGTGTPSCNPHGDPPIYGDCWYNCTLDDISGEDKRRRLRKALGQTADWFKRALAVEPVKGNLRLSGYSACGQDGGVQLPREYVEEGVADADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDERKRRRSQVTEQIMDEKLGRMVTRVVLPRVVMHSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADRLDWGRNQGTDFVTFPCNLWKGAYRCNTTNLSGCTYNREAEGYCPIVSYSGDLPQWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDINSARAPDRMLGEVRGSNSRCMASSLVRTGFVRGSMTQGNGCYQHRCVNNSLEVAVDGIWKVCPEAGGPVQFPGFNGELICPAYHELCSTGPIPVSGQCANSCNFNGDCVNGKCHCFLGFHGHDCSKRSCPSNCSGHGKCLSNGVCECENGHTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSNLLSSLSVCKNVLERELSGQHCAPSEASILQQLEEVVVMPNYHRLFPGGARKLFNNLFGSSYCDAAAKRLACWISIQKCDHDGDNRLRVCHSACQSYNLACGASLDCSDQTLFSSEEEGEGQCTGSGEMKLSWFSRLRTSLFSSNTSLKGMSVKYRQF >OMO87640 pep supercontig:CCACVL1_1.0:contig09209:17706:18767:-1 gene:CCACVL1_08859 transcript:OMO87640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MPKSPIFLHFLLLLVLTVFAAIANATAAQQFKEAPQFYNSPDCPVITEEDDQLDGEESSILCSDQAVHVAMTLDTAYIRGSMAAILSVLQHSSCPQNIAFHFVASATANASHLRATISSSFPYLNFQVYSFDDSSVSRLISTSIRSALDCPLNYARSYLANLLPLCVRRVVYLDSDLVLVDDIAKLAATPLGDNSVLAAPEYCNANFTSYFTLTFWSNPALSLTFANRKACYFNTGVMVIDLDRWREGDFTTKIEEWMELQKRMRIYELGSLPPFLLVFAGNIVPVDHKWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWTRLDANRPCPLDALWAPYDLLQTPFALDS >OMO87647 pep supercontig:CCACVL1_1.0:contig09209:62184:63632:-1 gene:CCACVL1_08866 transcript:OMO87647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRKTLSKRPLSGFRITSPLVTLENPPISLHTVLRREYATSPDSGERGFFRRFFHRRASDPSSPVFPEIFSVPVGEKLREKLRGINITGDRLRLEGLAPPPLPLTDPTAGESLGKISVEDARKLLRFSQMEKLKARLREIPNSSISYYQLVQICLEGCGTEAQALELAKMLDQSGNVIVLGNVVFLRPEQVAKSMETIISESMALPNDPRRKELEEMEKQKSQIDKRAKTLVRGELYGGLGFLVVQTLGFMRLTFWELSWDVMEPICFFVTSLHFALAYGFFLRTSTEPSFEGYFQRRFKAKQNKLIKARGFDIEKYNKLRQQFYPYSSSSPTSLPNLERSSTLKLEEEAFL >OMO87638 pep supercontig:CCACVL1_1.0:contig09209:8615:9199:-1 gene:CCACVL1_08857 transcript:OMO87638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIASISKPIKLWFSNKSSRLSLPRLGSSKSKSSSSLSPPSSTTVVVDHTSTTKEEEFRQVFHRFDSDGDGKISGEELSAYFVSIGDNSLSREDAEMVIKDFDNNGDNLLEFNDFVKLMEGSGDKEEEDDIKRAFEMYEVDKGSGCITPVGLQQMLNRLGDVKSYQECVAMIQVFDLDGNGVLDFHEFQQMMKS >OMO87637 pep supercontig:CCACVL1_1.0:contig09209:5571:7421:-1 gene:CCACVL1_08856 transcript:OMO87637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINQILFCFLLLDAFILYTNAQQSYSGISALDCSNNDKSGPSSAFLYTCNGQNRYCKAFLIYKSQPPFDTIPAISTLTSSNQAELARINDVTEDAKFPTGKEVIIPVNCSCLGQYYQANATFTISSIHTTYYTVGTEAYQGLSTCSSLIRANPHSEFSLVPGTELNVPLRCACPTSNQTKVGTKYLLTYSVSFGDNITALSDRFNASIKNANGLQEKETLFPLTTILIPFPTEPSSSQTIIHKEQSPFSPPSENYPERRRRSKRKLYEGVGIGGACFLLVLSIILFTIFMFNKRKNIGVSQSGNERSKKNFVQPEDLRVEIASFEQGLRLFTFQEIQKATENFSSRNRINGSVYRGGFGRGKILAVKRMKLDVSKEVKLLKNINHFNLIKLQGICQNDATFFLLFEYMKKGSLRDWLNSQSPDEIGSWTRRIQIALDVANGLHYLHSFTKPAYVHGDIRSTNVLLNTSLRAKIANFSLARAVVNETSSVSLTNHVVGTRGYVAPEYIQTGQVTSKIDVYAFGVVLSELVTGKDAIIVQDGREVMLSAAVVSITKTEYAESELISFIDQRLICDDRTELALRMAQLSVACLTEEPTKRPSMEEVVSALLKIRADAFN >OMO87646 pep supercontig:CCACVL1_1.0:contig09209:60553:61414:-1 gene:CCACVL1_08865 transcript:OMO87646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHEIEIEIEEADSSPHLKPGYLKQLPDWLML >OMO87641 pep supercontig:CCACVL1_1.0:contig09209:24648:25358:-1 gene:CCACVL1_08860 transcript:OMO87641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MASGFGESASRSPQNPSCSSNNANGDAGDFECNICFDLAQDPIVTLCGHLFCWPCLYKWLNMHSRSHECPVCKALIEEEKLVPLYGRGKSSSDPRSKSIPGVNIPNRPAGQRPETAPPPDPNQFPQNGFGFMGGLGGFAPMATARFGNFTLSAAFGGLFPSLFNLQVHGFPDAAMFGTAAGFPYGFSNSYHGGHAHGYHHHHHHHHHRTAQGQQDHYLKMLFLFIIVCVIFAMIYQ >OMO87639 pep supercontig:CCACVL1_1.0:contig09209:10120:12894:1 gene:CCACVL1_08858 transcript:OMO87639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYFPLHSPSLLSHLKSFHASSPLSWKIRDEYNLTRPELLSRITRLLILGRYNALNRLSFDFSDDLLDAVLQNLKLHPHASLHFFKLASKQQKFRPNFSSYCKLVHILSRARLFDETRAYLSELVGLSKNNYSSSLVWNELVRVYREFKFSPLVFDMLLKIYAQKGLIKNALNVFDNMGKYGRVPSLRSCNCLLSNLIRNGESYTALHVYEQMLRIGIVPDVFTCSIIVNAYCKEGRVERAMEFVKTMENSGFELNVVSYNSLIDGFVSLGDMEGAQRVLKSMSEKGILRNAVTYTTLIKGYCKKGEMEEGKKMMKQMEEELMVVDEYAYGVLLDGYCQVGKMDEAIRVQDEMLKRGLKMSLYVCNSLINGYCELGKTHEAERVLMCMANWNIKPDSFSYNTLLDGYCMAGHMSEAFKLCDEMLQEGIEPSSVTYNTLLKGLCRAGAYKDALNLWNVMLKRGVIPDEVGCTTLLGVFFKMEDVEGALRFWKCILSRGFSKNRIVFNTMINGLCKSGKLDEAKEIFGKMEELGCLPDGRTYRILINGYCKIGKIEEAFKLKDKMEAEAIIPSIEMYNSLISGVFKSRKLSKVGDLLTEMLSRGVAPNLVTYGALITGWCDAGNLNKAFHLFFEMTGKGFAPNIVICSKIVSCLYRLGRIGEANILLQKMVVTDPVFAHMGLDCLKTDVRCLNIQKIANMLDKSAKSFSLTNNVVYNIAIAGLCMSGSVDDARKFFTALLQQGFKPDNFTYSTLIHGYAASGNVNEAFSLRDEMLKVGIKPNIVTYNALIKGLCKSGNLDRAQRLFNKLPLKGLTPDAITYNTLIDGYRKVGKTCEASSLLEKMIEAGVSPSVASEKGDEGKVMKVLDAEGHVKCEDMKKITDLHDMMCFRYPAIDIITQEQMDLTVSSDDKMSDNACFISEAVC >OMO87645 pep supercontig:CCACVL1_1.0:contig09209:51781:51873:-1 gene:CCACVL1_08864 transcript:OMO87645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPFAVDKRGDRWLYCLIGAEDTDVNNVGI >OMP02725 pep supercontig:CCACVL1_1.0:contig06193:8122:9300:-1 gene:CCACVL1_02716 transcript:OMP02725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch-type beta propeller METDSQCTKKTKNNDSFDDNYYNTNDETLIPGLPDDLAQRCLSSVSPSLLYSVCHPWRRLLYSPCFPPFFSLCALLSPLQNPNRSRNLGEASTHRYSIEFFCFDPLSSAWTQLPSPPQNPPLHLLHRHPSFLSRKLPIQSFTVSNHLVLIAATTHNFSPALSSPLVFHPESNRWFYGPQVSTPRRWCATGSARGVVYIATGVGSHYKGDVARTMEQWDLNKRSGSWCWENKAPLKDGRFSREAVEAIGYKGKLYMVNVKGNAVKEGAVYNVDSDKWEEMPLGMVAGWNGPAAAAMDEETLYVIDEMKGCLSRYDGEKDCWEKLVELEELKRAEQIAARKGKICAVAASGEKIIVVDVEEKRAEAEAKFWEVVPPCGFEVVAVHVLPRMSRQD >OMO87103 pep supercontig:CCACVL1_1.0:contig09330:9677:12724:1 gene:CCACVL1_09268 transcript:OMO87103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCKFSKFSFLFLISILFVSTAELEKDRASLLSFMSGIVLDPENALEDWNSTNVHVCNWSGVGCNHARDQVVQLDLSGRSLHGTISPALANLSSLVVLDLSKNFFQGHIPAELGSLVQLKQLSLSWNLLQGNIPSELGSLHQLVYLDLGSNRLVGDIPAPLFCNGSYSLQYMDLSNNSLSGQIPLKNECSLRELRFLLLWSNRLVGPVPQALSNSSKLQWLDLESNMLSGELPSDIVRKMPQLQFLYLSYNDFVSHDGNTNLEPFFASLLNSSNFQELELAGNNLGGEIPPIIGDLSTNLVQIHLDDNLIYGSIPPHLANLVNLTLLNLSSNLLNGTIPPELCRMEKLERVYLSNNSLSGEIPAAIGNITHLGLLDLSNNKLSGSIPDSFANLSQLRRLMLYGNQLSGTIPPSLGECVNLEILDLSHNKLSGIIPGEVAGLRSLKLYLNLSSNYLHGDLPLELSKMDMVLAIDLSSNNLSGTIPSQLGSCIALEYLNLSGNSLEGELPASIGQLPYLKEVDVASNQLTGNIPVTFQASSTLKEMNFSYNKFHGNISDEGAFSLLTIRSFLGNGGLCGSIKGMPNCRKKHSHLIIFLPVILSLFATPLMFVFGYPLVLKAKCRNELAVFNGGDSEDEEKGRQELKYPRISYRELIEATGGFSASSLIGSGRFGHVYKGTLGDNTRIAVKVLDTKTAGEISGSFKRECDVLKRTRHRNLIRIITICSKPDFKALVLPLMPNGSLERHLYPSHGLKPSLDLIQLVNICSDVAEAVAYLHHYSPVKVVHCDLKPSNILLDEDMTALVTDFGIARLVKSVDDENACANDSISYTSADGLLCGSLGYIAPEYGMGKRASTQGDVYSFGVLLLEIVSGRRPFDHEGSSLHEWVKGQYPHKLEPLVKQALIRCSPAAMPTAYEKIWCDVILELIELGLMCTQPNPPTRPTMLDVALEMGRLKQYLANPASMLIGESSSKPDDF >OMO87102 pep supercontig:CCACVL1_1.0:contig09330:236:6350:-1 gene:CCACVL1_09267 transcript:OMO87102 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, phage-type MAYQTETVKIEHMEIEKRKYNLLRRRQVKEETEAWERMVEEYRELERNMCQKKLAPNLPHIKGLFLGWFEPLREAIAREQTIQRGKPKNVRASHAPYIDLLPADKMAVIVMHKMMALVMAAHGQGDDYVQVVQAVVHIGGAIEQEVRIHNFLEKNKNYRKKKAADEVQGVSKEKEILKKRVNSLIRRRRLVEVQKLVKNEEIKPWGQDAQAKLGSRLLELLTETAYVQPPIDQSGDIPPDVRPAFRHKIKNISKDPRHKVLKRYGVIECDPLILSGLDTSAKHMLIPYVPMLVPPKKWKGYDKGGHLFLPSYIMRTHGSRIQQDALKGVPVKQMHKVYEALDTLGSTKWRVNKKVLAVVESIWASGGNIAGLVDRNDIPIPEKPISEDSTEIQEWKWSVRKAIKINRERHSQRCDTELKLSVARKMENEEGFYYPHNIDFRGRAYPMHSHLNHLSSDLCRGVLEFAEGRPLGRSGLHWLKVHLANLYAGGVEKLSHDGRLAFVENHLDDIFDSAENPINGNRWWLTAEDPFQCLAACFNLSEALKSSSPYSVQSYLPIHQDGSCNGLQHYAALGRD >OMO60127 pep supercontig:CCACVL1_1.0:contig13781:16963:21161:-1 gene:CCACVL1_24382 transcript:OMO60127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II stability/assembly factor MAMPNLQVSDCSNLKPSLTSLFSPRLLHRPHPRFFPKASLQQPHPYSSSSSSTPPPLVNRRQLISQAASLSLSVATLSALPLPAKSEEVLSEWERINLPIDPGVVLLDIAFVPDDPNHGFLLGTRQTILETKDGGNTWVPRSIPSAEDEDFNYRFNSISFKGKEGWIVGKPAILLYTSDAGESWERIPLSAQLPGDMVYIKATGEKSAEMVTDQGAIYVTSNRGFNWRAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGTGITEDFEEIPVQSRGFGILDVGYRSTEEAWAAGGSGVLLRTTNGGKSWTRDKAADNIAANLYSVKFIDDKKGFVLGNDGVLLRYLG >OMO60129 pep supercontig:CCACVL1_1.0:contig13781:40270:44649:-1 gene:CCACVL1_24384 transcript:OMO60129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSAPKVEAAAAVAPPQSGKKGKREAEEAIEKQVALKKQKKNDGVAQAIVKEKVEAKTQKKKKEESSSSSEDSSDSDDNGSVPTKKAKQASSSDSSDSSEDESSSDEEAPAQKKQPLAAKNGSVTAVKKGKSSSSSESSDDDSSSDEEEVSADSKVAAPIKNGSAPFKKGKSSSSSDSSSEDSSSDEEEEKPKPAAKVGSTTLAKKVSSDSSESDSSSDEEEKPKPAAKVGSTAAPKKESSDSSESDSSSDEDEKKKSVPTPKKIEDSGSSSSDDSDSEDEEEGNKKPAAVKTAKKEESSDSSSDSESSEDEAPSKKAPIATKRPLSTAGTKQSKQVASDGGKDAKAVKNDEEESEESDEESDDEEETPKKKDTDVEMVDAATPQKTTKQQDKSAKKAPQTPATPQVQSTGSKTLFVGNLSFRVDQYEVKNFFKDAGEVVDVRLASDAEGNFKGYGHVEFATPEDAQKALELNGESLLNRPVRLDLARERGAYTTPYSGNGNNSFQKGGRGQGRTVFVRGFDSTLGEEKVRSSLEEHFGSCGEISRVSIPVDRESGTVKGFVYLFSHFCSRIVFAFVLFSFIVFHIH >OMO60125 pep supercontig:CCACVL1_1.0:contig13781:7847:8704:1 gene:CCACVL1_24380 transcript:OMO60125 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MRSLISGTKRLISSTTNTAVTAMSRSNHRNFSLIPMVIEHSSRGERAYDIFSRLLKERIVCINGPINDDTAHVVVAQLLFLESENPSKPIHMYLNSPGGHVTAGLAIYDTMQYVKSPINTICLGQAASMASLLLAAGAKGERRSLPNATIMIHQPSGGYSGQARDMTIHTKQIVRVWDSLNALYAKHTGQPIDIIQKNMDRDYFMTPEEAKEFGIIDEVIDQRPLALVTDAIGNETKDTKDNKDNKESKKGKDKGSN >OMO60128 pep supercontig:CCACVL1_1.0:contig13781:24242:39138:1 gene:CCACVL1_24383 transcript:OMO60128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMASDLSRTGPVERDIEQMLKMSSGHYCSEERCVPAEVWKKRVAKILSLQLLSNDESVLIWFSGKEEKHLKLSHVSRNILGSALLSFRAEEFSLKGPVGQIFCMVVAKDIIIAGAQNGAIFAWKYSSEVNPFQLVGSMKVYAGAVLCLTVGENKLYSGSVDHSIRVWDIDMLQFIKTLNGHEDAVMSLLHWVLALCGMNDAETKPVLFCARNDKTVRLYDLPWLLGTKQAKLDRRKANKLDVARLCEEILNPPVPMALRLTSILMGGVVILYEKKVVMLNDDANRLLIDLRNAWKEINEATLLPKNKAKAGRRTITLPDFEDTNLGEPEDEKIPMGFQQNNFNMLDQIDVGPMADNLTHDHNQADDNDITISDSFQPNTESCNQYESSLNFLTAVTDLKKVVRRHNRTFEEHVETPTAPISSPAQENGPQRGENIPEQHPEDQFDQQPNLNKAARQDQQRQGPVKRKARSKRVIIDEQTVISNPEMRSRLNGTSDILRRRLSRQRRCTNVLSTSKIAKLMELPSTVLMDDIYERKSRNPLSTKTLEIWKNSTQPDHDSPTARTSQPQPPEPEEFPPERVGDDYPTNNSFDDHYSGVGSSSIKGIELQRTGIKRNATPVGPSQFVTPGNSGDELRYSGSLASGDGVRADKFESYMTCEKTCDLSFRVGSRNSRNSTGNLGPVDEEPFQETDTIFKLSKPLTCDGSCLGNPGCGGVLRNIMVICFLLTLAIWGSQQATLLSCRAGIAAAEFNYGDALDKTFMFFEAQRSGKLPLDRRVKWRGDSGLKDGASQGVDLVGGYYDAGDHVKFGLPMAFSVTMLAWGTIEYRKEITNLNQMGHALWAIRWGTDYFIKAHPQPNVLWGQVGDGNSDHYCWERAEDMTTPRTAYKLDQNHPGSDIAGETAAALAAAAMAFKPYNSSYSDLLLLHAKQLFSFADRYRGLYDDSIQCAKQFYTSSGYSDELLWAATWLFRATGEEQYLKYVVDNAVYMGGTGWAVKEFSWDNKYAGVQILLSKVLMEQKGGAYTPTLKQYQAKADYFACACLQKNDGYNVQLTPGGLMYVREWNNLQYSSAAAFLLAVYSDYLSAANAKLTCPNGQIQPNQVLNFAKSQADYILGKNPKSMSYLVGYGPKYPIHVHHRGASIASISVHSSTVSCVQGFEFWYRRPEADPNVIHGALVGGPDHNDNFNDDRSNYEQTEPTLSGSAPLVGLFSKLESLYGNIGSYHKRSATADQPKQYYNTQKTTVPSTSSGNPVEFLHSITSSWNVGKTTFYRHKVIIKNTSQKPITDLQLRIEDLSGTLWGLNPTSVAHTYELPHWLRVLKPGSDCSFVYVQEGPQAKVSVLSYY >OMO60124 pep supercontig:CCACVL1_1.0:contig13781:5787:6972:1 gene:CCACVL1_24379 transcript:OMO60124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L44e MVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >OMO60126 pep supercontig:CCACVL1_1.0:contig13781:10987:13767:-1 gene:CCACVL1_24381 transcript:OMO60126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMERERRNSIANGGDYSYNTNGNYSSFCNDYSFLEKEKNQSLPASSLPSVGFIEHPVSRFDTLAGVAIKYGVEVADIKKMNGLVTDLQMFALKTLQIPLPGRHPPSPCLSNGSDIPGRSSANQAPVQNLPPDLLDSFQSLRLTPPRRVSPAMSSLQGYYGLKPTEQKTMSEGFEMAVYRKGEAHYLEDGPFLKPSPSSGRPLQLHRKSRSLANGFFDENGEAVADIMSAVEAKDGEPDKSNDKLIRRRQKSEADFTARTPERLLKEDNTSGGGFSTITGKGLALRSKAASRVNSGADTEVVAINATPTGLGDDYVIDGFSVVRKSSSTSSLQDQENGSLSSLWPASKWSLKPDLQAFSNVAITRPIFDGLPKPMSGRKSKAALD >OMO88443 pep supercontig:CCACVL1_1.0:contig09018:17899:21108:-1 gene:CCACVL1_08389 transcript:OMO88443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MTTSIVTNHKAIPHHAINTADVSELGLCSLRVKFAYLPCRLNVRQPGQMVQLLAKSRKTLTWKTTAFAIPNENNEAERSSSHACSNDQGLMPSKNEHNSSDPNGETVDSLLKSEVGPFQGVEHLMNQASLMDKLKAVHLHVLASEQWNASRLKLSHKHYMESATNLIHYLALKCLDTEALKDDLALISLLNLEIVNSSVLASLTTGIQLLENVQLNSVRTDEDVSAGVCMRERSNQQMKGNFMINAMRKKACLNRELLLGLLQDGRRTHIMTTVGKEVVESETLIADLIKAGTSIIRINCAHGNPEIWSEIIRKVKQSSQMLEAPCRILMDLAGPKLRTNNLHPGPCVVKISPKKNATGNVNFPAQVWLSHKGTGAPPHLSPDAVLYIDNQEFLTAIKVGDTLGFIDARGKKRMLKISRVFHVFSGTGFMAECFRTAYVSSGTELHIKRKKGRFPVGQVVDVPARESFIRLKVGDMLTISRDEYDKSYQDNSYGHTSRAHRIACSSGYLFDAVKPGERIAFDDGKIWGVIKGTSISEIVVSITHAGPRGTKLGSQKSINIPDSNIRYEGLTSKDLVDLEFVASHADMVGVSFVRDTRDIIVLRQELEKRKFQNLGIVLKIETKSGLEKLPLLLLEAMKSSNPLGVMIARGDLAVECGWERLADIQEEILSISSAAHIPVIWATQVLESLVKSGVPTRAEITDVANGRRTSCIMLNKGKHIVQAVSTLSNIVNCKVKSDKNRSEASCSLQPSPLGRCSVE >OMO88444 pep supercontig:CCACVL1_1.0:contig09018:21513:21683:-1 gene:CCACVL1_08390 transcript:OMO88444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALNRLTSTRFFHVSNLGRIWHALSTLPTLRYSPTSFLLPFLHSVIVAKAIRSCC >OMO88445 pep supercontig:CCACVL1_1.0:contig09018:26710:29462:-1 gene:CCACVL1_08391 transcript:OMO88445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine/spermine synthases family MGEGAGRGLECQKTMDGKVNNGNGSEKAIPSCCLKAMASAPELEAKCHSTVVSGWFSESQSSSDKAGKNVYFHNPMWPGEAHSLKVENVLFKGKSDYQEVLVFESSTYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKTVLVVGGGDGGVLREISRHSSIEHIDICEIDKMVIDVSKKFFPELAVGFEDPRVHLHVGDAVEFLRHVPKGKYDAIIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGSVHYAWASVPTYPSGVIGFLICSTEGPVVDFVNPINPIENLDGAYHHKRELRFYNSEIHRAAFALPSFLKREVQLLRDSAATPAQGICVS >OMO88442 pep supercontig:CCACVL1_1.0:contig09018:14845:17293:1 gene:CCACVL1_08388 transcript:OMO88442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRYKGDKNLESGETCHFPMLVSLNGGRSIERRETSFSGKIRSCLKSTPDFQTTKNILNPLGPFYKGWDTIFLLSSVIAVSLDPLFFYLPLVNGHKKCLFMDTKLGIAAMVLRSVMDSLYVICVACRVFFPRAKRRGNSTPDVWSIAKGYFPSRFFLLDILAVLPLPQALGALWYRLAIRRATTCWLQACANHNGCVNGSFYCNDNSLGDHTFIDDFCPISTPDPKLFDFGIFLDALQFGVLEVVNFPAKFFHCFHWGLRNLSTVLICSLSTLKRFIFQSLVIIKNTLFFLSLKQVYLQSKTMKLEELRLKTRQIEQWKPFKKLPNELQSQIKKYQKYKWQVSRGVDVENLLKNFPKEMIKKIKRELCLELLIKVKLFSSLGKRVLNELCYHAKPVLFIERSYISHEGDTIDEMVFIVQGKLWAYSRSDNAKDAHLEDGDYCGEELLEWVLQFQYRRPLHLPFSTRNIQAATKVEAFSLLASDLKRVYDWAARVLQSNYRRYHKKKRHYYNYLHIRIGGGGSDHHNMSTTSDAHDSSFHTSISDTSAHGTPRLVNVDSFPDRSPRPKTPRATIHEEEE >OMP11214 pep supercontig:CCACVL1_1.0:contig01476:896:961:1 gene:CCACVL1_00622 transcript:OMP11214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQMLVTIWVSTKRVWSLVKQQ >OMO90800 pep supercontig:CCACVL1_1.0:contig08425:13710:20230:-1 gene:CCACVL1_07286 transcript:OMO90800 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MKMPANGAAAVASNAAAAALNPDEGGGAPEKKSINPELWQACAGPLVNLPVAGTHVVYFPQGHSEQVAASMKKDVDAQIPNYPNLPSKLLCLLHNVTLHADPESDEVYAQMTLQPVSSFDKEALLRSDLSLKENKPQPEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAVANNSPFTVFYNPRASPSEFVIPLAKYYKAVYNNQISPGMRFRMMFETEESGTRRYMGTITGVSDLDPVRWKNSQWRNLQVGWDESTAGERRNRVSIWEIEPVTAPFFICPPPFFRSKRPRQPGMPDNESSDLDNLFKRSMPWLGDDICMKDSQALSGLSLVQWMNMQQNPALANSMQPNFMHPSSNSIMQNFGVADLSRQMGLSAPQMPQPNNLQFNTQRLPQHDQLPKISSTTNSLGSVMQPQQLSDMTQQSRQNFSAQSLPSTQVQAQVLQPPIVVQSDNILQQQQLPTQTHQLPVSLPQSLMQQQQQQHLLGPNPQQNLVQPSLLDPLNQHLQMPDNQIQFQLLQKLQLQQQALLAQQSALQQPAQLAQTQDRQLLDVSQSFSRSMTTSQVLGMPQMTSMLPQSNVIPQQMPKSNSQDNARLSQSPLQSKLQQQQLGMLPKIADQMGPSLTPTGNQPSTAISSVMTGAIVGAHSVITDDNPSCSTSPSTNANVLQPMINNNMVQRSARLGDDMAQSAATVLNPNALETMSSNANMIKELQQKSDVKPLSNIPKSQNRDLFARQGYINGAAAQTDYLDTSSSTTSVCVSQNDAHLQQNNSLTYNPQTMLVTDTNQDVEIQVDPRNNVSYDTNIDGQIGMPVNPDSLLTKGVMGLGKDFSNNLSSSGVLTNYENPKDIQQEQSSSMVSQSFGVPDMTFNSIDSSINDSSFINRGAWAPPPQFQRMRTYTKVYKRGAVGRSIDITRYSGYDELKQDLARRFGIEGQLEDRGRIGWKLVYVDHENDVLLVGDDPWEEFVNCVRCIKILSPQEVQQMSLDGDFGNSVLPNHACSSSDNGNA >OMO90801 pep supercontig:CCACVL1_1.0:contig08425:21740:29308:1 gene:CCACVL1_07287 transcript:OMO90801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MTMGFQQSTSMDDVSLKPRMLRSLIKKYVPDEKPALNFNITLQLPKLVSIIQTHQLLSESSTQSMDQKLIDSWKSAVDDWVNRLLLLLSSDMCSSDRFLMFYHEWFNKLLFHIQVAYWLFVFDCFPNVANLLYFPDDSQLVKVAACTSMSDLLTRLARFPEVKKGANDLAGKVIQLVLKLLNDSVEAICDGAASLTYTIITFFPTSIRPYYDGVEAAIASKILSGKFSTKTLEKLAYCLALLPKSKGDEDSWYIMMQKILLSINDHLNDAFQGVEEESKADEAKRLLVPPGKDLPPPLGHTSLLQATRSSETMPTSIVTTLLFCSCKMLTSSYPVQVNAPVRAMLAVVERLLMVDGSLPHTMLPFMTAMQQELICSELPVLHAYSLDLLIAIIKGIRSQLLPHAAYIVRLLTRYFRRCALPELRIKLYSISRMLLISMGVGMAIYLAPEVIDNAFNDLNTVGDEDAETSLAKIGPSTGALPQPSIRKRKRDTKIGSLEDKQDTTDSEVGASNTYQTTAITVKIAALDTLEVLLTV >OMO90799 pep supercontig:CCACVL1_1.0:contig08425:1192:6298:-1 gene:CCACVL1_07285 transcript:OMO90799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVHSQVRKIKQESEQNLEWWPEMKRRLLLNESQLSRSPSPLGLSESLDLLLATLSVLARSFWYSAASALAFATLFFFYAILARFLCKVK >OMO86957 pep supercontig:CCACVL1_1.0:contig09378:1380:7300:1 gene:CCACVL1_09367 transcript:OMO86957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MGFLDLLVVALVPVLKVLMVTGVGLFLAMDHINLLGPETRDRLNKLVFYVFAPALVGTNLAETITYESLFTLWFMPVNIFLTFLIGSALAWLIIKITKTPKHLQGIVIGCCSAGNLGNLPLIIVPAVCKESNSPFGDSSTCSANANAYASLSMAVGAIFIWSYAYPVMHSYAKSCTENDYTIKSCREALLPSSRDSIASEECSGQESGITKKSLERIKMKMRKLKLKEVFAPPTIGAIVGFIIGTVSPIRKVLIGDNAPLRVFDSSTDLLGEPSITCMTLITGANLLRGLKGSEVNPLVIFGIIAVRNMLLPLCGIGVVKAASHFGLVGSDSLYQFILMLQYALPPAMAVGTITQLFQMGQSESSVILLWTYVVAAFFLTLWSTIFIKSFKFNVSSRAAAVLQLFLSFLLPSVLEGKISSETFIHILSFVAWHFSLSYTAKWCPKKKTLDATDEWWNQRIQERPEVKVFKKRGFEPELNELLRCMFGDIVANGKHSLTPSGVLPGGASTEDATPSEVFGDSDEHESLNEAGNENNLDAPNVEQEGQEGQEGQEVQEVVGAGQDKGKRKFSPRKSRGKRMAAQIDKLCDSMSSPRKVIPTAVFSPSRFGVEEAISALRAMQHEVPKMTYLYYFALELFHHQIKREIFLCIQPDERKWWLEREYEKHQAIARYPSFLPSPPSCGFQPFHHIPPPPPGP >OMO83324 pep supercontig:CCACVL1_1.0:contig09904:2220:2351:1 gene:CCACVL1_11432 transcript:OMO83324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NEHGMWVAHGSYFKTEKLHVKREKKTLGEKRKVRPIAGVEKKG >OMP10596 pep supercontig:CCACVL1_1.0:contig02134:833:1403:-1 gene:CCACVL1_00856 transcript:OMP10596 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA thioesterase MINVEVVAHVTRPELRSSEVSNKFYFTFTVRPEAMKEGLRIRNVVPATEEEARRVLERMDAESSQQGQ >OMO55252 pep supercontig:CCACVL1_1.0:contig14752:10893:12024:1 gene:CCACVL1_27325 transcript:OMO55252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein/Hyperplastic disc protein MEIMMIIWRIYVTLGELQNSHRAASKEPLLQSLYSLVQRLERDAATPEALKAKVAEAMEVLRTVAAQQQANNPVDQLASLSLTHNLVS >OMO55257 pep supercontig:CCACVL1_1.0:contig14752:35484:47595:1 gene:CCACVL1_27330 transcript:OMO55257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSDDEDELLQMALKEQAQRDLNYQKPPSSNSRKPVANFVQPPSQQPGAGYKAQKASAASAPKKPAGRKMSVDDDEDSEVEMLSISSGDEDTGKDPKGGLGGRSRGRGSKDDDGPWDGEEPDCWKRVDEAELARRVRDMRESRTAPVAQKFDRKPTAVVGRMLNTLQSFPRGMECVDPLGFGIIDNKTLRLITESSDCSPSKDYIDSGLREKLMYFSEKFDAKLFLSRIHQDTPAADLEAGALALKTDLKGRTQQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEEDPEGSGTTHLFNCMQGVSSLANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSTIRGSISKGEYDLAVREYKKAKSIALPSHLENTVRLLLELEPESDPVWHYLNVQNQRIRGLLEKCTSDHEARMESLHNEMRERALSDAKWQQIQQNLSQSSDGNYSLRNIQLPVDLQPVDMTGEEVDALRGRYIRRLTASSQVSDTSANKTEEKVGDGRYSSHSLDEVAGMMRSTISVYEVKVLNTFRDLEESNILQSYMSDAIKKISKACLAFEAKESAPPIAVLALRTLQAEVIKIYIIRLCSWMRASTERITNDETWVPVSILERNKSPYTISYLPLVFRSVMTSAMDQINMMIQSLRSEATKFEDMIGQLQEIQESVRLAFLNCFLDFAGHLERIGSELAQNKSTKESLHHLQNGYSNEPEQDISSDLPGSIVDPHRRLLIVLSNIGYCKDELSSELYSKYKSIWLQSREKDEEDSDIQDLVMSFLGLEEKVLEQYTFAKANLIRTAATTYLLDSGIQWGSAPAVKGVRDAAVELLHTLVAVHAEVFAGAKPLLDKTLGILVEGLIDTFISLFDENNSKDLSSLDANGFCQLMLELEYFETILNPYFTAEASESMKSLQGMLLEKATESISEGVETPGHHRRPTRGSEDALAEERQQGVSVSPDDLIALAQQYSSELLQAELERTRINTACFVESLALDNAPESAKAAYASFRGSSMDSPSRNYRGAQAMGSPSFAQRRRR >OMO55256 pep supercontig:CCACVL1_1.0:contig14752:32863:33212:1 gene:CCACVL1_27329 transcript:OMO55256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITTTKMEKKVQDSGIASDLSVSPA >OMO55254 pep supercontig:CCACVL1_1.0:contig14752:17633:19075:-1 gene:CCACVL1_27327 transcript:OMO55254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKRFFQRLTCCCYRLYSQPSLLCHFRNFSLWSMKKDPELESALSRNHRWIVNNQIKNIILRCPNQVAPMKYLQKKFKTLDLQGKALNWLKKYPCCFEVYLENDEYHCRLTKQMMHLVEEEESVKDMQEPVFVQKLAKLLMMSMDQRLNVVRINELKHSFGFPDDYVIRILPKYPDMFRLVNNGWRKSSMEIELLAWSPDLAVSAVEASAQKQGLEPCFSCSLPPTWVKSWQRFQEFNAIPYISPYSSPRGLEEGSKEMEKRTVGIVHELLSLTLWKKLSIVKLGHFKREFALPEKLNVLLLKHPGIFYVSNKYQIYTVLLRESYNGAELVHKDPLVIVKNKFGELMQEGLHEYNQRRRLINLEKKRKGVNFGTATPSTSAVIKFLKVVIEMLGGTS >OMO55255 pep supercontig:CCACVL1_1.0:contig14752:20365:25137:-1 gene:CCACVL1_27328 transcript:OMO55255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQQEEEDLRMALRMSMQNSPPEPKRSKPREAASSPTTTSPEESRRLQRELMAAAAEKRMLLAAKTVPASSSPSRSERSGGLGSKDTEMKAKEACLGNELSEQEAYQLFSMVFGSEVSKGILAQWSNQGIRFSPDPETSMGLVQHEGGPCGVLATIQAFVLKHLLFFPDELVKVASNMPQNLAPRRSSKNQYVASNNFAAFPEDAKARALVKSMGEILFLCGNNKRAVIATLSAVSHGIEGSEGGPKDVVTLEGLSIESASDLQKVLMVETFTTAASAFMRLEAMIPVFQSRMGALLFLISALLSRGLDYVQADRDDPSLPLVTAPFGHASQEIVNLLLCGQAVPNVFDGRMDLGGGMFLKGISTSVEVGFLTLLESLNFCKVGQNLKCPKWPIWVVGSESHYTVLFALDTAVQDENELELRESQIRKAFDAQDQSGGGGFISVEGFHQVLRETNIRLPSEKLDSLCSSGFIVWSEFWQVILDLDKSLGGLKDSTGQMGRKVFDLYHFNGIAKSDLNGSQATAGGETPIQRPRLTKLRVSVPPRWTPEEFMADVAAPSGPAGKESSGKDTEVAKPEPPQHAPLVDCIRTRWPRAVCNWVGDPPSIV >OMO55260 pep supercontig:CCACVL1_1.0:contig14752:64022:64162:-1 gene:CCACVL1_27333 transcript:OMO55260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERNGESSETPKTSDCTIRGEGIQEKSIEKRIRADSSYLTLSPTP >OMO55253 pep supercontig:CCACVL1_1.0:contig14752:14664:17117:1 gene:CCACVL1_27326 transcript:OMO55253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLPVLKYALAHLAFSLIFSLHSMEGTLKDLSFEANLESNHGKLKYSMKSNAVINIIKTKYGDEYECVELYKQPALAHNLLKNHKIQMRPSFFDPLKEAATIAIGNGSSAETSIDVGFTDCPLGTVPIRRRNLTESLRAKAIFRQQFQSFPTSNSPLIFNQGLFGPETNPFQFGEGRERLKNPLNKCGNLNLYARLNLSTFAGISTIKEGTIYHGAGGYVSINNPTVSNGQMSCSAISIEGGGDNDFSVIRVGWMVNLLYHGDDTRLYTAWGQIKNGKMHGCLNTECAGFVQTDPTIGLDMILKPYSVVRGPQYYVKLAVNRDKSTGNWWLLYGENDKPVGYWPSKLFLNLKNGAATLRWGGLVNSATPQMPIMGNGDNGELHSSHFRQIAIKYEAETTLNGTIDVPIGVIENKCYKAGDNSYKTEFWGYSFYFGGNGGDVSQCT >OMO55259 pep supercontig:CCACVL1_1.0:contig14752:59877:63547:1 gene:CCACVL1_27332 transcript:OMO55259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEETLAAACSFIENRTIVSELQTFTVGPQTF >OMO55258 pep supercontig:CCACVL1_1.0:contig14752:48262:51749:-1 gene:CCACVL1_27331 transcript:OMO55258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHHEMRWNSQFSGWLLSVKVLCRILAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRECVKRISGDETGTKHCTGQYFDYLSCIDKCVAPELFAKLK >OMO55261 pep supercontig:CCACVL1_1.0:contig14752:75913:77064:1 gene:CCACVL1_27334 transcript:OMO55261 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MGTMQNCDQTISMTDYNKGERGKSSVSDEDEPSFTEDGVDGHNDGSKGKKGSPWQRVKWTDKMVRLLITAVSYIGEDAVGDCGGGMRRKFAVLQKKGKWKSVSKVMAERGYHVSPQQCEDKFNDLNKRGTYGMLGGSAKRSRQGQIHEDACFQHSLNSQDCNKSPFSYPPITQADINQVPPESSRAAWLQKQWVESRSVQLEEQKLQIQVEMLELEKQRFKWQRFSKKRDRELEKMRMENERMKLENERMALELKRKELAAD >OMO83135 pep supercontig:CCACVL1_1.0:contig09933:17454:17795:1 gene:CCACVL1_11547 transcript:OMO83135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGHVMEEGQRDKKRSVRRGQRGDWNNARRGQRTDWNNARRSQSVIGTTLKGAKGVTETTLEGVKGVIGTTLDGANGVTGTTLEEAKDVSGTTLEGAKGVTGTTLEGAKRGD >OMO83134 pep supercontig:CCACVL1_1.0:contig09933:7589:9286:1 gene:CCACVL1_11546 transcript:OMO83134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECIPLMVRKATVRKLDRAPMERNGWRRDKGPAIRSENFAFNRRKWHNPSQAYSNRRRGFEPFNRDRSRGKRIEETKAELSIRNQQSEKNSSPKHRTKFVHNASPNLATNPLGGDAFQQDGKSISDKATFEVETEEGDDGEESFERGFNDREDVDLDVFIPEDDMKWFERSVVVLINAAKNLSEVILAIKNFKFPVSVTEMSNVMLLLTVDEESLVDDCVKLVKDLEFDFFVEVAPWEFSLVQRCSIVWVKLEEIITGESVGIRFKIWVSVERDAGITRENNVFPVPVNERCINHSGELALIPAPEFIELSNDNALHVKEGFGIAENNDDCRTKVSTEEIVGESLNFYGEGSSRRVLTIYNPNGEFIEEEAEYVPNSFAGQHVSHPGGHVSQFDYSVSGSSIGVRSEGELRSGEVDNNFVVLGQRFKWVRCKVCDRKKKRKLTRRRCVTRLILGDKAIVDVSEASLSDDDIKKRNEVLLKEAEDTFDISRALGIEFCEERDAIISRQVTLDSEN >OMO66587 pep supercontig:CCACVL1_1.0:contig12536:20056:20406:1 gene:CCACVL1_21066 transcript:OMO66587 gene_biotype:protein_coding transcript_biotype:protein_coding description:14 kDa proline-rich protein DC2.15-like protein MASSKASASIDSLLALNLLLFSTFVSSQNNTNCSLLFNRVVQARCFALATLVSVNANITITKNRCCDFFRPDTPCAFIELFNQILPKPLSPNHLNAALARAYSYCGKNIDEIRCKF >OMP06732 pep supercontig:CCACVL1_1.0:contig04822:667:726:1 gene:CCACVL1_01452 transcript:OMP06732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASKAMYKTEMQKTLEVA >OMP05655 pep supercontig:CCACVL1_1.0:contig05296:13528:14118:-1 gene:CCACVL1_01857 transcript:OMP05655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MTRPLRLLGAANSSANTQLPPPPPPPPEQAATVDSDFVVILAALLCALICVLGLIAVARCAWLRRLSGGAASNQAATSRPTPPANKGLKKKILKSLPKVTFSAESSAKFSECAICLTEFAVGDEIRVLPQCGHGFHVACIDTWLGSHSSCPSCRQILVVARCHKCGGLPAVGASTSGTDTEARLKELEDDANRFLP >OMP05654 pep supercontig:CCACVL1_1.0:contig05296:8946:12619:1 gene:CCACVL1_01856 transcript:OMP05654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKIVATVHVWRGSSYSKASLRLSAGNETTQMFDRQVLVEF >OMO53321 pep supercontig:CCACVL1_1.0:contig15229:11147:17849:1 gene:CCACVL1_28720 transcript:OMO53321 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MTRVSRDFGDTMQKEAVPAVSADVIFASSRFPNYKIGANNQIIDAKEDPKVLTMKEVVARETALLLEQQKRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVDDAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASEDAKRLVDEERAFARAEIEDARAAVQRVEEALQEQEQMSRASGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELRALRIQLAEKSKHSILLQKELVRSKRVEESLSHVYELDGAETLGSYLRIKPCSDIAPELSKCSIQWYRISSEGGKKEPISGASKSVYAPEPFDVGRILQAEINFSGQQIILSTAGAIDPAPGLGNYVEALVRKHDVEFNVVVTQMNGADHPSESIHVLHVGKMRMKLCKGKTTIAKEYYSTSMQLCGVRGGGNAAAQALFWQAKKGFSVVLAFESERERNAAIMLARRFAFDCNIMLAGPDDRASLGT >OMO53322 pep supercontig:CCACVL1_1.0:contig15229:35372:40720:1 gene:CCACVL1_28721 transcript:OMO53322 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MGDKLQGGEQANLAAMLQTLMQCMDTMNTRFDALANDVQQVREGQNQQAQPPQQRGNAANNERVQPPPPRQVVPRIDPMERLRQQELGGQAYNENLRPRRGVEREEPKDNIKIQEKAKNVSSSVNYVDNKSVLVDKHASSKKVVKECMLATKSEIKEALNDNSILILFLLKNTLAATHNLERELPSNIVSLLSDYVDVFPEEIPSGLPPIRGIEHQIDFIPGAQIPNKPAYRINPEETKELEKQVGELLQKGFVREILSPCAVPVLLVPKNDGTWRMCVDCRAINNITVKYRHPIPRLDDMLDELHGACLFSKIDLNNGYHQIRMKEGDEWKTTFKTKLGLYECRNLDDHIKHLRCVLDVLRVEKLYANLKKCTFCINKLVFLGFVVSSQGIEVDEEKIKAIKEWPTPTNVGQVRSFHGLAGFYWRFVKDFSTLAAPITSVMKKNAPFKWGKEQQEAFETLKEKLTNAPLLVLPNFNNTFEIECDASGVRIGAVLMQGGRPVAYFSEKLNGAALNYPTYDKELYALVRTLQTWQHYLWPKEFVIHIDHESLKYLRGQQKLKKRHAKWSEFIESFPYVVRYKQGKKNVVADALSRRYVLLSMLDSKFLGFEFIKELYASDVYFGEIFKACENSGFGKYYMHDGFLFKESRLCVPSCSLCYVLVRNRMKGGLMGHFGVDRTYEILHEHFFWPKMRYYVGKHVSSCIVCLQAKSTSKPHGLYTPLPIPYEPWAHISMDFVLELPRSKRGKNSIFVVVDRFSKMAHFIGCTKTDDAINVANLFFKEIVRLHGMPKTIVSDKDAKFLSHFWRTLWAKLGTKLLFSTTCHPQTDGQTEVVNRTLSTLLRALIKKNLRIWEDCLPHVEFAYNRSIHSTTGYSPFETVYGFNLLIPLDLLSLPLSVQVDGERKADFVKDLHAKVRAQIEKKTQHYMKVANKGRKEVIFEPGDWVWLHLRKERFPEKRKSKLLPRGDGPFQVLERINNNAYKLDLPNLRTNPFQGRGDDAPRAYHGHEEQNGDHVGDDQGLQGSMDKLKGEDGIVRFHEDANGIAPKMPLGDEIAPKMPFDPLKMPLGPMTRARAKKFKDALTSFVRTHLEGLKSIEDQLGSIEIDMIKNIPNDSKLCTLLEIVEPRAYIVSSSTMNLTGNMILQPPHDARVLAGSCARIIGYPFKIDFVLKIQARIGAAQLDGVEHSSTLVLERFHPCIPSARRHGKQDESLSYQARQADRQLVQSFSKYDKAQSTYCPTSKKGDRILNVVDMVEV >OMP11916 pep supercontig:CCACVL1_1.0:contig00704:1629:1784:1 gene:CCACVL1_00216 transcript:OMP11916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDRAPSRRKLAYKEAIEQTWERPDQLGSWKLNCDIGATGAAAVGIILRN >OMP05359 pep supercontig:CCACVL1_1.0:contig05422:2027:2798:1 gene:CCACVL1_01937 transcript:OMP05359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKGLIYSFVAKGTVVLAEHTSYSGNFSTIAVQCLQKLPSNSSKFTYSCDGHTFNFLIDNGFVFLVVADESVGRSVPFIFLERVQDDFKRRYGPSIKNEGPHPLADDDDDDLFEDRFSIAYNLDREFGPRLKEHMQYCMNHPEEISKLSKLKAQITEVKGIMMDNIEKVCSAFDGEIVVEKLDV >OMO81883 pep supercontig:CCACVL1_1.0:contig10088:36659:36742:1 gene:CCACVL1_12156 transcript:OMO81883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAIGRGGLVMVQKQEGFKLKLCMG >OMO81884 pep supercontig:CCACVL1_1.0:contig10088:38488:43860:-1 gene:CCACVL1_12157 transcript:OMO81884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLHKTRPAKSREKIDFKFSSFKAVQVPKGWDRLFMSIISLENGKTIAKTSKTAVRSGTCQWTEILSESVWVSRNEASKEMEDCLFKLVVAMGSARSGILGEATVNLTSYITSTAIVPVSLPLKKCNLGTILHVKIQCVTPRPKLIDDEAKQGNSHAEGNDTDPSHISLNSDGPESVESPSSQDLVSATHQEEVESRVASFSTADSNHSYDSAESTIGRESFSAVSNCHLDDPCQSNHSIDSQIMSSESASSDNQQEFSASSLKCMDSSKNLLEAAEKKIEELHAEAKIWERKAEKLALDLDLLRKEYSDQSKKQFNLDMELSAANAERDGLRKEVENLKLLLEKPMMISEDSTFQKEGVSETNIQKELENEIKFQKESNANLALQLQKSQDANVELISVLQELEETIEKQRVEISNELENSELVKNLQTKVELLEKALKEKEDGMAVKNNDALLVMEEEYNTKLAAKEKEIIGLKVKLAESLKERKFVQEESRKGSDAHLIREIEALKVKLEELERDCNELTDENLDLLLKLKDSKNNFSAIAPTDHFSSDELSASTDSEMSENKSQMVYLEEKLKGKILREIQGDYKSYIEELEGQKMGLEVEVTELGKELAQKRTEMQRLKDALLSKEDDNVELRRNQSELEAEVSNLQQELERIKDGLEIHLSELEDENKQLSLRLSSLESQLQDLKDERDSIQLQLEDSKSLVKSLIAQKTEMEKKLQDMHDQWLTSQDRCEYLSRENTKLQANAETLIEECKKSAEELKESDKSLSDCRKKIEVLEENLTLMIEEFASKEKNLTLELKAVHDKNKKLEAKLKLEESSKANEVQNLQQEVETLAKQISTMHHENEKTAYESQREISGLHAEKSRLKSALQEAEFKVHCMESEFKKMQNEAKTEVEDLRDQCNAIKSDKEKLEASLRLVSRECDDLKAEKTSFVEQISFLQKVVSESEEYKQKNADLEEKLVAMESELTVKEALLMQDAGVKNEINQVKRTNRQFQQQIKQLQVEKDELLTKAQTLEEKLKLKMEEKPKQRQSNSHRNKHKTEDNNYDNHEAVAGVDAASKIQFLENELAKAMEANNKYKARLNRQVGLAEGRRSQSNTPRKSSFEGEVVAKEKYERTKSSLEAELKDIRERYLHMSLKYAEVEAQREELVMKLKGVKSIRRWFSNPTN >OMO81881 pep supercontig:CCACVL1_1.0:contig10088:18136:20457:1 gene:CCACVL1_12154 transcript:OMO81881 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 MEDDKVNSRDKARIAIMELANMISVPMSLNAVIRLNVPDAIWQGGANTPLSATQILSLVLPSGGGDPENLQRVLRMLTSYGVFIEHLDANSSERKYSLTDIGKTLVTDADGLSYGPYVLQHHQDALMGAWALVHEAVLDPTTEPFVKANGEPAYSYYGKKPEMNGLMQKAMAGVSVPFMKNVLNSYNGFEGVNKLVDVGGSAGDCLRMILQKHPTVKEAINFDLPEVVAKAPHIPGVTHVGGDMFKSIPAADAIFMKGKG >OMO81880 pep supercontig:CCACVL1_1.0:contig10088:9629:12411:1 gene:CCACVL1_12153 transcript:OMO81880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome recycling factor MEKTIDTVRSNFNSIRTGRANPAILDKVEVEYYGTPVSLKSIAQISTPDASSLLTQPFDKSSLKAIEKALVTSDLGITPNNDGEVIRMSLPQLTTERRKELSKVVAKQAEEGKVAVRNIRRDALKAYEKLEKDKKLSEDNVKDLSSDLQKLTDEYMKKIDTLFKQKEKELLTV >OMO81878 pep supercontig:CCACVL1_1.0:contig10088:5465:6825:-1 gene:CCACVL1_12151 transcript:OMO81878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGARSLVLPKAQKKRLLEKSTRLFTVLKTMT >OMO81879 pep supercontig:CCACVL1_1.0:contig10088:8984:9291:1 gene:CCACVL1_12152 transcript:OMO81879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPFSPTTPVRSIFRPHPNPTKSFFLSPSDCFQKGFNCVKCHSSDVNVYSWSSAANYTPVFVMEP >OMO81877 pep supercontig:CCACVL1_1.0:contig10088:1200:4595:1 gene:CCACVL1_12150 transcript:OMO81877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASKLCSACNQEIDGDINARLAHKEKCEAFYEKSPEEREREIKQANKDFKKLKAASKKEPENKKLKAEVNMIKNKGKGFFKLEANVQAAQLQRESKTAEKKAAQEKEKANRNKRGGSSVSSGSTCNVKLP >OMO81885 pep supercontig:CCACVL1_1.0:contig10088:50224:51317:-1 gene:CCACVL1_12158 transcript:OMO81885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYCSVETTISSLAYAAAWTTRSKRKNSQFLLFWASGL >OMO81882 pep supercontig:CCACVL1_1.0:contig10088:20835:31778:-1 gene:CCACVL1_12155 transcript:OMO81882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MKRELEIPLESSLSPTRESVAVCKSQASSSTSCKRVKVTQVNGYIVYTRVKKKRSNCRDEFSENLEITKLENFNKPINGVKESLVEDQKNKASAEASHVNNSVIGARLGSEKVARKKEAVANVVGESLVVRDIGGGGGLVEALIQESRAIGENAIVGNLVVEEIGLDGRSIVQAALGEGSSSHLATVMSRKELTDELETCLVEKGRFRGASVQIGDSCEGNDDILLKSLRRSKRSLLRPLKVEPVDNLECEQQPAEDVSVSDIGGQEAAEGSDLTTPWKNLELKMSKKIALNKCPMTVKELFDTGLLDGVPVVYMGTISSKTAGLRGIIMDGGILCSCSLCKGHRVVPPSQFEIHACKQYKRAAQYICFENGKSLLEVLRACRRRPLHTLEATIQNILSALPEQKCFTCRRCKGSFPVMHVGQIGPLCNSCVELKKSQSSTTSAPIARARSEEPLLISRSAGSASVGIFPPSTSQWKTMRKLQEPVLMSQSYGSASSSISPQSKSQWKKPRKSSELDATSNSPQNASLCISSENRSPWKTTRKLTKPRLFTKSLKSASVNLSSQDKGHWKTKKKSVKSVLLSKTIKGAPSSPLYSPDGSQWKMTTKDQRLHKLVFEEDGLPDGTEVAYYARGQRLLEGYKKGFGIICRCCNCEVSPSQFEAHAGWASRRKPYAYIYTSNGVSLHELAISLSKGRRYSAKDNDDACIICADGGNLLLCDGCPRAFHKECASLPTVPRGRWYCQYCQNLFMRENFGEHSANAVAAGRILGVDAIEQINSRCIRIVKNIEAELSGCALCRACDFSKSGFGPRTILLCDQCEKEYHIGCLRTHKMADLREIPKGKWFCCSDCSRIHSILQKLLLRGAEKLPDVFLDIIKKKYVEKGLDADINIDVRWRLLSGRFASPETRLLLSQAVGIFHECFDPIVDTTTGRDLIPCMVYGRNLKGQEYGGMYCAVLTINSFVVSAGIIRVFGQEIAELPLVATSKANHGKGYFQLLFSCIEKLLAFLNVKNLLLPAAEEAESIWTDRFGFKKLTPEQLVQYKRSCCQMVVFQGTSMLQKEVPPCRVVNTIPPFSKTSTIDINDNNYDHSLEVSLVRKVVSQCGEFLSVITRMVARHYHHHHHHHHHQRRPSKHKCDHTKESSTFISQYKAVSLVLTVDWKGCANFSSVQQAIDNVPDSSPSKTLIVIHSGTYREKVVVHANKSNLILQGEGFLKTAIEWNDTANSTGGTVYSSSVSIFAPNFTAYNISFRNSAPEPSPGESGKQAVALRIAGDQAAFYNCGFFGAQDTLLDERGRHYYKGCFIQGSIDFIFGNAKSLYVGCVIHSIAKEGTPGVSGCITAHARNSPNEQTGFSFVNCIIRGTGSVWLGRAWGAYATVVFSRTYMTDVVSPDGWNDWRDPSRDQTVFFGEYECLGPGANYTFRVAYGKQLMEYEAAPYWNISYIDGEEWLQDQAFL >OMO83754 pep supercontig:CCACVL1_1.0:contig09858:23252:27884:1 gene:CCACVL1_11217 transcript:OMO83754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial Fmu (Sun)/eukaryotic nucleolar NOL1/Nop2p MAHVLSLHVFLSAQTQKTSYKPSKFSNRTNKSSTSISTAKKGLSRPSHKIQKLNLEVSPHRAVSAVRLMRIEFGGAFADLLNERGKGSGDNEMGYVERTLGFRTRDLDDRDLRLVTDIVGGTIRWRRYLDHLIASLFHDESVFRSIEPLLLQILRIGFYEIVKLNMPPYAVVDENVKLAKVALRPGAGNMVNGILRKLVLVKENNSLPLPKLEGDDRARARALAIIYSHPVWMVRRWTKYIGEENAIRLMMWNNRDPSFSLRANSGKGVTRDDLVTQLDSLKVPHEVSLHLNDFVRVNIGLQNVIRAGLLKEGTCSVQDESAGLVVSVVDPQPGDDIIDCCAAPGGKTLFMASRLSGKGKVHAIDINEGRLRILRETAKVQNVDGVVATIHADLRTFADKSPPKSGKVLLDAPCSGLGVLSKRADLRWNRRLEDLEELKNLQDELLDAASTLVSPEGVLIYSTCSIDPEENEDRVDAFLGRHPEFRIDPVDRYVPSDFVTERGFYFSDPVKHSLDGAFAARLVRTL >OMO83758 pep supercontig:CCACVL1_1.0:contig09858:48215:50166:1 gene:CCACVL1_11222 transcript:OMO83758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPLPLDKLSLPSTTNGDKVYVVLVATGSFNPPTLMHLQLARDALNSDGFNVIGGYMSPVNDAYKKKGLIAAEHRIELCNLACKSSEFVMVDPWEANQSSYQRTLTVLSRVKSFLTEDGRIPKESLKVMLVCGSDLIQSFSIPGFWIPDQVRAICKDYGVVCIRREGQDVEKVITDNEILNESRDNIKIVDELVPNQISSTRVRECISRGLSIKYLTADEVIEYIRKHHLYLN >OMO83755 pep supercontig:CCACVL1_1.0:contig09858:28727:32221:1 gene:CCACVL1_11218 transcript:OMO83755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease CAF1 MNAAKKWPERALILSRVLPRAFSTTATNASAPSPTFPLKHVTRSNFDSTLVELRSHVRAADFVAIDMEMTGVTSAPWRESFEFDRFDIRYLKVKDSAEKFAVLQFGVCPFHWDSLKKSFIAHPHNFFVFPRQDIPLDGSSYEFLCQTTSMDFLAKYQFDFNACIYEGISYLSRRQEDEARRQLKSRNEVRLTNSSYDLRQTVDVPLVRVSDILFTERMKKRLSEWHDNLLQNRSGGYHDQGVSIDINQQFQTVFFKMRPALSLNGFTSHQLSLIRMVTRKHFEDLAYVRFNSESSSQELVVYTDSENDKHRLMEEVKNEHQGSAEMKINAAVGFRHVIDLLSSENKLIVGHNCILDIAHIHNKFLGPLASTAEEFVACVNKYFPYIIDTKILLNAEHTLRQRMRKKSTSLSSAFSVLCPEIAGGSKSPSQPCVQVEVHVDDMRSSNWNSGAKHEAGYDAFMTGCVFAQACSHIGIDFQLHSPSENLGQNEKLQKHMNLLYLSWINGDIIDLRTGNSTAEFLGSNMIRKWFPKISFENIVLIWGFPSKLKGSVIKECICKTLGSFSVTKVYHLDQTAVFVQFSKAELVSEFLILKETLEQSNDAISVLHPLSKLLEGGNTHAASYETYKDICASPVSKVFFADQAEAVGIKWKTELLASNINKSHLENAPDTAEVVDKSKTKKAEDVVNDSSTENLPCDKILDSFLKVTKSVRTTNV >OMO83757 pep supercontig:CCACVL1_1.0:contig09858:37206:47835:-1 gene:CCACVL1_11221 transcript:OMO83757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIEKALVQIFESKNQIIEHVKQQILVFDHHLASKCLIDGFVPPPWLLSTSPSELNKEDLISELLFPHHRPSIPYCSLYQKPVVTIDNVQLPDVSCSGVDALNEGLGQCLTGKADELDPSVTSPPQDCRDGMTSDICPDPGLSLARIQRSKSRQRALEHRSAKSCKKVESSEKNGDATGSQNKGSKIASLLSDPLDKLELIRSGDAVAHCAMAKGWSETVAIFSVQPSKSSSGASHADNTSCVAKQDGVVCTDSISKSEQQPGVVQEILEPKVLEPIHNSVSCLVKTEERGQCWSKERGENIDSGRITRSRRSAKSPMFANGPANQGNSFSITEQDGIVVTESINKSRIQPDADSELLQLVKPVDNVEASVVEKKEKGECKRGESSYSGIITRSRSCVQSPKSVNELSRSYKTFDAVKDDDNLLPELISESIQQHNVVREFLQSVSPGIISNESCRSRKVRGDQSMEKDIKVHQGRITRSRGSSQQNSRSNNHLKLDSCSDRSINDGICKSVQLACSFDSSNESCGRQAKTCDYQKENAVVDQYSNGSTRSTANRSSKLLKLVDSSHTLEYEVPQSKLTTSNKCPYAKSSDRSEEVELKEVSGTQINSLPCANESDFADLNQSEAIVADTDEDSDKLIKSPSAGSASNLDGTSHPPLVNSLNRYERVELEVAGISPHSESAMMVMPKQLDFDNLGQCSLNEASVSETEEEMVSLEKKPPTPLPSAYKMGEVPPLTYQEKCDLSLEKQLPEDQEAVNQKMESSPSQNQNANTMGRFIVEGIESVLDPTYAKSSEHVAIPSIHPGRHSGSNLEGSWPHKRRRIDSQQSISLSLSSKEEDITRLNTDKSLLNEDWHEGKHSSKEKGRSENTPSTIVQKQFDVASFSSLPKETLEDYEDHSVEGIGAVELSSIRFGSTGECTADENQILLNILDKSEFGNIEHLTCEKMSEQENKSEFREDGENLSSPISSPCQPPTDVINAARISPDLEGFILQTDSEEICIGGDAISFDKLDLPKNTIARASILEQLCKSACTHTPSSQFPTTYRLHQKTDFYQSVPNGLLECMDQMALPNNIDRKGQVKVSSSSFSEDVNNAFLRGSFSDCFPCSSSQINGDVKKPYLSPVGKLWDRITSKSGSSERKSSLIPELPCISEENEITDEVVDAFQEGSASKVVTCSVKRKPLTEIRECPDIPASVSGAEIFTVRDSLDSVNTAYSFTGTENRVKQKVGKYNAGNRRDTNKIIPPRENGTKRASESIRNRFNKAEQSEKPSLRKGGPSFLQKESKVNNIVSNISSFIPIVQQKQAASIITGKRDVKVKALEAAEAAKRLAEKKEHDRKMKKEALKLERARLEQENLRQLELEKKKKEEERKKKEADMAAKKRQREEEERLEKERKRKRVEEARRQQRAPEEKLCAKKDDKEKNGQRPQTMKVPNEAAKHEKMEKEIAAENEGKTLEMEFKTALASTSDAIKASRTIEDCNAKVVSTGDRATKCDELIADKSQEQSYDISPYKGSDDEEEDEEDDDDEPNRKFIPSWARKSCVALVVTSQQRVDPEVIFSPRGFCSINEASAENTTFDFPYFTFWNFTLLGDSHLKNGVVGLTRELGVPSSSSGSLIYNNPIQFFDQESNITASFSTRFSFAINNVNPSSFGEGLTFFLSPDNQTIGSPEEYLGLVNSSDVDKNKFIAIEFDTKLSTQFNDPDENHVGLDINTLHSIKTADAMLQDIDFKSGNLITAWIDYKNDLRVLNVFLSYSTLKPQTPLLSVDIDLSGYLKQDMYVGFSASTDGSTEIHSIENWSFRTFGFLPVRPGSHPHNVSDSSVTIISDVPASNSTNEHHKRLGLGLGIAGPAFFFVVLVVFGYVSVKKWKDMKIEKCLKAEISTGPREFSYKELYAATRGFHSTRIIGRGAFGNVYKAFFVSSGTVGAVKRSKHSHEGKSEFLAELSIIAGLRHKNLVQLQGWCAEKGELLLVYEFMPNGSLDKVLHPEPDSGVLLTWSHRQNVAVGLASVLAYLHQECERQVIHRDIKTSNIMLDLNFNPRLGDFGLARLMDHDKSPVSTLTAGTMGYLAPEYLQCGKATEKTDVFSFGVVVLEVACGRRPIEREPNSQKMVNLVDWVWGLHSEGRITEAADKRLNGDFKEEEMRKLLLVGLSCAHPDSAERPSIRRVLQILNNEAELVAVPRMKPTLTFSCSLKVEDIVSDDEDSRTTA >OMO83759 pep supercontig:CCACVL1_1.0:contig09858:50697:51242:-1 gene:CCACVL1_11223 transcript:OMO83759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, CBFA/NFYB, DNA topoisomerase MPPPCIVREQDQYMPIANVIRIMRRILPAHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMGKLGFDDYVEPLTVFLGRYRESESEKTSLRGDPILKRGIDYGPMVMAPYGPGFHMGLGHHQGIFDAAAMGMGGFYRDGSGAAAGAGSSSQPSLTNNFDPFGQFK >OMO83756 pep supercontig:CCACVL1_1.0:contig09858:32625:33371:-1 gene:CCACVL1_11219 transcript:OMO83756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MASNFSLPKLCKNALFSLLLMFMVMVVAAASFQFNVGGRERGWIKPTGNESETYNEWAARNRFHVGDSLYFKYENDSVLVVNKTSYSKCSVSNPIFKFEDGETVFQFHRYGFFYFISGERGHCKAGQRLIVRVMVHPAATPSPEPAPSPQGDGSGNEGGGWDSFWGPPPPNSTIKLTVASYFMTALGGMLVIMYLLM >OMO83753 pep supercontig:CCACVL1_1.0:contig09858:4288:21717:-1 gene:CCACVL1_11216 transcript:OMO83753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMKYAFQHQEGSWFLHIEARTLKGSNGPCLSNFATAEVGDHKCGGNRLITSFVQNIGQEAIISSGKELGVKALPTERDLSSMTKNLSSTGIVNGCLSNCNRVSKHVSSPSTRGASQGLQEEELRTRVDNSSIQIEDSPTFMVRTPPKQSLSLSRADRTTGTPSNEFISCEVGKRIIIASNNIRCLRSVTVKTEETNDASWLIEPPKIKSFINSVTSVPLENIEEPLKDFVWEFDKGDFHHWVELFNHFDTFFEKHIKSRKDLQVEDNFLGSDPPFPREAVLQILRVIRIVLENCTNKHFYSSYEQHLSSLLASTDADVVEACLQTLAAFLKKTIGKYSIRDASLNSKLFALAQGWGGKEEGLGLIACSVQNGCDTVAYDLGCTLHFEFYASDELSASEKSTQGLQIIHLPNINTYSETDLELLNRLVAEYRVPSNLRFSLLSRLRFARAFGSFTSRQQYTRIRLYAFIVLVQASSDADDLVSFFNNEPEFVNELVTLLSYEDAVPEKIRILCLLSLVALCQDRSRQPTVLTAVTSGGHRGILSSLMQKAIDSVISNTSKWSVVFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVSTAVNILEAFMDYSNPAAALFRDLGGLDDTISRLKLEVSYVENSPKQQVEEPDFTGRSSQVVAGASAELDNMQPLYSEALVSYHRRLLMKALLRAISLGTYAPGNTARVYGSEESLLPQCLCIIFRRAKDFGGGVFALAATVMSDLIHKDPTSFPVLDAAGLPSAFLDAIMDGVLCSAEAITCIPQCLDALCLNTNGLQAVRDQNALRCFVKIFTSRAYLRSLTGDTPGSLSSGLDELMRHASSLRVPGVDMVIEILNVILRIGSGVDTSNFAAESSAPVPMETDTEERIQRDEGESSRIESSEQMAEPSSDASSMNIELFLPDCISNVGRLLETILQNADTCRIFVEKKGIDAVLQLFTLPLMPLSASVGQSISVAFKNFSLQHSASLARAVCSFLREHLKSTNELLASVGGTQLSGVEPGNQTKVLRSLSSLEGILSLSNFLLKGTTSVVSELSTADADVLKDLGRTYKEIIWQISLSNDSMADEKRNADQEGESTDAAPSTSAAGRESDDDANIPAVRYMNPVSVRNGSQSLWGAEREFLSVVRSGESLHRRSRHGLSRLRGGRSGRHLEALNIDSEVSHSLPETSSLQDLKAKSPDLLVIEILNKLAFTLRSFFTALVKGFTSPNRRRADTGSLSSASKTLGMALAKIFLEALGFSGYSSSSGLDTSLSVKCRYLGKVVDDMGALTLDSRRRTCYTAMVNNFYVHGTFKELLTTFEATSQLLWTLPCSLPAPGTEHEKAGEANKIVHSSWLLDTLQSYCRVLEYFVNSTLLLFGNSGSQTQLLVQPVAAGLSIGLFPVPRDPETFVRMLQSQVLDVILPIWNHPIFPNCSPGFVASVVSIVMHVYSGVGDVKRNRSGITGSTNQRFIPPPPDEGTIATIVEMGFSRARAEEALRRVETNSVEMAMEWLINHAEDPVQEDDELARALALSLGNSSETSKVDSVDKPMDVMTEEGRPTEPPIDDILNASVKLFQSSDNMAFALTDLLVTLCNRNKGEDRPRVLSFLIQQMKLCPLDFSKDSSALCMISHIVALLLSEDGNAREIAAQNGIVPAAIDILMDFKAKNELGNEIMAPKCISALLLILDNMLQSRPRISSDTLEGTQTVTQPDPSGEHAAVPESMTEKKPASDVNKKESISPFEKVLGKSTGYLTIEESHKLLLVACDLIRQHVPAMVMQAVLQLCARLTKTHALALQFLENGGLVALFSLPRTCFFPGYDTVASAIIRHLLEDPQTLQTAMELEIRQTLSGNRHAGRVSPRTFLTSMAPVICRDPFIFMKAASAVCQLESSGGRPYVVLLKEKERDKEKMKVSGAELGLSSNESVRIPENKVTDGTGRCAKGHKRVPANLAQVIDQLLEIVLKYPSAKGQEDSATDFTSMEIDEPASKVKGKSKVDDTRKLESETDRSAGLAKVTFVLKLLSDILLMYVHAVGVILKRDSEMGQLRGTNQLDACGSVGILHHILHRLLPLSVDKSVGPDEWRDKLSEKASWFLVVLCGRSSEGRKRVINELVKALSSFSNLESNSMKSSLVPDKRVFAFADLAYSILSKNSSSSNLPGTGCSPDIAKSMIEGGVVQCLTNILEVIDLDHPDAPKTVNLMLKALESLTRAANANEQVFKPEGSNKKKSSSSNGRHADQVTVSAAEVIENNQNGGGQQIIVDAEETEQEQHQGTSQNEGNNNGNPTDTVELDMRVEVEEAGANNRPMELGMDFMREEMEEGGVLHNAGQIEMTFRVDNRADDDMGDEDDDMADDGEDDEDDDEGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDDMIDEEDDDFHEHRVIEVRWREALDGLDHLQVLGQPGAASGLIDVAAEPFEGVNVDDLFGLRRPVGFERRRSNGRSSFERSVTEVNGFQHPLLLRPSQSGDLSSMWSSGGTSSRDLEALSSGSFDVTHFYMFDAPVLPYDHAPSSLFGDRVGSVAPPPLTDYSVGMDSLHLPGRRGPGDGRWTDDGQPQASSQAAAIAQVFEEQFVSHLRSIAPASNLAERQSQNSGMQETQPSDAPVSNDGKVILEGDNASSQQSEDHQQENGNEISHELNPTVESQSVIGDMAESMQAPEGLSAQPLSLNSATNEHDNMEIGEGNDTATDGIEPTSEMVNLPEGNSSVPGNTSFQAIGADALSVADGHPGNHVLADSGGEMPNRGDSNGSSFHESIDVDMNATDADGTQNDQSIPPEIGAEEAAAQQNTLEAEDANQADQTNVNSEGTGANAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYVPPSADDIDPEFLAALPPDIQAEVLAQQRAQRVAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGGSHRLNNRRNTLGLDRQTVMDRGVGVTLGRRPGSTISDSLKVKEIEGDPLLNANSLKALIRLLRLAQPLGKGLLQRLLLNLCAHSVTRATLVKLLLDMIRSETEGSSNGLSTINSQRLYGCQSNLVYGRSQLFDGLPPLVLRRVLEILTYLATNHTAVANMLFHFDPSILSEPLSPKYSETKKDKGKEKIMDGDVSKTLLNSQEGDVPLIIFLKLLNRPLFLRSTAHLEQVVGLLQVVVYTAASKLESRSLTHLAVDNSHSKTTLAEEGSDNAHKDPPLSEAESSQEVKENNAESSASNGHRTVDLYNIFMQLPESDLCNLCSLLGREGLSDKVYMLSGEVLKKLASVAVTHRKFFTSELSELAHGLSSSAVNELITLRNTQMLGLSAGSMAGAAILRVLQVLSSLTSTNVGDHAAKDGDGEQEEQATMRKLNMSLEPLWEELSDCIGMTEAQLAQSSLCPTVSNVTVGEHVQGTSSSSPLPPGTQRLLPFIEAFFVLCEKLHANHSIMQQDQVNVTAQEVKESAECSAFLTSKCSGDTQKKLDGSVTFARFAEKHRRLLNAFVRQNPGLLEKSLSMLLKAPRLIDFDNKRAYFRSRIRQQHEQHLAGPLRISVRRAYVLEDSYNQLRMRPTQDLKGRLNVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTEVTDFELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLEDLKANTEYTGYTAASPVIQWFWEVVKAFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYSSKEQLQERLLLAIHEASEGFGFG >OMP07372 pep supercontig:CCACVL1_1.0:contig04486:550:642:1 gene:CCACVL1_01317 transcript:OMP07372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATPGFELGNKGFAVPRLTARPCRQKTTNKK >OMO63168 pep supercontig:CCACVL1_1.0:contig13016:13422:13508:-1 gene:CCACVL1_22437 transcript:OMO63168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AWKTGARRSHLYSGLSLVLATNFRSFIR >OMO70870 pep supercontig:CCACVL1_1.0:contig11778:233:316:-1 gene:CCACVL1_18612 transcript:OMO70870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPSPRRSSRVVRMPAKLDGFVVGKN >OMO70871 pep supercontig:CCACVL1_1.0:contig11778:1760:1819:-1 gene:CCACVL1_18613 transcript:OMO70871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFETEVEVMVEVAHGAD >OMO70874 pep supercontig:CCACVL1_1.0:contig11778:9973:13854:-1 gene:CCACVL1_18616 transcript:OMO70874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPDEPITKGIDASVGGLVWVRRRNGSWWPGRIMSLDEVSEGCLVSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEYNECIEKAKASAANSSKKAVKYARREDAILHALEIESARLGKDHPDYFCRKDNSSGDQGSSARESPTSSHSGRENEDMTDETSESEDDSVSAPELSQSGVSFEEPNHVNGTKGHTVLVKRRKTPNDSEDDGTEGIKRMRGLEDLGMGVGSKRKAQTSGVPESIQQDNASLYDSNMGNCLSNGSPVNGSRNHSSSLKRKRSQVANVHELLKRKNRRRPLTKVLESTAMVPVSVACDEIPSSSGSTLRGPSDSKVSGMDSNESRKSVSPVINNNSNNNNSDSTGGSCENGVSLNASEHAADASQTNNKTKDNEISSVPELAENDSPDKLFDVPFVGEDKPSADFSPIFVSWSSETPEVGCDLGRQVEIEGHNESGCTRSVAVHTTSISQRIEKGTAEWQLKGKRKSRHISKNRKHSLTKYADMSDEPNAYMAGVEHLDGLSQVSDQKVDCNGVGGSVAPYTSMLQSKSKSVVEDQLNGFQDWKSMSREPRVRGAVVEAKIHPDGSLTPQRSLPPRQSRYTVHSRYQMTDFPGKSYPADSSFYDVKIEVKANYRPQHVPLVSLMSKLNGKAIIGHPLTIEVLNDYSRNSTSEAAMKFTDINHVVKRKSEGGRVHKKHMKLHSRFPPRKSAKAKKSGLLSKKIRKLSSLTGQKLGVADRKPVVEKPKGPVIACVPLKLVFSRINEALNGSTRPAQRPLTSGNS >OMO70873 pep supercontig:CCACVL1_1.0:contig11778:6982:8770:-1 gene:CCACVL1_18615 transcript:OMO70873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L28e MATVPGQLIWEVVKKNNCFLVKEFGRGTAGVQFSKEPNNLYNINSYKHSGLANKKTVTIQRAGNQSVLLATTKTKKQNKPSSLLHKSVMRKEFPRMAKAVKNQVTDNYYRPDLTKAALARLSAVHKSLKVAKSGVKKRNRQALKK >OMO70872 pep supercontig:CCACVL1_1.0:contig11778:3981:5440:-1 gene:CCACVL1_18614 transcript:OMO70872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MAFVWFLLVFSLSLAVIPSQAETQVNQSRVHLKIHHVHGPESSLIQNVSFSDFLLHDEERVKSLKSILVKNTNRGSSSLSSDLSRRSNHWSSAKSLNIPLNSGLSIGSGNYYVKIGIGSPVKYSTMIMDTGSSFSWIQCKPCAVFCHSQTDPVFDPSSSKTYKTLPCAASACSSLKESTLNSPLCTSSNKCIYTASYGDSSYSIGYLSQDLLTLSQSQTFPNFIYGCGQDNEGLFGKSAGLLGLARDKLSMIAQVSSKYGFSFSYCLPTATASSGGFLKIGKNPSVVTSSFKFTPMVKDPRESSSLYSLRLAAITVAGFPLRVSAAEYKVPTIIDSGTVITRLPLSLYSALQSAFVKIMSKKYAQAPAFSILDTCFKGNVKAMTSGVPEIAMVFPGGAALRLSASNMLIQAGDGVTCLAFAASSEITVIGNHQQQTFEVAYDVANSRIGFAANACR >OMO67825 pep supercontig:CCACVL1_1.0:contig12372:13895:14373:1 gene:CCACVL1_20290 transcript:OMO67825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQQKRNIPAMPGGRVLLDTDGDMSLADKYRVGH >OMO58632 pep supercontig:CCACVL1_1.0:contig14194:3648:3737:-1 gene:CCACVL1_25422 transcript:OMO58632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QPKFSHIDKFDKPLKGPGIKAPAGKISCF >OMP05874 pep supercontig:CCACVL1_1.0:contig05161:2462:3350:1 gene:CCACVL1_01801 transcript:OMP05874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNYASSGQQPQEWSVGFCDCFSDMKTCCTACWCPCITFGQIAEIADKGSTSCGASGALYTLIMFITGCPMLYSCFYRNKLRKQYNLVGGGCGDCFRHCCCETCALTQEYRELKNRGFDPSIGWHANVERNPGLAMAPVVEKGMSK >OMO99645 pep supercontig:CCACVL1_1.0:contig06840:2018:2365:1 gene:CCACVL1_03694 transcript:OMO99645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GAAHAALAALSAAPLAPVSAAPPAPAALVVKARLPLPLDFLLGSGALRASFVFFVVEVLIYLFPVSFMRTLFALLISVAYSTSCYICWGFLGKGLTGGRWRFESFCSPPPVLLME >OMO99646 pep supercontig:CCACVL1_1.0:contig06840:9363:10019:-1 gene:CCACVL1_03696 transcript:OMO99646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGRVEGPPSRRFLAAWYCFASLGFGGCWLTIMEIKMEGGKHRSDLWRTIFLYALDFGFLLPTAVGMGHVLIATYLGFYPSLAEKMDKRGIVRYKATTRRLPRWFTLAVSLLFWVLATLWWILLNKDWKEFVKNHTDNQVLTAYAHIIPLSFVLTAFMALAMFFCFNFSCKSHDDDPQPQPSSTAKVSPVSPQPQPSSTAKVSPVSDSDIESVQPKS >OMP09684 pep supercontig:CCACVL1_1.0:contig03087:1145:1279:1 gene:CCACVL1_01051 transcript:OMP09684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVRWLAAALAASSFGAGVPSGPFGGLRVRAYACFVMRFHQALLDK >OMP12066 pep supercontig:CCACVL1_1.0:contig00481:10478:10773:-1 gene:CCACVL1_00151 transcript:OMP12066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DELETGESANSITCYMKETGCSEAMARQHINGLIDESWKRMNKCQIDGSPFGKHLVETAINLARISHCTYQHGDAHGRPDSKSKNRVVSLIIEPISIM >OMO70069 pep supercontig:CCACVL1_1.0:contig11880:43897:47452:-1 gene:CCACVL1_19117 transcript:OMO70069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad (HIT) protein MEARRRLAILSSHLCSSPSPSPSPSPSLSASPCAASDTQSQSQSQPPSEDTTLRNDCLYEDDTCLCILDTSPLSRGHSLIIPKSHFSSLDRTPPSVVAAMCSKVPIIGNAIMKATGSDSFNLLVNNGAAAGQVIFHTHIHIIPRKARDCLWTSESLHRRPLKLDQEASGRDGFGMHDRKGIEGAWDMEMSVANILVLVIPSLFGLFKE >OMO70068 pep supercontig:CCACVL1_1.0:contig11880:27611:31136:-1 gene:CCACVL1_19116 transcript:OMO70068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQISSAKQAEQLRKDGNLFFQKERFGAAIDAYTEAIALCPNVPVYWTNRALCHRRRNDWLRVEEDCRRAIQLDHSSVKAHYMLGLALLQKHEYDGGVKELQKAMDLGRGANPKGYMVEEIWQELAKAKYLQWEQASTKHSWELQNLKEACETALREKHFLDDSELDEASVSHSNQLKALQQVFRKAGEADIPGDVPDYLCCKITLDIFRDPVVTPSGVSYERAVILEHLQKVGKFDPITREPLYPSQLLPNLALKEAVQAYLDKHGWAYKTI >OMO70067 pep supercontig:CCACVL1_1.0:contig11880:8679:11018:1 gene:CCACVL1_19115 transcript:OMO70067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAKTGVLSSDPTVRARAAELKKELQRLVRTIVADEDYSVDTIDQAKDALCALRELKFNKRSSPTTPTSSLKLHEALSCPDEFRCPLSKELMRDPVILASGQTYDRPFIQKWLNAGNRTCPKTQQVLSHTFLTPNHLIREMILQWCKNQGIELPNPVQYGKEDGVTEAERDQFFSLLEKLSASLAEQKAAAKELRLLTKRMPSFRALFGESVDAIPQLLTPLSGNKSQSVVHPDLQEDVITTLLNLSIHDSNKKLVAETPMVVPLLMEALRSGTIQTRSNAAAALFTLSALDSNKALIGKAGALKPLVDLLDEGHPLAMKDVASAIFNLCIFHENKARAVRDGAVRVILIKIMDGVHVDELLAILAMLSTNQRAVEEMGELGAVPCLLRIVRESTCERNKENCIAILHTVCLNDRTKWKALREEESTHGTISKLAQDGTSRAKRKATGILERLRRAVNITHTA >OMO97724 pep supercontig:CCACVL1_1.0:contig07207:42080:51993:1 gene:CCACVL1_04479 transcript:OMO97724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVPNLDLEMKLPNRSKADGIENLAR >OMO97722 pep supercontig:CCACVL1_1.0:contig07207:4405:36626:-1 gene:CCACVL1_04477 transcript:OMO97722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSPIPQLSSKPSPSALFSDNGLLVVDFVGLYCKSKATTRRRIALSSHNSRNRRRLSLAASNNSVRAVLDLPTNIASSATDRQSSSTPQPKVANLEDIISERGACGVGFIANLENKASHEIVKDALTALGCMEHRGGCGADNDSGDGSGLMTSIPWDLFDDWAKTQGLSTFDKLHTGVGMIFFPKDDNLVEEAKKAIVNTFRQEGLEVLGWRSVPVNTSVVGFYAKETMPNIQQVFVRIVKEENVDDIERELYICRKLIEKAAASESWGSELYFCSLSNQTIVYKGMLRSEVLGLFYSDLQNDLYKSPFAIYHRRYSTNTSPRWPLAQPMRFLGHNGEINTIQGNLNWMQSRETSLKSPVWRGRESEIRPFGNPKASDSANLDSAAELLIRSGRTPNEALMILVPEAYKNHPTLSIKYPEVVDFYDYYKGQMEAWDGPALLLFSDGKTVGATLDRNGLRPARYWRTSDNVVYVASEVGVVPVDDSKVTMKGRLGPGMMISVDLLSGQVYENTEVKKRVAALNPYGNWVSENMRSLKPVKFLSATVLENDTILRRQQAFGYSSEDVQMIIESMASQGKEPTYCMGDDIPLAILSQRPHLLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPENASQVTLSSPVLNEGELESLLKDPQLKAQVLPTFFDIRKGIEGSLEKTLHKLCEAADEAVRNGSQLLVLSDHADELEATRPAVPILLAVAAVHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGYGASAVCPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQTNFCKAIKAGLLKILSKMGISLLSSYCGAQIFEIYGLGKEIVDLAFCGSVSKIGGLTVDELARETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAVRQKSESAYSIYQQHLANRPVNVLRDLLEFKSDRAPIPVGKVEPALSIVKRFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWSPLIDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLANADQLEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIFDLHQVNPKAKVSVKLVAEAGIGTVASGVAKGNADIIQISGHDGGTGASPISSIKHAGGPWELGVTETHQTLIENGLRERVILRVDGGLRSGVDVLMAAAMGADEYGFGSLAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNFFLYVAEEVRGMLAQLGYEKLDDIIGRTDLLKPRDISLVKTQHLDLNYILSSVGLPKLSSTAIRNQEVHSNGPVLDDILLADPEVSDAIDNEKEVHKTIQICNVDRAVCGRIAGVIAKKYGDTGFAGQLNITFTGSAGQSFACFLVPGMNIRLIGESNDYVGKGMAGGELVVTPVENTGFCPEDATIVGNTCLYGATGGQIFVRGKAGERFAVRNSLAQAVVEGTGDHCCEYMTGGCVVILGKVGRNVAAGMTGGLAYILDEDDTLIPKVNKEIVKIQRVTAPVGQMQLKSLIEAHVEKTGSTKGSSILKEWDKYLPLFWQLVPPSEEDSPEACAEYQSTAAEQVSLQSA >OMO97723 pep supercontig:CCACVL1_1.0:contig07207:40475:40576:-1 gene:CCACVL1_04478 transcript:OMO97723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGTNLQNSPEITTASRNAVKQGNDRTKGESR >OMO58748 pep supercontig:CCACVL1_1.0:contig14174:8665:10316:-1 gene:CCACVL1_25375 transcript:OMO58748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DCGVGAIHKDCGVGAIHKETHSSPPKENNGFAVLRVRWAREVRPRE >OMO59456 pep supercontig:CCACVL1_1.0:contig13958:23662:23778:1 gene:CCACVL1_24813 transcript:OMO59456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGIFFGEATHDGSGRGNDIRLGGSSDSLGEKRRRRD >OMO59457 pep supercontig:CCACVL1_1.0:contig13958:30705:31170:-1 gene:CCACVL1_24814 transcript:OMO59457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVCERFSNEFSSPPIICRAT >OMO80796 pep supercontig:CCACVL1_1.0:contig10272:12433:14720:-1 gene:CCACVL1_12743 transcript:OMO80796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MASKGTTMLDCQFLLVFLFLALMAMVPFGASLGVNWGTMATHQLPPSKVVKMLRDNGIHKLKLFEYNEGIMTALTGTDIEVMVGIPNNMLKDISENPSAAASWVYNNVSGYCYDGGVNIKLSNEPFLQTYNNTYLQYTLPALRNIQNALDESGVKCRYKATVPFNADIYYSPESNPVPSTGDFRPEVKELAIEIIQFLYLHDAPFTVNIYPFLSLYGNDYFPVEFAFFDGLSRPLRDGDNVYKNAFDANLDTLFYALGKAGFSEIEVIVGEVGWPTDGDKNANIQYAKRFNQGLIRHILSGVGTPARKGEIEVYLFSLLDENAKSIEPGGFERHWGIFEFDGKPKYELDLTGHEVDRGLAAVEDVKYQLKRWCILDPEATDLEELPKSIDYACSLSDCTALGYGSSCNHLTAEGNASYAFNMYYQVNNQNDWDCDFSGLAIVTDDNPSEEGCPFPVMIAYGSSMLLHGGHLLDVFLRTIAGFVVLFAFLL >OMO80798 pep supercontig:CCACVL1_1.0:contig10272:26672:31640:-1 gene:CCACVL1_12745 transcript:OMO80798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSQTSAPTLRTVTISYSELKDKNADLSMKIEEGFGPTGLGILSITDVPEFPTLRKNLLNLSSRLASLPEEVKKELEDPRSRYNIGWSHGKEQLESGKPDLLKGSFYANPLIDVPTTDAYLIERYPAKCGANIWPQAALPELEVAFKALGKLIVDVGMMVAYHCDQYVSKGVKMHEHEGLEHILLRSRCHKGRLLYYFPANQSDHEEDGDSMSSWCGWHRDHGSLTGLTCAMFKRDGVEISCPDSAAGLYIRTRTGQIVKAIFGEDEIAYQIGETTEILSRGYLCATPHCVRAPKGKESAGVDRSTSAFFMNPDWDEKLNFPEEVHIHKELIPSKGTLTFGEYSEMLYDKYYYKKT >OMO80797 pep supercontig:CCACVL1_1.0:contig10272:16851:20464:-1 gene:CCACVL1_12744 transcript:OMO80797 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA transferase Trm5/Tyw2 MLDESKFDVNFNLLALRIPRELCKIATRLLNGYLLDKPRVKPITEDPTSEKNRYMILSEKVQSPDLSDIPSEKLDELKKLCEIEVVPYSMTLGYSYWTADHILKQILPPGVEVPSSFETILLPFKDVIAKVIYDKNYPRIKTVVNKVGTITNEFRVPKFEILAGESNMVTEVKQYGATFKLDYSLVYWNSRLEHEHIRLVTMFRPGETICDMFAGIGPFAIPAAQKGCLVYANDLNPDSVHYLKINAKLNKVDDCVYAYNMDARKFISHLMVAPVGEINVESDDTMVKASDTCKAVDDAKTEDTKLGDAKDDLEDIQNSSRSVDASAVAVKRPSDCSTQENGNTPGGHKKKGNAHKRMKGSVLSNTKAWEHIDHVIMNLPASAIEFLDAFRGIISRKYWKGPLPLVHCYCFIRASETEELIISIAESALNAKIQDPVFHRVRDVAPNKAMFCLSFRLPEACFNEDIIDGTCG >OMO80794 pep supercontig:CCACVL1_1.0:contig10272:2420:7149:-1 gene:CCACVL1_12741 transcript:OMO80794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPGILLKLLQSMNSPTRVTGDHRSPLLQVIGIVPALAGSDLWPNHGFYVQLSDSVNSTYVSLSDRDTELILSNRLQLGQFVYVDRFHFDSPVPRVSGIRPIAGRHAFVGSPDPLIARISSSKRDFVIQPVSESEYSVDPIAVYLSNKKLDQNQQLQTPNDSKDSKFEKSKTRQPLAPRDNVKVNENSESESKVPEKPPQRFSSPATAKRSSSAVKKTNAAVVERDPSPAAKGKRSASPVPSKCVVPSLVAAKEENRKVAREPAIIVPSRYRQPSPNGRRQASPSARRTSLSPGRRLSGVLKVSPVVGDSKKKMATIVAGISKVSEALVGSAKSSRKSWDEQPEKGSGEKKDKVSVKSKPDLQAILRTQAAISRRLSDVHSQKSNDENSSSNEKPKASPPEDSLASEKSTCAGGALEITIHEKKWTDGSVSWDTLSADLTKLGKEAMQRRVLASKAAAEALEEAIATESVIRNLSMFSELSSKSKAGNPLPTIDQFLSIYDDVVKYTGIAESVAASHNSDTENAPMEHSKSSTLWVEAALATDLEIVSLLTPQNNESPSALQRSLSKRQSNQASAKNQFKATSSLQHIAPAAAAGNATWTRGQGMKETVDFAMNLKLEMEIWFLGFIEGSLDAGFRVLGECASGGSKALPLDCGSIAAVLSQLKRVNDWLDRAVSNGDEPLVDKVEKLKRKIYGFVIQHVGTTFDNSTHLSS >OMO80795 pep supercontig:CCACVL1_1.0:contig10272:8313:11475:-1 gene:CCACVL1_12742 transcript:OMO80795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKSGQSSYRDRTQEFLSVAERLKKSYSSANNAALSNNSVSSKAEDRRSVVAIQSEFNKRASKIGFGIHQTSQKLAKLAKLAKRTSVFDDPTAEIQELTAVIKQDITALNSAVVDLQLLCNSQNESGNISSDTTTHSTTVVDNLKNRLMSATKEFKEVLTMRTENMKVHENRRQLFSSTASKDSTNPFIRQRPLVSRSASTTSTTSPPPWANGSTSSQLFPSKQGDGESQPLLQQQQQHQQQLVPLQDSYMQSRAEALHNVESTIHELSSIFTQLATMVSQQGELAIRIDENMDESLANVEGAQSQLVRYLNSISSNRWLMIKIFFILIVFLMFFLFFVA >OMO80799 pep supercontig:CCACVL1_1.0:contig10272:33900:36622:1 gene:CCACVL1_12746 transcript:OMO80799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNPHHLSHKIRCSISSLRKVKSPRASPNSSVTDFPFRSSPFYFRPIKFSDSPSLSASTTAAAAATATTFSWDDVVRVSQPEYNPHDSSDLRGFFDKIKACNRGSELQSEFLPFIIEDQIVGYIHRSFADNLRSFQDVFILSKDNYDGGYVTLHRMLKLAEDRTRAVGEVIKCLAEKGLIPGIRNELYPVTSSFGAPVFFSLERAAAPYFGIKAYGIHMNGYVEKDGQKFLWIGKRSPVKPTFPGMLDHLVAGGLDGISPSACIHELHPQTSFSAKPHGIACGENVIKECEEEAGIPRSLSVRAIPVGAVSYSDIDGDRFKRDVLFCYDLKLPEDFVPNNQGKCTEHEHIALKHTGQCSNFVNMLTDLKVIIRLVILGELVTYDAFR >OMO68864 pep supercontig:CCACVL1_1.0:contig12163:57885:69712:-1 gene:CCACVL1_19797 transcript:OMO68864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFVVPAKRRSFAKRMKWMNLSKSGENKNQTGKLTTKKSKKIRQQPDQTRAKKQERDKIERNDNAEFRSREKRNKNLSERRKLHRKNPRDDKRQGGKSRERNSEWCM >OMO68863 pep supercontig:CCACVL1_1.0:contig12163:42290:48336:1 gene:CCACVL1_19795 transcript:OMO68863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAERKTGADALCKLLLYFSVSDPRLVTFFMLMIVLYCVYIRVAPGTHATEAAGQPNQKKVYHASKRETERENKIPAITEREGLEVPKGKEKAKPAIIKREGVEPTLKISSLKLEGGTKYFGDLDANRFCYVYYKTVKEAKDSMALHNNMLRFPQFLRYHLVFKDANGEAVIPVELFEHTVAQFQFLCSMQWKDNIMSRDYQGIIIELITAVTVLIDQGITHMELSLDNMISAEELVKVIAVRFPLVNSQLFDFAYMMGIARGKTSCASSSNVFLHTKVTSKVNPWLTSADA >OMO68862 pep supercontig:CCACVL1_1.0:contig12163:4340:7025:-1 gene:CCACVL1_19794 transcript:OMO68862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MGGLKPKGVVTDEALAMHNAIREIMPEARHRVCCWHIEQNALRNVKITGFVDAFKKVMMSWWTPDDFETGFRGMVREFRIEVDGAGVYTKRAFERFRKEVRREHLYYCNSTPTIVAGGGRKYILRRYTRPEHVIEVIYEEERALMKCSCQKLETEGIPCRHQICVMKMEDLVHIPRGMVYRRWTKDAGNISSYKPRYRVDEKERELFRHVSLRVLCNRLCDLGSKSDKAFEEAKRRVEEICHDIVNGRFVNISNNLWCHVRMGMSGLIVMIPIEMEVEDHKGQHQADVDIAEAWDEQDATSARLAERSERNIDLNMWNLFDLNM >OMO68861 pep supercontig:CCACVL1_1.0:contig12163:2157:3312:1 gene:CCACVL1_19793 transcript:OMO68861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DAHHITGGDFISLWFDNKDTFKCHIYCRCTKEADDYASDDRHLVNPHPRGFFFEGNVSTPQTAVCQVAANPAASSRETTNPRTEEVELGVPSRSKSPNQAPKATAGLLFADPCIPDAARGFQSRNPHWIRLMTNRNVHDYAIVNFVKEVSAILPRGRGIITLYVAGQQHSKAWEVNCINEDAHFGGFQEVGTLLFVITVSR >OMO57276 pep supercontig:CCACVL1_1.0:contig14415:38213:40549:1 gene:CCACVL1_25864 transcript:OMO57276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MLGIGDVVIPGIFVALALKFDVSRGKKSQYFKSAFLGYTVGLVFTIAVMNWFQAAQLLEFDESKTAATSQEEAYDALDPTGNITIKWDVISCTLDGYVAVATMYNFQQYRHIQAPGWTLGWTWAKKEVIWNMMGGQTTEQGDCSKFKGNVPHCCKMEFRGEVGRN >OMO57274 pep supercontig:CCACVL1_1.0:contig14415:6068:10859:-1 gene:CCACVL1_25862 transcript:OMO57274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paired amphipathic helix MNRSRDGVSSRVEGSEQPQMVGGTGGIQKLTTTDAVAYLTAVKETFHDQREKFDGLLEIMKDFKDQRTDTPGVVERVKELLKGHRDLILGFNNFLPRDFEIRLPPEDEQQPPQKKPMEFDEAICFVNKIKIRFQGDDDRVYKSFLDILNKYRKQNKSITEVRQEVAALFKDHPDLLSEFIRFLPDTWATTSDHHDAKDGMESAYNQVSAFCDKVKVKLGNPDHYQEFLRCLHLYNNEVISCAELQKQVNYLLGRYPDLMDGFNEFLVCCEKNGGTGGSQKLTTTDAMAYLKAVKETFHDQYDSLIEVMRDFKDQRIDSSGVIERVEEMLKGHRDLILGFNNFLPRDFEIRLPPKYEQQPPQKRPVEFDEAISFVDKIKKRFKGDDGRVYKTFLDSLNMYRKQNKSIEEVYHEVAALFKDHPDLFLEFGHFLPYSSAIASNQRNSRSSAMPTNTMRAVAADADKKERTTADLVERPDPDHHDTK >OMO57273 pep supercontig:CCACVL1_1.0:contig14415:146:4041:-1 gene:CCACVL1_25861 transcript:OMO57273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paired amphipathic helix MKRSRDEVYIGGASQLKRPTVSSRGEGSEQPQLVGGTVAAQKLTTNDALAYLKAVKDIFHDKREKYDDFLEVMRDFKSQRIDTAGVIGRVKELFKGYRDLILGFNTFLPKGYEITLPPEDEQQPPQKKPVEFDEAISFVNKIKTRFQGDDRVYKSFLDILNMYRKENKSIAEVYQEVAALFKDHTDLLLEFTHFLPDTSATASNQYASSGRNSIPRDRSSAMPTMRPAHADKKERTIADRDLSVERPDPDHDRKDQRRRGEKERDKREDRDRRDREQDDRDFENDGNREFNMRFPPKRSGKPARRGGDGSAYDEQLQQGGDGPAYDDKNAMKSAYHQEFAFCDKVKEKLRDDYQEFLKCLHLYSKEVISRTELQSLVNDLLGRYPDLMDGFDEFLVCCEKNEGLLADFVSKRISRNDGQLARSIKVEDRDRDRDRDRDDGVKERDRETRERDRVKDAGSHKVSLFSSKDKYMGKPINELDLSNCERCTPSYRLLPKNYPIPTASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRLGLPMV >OMO57277 pep supercontig:CCACVL1_1.0:contig14415:42253:45549:-1 gene:CCACVL1_25865 transcript:OMO57277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MSSMDFSFGIEDVGVAILQELWNRVALQTAELAKETRDGVLEKDSFQEFSRTISELDILLRALNVRKIEAAMGSDFTKAALENLNSEIREARKIIKDYKSGSRLRLLLHSNSVLSQMQALAKRMAATISSFQLINLDMAVNLKNMNHQIINNLNSMEFRMAAATETIASEIENSISQSSKNRENAVKLLEKIAEAVGANANASLVQNELELLKQEKEEMEIQKKQAEALQLSQLIQLLYSTEIVSRPQSEDISSYPIGSFICPLCDEMMVDPVAVYCGHSFERKAIQDYFKSGKKNCPSCGEELQSLDLTPNVNLRSSIEEWKKRDRDWKFQAAIRGINSSDNVRINKALEDMQVLLEIPQYAVKAAEEGLILKFVESMKETNLNTMAAVKCLYCLARYCDDHKQEIVQAGAVRRIVKRIYNGRTEPTTVGILLELSKTETLIDKIGNTKDCIPLLVSLLSNPNSDISDKAQNVLQNLSSNTHFAVKMAEAGYFQSFVARFNEGHQETRALMAAALVNMQLKENSISDLKDKQFVQNLVHLLSSNSPACKSACIKCIKKLIQYPKMVKRFLSDPATIPLLLNLISFRSDPLLKQEAAEILALLIETCQHAQFEMNQGLQELQSEHNVSLFLQHVANSDPKFKIQFLHLLIELSNKSNIAQNLIRSNTGDINHLFSCLDSDRPEVRRWAMKLIHCLSEGHPDGVPLPPSPTKEIAINTLSSILTCSLDCEERSIAAGIISQLPKDDTDIDETLRKSETLKAIHEVICSSDDRFSGGIGATINQDKSLLENALAALLRFTEPSKPELQRQVGELELYPSLVRVLSTGSSLAKQRTALALAQLSRSSSLSVSDIRAKQENSLPLLHMMKLFPNMSWCCTASTTNEMSCSVHGFACSNRHAFCLVKADAVKPLLQTLSDTDSGVAEAALMALETLLEDHNTLSHATAAIVESQGVVAILQVLEKGSLSAKSKALDLFLKILNHSKISDTLFQRAEGILIQLLHEDEIRKKVALVLKQMKVLPEQSSYF >OMO57275 pep supercontig:CCACVL1_1.0:contig14415:30486:36322:-1 gene:CCACVL1_25863 transcript:OMO57275 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MENSPRKSTINQDIINRTRKRFKRQRHPNDSEQEIVDQEADSIEGIGAPSFADMVKGVNISKAGDWEEWANADPTNVMSFGEADSDTETMGEGDDDCITFSKEEKEAMRKPWRNALIVKLLGKVLGFSALTSRINQLWKLEGDYKVTDLEHDYFIIRFQKKVDYEHVLEGGPWIIGGHYLTVTDEDTRGKFARVYVELDLSKPLKPRLTVEGRDVLIEYEGLSLICFDCGIFGHRKSDCPLQKPSSSEKEGEPQPSMVPETQEEPKDQTYGPWMMVQRRKRNPGKPGAAQGVIHGGKSVIRDFRNGFDYHKGVTADNLNVKKSANFKGIMEKNKGDAPVIPSAAKPIYKGKKVAKGVAQMGAKPNFNLGQSVFNLQNKGFVFSTEASSSGPKVGLSKPKAHTKPIHLVNNAQQKGRCYVVKGTMAENSAGKEKLRFVSGMDKEQYLQSTDLGSRDTRDTSSPSPTLSDPGIVARQSSEISSSMEVQCDGSNSDKPVMVNGIGVTDVSMQVDAVPSEGMAGGIALFWNSNSCQLDIIGSTTQFGDFNDFAAFNERWSIQTGSGDSFNKITKFRERWEPCNLIDASTSGCRFTWIRRVGGRVVLQEKLDRLLWNAVALTCNSNAKVLVLPCLCSDHHPLFVDFLGTHHKSKNRPIRFEAAWLIHSEFDDMFSLAWEKGEGSLPKAIDEVAKAVTVWKSEVFGNIHKKKRLLLARIRGIQCCNEYGFSDFLQKLEVQLRGEYQQILMQEELLWFQKSRLNWVQQGERNTKFFHLTTMALLSPLRIEEVRLVTFSMKGLKAPGVDGVQPIFYQKQWETVKETVFNFVAMAFANQRVDLNVLHAHMVLIPKGNCPTTVKDFRPITLLNTSYKILSKVIVNRLRPILQRIIGAFQNSFLAGRSTTDNILITQEIVHSLMGRKGSKGVVIAKIDLQKAYDNVSWSFLREVLVFYDFPAGLINLIMYCVSNIDLAIIWNGEVLPAFHPQQGLRQGDPLSPYLFILVMERLSHMILERVEHKVWHPVKASRSGPMVSHLFFADDLMLFAEASEDQVNVITDVLDEFAKASGLRVSLEKSKLWFSPNVHQQKATVLSRLCGIPLAQELGTYLGVPIIHGKVSKATYQHVVDKVLRRLANWKGIFYGVGDVDNSRGHLVNWDRVCRPKGNGGLGLREARISNVALLSKVGWKLQTRQQSLCLEIIQKKYLKGSQFLDVETRGRASSTWRGLLRTKDCVQRGSRWLVGDGKSISLWYDWWVGDGPLIKEVPPSTQVPSLSCKVASILQDDGSWKCDDMSSLLSGSKIAEIQATPTPIHAKKADQCIWGWDKHGQFTAASAYKGLANHFVADYWSGKCLWIWKLEIIYFDIVLLLMAYGVVSTDVGVHVTFDASLPDWLYQNATSNHKVRSNFVWGSLFTSILWSVWKARNRLLFDGHRPCVESILNYAVKLAVECAAANGSLAPTPSNAGRMIVWRPPPDGVLLLNTDGSSRLVDGHASAGGLIKDSNGLWVAGFLLNIGITGSLEAELWGIRQGLFLAKMRNVSRLIIELDAMVVVQFLRNGVIDSHPCHTLINDCLELIKGDWIVDIRHICREGNRCADRLADLAHTSAQGVSFYEDPPLQIQHLLNEDREGIGVLRS >OMO70473 pep supercontig:CCACVL1_1.0:contig11822:2993:3284:-1 gene:CCACVL1_18893 transcript:OMO70473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase complex subunit 2 MQEKKAETGSKNPKKANLLDHHSIKNILDESVSEIVTSRGYVEDVRMSNVRLLLGTIIIVIA >OMO79664 pep supercontig:CCACVL1_1.0:contig10390:1474:2052:1 gene:CCACVL1_13518 transcript:OMO79664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MRNLEMEAAKRLLLLLFLVSLLSISTATTTRKFRARKPCKRMVFYFHDIIYNGKNAKNATAAIVGAPAWGNRTILSGQNHFGDLVVFDDPITLDNNLHSTPVGRAQGFYIYDKKGIFTAWLGFSFVFNSTEHKGSINFAGADPLMNKTRDISVIGGTGDFFMARGVATLMTDAFEGEVYFRLRTDIKLYECW >OMO79666 pep supercontig:CCACVL1_1.0:contig10390:15791:16354:1 gene:CCACVL1_13520 transcript:OMO79666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MEAAKRFLLVLFLVSLLSISTATTTRKFRARKPCKRMVFYFHDIIYNGKNAKNATAAIVGAPAWGNRTILSGQNHFGDLVVFDDPITLDNNLHSTPVGRAQGFYIYDKKDIFTAWLGFSFVFNSTQHKGSINFAGADPLMNKTRDISVIGGTGDFFMARGVATLMTDAFEGEVYFRLRTDIKLYECW >OMO79665 pep supercontig:CCACVL1_1.0:contig10390:5507:6052:1 gene:CCACVL1_13519 transcript:OMO79665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MAATRLVSTIVVCLLLLFSSNAAKREPCKQMVLYFHDILYNGKNAKNATSAIVAAPAWAANKTTLAGQHHFGNIVVFDDPITLDHNLHSEPVGRAQGVYIYDRKDYFTAWLGFSFFFNSTNWHRGTLTFAGADPVNKTRDISVIGGTGDFFMARGIATLMADAIEGETYFRAYVDIKLYEC >OMP04037 pep supercontig:CCACVL1_1.0:contig05850:7690:7821:1 gene:CCACVL1_02207 transcript:OMP04037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKIHLGLSRLEYKHPPPQLLFYSIQLDMRRKKLDFQDLLI >OMP11253 pep supercontig:CCACVL1_1.0:contig01443:334:432:-1 gene:CCACVL1_00597 transcript:OMP11253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFPIRRLDNKSLLLKHAPFVPLAIIQSVPR >OMP02985 pep supercontig:CCACVL1_1.0:contig06158:264:3149:-1 gene:CCACVL1_02617 transcript:OMP02985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGSSGIGYGLKYQARCISDVKADTDHTSFITGTLSLKEENEVHLIRLSSGGTELICEGLFSHPNEIWDLASCPFDQRIFSTVFSTGESYGAAIWQIPELYGQLNSPQLERIASLDAHVGKINCVLWWPSGRHDKLISIDEENLLLWSLDCSKKAAQVQSKESSGMLHYLSGGAWDPHDVNSVAATCESSVQFWDLRTMKKANAIERAHIRNANYDAKKKHILVTAEDESGIHVWDLRKPKIPVKELPGHTHW >OMP02997 pep supercontig:CCACVL1_1.0:contig06158:69750:72246:1 gene:CCACVL1_02629 transcript:OMP02997 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MAQKINLAIDSRSGFCRSNSTFYSKRNPIPLPRNDSLDVTTFISSRPHNGQVAFIDAGTGRHLTFAELWRAVDSVATCLSDMGIRKGHVVLLLSPNSIFFPIVCLSVMSLGAIITTTNPLNTAAEIGKQIADSKPVLAFTIPLLLPKLAGSKIPIVLLDDHVTGNVGQAKIVTTLQQMMKTEPSGSRVRDRVNQDDTATLLYSSGTTGASKGVVSSHRNLIAMVQTVLSRFNLEEGEQKFICTVPMFHIYGLVAFATGLLASGSTIIVLSKFEMHDMLSAIEKYRATYLPLVPPILVAMVNAADQINKKYDLSSLHTALSGGAPLSKEVIEGFIEKYPNVKILQGYGLTESTGIGASTDSLEESRRYGTAGMLSPSMEAKIIDPDSGKALTVNQTGELWLRGPSIMKELEALLLTHPEILDAAVIPFPDKDVGQFPMAYVVRKPGSNLSETALMEFVAKQVAPYKRIRKVAFIASIPKNASGKILRKDLIKLATSKL >OMP03014 pep supercontig:CCACVL1_1.0:contig06158:156462:160505:1 gene:CCACVL1_02646 transcript:OMP03014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDTESALCSSAAMESHAGACDAEAQLLNDAVKSLPHHVVKDLLFAGVCPRCVLRVFGIEEDIYCHSSISLSMLYSILEEAVSFKSEVEFQFCSVCLGILQFSYCEDGKETLVKKETANDLALTIADLVKQEGHQIDGFSLEVSIPPIILENESSLLSDMKKKYESEPWFQERLLSKCVSVKDVLKFSITKPLETLLDFKSSASDFRIRLTYTHKRGTKMVQNSVKRNHDCKRQKTGTENGLRNGNDQSLVANRDCSDQEPSEHFGFSIEKVSEPCNLLYLCCRTPIYLCGRYLKYSRNVSQTRWMIGEERKGEASVEEIIGSQVLPMCRGDNYKFHAAGREDIDVRMLGSGRPFLVEIQNARQVPSEMVVKEIECKINSLENKLAGVKNLKVVGSQGWTLMREGESEKQKQYCALVWISRPLEDEDMRSISLLNDMQILQRTPIRVLHRRSPLEREKIIHWMKIEKIAGSSQYFLLHLCTQAGTYIKEFVHGDLGRTQPSIGSILGCRAEILQLDVTDVKMDSFPTE >OMP03006 pep supercontig:CCACVL1_1.0:contig06158:121209:124611:-1 gene:CCACVL1_02638 transcript:OMP03006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MDNGKSSNKTAGKPIRCKGKKFGVTEFINSKECGDKSLSQVIIEMAGGGADYCFECVGLASLVQEAYASCRKGWGKTIVMGVDKPDAQLNFNSFDVVHSGKALMGSFYGGAKPKSDIPLMLKQYMDKELEVDEFVTHEIGLAN >OMP03012 pep supercontig:CCACVL1_1.0:contig06158:148861:152432:1 gene:CCACVL1_02644 transcript:OMP03012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MAVDRILKDDASEEKGERARMASFVGAIAITDLIKTTLGPKGMDKILQSTGRGHEVTVTNDGATILKSLHIDNPAAKVLIDISKVQDDEVGDGTTSVVVLAGELLREAEKLVAAKIHPMTIISGFRMAAECARNALLQRVVDNKENAEKFKSDLMKIAMTTLSSKILSQDKEHFAQLAVDAVMRLKGSTNLEAIQIIKKPGGSLKDSFLDEGFILDKKIGVGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVAQIEAAEKEKMKEKVQKIIGHGINCFVNRQLIYNFPEELFADAGVLAIEHADFDGIERLALVTGGEIASTFDNPESACTIVLRGASHHVLDEAERSLHDALCVLSQTVNDTRVLLGGGWPEMVMAKEVDELARKTPGKKSHAIEAFSRALIAIPTIIADNAGLDSADLVAKLRAEHHKEGCNAGIDVITGSVGDMAELGISEAFKVKQAVLLSATEAAEMILRVDEIITCAPRRREDRM >OMP03010 pep supercontig:CCACVL1_1.0:contig06158:142423:146525:1 gene:CCACVL1_02642 transcript:OMP03010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MKLSLAVALFCATASLFAIVSAEDPYRFFNWNVTYGDIYPLGVRQQGILINGQFPGPDIHSVTNDNLIINVFNSLDEPFLISWAGIQNRRNSYEDGVFGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLGFHKAAGGFGGIRILSRPRIPVPFPDPAGDYTVLIGDWYKSNHTDLRDQLDRGKKLPFPDGILVNGRGPGGASFNVEQGKTYRLRISNVGLQNSLNFRIQNHKMKLVEVEGTHTLQVTYSSLDIHVGQSYSVLFTADQPAQDYYIVVSSRFTNPVLTTTATLRYSNSAGPVSGPIPGGPTIQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGLINITRTIRLANSAGQVNGKQRYAVNSVSFVAPDTPMKVADYFKIGGVFRVGSISDNPYGGNIYLDTSVMHGDYRAFIEIVFQNDEDIIQSWHLDGYSFRVVGMDGGQWTPASRNNYNLRDAISRCTTQVYPKSWTAIYFALDNVGMWNLRSEFWARQYLGQQFYLRVYTTSTSLRDEYPIPKNALLCGRASGRRTRPMN >OMP03003 pep supercontig:CCACVL1_1.0:contig06158:111915:112688:1 gene:CCACVL1_02635 transcript:OMP03003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrin MAEEYQNKAPECETKVGGEEAVESKDRGLFDFMGKKEEKPQEEVIATQFDEKVKIEEPCKEEEEKKPSLLEKLHRSDSSSSSSSDEEEGEGGEKKKKKKDKKGLKEKIEEKLGGGEKKEEDTSVPVEKVDHEACEPEKKGFLDKIKEKLPGQSKKTEEVPPPPPPAVTAAPVPAPECHETAEAKEKKGLLEKIKEKLPGYHSKTEEEKEKEKECGSH >OMP03007 pep supercontig:CCACVL1_1.0:contig06158:127599:133457:1 gene:CCACVL1_02639 transcript:OMP03007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MADQHSNEGSSTGNVSGESSDSLVELKIKTLNSQIFSFHVDKNTPVSSFKERIANEIGVPVGQQRLIFRGKVLKDDDLLSEYHVENGHTLHLVERQPAQSQPSSDVSSGETNGNNTNRGNDASAGNPRNRVGQISHGVVLGTFNVGDQGEGVVPDLSRVIGAVLNSFGVGGQPTTNSTNSSQSSTSAPQGNETDGPRGGGPNQAANQTHSPSQTFQIPHHIIPIPVNATAIPVPSFNSPIPDAVNTLSDFMSRMEAHSPNGYQPHTSTTNRGDQQRVELPSDARGLPTPEALSIVMRHAERLLSSHAIASLSHIAGRLEQERDSSDPTVRGQIQTESVQVGLTMQHIGALLLELGRTILTLRMGHSPAQSLVNAGPAVYISASGPNPIMVQPFPLQTTSLFSGSQSPSNPPTVGPVGIGSASRHINIHIHAGTALAPVVSAVGNRTSNGEGVQGERGNNAVSGSMRVLPVQNVIAATVPGRPTAAVSTGAQSAPTDSSLSSLIGAGNGAGSAQPNNVAVSGAGDSNVALPEALQTAEQRSRPQHAEGGNNTTESRVSSKDVSTGAVGSPPSSSGELSVKSEDPSGSVLRPSEENTKSVPIGLGLGGLGRKRRTKQTTSSVGVGDSGTASSSLDQNLNAKTTGRQILQSLVSRNSSMNRVEHDASSSNPGIQSSRSSGGQGSDDQIDAANAVSQVLQSPALNGLLAGVSQQTGVGSPDLFRNMLQQLTQSPQIMNTVSQLAQQVDSQDIGNMFSGLGGGQGGGIDLSQMVQQMMPLVSQAFSRGTTATPPVHAVDPQLRGQHDGRKPSGADKPNGRDFQDNIQEMAQRIEQSNSPADVFHTVAETAVQVYGNGRNAEELLNELCGDEDLAKEYTEMLQRDIQRQLQGNSEN >OMP03011 pep supercontig:CCACVL1_1.0:contig06158:148049:148222:1 gene:CCACVL1_02643 transcript:OMP03011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHAYAETSWAYYDKLGRPKSPFIRRGGPLRSNLLPLPSDSREFAPASKPPSQSSNT >OMP03005 pep supercontig:CCACVL1_1.0:contig06158:117798:120354:-1 gene:CCACVL1_02637 transcript:OMP03005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MDNGKSSNKTAGKPIRCKEFSATRPSGVGAACKTAKVEAGSTVVIFGIGSIGLAVAKGAKLCGARRIIGVDINPDKFEIGKKFGVTEFINSKECGDKTLSQVIIEMTGGGADYCFECVGLASLVQEAYASCRKGWGKTIVMGVDRPGAQLNFNSFDVLHSGKALMGSLYGGAKPKSDVPLMLKQYMNKELEVDEFVTHEVKFEDINKAFELLLEGKSIRCVIWMDKN >OMP03000 pep supercontig:CCACVL1_1.0:contig06158:94652:96694:1 gene:CCACVL1_02632 transcript:OMP03000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVAFDRPGIALQRLLPLRRV >OMP02986 pep supercontig:CCACVL1_1.0:contig06158:6582:8537:1 gene:CCACVL1_02618 transcript:OMP02986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKPKEINMFIRKLVSDLDWRLLFLVITPLSVLIFVSLSSKPIDSANFPLRSFIFSRTNSSDFITSWLLGNPNRTQFGWNPSKTELDHSRIAVCLVGGARRFELTGPSIVDMVLKHYPNADLFLHSPMDKNAFKLPLLKTAPRVATVRIFEQNFVPETEEKNRVLTAANSPNGIQGLLQYFNLVEGCLTMIESHQKQHKFKYDWVVRTRVDGYWNAPLSPQNFIVGQYTVPSGSVYGGLNDRLGVGDFRTSKIALSRLSLIPELDSAGYRQLNSESAFKAQLTTQNVSYVENRLPFCVVSDRTYEFPPAHLGVPVAALSSRGPLSGAKCRPCTPACRDVCVESVMSSLDKGWSWTNWENGTLELCNAHGGWEKGWERIFDTTAGKQFAAERRRIKLLKFGECVRDFREMKKKAVTWEAPPPEEICRIGLGMT >OMP02993 pep supercontig:CCACVL1_1.0:contig06158:37961:40309:1 gene:CCACVL1_02625 transcript:OMP02993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MEPPQDTSENPNDVVSDDESSPENTNPEDQENPNTLEPPISDSQDESSDPIPDEQPQNTNSNPTEPGPPVRRRRRRKRFFTELNGNPSFAKTRRPRSIGLAKEMDTEALVAISVGFPVDCLTEEEIEANVVSRIGGTEQANYIVVRNHILALWRSNVSDWLTRDHALESIRAEHKNLVDSAYNFLLEHGYINFGLSPAVKEAKLKSIDGVERANVVIVGAGLAGLVAARQLVSMGFKVVVLEGRTRPGGRVKTRKMKGDGVVAAADLGGSVLTGINGNPLGVLARQMGLPLHKVRDICPLYLPDGKAVDADIDSRVEVSFNKLLDRVCKLRQAMIEEVKSVDAPLGTALEAFRSVYKVAEDSQERMLLNWHLANLEYANASLMANLSMAYWDQDDPYEMGGDHCFIPGGNERFVRALAEDLPIFYGRTVQSIRYGVDGVRVYAGGQEFHGDMALCTVPLGVLKKGSIEFVPELPQRKKDAIQRLGYGLLNKVAMLFPHNFWGGEIDTFGHLTEDSSMRGEFFLFYSYASVSGGPLLVALVAGEAAVKFEMMSPVESVKRVLSILRGIFHPKGIVVPDPVQAVCTRWGKDCFTYGSYSYVAIGSSGDDYDILAESVGDGRVFFAGEATNKQYPATMHGAFLSGMREAANILRVARRRSLALTDKAYNDPEETDSLNKLFENPDLTFGGFSALFDPNSNDLGSHALIRVKFLADKLESSHLCLYGLITRKQTLQLSEVNGDGDRMNMLHRNFGVKLVGKKGLSNIGESLIQHIKASKFSLNVGG >OMP03001 pep supercontig:CCACVL1_1.0:contig06158:97518:105708:1 gene:CCACVL1_02633 transcript:OMP03001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MDQVVEEGSAVPPPPQAIQAPPMQMQYNSPSLSRNPLFQSEELVNGENAPNNIRIQKTSPNFLSPLGSPIRKAFQLTKLDPQDAWLPITESRNGNAYYAAFHTLCSGIGIQALVLPVAFTILGWTWGLISLTVAFIWQLYTLWVLIKLHESAETGMRYSRYLQLFSATFGEKMGKLFALFPIMYLSGGTCVALIIVGGSTMKLFFQLVCGHCSAKPLTAVEWYLVFTCAAVVLSQLPNLNSIAGVSLVGAVTAIGYCTMMWMISVTEGRLDGVSYDPIKEEDNMAMIFSVLNALGIIAFAFRGHNLTLEIQATMPSCEKKPSKIPMWRGVKVAYLIIALCLFPLAIGGYWAYGHKIPASGGMLRAIYQYHGRDTSQAVLALTSLFVIINAVSSFQIYGMPMFDDMESKYTKRKNKPCPWWLRAGFRAMFGYGCFFVAVAIPFLGSLAGLIGGVAVPVTFAYPCFMWLKIKKPKKFSMMWWLNWGLGLLGTVLSVLLIAAGVYVVIDTDSSVNPSSNFTSIASNFSIYMGELVEVQSSQGTRKQGMETLVVSAPPLQLNCASMSRSPLLDSAPKTPMRSPFVTRLMTPVASPMKKAIASMQGYLEEVGQFTKLDPQEAWLPITESRNGNAYYSAFHTLSSGIGFQALLLPLAFITLGWVWGVICLSLAFIWQLYTLWLLIQLHESDSGMRYSRYLRLSMAAFGEKLGKLLALFPIMYLSGGTCVTLIMIGGRTMKIFFHSVCGDSCSVKQLSVVEWYVVFTCLAILLAQLPNLNSIAGVSLVGAITAITYCTLTWIVSVSKGRPQSVSYDPPEAKSEVAMFFNIFNAIGIIAFAFRGHNLVLEIQGTMPSSGKHPSRLPMWRGVKFAYLIVAACLFPLAIGGYWVYGNLIAENGELITALYTYHRHDTRLLLAITSLLVVVNSLSSFQIYAMPVFDNLEFRYTSKWKRPCPRWLRTAIRVFFGCLAFFIVVALPFLPSLAGLIGGIALPITLAYPCLMWVMIKKPNKFSPGWCLNWGLGVIGMVLSVLVTTGAIWGIVTKGIEIHFFKPQ >OMP03002 pep supercontig:CCACVL1_1.0:contig06158:106519:111344:-1 gene:CCACVL1_02634 transcript:OMP03002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSLAGPRIVAPIRILLCKFSIFNDFLSSEATNQSIKLKGRERRRGAMITRSNLAEQLREYQIRSKHDWASVSFFSSTVNITSSRVDVVVFVIWELLILAFLGYAKVTGNPSSLQCCLDKECDASKYNCDPLAQPPRAWSFQ >OMP02992 pep supercontig:CCACVL1_1.0:contig06158:34088:37181:-1 gene:CCACVL1_02624 transcript:OMP02992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M41 MPSMANSLLSSNFFGTQLLISPPTPKTTRRFQVTQAILNKKPNSDKSLKSLQSHATLAALLFSSVTPQALAVDNAPPTPPPVIEAQPTRPSPSNQSPFAQNLLLTAPKPQSQSSDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGSALQLTAVDGRRATVIVPNDPDLIDILAMNGVDISVSEGDSGNGLFNFIGNLLFPILAFAGLFFLFRRAQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFDKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGEENVTTGASNDFMQVSRVARQMVERFGFSKKIGQIAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRELVETAYTRAKQIITTHIDILHQLAQLLIEKETVDGEEFMSLFIDGKAELYVS >OMP02994 pep supercontig:CCACVL1_1.0:contig06158:50518:51942:-1 gene:CCACVL1_02626 transcript:OMP02994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG MEENNNKNKKAVNVNSISRFKRVCVFCGSSTGKRNSYRDAALELGKELVSRRLDLVYGGGSVGLMGLVSQEVHRGGGHVLGIIPKTLMSKEITGETVGEVKPVADMHQRKAEMARHSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLINVDGYYNYLLTFLDKAVDDGFITPSQRNIIVSAPTATELVQKLEEYVPMHDGVVAKARWEAEQLELNSSLQTELAR >OMP03015 pep supercontig:CCACVL1_1.0:contig06158:161302:165673:-1 gene:CCACVL1_02647 transcript:OMP03015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISHVSHALFISVPSVTRRLGNIGPNLLVKVSALPKSHNRFSNPTKQQLSFYSTARFMGSLPALKEPLEYPIVRRDDSVVDDYHGVKVADPYRWLEDPDAEEVKDFVQKQVKLTESVLQQCEAKDKLREKITKLFDHPRYDVPFKRGNKYFYNHNTGLQAQNVLYVQDSLEGEAEVLLDPNSLSVDGTVSLSTLSVSEDAKYLAYGISSSGSDWVTIKVMRVEDKSAEPDTLKWVKFSGISWTHDSKGFFYSRYPAPKEEGNIDAGTETDSNLNQELYYHFLGTDQSEDILCWKDPENPKHFVGGGVTDDGKYLLLTIGESCDPVNKLYYCDMSSLPEGLEGFRKNNGLLPFVKLIDRFDAQYEPVANDGTVFTFLTNKDAPKYKLVRVDLKEPSNWIDVIPEAEKDVLESVHAVNNNQMIVSYLSDVKYVLQIRDLETGSLLHQLPIDIGSVFGISARREDSVAFIGFTSFLTPGIIYQCNLGSEVPDMKIFREISVPGFDRSDYEVTQVFVPSKDGTKIPMFIVGRKNVNLDGSHPCLLYGYGGFNISLTPTFSVSRIILTRHLGAFYCVANTRGGGEYGEEWHKAGSLSKKQNVFDDFISAAEYLISAGYTQSGKLCIEGGSNGGLLIGACINQRPDLFGCALAHVGVMDMLRFHKFTVGHAWTSDYGCSDKEEEFHWLIKYSPLHNVRRPWEQHPDQALQYPPTMLLTADHDDRVVPLHSLKLLATMQYVLCTSLEKSPQTNPIIGRIECKAGHGAGRPTQKMIDEAADRYGFMAKMLDASWID >OMP02987 pep supercontig:CCACVL1_1.0:contig06158:9927:10220:-1 gene:CCACVL1_02619 transcript:OMP02987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGKSSEQGGEKETQQSHQPPHISPMQPLTHEAYGGGMYGTEQGQTKKPENKPPASETLSADGPDEANLAKNKPKHEPPPSSGDRDIDITGQSYIQ >OMP03008 pep supercontig:CCACVL1_1.0:contig06158:134319:137756:1 gene:CCACVL1_02640 transcript:OMP03008 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytoplasmic tRNA 2-thiolation protein 1-like protein MEAGAKPKISGARLCCSCNQRRAALKRPKTLEQICRECFYEVFEEEIHQVIVENQLFKPGERIAIGASGGKDSTVLAYVLSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNELQYGLPLKIVSYKDLYGWTMDEIVKMIGLKNNCTFCGVFRRQALDRGAALLKVDKVATGHNADDIAETVLLNILRGDIARLGRCTSITTGEDGPIPRCKPFKYTYEKEIISTYAYFKKLDYFSTECIYSPNAYRGFAREFIKDLERIRPRAILDIIKSGENFRIATSTKMPEQGTCERCGYISSQKWCKACVLLEGLNRGLPKLGIGRSRGLNSDVKKDHLENVMELGELVFINFGQGLSIMAVIYERCGKGLLFEFGAHRITGEGSVTRGQHLPVRYLVFASASIPPMASSAPPDSAITGQNSRKSLGFLANAKKHKHSFIQFAAMTGILLLSIRSLGQKYRIHDLQEDTAALKQEQASLTERINYIKRGLRHEASLDPTGVFASRIRLLFGEDN >OMP02988 pep supercontig:CCACVL1_1.0:contig06158:13449:13604:1 gene:CCACVL1_02620 transcript:OMP02988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESQKYRNIYRGSRACPAYLVNGGMGELKYKEKENVISIFKRIIANQIRI >OMP02989 pep supercontig:CCACVL1_1.0:contig06158:15211:16113:1 gene:CCACVL1_02621 transcript:OMP02989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSVFKRSLSFPNKTPNRPSKPPISHHIRSISLPCRSHPLISQVKDEINELKAWSCKPENRTSAWLCDGLIRLKDVHDSLHDILQLPQTHELLSHKREWVEKILEDFLRFVDVYGIFQTSFLALKEEQLAARVALRRKDDSKIAVYLKSRKKMAQEIAKLVSSIRSIGRYSIPPSALVSIAEAELVGVISDVIDVTVSVSLALFNGISISFASRKSSWMALNLSKKANKVKTEESIQEFQQMGEANMWGLRKKGDEEVRMVLKRMQDLERCIADVESGSEKTFRSLINTRVSLLNTLTK >OMP03004 pep supercontig:CCACVL1_1.0:contig06158:114497:117486:1 gene:CCACVL1_02636 transcript:OMP03004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutral/alkaline nonlysosomal ceramidase MHKHLHFLSIFVALCSVFALINEVDGEYLIGLGSYDMTGPAADVNMMGYANMEQNTAGIHFRLRARAFIVAESSQGARFAFVNLDAGMASQLVTIKVLERLKTRFGNLYTQENLAISGTHTHAGPGGYLQYVVYSVTSLGFIKQSFDAIVTAIEQSIVQAHNNLKPGSVFLNNGDVENAGINRSPSAYLFNPPEERAKYPTDVDTTMTLVKFLDTESQKSLGAFTWFATHGTSMSRDNKLVSGDNKGAAARFFEDWFNLVSNKSVSTSTTKSSDMKTLNKKAQTIKATGGQPCGKTASQGFKVRKNDGSRFVGAFCQSNVGDVTPNVLGAFCTDTGNSCDFNHSSCNGNDQLCVGRGPGYPDEILSTKIIGERQFQKAVELFTSASDQLSGKIDYRHTYLNFTNIEVELDGNTVVKTCPAALGPGFAAGTTDGPGVFGFQQGDTEINEMWKKLRDLLKEPSQYQVDCQQPKAVLLSTGEMFEPYAWAPAILPIQILRLGKLVILSVPGEFTTMSGRRLREAVKETLISNGNGEFGNDTHVVIAGLTNTYSQYVATFEEYKQQRYEAASTIYGPHTLSAYIQEFKKLAKAMATGQKLTGPFLLPPDLSSVQLSLLSDPQGDLPPPGKKFGDINQDVAQPKGGSFKKGDKPSATFYSANPRYDLLTEGTFAGVEMLQGERWIPAYDDDDFSLFFKYEALWVI >OMP03009 pep supercontig:CCACVL1_1.0:contig06158:141179:141247:-1 gene:CCACVL1_02641 transcript:OMP03009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSQVGGTGRLGACFLSSYKE >OMP02990 pep supercontig:CCACVL1_1.0:contig06158:21626:24689:1 gene:CCACVL1_02622 transcript:OMP02990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCATSKLDQLPAVTLCRDRCYFLEEALQQSYALADAHVAYMQSLRTLGPTLHSFFEQSLKSTSGDDSAAQKPPKQPSPLSSPDHSHSSSNSDSHIQFDTDSEEEEAGKDFSRSFNQIHQNYLNHGILTSYSLPNQNYHTNTYQNSEFTGSGWKTPPPPAPRSAAWDYLNFFDEIYERYELPVKNKGAAHDLEAETVKQTQAEEKSGANNTKEKREENLSCKAVPVKNGDAENKKKVDSEPPQVQKKDDSNEPKNQSGKKCTISEVMKELQVFFEKASDSGNEVLKMLDTGKFRYYHKKSVYQGSTKVFHMITSNNSSETESLLSKEKISCVDNDEILSSQNLSSTLRKLCMWEKKLYDEVKAEEKMRIIHAKKCRQMRSLEQKGGDVRSVDSTRNMIRALSTKMRVAVQVIDKIAISINKQMDEELWPELTELIQRLFEMWKVMLECHSSQCQTVMEAKCLDTLAPIGMLNEAHLELVIKVKLELQNWSLNFSSWMEAQRGYVKALNGWLRRCIQYEPEESVDDLAPFSSNITGAPPVFLIVNRWSEAMDRLSEKEVVEAVHGLFMSINQVLEQHNAELQQRIVADKDMERKVKMLEKEEQKMQKIMQARVKKMTQLTREECALLLPRDSTRVSDLKGGTSLHCGLRQIFMAMEKLATQSSQAYKELHKFIEDDRAAAQSEPEFPV >OMP02998 pep supercontig:CCACVL1_1.0:contig06158:85254:87968:1 gene:CCACVL1_02630 transcript:OMP02998 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MAQISNSRIDPRSGFCSSNSTFYSKRQPFSLPSNPCLDITTFISSYPHQGKTAFIDATTGRHLSFSQLWKAVDSVATCLFHDLGIRRGHVVLIIAPNSIYFPIVCLSVMSLGAIITTSNPLNTAREIALQMADSKPALVFTTSLLVPKLAESTLPIVLLDEQEIIKTSTSEARNIVATVDQMMKKEPTGSRVRDRVYQDDTASLLYSSGTTGPSKGVASSHRNLMALLQTFSIAYNFNPDEGEQIHICTVPMFHIYGFGAYAIGKLTVGSTVVILSKFNMDEMLSAVEKYRVTFLPLVPPILLAMVKGADQILRKYDLSSLQTVLCGGAPLSKEVINGFLEKFPKVHIRQGYALTETTGFGASMYSPEEGRKYGTVGLLSPNLEAKIVDPETGVALKVNQTGELWVRGPSIMKDISDAAVIPYPDEEVGQYPMAYVIRKTGSNISDTAIMDFVAKQVAPYKRIRKVAFITTIPKNPSGKILKRHLIALATSKI >OMP02999 pep supercontig:CCACVL1_1.0:contig06158:88644:89141:-1 gene:CCACVL1_02631 transcript:OMP02999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAEEEFGSANHGPLQIPCEEELMDYILSLLRKNNSENADKALASMTTCKGAHNSSPSAADFPLCNSSLFRK >OMP02995 pep supercontig:CCACVL1_1.0:contig06158:58305:58994:1 gene:CCACVL1_02627 transcript:OMP02995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLNFDALRDLHNSANDLLHSPIIKLALVHQNQEKWVHQVSEASLRMLDVCGISRDVLLLVKEHVQDLQFTLRRVSTDEADIGTKIAVYNRFSKKLKKETLKCLHSLKGMGNKSMITSDYTIDDNLLKVVDVLKEVRVTAISVVESLLSLISIPWLERKSAKGSFASKFMMRSSCQNFYDISDEMALQSANKRLEAVEITIEDLEAELECIFRRLIQTRVLLLNILTN >OMP03016 pep supercontig:CCACVL1_1.0:contig06158:166735:170750:-1 gene:CCACVL1_02648 transcript:OMP03016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGVLEEPFGPLQYPTARRDESVIDDYHGVKVSDPYRWLEDPDGEEAKEFVHKQVTLTESVLEKCETRAKFHKKITQLFDHPRYSSPFRRGNKVFYFHNTGLQPQNVLYMQDGLTGEPEVLLDPNTLSEDGTVSLKAVAASEDAKYLAYGLSSSGSDWVTIKVMQIENKTEEPDTLSWIKFSDISWTHDGKGFFYSRYPAPKDEENLDGGLKTSVNVNHELYYHFLGTNQSEDILCWRDPENPKHRLSGTVTDDGKYLLLQIKEGCGPVNKLYYCDMSALPEGLEGFRVQNEPLPFVKFIDQFDAQYLNVANDDSVFTFFTNKDAPKYKVVRVDLKEPSKWTDVVPEDEKDVLESACAVNGNQMILTYLSDVKYVLQVRDLETGSLFHKLPIDIGTVFGISARREDSVAFIRFASFLTPGIIYQCDLGAEHPELKIFREISVPGFDRSEFEVNQVFVPSKDGTKVPMFIVGKKDAKLDGSHPCLLYAYGGFGCILTPSFSVSRTVLARHLGAFFCIANIRGGGEYGEEWHKAGALAKKQTCFDDFISSAEYLVSSGYTRPDKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWICDFGCSDKEEEFDWLIKYSPLHNVRRPWEQHPGKLSQYPSTMLLTADHDDRVLPLHSLKMLAIDETADSYSFMAKALNADWIE >OMP03017 pep supercontig:CCACVL1_1.0:contig06158:173640:176075:1 gene:CCACVL1_02649 transcript:OMP03017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MGSFSSDDCFDQMSERFESYSLSADVSESESSCGFSCRRFDNVGLSNSLTASPLSGTEPVDGSGFSARVPVMLPVVGGRHVAIPEKKAEIPETDLSEVEMMKERFAKLLLGEDMSGGRKGVCTALAISNAITNLSASVFGDLWKLEPLSAQRKIMWRREMDWLLCVSDSIVELKPSLQEFPGGGTFEVMVARPRLDLYVNLPALKKIDAMLLSILDGFRDSEFQYADRGIVNADTDDIETFPVSSSSGRPSSVRQEEKWWLPFPKVPAMGLSEDTRKRLQQSRECTTQILKAAMAINSNVLAEMEIPNAYLESLPKSGKDCLGEVVYRYLSADQFSPECLLDYLDLSSEYTTLEIANRIEASAQIWKQKYSKRNSVRAKGGKSSWSGKVKGFVGDMEKSKVLAQRAETLLHNLRLKFPGLPQSSLDMSKIQYNKDVGQSILESYSRVMESLAFNIMARIDDLLYVDDATKQRVAAESASLYDHRRFNGAVPRQRRISPSPFSIHQHLPSGTPCTMGISYSSDEIGESPGRRICHPLRNGNLRDSLNGSLQRLAF >OMP03013 pep supercontig:CCACVL1_1.0:contig06158:152955:155689:-1 gene:CCACVL1_02645 transcript:OMP03013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNSACNPQLLLSHKFPETTYTYTERDVAVYALGVGACGRDAVDTSELKYVHHENGLQFIKVLPTFSTLFTLNSLPRGADLPGLEFDPRLLLHGQQYIEIYKPLPSNAIIQNKVSLAGLHDKGKAAILEIETRSYEKESGELLSMNRTSIFLRGAGGFSDPSKPFTYTNYPANQAPTIKIPESQPFAALEDCTQPSQALLYRLSGDYNPLHSDPMIAKVAGFSRPILHGLCTLGFAVRAIIKCICKGDPDMIKNISGRFLLHVYPSETLVTEMWLEGLRVIYRVKAKERNRAVLSGYVHLNGLASSL >OMP02991 pep supercontig:CCACVL1_1.0:contig06158:29841:33290:1 gene:CCACVL1_02623 transcript:OMP02991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCESSFLKGRHSHLKTNHNHPQNNSSTTTSNNSRLTNSLNGPSQPSNGTDSVAAAAGGVPDFSEFSLAELKAATNNFSSDFIVSESGEKAPNVVYKGRLQNDSNRRWIAIKKFTKLAWPDPKQFADEAWGVGKLRHKRLANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQTIEWAMRLRVALYIAEALDYCSSEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKHIPPSHALDMIRGKNILLLMDSHLEGNFSTEEATVVFDLASRCLQYEPRERPNTKDLVTTLAPLQNKPDVPSYVMLGIPKHEEGPPTPQHPLSPMGDACSRMDLTAIHQILVMTHYKDDEGTNELSFQEWTQQMRDMLEARKRGDVAFRDKDFKTAIECYSQFIDVGTMVSPTVYARRSLCHLLCDQPDAALRDAMQAQCVYPDWSTAFYMQAVALAKLDMHKDAADMLNEAAALEEKRQRGGKGS >OMP02996 pep supercontig:CCACVL1_1.0:contig06158:62065:62934:-1 gene:CCACVL1_02628 transcript:OMP02996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGHGGSTTYKGVTLHHPKRWHTVTGKGLCAVMWFWVLYRAKQDGPVVLGWRHPWEGHDDHGHGDKH >OMO97415 pep supercontig:CCACVL1_1.0:contig07241:8614:9025:-1 gene:CCACVL1_04564 transcript:OMO97415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEISCREFGDGEVAMICWLTRFV >OMO94140 pep supercontig:CCACVL1_1.0:contig07958:42958:43410:1 gene:CCACVL1_06150 transcript:OMO94140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMDAAARAAHYRKFEEPLLERMLTSLFSTFLWVCVYVCWRLGPYEIIEFFAFNYKGENGREIFEKTENTLRVLLVANIVFLIKGAMRPGNGRKGSAQKELNLILNTVLSIITIIALFYVLGVIDGDVIAAAVFGFSISILLRCIKMIN >OMO94138 pep supercontig:CCACVL1_1.0:contig07958:36359:37662:1 gene:CCACVL1_06148 transcript:OMO94138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNRLQHQVACMRRSLFDQGYLDEQFIQLEELQDDANPNFVQEIVTLFYTDSARLIQSIEQALNSRPIDFSKLDDYMHQFKGSSSSIGAKKVTSECTVFREYCRAENAEGCIRSFQQVKQEYAILRRKLEVYFQMVRQAAVAHTA >OMO94136 pep supercontig:CCACVL1_1.0:contig07958:23221:26819:-1 gene:CCACVL1_06146 transcript:OMO94136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEVERRQIQQILALDDEELQIEEVEGLADSSDDDHRDTGVPSTDDFTFNTNLTSLHTYLGEVDDARHRYAFWEGGAILNVPLFYLEGVVLFPEATLPLRVIQPNFVAAINRALNQADAPYTMGVVRVYRDSEFGPIRLAKIGTTAEIRQYRWVEDGTINVVTRGQQRFRLRRSWIDSEGAPCGEIQIIEEDVPFRTPRDACAKLVPFSNLSSQQRPSLYASSHGDGNGGNDSEDSSEEIFESELSQTERKIHQSAIGACYEFDKADESTSSDDDNELPKSDNQSGSPCLNDSGFKGSSPSNHKKQIRNAALRIGSKSKARKVAGPRSHLSSTISTAFLPCWVYRMYDSYCLAQKAADMWKQIVGTPSMDGFVKKPDLLSFYIASKIPISEPTRQELLEIDGISYRLRREIDILERLDRVRCKICKTVIARRSDMLVMSSDGPLGAFVNPHGHVHEVMTFHKAKGLALRSRPVKEYSWFPGYAWTVINCATCETLMGWLFTATNDKLKPKSFWGIRSCQVTDELR >OMO94135 pep supercontig:CCACVL1_1.0:contig07958:18374:22611:1 gene:CCACVL1_06145 transcript:OMO94135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic oxidoreductase MNGGDLVDNKSAVGGGVEDMYGEDCATMDQPLTPWTVSIASGYSLLREPRHNKGLAFTEKERDAHYLRGLLPPAVFSQELQEKKLMHNLRQYKVPLQRYMAMMDLQERNERLFYKLLVDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPERSVQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNETLLNDEFYIGLKQKRARGQEYDELLEEFMSAVKQNYGEKVLIQFEDFANHNAFELLSRYSSTHLVFNDDIQGTASVVLAGLIASLKLLGGTLADHRFLFLGAGEAGTGIAELIALEISKQNGNPIEENRKKIWLVDSKGLIVESRKESLQHFKQPWAHEHEFVKDLVDAVKAIKPTVLIGTSGVGKQFTKEVVEAMASLNEKPLIMALSNPTSQAECTAEEAYTWTEGRAIFASGSPFDPFEYEGQVFVPGQANNAYIFPGFGLGVIMSGAIRVHDDMLLAASEALASQVTDEHYAKGLIYPPFTNIRKISAHIAAKVAAKAYELGLASHLPQPEDLVKYAESCMYNPVYRNYR >OMO94134 pep supercontig:CCACVL1_1.0:contig07958:9971:12226:-1 gene:CCACVL1_06144 transcript:OMO94134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLRPRQRPSFSGFTKAEIEKMEKLVMEESGEVLRSKEFCQKIARSFSSSAARAGKPIVKWTEVQSWFLARQQDSTSKVASTTDTSKSEAGMPASRPLNNGHLCSQILKEVVSEVGDKVPDLSELKFEAKSSKDGAWYDVDAFLNHRILSSGEAEVLVRFAGFGAEEDEWVSVKDAVRERSIPFEHTECHKVKVGDLLLCLQERRDQEIYYDAHVMEIERKMHDIRGCRCIFLIRYDHDSSEARESSLEETMLHSWATSPIIRTTMTVLLIL >OMO94137 pep supercontig:CCACVL1_1.0:contig07958:27394:33271:1 gene:CCACVL1_06147 transcript:OMO94137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan-tRNA ligase MGRALLSQFLILSNSSPRLSSSLSCRGLGTKYLKRSGVIRHNRATNGDNAAGFRCCCSVSLSQPPAPETSSSSVKKRIVSGVQPTGAIHLGNYLGAIKNWIALQNSYDTLFFIVDLHAITLPYDTQQLSKATRNTAAIYLACGVDTSKASVFVQSHVRAHVELMWLLSSATPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDFVPVGEDQKQHLELTRELAERVNYLYGGRKWKKLGGRGGAIFKVPEPLIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDAIANKIKRCKTDSFPGMEFDNPERPECNNLLSIYQLISSKTKEEVAQECQDMNWGTFKTLLTDALVEHLHPIQVRYEEIISDPAYLDGVLAEGAEKAAAIADATVNNVYQAMGFLRR >OMO94133 pep supercontig:CCACVL1_1.0:contig07958:3364:7023:1 gene:CCACVL1_06143 transcript:OMO94133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSHARRNRASVNPNPNPNPINLLPQPDSNNKFTVRTRQRRTGQIRKQKDAIVADVDDNKLNDNNGNNILSGAEFETTPLGKKEGEDIKELRVLREEVAEEKMDEYDSGGRSGDKGPGAEDEGSTAPLPEKVQVGGSPTYRIERKLGKGGFGQVYVGRRMGANGPGALEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRVHYKGRQGDYYVMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGTPGTPDEKKLFLVDLGLATRWRDSSSGQHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCFCPAPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLIYQVGHKRGRLTMEEEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVADVRLPQHIEKGNEDGLYISSVASCSNLWALIMDAGTGFTAQVHQLSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAPFSDQVSIEFQDYLFNSTPLLWLR >OMO94139 pep supercontig:CCACVL1_1.0:contig07958:40455:42021:1 gene:CCACVL1_06149 transcript:OMO94139 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MEEEYHEYPDELLNLSDMKKKKEDRKLELRLAPPSPGEFHFHGCCTNINSTHGTKRAFQYTAETKIGEKDGEKQCQKLSCIDKKGNMVHSPWSSGSTLLFQNLPVSKKLEVKRSEDATADSDTATNINALDKRSIANANSPVVGWPPIRSSRKNLSLSKPASEKVETAGNGKPQNPKPQLFVKINMEGIPIGRKVNLTAYHSYEQLSLAIHHLFSALLAAQKDSSATQNANKSDELTKPEAAGSLAGGNGEYTLIYEDDEGDRVLVGDVPWHMFVSTAKRLHVLKSSQLSTLPIGSSNEKEKTAL >OMO61484 pep supercontig:CCACVL1_1.0:contig13467:4098:5017:-1 gene:CCACVL1_23482 transcript:OMO61484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDIDRYEVVQLFNKPYLATSLQDFWGRRWNRYSSNILRETVYSPTRNMLNGINYVGVGTARILALICSLVVSGVLHEMLFYYITCGKKPTLEVTCYFVLQGLAIALEAAVKKVALVKGWSLHPLGSIIYTCGFV >OMO61485 pep supercontig:CCACVL1_1.0:contig13467:7038:7498:1 gene:CCACVL1_23483 transcript:OMO61485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLVGPTFGVKVASSATFVRDRPQEKISSSSCLFLKEDDVGGADDPSGDGSGSDRGSSELSSDSSSSIGVLGDNTDEEEEEDGVVPSDPSLTLASLKGIYECENPETSKANLRSL >OMO61487 pep supercontig:CCACVL1_1.0:contig13467:39236:40064:1 gene:CCACVL1_23485 transcript:OMO61487 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MLRYFVDIGESSLPPGFRFKPTEQEILKYFVRPAIETGFLPFPITCCDLYTSEPWNLFDKRAADCFWIYTPLKKLKNENKEISMSIATQKKKRKTKTKENIERTAGCGSWKEKTLKDIKDCAGKLLGHGRMRVCILGPYAKLNINPILEKKPPNNINNNNSALVAASDTHKSKADSFGDDDHEQGPIPKKPRLENATASGASSLNDDFASLDDDDEFLEYLCTVLTDSDETQILECLLD >OMO61486 pep supercontig:CCACVL1_1.0:contig13467:28156:38249:1 gene:CCACVL1_23484 transcript:OMO61486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type METKRAARRYADDSVERICVRRTGLATSANVYRRNSKPYNAISDNQPRKSSYHGPKTSYVSTPKARKQEDKVCTYWMSGHCAFGDRCRYLHSWSCGDGFSSLAKLEGHKKAVSGIVLPLGSDKLYSSSSDGTLRIWDCHSGKCARLSNLGDEVGSVYTEGRWMFIGMKNVVKAWNIETAEEFSLKGPVGQIFCMVVAKDMIIAGAQNGAIFAWKCSSEVNNPFQLVGSRKVHSRAVLCLTVGENKLYSGSVDHTIRVWDIDTLQCIKTLNGHEDAVMSLLHCNGCLFSCSLDCSTKVWFAAEGENWKVIYTHKEEKGVLALCGMNDAENKPVLFCSCNDKTVRLYDLPSFRTANFAFTERGRTFSKEEVRVIYRGPYPLFFTGDASGSLTVWKWVVMEVIKDDSAEKNICKRQRVSEEELDDRISSLPDNILVHILSFLPIKDAVKTVLVPRFRNLWNFLPSLSFNQCGYHDCASKYDEDGPHYNEKFLNFVRHVLFLHESATIDRFHLKFELNLDRTNEAAADEEDEEARDYANRETMMASEVDSWIHFVMRKKVKVLNLDFKGCGLPEPTACYRLPNVVFGGKYLTELKLVACEIKPSHKIQLNCLKRLLLEELVLEDKIINQILKGCSLLEELSLIRCYGLRRLATDNPNTKSLILDLYYHGPMLQVLCPNVESLEIGGSIDLVDLVDVSSIAVSAVVFSSTFKCKHERYQTLKALFKKLSHGKIFIPCTWCILVFTIWQLIYQPGLLFVWKRLEFGIHLTKWHLPGISCLLRTSPYLETLAIYISPGRYHIFTVVMEGNDDIVETNSCKRQRVSEEQVIDRISSLPDPLIVHILSFLPTEDAVKTVLIRRFGKLWTFVSRLSFDQCSYHDCADIVYSDDVEYDERFLDFVHNVLILHENPTIDQFILKLHYNSLWCPSEEGPNDNVDREMRITDQVNSWVHFAMRKKVELLHLDFKGCGFFEPDAYILPDVVMNCQYLTQLKLVACEIIPTGQIKLNTLKRLFLEEIDLKGEILNQILCGSSVLEELSLVKCYSLHTLAFKNPSIKSLIVEFEPMTSSQRLQISCPNLVSLQLAGFIDLMDLVDVSSLAVSSIFFSFHSKCALVRHQEIRRVFQKLSRSKLVRLCTWSIMVFTTWQLNGLQDLFCRWKCLEFSVVLSKWHHMGICFLLRSSPYLETLTIYIGRGLGQHLVQVFEEEWAEYDLDMSKFWSSQVGSFRCLQYHLKTITIYGLITEPYMIDFIEFLLKKAMVLESLVISTDTVLKPPQQPEIFGVT >OMO57890 pep supercontig:CCACVL1_1.0:contig14298:7539:9262:1 gene:CCACVL1_25655 transcript:OMO57890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLIFIFLFIFLSPQLQLQFLSEAQNWVQAGYWISNGELPKKDINSALFTHLLCGFADVNSSTYQLFVPSASEPYFSNFTTVVKRKNPSVKTLMSVLIAAGNSISDENVNGSVLSSMVSDSTKRKTFIDSSIKTARRYDFDGIDFMWRSSNSGDLAQIGVLLKEWRIAINSEPGESKLILTVGVRYLPTSEMGISYPIDLIHRNVDWAHILSYDYHLPTRDNFTGLHAALYNPSSQVNTDFGIREWLNRGFPPTKLVLGLPYHGWAWRLVSPQDNAIGAPSSGPAVTRDGSMGYKAIKSHIRDYGFGVASEYNATYVVNLFTYTTVWINFDGVETVKAKIAYAKEKGLAGYCAFQLSNDDNWALSRAAAQEGDNQENKHQLLLKIILPVSAVLVILVAVAVFVLYYFGLRKPKSEEEMVLRSIASPRIETSAAENFGSDAHLLVFKFAYIKAATNNFDSETKLGEGGYGPVYKVLSLNYF >OMO57891 pep supercontig:CCACVL1_1.0:contig14298:15279:16667:-1 gene:CCACVL1_25657 transcript:OMO57891 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDAKQKKISVLMFPWLAYGHISPFLELAKKLSKRNFHIFFCSTPINLNTIKPKLSPKYSQSIEFVDFHLQSLPDLPPHYHTTNGLPPHLMNTLKKAFDMSSLHFSKILKTLNPDLLIYDFILPWAPLLALSNKIPAVHFLCTSATVSSFLVHALKKPCEEEFPFPKIYYCFLNAKFNNKMENCSGDDGISDIDRTLQSFERSMKIILVKTFEELEGKFMDYLSVLLNKKIVPTGPLIQDPNEDEGDDDERTKIFLEWLNKKGKSSTVFVSFGSEYFLDKEEREEIAYGLELSKVNFIWVIRFPIGENKTNLDEALPQGFLQRVGERGLVVENWAPQAKILQHSSIGGFVSHCGWSSVMESLKFGVPIIAIPMHLDQPLNARLVVDVGVGLEVRRNHGSLEREEIAKLIKEVVLGNGNDGEIVRRKAREMSNHIKKKGEKDMDELVEELMLICKMKPNSCHLS >OMO57889 pep supercontig:CCACVL1_1.0:contig14298:1077:2131:1 gene:CCACVL1_25654 transcript:OMO57889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVHTKRVDKSPVRRIVAAWDNIRQKMKNMGSSGRLSMEELSSAEDNEEVSRMAISTFQAKEEEIERKKMQVKEKVELQLGRAEEETRRLSMIWEELEVLSDPLRKEVAMVRKKIDMANRELKPLGQSCQKKMLTESERLRMKKLEELSKNIESME >OMO57892 pep supercontig:CCACVL1_1.0:contig14298:18574:19962:-1 gene:CCACVL1_25658 transcript:OMO57892 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDSKQKKMSVLMFPWLAYGHISPFLELAKKLSKRNFHTFFFSTPINLNSIKSKLSPKYAQSIQFVELHLPSLPDLPPHYHTTNGLPPHLMNTLKKAFDMSSLQFSKILKTLNPDLLVYDFIQPWAPLLALSNKIPAVHFLCTSAAMSSFSVHAFKKPCEDFPFPNIYVHGNFMNAKFNNMENCSSDDSISDQDRVLQCFERSTKIILVKTFEELEGKFMDYLSVLLNKKIVPTGPLTQDPNEDEGDDDERTKLLLEWLNKKSKSSTVFVSFGSEYFLSKEEREEIAYGLELSKVNFIWVIRFPLGENKTNLEEALPQGFLQRVSERGLVVENWAPQAKILQHSSIGGFVSHCGWSSVMESLKFGVPIIAIPMHLDQPLNARLVVDVGVGLEVIRNHGSLEREEIAKLIKEVVLGNGNDGEIVRRKAREMSNHIKKKGEKDMDELVEELMLICKMKPNSCHLS >OMO57893 pep supercontig:CCACVL1_1.0:contig14298:21385:23150:-1 gene:CCACVL1_25659 transcript:OMO57893 gene_biotype:protein_coding transcript_biotype:protein_coding description:SANT associated MGKRIRKATRHSPSPNPHDKSDNKTPISIAAATPLATLSLNSVLLHDWWLGTPRDAAHSKGLSIAGFEFRGRQGQRLFCSSAIAKRHGATTLETADGIKIAISGFINISRTLQSGFSLEFCSHFHLGFPYDWEEYASQYSDNEACATRCTEASVTGLLGPFGSSPSNPISPLPVSLDSLSAPGIRDLLMFSTKDSQNSLPKGAIFDHVLQKLGNHDSQNVIISADSNIRKKHSTTSSFSANDQNSNDCKKVKLKQNHMDEDYIPASRSARTMEHLNDGQSKRGVSILTPIPGVRTRSMTKLKNLTEKQEVLSLKSSVESEIAVQVSSPKAKRGNHYGETQENRLAKNVSANSPKKSSHLSTSEPSQKHPRKIATRSALRGNCSTTLAR >OMO57894 pep supercontig:CCACVL1_1.0:contig14298:35506:37161:-1 gene:CCACVL1_25660 transcript:OMO57894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSFPLRENSELVQEYDSDSTQSPDNSDGGYGSEDDHDMITNSELPDHREKNGRGQYSGKRLDLKTQGGTVKLTVRLASDRLRPVSATAQDIANYIGFIVKTGAPVDAKNWEEAYRSSGEEMLIAIKKVSERNSGNAKKRKQVHTTGNKSFAEFKDCLLDPETGLLPRADDIWFQEHSRKNKDGEMVWSDDYSKEIGEKMKSSIDDPSQEVPRSRDEIHIGPMGGRSGYCRGFGYGKQGLIKGTRASRSLLQQRNQEIEELRENMADMEAKHEEKEARTQERIEELEKRHVEQLENMCAEILSKLLTNWTNDFPSFQMTPTQTQHK >OMP11769 pep supercontig:CCACVL1_1.0:contig00903:553:1074:1 gene:CCACVL1_00281 transcript:OMP11769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKRANRNCELLRDNDFMDIDHLTDLLQKSINAKAMFK >OMO64189 pep supercontig:CCACVL1_1.0:contig12852:21388:22791:-1 gene:CCACVL1_21962 transcript:OMO64189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGLVRSSRTVITVTRSFFFPPKPSFLFCHSSFCSSPGLPSKSNQQQSPTSTSNIGSPTRVQKLISAQSDPLLAKEIFDFASNQPGFRHSYSSFLVIILKLGRSKHFSLVDDLLVRLKSDKYPVTPTLFSYLIKIYAEADLPEKALKTFYKMLEFNIKPSPKHLNRILELLVSHRNFIRPAFDLFKSAHKYGVLPNTKSYNIMIAAFCLNGDLSIAYKLFNKMFERDVVPDVETYRILMQALCRKSQVNKAVDLLEDMLNKGFVPDSLSYTTLLNSLCRKKKLREAYKLLCRMKVKGCNPDIVHYNTVILGFCREGRAMDAIKVLEDMPSNGCLPNLVSYRTLAGGLCDQGMFDEAKKYVEEMLLKGFSPHFSVSHALIKGFCNVGKTEEATEVLGELLKHGEVPHIDTWVMILPTICEDYDTERIGKILEEVMKVEIKRDTRIVEAGIGLEDYLIRKIRSRSKRP >OMO64193 pep supercontig:CCACVL1_1.0:contig12852:36310:37008:1 gene:CCACVL1_21966 transcript:OMO64193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MADQEQAKEQQQPSSPPPKMPQEKALPTHPPKSKPPSHGEGGGGAGRSCGLCIFIFLLLAGVTALTVWLVYRPHKPRFTVVGAAIYELNATSQPFISTSMQFTVVTRNPNRRVSIFYDKLQTYVSYKNQQITPPVDMPPLYHETKSTVVLSPVLGSKMVPASAEVVNGLMMDESYGVVVLRVVLVGKLRWKAGIIKTGKYGVYVRCDVWVGLKKGAVGQVPLLGAPPCKVDI >OMO64188 pep supercontig:CCACVL1_1.0:contig12852:10036:19699:-1 gene:CCACVL1_21961 transcript:OMO64188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLDSLLDSHLSSDSDDDSNSIIPHRTIDEILNDSDSSTSSSSPSSPPSTKSLARSYTVPQDFKESLKESEAVSQGPPESSKPSPFTRIGDPVWKVSPSSSSSSKQLPTLFGGVRSNVKPGAALAAAAAASRSIPTPHAAAIKSRRAGSGGVIQKVIDSDDYEVSSLNGESAGVSSESSISGEKLEIDDYNDANKMGDFQSADTHENGEVVDNKDEKSETGKVIVKVDCSSSQDFDENSNKEVTELDNENENDTVSVSVDENPTIFDANDSHKRSVSPLPGVDQEKNIEDLERENIEDDIPTSEDGEEGANGGVDGGNYNDASSLSDISELVEERLEQLESERISKRSDKNSRAAMKPLELAEELEKKQASTGLHWEEGAAAQPMRLEGVKRGSTTLGYFDVDANNSITRTLSSQAFRRDHGSPQVLAVHLNFVAVGMTKGVISLVPIKYTSHHADSMDSKMVILGLQGDRSFAPVTSMCFNQQGDLLLAGYGDGHVTVWDVQRASAAKVITGEHTAPVIHTLFLGQDSQVTRQFKAVTGDSKGLVLLHAFSVVPLLNRFSIKTQCLLDGQRTGTVLSASPLLFDDSCGSTSVSSQGNATSSTGSISKMGGVVGGDAGWKLFAEGSSLAEEGVVIFVTYQTALVVRLTPSLEVYAQLSRPDGVREGSMPYTAWTCMTQPRGSSSENAPSETAERVSLLALAWDRKVQVAKLVKSDLKVYGKWSLDSSAIGVAWLDDQMMVVLTITGQLYLFARDGTVIHQTSFAVDGSGGDDLIAYHTHFINVFGNPEKAYHNCVAVRGASIYVLGPMHLAVCRLLPWKERIQVLRKAGDWMGSLNMAITLYDGQAHGVIDLPRNLDAVQEAIMPYLVELLLSYVDEVFSYISVAFCNQIGKMEQPDDLACKNSSVHSEIKEQFTRVGGVAVEFCIHIKRTDILFDEIFSKFVAIQQRDTFLELLEPYILKDMLGCLPPEIMQALVEHYSSKGWLQRVEQCVLHMNISSLDFNQVVILCREHGLYGALVYLFNKGLDDFRAPLEELLVVLRNSPRESASGLGYRMLVYLKYCFTGLAFPPGHGTLPPSRLPSLRRELVQFLLEVSDDKDKKPASTLIFEGSYLNLYYLLELDTEATLDVLKCAFIENKSPDPDTSFSESNDANVEAKKETGLMAESEAMLVQNTIDALAGILDKNVSRSDGLASNDDAESLEAWPSKKDMGYLFEFIAYYVSCGRAKVSKSVLNQIFEYLTSENNNPQSVYTGATETSKRREKQFLEILDVVPESDWDQSYVLQLCENARFYQVCGLIHAIRQQYLAALDSYMKVVEEPIHAFVFINNMLMLLSRGDYATFRSTVISQIPELVKLSREGTLFLVIDHFNDESSHILSRLNSHPQSLFLYLKTVIEVHLSGSLNFSYLREEIVEVYRGRRRKDHSNEFEAYLERISNFPKFLRTNPLSVTDDMIELYLELLCQFERDSVLKFLETFDSYRVEHCLRLCQEYRIIDAAAFLLERVGDVGSALLLTLTGLNDKFTELDNAVNSVVSKVPLRGSASSEHFNSVSKLKEVNDILNILHACVELCQRNTPRLNPEESEMLWFRLLDTFCEPLMGSYCDGVSEKKNHVGKLVDSLGSQEEEECIINWKIPKSHKGGHILRKLFSQFIKEIVEGMIGYVRLPTIMSKLLADNGRQEFGDFKLTILGMLGTYGFERRILDTAKSLIEDDTFYTMSLLKKGASHGYAPRSLQCCICNSLFTKNSSSFRVRVFSCGHATHLQCELLENEASSRGLSSGCPVCLPKKNTQKSRNKSALAENGLVSSLTSRPLPAQGSTLYPHENDALDNSYGLQQISRFEILANLQKDQRLSQVANLPQLRLAPPAVYHEKVKKGSELLAGESSSHLAAIEKPSRNKQLRELRLKGSSSLRFPLKSSIFGKEKTSKR >OMO64191 pep supercontig:CCACVL1_1.0:contig12852:29845:34044:1 gene:CCACVL1_21964 transcript:OMO64191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVGMEVDVEVGTAEDRKRKKKNEEKDGSSEVVRWEKFLPRMVLRVLLVEADDSTRQIIAALLRKCSYRVAAVPDGLMAWETLKGGPHNIDLILTEVELPSISGFALLTLVMEHDICKNIPVIMMSSHDSISMVLKCMLKGAADFLIKPVRRNELRNLWQHVWRRHMLASGRVPHNLPAIEQKVEATAENNAESNQSSDYGSSTHKIKEGSDTQSSSTTLYLEAESTYMPNMQGLSQLKCTSGNLSDTSREQQGQVVKLDQESHQIECQTKGMSKKLLVSENSSRSNASKLEENHATIEGMTHDDAVGLCDRGNGDIIIRVGRNDDLSEPPTGAIDLIGSFDNRPEGTFRLSSSTDGPNKFEFSTQLELSLRRSCLSSTKNHGSSERSTLNHSDASAFSRYSNKSLQPNFPTLAGNRAAMKEHDCKSGQHLENTNGISQAHVVTLSDCKETTPVMGQSELTFPSSQIGPIPVPRQDKQEPVGEERCSSPTADQSACSSLCNGGGNHENSSAHGGVSSRSDASASASASANFAAAIDKGITTENFNDSKFFIHEGLKGMDSHRSSQREAALTKFRLKRKDRCFEKKVRYQSRKRLAEQRPRVRGQFVRQVQNDTPVGDADGSKL >OMO64192 pep supercontig:CCACVL1_1.0:contig12852:34854:34946:1 gene:CCACVL1_21965 transcript:OMO64192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGTGLNRAMGMKPNWAKAGYEKAKKSQP >OMO64190 pep supercontig:CCACVL1_1.0:contig12852:29216:29431:1 gene:CCACVL1_21963 transcript:OMO64190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCQRGNPLQKISSLEPPTLTQHVSSPTHAAPTQQRGRSQPQQSKVPPPHWQSPKKSNTVVRVSPRQLHQP >OMO64187 pep supercontig:CCACVL1_1.0:contig12852:6158:7976:-1 gene:CCACVL1_21960 transcript:OMO64187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrogenase, E1 component MSAAFSTAKFATQPFPLNTTSPRSHDKPLFDPLKIPTCSSPFLGSTGKLRLSSSSKLNLHRRSGAVVAVSDVVKEKKSKSTPNLLITKEEGLVLYEDMVLGRAFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKKEDSVVSTYRDHVHALSKGVPAREVMSELFGKTTGCCRGQGGSMHMFSSEHNLLGGFAFIGEGIPVATGAAFSSKYRREVLKQADCDHVTLAFFGDGTCNNGQFFECLNMAALWKLPIIFVVENNLWAIGMSHLRATSDPQIYKKGPAFGMPGIHVDGMDVLKVREVAKEAIGRARRGEGPTLVECETYRFRGHSLADPDELRDPAEKAHYAARDPITALKKYMIENSLASEAELKAIDKKIDEVVEDAVEFADESPLPQRSQLLENVFADPKGFGIGPDGRYRCEDPKFTEGTAHV >OMP05369 pep supercontig:CCACVL1_1.0:contig05418:2074:2596:1 gene:CCACVL1_01932 transcript:OMP05369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGSYGGGQSSLGYLFSADEQPSAPTVTPPIQPPYGIDITPEKPPAAAPHDLSPSEKQTEKSINNNYHRAQGQNTGNFITDRPRQSKSVPGGDSSFGIPVWRQVMIMLSFSQKVSALIHPTKGVED >OMO73240 pep supercontig:CCACVL1_1.0:contig11276:4691:9076:1 gene:CCACVL1_17376 transcript:OMO73240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 IAIFTLLCLLSFHNPVNDFSSCFSTPDCLGRRLGPRLLGRVDDSGRLVRDFYKILNQVNTEEIPDDLKLPDSFNQLVSEMKNNQYDAKTFALMLRAMMEKFEREIRESKFAELMNKHFAASSIPKGIHCLSLRLTDEYSSNAHARRQLPSPELLPVLSNNSYHHFVLATDNILAASVVVNSAVQSSLEPEKIVFHIITDKKTYAGMHSWFALNPVYPAIVEVKGVHQFDWLTRENVPVLEAVENHNGIRNYYHGNHVAGANLSDTTPRTFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDIVIQRDLSPLWEIDLYGKVNGAVETCKGEDEWVMSKRFRNYFNFSHPLIAKHLDPDECAWAYGMNIFDLRAWRKTDIRETYHSWLKENLKSNLTMWKLGTLPPALIAFKGHVRPIDPSWHMLGLGYQIKTDVEKVKRAAVIHYNGQSKPWLQIGFEHLRPFWTKYVNYSNDFVRNCHILDS >OMO73241 pep supercontig:CCACVL1_1.0:contig11276:13261:15264:1 gene:CCACVL1_17377 transcript:OMO73241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVQDQLEIKFRLTDGSDIGPKAFPAATSVATLKESVLAQWPKEKENGPRTVKDVKLISAGKILENNKTLGECQSPLCDIPGGVTTMHVVVQPPPLEKA >OMO73242 pep supercontig:CCACVL1_1.0:contig11276:17835:22360:-1 gene:CCACVL1_17378 transcript:OMO73242 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MALPKENETLQLHFHLGDSYWTELDLVKFLNHPNPTILPAEKQIPISLIWARPTAVISDVQIEDFPHLLIPPPTETETSMTESFKNQLLADLVDQEFREAREIAVAEVAAPTADPWVTHLMKRIIFTPDLPFVKTIVIPKFVKETRVIAQSYIERVTNQMEYNVVVLPHHHEEANMIWKMTDGTVTAVPEIAKWWLGSGNPTPSDMKILIWNCRGAANSEFKRVFTDLVRTNRPSICFLAETKISGDIANRVVASLGFGGSHIINARGFAGGLWMLWDPDQVDINVLPHGEQAIHAVAKVRSSHFDFNWLISGIYASPRLQDRLLLWDELKTISDNYSGPWSLMRDFNEIFSEHEKFGGNGLNSRRVQAYSDCVNYCNLVDLGFVGPQFTWTSVRNCGIYIMERLDRVWANTEWRTKFPETVVHHLPRFYSDHNPLLLDLSPRAAPISDKPFRFQTCWLSHPDFKSLVESIWNNFDQPLSALISTFKDEVCSWNKDVFGNVFKRKRILRARLTGIERSLARFPDPFLVDLQKSLVKDYQLTLQQEEEIWKLKSRIDWVAQGDRNTSYFHTSTIIRRKKNAISGLEDSLGNWSFDVETIKRLILNHFKDLYTTSHYCSLPSQSDTWVIPGPSLATETYDMLTAAPTNGEIKKALFGMKPLKAPGPDGLHPTFFQKFWSTVGDSVCRDIKLIFHCAVIPQDWNNTLISLIPKVNNPETINQFRPIGLCNTTYRIVTKMLVNRIGPLLEDLISPFQSSFLLGRKGSDNAIIIQEAVHAFSKKRGQAGFMMLKIDLEKAYDRLEWSFIRETLVFFNFPVHLIKLIMSCICSANLAVLVNGGKSEEFTPSRGIRQGDPLSPYIFILCLEFLSLTISHKMQLKQWKGFRICRGGPVFSHLFFADDLVLFSKASMESCVLIKEVLNNFCNLSGQSVNFQKSRIYFSGNTNRNLRDCVIQNLDMLETDSIGRYLGYNIQNRKPKKVDCQHILEKIGTKLASWQTKFLTPAARTLLVNSVISATASYYMQAMKIPMSVLNEIDRDKKDGGLGIRSAAHLNEAFISKLGWNIINNDQNMWAETLKAKYVRRSTAISNQSPLWKAIEKTGSVLAKGTRWVIKDGNRASLWMDDWTGLGPLKELVVGPLHQHEDRLTVRTIIDGEGGWDFSVLSLQLPHRVCQAIWAIPIQATSTGQDCLSWKGTADGKFTIKSAYHLARGSNVEGKFNWKWVWKTPTHPKIQHFIWLTAHGRLVTRSYLKIIGIISDDLCPMCNEGPETIQHLFIDCPHTASVWNQLHPPPLEQCTCPYDWLKANCSNSKSSFHLKIPWNVVFAMFLWYIWNRRNDKVFNKDFNTQEPVHNIIAHAAEFFAVNGSIKANTSAIRLIGWNKPMFDHFKINTDGASNGYPGPSGAGGIVRDHSGNFISAFSRNLGVTNCLVAELWGIRDGLQMAIDLNLHNKVTLEADSFVAVNLINSPPSCAHITPLLFLIADA >OMO59389 pep supercontig:CCACVL1_1.0:contig13984:34375:35331:1 gene:CCACVL1_24864 transcript:OMO59389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKEKGRRTMDTSTSRCFNVNIDVIIIASILLASSSFQELKLFEALGHPSAILKAAIED >OMO59388 pep supercontig:CCACVL1_1.0:contig13984:20237:33440:1 gene:CCACVL1_24863 transcript:OMO59388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKGFVLYLLSGFSVAILSILFINKKNNNMFHSNSILLHSSTLSTVDKIWPQLELNWRLILAMVIGFLGSACGTVGGVDGGGIFVPMLTLIVGFDTKFAAAISKCFTIRTV >OMO59390 pep supercontig:CCACVL1_1.0:contig13984:49412:55397:-1 gene:CCACVL1_24865 transcript:OMO59390 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MALICLEQWDKSDEDTIGVVGLAAVGKLPVIPNVLEFIGIVFYESRSPLPGSPSSPHLSNMKRRNSRKRLGSRNSSFEYRKDEPLHRIPGRLFLNGSSDVASLFTQQGKKGTNQDAMIVWENFGSRTDTVFCGVFDGHGPYGHMVAKRVRDHLPLKLSAHWEVNISREDVLKEISLNTAGSINSEDTAFVSADEESRASIDLEETEKYPEIFQTLRESFLKAFKVMDRELRMHANIDCFCSGTTAVTLVKQGPYLVVGNVGDSRAVLGTRDKDNSLAAVQLTIDLKPNLPAEAERIRRCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPEISFRRLSERDEFIVLATDGIWDVLSNKEVVDIVASAPARSSAARALVESAVRAWRFKYPTSKVDDCAVVCLFLDSTSNNLSTASNAKPKVQLTSVDQVVNDSEKDNNIAVLTGLSRSGTVRTAEEEPLVGNEDCVKQDEVNSEIGIEWSALEGVSRVNTLLNLPRFVPGKEDMKAAAGTKARK >OMO62413 pep supercontig:CCACVL1_1.0:contig13269:8325:10316:-1 gene:CCACVL1_22844 transcript:OMO62413 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MERKSMEERLLLNNEQKRSSNVAGHGGDVDLYVNMPLPPETTASSTSFTAVLVFSTFVTVCGSFSYGCAIGYSSPAEYSIMEDLGLSMAEYSVFGSIMTVGGMVGAIFSGKIADLIGRRRTMWFSEAFCTVGWLAIIFAKNALWLDIGRLSIGIGVAIISYVVPVYIAEITPKTHRGSFVYANQLMTTSGFAIIFFIGTFLSWRVLAMI >OMO85944 pep supercontig:CCACVL1_1.0:contig09558:4661:5738:-1 gene:CCACVL1_09913 transcript:OMO85944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPTIYNQNDDEFLYEISGIPHYMDFGQQNLTISGQPGITDSSTSTQKLGKGRRLKKSVPIPNNDGNGETNDAKKIMRKEIERQRRQQMANLSAQLRSLLPVESIKGKRAVSDHMNEAVNYIKYLRKRIQELSVKREKLKKLSGNFDQGTSATSSNSDNNSSSQINCVAIHPYCGGVEIVINSGFGDESSHLSLIMQAIIEEGLDVIRCVSSQMSEGFCHTIQSEISDPTLQLDLPGLQSRLNDLIL >OMO98520 pep supercontig:CCACVL1_1.0:contig07101:927:1013:-1 gene:CCACVL1_04208 transcript:OMO98520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKQIKFQHENKQIKFQHENKQIKCPA >OMP11010 pep supercontig:CCACVL1_1.0:contig01714:290:388:1 gene:CCACVL1_00730 transcript:OMP11010 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosomal protein S18 MDKSKRLFLKSKRSFVGVCPRSNQGIELIIET >OMP07706 pep supercontig:CCACVL1_1.0:contig04255:259:375:1 gene:CCACVL1_01240 transcript:OMP07706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKSRGTELTHSEHPLKDYVVRLDRINPYRIKVQPPVNLQ >OMO98263 pep supercontig:CCACVL1_1.0:contig07141:578:717:-1 gene:CCACVL1_04274 transcript:OMO98263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGGKIDLGAAFGAADKDSGGS >OMO62793 pep supercontig:CCACVL1_1.0:contig13156:16396:21196:-1 gene:CCACVL1_22633 transcript:OMO62793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAENNHGMEEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKADNLLEGSEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREDSCHFCDKLLLQLQVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLEKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAAN >OMO99897 pep supercontig:CCACVL1_1.0:contig06778:155:4639:-1 gene:CCACVL1_03557 transcript:OMO99897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MGAEDYWETVPPAVAPLNLEREEHWRRFDNSVNAVSFGFVATAILISMFLVMAIFERFLRPRSSLSSNARNHADVESHSTFNGKLNYPSPKRGNEWAWQHDCDSSFAFLYVLSVVYSTDKFYLCAVIYHLIALLLGYLQLRLFSMYNIIWLLEPLDLWEKMTIYANGVSVLMPGEETPTFIAHPAPAPCPPERVLKPLHQQNQIIGRFDLTHNNVGQSYNNPIYVIDRFDMGHIKGRFPGACSNAAGSAGGLISIWNDDFFSLDSSIVKDRFILLIGTLKGANLKCILVNLYAPNDDSERKILFQELVEIISPLNLPCCIGGVFNVVRSPEEKIRVTLNSIALSDFSDFIENLNFADLPLEGEKYTWCSKRENPSFSRLDRFLLTSDYLERYPLISQKDLPRSLSDHNPVILFVDDKNWGPKPFRFFNHWLFEKGFQNVVVDSWNKSSSSDNLDLWGKLRNTKTVLRQWYRNNLGGDC >OMO53373 pep supercontig:CCACVL1_1.0:contig15212:10322:15946:-1 gene:CCACVL1_28681 transcript:OMO53373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 1 YPITPWGLTGLLEYLKEAYGNPPIYIHENGQMTRRNSSLEDWSRVNYLKAYIGSVLDATRNGSDIRGYFTWSLLDVFEMLDGYESSYGLYYVDIDDPDLTSSFLLFQFKKMAITFSDLHTESGLKSLEEYLSGKSYICCDKLTKDDIKVYAAVLKNPGDSFPNVSRWYNSVCSALATSFPGKAVGVGLGGKAAPAEAPAAAAEDDDDDLDLFGDETEEDKKAAEEREAAKKPAKKKESGKSSVLMDVKPWDDETDMKLLEEAVRSVEMPGLLWGASKLVAVGYGIKKLQIMLTIVDDLVSVDTLIEEHLTVEPCNEYIQSCDIVAFNKI >OMO53371 pep supercontig:CCACVL1_1.0:contig15212:1331:5600:1 gene:CCACVL1_28679 transcript:OMO53371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCGCFGFSTKPKRLSRPNRSNVRISQELLLDEEIEDEDDCSYNGEDTSTAQEDEVESVSRAKHSEEILRFKLENGFICRQCPVKETNTIVRSEDENGNKMVNEYVREYKIGSGSYGKVVLYRNSVDGKHYAIKAFHKSHLLKLRVAPSETAMTDVLREVLIMKMLEHPNIVNLIEVIDDPSTDQFYMVLEYVDGKRVCEGFVPGQIGEDTARKYLRDIVSGLMYLHSHNIVHGDIKPDNLLITSTGTVKIGDFSVSQVFEDDNDELRRSPGTPVFTAPECCLGLTYHGRAADTWAVGITLYCMVLGNYPFLGETLQDTYDKIVNKPIILPNDMNPELRNLLEGLLCKEPKQRMTLNDVAQHSWVIGEDGPIPQYLCWCKRNSYQREESNGRSDTHFTEN >OMO53372 pep supercontig:CCACVL1_1.0:contig15212:6080:9101:-1 gene:CCACVL1_28680 transcript:OMO53372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQRVMVIQDGSKEVCASAIRWPIHGLFLKPGDLLVLLGVLHEVDYSATSSFNATRKILGYRNKVDSSSKFGSNHRILGREAARKDEYENTAEIMEISKLLKAEKVEFRIEVAAGASPAFVAVKSAENLKATWVILDRKIKKDKKIFLEKLSCGISRMKRNNSIELLRGPKMKLNELSVTYDDMMPGAGTPEEDDLFSIELFPTCQGQSDVNHSAEEGTFASPTCTICHDRKQNAVPRKDFTYSELEAATDGFSLKNNVSKVGGAESTFRGQLENKVNVVIKSAKNNHNTYFEEVSRFKSEVDELCRVRHKNLVMLLGCCAQGSHRLLVYEYICNGSLDQHLSKFQPVPLTWTERLRIAMGASRGLSYLHENNRIHRNIRPTNILLNHDFEPLIGDLGLATVQSDRYLKQENIHTSGSGCLAPEYLETGRVSTQTDVYSFGVLLLELITGQRTLDKNLGLQAYFTWARRLLKQRRYLELLDPRIADSHDVNQLYRLAQLAQKCLTKNPKERVTMNKVVSTLESIMESKPSNLHVDNRLLKSYLPHNCIKTVQDEDGEDVTSHKRSRSFSANLRTWPSFGTSSREGKGYKFVRSISATTNQVFYGEML >OMO53374 pep supercontig:CCACVL1_1.0:contig15212:17847:19382:-1 gene:CCACVL1_28682 transcript:OMO53374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRVFASEIYSRYDFPPGFVFGASTSAYQMEEDLSIPRACNTTTI >OMO55660 pep supercontig:CCACVL1_1.0:contig14625:10208:19965:1 gene:CCACVL1_27109 transcript:OMO55660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MACADGWYGRCTDVVIGPGSDPGCGPVPLSAVKPDVRLGKCSTRGTHPPDKLYKPVDNNVLPSTLCTAINSHAQAVGEKCFLQWTYVNESLVQLAMVMVSDYSLMHLANTSHVPRLVFGCAYHIENKSPYSSYPPYYSPPQGILGLGKSKGSIISSQLSDILYPPPPQGVLGLGKRKESIPSQLSAMNLTQNVIGHCLKTQGGGFLFLGADNIPENGMTWTPMLFNSNGRHDGAGPAKLLFGGNPTGIEHLFVIFDTAYTYTYLHPQVYDTILDKIRNNVRRTRLQDVKNEGFSVCWRDTKPIKVVQDVRNYFSTLSLSFPGDVQLQLPPEAYLIATEQGNICLGIKSDKYGNRPEQETNNVIGESLIQSKIELRSPSSMGSQTLLKPIGRTEIVLSRNCMPNTRSSGTKDLVFNSEPGRTLFTLRRENLTREQGGDSSTPSSQSNSPRSITSSSSLESQPSRENMGKENNNRTLRELAAPNVATQRLAIQYPTNEENFEIKSGFIQLLPKFHGMPGEDPHRHLTDFQIVCSSMKMQGHHTDRCPSLVEDNVEEVNALGMQEGFRRKQEPFPRSYNSYGNQGAYQVWPNNYPRQSSHYSEGMQQMKETMEIIKKQIGQLSSDVSELKAQSQNKIPSQPKVPPKENVNAITLRSVKELEEPYPTKPTMDEGTSKDEEELHVLVKEIKIEDDEPIILESVKDNGKAKEASTVKPKLDSKKEPFPTKLKRSTKEDDDQDILDIFRKVEVNIPLLDAIQQVPRYAKLLKQLCTSKKRLQGKLNVGAIVSAVLQKHLPPKCKDPGMFSITCSIGNNIIENAMLDLGASLSVMPYSFYQTLNVGPLKYTDVVFQLADGSLVHPKVEKWVKKELGETSSTMTREQISKGRQRIASFRQRKDENFYLAWERFKKLCADCPQHGIPQQILITYFYQGLGVDDQILVDSINDIPLFDRTCEDAYEALQALAQAITPPSWTKKSLEKEAKFPTTRRLGITFASGWYYYPDLVKQFYANINKDREGYEYTITTMVKGKDIVVDEEYLCQMLGLNNEGSMFYFIYVDGEQRTATESTWKRDGALRRFDIPLGMDNQGNREVKVKYMEPRDRLVCYLLHHNVIHRSSNKHKFRIEDFYVVDKLCHGLGRCGGIPMAKLLLSRIWEVVNSTYDYKTFVFPLLISKILINEGVEVDGELHFNNEGNVINVSCLSHLGVKRRVIANSAHWCNVHRRTYEQGLEQPHVEGQPPLEVNEENFQELPMR >OMO59808 pep supercontig:CCACVL1_1.0:contig13874:5899:6436:1 gene:CCACVL1_24600 transcript:OMO59808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLRQPFEIETDASGHAMRAVLLQGEQFHLLIRYKKGKTNQLADWLSRPPLKVANVVMYREPSDSVIYKEQYINDSCFQNTYRQLEAGNSVDGYHLRGLPITKK >OMO72144 pep supercontig:CCACVL1_1.0:contig11500:4917:6519:1 gene:CCACVL1_17920 transcript:OMO72144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MAATALHGVAHLRPLDSLKETKEGRGRVRVRLGFPRLYGYRPRVLGSAHGNRRSFITCYRDQSTDEAAGGGVLGVSEIKEKCNKWLWKGQYSINYFVSSSNAESNPPLLLVHGFGASIPHWRRNIGTLSQDYTVYAIDLLGFGASVKPQGFPYTMEAWAELILDFLNEVVQKPTVLVGNSVGSLACVIAASESSHNLVRGLVLLNCAGGMNNKAIVDDWRIKLLLPLLWLFDFLLKQRGIATAVFERAKERENLRNILLSVYGNKESVDDELVEIINGPANDEGALDAFVSIITGPPGPNPVQLMPKINIPVLVLWGDQDPFTPLDGPVGVGHCPHDDKPDLVHERLLPWLAQLPA >OMO72146 pep supercontig:CCACVL1_1.0:contig11500:9544:10239:-1 gene:CCACVL1_17922 transcript:OMO72146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MASTKTRMALAFLLTMVALFGLSLGAVHKVGDSSGWTIGDIDYANWASARTFHVGDSLVFEYNGAFHDVVEVSHDDFQSCKGTSAIATYTSGSDSVTLQRPGHYYFLCGVPGHCQVGQKLHILVQPASSIAPTASPTPTPSVLASSPPANPPSETSPAAQGSPAQSGALSLISFTSNLSLVLSLVAIYVFGVAF >OMO72143 pep supercontig:CCACVL1_1.0:contig11500:2839:3609:-1 gene:CCACVL1_17919 transcript:OMO72143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase A/isopropylmalate dehydratase small subunit, swivel MAASLSHAPCSTTFTSSAAKSSVSQSPLSPPSIKIPTFSPLTAKPLSSTFTQHAARAITITPRATSTPSSVPSETPTTTFHGLCYVVGDNIDTDQIIPAEYLTLVPSNPAEYEKLGSYALIGLPSSYETRFIEPNEMKTKYSIVIGGANFGCGSSREHAPVALGAAGAKAVVAESYARIFFRNSVATGEVYPLESEVRICEECKTGDVVTIELGESKLINHTTGKEYKLKPIGDAGPVIEAGGIFAYARKTGMIPA >OMO72145 pep supercontig:CCACVL1_1.0:contig11500:6980:7665:-1 gene:CCACVL1_17921 transcript:OMO72145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MASTKTRMAFLLTMVALLGLSLGAVHRVGDSSGWTIMGDSTDYANWASARTFHVGDSLLFEYNNEFHDVVEVSHDDFQSCTATSAIATYASGFDTITLERPGHYYFLCGVPGHCQIGQRVDILVQPAASSNIAPTASPTASAHASSPAANPPASDSSSVPGGPAQSGARSLISFSSNLSLALSVVAIYVFGVAF >OMO78790 pep supercontig:CCACVL1_1.0:contig10540:364:2085:-1 gene:CCACVL1_14096 transcript:OMO78790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKWRLVRLTEISGTLVSPTPLELNFPTAYLPHAGTNVAGVTCLAAVGVAKEIVLNFVYAPRFAVVSVIQLLQLVFFFKMNSIYRPRNGFMLCLGQVACIFRMVALITGSDELQDASEILTCLSDCVYCTVCACMQTQHKIEMDKRDGKFGPPMVMMVPPVQQMSRIDHPVPPTVGYAPQPRPLQPPYGHLSPHPPPQKFPSGGYPLPPPRAQPHPLFHPIPQPHPH >OMO78792 pep supercontig:CCACVL1_1.0:contig10540:11874:13985:-1 gene:CCACVL1_14098 transcript:OMO78792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIDLITRVDAICKKYEKYDIDKQKEANVTGDDAFARLYGVFESEIDAAIQKSEAAATEKNRATAVAMNAEIRRTKARLYEELPKLQRLALKKVKGLSKEEIEARNDLVSSLKDRIEEIPDGSTVAARQTAGGGGWATSTSYTGIKFDSTSDGRFESEYFQQTEESDRFRQEYEMRRMKQDEGLEVIAEGLDTLKNMAHDLNEELDRQVPLMDEIDEKVDRAATDLKSTNVRLKDTVNQCIEMRPYCLPASGATILLSYGIRINSPPYLFYT >OMO78793 pep supercontig:CCACVL1_1.0:contig10540:15027:20818:1 gene:CCACVL1_14099 transcript:OMO78793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENSILCSLSSLMILSLFTLFSCLFGHCYSVEQEQLGGYDTFTISSFKYPETQIRPFDMRYIRVDLPPWFSTLSIALKSSVDLDIKSVEKIPKSVLPMLCFRDGSLPLPDVSNTSFKALPLSNGSFGGILVLKNTEQCYPVPKNMTMRLTNEQISAGVLYLGLFNGVGPTRTQSKMIVRGPAYSFAVNISVEGCTASTMQGQYCNQTVELLSCGLSGSYHGFGNLSVTGFYNQSMVSCRNNFETSCNRDGEMNIYSLEIRRIAELLTITAENVTLRSPSRTGNSSEIDLMCFARYGALPSAAVHDYSGNLNKSPLVIRSPKVGRWYISILPLNLSKEIGGAQSNVSTVCYSLDVQELECPLGKAGPNCSSERYMLQTILRRDSTPFESYYLPDGEKVTSGAANFLLEPLLSNYTYGDGGIDTWTYFLVDIPRGAAGGNLHVRLTSDRKINYEIYARNGGLPSVDNWDYYYVNKTSSSHGSFFVLYNSMEEKVDFYILYVKEGIWNIGLRHLYSPSGTSDGQTTMSVSLERCPKRCSYHGECKSALDASGLTSYSFCACDRNHGGFDCSIEIVSHQGHIWQSIALIASNGAAVLPAFWALRQKAFAEWVLYTASGISSGLYHACDVGTWCALSYGVLQFMDFWLSFMAVVSTFVYLTTIDEVFKRTIHTVVAILTALMAITKATRSSNIILVMAIGALGLLVGWLIEISTKYRSLSFSMGFCSNRLERWQIREWLQNLVKTLLKRFRWGFVLAGFIALAMAAISWKLENSQTYWIWHSVWHVTIYTSSFFFLCSKVNTINNGNERPAADGNYQLTRQDSIPSGA >OMO78794 pep supercontig:CCACVL1_1.0:contig10540:21746:24198:-1 gene:CCACVL1_14100 transcript:OMO78794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSTSSRSRNPSSGLQSTPDRFAYSPSPAPSYTDSYASRKSGSGRNPVTVVARSIAGAFVSCFTPPETDGSKSFGVSDEFKAPSVASEGSRRNSENIGSNRGIYSNSTNERGPGSMKFTIEEIYKATRNFSPAFKVGQGGFGTVYKGRLDDGTFVAIKRAKKSVYDKHLGVEFQSEIRTLAQVEHLNLVKFYGFLEHGDERIVVVEYVPNGTLREHLDGVHGKVLDLASRLDIAIDVAHAITYLHMYTDHPIIHRDIKSSNILLTEKFRGKVADFGFARLAADVESGATHVSTQVKGTAGYLDPEYLRTYQLTEKSDVYSFGVLLVELVTGRRPIEPKRELRERITAKWAMKKFSDGNAISVLDPKLELIPGTSAALEKILELALQCLAPRRQSRPSMRRCGEVLWSIRKDYREVSALDLHSLSSNSQRSASVREQ >OMO78795 pep supercontig:CCACVL1_1.0:contig10540:30337:32067:1 gene:CCACVL1_14101 transcript:OMO78795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGGCCCSSRKPHLHGTPVYYYCPPALEENDSLTPHDTAASAMAAGLLVNLNLEVPDTFRAPPAPLPYDVVLGCPQSTDSESFRETISGGSFETLPTCEDLEESECKTQSSSLLLSPRKSEVSKLTESKESTTEEEDACPICLEEYDTENPKLITKCEHHFHLSCILEWMERSDTCPICDQEMIFDQTFDQ >OMO78791 pep supercontig:CCACVL1_1.0:contig10540:4330:5695:-1 gene:CCACVL1_14097 transcript:OMO78791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKNAKLSSMIYPDGSRRESVHVCQLNQSPWDVIPFSEEPYPSSIHHQFETEDSFNGNGSLGDSIGAVESVASMMESEDKAILKAEGMIIDDNDDTDEVIKIGEEFVFRSQCDEEDDSRQEGLLKSCSNINHSNDSENNPSSLTNKKAEKNNQLTGSRRGRARAARRGSSSAASNPYEFYYYSGFGPLWGKRRGGGSDRAGEISKNIEAKDVENNSSAITTQNNTTPSSSSQIDNNEEFDYVDDEDDEEDDENGDSGKKRMRKPVKARSLKSLM >OMO78796 pep supercontig:CCACVL1_1.0:contig10540:34998:41188:1 gene:CCACVL1_14102 transcript:OMO78796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLTSSSSPSFMSSLSFLVFLLLLSFHPQHAIFVANSPLNQPFFKSRIDKSSKFPVSHKEAFQIRSKDRKLESTNVIIRGKETIQSVNRTFELGFFSTNGESNWYLGIWYASIPTQTRVWVANRENPIKDIAQSSLEITETGQLAVKELPDSIVWQSTNKEEAKSIDLLESGNLVLYSSEGSKIWQSFDFPTDTWLPEMNISIQRSLTSWKSLFDPSPGLFSLRLKPQQFNEFQLVYNSSNVYWSTGNWTGAAFSNVPQMTIRYIYKFHFVDPYLPTASFWYSVRALENGAEPPLTRFQVDVSGQLKQFTWSPQIESWNMFWSEPEDKCRVHGLCGSFGSCVSTSLKPCVCLNGFKPVDDEGWNSGDYTSGCKRESDDFCEEKDGFEDVGDVEYDGGTTVSFQGSRSSCEKSCLSNCSCIGLYHNGRTNLCKNVYGSLLNLRNLSSDGIDEEDVFYIREPREGIVKKNVSKAMILTASIVGSIAVLGFMGLILLVLKKRRENMNGTDEGGSFPGLNLKVFTYKELNSVTRGFSEKLGHGGFGAVFRGELSDSSPVAVKRLERPGSGEKEFRAEVCTIGNIQHVNLVRLRGFCSENSHRLLVYDYMPNGPLSAYLRRDSPNLCWDVRFRVAVGTARGIAYLHEECRDCIIHCDIKPENILLDSDYMAKVSDFGLAKLVGRDFSRVLATMRGTWGYVAPEWISGLAITPKADVYSYGMTLLELIGGRRNVEAPQSAGNDNVCGEGGYEEKWFFPPWAARQIIEGNVAAIVDSRLGVAFNIEEAERLALVAIWCIQDEEQMRPSMGMVVKMLEGVVEVTIPRPPKLIQALVAGESYRGVRMDSGVSAAGGCSDYNVGFSSAGSRSSLGGECDLSSAESTPTMNLMENQLHSKSSPLISNLESAELHPAPSGLISEGGDYPPIQCFADAKNICFIESSKLWAIATPIAFNIWCNYGINSFTNIFVGHIGDIELSAVAIALSVVANFSFGFLILSLQLALFLYGKSKTTGINAAISVRVSNELGSGHPRAAKYSVFVIVAQSLLIGILSAVVILITRNKFAIIFTDSKEMQKAVAHLAHFLGMTMILNSVQPVISGVAVGGGWQALVAYINLFCYYVIGLPLGFLLGYQFKFGVEGIWVGMIVGTFLQTLILMFIIYKTNWNTEVEQASERMRQWGAELSDTNNRESNNITM >OMO62246 pep supercontig:CCACVL1_1.0:contig13295:5453:6441:1 gene:CCACVL1_22939 transcript:OMO62246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCYKVNITNDYDLRGYFLTTFNHYQQLGNTDAKPKSPGRLTVK >OMO49342 pep supercontig:CCACVL1_1.0:contig16529:10988:11116:1 gene:CCACVL1_31065 transcript:OMO49342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKLHKQRCHGACKGTKVMVLAGSYLVCLAGEKGREIGSVE >OMO93830 pep supercontig:CCACVL1_1.0:contig08053:2082:3398:1 gene:CCACVL1_06331 transcript:OMO93830 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MDSGNSSSLQSSSGGDEEYDSRAESSLPAFLNTSSSHFTNPHPSLPTFFDPSSSYFNPFSQSSQPNNSVVNLDGVRPRGLRSDEPNLGNLPGSSGSNQSLLGAPQQQQRGGGLNHGSLIPTSSNGARSLTQSHEQQITTTGGVVKNPKKRTRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFSGSSYSRRLDLFGSGSGLLKQTQNMLNLQTQSPVLSFQSFLQPPPTLHPSLNNLPGFGVKSSQGSSAMASLDIDELGMSHGGHVNANLGGLQSTLRSESSNWRDGVGLNDGNHNLDVNYGNDHHNNSQRVATNNNSCKLNYSASSSDFHHHHDKGLENVSSRAEGTVDSWICPAE >OMP06702 pep supercontig:CCACVL1_1.0:contig04839:601:663:1 gene:CCACVL1_01465 transcript:OMP06702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAAPTYQRDSELAEIRVFFN >OMO59463 pep supercontig:CCACVL1_1.0:contig13952:3320:7361:1 gene:CCACVL1_24800 transcript:OMO59463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKWLWKRKSSDRSPGETESSESISSHSERYSDDQETFKASPNNTQSPEVSSKASANCEDVNDSIKCLTEKLSAALVNVSAKEDLVKQHAKVAEEAIAGWEKAENEVVVLKQKLEAAVQQNSTLEDRVSHLDGALKECVRQLRQAREEQEQKIQEAVAKTSRDWETTKFELESQLLELQDKAGAVKSDFKPHFSPDVLHKIEALEKENSALKLELSSQLEEFEVRTLERDLSTQAAETASKQLLESIKKVAKLEAECRRLKAIACKSSLVNDHKSPAASSIYVESFTDSHSENGEGLNMVDFDTRKMGGLEANKGEPSCSDSWASALIAELDQFKNEKVINRNLPTSSIEIDLMDDFLEMERLAALSETKTENQCLESKATAEQCNDSDNSLKAELEAMIHRTAELEVKLEMIELEKAELERSAKQCNDSDNSLKAELEAMIHRTAELEEKLEKIEFEKAELERSAEQSNDSDNSLKAELEAMIHRTAEIEEKLEKIELVKAELEIALTKSQQNLEASKLQLRDTETKLEQLQRELSMANEAKEHLESQLSSMETDTETMSAKINSLEAEIEKERALSAQVSADANEAKQLFESQLISIEADALTMSSKIDSLETEVEKERALSAQITVKCQELEEELSRKRQHEAEIQQTANSNVELKIKQEDLAVAAGKLAECQKTIASLGQQLKSLATLEDFLIDTTGIPELPRGGSLIPKAGTEPWKLHSNETFSPKVDPDSPRVSTGPSVNKNDGNTPPSSSSSSSIVSSSHASSEKNRNGFAKFFTRSKNGIQLEI >OMO59464 pep supercontig:CCACVL1_1.0:contig13952:27531:37841:-1 gene:CCACVL1_24803 transcript:OMO59464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSSGKLLKKRLKMGFAGLSQGLVLSLVSRARFGARKRSYYSTHTEPKAIMPRTFQSSSRDKAGSFFSATLLLWLVSVLFEIVFNKRRELFYILAVEKYVHVQLVNAAIQQVPLAN >OMO59466 pep supercontig:CCACVL1_1.0:contig13952:45075:45410:-1 gene:CCACVL1_24806 transcript:OMO59466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFVGGLEQQVRQVLKSGVGRCINCNSKADLVEYEKVLKLFFVPVWRWPAKDPLLHCNNCKLFFPQDLSFPPPKIDSSSDAVSDALRCRFCHRLVKPDFKFCPFCGSAI >OMO59465 pep supercontig:CCACVL1_1.0:contig13952:40249:44261:1 gene:CCACVL1_24805 transcript:OMO59465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase MTAIATVGALSLPISLCRSRKINSKKFQGVKGSFRVFAVFGEESSLGDKKSPWGPLFDVEDPRSKVPQCKGKFLDVYQALEVARYDIQYCDWRARQDLLTIMLLHEKVVDVLNPLAREYKSIGTMKKELAELQGELAQAHKQVHISEARVAAAFDKLAYMEELVNDKLLEDKTTSESTVASPSSSTSTQSLDTVKRKLPRKSLDVSGPVQPYHPRLKNFWYPVAFSTDLKEDTMIPIDCFEEPWVLFRGKDGNPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYTTDGTCEKMPSTRLLNVKIKSLPCLEQEGMIWIWPGNDPPTTTLPSLQPPSGFQIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSMVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSTKECATHLHQLHVCLPSSRNKTRLLYRMSLDFAPILKNFPFMQHLWRHFAEQVLNEDLRLVIGQQERMINGANVWNWPVAYDKLGVRYRLWRQGVEKGAKQIPFSNSK >OMO59467 pep supercontig:CCACVL1_1.0:contig13952:51332:51997:-1 gene:CCACVL1_24807 transcript:OMO59467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MNAGLVKKTKGRQKIEMKLIEKEEDKLITFSKRRSGIYKKACELNTLCGTDTGILVFSPAGKPFSFGHPSFESLANRFLNQSPPPNNNTHPLVEARRKAKVEQLAMQYNEVSDQLDAEKYRGKMIDEMTKGNETQGWWKAPVDQLSEQQELLDLYSNYENVLGIMLSKMNVKGAGDGGIFSSTVASMDPADQHTDVFATNPNVGVLPATFPPGLGPAGCQF >OMO78511 pep supercontig:CCACVL1_1.0:contig10574:20930:22250:-1 gene:CCACVL1_14338 transcript:OMO78511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major pollen allergen Lol pI MASTLLTLAATFSLLFHSCYCFYPKLLNASLAASVESSDWSPAGATWYGSATGAGSDGGACGYGSGVEQAPFSAMVSAGGPSIYKSGKGCGACYEVKCSKTTNSACSENPVTVVITDECPGCVSESVHFDLSGTAFGAMANPGEADKLRNAGTFPIQYRKVKCNYPGMTIAFHVDAGSNPNYFATLIEYEAGDGELGSVELKQALDTDSWQPMQQSWGAVWKLDAGSTLRAPFSIKLTSLDSHQSIVATAVIPAGWTPGQTYRSVVNFNA >OMO78506 pep supercontig:CCACVL1_1.0:contig10574:7085:8212:-1 gene:CCACVL1_14333 transcript:OMO78506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MEKHRCKLCFKSFANGRALGGHMRSHMLNLPVPPPPKVVVEEQERPEPPRNQPSEASEAASASAPSSSSEDEGDEKGQLNYGLRENPKRSVRLVDPEFVDAGSVVLQDRESETESSKNPTRRRSKRTRKILQQQQQEETKKLKVINYKQLMVSKTESWGAEPEPVSSISDTTTEEDVAFCLMMLSRDQWKRRVNQKEEDEEEDDDADEEEETEIEKSMEETDESEEYFKVSKVNRTSRGKYRCETCNKVFKSYQALGGHRASHKKIKAGYNSVATRGTELEPENVATCSMTQKKIHECPVCFRVFSSGQALGGHKRSHVTGQVVATSEITPVRSSKKLGDSLIDLNLPAPMDDDDVSQIELSAVSDAEFVNHIKC >OMO78507 pep supercontig:CCACVL1_1.0:contig10574:11032:11687:1 gene:CCACVL1_14334 transcript:OMO78507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDRQLSVCVKRLSVKLVKTPIGFKTHIATIKK >OMO78509 pep supercontig:CCACVL1_1.0:contig10574:15223:16072:1 gene:CCACVL1_14336 transcript:OMO78509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLFLDQNALSTLISSGKILRTLHAIGAMKFIVFLRSSSLLAEAQDSSGSVKKGSTVALDNIIRQLARSSSSLEGQLELKLLPTLALNDRFLFDQPPQPLLVTPSSPSEPGEETRDSASSELHLPCSRNDFQDKNSLPQFSQTLQLFNRLGFVLKAIIVVNVPAFVTNSMSPHMSTKRSATPKSFLANFTEVFEVI >OMO78508 pep supercontig:CCACVL1_1.0:contig10574:12087:12179:-1 gene:CCACVL1_14335 transcript:OMO78508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKEERETRLGFPPCGPWIETNRRQMRDE >OMO78512 pep supercontig:CCACVL1_1.0:contig10574:27329:28776:1 gene:CCACVL1_14339 transcript:OMO78512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVARELSIYRRNEEEVLGAAERGGNSGLMVMRGEWDEAEGRGCLSNGYAKGLFVKPPLPQNAILLNNYNDTPDWCFFLPVLDHPLSSNRYYVILASAGSHTGLATRCSTEEDMSYCCSRRIITDVEPVPYDYRDEYQQYEICSDGKAGFYAKPIVPHSYPPRFLRYKFYLFVRTSKVYDLDEALGIDESLRIRIPELNSTPIIIGKWYTPFVFVKGDGGPLKVKMKKSLFYTLTLEKYWQKIYSWENDGSKGNVVAVDVSIQREVNFLFGIEAMKGNGITNDGYVWFRVVNEHGREVNKVGFSLAIVEKMRWLC >OMO78510 pep supercontig:CCACVL1_1.0:contig10574:18313:19202:-1 gene:CCACVL1_14337 transcript:OMO78510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MVRVCSNVCAGVMCGITFFLSAALLLLEMFSSRIVNDECGSILHTPMIVIGVVILIFSLAGLIGSICDCPALLCIYQVFMLVMILAAVAFTIFALAVTNKGAGKFLSGKGYKEYRLGDYSHWLQKNVNNTKNWNKIKSCLVASPICTDFQRQYSNHTLENFNKQHLNSIQSGCCKPPNDCGFTYRSPTNWTAEETVSNNKNPDCKVWDNSQKVLCFNCESCKAGFLQSIKSSWKEVILFNGILIGCLIIVYAIGCSAFMKQDRRTYP >OMO72822 pep supercontig:CCACVL1_1.0:contig11357:198:1926:1 gene:CCACVL1_17577 transcript:OMO72822 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 MESIKADHEGVDELLQAQSHVWNHLLRFISSMSLKCAVELEIPDIIHNHGQPITISELALALSIHPPKVQSLYRLMRILVHSGFFAEHKISGQEKGYKLTLSSKLLLKDDPLSTRHFFLAMLDPVFMKPWQYVSAWFQNDDPVPFSTANGKIMWDYAANEPKMNHLFNEAMASDGLLIASAVLSKCKGVFQGLESLVDVAGGTGTLTKVLAKTYPNMDCTVFDLPHVVAGLQGSENLKYIGGNMFEKVPPGEAILLKWILHDWNDEECVKILKVCKEAIGSKDKGKLIIIDIVMEKQDADEQTIEAELYWDMLMMALPNGRERNEEEWNKLFVDAGFNHYKIYHVLGIRSLIELFP >OMO52529 pep supercontig:CCACVL1_1.0:contig15516:1425:4113:-1 gene:CCACVL1_29198 transcript:OMO52529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG DNA binding protein MQSPPEKDFIMVKRKKTDFSGSGFSNHPESTFQEAIDAVSSSASSSSEDDSQEIQNEDDLRNGDASKQLVLYDPNANSTGAVESTSPRPIKCRPPRFSSSRVLPSVGAFTVQCANCFKWRLIPTKEKYEEIREHILENPFVCETAREWRPDISCDDPTDITQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADIYYQAPSGKRLRSMVEVEKYLTEHPEYATEGVTMSRFSFQIPKPLQEDYVRKRPPRLSASHGEVSPLAWACPDDTELLHQRLALPPPNIEDPVSDSPDRPVKKAKRTPSEQMYTSNPLSNWHGGKVLEKPGQSRNPSL >OMO52528 pep supercontig:CCACVL1_1.0:contig15516:273:449:1 gene:CCACVL1_29197 transcript:OMO52528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLLHKIICLACAYGYTYGNMDGMHGDGAHDLGLGCLWVLLKSNVSQSPLSKRSFVE >OMO52530 pep supercontig:CCACVL1_1.0:contig15516:18399:22611:1 gene:CCACVL1_29199 transcript:OMO52530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSINFSSADMIFFLLLGRMVAGTTHGRSPKHNRQLADGTEVALTTVMKSKPKITSKSSI >OMO63841 pep supercontig:CCACVL1_1.0:contig12888:5355:9231:-1 gene:CCACVL1_22237 transcript:OMO63841 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MGSELIFRGHEAQPASDSYSPKPVKPWASVTRPIRYMLREQRLLFVVVGIAIATLIFTIFPTSRAPYVPRHHHHFSNQISDSITYFPVETQNKFSYANRVGFGSANPTGKIPLGLKRKGLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENVMHHFGNPKFELIRHDVVEPLLLEVDQIYHLACPASPTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAEVVQETIDPNAKIEFRPNTEDDPHKRKPDISRAKELLGWEPKVSLRKGLPLMVSDFRQRIFGDHKEGGNTNNASSA >OMO63844 pep supercontig:CCACVL1_1.0:contig12888:23165:23673:-1 gene:CCACVL1_22240 transcript:OMO63844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 LYR protein MVRGEVLSAYRALLRATRKSFAGDTVMLNASAAEVRKKFDENRHVTFEPEIQRLLGEAREASEFISTMIVQAKLNERGGYEVKPSKEHAGATLEIPSEEIIRKSA >OMO63842 pep supercontig:CCACVL1_1.0:contig12888:18355:18927:-1 gene:CCACVL1_22238 transcript:OMO63842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MANANIYLKFLLLLWILKWGQSAASNYVQDACSVTKYRALCITSLASFSSSAKESPSKWARAGVSVTLGETKNVSKYLNKVKNYREMRGKYRIPLSDCVEVFEDAIDQLHLSLGVLRKLSGSQFYAQMGDVTTWLSATLTDQDTCLDGFENPRGKQAKMLRNRVLRASYFTSNALALVNKLATSGLENIE >OMO63843 pep supercontig:CCACVL1_1.0:contig12888:20252:22413:1 gene:CCACVL1_22239 transcript:OMO63843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFRNRKNKVFVKPAVNKRQRQQQQPTVDPITGNKIPKSFVFSRGKLPGPLRQLQMDLRKLMLPYTALKLKEKKRNNLKDFLNVAGPMGVTHFLILSKTETSPYLRVAKTPQGPTLTFKIHQYSLAVDIAQSQLRPRCPQDLFKNPPLIVLSGFAAADEHLRLTTTMFKNIFPDIDINTVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPDLRNLQDVSDFVTKAGYGSESEADEEAATVTLTSDLSRVNRASTKSAVKLQEIGPRMTLQLIKVEAGLCSGELMFSEFGNGGSSKKPSNREDNEGEESETNNEEEDSENDNEMADSEEDNEGEDNEEDIEESEEDDGED >OMO63840 pep supercontig:CCACVL1_1.0:contig12888:597:4109:1 gene:CCACVL1_22235 transcript:OMO63840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MAAYEYQEEYIRNSRGTLQFTCRWLPFSSPKALVFLCHGYGMECSGFMRECGTRLAAGGYAVFGIDYEGHGRSKGARCYIKKFENIVNDCSDFFKSICAQEEYREKSRFLYGESMGGAVALLLHKKDPSFWNGAVLVAPMCKISEKVKPHPMVVNILTKMEEIIPKWKIVPTKDVIDSAFKDPVKREEIRNNKLIYQDKPRLKTALEMLRTSISLEDGLHEVTLPFFVLHGEADIVTDPEVSKALYEKASSRDKTIKLYPGMWHGLTSGEPDENIEIVFADITAWLDKRCNAVTFEQILSPFNNGYQKYNNTTITMAATNGRTRPNGYYLCGLKGRRTQHRSAM >OMO68070 pep supercontig:CCACVL1_1.0:contig12289:56449:58071:1 gene:CCACVL1_20097 transcript:OMO68070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENELCFLRSTASKWLRLRFEEARRPFRGSLRFYGRGYAFRCGGWPGGDNLRW >OMO68073 pep supercontig:CCACVL1_1.0:contig12289:93982:95794:1 gene:CCACVL1_20100 transcript:OMO68073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHDIALLRPNPATPTTHYKNDDHKEDT >OMO68071 pep supercontig:CCACVL1_1.0:contig12289:63836:65869:-1 gene:CCACVL1_20098 transcript:OMO68071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding protein PEBP MAVSVDPLVVGRVIGDVVDMFVPTVTTSIYYASKHVTNGCHVKPSIAINPPKVSIDGHPGHLYTLVMTDPDAPSPSEPSMREWVHWKGDTTIHGARPPVGIHRYIFVLFQQNGPMGTAVQPPASRANFNTRLFADHLNLGLPVATVYINAQKEPISRRR >OMO68074 pep supercontig:CCACVL1_1.0:contig12289:96152:96253:-1 gene:CCACVL1_20101 transcript:OMO68074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGGTTVRTIGQHHKPASVLMGWQVTSFSSV >OMO68072 pep supercontig:CCACVL1_1.0:contig12289:79831:82349:1 gene:CCACVL1_20099 transcript:OMO68072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKGRAVKLKGGCILEDILVAIFICLFMVQRYGTDMVVNSFAANGRTRKVFLVCQRQVTWPLEFKRRLERDIERRSERIKLDEDVDGDFSWALEQVGILVLDSSEIGDDRLASRRIYEVLIMYAAKFTVNQDLKYSTHHRVRLIMNEPSYVMFLTKLKKLIQSMALFGTSNLAATPFMS >OMO73266 pep supercontig:CCACVL1_1.0:contig11274:861:947:1 gene:CCACVL1_17361 transcript:OMO73266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKWRSLETVKRRLSSRANFICVTARDQ >OMO52796 pep supercontig:CCACVL1_1.0:contig15435:9208:35441:-1 gene:CCACVL1_29085 transcript:OMO52796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKSDKSQELMNDFRKLGFYTSLPIWSMQIRSVIMEQTPTLKELQLFQRAIDTYCIVFPDTDVTYDLNVGLVKLLAEFHTHIMDFYLICAMNGTGVTNSPHQARNQRRRVSRLGCSMKTTPPKSPKVALFCSTNSRPDPVVFAAELAFLWLRGSQTRAATLPLPTACPNSDLLCALIGCPFSYVAACQF >OMO52794 pep supercontig:CCACVL1_1.0:contig15435:723:2903:1 gene:CCACVL1_29083 transcript:OMO52794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHEKPAGSTILSESKRVNCLNGLMTNPDRFHTQVKRSNNQAGLEPKSPNSFINSDLVIPTPRGYDDEIRSPFYGHHIIGLETRIAKSKITT >OMO52795 pep supercontig:CCACVL1_1.0:contig15435:3478:8229:-1 gene:CCACVL1_29084 transcript:OMO52795 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MESNQQDHHEDFIFRSRLPDIYIPNHLPLHTYCFENISQFKDRPCLINGPDGRIYSYAEVELTARKVAAGLNNLGIQQGDVIMLLLQNSPEFVFAFLGASYRGAVITTANPFYTPAEIAKQATASRSKLLITQAVYAEKVKNFAKENDIKIITIDTPPEDCMHFSYLTQSDENEISVVKINSDDVVALPYSSGTTGLPKGVMLTHKSLVTSVAQQVDGENPNLYFHEKDVILCVLPLFHIYSLNSVLLCSLRVGAAILIMQKFEIVTLMELVQKYKVTIAPFVPPIVLAIAKTPDVDKYDLSSIRMVMSGAAPMGKELEDAVRARLPNAKLGQGYGMTEAGPVLAMNLAFAKEAFETKSGACGTVVRNAEMKIVNPETGASLPRNQSGEICIRGSQIMKGYLNDPEATERTIDKDGWLHTGDIGYIDEDDELFIVDRLKELIKYKGFQVAPAELEAMLIAHPNISDAAVVPMKDEAAGEVPVAFVVRSNGSKITEDEIKQFISKQVVFYKRLARVFFTDSIPKAPSGKILRKDLRARLAAEIPN >OMO52797 pep supercontig:CCACVL1_1.0:contig15435:42977:47819:1 gene:CCACVL1_29086 transcript:OMO52797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTTLLESVLGVKKKFLVWVRKEFPERFITGEESSGFKKKTDDGDRFSSSYPRKKVHDQRESQERGSARVCRERE >OMO62001 pep supercontig:CCACVL1_1.0:contig13378:17895:18977:1 gene:CCACVL1_23079 transcript:OMO62001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVKMSEYEANGGDDEEVAAATITATAAVVDDDDEDDERIVEWEMGLPNTDDITPLCQSLLPPELASAFSISPEPRRTAADVNRASQSTLSSLRSAGAHSSSTNNNNNNFRSFTDPMVVEPEGDGSGSGSGSDPKKMRKMDVAEEADSAVRTTENSDDPSARTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSNEGPSPSDQLFASTPVPQSLHESGSGGGGGGGGGGGSGAGGGSSANANGHMGMPIPMPYGAPMMPVPMPMYGHVGMHQGGYQHHHHHHHHQNGYEANPYGMMQQRDWSGGNKYGSVASYPHHMTPNDKLN >OMO62000 pep supercontig:CCACVL1_1.0:contig13378:14940:15583:-1 gene:CCACVL1_23078 transcript:OMO62000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTSMSLPSLPPLPSIVKPRSYNSSLLFTSPLSLSSSTFLSTKPKPIHFHNPAETNRQKKSNKIWLTFATAEEVLPSDSIPLDTSEQIISSSGDEGVATIIQVLLFVAFVALTILTLGVIYIGVQDFLGKREREKFEKEEAAKKTGKKKKKVRARAGPKGFGQKLDQDDDFIDV >OMO62003 pep supercontig:CCACVL1_1.0:contig13378:26086:28695:1 gene:CCACVL1_23081 transcript:OMO62003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMYGLARSLTPDTLLFIPDFSSRSFLIVQKQANLAIPSFRYCPRGGFGFTARPFAPALFSYYRKRTGRTLLRASWRESPYEVLGVSPSATPNEIKRAYRKLALKYHPDVNKEANAQEKFMRIKHAYNTLLNSESRRRYNSENRTSDFSYSGTQQRKSTNAQDEEFYGFGDFLRDLQDEFRNWEASVSSEEKPKSLWEELAAIGEEFVEFLEKELNISDDEVEADNKNDFSNSERKGSNFRNEAKKDGSIEDNIDEIEATLAKLKKELGL >OMO62002 pep supercontig:CCACVL1_1.0:contig13378:21583:25739:-1 gene:CCACVL1_23080 transcript:OMO62002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSLSVPWSVKDPALLQRCSFPVAFVSREQILLKNECLVFAQMPERTGLPTYSDETRMVKNDNLARNVVERVNLTSVLKFCGSEGCFELGTSYHALIAKIGLDGDEFVATSLIDMYAKCGDIDSAVVIFNHMPCVDVASCDCLISGYASCGLFKEAFTFFMKFDSFGNRPNPYTYSIMLAICGTLSALEEGKQLHAQVVKMQYLSETAFSNALLTMYSKCRAMEKAEFLFNCLPQRNLISWTAIINGFYQHEDFDKAMRLFCLMRESGIEPNEYTFTNALASCGRMKNIDNGRLLHALAIKKGMALGEFVGTAIIDMYSELGEMDVAKKQLKGMGTSASKVSWNALIKGFVHNKKANEALGAFNEMIRTDATCDQFTFSIILIACASLPSFNSCQQIHGRVVKANFDTNTHVGSSLIEAYTKCGSVEDAERIFSRISAPDVVSWNSLIKAYSQNGNPRRAMSLFQRMIDKGLRPTGSTFLAVLSACSHSGKIQDGQEIFQKMVSEFGILPEEAHYSCMVDLLGRSGQLEKAVDFINNLLIKPTASIWRPLLAACRCHNNLQMAELVAKHILATDPDDATVYVTLSNMYAESGQMVNAANQRKLMKLKEIRKEPGCSWIEVNYHLSTAEHFLQTSKGEKNPIMHRSFQLSTDTYANLIEIYSRNRALKSGRLLHAHLIINGLSRLTYLASKLITFYTQCGQKSDARKLFDRIPKTNVYRWISIIVAYSRRGYYQEALGVFSEMQAEGLGANKFVIPSILKACGHVLDRETGKKIHCLCLRNAFDSSSFVTTSLIDMYSKCGQVEKAKRVFDGMLEKDLVALNAVLSGYAQKGLVDEALSLVEEMKLMGVKPDVVTWNTLISGFSKKGKDLMVSKVFGLMLANGIEPDVVSWTSIISGLVQNFRYDEAFDTFKKMIKQGMYPSSATISSLLPACMSSENLKYGKEVHGYAMVTGVEDDIYVKSALVDMYAKCGFISEARTLFYKMSEKNTVTWNSMIFGYANHGYCEEAIDLFKQMCEEGKKPDHLSFTAVFTACSHAGMVELGQSLFNSMQDKYKITPRLEHYACQVDLLGRAGKLNEAYDVIKTMPLEPDIFVWGALLGACRIHGNIDLAELAAKHLRDLEPGSVGNNLLLLNLYADAGSWGNVARLKKMVKKKKLTKFLGCSWLDGF >OMO62005 pep supercontig:CCACVL1_1.0:contig13378:36579:38072:1 gene:CCACVL1_23083 transcript:OMO62005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIDPAQRYGNNPRNPIITNPTVEQLLRAEDAETRSPSGHSSSPTFSRSQGVVHDPEDAHYQPKKLTVLAKVKEKARRWRNSFSKRKHGNSDNTTPSWGVRLEDVDNEEEDPEYLGAPMYESELAPEGYKEHARQHPRAISVISEKHILPGNVHSVAEAETKKPAPANFAETDETWKIDSKFQGLSVSAPTASETEKHETNKPGGVHEADHKDSNAETDKHALQNDDDSPISPTENKWDKGVSVKEYLKNKLEPGEDERALSRVISDVMSPRRAPGEVGVIEKVKGAVNSLLRREESAQSTMEHSTANSSTNNAEAVMKEEENKGRILQAN >OMO61999 pep supercontig:CCACVL1_1.0:contig13378:8397:14161:1 gene:CCACVL1_23077 transcript:OMO61999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSELGRRPMIGSSEGSFGDDLEKEIGLLLREQRSRQDADDLERELNLYRSGSAPPTVEGSLSAVGGLFGGGAAAAGAAGGGAGGGSGATVFSAFPGAKNGNGFTSEEELRSDPAYHSYYYSNVNLNPRLPPPLLSKEDWKFAQRLKGGNSVVGGIGDRRKVNRGDNGSGRSLFSMPPGFDSRKQDNEVEAEKVHSSADWGGDGLIGLSGIGLGSKQKSLAEIFQDDLGHSAPVTRIPSRPASRNAFDENFENVGSAESELAHLRRELTSGDTLRSSASGQGSSVVHTIGPPSSYTYAAAVGASLSRSTTPDPQLVARAPSPCLTPIGGGRVGNSEKRSVNSPSTFGGVTSGANESADLVAALSGMNLSSNGVIDENNQLLSQIEQDVENHQNYLFGLQEGQNHIKQQAYLKKSESGHLHMPSAKSNGGRSDLKNSSLLADRQAELQKSAIPSNNSYLKGSPTSTLNGGGSLPAQYQHGDSANSSFPNYGLSGYSLNPALASMMASQLGTGNLPPLFDNVAAASAMAVPGMDSRVLGGGLGSGQNLSNAASESHNLGRVGSQMAGNALQAPFVDPMYLQYLRTSDYAAQLAALNDPSMDRNFLGNSYMNLLELQKAYLGALLSPQKSQYGVPLAAKSGSSSLHGFYGNPTFGAGMSYPGSPLASPVIPNSPVGPGSPIRHTDLNLRFPSGMRNLAGGVMGPWHLDAGCNMDESFASSLLEEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLEQATTEEKNMVYEEIMPQALALMTDVFGNYVIQKFFEHGLPAQRRELAGKLFGHVLTLSLQMYGCRVIQKAIEVVDLDQKIKMVQELDGSVMRCVRDQNGNHVIQKCIECVPEENIQFIVTTFFDQVVTLSTHPYGCRVIQRILEHCKDPKTQNKVMDEILASVSMLAQDQYGNYVVQHVLEHGKPHERSIIIKELAGKIVQMSQQKFASNVVEKCLTFGGPSERQLLVNEMLGSTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSPHPAA >OMO62004 pep supercontig:CCACVL1_1.0:contig13378:29714:33652:-1 gene:CCACVL1_23082 transcript:OMO62004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial surface antigen (D15) MHSFTASSHLLSPSANLSSSGRRQLLPSSSRASSRSTNVKCSLPSQNPKPQKSKSLVHSLGKPIALASATAATLLIRLTPITSLLPGGGGDNFGGSGGFSGGGGGGSGGGDGSGGNFWEELFTPSPAIADDNDQTQEWDSHGLPANIVVQLNKLSAFKKYKLSDILFFDKRRLTTVGTEDSFFEMVSLRPGGIYTKTQLQKELETLATCGMFERVDMEGRTNPDGTLALTISFTESTWQSAERFRCINVGLMPQSKPIEMDPDMTDKEKLEYYKSQEKDYKRRIERARPCLLPIQVRWEVLEMLRDHGKVSARLLQKIRDRVQKWYHDEGYACAQVVNFGNLNTKEVVCEVVEGDVTQLVIQFQDKLGNVVEGNTQLPVIRRELPKQLLKGNVFNIEAGKQALRNINSLALFSNIEVNPRPDEKNEGGIIVEIKLKELDQKSAEVSTEWSIVPGRGGRPTLDDLAFKLEHVHPYLDGVYNPRNRTFRASCFNSRKLSPVFTGGPGVDEVPPIWVDRAGVKANITENFTRQSKFTYGLVMEEITTRDESSHISPNGQRVLPSGGISADGPPTTLSGTGVDRMAFLQANITRDNTKFVNGAIVGERNVFQVDQGLGIGSKFPFFNRHQLTLTRFLQLKQVEEGAGKPPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGELGAARNILELGAEIRIPVRNTHVYAFAEHGNDLGSSKDVKGNPTEVYRRMGQGSSYGVGVKLGLVRAEYAVDHNTGTGAVFFRFGERY >OMO62006 pep supercontig:CCACVL1_1.0:contig13378:42589:44071:1 gene:CCACVL1_23084 transcript:OMO62006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKWRQFEDLATGYKLLVKICELNVNFIHVIKLSVDYREEKREREGDAGRGGVKSSCRRRCLGFESPVMMEFRERLRDIGKKGECRVGKKKNRGEKRKGVEDQFLGGLCKTWPVVTL >OMO99965 pep supercontig:CCACVL1_1.0:contig06761:11956:14994:-1 gene:CCACVL1_03522 transcript:OMO99965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAAKIPVCFSMKRYKRRKKYQRLKRSSTNAEDYYDEKEAISMLEAADQYQYHRKGNSWKSKGMVIPKVSGKNTNVEPLRKWRDAYEEMMLCFAARYVHEVETSGLQRDSTISRGLMQIWRPAAPGFVKLNVDASFDSNTNEEGLGAVLRDANGTVIICATSRINLVPDSLFAEMYAIHFGLIVANYNGFLDCFVENDSLVAIRELRKFSPCFLEGGILVGQVRELAALFRLIEFKHVIRMCNRFAYNLAHSDCSVELIMFDMEFLYTFVTQILIK >OMO81820 pep supercontig:CCACVL1_1.0:contig10098:322:6022:-1 gene:CCACVL1_12177 transcript:OMO81820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAYLRYEPAASFGVIVSNESNIAYDSSGKHLLAPALEKLGVWHVRQGVCTKTLAPESSSRGPSLAVTYVASSPTSSLVACGYADGSVRIWDCDKGSCETTFNDHKGAVTVLRYNEDGSLLASGSKDNDVILYDVVGETGLFRLHGHRDQVTDLVFLDSGKKLVSSSKDKFLRVWDLETQHCMQIVSGHHSEIWSIDVDPEERYLVTGSADPELRFYTVKHDSRDGKSTVNESGAEIGNNGELPTKNKWEVLKHFGEIQRQCKDRVATVRFNKSGNLLACQVAGKTVEVFRVLDEAESQRKAKLRLKRKKKKKSAKEAVETTENMETDHGTEEAGSVPFVTVPDVFVLLQTIRASKKICSISFCPSTPKNSLASLALSLNNNLLEFYSIESADGQKNKNSTESAEIRKTLAIELHGHRADVRSVTLSSDNSLLMSTSHSAVKFWNPSTGSCLRTIDSGYGLCGLIVPQNKYALVGTKDGKIEIIDVGSGTQCEVVEAHGGSVRSIAAIPNENGFVTGSADKDVKFWEYQLKQKPGQDSKRLTISNVRTLKMNNDVQVVAISPDAKYVAVALLDFTVKVFFMDSLKFFLSLYGHRLPVLCMDISSDGELIVTGSADKNLKIWGLDFGDCHKSIFAHADSVEAVQFVRNTHYMFSAGKDRLVKYWDADKFEVLLTLEGHHADVWCLAVSNRGDFVVTGSHDRSIRRWDRTEEPFFIEEEKEKMFEEKFEADIDNALENRYAPKEELPEEGAVALAGKKTQQTLTGTDSIIDALDVAEVELKRIAEHEEEKSRGKVAKFQPNIIMLGLSPSDYVLRALSNINTNDLEQTLLALPFSDALKLLSYTKDWASNFDKVELVCRIVTMLLQTHHGQLISTPSARPILTVLKEIVPARVKECKDTLGFNLAAMNHLQQLMASRSDAVFRDAKARLLKIRSQHSKRLEATTETKSEKRKRKKQKKSTDNMHVWT >OMO81821 pep supercontig:CCACVL1_1.0:contig10098:14760:15198:1 gene:CCACVL1_12178 transcript:OMO81821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASNGSHPKSNPPTLLEIRSKIVTIEKIDFLKSETNAREERKKT >OMO81822 pep supercontig:CCACVL1_1.0:contig10098:16185:17501:1 gene:CCACVL1_12179 transcript:OMO81822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPVATVCNVEGGLSRAERTKSLDLITDKENGSILTNGDAIHSSEAARFRVGELLLPNGDAYSGSLLGNVPEGQGKYVWQGGCVYEGEWRRGMRHGTGKIRWPSGTFYDGEFSGGYIHGTGTYIASNKLTYKGRWKLNLKHGLGYQVYPNGDVFEGSWIQGTPEGPGKYTWANGNVYLGNMKGGKMSGKGTLTWRNGDSFEGSWLNGMMHGFGIYTWSDGGCYVGTWTRGLKDGKGTYYPNGSRLPASQEVYLNALRKRGLLPDMRKQNHAHIHHASSVDMGSVKVGGNRVSHRNSDKLSEGNLLNLEQSRNRNVSLERRWSLEVSIEKVIGHDSSFELSDSYREGGENGSESIAPILEREYMQGVLISELVLNNSFSPSSRRAKRRQKRLAKEVKRPGETIIKGHRSYDLMLSLQLGIRSVIRFNFCASLPLSMSL >OMO54828 pep supercontig:CCACVL1_1.0:contig14892:17379:19335:-1 gene:CCACVL1_27537 transcript:OMO54828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTHLLVLLIGVVVLTTATPSVSSNNEKPEITKNEITQPLGKPAGLESTKDMKSDCDKYGKDDGKDHKDDHKKDDWKDHKDCKKDEYGKEDDDHCKKEDKKDHKDDRKKEKDDGKDHKDCKKDEYGKEDDDRCKKEDKKDHDEHKKDDKKDHKDDHKKDDKKDDGKDHKDDHKDCNKDEYGKDDHCKKEKEKDDRKKDDGYGKGYGKDDGYGKGYGYGGGYGKGYGYGGGDGYEKGYGRADNYGIPKYGKGPIKVN >OMO54829 pep supercontig:CCACVL1_1.0:contig14892:26128:28543:1 gene:CCACVL1_27538 transcript:OMO54829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARVLDSIINRLLEVRGKPGKQVQLSESEIRQLCLVSRDIFLRQPNLLELEAPIKICGDIHGQYSDLLRLFEHGGFPPRANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIEEKIFCMHGGLSPELHSLDQIRLLKRPTDVPESGLLCDLLWSDPSKDTQSWGPNDRGVSYVFGADRVYDCLRKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAAAMMSVDETLMCSFQILKPADKKPKFGFGTLTTSSKASAPPTRIKEQEQEQQEEGCHLM >OMO88863 pep supercontig:CCACVL1_1.0:contig08906:6193:6273:1 gene:CCACVL1_08154 transcript:OMO88863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCRPGNKAGPREVGGATAKAGSCF >OMO51064 pep supercontig:CCACVL1_1.0:contig15984:8826:8960:1 gene:CCACVL1_30032 transcript:OMO51064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKVANASEYLVITGVGIPDMIKLAKKAHGFFPANLAPSSTSRQ >OMO91854 pep supercontig:CCACVL1_1.0:contig08295:23164:23385:1 gene:CCACVL1_06991 transcript:OMO91854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MIEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRADKIRIQKWYTVYKDHITLKDYEIHDGMGLELYYN >OMO91855 pep supercontig:CCACVL1_1.0:contig08295:24266:27982:1 gene:CCACVL1_06992 transcript:OMO91855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29 MGVDADLFLAQKGLGGSCNGLVDPRWILSCKYYLHCMLKSELPHAPIALLAAAKSESSATAAAAAANSSAVPNPLEQFFEVDRSAEDEKPVYGRSWKASELRLKSWDDLHKLWYVLLKEKNMLMTQRQMLHAQNLRFPNPERIPKVRKSMCRIKQVLTERALEDPDPRRLSVLVLESGNVMLP >OMO91856 pep supercontig:CCACVL1_1.0:contig08295:39210:41534:-1 gene:CCACVL1_06993 transcript:OMO91856 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MITVMDSRKELMKNSEKCLDPQLWHACAGGMVQMPSVNSKVFYFPQGHAEHANGNVDFGNLPIPPLILCRISAVKFLADPETDEVYSKLMLVPLRENDYGFEDEAFGVQNGMENSEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYNAEPPVQTILAKDVHGEVWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGSGHEYPSGWNSGSASSGSQFGGYSPFLRDDESKLMRKDSNGDSTGRIKAESVIEAATRAANGQPFEVVYYPRASTPDFCVKASSVRAAMQIQWYPGMRFKMAFETEDSSRISWFMGTTSSVQVVDSIRWPNSPWRLLQVAWDEPDLLHNVKRVSPWLVELVSNIPAMHLNPFSPPRKKMRLPQHPDFSLLSQIPMPSFSGNSLRSSSPICCITDNIPGGIQGARHAPYGLSSSDLHSNKLQSGLFPFGFQQLDHTAPLTRISSDNSCSDNGNNKNTSCLLTIGNPTQSSKESNQVKTPHILLFGQLISSEQQSSQSCSGDTVGNSSSDGNTEKTAISSDGSGSANARENSSDEGSPWRKEHHKIDLGLETGHCKVFMESENVGRTLDLSVLGSYEELHGKLASMFGIESSEMLNSVLYRDATGSMKHTGDEPFSEFLKTARRLTILTDSSSDNI >OMO91852 pep supercontig:CCACVL1_1.0:contig08295:1862:1948:-1 gene:CCACVL1_06989 transcript:OMO91852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNIPIATTHYFCRKHQKMEHPIRSKL >OMO91857 pep supercontig:CCACVL1_1.0:contig08295:52964:53053:-1 gene:CCACVL1_06994 transcript:OMO91857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLLGPTRQVPSHLPFSLTRISQSPPS >OMO91858 pep supercontig:CCACVL1_1.0:contig08295:63252:64815:-1 gene:CCACVL1_06995 transcript:OMO91858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MIGPANFIDEIDCGSFFDHIDDLLDFPNEDIEAGLSASDSGAAAFNSAAFPSIWNTHSESLPGSDSVFSNNSASDLSAELSVPYEDIVQLEWLSNFVEDSQCGASLTIKKEESFSINKDSSHNQFQTSSPVSVLESSSSCSGDKTLPRSPETAAAPGRRGRARSKRPRPATFNPRPAIQLISPTSSVNENDVPQSFAVPKAPSDSENYAESRLLIKLPRQVNPEHKKKKKIKLSLPAAAAPADSNQAPSGQAVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSLHSNSHKKVLEMRTKMGDPAPVTMINNSPELIPNKSNPALDYI >OMO91853 pep supercontig:CCACVL1_1.0:contig08295:14072:20046:-1 gene:CCACVL1_06990 transcript:OMO91853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-D-phosphohexomutase superfamily MSGKIVQNVFIAQFYQQNRQFGTQIQRDSSAPNMRTFPPFNGGKLAWTGISSMQMRNYSKYQTGFVNRGTVYCNVASSSTAIPSLDKADFLKLQNGSDIRGVAVAGVEGEPVSLTEPVTEAIAAAFAAWLLDKKKVDASRRLKISIGHDSRISAHMLQEAVSRGITGAGLDVVQYGLASTPAMFNSTLTEDEAFLCPVDGAIMITASHLPYNRNGFKFFTSAGGLGKPDIKDILERAADIYSNFRAEGYSDKKASASVKKVDYMAVYTSDLVKAVRKAAGNIEKPLEGFHIIVDAGNGAGGFFAAKVLEPLGAITSGSQFLEPDGMFPNHIPNPEDKAAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSTGREFNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTSFIEQKLGGKHHRFKRGYKNVIDEAIRLNSVGEESHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARASGRNDGSKVLTDLVEGLEEPAVAVELRLKINQSHPDLKGGPFRDYGEAVLKHLENSIASDPKLQKAPVNYEGVRVSGFGGWFLLRLSLHDPVLPLNIEASSHEDAVKLGLQVAAAVKEFPALDTTALDKFVQSK >OMP04343 pep supercontig:CCACVL1_1.0:contig05758:5097:5806:-1 gene:CCACVL1_02168 transcript:OMP04343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIPSSKGNKQFTVQKKYMAIPSLSEEQFTTCQHKKKAKEVNKPEAQIMAFHQAMAAAGRTD >OMP11704 pep supercontig:CCACVL1_1.0:contig01012:1835:6632:1 gene:CCACVL1_00325 transcript:OMP11704 gene_biotype:protein_coding transcript_biotype:protein_coding description:MT-A70-like protein MDSPERSSRSYARRDREDSSDLKSDNEDWEATDSSKKSKHKSSKSRKPSIVEESEGVEGSGGRRRSSGDRPEAGRKRSGASSRPDSDEDDYDIENRKQQSRKHVKRKQEESSLEKLSSWYQEGELESSRQDGGDKSSAGKQLLEQDGSRGSKSKEDRSHDRERRESSRDRGHGSSEPSRNSRRRWDESDSSRKTEENSYERPEQRSGKASDLKYESAREKAGSARNEPTEIKSSGPDSNNDKGVKSNSRGERRLDVDKGKSKGRSEAVEEDNRGSPLNREDRSGREKTEKLRQQRTPSGRDVAESRERTSNADEDGMTWMRDKNSRELGQPNRSRTPERTSRRYQESEHSEMDHERSVEGKQKEIERIDRSKSRDDNWNDRTWDREGSKESWKRRQLSKNDKESKDGDIVHDRGREWDLPRHGRDRNENERPHGRSGRKDGNRGEAVKTSSNFGISNDNYDVIEIQTKPLDYGRAESGSNFPRRSEVGQQGDMKSAPNEEEWAYMRDNRGRRSDMYGSGPLDEDSRDKYTEDSNLRDPNSWNDDLDYGGGKGRGQKVAASGRGIAGQSSSGGSQPPYGNQDPVSFGQAPSQGVKGGRMGRGGRGRPTGRDNQQVGLQLPMMGSPFTHLGMPPPGPMQPINPNMSPAPGPPISPGVFIPPFSPPLVWPGPRAVDMNMLGVPPVLSPVPPGPSGPRFPPNMGALPNPGMFFNQSGPGRGPSNVSLSGFNVAGPAGRGTPPERTSGGWVPPRTGGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPKLRELIQKKDEIVANSASPPMYMKCDLREFELSPDFFGTKFDVILIDPPWEEYVHRAPGVADHIEYWKFEEIMNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKTNATPGLRHDSHTIFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPTYGSTQKPEDMYRIIEHFALGRRRLELFGEDHNIRAGWLTVGKGLSSSNFNAQAYIKNFADKDGKVWQGGGGRNPPPEAPHLVKTTPEIESLRPKSPMKNQQQMQQQSASISLTNSNSSNRRPTGNSPQNPIAPGLNQEGMNMSSDDRMFDMYGYGGQANREYLDF >OMP11705 pep supercontig:CCACVL1_1.0:contig01012:9935:12990:1 gene:CCACVL1_00326 transcript:OMP11705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGLPSLGRVKLTDLIPSEGLPSDSYKLSISTLSQSFAQYSAAIIQFPAGDGALLRSGLDSARLYFQQRAAYPSADMIHSNDSREWCKTSGYYADPQQWQETYDYRPGLTPTEPSNGMEFPPGGLPDIFALLGKAARDILDAISYYLNLRSSPFTEILDNVPLRSREISSSVLSVCCHARPSFQGAQHHNLTTQDDGQLIMFPDHEHQADKSLISVVKSDKAGLHIRDFHGRWILVDGDLGPQEAVVFPGLALYQATAGYVNPALHRTEINNIPGNMYGRCSLAFKLMPKSMTSLSCSEMRAAGHGVEAQFQLPVPVDDFMQRSHPTDQLFSRNTFQTFNFPPAQDGSMKPLMRRRKNNTRCKPLPPSKRLRLEAQRVLKERVQEIADKKGIKLRFCNLKECENHIHALDSPCANIRMEIGWPHGVPFVHPHDLPNKAKIGFLEAYEPGWTATHDMELSLTEPAQTSQQSANCRF >OMP11706 pep supercontig:CCACVL1_1.0:contig01012:13685:16585:-1 gene:CCACVL1_00327 transcript:OMP11706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-associated, Iojap-like protein MAVSTTTLSIGGNTFSGHLRQWEPHESKLLKKPRKHFRWLCLNELHLPRFRTKTSNFNPARGLLPSLHAYGKEADDAFLSNVNEDTDEMFGDLMKKYGKVVYRKNDRKSQSAELDDDAESLSFAVAMAKVASEVKAGDIRVLFVKPLVYWTRFFIICTAFSRPQIDAIGSKIRDLAEKKYGKIPTGDTKPNSWTLLDFGDVVIHIFLPQQRDFYNLEEFYGNATQIELPSENQPPFRS >OMO95798 pep supercontig:CCACVL1_1.0:contig07608:3645:8237:-1 gene:CCACVL1_05238 transcript:OMO95798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MDPLESDGNGAAGDPLPPPPLLPPNVVPIKAESEPAKKKTARVPMSRRGLGSKGQKVSVLTNHFKVNVGNVDGHFYHYSVSLSYEDGRPVDGKGVGRKVIDRLHETYSSELAGKDFAYDGEKSLFTVGPLPDNKHEFTVVLDDVTSNRNNGNANVHDSPNENDRKRLRRPYQSKTFLVAISFAAKIPMQAIQNALCGQESENSQEALRVLDIILRQNAAKQGCLLVRQSFFQNDPANFVPIGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTIIIQPGPLVDFLISNQNARDPFSLDWSKAKRVLKNLRIKVNPSNQEYKITGLSEQICREQMFLLKQKSKNSDGEAETLEVTVYDYFVNHRNIQLQYSGDLPCINVGKPKRPTYIPIELCELVSLQRYTKALSTFQRASLVEKSRQKPQERMSALSNALRNSNYGADPMLRSCGVSISSNFTQVEGRVLPAPKLKMGNGEELFPRNGRWNFNNKKFMEPIKIEQWAVVNFSARCDVRRLVQDLTRCADMKGIRIDPPFDVFEENNQNRRLSPVLRVEKMFDDIQSKLPDAPKFLLCLLPDRKNSDLYGPWKRKNLAEFDIVTQCMAPTPRINDQYLTNLLLKINAKLGGLNSMLAIERTPALPVVSKLPTIILGMDVSHGSPGHSDLPSIAAGTTRPTHYHVLLDQIGFSPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQLGTFMKFEDASETSSSHGGVTAPGAVPVPQLPRLEKKVRNSMFFC >OMO95800 pep supercontig:CCACVL1_1.0:contig07608:19366:20590:1 gene:CCACVL1_05240 transcript:OMO95800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major pollen allergen Lol pI MVLLPALCYSQDYFVRSRATYYGSPDCLGTPTGACGFGEYGRTVNDANVAGVSRLYKNGTGCGACYQVRCTNPQLCTENGVNVVVTDYGEGDNTDFILSPRAYSRMAQSNAAAELFAYGVVEVEYQRIPCRYGGYKLQFKVHEHSKYPNYLAIVILYASGKNEILNVEIWQEDCKEWIRMRRAYGAVFDMANPPMGAVNMRFQVQGSAGLTWVQAPNVIPSDWKAGVAYESDIELE >OMO95799 pep supercontig:CCACVL1_1.0:contig07608:14341:16225:1 gene:CCACVL1_05239 transcript:OMO95799 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MEVATASATASASRFALHAHISSPPTSARTVNPNRNLTSSPSTSLRASLSTNFLSPSPAVGSVSGEISGLKSRPDSYNPASLSRSKPKRDVITMVIPFARGSAWEQPPPDLASYLLKNRIVYLGMSLVPAVTELLVAEFLYLQYEDVEKPIYFYINSTGTTKGDRKLGYETEAFAIYDTMRLVKAPIFTLCVGNAWGEAALLLSAGATGNRSALPSSTIMMREPIARFQGQASDVEIARKEIQRVKTELVKLYAKHMGKSIEQIEEDIRRPKYFSPSEAVEYGIIDKVLYTERSPEDSGVVSALKKAQVI >OMO50815 pep supercontig:CCACVL1_1.0:contig16051:27960:29136:1 gene:CCACVL1_30232 transcript:OMO50815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhamnogalacturonate lyase MASQGVQLHIQDDNVVMDNGILQVTISKPGGIVTGVSYQGIDNLLEIRNEESNRGYWDLVWSEEGSSGTTGTSYVIKGAKFNVIVENEEQVEISFIREWNSSLEGKHVPLNIDKRFIMLRGSSGFYSYAIYERMKDWPGFNLPQTRIVFKLRKDKFRYMAVADNRQRRMPLPEDRLPRRSRELIVPEAVLLVHPVEHEFKGEV >OMO50816 pep supercontig:CCACVL1_1.0:contig16051:39504:43104:1 gene:CCACVL1_30233 transcript:OMO50816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGFSNDKGSKETGRQPGLYTMSSQGVQLHIQDDHVVMDNGILRVTISRPDGQVTGVSYQGIDNLLEIRSEESDRGFIMLGGSSGFYSYAIFERLKEWPGFNLPQTRIVFRLRKDKFQYMAVADNRQRHMPLPEDRFPRRSRALIVPEAVLLVHPVEPEFKGEVDDKYQYSCENKDLYVHGWISSNPPAVGWWQITPSNEFRSGGLMKQNLTSHVGPYNLAMFLSAHYVGEEMVLKFHQGEPWKKVFGPVFIYLNTLIDNNDPLWLWEDAKQQMLTQVQSWPYNFPASEDFPKSDQRGCVSGRLQVSDRHVSDDYITANGAYVGLAPPGDVGSWQTESKGYQFWTKTDADGCFLISDVRAGDYNLYAWVPGFIGDYKNDDIVTITLGCDIDMGDLVFEPPRDGPTLWEIGIPNRTAAEFYIPDPDPNYINKLYVNHPERFRQYGLWERYTDLYPDGDLVYTVGVSDYKTDWFFAQVTRKKDDDKYEGTTWQIKFNLESVNQSGTYKLRIALATAYVAELQVRVNNPNPNPPLFTTGLIGHDNTITRHGIHGIYRLYSIDVNGALLMEGENTIFLTQPMSSSALQGLMYDYIRLEAPPHNNSTKRI >OMO50817 pep supercontig:CCACVL1_1.0:contig16051:43238:43636:-1 gene:CCACVL1_30234 transcript:OMO50817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIKHSPPLNTSKTTAANREQQASAVSRIRRDCLAFGVSLREGLRYVKAIFVGQAKRLTARNEQEATRAELRAQKMQVEAADEAEDTKKRIYKSM >OMO50819 pep supercontig:CCACVL1_1.0:contig16051:47235:49613:1 gene:CCACVL1_30236 transcript:OMO50819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIA, gamma subunit MATFELYRRSTIGMCLTETLDEMVSNGTLSPELAIQVLVQFDKSMTEALESQVKSKVSIKGHLHTYRFCDNVWTFILQDALFKNEDTQETVNRVKIVACDSKLLSQ >OMO50818 pep supercontig:CCACVL1_1.0:contig16051:44580:44970:-1 gene:CCACVL1_30235 transcript:OMO50818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIKHPSPPRNTSTTTATATANGQQETSAMCRIKKEILVFGVSLRKGYRYVKAYFVGLVRRLRARTEEEATAAELLKQKMQVDASDEAERAKEKIYRSM >OMO50820 pep supercontig:CCACVL1_1.0:contig16051:50956:62337:1 gene:CCACVL1_30237 transcript:OMO50820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate phosphate dikinase, PEP/pyruvate-binding protein MATSSSAQVPRVLHFQLVDGMQLQINVSGCSTGRNARVELQLRNCTRTWFLHWGFIYRGNSNWYIPSEHSSGENSYKQGALQTPFVKHGEIYIVNIELRDPKIHAIEFVLKDGSHDRWLKLNHGNFHVEIPEFDASASLPSIPKDLIDRKAYLIWESRGRPQSTSEQQKQDYAAALTELQNQLSKGISLNELQHLYTNANTKTKAQHDVEPSRYGTPSSYHRRHDVEKWLQRGQDNGTGQPSSTLMDLVEKSVGGNNVVSRQHYRVGNCDVVVLSKVVSGDYHLMVALNLKSTAVLHWGVSKSSAGEWLAPPADMLPEKSKMVVGACQTYFTDISTVEGSFQLVDINLQQRKFVGIQFIIWSSGSWIKNNGANFFVALQPVQPSGKADGYRKGIVKWLLDEISLKEKEAERSLMHRFNIARELTERCKVEGELGLIGILVWMRFMMCRHLTWNKNYNVKPREISEAQDRFTNLLQRIFLEQQNNREIARLIMSFVGRGGHGDVGQRIRDEILMIQRNNGCGSGMMEEWHQKLHNNSSPDDVVICEALLNYLRCGFKLDVYWKTLNAHGLTKEKLASYDRPIVSEPHFRMDAKEGLIRDLTMYLKTLKAVHSGVELESAIESCLASSSKNQDFTRADRNNTIGCLSLKLQESLNFVKTHINDENIGPLMEKLLESRIDIRPLLLTRHRLAKELLFLDLALASAVRTIMERGLTKLNFSYPPEIMFFISLVLENLCLSMVKNEDLIYCTKDWYRVSGSYKPGDVQWALQTKAILERLQLALADMSLNFQNMIQPSAEYLGKLLGIGKAAIDTFTEELIRAGSAAVLSMLINRFDPELRKVANLGCWQVISPVEVCGFVTSVSDLISVQNKVYRKPTVIIATKVTGEEEIPDGVVAVLTSDVPDVLSHVSIRARNSKICFATCFDQNTFRNLKLKEGRAVSIQLKSSNLIVSDISGSSLSLSSIVPSSIPRSITLKRKTFCGRYALSLEEFTTEMVGAKSCNIKFLRGRVPSWIRIPMSVAIPFGAFETVLTQDINKDLANKITFLRKLVHGGDVSKLQEIKGAILQMRAPLSLTTELKTKMRSARMPWPGDESEQRWNNAWQAIKKVWASKWNERAYLSCRKVKLNHEDLRMAVLVQEVICGDYAFVIHTKNPLSGDTSEIYAEIVKGLGETLVGAYPGRAMSFTAKKNNLRCPIVTGYPSKQIGLYCRPSIIFRSDSNGEDLEGYAGAGLYDSVLMDEEERVVLDYSRDPMIVDKAFQISLFSKIAEAGKIIETLYGCPQDIEGVVKDGVIYVVQARPQM >OMO50813 pep supercontig:CCACVL1_1.0:contig16051:193:1199:1 gene:CCACVL1_30230 transcript:OMO50813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 31 MDFELCLKIWHFAKIRASNSVLCHNFIMLQDVPEEVERICKVMGAAVDVSFDCAGFNKTILTALSATSAGGKVKRLPICRHLFLHYPDDEHVQRLSYQQFLVGSEILVVPVLDKGRM >OMO50814 pep supercontig:CCACVL1_1.0:contig16051:3027:23922:1 gene:CCACVL1_30231 transcript:OMO50814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhamnogalacturonate lyase MAIASDETTRICSHCERAIPSSNIDLHSAHCFRNLEKCKVCGDMVPKKHAEEHFLNTHAPVACSLCSETMEREILAIHKGENCPQRIVTCEFCEFPLPAIDLAEHQEVCGNRTELCHLCNRYIRLRERYNHESRCTGIAENNVGSSRDVRAAEREQGGRRRQPPEYSRRRLLFTIAITGIAVLLGSLFFQKKTETSQGTTCGPMPPQGVRLHIQDRYVVMDNGIAQVTLSKPGGIVTGIRYNGIDNLLEVRNKETNRGYWDLMWNEIGGKGIFDVIQGTSFRVIVENEEQVELSFTRTWNPSLEGKYIPLNIDKRFIMLRGSSGFYSYAIYEHLKEWPGFEIGETRITFKLRKDKFQYMAVADNRQRNMPFPDDRCTGRCQPLAYPEAVLIVNPIDQRLTGEVMIDNGILQLTLSNPDGIVTGVRYNGIDNLLEVLNEESNRGYWDLVWSSPGATGTAGTFDVIKGTSFQVIVENEDQVEVSFTRTYDTSQDGKLVPLNIDKRFIVLRGCSGFYSYAIYEHLKDWPGFNLAETRIAFKLRKDKFHYMAMADSRQRYMPLPDDRLPGRGQALAYPEAVLLVKPVEPEMKGETLLLQSLHSKHRKLIKSCSQFLELCLSRYVSDDNIPAIEAYIGVAPPGNAGSWQRECKVVMDNGILQVTISNPDGIVTGIQYNGIDNLLAVENHENDRGFWDLVWNRAGSKGTKGKLDRIEASSFNVILETEEQVELSFTRIWDSSLEDRVVPLNIDKRFVMLRNSSGFYTYAIYEHLKEWPAFILDRFRVAFKLRKDK >OMO50821 pep supercontig:CCACVL1_1.0:contig16051:67908:68827:-1 gene:CCACVL1_30238 transcript:OMO50821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKQRRKVFVCGWEKRRRVDGLQDCLVLLEYFSCPGLGIVEGLPKSLCSNPTSLSFPSPQQQPSSVLHICTATQHSLQPPHSRKLLLRQRAWVNHGGGRDNGIGIERKSFMAGKRIKEWRRVGSGGDGYFVVRG >OMP10392 pep supercontig:CCACVL1_1.0:contig02548:245:316:-1 gene:CCACVL1_00978 transcript:OMP10392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AHDSTLIVMDWYQDRVLDRQTSF >OMO89499 pep supercontig:CCACVL1_1.0:contig08697:21843:27698:1 gene:CCACVL1_07788 transcript:OMO89499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKNPLVYMDVSIDGDPVERMTFELFPHIVPKTAENFRALCTGEKGIGPKTGKPLHYKGSFFHRVIKGSMAQGGDFVRRDGTSGESIYDGKFPDESPKLKHDGPGILSMAIADRDSVGSQFIITFKANHHLDRKYVVFGKLVQGNEVLKKIENVGDEEGMPTVTVKIINCGEVSEEKKNNKLKTGKNVLSEAANSHEVRRKGKHKKSSRDRKKKRRRYYSSDSVSDSSSYSDTESSESDSDLDSLTSSSDISSSSDDRRKKRKRSSKRDKYRRWKRKDKRRDRKKKKRDKRSKRSSRRDSDNLTDDDSESTGESSSDNDADVQGKAQKHKEVSPRSGKVGSQSPSAVEKEHQRKREEADLLVKDHEAPKENGERRSNGIEDAKPYRSEDRQPDVVDDRPSKSRSRSMSPKRTMSKSMSISPRRSPSRSQSLSPRQSVSRSPPRFPQRNLSKSPIRSRNSSPARSISGSPLRGRKSRSISRSPARAHSRRSIGRSPARAHSPRSIGRSPVRAHSQRSLSRSPVRAHSRRSSRSPVRSRSQRSISRRPVSRRSTSRSPVRSRSRRSPSRSPPRSTRKSVSRSPVRPSKRSLSRSPGRSSRRSTSRSPVRSSRRSISRSSGRAPPKRSISRSPYRAPGRNYRRSYSRSPTPARRGRSPPGRGRSLSRSVSPGASPKRIRRGRGFSERYSYARRYRTPSVDRSPVRSYRYGGRIDRERYSSYRRYSPRRYRSPPRGRTPPRFRGRRSRTRSPSISPSPRYRNRRYSRSRSRSPSRSKTPNRSRSPVARASPRPGRRRSPSQSRSRSGSRSSLGSPLPKQASRSRSSSSSGSPAVKKGLVSYDDGSPDSGR >OMO89504 pep supercontig:CCACVL1_1.0:contig08697:66854:75450:1 gene:CCACVL1_07793 transcript:OMO89504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSVCLKFAGENLVAEIHGKFFLPRLVVNGFGFPSSKVAFFSYIDGDDFTPINGTMPTSMLVRGSINMGFTDCELMCRSNCSCVAFASLQDDEIGCQLYFGNKNHLRNVMEKGVGIVYVRGKVPEDRVD >OMO89497 pep supercontig:CCACVL1_1.0:contig08697:8480:11386:1 gene:CCACVL1_07786 transcript:OMO89497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLLCATFHVALSATNTTAARNGTVADGLLENGNFELAPDPKNMKGTVVLGKYAIPGWINEGFVEYIKSGQKQGDMLLVVPEGAYAVRLGNEASIKQKLKVIKGLYYSITFSAARTCAQEERLNVTVAPDSGVLPIQTVYSSNGWDCYAWAFQAESTEAEVIIHNPGVEEDPACGPLIDAVAIKALYPPRPTNKNILKNAGFEEGPYIFPNANYGVLIPPNIEDDHSPLPGWMVESLKAVKYIDSAHFFVPQGRRAIELVGGKESAIAQIARTIVGKTYRLTFAVGDANNSCAGSLVVEAFAGKDTIKVPYESKGKGGFKRAVLTFKAVSNRTRIMFLSTFYTMRNDDFSSLCGPIVDDVKLLSVRNT >OMO89498 pep supercontig:CCACVL1_1.0:contig08697:14572:20597:-1 gene:CCACVL1_07787 transcript:OMO89498 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-5 cytosine methyltransferase MAESECTGTEEPWRVLEFYSGIGGMRYSLMKAGVNAQVVEAFDINDKANDVYQHNFGHRPYQGNIQSLTDADLDSYWAHVWLLSPPCQPYTRQGLQKHSADARAFSFINILELIPRMSQPPCMLFVENVVGFEAKRKPLSFQCQLFTNQLLWSPSILFGNDENMETGGHDQPQENWDKLIESCQPIEKFLEFTISSDQVDMETNSLVITDVSTNGLETSKKLVEQDVFDFSSIDQFVVPLSLIERWGSAMDIVYPDSKRCCCFTKSYYRYVKGTGSLLATVQPKKKGKASSLKEQRLRYFTPRERPNQRKMKFLFKSSSLFQTFLPRSFPSPKYLCSQPQTPLPLNPNPNTNFPEKITSDSHFPSKPISDSDFPGYPTLPRSKDPHLTSSLQQDGSLSVTHVINTLLTHKEDPKSALNYFKSIEYRRGFVRGVDVLCVLLHILAGSPQTYKQVACLLNRFVSGDSGPAPVVFLDHLIDSAKKFDFELDSRVYNYLLNSYVRVDKFDYALDCFDGMIERNIEVSLRFMNILLTALVKRNLIDKARELYYKMVSIGVTGDSVTVYLMMRAFLKEGNPWEAEKFFKEAKARGTELDAAAYIIAIQAACQKPDLVMAGELLREVRDRGWVPSEFTFTTVILAFLKEGNLVEALRLKDEMLTCWKRLNIVVATSLMKGYCKKGDVDSALELFDKIKEDGLSPNKVTFAVLIEWCCRNGNVKKAYELYTEMKLMHIQPTVFIVNSLIRGFLEARSLKEASSLFDEAVESGIANVFTFNVFLHHFCNDGKVNEACTLWQRMVANGLEPSYVSYNDMILAHCKAGNMDMAHNVFLEMLNQGIKPTVTTYSILMDGHFRKGDAERALNLFDEMVNVNIAPSDFTFNIIINGLTKVGRTSVAKEMLKKFVDKGFVPMCMTYNSIINGFIKEDSINSALAAYREMCEDGVSPNVVTYTILIDGFCKSNNIDLALKMYSEMKSRGLQLDIPAFGALINGLCKNTNMDRACELFSELQQIGLSPNIHVYNSLIRGFRNVNNMEAALDLHKKMINEGIPLDLQTYTSLIDGLLRDGKLLLASDLYSEMRAKDIVPDKITCTVLLNGLCNKRQLENAKNILEEMNRKGLTPNSLIYNTLIAGHFKEGNLEEALRLHNEMLDRGLVPDDTTYDILVNGHAKGGDFLSGVSSA >OMO89500 pep supercontig:CCACVL1_1.0:contig08697:28562:29479:1 gene:CCACVL1_07789 transcript:OMO89500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSTARLRETIVEILSEAQSDSPEVQQKALQTLVSITKVSPQNRTLLAQTNGAISILLKLSKSLSPIIETLSLTILFNLSLNPDLKLSLADMETIDHLNSIIISPTSPESSKLASSLICSLAMLDKNKAKFGVGGTIPLLINSVSGRTRCAAAHHLLSSLAELVQFHGNCTVAVRAAAVPVLIQVIKSADGEDLAGTSLAVLGLLARFDEGLNALKNTGQVVNSMVDVLKGRCMLSKEGAAEILLRLFDESEGCLRDALRIPEFLNLLADISVRGSAKAREKAGLLLKKTMEANIDPYSDETAMFF >OMO89502 pep supercontig:CCACVL1_1.0:contig08697:50187:52495:-1 gene:CCACVL1_07791 transcript:OMO89502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GYCEKPSLTVSKPSGIGLHLNSIVNALPIGRGATACMKLSVDSMGINEIKSASLMSCRLMENKESCSDAFEKVLVAPEDGTLEAKACKVASSAASESHCTVESLDRQITLYTKREPSSEHGENNEMLNQESPKKKRQKSSNSTDSEGCKRCNCKKSKCLKLYCDCFAAGIYCADPCSCQGCFNRPEYEDTVLETRQQIESRNPLAFAPKIVQPVNEFQVNNREDGNGKTPSSARHKRGCNCKRSMCLKKYCECYQANVGCSIGCRCEGCKNVFGKKEDFCLTEEMLNRGGMEISESTVAAKKDILHSEFNPHLTPLTPSFQYSDHGKNAPKPRLLTVKSLPSPESDLLVLSYAKSPRTSDSNDMIQETSKENLDVGSYSEGINYKNVDMLVDECHQTPLPYHPSIIRGSSSSKARELSSLSRFQLGPKSGLSSGGSFCWRSSPITPMSSLDETKNLQGLDCDGGLYDILEDDTPELLKETSTPTKPVKVSSPNGKRVSPPHKLQQLGSSSSGPLRSGRKFILRAVPSFPPLTPCIDSKGSSNQNRSNFQENSSTD >OMO89503 pep supercontig:CCACVL1_1.0:contig08697:65807:66103:-1 gene:CCACVL1_07792 transcript:OMO89503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLRLAGAVESKPKTGQGEKPEHRVDGMGRRMLVGIKKDGLRWLG >OMO89501 pep supercontig:CCACVL1_1.0:contig08697:45145:48721:1 gene:CCACVL1_07790 transcript:OMO89501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase/carboxylesterase/thioesterase MNSGSGTTRSFEFGRTHVVRPKGIHQATIVWLHGLGDKGSSWSQLLETLALPNIKWICPTAPTRPVAIFGGYPCTAWFDVGDLSADGPDDLEGLDASAAHVANLLSTEPANIKLGIGGFSMGAATALYSATCNILGKYGNGNLYPVNLSAVVGLSGWLPCSRTLKNQMEGSIQAVRRAASLPILLCHGLGDDVVAYRHGEKSAQALNSVGFRNLTFRTYNGLGHYTIPEETDEVCNWLTARLGLQGTRS >OMO59516 pep supercontig:CCACVL1_1.0:contig13928:4173:11537:-1 gene:CCACVL1_24773 transcript:OMO59516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MEKSGAAMASIEGSRELQCVGKMEIVKPKPVGFLCGSIPVPTDKSFHAFNSALVPSRQTVSAPRYRMLPTETDLNRPPLVSHFPEKVLPIGAVQSKATGDIVWEDGSIAPNLSRKCEALAVSGLVEYGDEIDVIAPADILKQIFKIPYSKARLSIAVRRVGQTLVLNAGPDVEEGEKLVRRHSSQSKCADQSLFLNFAMHSVRVEACDCPPTHHAPTERQSDPSVLPGRETPHFVAENDNIAQKEGFDQCSEYSQVKQDGFFWGNKKGQRKKSRDPIKQATHVGEKPRCSVQESEKHRRVGNNGFLRVLYWQFHNFRMLLGSDLLLFSNEKYAAVSLHLWDVTRQVTPLTWLEAWLDNVMASVPELAICYHQNGVVQGYELLKTDDIFLLKGIAEDGTPAFHPHVVQQNGLSVLRFLQENCKQDPGAYWLYKSAGEDVIQLFDLSVVSKNHSSSDCDDSSSSLPSLVHRGRSDSLFSLGTLLYRIAHRLSVSMATSNRAKCAKFFKKCLDFLDEPDHLVVRAFAHEQFARLILNYDELDLTSEYLPIECELKVTDAGEESVDLFNGLSESVVDLSLIADNKHTEDGTNFHDLASEASAKMTLEANISSPRELMALTDTDVGEEENVLPRFHGDENLMVHVSSTSKDVVQPVTDPISSKLAAVHHVSQAIKSLRWMRQLQTSEPQLVNQSNCIHDQLPSSTNFSVCACGDADCIEVCDIREWLPTSKLDHKLWKLVLLLGESYLALGQAYKEDGQLHQTLKIVELACSVYGSMPRQLEDTKFISSIAKCSPFQAKFNDRGQKKISLTSNVKEVKSESADDCYIVEQFSSSYLFWANAWTLVGDVYVEFHIIKGKEISAQAERKTSARDLKMSSEVVKEVQRLKKKLGQYNQNCSSCSLVNCSCQSDRASSGNSASSSGGDIHAVTYSRKHGKRSRGKNFAHSLLRDNENKSGQKVKNRQSPDSGKFMHNEDGDSIIRASDTSTDEPKVNSLATTCFDSIEASPEGHDITCKVAIQNEIALKETPKLKDGGIFKYLRNNTVAADAEYNLLSALSCYEEAIKALGELPSGSADLQSLLKKKGWACNELGRNRLELKELNKAEHAFADAIKAFREAKDYTNIILIYCNLGHGRRALAEEMVAKMEGQKMHASLHNAYKQALGTAKLEYSESLRFYGAAKSEMNAIADKAYSVSDHVKNDVYTQFAHTCLRLGMLLAREDITAEVYENGALEDISIGRDRGARKEPRKHDITANEAIREALSVYELLGELRKQEAAYAYFQLACYQRDCCLKLLAPDHKKSGLLSENSIHHRIRQYASLADRNWQKAIDFYSPQTHPSMYLTILIERSTLSLSLSSSLHSNTMLESALSRLLEGRHVSEALSDSFRTDYPELHAKFWSQLQMVLKKMLVVNSSVNSTNKPSSDTQPTTTSNRSGDSIKLRELYKMSLTSNNSSQLHAMYTLWSS >OMO59517 pep supercontig:CCACVL1_1.0:contig13928:12947:14431:-1 gene:CCACVL1_24774 transcript:OMO59517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPSDEDDNGAKKAKNSLGIEGKKRKKETRNQEEDGVDEELNPKKLIWDNDDDNGELVTSLSDQAMFK >OMO79240 pep supercontig:CCACVL1_1.0:contig10462:12654:13895:-1 gene:CCACVL1_13815 transcript:OMO79240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGCASSKQRRCRHCQAPFSPVPRSYSMHVHHPAQHKGDSYHVVALTSTTLGTLKLEENNPQTNGNSINVSAFNGNLENGTKINGNVKEVEVVESKGLAMEVIEAKVWSKMISEKIPKVIPKTPVRTPPGEPETINTWEMMAGLEDISPLRSPGHLRSFSFDVVRNSAPKENGKVSPKPMWLQLEDDEDQSLNPVITDFDPEVLSSFRKSLQLLPPDNPFHIRPLENENEKKFDVASDFKLKRDKVIVYFTSLRGVRKTYEDCCHVRVILKSLGVRIDERDVSMHSGFKEELKELMGEGFKGGLPRVFIGKKYIGGAEEIRRMHEEGQLEKAVEGCEMVDDDGGGNGGGVCEACGDVRFVPCETCSGSCKVYYEDDHEEDEEEEENENEDGEFGFQRCPDCNENGLIRCPICCD >OMO79241 pep supercontig:CCACVL1_1.0:contig10462:31136:36947:-1 gene:CCACVL1_13816 transcript:OMO79241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVNFNCESKGNARTVYLELG >OMO79239 pep supercontig:CCACVL1_1.0:contig10462:3340:6703:1 gene:CCACVL1_13814 transcript:OMO79239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTGGYTAEITSLSPNATEKDIHDFFAYCGAIEHVEIIRCGEHACTAYVTFRDAYSLETALLLSGATIVDQTVCISRWGSYIDDAYPWVGSLLSTQEDTYSTVTHVDHFVSTPGEAVTVVKTMLAKGYVLGKDALIKAKELDESYKVSATAAAKVAQLSNRIGLTDTINTSMETVKSVDERYHVSDITKSVALVTGTAAVTVGKTAVAATNAVVNSTYFAKGALWVSGMLSRAAQAAADLGSQGGKQT >OMO51847 pep supercontig:CCACVL1_1.0:contig15692:5575:6222:1 gene:CCACVL1_29552 transcript:OMO51847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived protein, plant MAHCNLFVFYDQELGCYHQQISLCSLRDAINVLEASHLFSNLAEGIAPPAHYVIQGKEYTTGYYLADNIYSKWSTLVQTIHDPRGPKKQLFVRMQEAYRKDLEHAFGVLQSRFAIVKGTTRFWKKEVLHDIMTACIIMHNMIIEDERDLNADIGICMEALAPKVEMVRDETTGFQEFLARHKQIKNKEAHFALHNALIEHLWERFGNSENSRLNM >OMO99066 pep supercontig:CCACVL1_1.0:contig06963:33923:34549:-1 gene:CCACVL1_03949 transcript:OMO99066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGKTPANGGEGPSTNRFNVLYDDYGASMEAGEDMEADVAAMSQGVAAEDSVLRHRKLKGKDKVVTGELDKSEQVVENSKFDDHVARDSACASKLAKSMRGKIVVENSLNMEVESDSDRSEKGMGSSDGPSEVGPTSKHGLHEDGLDSLCEEERPVGGGLPKGSVNVNLGKPKDGFGRSQGLHPRKTSSFKVGGPKIKENMLVKSLPVG >OMO99065 pep supercontig:CCACVL1_1.0:contig06963:22613:23341:-1 gene:CCACVL1_03948 transcript:OMO99065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MGVIKAAMGDALLTSMWVFSMPFLRIFTVKIATFLGVQSIPLAALFITTILVTCKVFTFSVIGDALGGASFNPTASLTFFAAGLKKNASLLSMAVRFPAQAAAGVAGVRATLQVLPLEIKKTLKGPSLKVDLHTGAIAEGVLTFGLSMALLLILFRGPKNMLIKLWFMAVATVGLVTLGGKYTGPSMNPANAFGWAYVNNWHNSWELYYVYWIGPMVGATLAGWTFRFLLAPAPPPSKEKKA >OMO99064 pep supercontig:CCACVL1_1.0:contig06963:1471:2109:-1 gene:CCACVL1_03946 transcript:OMO99064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier, SUMO MDMSRERAGTESTLVTLSSPVVEGKRIFLHVKGPRETDQFSYWITRNTPLRTLIHDYTQRIGLTFNSVRFRYCGASPVNPGSTPHDLDMKDGDSIDVIPWENFVVTGASQSSMISLPRMDEEKPILLKVIDVIKGGHVFYRIGRNTPLHYLMLDHFERIGVRYSHGRFFYDGKCIEPYQTADDLGMEDGDHIDAWRKSVIETLLCDFRRLFR >OMO59097 pep supercontig:CCACVL1_1.0:contig14055:39065:39199:-1 gene:CCACVL1_25079 transcript:OMO59097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISIHTESGMNTRIPSFRNPTSSAGAVAVRYDPISILLEPCVLHD >OMO64438 pep supercontig:CCACVL1_1.0:contig12826:30643:32286:-1 gene:CCACVL1_21762 transcript:OMO64438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEGEQLCEAARNGDVSKVNTLIKSGADVSYFDSDGLTPLMHAAKLGHTDVVKALLEAGAPWNALSPANQSAGDFAMDAGHQEVFEVLLNAGIQAELILGTIARKTKNDGDCEGAYLDDRVSFSEDKLMDSDSKAVMMAWEKPLMEAHAKAVCSGGGSILNVGFGMGLVDTAIQQYGPAKHTIVEAHPEVYERMLRTGWGEKDNVKIIFGRWQDVLSQLESYDGIFFDTYGEYYEDLREFHQHLPKLLKPGGIYSYFNGLCGGNAFFHVVYCHLVSLELENLGYSTQLIPLPVKDCLAEEVWQGVRHKYWQLDTYYLPVSQSIHDSE >OMO64436 pep supercontig:CCACVL1_1.0:contig12826:9411:10531:-1 gene:CCACVL1_21760 transcript:OMO64436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MLGGMLGNVVDEISGKNKSGRKIKGKVILMNKSVLDINDLLSFQSAQSAINSAYDQLLGQQVSLQLISSENADSENGNKGKLGKPVSLERWRLQLPSPLAKESLFAVSFDLDEGFGTPGAILVRNNQASEFYLKTITLEDVDGAGQIHFVCNSWIYPDNQYNKPRIFFSNKTYLPHETPALLRKHREEELEVLRGDGKTELKTGDRVYDYATYNDLGDPDWNSELARPVLGGSAHRPYPRRGRTSRPPSKSGETLT >OMO64437 pep supercontig:CCACVL1_1.0:contig12826:27352:29658:1 gene:CCACVL1_21761 transcript:OMO64437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLKLKLLEIFLLLSLYYACVVAKEKNQQTKRTYIVHMDKSNMPESFIDHSLWYDSSLKSVSESASMLYTYEKVIHGYSTRLTAKEAETLRKQPGILSVLPEVRYELHTTRTPEFLGLGKSSAFFPTSNSMGEVIVGILDTGVWPELKSFDDSELGPVPSGWKGACEVGKNFNSSSCNRKLIGARFFSKGYEAAFGPIDESMESKSPRDDDGHGTHTATTAAGSVVPDANLLGYASGTARGMASHARVAIYKVCWLGGCFGSDILAAMDKAVADGVDVMSMSIGGGISEYYGDTVAIGAFTAATHGVFVSCSAGNSGPMPSSLSNVAPWITTVGAGTLDRDFPASLTLGNGEKYSGVTLYNGKQLSSSAVPLVYGGNASNTSSGNLCMAGSLIPGKVSGKIVTKAVKISVEPATLSFSAQYEKKSYTVTFTAGSMPSGTTSFARLEWSDGKHIVGSPIAFSWT >OMO64435 pep supercontig:CCACVL1_1.0:contig12826:4835:5650:-1 gene:CCACVL1_21759 transcript:OMO64435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFTAFLWGLVCLCLCHSGNNVVEARREGRFLRDVRRELNLNEGMVHMHAQDFGDNRQSRGPGAGSGGGIGRGGGGGPGGGIGSGGGGGGIGSGVGGGGIGSGGVGGGIGSGGGGGGFGSGGGGGGFGSGGGVGNGRGGGFGSGGGVGSGGGGYGPGAGGGFGGGGGIGIGGGGYGTGGGGFGGGFGQGGGYGPGGGFGGGGGGSGGGMGGDYGYGNGGGGGGGGGFGTGEGWPGNGEGSGYSSAGGKGGGKGGGAYGSATASPNNSLRG >OMO64439 pep supercontig:CCACVL1_1.0:contig12826:34584:36160:1 gene:CCACVL1_21763 transcript:OMO64439 gene_biotype:protein_coding transcript_biotype:protein_coding description:t-SNARE MASSFDRWEKDPFFSVAEEVQESADRMESTYRTWLHAKRDASNKWNIEELRRDLRTTLGTTKWQLEEFEKAVKSSYKGSSSEDARDRHSEFIVAIEDHISRVEKSLQESALSEGKRSMPWVRLDEGECNELALFLSGPSVSGDKKLPPKSHSRNNEIPSGMDKESVAECSKNSSQSIEWSSSEAKGEKSYGHRRTASASADIGIWKIAIADDVLQHNSSNGQPSVPPRKVPSVSGFLNSMESMAKLKWSNNGIRKWKAVDRHQESDTELLQPPQLDRGIDACYERSKSCLDCDDCYDKQLYGWHGAIQRQLQRSQYQMQYGRPIQLAGWVVLFVCLIGELP >OMO64434 pep supercontig:CCACVL1_1.0:contig12826:1443:3791:1 gene:CCACVL1_21758 transcript:OMO64434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding protein, ACBP MGSSASDGSVYGVEEKSLIDDVNDGIIMEELEFSEKLKVQGFESEKKVEFVKESADNKVDVYGTEESRVDQGNHQVFDSEKIIECVGEIADKVDEHEGLNVQGFVSEKDVELSPEILNKVDNFETEQPKVDETEAETEVEESQKEGVGEEEKEVKLESDNDDDDWEGIERSELEKAFGAAAKFVEHKGDLEGLGNDVQMELYGLHKIATEGPCREPQPLAFMVSARSKWNAWQRLGNMNPDAAMEQYVALVSDRVPGWMEDESDGEHKFKSADLGVPGAMTPDITSFPDQLTNNTPERDAELRSAAGGGEITESIIFEKQAKD >OMO64440 pep supercontig:CCACVL1_1.0:contig12826:38083:38614:-1 gene:CCACVL1_21764 transcript:OMO64440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHSVFTLSQIAQHKSKKDCWLVIDGRVLNVTRFLEEHPGGEEVLLESAGKDATKEFKDIGHSKAAQNMLQKYQVGVLQGYSFKKDADAKVTSTDEPKTKKEMSAFAIKDDSVPKFAAFLEFFVPILVAGSYFTYRYLNAGSSMV >OMP06462 pep supercontig:CCACVL1_1.0:contig04928:1456:4253:-1 gene:CCACVL1_01565 transcript:OMP06462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVMTFLMDWFAQNSKFFYLETVATKREVQEASFASLSELNSLSKLEVLVLEVAPSYLPGDFVFPKLKRFDMWVARGRRPYRGIYRKMPIPKSLTIEGSAPLHACQQLYQNMESFHLKSFVGRERSLVGSLKLNLKAEESKLEQVFEEEAYAASVSKADEDKLITLPQLDGLYLLSLPTMVSFGPVGYHFEFPSLRYLKASQSIDAVADVMEESATWPIGSDIEWGEPLWKRV >OMO70161 pep supercontig:CCACVL1_1.0:contig11862:72996:74662:1 gene:CCACVL1_19080 transcript:OMO70161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSQPTMEETILVGDDLMMGPPSPVIPPEIASHVLEGVDLCDGILRNLFLCLQINDIEPFCQDELALYRQCAEKRDKELRLRLQDSERKLGSSMPLDQAKERAGQLESEVTSLERRLILASAVEGIEGFRQRWSLHGRLTDTK >OMO70160 pep supercontig:CCACVL1_1.0:contig11862:67308:69983:-1 gene:CCACVL1_19079 transcript:OMO70160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERTLISWTILMSGYAKRGPTKEALALFIEMLSGDVKVRPDSYVYAVVLRSCGELRELRFGQGVHGQVLKKGEAFLDGFLENSLVNMYSSCGVLQDAVSVFDDIEKPDLVAWSSILSAYVKNGFEEEGLNVFLDMVLEGIELDAFVFSMVIKACSNLEDLNLGIQVHSLMVKKGFQKGGCLFLDNSLMDFYAKCKDLKGLRRIFDKMSQKDMVSWNTLIMGYVHNFHYLEALRNFRVLMHEVCYCDDFTITSILKAVSGLHDMDYGRQIHGYLIRTGLVSNDYAMCSLLDMYIECIAHQSSDHWEKVPLKLYVGLERGESNGFMIASMLKWCSMVSDLDTGEQFHSMANKLGISSDPYVISSLIDMYSKCRMPEAALRVFETVESTPGTATWSALISGLSWNGWFEEALKCFQQMQFNGIEANEFTFTSVILASMALGDLRKGRELHGKILKTCYTSNVSVVNMLINLYSELADQKQALKLCSLISDAQISWNLLIQACIRENDYEMIHQLLRRIQSCSGCIEPISVCDIFRSCSSPVLLHMGMQVQAYMTKRGLLSHPTGGSGLIQMYSACGQIAEADMAFQLMPEKSSLSWSSIISAKVEHGHPSEALVLFNDMRRRNKLVDQSTLKSVLKACARIGRVDEAHSLLMSMEVVYGVEPSEEHYSCIVEAFARAGMLEEVETFIDEVIPDKAGTMIWRTLLSSSRIIGNMKAAKFALEKLLELDPSDCFANQLLEKVLLMFGNWKDTPKTKVNTKTVGLNSSWIEVQNRIHEFISDQNPSEEVSYKISELQREMEDLGYVADRNHLLHDAEEEEYDGVSLGHTEMEAIAFGLISLPHRMPVRVIKSVRMCGNCHSACKFMSTFVDRELVVKDTCTFHHFRDGGCSCQDSW >OMO70155 pep supercontig:CCACVL1_1.0:contig11862:115:1185:1 gene:CCACVL1_19073 transcript:OMO70155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGYTMNPEDYKLLEIIGDGATATVYKAIHVPTTNVVAVKVLDLDLCNDGNLDKIRRETQTMNLINHPNIIKAHCSFVVERKLWSAIKSILKETLKALDYLHKQGHIHRDVKAGNILLDKQGTVKLADFGVSACMFDAGDRQRGRNTFVGTPCWMAPEVLQESAGGSGYNYKADIWSFGITALELAHGHAPFSEFPPMKVFLMTLQNAPPGFDDSDHDKKFSKSFKHMVATCLVKDPRKRPTTEKLLKHPFFKHAKPPEVSVKKLLAKSPKVWNGVKTLDRFDEQLVQSKKLIAEEEASSSQYQRGISAWNFDIEDLKAQAALLPDEDDDN >OMO70156 pep supercontig:CCACVL1_1.0:contig11862:27809:37809:-1 gene:CCACVL1_19074 transcript:OMO70156 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteinaceous RNase P 3-like protein MAFQLQKLEAQKAYEVEEDINKVGLSLEEPQIAALLKVSAETGRGDRVYEYLQKLRRSARCANEDTGKVLKDWFSGKGSEVCSKGGKFDVGFVKEAIFRNGGGWHGLGWIGEGMWVVRKENVEPNGRCCCCGEQLGCVVLILMMPRLRGCSVGCRVGYGEGG >OMO70163 pep supercontig:CCACVL1_1.0:contig11862:94651:95210:1 gene:CCACVL1_19082 transcript:OMO70163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein 60S MKVIAAYLLAVLGGNACPSAGDLKDILGSVGAEADDDRIELLLSEVKGKDITELIASGREKLASVPSGGGAVAAAAPTASGGGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >OMO70159 pep supercontig:CCACVL1_1.0:contig11862:50431:61060:1 gene:CCACVL1_19077 transcript:OMO70159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYGSLALAQTDKAKAKDSISAETDFVHKFAAQPTGIVNDQFSHMKMVGRADFVQLINTYCLDVESILSKLESYIDLPKVDFSKLAPLAAEVGERSSR >OMO70158 pep supercontig:CCACVL1_1.0:contig11862:41970:49447:-1 gene:CCACVL1_19076 transcript:OMO70158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEGFQVSSWTKDFDNLCDYYTHLLKKSPTGTIHIHVLGNIITANPENVEHILKTRFENYPKGKPFSALLGDLLGRGIFNVDGESWKFQRKMASLELGSVSIRMHALNIVKSEIESRLIPLLSSVSGKKQVLDLQDVFRRFSFDNISKFSFGLDPGCLKLSLPVSEFAEAFDLASKLSAQRALAVSPLVWKVKRVLNLGSEKQLKEAIKMVDEFAQQMIAERRQKGFSESNDLLSRFMGTINDDKYLRDIVISFLLAGRDTVASGLTSFFWLLSQNPQVESAIRDELERVMGSSQQQQLPSFDQMREMHYLHAALYESLRLFPPVQFDSKFAQEDDVLPDCTFVKKGTRVTYHQYAMGRMDRIWGSDCLEYKPERWLQNGIYVPENGYKYPVFQAGPRVCLGKEMALVEMKCVVLAIIRRFNIRVANPDQAPKFAPGLTATVRGGLPILVQERGA >OMO70157 pep supercontig:CCACVL1_1.0:contig11862:40743:40820:1 gene:CCACVL1_19075 transcript:OMO70157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQAAPTLSMPYKVTTTTTALVVL >OMO70162 pep supercontig:CCACVL1_1.0:contig11862:75592:94171:-1 gene:CCACVL1_19081 transcript:OMO70162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGDNRRRDRRDFRSSKRQKKLIRTAEEELESKLGFDLFSEGEKRLGWLLTFASSSCEDAESGKVYSCVDIYFVSQDGSCFKSKFKFRPYFYVATKEKAEMDVDAYLRRRYESQIAEIEIMDKEDLDLKNHLSGLQKHYLKISFDTVQQLMDVKKDLVHVVERNQAKFDAAEAYESILMGKREQRPVDCLDYIVDLREYDVPYHVRFAIDNDVRCGQWYDVSVSSSGVILEKRTDLLQRAEVRVCAFDIETTKLPLKFPDAEYDLIMMISYMVDGQGYLIINRECVGQDIEDLEYTPKPEFQGCFKVTNVKNEVELLRQWFAHMQEVKPGIFVTYNGDFFDWPFVESRAAYHGIKMSDEVGFQCDKNQGECRAKFACHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAKEKPQMMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAYKANVICPNKHQSDPEKFYKNHLLESETYIGGHVECLESGVFRSDLPTSFKLDPSAYELLISNLDRDLQYAITVEGKMDLESVSNYNEVKNEILEKLVKLRDDPIREECPLIYHLDVAAMYPNIILTNRLQPPSIVTDEVCTACDFNRPDKTCLRKLEWVWRGEIFMAKKSDYYHLKKQIESEYFDATESRLSKSFLDLPKLEQQSKLKDRLKKYCQKVYKRVLDKPVTELREAGICMRENPFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKASGNPMKIQEAQDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCVLPGSFPENFTFKTKDSKKKLTISYPCVMLNVDVARNNTNDQYQTLVDPVNKTYATHSECSIEFEVDGPYKAMIIPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLNGSTLEECYSAVAAVANRWLDLLDNQGKDIADSELLDYISESSTMSKSLADYGEQKSCAVTTARRLADFLGDTMVKDKGLRCQYIVACEPRGTPVSERAIPVAIFETDAEIMKFYLRKWCKTSSDVGIRSIVDWSYYKQRLSSAIQKIITIPAAMQKVTNPVPRVAHPDWLHKKVREKEDKFRQRKLVDIFNSSGRDDIVKKANDAAIANHVLVGDLEDFQKKSINSEKGPTPIVRCYEVNNNKHPVKAAVEANSVQQQLSSPLQPNVLPTENIDKNVDYQGWLELKKRKWKDNLERRKRQRLSNQRISLQTSHTSEGAALNHKGAQGRTGVGSYFRRHEVSLTSSHWQIIQLVPGSHAGQFFAWVVVEGIMLKVPITVQRVFYLNSKATISEIPGRRVNKTLPHGRQSYNLIEVMIDEDQFRKESKKLAALLADPEIEGIYERRVPLEFNAILQLGCVCKVDKTVKKRNAQDVWSLSELHMKTTTECAYLEQSISFFYLYHSTCDGRAIYAGYFSSSRAVHVVVVNPHHENEVLSPYILEKYFREACQALSIEPPARDGSAFKVNYVEYVKDAEKILQRAISEFRLEHQGPTIAVIECPNTQSMKSGIRALEDFPCVSIPSNARDNDYQLLVWQQTAAKIGMQRCAASSQWLSERIALSRYAHVPLGNFELDWLLFTADVFFSRALRDQQQVLWISDDGVPDLGGTSEEDTCFADEVNQPVITYPGAYRKVSVELKIHNLAVDALLKSNQVNEMEGGALLGFEQDVNTGNEQCGFDEATSSAAAFRVLKQLIQRCLADAVSSGNVFADAILQHLYRWLCSPHSKLHDPALHRILHKVMQKVFALLLAEFRKLGATIIFADFSKVIIDTGKYDISAAKAYSHSLLKALQNRELFEWIELEPVHFWHSLLFMDQYNYGGILAGSDENLQHKSEVDIVSSWNIAQYLPEKIQDHFVLIVSEFLHTPWKYAQDQAINRTSSLDGSICTPSITITAAESFEAHIVKYLKEKISSYFTEKLLGIVRDIVLHMKGLSRSETDQQTANRIPLLASNVNKGDPALEFIKHVCAVLALDNSVQHDVLVLRKNLLRYARVREFAPEAEFHDPCPSFILPNVICSYCNDCRDLDLCRDSALQADEWHCAVPQCGQPYDREVMENALLQIVRQRERLYHLQDLLCNRCRQVKAAHLAEQCACGGSFKCKEDASEFRSKMQIFLNIAIRKKFRLLEECTSWILELSSEHSV >OMP06708 pep supercontig:CCACVL1_1.0:contig04835:855:920:1 gene:CCACVL1_01462 transcript:OMP06708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETDIHYFPLSSANELDYST >OMO59485 pep supercontig:CCACVL1_1.0:contig13945:6810:8488:-1 gene:CCACVL1_24792 transcript:OMO59485 gene_biotype:protein_coding transcript_biotype:protein_coding description:malonyl-CoA-acyl carrier protein transacylase, mitochondrial MYLGFVASVALHSSLSLLRSNGAAPHRCFCLHNSSRSRVFMSVSIGSQAVVDDVLFADYKPTSAFLFPGQGAQAVGMEKEAQTTHPYKYVGDNLDLQGLNMFKILAALDILLKLTIFDYEPMAAASIGQYPGVANSIGSDIENLKLPLNYTNLIPM >OMP08243 pep supercontig:CCACVL1_1.0:contig03913:1:1056:-1 gene:CCACVL1_01139 transcript:OMP08243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic reaction centre, L/M MTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAIEAPSTN >OMO97819 pep supercontig:CCACVL1_1.0:contig07198:5784:6915:1 gene:CCACVL1_04441 transcript:OMO97819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAVRECRLFSHGGEKHKHSSKDEEEDKEDDKIESPVTKDDSHEDDVETAPKEESDDEEAKSEEEPKKSSQKGTSKKTEKESLELSSKDKSTSGKKVTPAKSVKTPSRCGKRSSESTSKQDASDVDGTSGSKSKGFASKKQKVEKESSKEGGLSSKDKVAGKKQPNKSPAKVSTKTQGKVRSGKKPKAEPNREEIHKVVVDILKEVDFNSATLSDILRELGMFILFHIKDLFGLQYLIG >OMO59906 pep supercontig:CCACVL1_1.0:contig13852:3296:7513:-1 gene:CCACVL1_24536 transcript:OMO59906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDDFKGAATAHGRVAIHREKNNTSPTQVMTFIAGHCEDKIGNVNIVIKLPYYTQMGDPAISLQESSMPTVVIYQVPIL >OMO59909 pep supercontig:CCACVL1_1.0:contig13852:31104:32051:1 gene:CCACVL1_24539 transcript:OMO59909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M10, metallopeptidase MASKVILPFFSSFTLFLFLLLLPLLFQAVLAHPKDQNSSSSFQFLQQLQGCQKGNKVKDINKVKKYLKHLGYLSYDINKTHADNNDHFDESLEHAIKTYQLNYNLKATGTLDLETVSKMMTPRCSVPDIINGTSRMNSGKKNLSKSIHDFPSQYTFFPNRLRWPPSKFSLTYAFLPGTRPDAIGPVARAFQTWAANTPFRFTRIMDPRRADLKISFERRDHGDGAPFDGPGGILAHAFAPTDGRLHYDADETWTVNSTPNSYHLESVALHEIGHLLGLQHSSVRAASMYPAFDPGEIRGLHADDITGMKVLYGSS >OMO59908 pep supercontig:CCACVL1_1.0:contig13852:28863:29777:1 gene:CCACVL1_24538 transcript:OMO59908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M10, metallopeptidase MASKAILPFFSFTLFLLLLPLLFQAVLADPKDEHPSPFGFLQHLQGCQKGNKVKDINKLKKYLEHFGYLSYDDKTHADDDDFDELLEHAIKTYQLNYNLNATGTLDPQTVTKMMSPRCAVPDIINGTSGMNSGKHKHFHTVAHYAFFPGRPKWPASKFRLTYAFRPGTRASAIAPVNRAFQTWAANSHFRFRRISNFRTADLKISFERGDHGDNNPFDGPGGALAHAFAPTNGRFHYDADERWSVSVTPGAYHLETLALHEIGHLLGLHHSSVPGAIMYPTFRTGQRKGLHSDDIRGIKALYGR >OMO59910 pep supercontig:CCACVL1_1.0:contig13852:35449:35556:1 gene:CCACVL1_24540 transcript:OMO59910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLYCKNLPLSKDLDSQESMHGEELLSIVCNVLAQ >OMO59907 pep supercontig:CCACVL1_1.0:contig13852:18155:18262:1 gene:CCACVL1_24537 transcript:OMO59907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELDKVWEEWLVFVTCEELPMLETCTAGDDGVE >OMO54621 pep supercontig:CCACVL1_1.0:contig14932:3961:4095:-1 gene:CCACVL1_27712 transcript:OMO54621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKLQSLDRKREKKALILGLPSKRNKFSLQQTAGTRSRSVSSG >OMO54624 pep supercontig:CCACVL1_1.0:contig14932:16867:18533:1 gene:CCACVL1_27716 transcript:OMO54624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPADHNSELYRFLAQNGVVGSSLGFPAASTSSDTFPSMQTFSSSSTYYPLEMSGVTTTETTPHDRALAALKNHKEAEKRRRERINSHLNRLRTLLPYKASLLAKVVQRVKELKQQTLEITELETFPSETDEITVLSCDYSTTDGRLIFKASLCCEDRSDLLPELMEILNSLHLKTLRAEMSTLGGRIRNVLIVAADKDHSIESVHFLQNALKSLLERSNSSDRSKRRRVLDHKLMV >OMO54623 pep supercontig:CCACVL1_1.0:contig14932:7765:10873:1 gene:CCACVL1_27714 transcript:OMO54623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALVASKPISLKDDAGVAAGKTKVTIC >OMO54622 pep supercontig:CCACVL1_1.0:contig14932:5982:6595:1 gene:CCACVL1_27713 transcript:OMO54622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNLIHRVLRRKLHSQFAVPALSWFTSRKTNEDAGSAGMRAVALLGVGISGLLSFATVASADEAEHV >OMO73305 pep supercontig:CCACVL1_1.0:contig11268:11160:13039:1 gene:CCACVL1_17335 transcript:OMO73305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGSIARTCSLPTETEEEWRKRKELQSLRRMEAKRKRSEKQKNLKAVRDRNRGGFDEENCEEREEAIINRASSVNGGRGHCEGVAVSQGSFGSQGSGSSGISELENQSAQGTNRCPEARSPSSAQSAAETEQKPAVNIPGRTLSEKPEKLAGVGTENQPSQPAVAEKRVKEVVRNILEDMPCVSTTGDGPNGKRIEGFLYRYRKGEEVRIVCVCHGSFLSPAEFVKHAGGGDVAHPLKHIVVNPSFLF >OMO73307 pep supercontig:CCACVL1_1.0:contig11268:14749:18141:-1 gene:CCACVL1_17337 transcript:OMO73307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSASVIKWQAFFLLFFCCLNSVVLGASSSDKAVLLEFKKSFSDPSGLLSTWSEASHHCSWTGVSCDQNSNVLSINITGTGKGQQGNLVNRTPSAYFSCSDYSSFPFYGFGIRRSCAGSNGSLFGSLLPSIGKLSEIRVLSLPFNRLSGEIPTEIWELEKLEVLDLENNLFSGSWPESISGLKNLRVLNLGFNNMSGEIPAWLSSLEKMEILNLAFNPVNGTIPGFLGKLRGVYLSSTSLGGNLPADIGEGCKLEYLDLSGNFFTGQIPASLGKCSQLRSLLLFTNLLEEGIPREIGHLQNLEVLDVSRNTLSGPIPVELGNCSGLTVLVLSNMFNPFDELALAKGDSSPVNDDFNFYQGGIPDEITKLSKLRILWAPRATLEGNLPSDWGACDNLEMVNLAQNFFAGKIPVSLSLCKKLRYLDFSSNKRLNGELIEELPVPCMSVFDISDNSLSGSIPRFYNRGCPDVLTSDSYALEPFNPTSAYLSFFASKTQVAKPFGLFGGDGGPSVFHNFGGNNFTGSVLSMPFAPQRLGKQISYAFYAGQNLLSGPFPGNLFENCDGLHALFVNVSYNRMFGQIPAEISICKSLQFLDVSLNKITGSIPPVVGDLVSLVSLNLSWNMLQGQIPASFGRMKDLKYLSLAGNNLTGSIPSSFGQLQSLEMLDLSSNFISGEIPEGLVNLRNLTVLLLNNNKLSGQIPSGLANVTMLSAFNVSFNNLSGPLPSSNNLMKCSSLIGNPLLQPCHTYSLMPSADQARAGDSQNYAASPPGSATRSARNNAFNSIEIASIASASAIVSVLLALVILFFYTRKWNSKSKIISTTKKEVTIFADIGVPLTFDGVVRATANFNASNCIGNGGFGSTYKAEISPGVLVAIKRLAIGRLQGFQQFDAEIKILGRLRHPNLVTLIGYHASETETFLVYNYLPGGNLEKFIQERSTRAVDWRILHKIALDIARALAYLHDKCVPRILHRDVKPSNILLDEDYNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSPYGNGFNIVQWSCLLLRQGQAKDFFTAGLWDAGPQNDLVEVLHLAVVCTVDSLSTRPTMNQVVRRLKQLEPPSC >OMO73306 pep supercontig:CCACVL1_1.0:contig11268:13943:14197:-1 gene:CCACVL1_17336 transcript:OMO73306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSNSKFKKLAYVLAIVLAMLIASSSANNGKDKEVGIFGGIFDNMWPEKNQTCLASGHFCLFRPMDCCGDCICFYGLCGAGAC >OMO72858 pep supercontig:CCACVL1_1.0:contig11348:2721:4670:1 gene:CCACVL1_17550 transcript:OMO72858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MLPSSESPNKSKPALNPCALSFEPAPQSGVQVESHYPSVSSNEICLLPHQQFPQHETYHYQDPFLYAHHLPSPNFDYDQYPYSYNSQHHPLPLCFPYPYPPPVHHQGLGFEYYTLPNYGGEYDSQSQAHPNPHLQPSHGEVNMETRKKKKQQKKPKCGFLPPRLRVLREKPVPVPVHGQIRVWIPRKVVHHKTNIAAADGQEQPSLDGNTSLMIRNIPNHWRRVDLQHMVDVHCRKMNGKIGQGSDSPRSEYDFLYLPMDFAFGRNLGYAFVNFTTPEAASRFSEAFSGMKWLSGDKTKICEITSADHQGRKALEQKHERSTFLCHTKSYLPVVYSTPRDGFNNSKPTTVGRCTHVFSPSNVMIMTQRKERKG >OMO72860 pep supercontig:CCACVL1_1.0:contig11348:8767:9264:-1 gene:CCACVL1_17552 transcript:OMO72860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKNIEGWVLKLRVLVVATVLVVCSTSEEAIIAEADQAEAEQAKTMAGQVSSDGWEFRKGHSSDPDGRWTSDWGTDSSPDGSTTVSYGTGSGLNSDGTRFAFGYGSSSAAGDHHDVGSPPYGGSSSAPGGGSACACDPVTVTSASSGGQTQVAQGPAASPTSQP >OMO72859 pep supercontig:CCACVL1_1.0:contig11348:6669:7666:-1 gene:CCACVL1_17551 transcript:OMO72859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S13 MLGLRSSVGVLSDITQRLLPTITFHGIKVQGIRVGGAELPDYKRLEVALQRIYGVGRTRARLILSDLKIDNKLTKELTGRELMSLREYLSSTYVTGQDLRRCISAGITRLMAIQCYRGIRHQNKLPCRGQRTKTNARTAEKGLNAVKERHRAPHN >OMO72861 pep supercontig:CCACVL1_1.0:contig11348:10790:12799:-1 gene:CCACVL1_17553 transcript:OMO72861 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconolactonase, DevB-type MEKKEGGELRLFDSSEELSSGLADFVLQVAESAVKERGSFSLVLSGGDIPNRLGKLTKAPFLRVVDWSKWYVFWAEEKVVAKRHPDSLYWQAKECFISNVPLPPAHVICVSHGVAGESAANSYEFSIRQQLKNRTVQVSPSTDCPRFDLVLLSLGPQGHVASLYPNHSVLHEESQWVSCVSNDSKRESVTLTLPVINAAANVAIVASGMDLARPFMDAMVSRKPIGSHPAQMVSPQDGKLVWFVDAPAASLFLRGNEL >OMO53051 pep supercontig:CCACVL1_1.0:contig15353:3547:7329:-1 gene:CCACVL1_28915 transcript:OMO53051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVDVSKYAHSPVHKAVAMRDYASLRRILAALPRLSNPSEIRTEAASLAEEEKAEGISAVIDRRDVPNRDTPLHLAVKLGDETATEMLMVAGADWSLQNEQGWSALQEAICSREEAIAMIIVRHYQPLAWAKWCRRLPRLMATMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSILFLGDGSEDGKVPPGSLCMISHKDKEVINALDGAGSQATDEEVRQEVVAMSQTNIFRPGIDVTQAVLLPQLTWRRQEKTEMVGAWKAKVYDMHNVVVSIKSRRVPGAMTDDEFFATSNENETESEELDEILTEEERRQLEVALKLDSSELSNENGDGIIGHRHSCYESREIPIEESNGYKNGETKQEKKGWFGGWRKRETKPEVQKKFVPPRSSLCVDEKVSDLLGDSPSTSQIKPGRHSVEILPRDDQRRMRDSRTSTSMSSESGNRRKDSGRENEYKKGLRPILWLSPNFPLQTDELLPLLDILANKVKAIRRLRELLTTKLPAGTFPVKVAIPVVPTIRVLVTFTKFEELQPVDEFSTPPSSPTAGRESPSVANTSGSSWFQWIKAPYHRPSSSSSYNKIETIPPDPFAIPSDYTWITAEAKKKKMQEKSKSKKGKSQNH >OMO53369 pep supercontig:CCACVL1_1.0:contig15214:685:765:1 gene:CCACVL1_28684 transcript:OMO53369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLDSTFQEEYPEVEHRFCLRHLYTNFK >OMO96564 pep supercontig:CCACVL1_1.0:contig07435:3195:11234:1 gene:CCACVL1_04890 transcript:OMO96564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSSPSLPIPPDPPQNDTLPPQNDPQTTQTPETTATPTPTPPLTRSNRPSRACTIRAAQRLYAQQQQAAIERRPKPAKKEQQQQQLPKDENDGSSSPQQQCSGSSKIITPLVGPPEPSQLPRWSIRSMWELASILNFLHVFRPLLNIAVEFSAEEFETALITPNDTLGDIHIPLLKAIPPITRMALTRDTWVTVLCRKLRDWWHWVADGDLPIVASHGLEVEAYKSLEPGVRVVILKALCDIRVEQEDIRNYIDNSVKHGVQLSAFRKERVGGDSQGVNYWYEDDPVVGHRLYREIRKVELKKAKMKGSNVPNSATYLWETVATNFEEFQDVSEKLFASKNRTEASLGKKLKNDMLPEIEKEHKRKERLLKKQHRQALLLDNFLAVDGLGPGRSLRDRKPVTYTFDDYDRSINEAIKITKRKPPSPDPLNRRDGARPEPSANGKLSGPSHMSEQEENYNLMSPKSPEYDDFDDNKYGELDRSNRRRQRPQRYSAKEFVEAVSDNEADFDSDDDIVGEAIYDEEYLRKRKQRRRQSSSSEGDEEYRWDEDHAEEEEEEEEEEDSLSISEESDEAPKFKKLPGRTRRETKLRSVDELQSGLRRSKRATRNRINYRQYEMSESETESKPEKSNPSDERSDASENEFSEGGTQDSNGSDNEQEMKVDPPEVGNSETVEKEQTQPPEQSNGLGQDEVDGVRKRRFLDLNELAPGSGFDDAPNTIMKDDDRNDF >OMO96565 pep supercontig:CCACVL1_1.0:contig07435:12315:13796:-1 gene:CCACVL1_04891 transcript:OMO96565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGSTVTEMWTRMGSTVGSFMFLWAIVGQYCPYELRRYIEKYSHRIMGFFYPYIQISIHEYGGDRLKRSEAYVAVEAYLSANSSKSAKRLKAEMGKNSSNLVLSMEEYEKVTDEYNGVKVWWVASKVMSPTRGMSYYPIPEQEKRYYMVTFHKKHREIITGEYLEHVVKEGKEMRVRNRQRKLYTNSPGYKWPSYKQTMWSHIVFEHPATFETMALEAEMKKEIMEDLVTFSQSKDFYARIGKAWKRGYLLYGPPGTGKSTMIAAMANLLNYDVYDLELTAVKDNTELRKLLIETTSKSIIVIEDIDCSLDLTGQRKKGMELELELDMKEKMKEAAKEDGSSSSKVTLSGLLNFIDGLWSACGGERLIVFTTNYVHKLDPALIRRGRMDKHIHLSYCTFEGFKLLANNYLNLDSHPLFATVQQLMQHTNITPADVAENLMPKSPLDNADKCLASLIQALEEAKEEAAPALKTNNAAAAAAEQEEAISNNNDS >OMO78273 pep supercontig:CCACVL1_1.0:contig10609:11193:11336:1 gene:CCACVL1_14531 transcript:OMO78273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFNFYWMVAMRKDDEEIGLGWGWKGELVRVEEKSERRGVREWEPPR >OMO82886 pep supercontig:CCACVL1_1.0:contig09963:6247:14211:-1 gene:CCACVL1_11699 transcript:OMO82886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3 GTPase-activating protein catalytic subunit MASTSKAKKTEEEEDEEEDEVCFFTASLLLFPVLDFRIYVQHFDDFTLASSWERFISEIEAICRQWLADGPKHLLEKGAIQSDSVKNLYKVKSELKNAAKIYFMEYYFETNNNGKIADWTCDLHDLQLSFGVKEFLVISPQSASGVVLDAPEASKLLSAVAIALSNCSSFWPAFVPVHDPSRKAYIGIQNMGTVFTRRFEADRIGSQVPIKFMHLEGLYELFVSKFAYSTVDHSMHLYKVHLVMKLTYRTLPNNDDDDDMQVDEENEESEASSAGGNRNRKHWDDDCPWSEWYSAEDPVKGFDLITTWSEKLVESSEMAVMENASPQDAEKWIIAPNLSANLDSSKGDRICFASQLQLLVNALNMSFEAQFMEDFVSVENPGSDNLKSSMIVPPPTVLDRVLKDLFHEGVHIPDFAKGEHKNSRAIKGATLPSLFAQFCLHSLWFGNCNIRAIAVLWIEFVREIRFCWEESQPLPKMSASGSIDLATCLINQKLQMLAICIEKKRELNEEFEDCNDDGFAHMEEDIQVGEVSTSSHAQSQGFDGKRDSPLKPETLIGSRTVASKFSINSGDGGSADKSTSDSTRRGSAGPVGSMKLLKSYQSLHAPFTQDAPLMTEDMHEERLRAVEAFGDSFNFSAQLERDTLSSDMSAFKAANPDAAFEDFIRWHSPRDWENDESEANGLSDNLTEGMKDDWPPRGRLSQRMSDHGNLWRKLWDEAPALPVYEQKPLLDPNREGEKILHYLETVRPHQLLEQMVCTAFRASADTLNQTYFGNLKQMNTKMDQLYLTMASTLRPLQVNMLSGDSETIEDLRRLCIVFEHVEKLLTLAASLHRKFLQAPRVSEAIFSNYYNFYLPTMGTGSADVDVQKEFDMKLQLRMNERQDVSNMFTPPSANQSWRKVLSMGNLLNGHEPILREIIFSTRDNSSGSHYAGSSLRDYQQEIETYRMYICATSNDLRVALSVTSHD >OMO82888 pep supercontig:CCACVL1_1.0:contig09963:23168:28333:1 gene:CCACVL1_11701 transcript:OMO82888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin/SUMO-activating enzyme E1 MGFCGFFGSLRLYMLPRKRAAADGVVVVESDTETTANNNNAATSSFKKQRLHSCIIAAAASTDSSTKNGDNSASVGGNSDQSNTRVVESSPSIMALGDANHTEIDEDLHSRQLAVYGRETMRRLFASNILISGMQGLGVEIAKNLILAGVKSVTLHDEGVVELWDLSSNFVFSESDIGKNRALASVQKLQELNNAVVISTLTTKLTKEQLSDFQAVVFTDISLEKAIEFNDYCHSHQPPISFIKSEVRGLFGSIFCDFGPEFTVIDVDGEDPHTGIIASISNDNLALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIKSARPYSFTLEEDTTNFGMYIKGGIVTQVKQPKVLNFKPLREAIKDPGDFLLSDFSKFDRPPLLHLAFQALDKFVSDLGRFPVAGSEADAEKLISIAGNINEGLGDGKVEDINPKLLRYFAYGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTEPLDASDFKPLNSRYDAQISVFGSKLQKKLEDAKVFMVGSGALGCEFLKNIALMGVSCGDQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPRLNIEALQNRVGPETENVFDDNFWENLTVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPAEYKAAQRNAADAQARDNLERVLECLDKEKCETFQDCITWARLRFEDYFVNRVKQLIYTFPEDAATSTGAPFWSAPKRFPHPLQFSAADPSHLQFVMAGSILRAETFGIPVPDWVKHPKALAEAVEKVIVPDFQPKKDAKIVTDEKATTLSAASVDDAAVINELIFRLELCTKNLPQGFKMKPVQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKALDGGHKLEDYRNTFANLALPLFSMAEPVPPKVIKHGDMSWTVWDRWILRDNPTLRGLLQWLKDKGLNAYSISYGSCLLYNSMFPRHRDRMDKKMVDLAREVAKAELPPNRNHLDVVVACEDDEDNDIDIPQVSIYFS >OMO82889 pep supercontig:CCACVL1_1.0:contig09963:28872:31381:-1 gene:CCACVL1_11702 transcript:OMO82889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MSKGEEESSGNVNITVKFSGKSIPISVAKDSTIKDLKSQLQSLTNVLPRGQKLIFKGKLLVDGMTLMESEVMNGAKLMLMASHGLHQGGGPILKEAQTRPRRTENDYKRTDARTEVIMDKNRLERWKATGVVALAECNLKAIPDEVWACGSSARVLDLNNNCIQDVPDKISCLNSIQKLLLNANAFSDEAIHWEGLKPLKYLTVLSINQNNLTALPSALGSLTSLRQLHVANNKLTSLPNEIGILTQLEVLKANNNRIATIPACIGECVSLIEVDLSANFLSELPETFSKLHNLKVFYLGNNGLKSLPCTLFKGCLQLATLDLHNTEITMDLLRQFEGWEEFDERRRSKHQKQLDFRVASSAAFDEGADKN >OMO82887 pep supercontig:CCACVL1_1.0:contig09963:16919:22051:1 gene:CCACVL1_11700 transcript:OMO82887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin/SUMO-activating enzyme E1 MDDRKKSFSVVALTVIFVVFCGIFRSLLYYMLPRKRAGEGEVVEGESETKNNSNNIKEVSVTSPIKKHRIVATAGTDLTPDNNVTIGNNSSSRSSGGSVVEPTFMAPGDANHNDIDEDLHSRQLAVYGRETMRRLFGSNVLISGMQGLGAEIAKNLILAGVKSVTLHDEGVVELWDLSSNFVFSENDVGKNRAFACVQKLQELNNAVVISTLTTKLTKEQLSDFQAVVFTDISLEKALEFDDYCHNHQPSISFIKTEVRGLFGSVFCDFGPEFTVFDVDGEEPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVQGMPELNDGKPRKIKSARPYSFTLEEDTTNFGTYVKGGIVTQVKEPKVLNFKPLREALKDPGDFLLGDFSKVDRPPVLHIAFQALDKFISELGRFPVAGSEEDAKKLASLAANINDCLGEAKVEDVNPELLKHFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTESLDPSDFKPLNSRYDAQISVFGSKLQKKLEDAKVFIVGSGALGCEFLKNVALMGVSCGSQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAASINPKLNIEALQNRVGPETESVFNDNFWENLTVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPDEYAASMRNSGDAQAKDTLERINECLDREKCETFQDCITWARLRFEDYFANRVKQLIYTFPEDAATSTGAPFWSAPKRFPHPLQFSAADPSHLHFIMAASILRAETFGIPVPDWVKNPKMLAEAVEKVIVPDFQPKEGVKIETDEKATNVSTASVDDAAVINELLHKLELCRNNLPPGFRMKPIQFEKDDDTNYHMDFIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGGHKVEDYRNTFANLALPLFSMAEPVPPKVMKHRDMSWTVWDRWILRDNPTLRELIQWLKDKGLNAYSISYGSCLLFNSMFPRHKERLDKKVVDVAREVAKAEMPPYRSHLDVVVACEDEEDNDIDIPQISIYYR >OMO98207 pep supercontig:CCACVL1_1.0:contig07150:10211:13955:1 gene:CCACVL1_04299 transcript:OMO98207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MASASAPSYLCCNNTKISTVPSSSFINLSRHAAQRSPNAKRNQLWLSRRSAFKSPINATLAQKSALQYRKLGDSDLQISEITLGTMTFGEQNTEKEAHEMLSYAFENGINALDTAEAYPIPMRKETNGRTDLYIASWLKSQPRDKVIVATKVCGYSERATYLRDNAKVLRVDAANIRESVEKSLKRLNTDYIDLLQIHWPDRYVPVFGEYLYNFSKWRPSVPFVEQLKPFQELIDEGKVRYIGVSNETSYGVMEFVHAAKVEGLPKIVSIQNSYSLLARRFEVDLVEVCHPNNCNIGLLSYSPLGGGSLTGKYLDINSEAAKKGRLNLFPGYMERYNKSIAKEATIQYIEMAKKHGLTPVQLALGFARDRPFMTSSIIGATSVEQLKEDIDAFLTTERPLPPEVMEDIEAIFKRYKDPAIN >OMO98206 pep supercontig:CCACVL1_1.0:contig07150:7605:9293:1 gene:CCACVL1_04298 transcript:OMO98206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMDINSPIRNAKSLKLGEQNFDEGKSIICSLPDPIIHHILSFLPTKDAVKTSILSKRWQFLWTFVTNFEFDEKLSKYEVVNEDKRRKFMSFVERVLLISNSSDIRRFELFCNVLSDQCRINTWIHAVIKRKVQKLILRIIVRSELGPFAEVKPVSLPHCFFTCESLKELNLEFKCVLNLPSHICYPHLKILSLSQIKFLDDHSVQRLLTSCPKLVKLALHACDWDNVKAVYISAPLLEVIDIFEEGLCVKQSCCQFMISGSKLKLFRYSGDFENDYCVFDAPSLEKAEIIQLGLEAMGYSLNVQIAAYRGYKLLKGLTNVKSLVVTPDFLELLASAEELIPYLPSLPNLTYLAVDEYEYAVDFACSRLMKMLQKSPYLESLDFKWGVSLSTYKESNDWTLDPVPPCFSSHLKTVTFRIFSASDEEELHVVKVLLRTAKSLEKLRFPSHVEEETRTLLLNLLEESGSACDIVFVKLRPFYEKHEEY >OMO98209 pep supercontig:CCACVL1_1.0:contig07150:21433:25488:1 gene:CCACVL1_04301 transcript:OMO98209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGQQQSKDELLYEQVNYGNTEGIKALRREGAGLEWLDREGKTPLILACLSPDHFNVAKTLIELGANVNAYRPGRHAGTPLHHAAKRGLLNTVKLLLSHGANPLVMNDECLTPLEVARAKGNVTIVRAIEDHICLFSGWMREFYGPGFIEMFAPQLVSRKAWVVVLPTGSRNHTKPFKLELAIYSSLQDAQPRTVIALWKANLEEPKLNQPDPTVAILDNTTISRRRRRRQGIYTSPEARCKPGIARQTRIKLASGNENDRQQLQWFCDACKGIPQVTGPAFLRTSQPPAAQATAPPHAEDLELAMAINASIQTANAETPNFHLHSGNEASSSSSWSSSIGTSGSGSVAAMEPAPSKGSISKWTVTEAGSGSNSTEGTQIDNNNVSAAHKTVQTSGSAPSAPPAMDEIIEDGPIQYPSIDSTPIDLSSSNVENQPDSAPQTSEDGSPASCVICLDAPSEAACVPCGHVAGCMSCLNEIKAKKWGCPVCRAKIEQVIKLYRV >OMO98208 pep supercontig:CCACVL1_1.0:contig07150:14714:19812:1 gene:CCACVL1_04300 transcript:OMO98208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE-type MADPVSYGNSDRDIEQALISLKKGTQLIKYSRKGKPKFRAFRLSPDETTLIWLSHGEEKYLKLSSVCRIIPGQRTAVFRRYLRPEKEYLSFSLLYNNGERSLDLICKDKVEAEVWFGGLKALTGQNRNRRTRSDFADLSQLQGEFFLNGRQFGPALEANNSITRGRVSIDLSSDAGSERASMQLRTSGGDGFRISVSSTPSCSSGGSGPDDIESLGDVYLWGEVWSDGVAPDGSVSSVPVKVDVLTPKPLESNVVLDVHQIACGVRHVALVTKQGEVFTWGEESGGRLGHGIERDFSRPRLVDFLAVTNVDFVACGKYHTCVVSTAGDLFTWGDGAHNAGLLGHGTDVSHWIPKRVCGALEGLQVLSIACGTWHSALATSNGKLFTFGDGTFGVLGHGDQESVAYPKEVQMLSGLKTIKVACGLWHTAAIVEVIGQSGANISSRKLFTWGDGDKYRLGHGSKDTYLLPTCVSSLIDYNFHQIACGHTLTVALTTSGHVFTMGGTAHGQLGNPSADGKLPCLVQERLVGEFVEEISCGAYHVAVLTSRSEVFTWGKGANGRLGHGDIDDRKTPTLVEALKDRHVKNISCGSNFTSSICIHKWVSGADQSVCSGCRQAFGFTRKRHNCYNCGLVHCHACSSKKALKAALAPTPGKPHRVCDACYAKLKSAEAGTTSILSKRVAGPRSSIDGSARSDRGEIRSSRLLLSTSTEPVKYLEIRSGRPGARYDSPSLVRASQVPSLLQLKDIAFPSSLNVLQNAFKPATPPATPPTQSPINSRSNSPYSRRPSPPRSVTPTFSRSVIESLRKSNELLNQEVSKLQNQIKGLRQKCDSQDREIHKLQKNAEVSASCAVGESSKFKEAKEVLKSITDQLKEITEKLPPEVSESETFRNMNTQAEAFLHTYGTSEAASGFPANLESSNMLESNDGGTSSTREAAAQESSQNESRSSDASAIRGGGKEIIEQFEPGVYVTFVYHRNGGKIFRRVRFSKRRFGEHQAEEWWSQNKDRVLLRYSPNANKPASAGPSHTPAPATDETSEAASSAPEA >OMO98205 pep supercontig:CCACVL1_1.0:contig07150:4194:5790:1 gene:CCACVL1_04297 transcript:OMO98205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINSGEEKFDEGRSRICSLPDPIIHYILSFLPTKEAAKTSVLSKRWQFLWMFVTNLEFNEDIWKEHDVKEEETRRNFMSFVERVLFLSDPPNISKFKLSCKVLSDQSRISTWVDAAVKRKVERLILYFPFEDFEPPSLPHCLFTCESLKELYLNFCYDLNLPSYICLPHLKILSLSNIDFLDDHSAQLLFASCPNLVKLTLETCDWRYVKGVHISAPLLEDIDIFEESGPISQSCCRFMISGMKLKFFRYQGNFENDYCVFDAPSLEEAQMLQFHSDDIVDNLEMMQIAAYRCYKLFKGLANVKSLEVTNGSLELLANAEELVSRPLSFPNLKHLAIDEDEYAVDFACIGLLKILQNSPCLESIDFKKGVDLSTYDENNDWTLDPVPPCFLTHLKTVRIRLFSATDEELHVVKTLLRTARVMGELRFSSKLSIETQNVLLTLREESACSITFY >OMO95650 pep supercontig:CCACVL1_1.0:contig07629:1287:2816:1 gene:CCACVL1_05326 transcript:OMO95650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPALTPTSLTQTCPLISYQNPKSQPSLSNPNHQISLKSSDPVVSWTSSLSRHCSAGRISEAAEEFTRMKISGVQPNHITFVTIFSGCAAFPSKSRALGALIHGYVCKLGLDRENVMVGTALTEMYAKCGQMEVAKLVFDVMEVKNSRSWNTMIDGYMRNGEYKKAVEMFDEMPQRNVISWTALINGFAKRGFHEEALDWFREMMIFGVKPDYVTIIAVVTACANLGALGVGLWIHRFVMKQSFRDNVRVNNSLIDMYSRCGCIEFAREVFGKMQKRTLVSWNSIIVGFAVNGFADEALKYFDAMQKEGFKPDGVSFTGALTACSHAGLVDEGLHYFDIMKRVYRISPRIEHFGCIVDLYSRAGMLEQALDIVKNMPMKPNEIVLGSLLAACRDHGNVSLAEKIVKDLVALDTVSDSNYVLLSNIYAADGRWEGASKVRRRMKALGIQKKPGFSSIEICGFVHEFVAGDKSHTETECIYEMLELLSFDLMLSGYAPETVVRGLYESD >OMO95651 pep supercontig:CCACVL1_1.0:contig07629:3973:4725:-1 gene:CCACVL1_05327 transcript:OMO95651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAHILFFFASRQPTFLYNSLIRAYSSLNQFSQSLSIFHQMIIAQKPFDIFTLPPVLKSCGHLSDLTLGTQLHGSVLVRGYSSDLPNNNSLISMYGKCGELECARKVFDDMPVRSYVSWSALMMGYEKVGMAWQVFNLFQKMVEMGMEVDGLTLTTVLTACSREGMVEKGKEVFEMMEGRFGVKPGLEHYTCMVDMLGKAGLVEEAEELVMGMQMEKDDALWNALLAACKIHGKVEVAERVERRFVGQA >OMO95652 pep supercontig:CCACVL1_1.0:contig07629:6396:11022:-1 gene:CCACVL1_05328 transcript:OMO95652 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MESGGDKRRVVIVGGGIAGSLLAKSIQFNADVTLIDPKEYLEIIWANLRNMVEPSFAERSVINHRDYLTNGLVVTSAAINITDTEVLTAEGRLIGYDYLVIATGHYDPVPKTKEERLNQYQAENQKIQSANSILIVGGGPTGVELAGEIATDFPEKKITLVHKGPRLLEFIGLKAGDKALRWLKSRNVEVKLEQEVDLKSIPDGTKVYQTSMGETIEADCRFLCAGKPLATSWLCETILKDNLDKRGRLMVDEYLRVKGHKNIFAIGDITDVPVLMSGGKESKMSTYEPGSAIAMVSLGRKDAIAQFPFTTIGGCVPGYVKSRDFSKSDKWGARVGLVLAGCFPGHATAAAAQSRKMLLQAELKENNEVMNMKSTSSLDGSKSISGHGENSVGWEMRTVPSGPDPLHHNGGSPKKPRVDP >OMO95654 pep supercontig:CCACVL1_1.0:contig07629:49038:54181:1 gene:CCACVL1_05330 transcript:OMO95654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRMDHYEIMEQIGRGAFGAAILVNHKSEKKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKSNGVYFPEEKLCKWFAQLLLAVDYLHSNFVLHRDLKCSNIFLTKDQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPSCYSPSLKTLIKGMLRKNPEHRPSASELLKHPYLQPYVDQYRPSFSCTPTNCSSDKHISSGRDTKKNMAESQNSNSSCSDKDSLRSSDRNTATMVSNSNSKATDTDSISIDDEDGTEQHPPSEEENGLSNCTAKVDEKGLVTDQGSNVQSKQPKTIKSIMMALKEGKVRESGSPMRGTRVKAIGGLTQRNNIEASPKVLRPPASATGFKSNADTPTLASAKSALDSTKRLPGSNMLKHQLPFVDSSPKTKPRHEGIPPPAPGKHVAEDGSSSKPSQRTPPSNVARRSSITGRMKHAGTDVLQASKIPRLGLAEMNQEHETIPRQVANCFSTNASREVKQDPEIALTGTAKGLQTDSSNSVSSSISIQAFEICDDATTPFIDMTEQTRDHETTTHSKSLESNPPSTAPASLQSKMPEVMLRESHMHNHQSVTCSTEESRVAQDHLHFHSIDGRVSMSTPLDLPDLGSEEISIHKDDMPSSRDDAP >OMO95653 pep supercontig:CCACVL1_1.0:contig07629:31801:39331:1 gene:CCACVL1_05329 transcript:OMO95653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEKMKLQLQKEKGEKQTNEVLRKKELKERKAKRKKKVKAHLLPRAIDRRCPEPRQI >OMO95655 pep supercontig:CCACVL1_1.0:contig07629:66119:66814:1 gene:CCACVL1_05331 transcript:OMO95655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQPEESSSTPSSNSSFNTASAPRSQPSNNNNRNSSRRNYLFVHRLIVAILLLFVTVSVVNFIAWRTLNPIPPIFQVNSFHISSTTPFNSSTLNHTYYYRVVFAITNPNKKLKLFLDRFEVFVFHGKTKVSSQRMTLMPPDLSVYYPEKNSPRDFPVRGRMLMISNFLPNNKNEVINFDVKMSFRIRFLAWNWLPKRESMRVHCSHLSVKLLSAKGKGDLIGGREQCSVL >OMO89040 pep supercontig:CCACVL1_1.0:contig08861:7603:9640:-1 gene:CCACVL1_08050 transcript:OMO89040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNSRYYEILGVPKNATQEDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKRQVYDEYGEDALKEGMGGGAGAHDPFDIFSSFFGPGFESKRITNRRGRRQRRGEDVVHPLKVSLEDLYLGTSKKLSLSRNVLCSKCKGKGSKSGASMTCPSCQGSGMKVSIRHLGPSMIQQMQHPCNACKGTGEAIDDKDRCSQCKGDKVVQEKKVLEVFVEKGMQNGQKITFPGEADEAPDTITGDIVFVLQQKDHPRFKRKGEDLFVEHTLSLTEALCGFQFVLTHLDGRQLLIKSQPGEVVKPDSFKAINDEGMPVYQRPFMKGKLYIHFTVEFPDSLSPDQIKALEAILPQKPTSQLTDMELDECEETTLYDVNIEEEMRRKQQQAAQEAYEEDEDMPGGAQRVQCAQQ >OMO89039 pep supercontig:CCACVL1_1.0:contig08861:4022:6885:1 gene:CCACVL1_08049 transcript:OMO89039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTIRKFRLRLLAWLHRSRSGPISFVKKFHYKDVKRATDGFQRIIYSSSHGAAYKARFEGGEVALVKEARAFDEEKDNFYREVQFLGRLHHRHLLALRGFSTGNKRLLVFDNIENGSLKEHFNDPLRTPLNWKARLQIAVGVAAALEYLLLFSNPPVYHISISSSNIMLDENFTAKLTDVGLLSSVGTYVKMPHSSCTEECMGQDCGNIIFQLGVLILELVTGQSSEQGGTDLIQWVQGSRLSSSIHMMIDPDLGNNYDSGELKKLLSVARLCLKSKNNPKFPIPQVFRYLQKKVDIPCD >OMO85412 pep supercontig:CCACVL1_1.0:contig09622:6111:6319:1 gene:CCACVL1_10198 transcript:OMO85412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box SSGSGSFKRSNSLSSRNQKLMSCLVDDGYKSDFGKFRKYHMRHRVCKHHSKDPLVIVEGKELRFCQQCS >OMO85413 pep supercontig:CCACVL1_1.0:contig09622:43124:53241:-1 gene:CCACVL1_10200 transcript:OMO85413 gene_biotype:protein_coding transcript_biotype:protein_coding description:kelch repeat-containing F-box family protein MDALGKTGLIIKQNTLCASPTQTLVKFTFILINLRNNKKTFCVDYKNERSEEKTAEKAKPKELDSKDPPKEKD >OMO85411 pep supercontig:CCACVL1_1.0:contig09622:1603:2103:1 gene:CCACVL1_10197 transcript:OMO85411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLDPIELEKRMLEEEQDDDDIDEENCIYEQEEEEEELELESASSDDEMNVDYGFVHEVLKSSFHSLRHIPEDMKRLVSDLIAEEQQTEQDCDIVRSVCKRLESNTIDMMVEQDLRRDLDGWKRNQEQIREIALEVEYAIFGLLMEELSEELVSLNEVFDGRRKF >OMO54960 pep supercontig:CCACVL1_1.0:contig14841:2685:2804:1 gene:CCACVL1_27445 transcript:OMO54960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLSQPRPSLKLHRLQLSFLFRSCLSLLLLLLQTETLNFP >OMO54961 pep supercontig:CCACVL1_1.0:contig14841:21522:23660:-1 gene:CCACVL1_27446 transcript:OMO54961 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase inhibitor, IATP, mitochondria MAMRSALSLSRLTTATRYRSMGSTRGAIRYMSDGKGRVLSEEERAKETVFIQKMERERLEKLKKKAEKEKAEKEKDGAEKTTEEAHKG >OMO77442 pep supercontig:CCACVL1_1.0:contig10755:5635:5739:-1 gene:CCACVL1_15008 transcript:OMO77442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHTSKGEIKDGLARSTPCEVHFSETNTKKEKPEE >OMO77444 pep supercontig:CCACVL1_1.0:contig10755:12987:14828:-1 gene:CCACVL1_15010 transcript:OMO77444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAAAAAAAATATAAPEEERTIQEELSLPIILADRVSKSAQEAESSKFECSELAKQVDRLSQMLRTFVRLSTNSTSTFYDRPVRRICCDITKTLERALSLTRKCRHSGPLRHLFSITTTADFRKVSNLLESSIGDMRWLLSLFDSDGANLSLPPIASNDPILAWVWSYISTIHMGPLKDRVDAANELASLAKDNDRNKKMIVEEGGISPLLKLLKEGASPEAQIAAANTLYNIATDEERVRLIVDVMGIPIIVAVLGEAQMKVQIVVANLVARMAEMDSVAREEFVRENVTRKLVSLLSADLVLEVAKPQAAKTSIHSIVQMNKEMTDNKSMRNPKLLSVHTSSFSDGSSRGRKEREAESPEVKLKLQVSCAEALWKLSKGSLATSRKITETRGLLCLAKIIERESGDLQFNCLMTIMEITAVAESNADLRRAAFKTNSPAAKAVLDQLLRVIQEESSPKLQIPAIKSIGCLARTFPARETRIIGPLVDKLSNSNVEVAMEAANALGKFASPENFNGSEHSKAIIEFGGVPPLMKLLRSNDQAQVPGLVLLCYLALNAGNSKALEQARALNALEGAARSVVTQHPDLRELFAKAIHHLTLYQAGGHPHRQTFAL >OMO77443 pep supercontig:CCACVL1_1.0:contig10755:11226:11336:1 gene:CCACVL1_15009 transcript:OMO77443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNATLHLNLNATTEAYIPVLLAELPPRVLISRRE >OMO78177 pep supercontig:CCACVL1_1.0:contig10625:16337:21281:1 gene:CCACVL1_14602 transcript:OMO78177 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MDLETGFYHNHVKKESWRTVLTLAYQSLGVVYGDLSTSPLYVYKNTFEEDIKHSETNEEIYGVLSFVFWTLTLVPLLKYVFIVLRADDNGEGGTFALYSLLCRHARVNSLPNCQLADEELIEYKKDSIGVEPKSNFGSSLKSTLEKHRVLQRFLLVLALIGTCMVIGDGILTPAISVFSAVSGLELSMEKEHHKYVEVPVACVILIGLFALQHYGTHRVGFLFAPVVLTWLLCISAIGLYNIIHWNPHVYQALSPYYMYKFLRKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTSLVYPSLILAYMGQAAYLSRHHIIESDYQIGFYVSVPESLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKIVHTSSKIHGQIYIPEINWLLMILCLAVTVGFRDTRRMGNASGLAVITVMLVTTCLMSLVIVLCWQKNVFLAIAFVFFFGTIEALYFSASLIKFLEGAWVPIALAFIFLIVMCVWHYGTLKKYEFDVQNKVSINWLLSLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPHVRPEERFLIGHIGPREYRLYRCIVRYGYRDVHKDDMEFEKDLVCSIAEFIRSGSVTPASVVSEDLMKDDDKMTVVGTCSSHMEGIQMSEDDTDNREEAGPSELKEIRSPPINKPRKRVRFIVPESPKIDSGVREELQELMEAREAGIAYILGHSYVRAKQGSSLIKKLVINLGYEFLRRNSRPPTHALSVPHASTLEVGMIYHV >OMP01381 pep supercontig:CCACVL1_1.0:contig06461:1486:7993:-1 gene:CCACVL1_03104 transcript:OMP01381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MLFISLFIFLILFLSLLFIFAISDGDFTLLSKRHVKHEEIEDKVVWITGASRGIGEVLAKQLASLGAKLILSARNEAELERVRKQLTGKYAPEQVKILPLDLTSGEDSLKEAVEKAESAFPGVGVDYMIHNAAYERPKTTALDVTEESLKATFNVNVFGTICLTRLLTPFMLKRGRGHFVVMSSAAGKTPAPGQAVYSASKFALNGYFHTLRSELCQKGIKVTIVCPGPIETTNGSKASTEKRVSAERCVELTIIAASHGLKEAWISYQPVLSVLYLVQYMPTIGYWLMDKVGGRRLEAASQKGNTYSMSLLFGKKKTV >OMP01382 pep supercontig:CCACVL1_1.0:contig06461:26518:44511:1 gene:CCACVL1_03105 transcript:OMP01382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVISLPLVALSISPRSGCRGFFFLANVSIARALAIPFVFSSRIATETHRGKSNGTRDQDPNFMLMAQARALVIGPCGMAKGSKGRSCPDGPIDGRLWPVAPCDVACDGRSCGRCCAASPSNGQSLVPPNPCSSKLASMTSP >OMO84605 pep supercontig:CCACVL1_1.0:contig09734:26889:27753:-1 gene:CCACVL1_10751 transcript:OMO84605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MANSLLLASWMVLGLVMATSTITQVEAARAFFVFGDSLVDNGNNNFLATTARADSPPYGIDTPSRRATGRFSNGRNIPDFISEALGSEPTLPYLSPQLTGDRLLVGANFASAGIGILNDTGVQFINIIRMYKQLRYFEQYQARLTDLVGPAEAQSIVNNALVLITVGGNDFVNNYYLVPFSARSRQFSLPDYVRYLISEYRKLLMVRNPVF >OMO84603 pep supercontig:CCACVL1_1.0:contig09734:8500:8754:1 gene:CCACVL1_10749 transcript:OMO84603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRKLTFLLAAIAVLLLAAVTTVDARNKVMPFSDVQHRFATVRKDYVSCFPGAYCDESVGAYCCESLMCFYYGVSVGMCVERS >OMO84604 pep supercontig:CCACVL1_1.0:contig09734:16473:20422:-1 gene:CCACVL1_10750 transcript:OMO84604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAMSLGVSFWKVLGLLLALESVFIQSEARAFFVFGDSLVDSGNNNYLVTTARADSPPYGVDYPTGRPTGRFSNGLNIPDLISQQIGLSEPPLPYLSPQLRGIRLLNGANFASAGVGILNDTGVQFLYKATPSLFSLCPPQKKKSLIWHLFVIMLMASSSTFLTFGMVLAFLLALGSIASLAEARAFFVFGDSLVDSGNNNYLATTARADSPPYGIDFPTRRPTGRFSNGLNIPDLISQQIGLPESPLPYLSPEFNGQKLLIGANFASAGVGILNDTGVQFVNILRMYRQFELFQEYKKRLTAQIGSRQAHQLVNQALVLITVGGNDFVNNYYLVPYSARSRQYKLPDYVKFVISEYRRLLMVN >OMO62166 pep supercontig:CCACVL1_1.0:contig13332:9979:11412:1 gene:CCACVL1_22988 transcript:OMO62166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFQERLNRSLVVCQDKFESAKLQQKPDTINELESCVNQSIDDNLKALPHLVGRLKNAFNIRD >OMO62168 pep supercontig:CCACVL1_1.0:contig13332:13534:16281:-1 gene:CCACVL1_22990 transcript:OMO62168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MESKELDLSFSAPLISSSSSRWWSGETVAVVTGANKGIGFALVKRFAELGLTVVLTARDVERGKKAADSLRQDHGLGNVNNAAVVFNEIDENSVEHAESVIKTNFHGAKLLTESLLPLFRFSTSISRILNISSRLGSINKVRNLDVKATLQSERLSEQEIEEVVNKFVEDVKKGTWQSQGWPEIWTDYSVSKLALNAYSRVLANKFEGSRLSINCFCPGFTQTSMTGGQGTHSPDAAAQVAASLALLPPHNLPTGRFFLGFGSYNKSRL >OMO62171 pep supercontig:CCACVL1_1.0:contig13332:69160:69714:-1 gene:CCACVL1_22993 transcript:OMO62171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSVENSVTQSHSRTHKSFLISNYILLGAASSCIFLTLSLRLFPSLCGFFLILLHVITIIAAVSGCSVVTSGSNKCYAAHMVAMVLTSIFQGSVSVLILTRTSDFLGYLKSYVREEDGAIILKLAGGLCVAVFCLEWLVLGLAFVLRYYAFVEGHGVGNGQSNQRNGKVSDEDLKNWPWPFQV >OMO62173 pep supercontig:CCACVL1_1.0:contig13332:85331:92864:1 gene:CCACVL1_22995 transcript:OMO62173 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASTOR/POLLUX/SYM8 ion channel MSLDTESSPSSSRDWFFPSPSFIHSTSNPSQSPNYPRRFTTIPRHSRPSPPDRKPPKTPSFRSVSSSSSAPYGDRKYGRLRRKIEFTGRSEKSPKCEEDGAVSEQKRAVPNAVSGEKTASGEMGVGIAGQRVKVRWQMAFSIAILITAFGSLVHKNFSLHNQVIELQDQISNLNIRLQACNLLDPVDTDSSLQESDHISSKGLKSLALLVSLSLLSIPIFVFKYIDYVSKLRSSDNSSEKVSLNKQLEYWVDIFLSVHPYAKPLALLVATLMLICLGGLALFGVTDDSLADCLWLSWTFVADSGNHANSEGIGPRLVSVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGKSEVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGIVVVMAERDKEEMELDIAKMEFDFRGTSVICRSGSPLILADLKKVSVSKARAIVVLAEDGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGELVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLDGMQFEDVLISFPDAIPCGVKVACRGGKIILNPDDAYVLQEGDEVLVIAEDDDTYAPGALPMVKEASFMHISRPARKPQKILLCGWRRDVDDMIVVLDAFLAPGSELWMFNDVLEHERERKLADGGLDLSRLVNITLVHREGNAVIRRHLESLPLEEFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYREAMVTRGHRGSFSQGSWIGEMQQASDRSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNELHIRQADLYLNEGEELSFYEILLRARQRREIVIGYRVASAERAVINPPAKSERRRWSVKDVFVVITEKE >OMO62172 pep supercontig:CCACVL1_1.0:contig13332:70852:71880:-1 gene:CCACVL1_22994 transcript:OMO62172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVPREGENALSGPRPMEWSTVPFAPQGPDRNGNKRTSSLESPIMLLTGHQSAIYTMKFNPAGTVVASGSHDREIFLWNVHGDCKNFMVLKGHKNAVLDLHWTTDGSQIISASPDKTLRAWDVETGKQIKKMAEHSSFVNSCCPSRRGPPLVVSGSDDGTAKLWDMRQRGAIQTFPDKYQITAVSFSDASDKIFTGGIDNDVKVWDLRKGEVTMTLQGHQDMITGMSLSPDGSYLLTNGMDCKLCIWDMRPYAPQNRCVKILEGHQHNFEKNLLKCAWSPDGSKVTAGSSDRMVYIWDTTSRRILYKLPGHTGSVNECVFHPNEPIVGSCSSDKQIYLGEI >OMO62164 pep supercontig:CCACVL1_1.0:contig13332:2331:5705:1 gene:CCACVL1_22986 transcript:OMO62164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRSCLSLIMIMMLCCVFTCAVLGDDSSDKDVLVEFKKAVLDPSGLLSTWTESSHHCSWHGVICDKNSKVLSLNITGFGSGHGGEIPIALSLCKKLRYLDLSSNKRLTGELFQELPVPCLSVFDVSDNLLSGSIPEFYVDDGCPDVLTSDSYALEPFNPTSAYLSYFATKTQAGKPFGYFGGDGGPAVFHNFGGNNFTGNVLSMPLAPQRFEDQISYAFFAGGNLLSGPFPGSFFEDCNGLSALFINVSYNRMSGQLPAAEISLCKSLHFLDVAANQITGPIPPGVGDLASLVALDLSRNLLEDQIPISLGQMRDLTFLSLAGNNLTGSLPSSFQQLQALEILDMSLNSLAGEIPDGLLMSLTNLIVLRLNNNKLSGQIPSGLANASLIYFNVSFNNLSGPLPSRMKLMDCSSLVGNPFLDPCLASPDQAIAAQMRNYTGHTAREKIFNTTEVAVIVSVPAIITLVPALLSFFVYGRKWISKLKRLGHGEEEITIFTDIGVRLTYEGILRATQDFSASNCIGNGGFGSTYKAEMSPGFFVAVKKLGFGRMQGFEQFEAEINTLGKLRHPNLVTLIGYCAGDEMETFLVYNYLEGGNLDKFIREGSARGVDWKILHKIALDVACALAYLHNQCVPRILHRDVKPSNVLLDDEYNAYLSDFGLARFMEETSRSRSHMTIEVAGTYGYVAPEYATLGHASEKADVYSYGVLLLELFSDKKAEDPSFADYGYDFNIVQWCCLLRQQGKVKDFFAAGLWDSNHQNELEEIFQLGLVCTLSDHSIRPKMEQVERRLKQLQPR >OMO62169 pep supercontig:CCACVL1_1.0:contig13332:39787:44341:-1 gene:CCACVL1_22991 transcript:OMO62169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta subunit-like PLP-dependent enzymes superfamily MAAASASSSLLFNPLTSPLCNSKKKPFADLSPDTLKLGSFGFTANSAATSLRLKKLNYGKGSSSAFSVVCKAVSVKPDTEIEGLNIADDVTQVIGVEPTESNILSGGKPGPHKIQGIGAGFIPGNLHQDVLDEVIEISSDEAVETAKQLALQEGLLVGISSGAAAAAAMKVGKRPENAGKLIARVSGLLGTG >OMO62163 pep supercontig:CCACVL1_1.0:contig13332:1874:1969:1 gene:CCACVL1_22985 transcript:OMO62163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPAEINPCFNLLHAVRKLHFDSEIEIPKD >OMO62167 pep supercontig:CCACVL1_1.0:contig13332:12228:12410:-1 gene:CCACVL1_22989 transcript:OMO62167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFSGYNSLAPKTKNVVVAGGLAAFVFGVYFYTMRAVGGTDELQVAIDKFEEKKRQEAK >OMO62170 pep supercontig:CCACVL1_1.0:contig13332:67166:67228:-1 gene:CCACVL1_22992 transcript:OMO62170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGVHRSVGTEKADRPTC >OMO62165 pep supercontig:CCACVL1_1.0:contig13332:6516:9192:-1 gene:CCACVL1_22987 transcript:OMO62165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNGLFLPQQQQPEPQKQKPLQTHYPSPDRLVAIGDLHGDLDKSKQAFRLAGLIDASDRWCGGSTTVVQIGDVLDRGGEELKILYFLEKLKREAVKCGGQLITLHGNHEIMNVEGDFRYVTPKGLEEFDVWAYWYGVGNDMKSLCHGLEKPKDPFHGIPLSFRGVPEKFYHSIRSRIAALRPDGPIARRFLANNVTVLVVGDSVFVHGGLLDKHVDYGLEKINEEVRDWINGLMGRRAPAYCKGRNAVVWLRKFSDELAKNCDCSLLEHVLATIPGAKRMIMGHTIQEIGINGACDNRAIRIDVGMSKGCINGLPEVLEINRNSGLRVLTSNPLYQNQTKSYADGGRKDSLGLLMPEHGPKQVETGHAIRYLSQFVVGFSIGFNSVWQLTLLTLAVVPLIAIACGAYTIIMSTLSEKGEAAYAEAGKVAEEVICQQIKRLKAIFLYMKSKFVEKLE >OMP02233 pep supercontig:CCACVL1_1.0:contig06293:2067:4853:1 gene:CCACVL1_02859 transcript:OMP02233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase FMO MSPASQSLISRHVAVVGAGAAGLVAARELRREGHSVVVFERGNEVGGTWVYTPRVEPDPLGLDPDRPIIHTSLYSSLRTNLPREVMGYRDFPFVTRPGENRDPRRFPGHREVLLYLKDFAREFGIEEMVRFETEVVKVGILENGKWEVRSKKTTSFDNENNDTRNHDYEFVNISEVEIYDAVVVCNGHYSEPRIADIPGVNLWPGKQIHSHSYRLPEPFTDQVVIVIGSSASAVDICRDIAGVAKEVHVASRSLADETYKKQPGYDNLWFHSMIDSAHEDGTVVFRNGKVVLADIILHCTGYKYHFPFLETKGIVTVDDNRLGPLYKQIFPPALSPWLSFIGIPWKIVPFPLFELQSKWVAGILSGRITLPSQEEMMDDVMAFYSTLEASGVPKRYTHLMGDSQFDYCNWLATQCGCEEYEEWRKQMYHATSKNRIPRPETYRDEWDDHDLVLEAHEDFVKYTSKQKS >OMP02235 pep supercontig:CCACVL1_1.0:contig06293:12250:13950:-1 gene:CCACVL1_02862 transcript:OMP02235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKENGGGASSIPHWLTILLGEKFFDPCLVHQSEKKNEKNIFCLSCCTTLCPHCLPVHRPHRRLQIRRYVYQDVIRLNDAQKIINCSLVQPYTTNSAKVVFLNERPMSRPFRGSGNLCINCDRSLQDPFLFCSLSCKVNHLKTAKHGGKKLDQSDRQMTPDSVLDSPVSKSVGSHSSTCTSNGGNGSAINCKSSLLACTATTEFVNKIKKKRSHISMRRISCRQEAAAAAESISRRKGVPHRSPLN >OMP02234 pep supercontig:CCACVL1_1.0:contig06293:5945:8823:1 gene:CCACVL1_02860 transcript:OMP02234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase FMO MSPAPQSAKSRHVAVVGAGAAGLVAARELRREGHSVVVFERGNEVGGTWVYTPRVEPDPLRLDPNRPIIHTSLYSSLRTNLPREVMGYRDFPFVTRPGRNRDPRRFPGHREVLLYLKDFAREFGIEELVRFETEVVKVGILENGKWEVRSKKTTFDNENNDSCNLDSEFVKSSEVECDDEIYDAVVVCNGHYSEPRIAEIPGVNLWPGKQIHSHSYRIPEPFTDQVVIVIGTSASAVDICRDIAGVAKEVHVASRSVADETYTKKPGYDNLWLHSMIESAHEDGTVVFRNGKVVPADIIMHCTGYKYHYPFLDTKGFVTVDDNRLGPLYKHIFPPALAPWLSFIGIPWKIVPFPLFELQSKWVASILSGRVTLPSKEEMMDDISAFYSTLEASGIPKRYTHRMGDSQYEYNNWLATQCGCEEYEEWRRLMHHATSQNKRIHRPETYRDEWEDHDLVLKAHEDFVKYISMQIS >OMO94043 pep supercontig:CCACVL1_1.0:contig07995:1733:1852:-1 gene:CCACVL1_06213 transcript:OMO94043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTNRRQSQSECRCGFWPTKGWGGLGCCGSHFKWGDVV >OMO94047 pep supercontig:CCACVL1_1.0:contig07995:23373:29211:1 gene:CCACVL1_06217 transcript:OMO94047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rcd1 MNAPFGGPSASNPTAAGAPANKDRKMQSAEHLVLDLSNPDLRENALLELSKKREIFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLSPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSSCLREDQTTRRWLQQLLHNVGVNRVPTLQAGGGFDHMLVN >OMO94046 pep supercontig:CCACVL1_1.0:contig07995:16666:19142:-1 gene:CCACVL1_06216 transcript:OMO94046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELEDIAADISSDLEVDDLRCENIAEKDVSDEEIEPDELEKRMWKDRIKLKRIKERQKIAAQQAAEKQKPKSTSDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGASENIRAWWKEKVKFDKNGPAAISKYEAECLAMSESDSNKKGNLQSSLQDLQDATLGSLLSALMQHCDPPQRKYPLEKRIPPPWWPTGNEEWWVKLGLQEGQSPPYKKPHDLKKMWKVGVLTAVIKHMSPDIAKIRRLVRQSKCLQDKMTAKESAIWLGVLSREEALIRQPSSDNGTSSITETPPGGRRNKKQPAVSSDSDYDVDDADDGVGSVSSKDDRRNQPTDAEPVAYISNDDSHPVREQELGEKHPRKNKKRRLGSSYGDQQPGPSEEHVNTELRSPLPDINTDVSFLEYDIPGTQQENNASTAFGHVETDLAVQSQRVPESGYGHYPTIPSANNVSTQSMFVDGRPMLYPLLQDGEMHHNAAYEFYNPSVEFRPGHDGQQSQMEINVPQITPENGVHVSASGRNENEITGGELHPYMEAIFPNDQERAVHNPFGSPSDHSLFGALNSPFGLGIDDASLELYDDELMEYFGA >OMO94044 pep supercontig:CCACVL1_1.0:contig07995:9395:12202:1 gene:CCACVL1_06214 transcript:OMO94044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDLNLLFSSSLLSSPITLAVTSSIASYFLIPILLGARRQNWKNAPPGPVGLPIFGSLFHLSQRFHEEFYELAKIYGPLFSLNLGLKPAIVVSSPEMDMEVMKEKEAEAAFTVLANLVSNIVCSKSLFDNSKPEGRKMKEMFSELIKVIGTPNFSDLIPVVKPLDTQGLKRRISRVFNQLDAFYEKLIEERLAERAGKAEKLENVANNFGKKDMLDVLLSFKSNDKENGLEQFPRKIIKGMLSEMFIAGTETSSSTVEWGMTEVLKKPDVLKKLVQELDQVIGNNRFMVESDIPNLPYLQATVKEVFRLHPAVPLIVPRRSNEACEVAGYHIPKHCIVYVNVWGMARDPKVWDNPLEFKPERFLGSTVDVKGQDFNLLPFGAGRRSCVGWPLAHRIVHYYLAALLHAFEWESPPEILNDMHERIGLTIQKDKSLLSTPKPRLPPSVYEYN >OMO94048 pep supercontig:CCACVL1_1.0:contig07995:30169:37773:-1 gene:CCACVL1_06218 transcript:OMO94048 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MDQGKLIEDQFSKLHPSLPVNTSIGIIGAGPSGISAAYALIKLGYTNVTLLDKYHAVAGMCESVEIEGKIYDLGGQVLAANSAPVIFHLAKETGCELEEMDSHKLALIDSSTGKYEDTKVADDYVSVVSMTLELQDKAKASNRIGVHAVSDLAAELTPTYLEAHGFKSVPQSVAYGYTASGYGFVQDMPYAYIHEFTRTSMAGKIRRFKGGYGRFWEKLSKSLPVKVLCNTEVLAVRRNSLGVSIDVKNINGDSEVMEFDKIIVSGSFPFKNSKTYRSPLPDTKETETEVMDLDDLEKELFSKVITIDYYTTVLKIKGLEDMPVGFYYFGEYMSDPAMIGNPVAMQRFFGDSDIFLFWSYGNSMDIQAPTVCELAIKAVESMGGKVEQVVLQRRFKYFPHVSSEDMKNGFYDQLESELQGKRNTYYVGGLMAFELTERNSSYAMALICKHFANNNSAPVFPYVKSLFPMQSDFAKKKPKELGEQKGVEFPDLSSLDGYLKHWGTHEVTQSKTLYTWLNDEGVAVCQRTFAALHTNASFIAHKILTSRKPVIRPGDRVLLVYVPGLDFIDAFFGCLRARVLPVPVLPPDPLQRGGQALLKIENIAKSCNAVAILSTIVYHSAVRAGLVKSLLSLSGKKGKSTSSWPNLTWLHTDSWIKNFSKLLPNDMADQPEPQTNDLCFLQFTSGSTGDAKGVMITHGGLIHNVKLMKKIYRSTSKTVLVSWLPQYHDMGLIGGLFTAMVSGGSAILFSPMTFIRNPLLWLQTMSKYQATHSAGPNFAFELMVRRLESNKVQNYDLSSLIFLMVAAEPVRQRTLKRFLELAQPFGLSQDAMAPGYGLAENCVFVSCAYGEGKPILVDWQGRVCCGYVTPDDQDVDIRIIDPETGLELEEAGKEGEIWISSPSAGIGYWGKEEYSHQTFRNELKNHPGRIYTRTGDLGRIIEGNLFITGRIKDLIIVAGRNIYSADVEKTVESSCELLRPGCCAVIGVPEEVLLEKGISVDGSDNVGLVVIAEVRDGKPVNKDDVEHIKTRVTEEHGVNVAAIKLIRPKTISKTTSGKIKRFECLKQFTEGTLNIVPDPTSSKRKLVRSFTTGTCAEGKTPRKLVSSPLPAPRLRNKEIVEFLKGLVSELTGIPTKSISATESLVSYGIDSIGVVRAAQKLSDFLGVPVGAVDIFTATCIADLANFSENLVAKSKPELLTNSSVSVQPEADLDSDEFLDEVSTHHQAGIWFIQSLALIFVSIMLSVPAYLSVSAFMTFTSMNQTIIDRMQWVAYLAYLACAPLVWVLCIALTCIGIALFGNPFLRPNYALSHDISIWSFDFVKWWALYKVQQISSQVLAEHLRGTVFLNYWFKMLGARIGSSVVLDTVDITDPSLVSIGDGAVIAEGALIQSHEVKNGILSLNLIRIGRNSSVGPYAVIQKGSVLGEEAEVLPLQKTEGGIPITRSAKANNSTVLSSATPNKTLSDFFGVYLVGFLSSFSAAIVYFIYIWLSTNPPSLQHFAFICICGALHWIPFTIIAYVTMFGSVTLNPASFAISVAVAYLSHGLILSFLTCTLARLLTERQHSKQSQFKIFLIHRITIACHHRFAKLLSGTEAFCMYLRLLGAKIGQHCSIRAINPVSDPELVKIGAGVHLGDFSRIITGFYSHNGFIRKKVEVQDNSVVGSQSLVLPGTSIEKDVILGALSVAPQNSVLQSGGVYVGSQTPIMVKNTKHALDDRIEEMDMKYKKIVGNLAASLAVTTLKVKSRYFHRIGVGGNGYLKLYDKIEGFPDHKIFQPGKSYPVVVRHSNSLSADDDARIDARGAAVRILTDDVNGKSSPLLDLTLKTGNAFYARTLADFATWLVCGLPAREEHVKRVPHIRDAVWMSLRNANSYADLHYYSNFVRLLRFEDGEERYVKFKLRPYDESISEDSGKVEPTAILPPETGAIPRDENDTRPLLFLADDFLRRVNSSGVRYIFQLQIRPVPQDEAARDIAIDCTKPWDETEFPYINVGEINIEKNLTKEEAEALEFNPFVKCHEVDVIRATTSSQSASIDHGRSLIYEICQRLRNKEPLPEAWRIFIEQSDVKVDLSGCPMAAALEKKETGKVTLERTWIQTSWAIFFQPLLQTMLPYFLLGLSIFTPLSFVLFMKESKKFPLHWLLPLLWVSSGLTAALTCVLAKWVLVGKKNEGDTVQIWSKETFMDTIWQAYRTLVGNYFMEMTSGSILFVLWMKLMGSDIELDQGVYVDSVGALLNPEMVEIERGGCIGREALLFGHIYEGEGGKVKFGKIRVGEGGFIGSRAVAMPGVRVESGGSLSALSLAMKEEIIKSR >OMO94049 pep supercontig:CCACVL1_1.0:contig07995:45730:48039:-1 gene:CCACVL1_06220 transcript:OMO94049 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MQKPPQAVDFALKETSPNIGAGQVGGDKLSCTYDLVEQMQYLYVRVVKAKDLPGKDVTGGCDPYVEVKLGNYKGVTKHFEKKSNPEWNQVFAFSKDRIQASVLEVVVKDKDVVVDDLIGKVMFDLNEIPKRVPPDSPLAPQWYRLEDRKGNKAKGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVANIRSKVYLSPKLWYVRVNVIEAQDLVPNDKSRFPEVYVKAVLGNQALRTRISPSKTINPMWNEDLMFVVSEPFEEPLVLTVDDRVGSNKDETLGKCVIPLQIVQRRLDHKPVNSRWYNLEKHVIVDGEKKETKFASRIHLRICLEGGYHVLDESTHYSSDLRPTAKQLWKPSIGILELGILSAHGLMPMKNKDGRGTTDAYCVAKYGQKWIRTRTIVDNFMPRWNEQYTWEVFDPCTVITVGVFDNGHMQGGSGKDARIGKVRIRLSTLEADRVYTHSYPLLVLHPSGVKKTGEVQLAVRFTCSTLINMLHMYSHPLLPKMHYIHPLSVIQLDSLRHQAMQIVSMRLSRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMGVLSGLIAVGKWFDQICNWRNPLTTILIHILFIILVLYPELILPTVFLYLFLIGIWNFRWRPRHPPHMDTRLSHADAAHPDELDEEFDTFPTSRPSDIVRMRYDRIRSIAGRVQTVIGDLATQGERFQSLLSWRDPRATTLFVTFCLVAAVALYVTPFQVVALLIGLYVLRHPRFRHKLPSVPLNFFRRLPARSDSML >OMO94045 pep supercontig:CCACVL1_1.0:contig07995:13319:13943:-1 gene:CCACVL1_06215 transcript:OMO94045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMRAFQIVLIILMVASLPLLLSSRTSNRLLGETTMAVDTSAMRKGLGSNYWFKSLGRRGSAFIGEKRQVPTGPDPLHHNSNPTGP >OMO60527 pep supercontig:CCACVL1_1.0:contig13713:9520:12597:-1 gene:CCACVL1_24075 transcript:OMO60527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQIKGDDSVLLRVTHSNLKNFAADIRFSLQMSVEAVKDKLWKKCGCSVNSMRLELYDDCKNKLCDLSDDSRPLGFYSPLDGFRIHVVDLDPSSVTSGGWLEDTSLVEKYTISEEDYNKRSGTFRKYKENLASQNPSAFRNKISDDYMEDLCANIKVGDRCEVEPGEKRGVVKYVGRAEALGPGFWIGVQLDEPLGKHDGMVKGTRYFQCPPLCGAMVRPDKVKSTFRGFLADPLTLLYHHFEQFPYVANENM >OMO55408 pep supercontig:CCACVL1_1.0:contig14708:10922:15703:-1 gene:CCACVL1_27264 transcript:OMO55408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEILEQIGKGAFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEMDLISKFRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGMLFSEEKLCKWLVQLLMALDYLHLNHVLHRDVKCSNIFLTKDQDIRLGDFGLAKVLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMASLKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPSAEELLRHPHLQSYVLKIHLKINNPRRNTLPGHWTETNMKKTRFSDPVDVHFSAYRERRRSFSNDRTLNPSISGAEQDSVCSTKGIHHNAPGYLNRRLEDLSIDSSHEGTVICKPITSKVSSMTKNPRRPLTKASSTPKKKTEPLKTRDSFTATRTPIKKALPTTRRASLPLPTRNTREPSSISTAGILHGIQSPDVSVNAPRIDKIAEFPLASYEDAFFPVHKTSSVSAQGSSGSPQYVDRSITKDKCTVQVCDQASIKPHFSDAWQGIQRSMFQVDGDDGTDSSDQNATAGASSHASSDTRRRKFDPSSFQQRAEALEGLLEFSARLLQQARYDELGVLLKPFGPGKVSPRETAIWLTKSFKENTTKPED >OMO55409 pep supercontig:CCACVL1_1.0:contig14708:18580:18657:1 gene:CCACVL1_27265 transcript:OMO55409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWTLERGLGLSFYNGWALRVWFCW >OMO55407 pep supercontig:CCACVL1_1.0:contig14708:8168:9738:-1 gene:CCACVL1_27263 transcript:OMO55407 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MAMTNITSSRRKKSKATYGAVMITRVVEHAAATRIQAAFRAHLARKALHALRGLVKLQALVRGHLVRKQTTATLRRMHALMAIQVRARFQRIQMAEEPKLAVKSHSSRYGRFPQEVGFQRAQRDPVDINLYEKHAMVKSQNGYMNHSQIKRIEHGITTFYSGELSISKREQKYEEFSFTTHNSPRHSPPMSKTTPGRSSFSSQEFPSMPSYMANTESSRAKLRSQSEPKQRPTLNYKAKGKNTASAEDMNDYDSIQQQYSSSQSKGVADENQEPWFAKVYRSTRAPYDNDGYTSGRTSYSEYRKSLVRDEPHATLF >OMO55406 pep supercontig:CCACVL1_1.0:contig14708:1571:7177:1 gene:CCACVL1_27262 transcript:OMO55406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, TruD MTTITESDVGILCFISNLPGFRGILKQRYSDFIVNEVDTEGNVVHLTSLDAPPEVVEERETKISDQLNKDYASEIEKFRLLAGDTDADRLEALINQITSGSEEDISPIVLSPDSDKSHRTAMHNFFKENLRFLVTDTVDGPDSSSKCIRVRLNSGNNNGGRNSKKRKQRGDKPYDSRGSSHWPENLGRFLRFHLYKENKDTQEALGLIGKMLGIQPRSFGFAGTKDKRSVSTQRVTVFKQHASRLAALNERLIGIKVGDFCYVKDDLLLGQLLGNRFTIVLRGIVADSEEIIKESAESLGRHGFINYFGLQRFGSGSIPTHRIGATLLKGEWKVAREYYKETGDIEGTLRKLPRHLVAERAMLQCLKKCPGNYLQALKAIPRTLRMMYVHSYQSYLWNHAASMRVQKYGSNEVVLGDLVYCKGNDAENVKVVPSEHEDNNLEDADDCSQLDEISGTDLPEGKINPVKVITEADISTGNYTVEDVVLPMPGSRIIYPANDIANVYHDMAKKDGISLTESVHNIKEFSITSMTGSYRRVFQKPIDFEWELLSYTDSSIALSETDLDKIAKTKPLNLIKDEKPTNGTEGQDPLDHIEQLESCEDDKICSTGNVDVECSREDEPPEGAGKSDSQETQKALKLALTLHSSCYATMAIRELLKTSTSVAFHKTLNQ >OMO55405 pep supercontig:CCACVL1_1.0:contig14708:118:1045:-1 gene:CCACVL1_27261 transcript:OMO55405 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat protein 35-A-like protein MVSKTDETQLNRLENQVDNGGGGAWEYLCLVQKLKVRRSEKVLKHGLSILNDPKKRSALGHEEWTLYEQVAIAAMDCQCLDVAK >OMP11424 pep supercontig:CCACVL1_1.0:contig01299:4507:6142:-1 gene:CCACVL1_00525 transcript:OMP11424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MIAGSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDPRCLNHMFAAEIYMHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFLVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLKEGSITIPPYAPPQKMQTHLIPEKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEEIGVFVDEKDVPNLDTILTSIPPEVILRKQRLLANPSMKQAMLFPHPAQPGDAFHQVLNGLARKLPHDKSVYLNPGEKVLNWTAGPVGDLKPW >OMP11423 pep supercontig:CCACVL1_1.0:contig01299:209:2480:1 gene:CCACVL1_00523 transcript:OMP11423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromophore lyase CpcT/CpeT MGKDSDSEPDSNGWGRARGLVVKTLVLIGGAILLKRFTKSTTRWDHARIVARSLNGEKFSREQASRDPENFFNIRASTCPAAELVDGSKVLYFEQAFWRTPQKPFRQRFFMVKPCPKDQKCDVEVSTYAIRDMEEYKNFCDRPRDQRPLPEEVIGDIAEHLTTIHLRRCERGKRCLYEGSTPPGGFPNSWNGAAYCTSELSILKNNEIHTWDRGYDDDGNQVWGVREGPYEFKPAPASSFNGMFSPLNFPASQPMEKRIEGSFVLQE >OMP05933 pep supercontig:CCACVL1_1.0:contig05126:11292:15013:1 gene:CCACVL1_01786 transcript:OMP05933 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA modification GTPase MnmE MALLPSLRALITHFYRPTKPFPFPKLLLSSPKKLSFLFPLSPKPQPLKPFRPLASTRQETTFVSNNDERLVGLVSVDRGDSIQPHSSTGTIAAIVTSLGGPPAAVGIVRLSGPTAVDIAARVFVPAKKKKKKKKEKFDSGSASWRPTSHVVEYGVVLDSQGNVVDEVLAVPMLAPKSYTREDVVELQCHGSEVCLRRVLKACLEAGARLAEPGEFTLRAFLNGRLDLSQAENVGKLISAKSVAAADAALAGIQGGFSSLVRSLRGQCIDLLTEIEARLDFEDEMPPLDLNLIMDRIHAMSEAVEDALETANYDKLLQSGLQIAIVGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEASVTVSGVPVTLLDTAGIRETDDVVEKIGVERSEAVAMGADVIIMTVSALDGWTIEDTKLLDRIQSHKRSTSIPMILVINKIDCASSACSGWVDKEAKSFTKHVLTCAITGQGIQDLEKSISEIVGLNQIPAGGRRWTVNQRQCEQLLRAKEALERLKSSINEELPIDFWTVDLKDAALALGQISGEDISDEILSNIFGKFCIGK >OMP05934 pep supercontig:CCACVL1_1.0:contig05126:15780:16071:1 gene:CCACVL1_01787 transcript:OMP05934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKCVSLVGILFLMCWHASKSEAAERCTASTRSLDLKHSANKYKDPEQPVEVRVEDLLGKMSLEEKIGQMAQLERQDLTADIVKNYFIGSILSGGG >OMP05932 pep supercontig:CCACVL1_1.0:contig05126:5547:9358:1 gene:CCACVL1_01785 transcript:OMP05932 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate anion transporter MASKGHPDNGDVETKEMDIRSLSSSRRVHNSGSLHKVGVPPKQNLFREFTATVKETLFADDPLRPFKDQPRSRKIILGIQTIFPIFEWGRDYNWKKFRGDLIAGLTIASLCIPQDIGYANLANLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTMLSNEIDPKNKVEYRRLAFTATFFAGITQATLGFLRLGFLIDFLSHAAIVGFMGGAAITIALQQLKGLLGIKKFTKKTDIVSVMHSVWGSVHHGWNWQTILIGVSFLTFLLFAKYIGKKNKKFFWVPAIAPLISVVVSTFFVYITHAEKKGVAIVKHIDEGINPPSVRQIFFHGEYLLKGVRIGIVAGMIALTEAVAIGRTFAAMKDYQLDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAASNIVMSCVVFLTLKFITPLFKYTPNAILAAIIISAVVGLLDFQAAILIWKIDKFDFVACLGAFLGVVFASVEIGLLIAVSISFAKILLQVTRPRTAVLGKLPRTTLYRNIQQYPEATKVPGVLVVRVDSAIYFSNSNYVKERILRWLMDEEERVKAACEPAIQFLIVEMSPVTDIDTSGIHALEELHRSLQKKNVQLILANPGPVVIDKLHASDFANLIGEDKIFLTVADAVSSCCLKPVEEEV >OMO69038 pep supercontig:CCACVL1_1.0:contig12132:266:433:-1 gene:CCACVL1_19678 transcript:OMO69038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARNLEKMASIDAQLRLLAPGKVSEDDKLVEYDALLLDRFLDILQDLHGEDIRET >OMO86531 pep supercontig:CCACVL1_1.0:contig09465:14993:19456:-1 gene:CCACVL1_09573 transcript:OMO86531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAFFVYYLFFLTATISAVFFLLLRSSRLRRLRLPPGNLGLPFVGETLQLIAAYKTENPEPFIDERVSRFGSIFTTHVFGEPTVFSADPETNRFILQNEGKLFECSYPGSISNLLGKHSLLLMKGSLHKRMHSLTMSFANSSIIKDHLLVDIDRLVRLNLDSWTDRVFLMEEAKKARTKVAEALSLIVRERRKEFERGERKNDMLAALLATDNNFSDEEIVDFLVALLVAGYETTSTIMTLAVKFLTETPLALAQLKEEHEGIRAKKSESEALEWSDYKSMPFTQCVVNETLRVANIISGVFRRAMTDINIKGYTIPKGWKVFASFRAVHLDHDHFKDARTFNPWRWQNNSGVTCPGPGNIYTPFGGGPRLCPGYELARVELSVFLHHLVTRFSWVPAEEDKLVFFPTTRTQKRYPINVKRRNASS >OMO86530 pep supercontig:CCACVL1_1.0:contig09465:9853:14260:1 gene:CCACVL1_09572 transcript:OMO86530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSFDLTESNGDDSRRSLTPKEQVEWVPLQNHPVFTSADGSGATAKSSTSALAPKNLLAWDGASRLYYWDSDKQCLHRISIRLGEPEPTSVVAASPSKVLQADVELDFEVNTISINRNGSALLLAGSDKLCVMYLYGRSSTKDNTIICRTVLVGSQIYSSDSSAIRILQASWHPCSDTHFGVLSSDSVFRLFDLSSDVMQPEQEYYLQPVEPGRSRSAASICPVDFSFGGDHLWDRFSVFVLFSDGSVYILCPVVPFGSVYKWESILEMYSDAHTFGLKSANMAAVSNSNMAISWLEATFPELAQEEAHGENPSTIKAHSHALFDASLALQGPLHKVCRSGEDEALAVRGAECEGRAVSFLYNLVSKDSILVTAWSGGQLQIDALADEIQPVWTTGSAPRLRVDSHDHIQGVAMICESISDELPIVKLDQPLDHTVWLGHPPPLLRLAIVDLALPRKMESSSLITMFADPLMPERIYALHEGGVDSVVLHFLPFTSQTSGKDDTIKTPSVHPVLSTCQGETSSPSPLVGFVSLSDSFGYSWVVVVTSAKECVVLEMKTWNLLLPIQVDQEKPVSLEEQKEKDTPDLISKELLAGPKSVLAPQASPNLRSIAADSIEGRSALHQYFKLFHENYVEYAHKVYFELKHHGPQLKRIIDDQNARLDDAQQKISKVEAKQSMLDERIDRAVDLHNSLEQRLQHLRSLPGAHKKPLSRAEQQFKSELDQFTGVELDAMQSSIDTLRARLRRYTQSSKDKLANQRRKMPGRNHGQDAQISQLKLSLAKLSLVNSESSKKVKLLESALKSKESSTNRAISS >OMO86529 pep supercontig:CCACVL1_1.0:contig09465:2980:9250:1 gene:CCACVL1_09571 transcript:OMO86529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAESINFGNVTLQELQSSPDDDGFIVDDVEDEDEERADSDAERMERKKKRKIKESEDLDEDDYELLRENNVNIPKGSKKFKRLKKAQKALDDDQFGLYDDELDGDYSKGGLTAEEKVKRTLFDDDDDDYGQSLEDIAEDEVQIDDEDGDMGEDDDDMRDFIVDEDEVDEDGAPFRQEKFKKKKLRQATDASQSALLDAQEIFGDVDEFLKLRKDGLNSSEWIERRLEDQFEPTVLSEKYMTEKDDQIRLADIPERMQLSEESAGTPPIDARSLLDESAWIHKQLTSGAVPLFGKERQGSSTNEYKAANGLSINKDDILRFLELTHVQKLDIPFIAMYRKEQCLSLLQDPEQHGVDDVDQEKAEKTPTIKWHRVLWAIQDLDHKWLLLQKRKSRLQLHYNKRFEEESRRVYDETRCNLNQKHFDSIIKALQEAESEREVDDVDAKFNLHFPPGEVDVDEGQYKRPKRRSQYSIYDKAGLWKVVSKFGCSAEQLGAHLSLETLLMGDDYEKETPEEMASNFTCAMFETPEEMASNFTCAMFETPQAVLKGARHMAAVLISCEPSIKKFVRSFYMEYAVVTTSPPPDGKIAIDSFHQFAGVKWLCEKPLSKFEDAQWLLVQKAEEQKLLQVTIKLPEKSLNTFLHKYFYDAYFGKNVNRWAQQWNDQRKLIMNDAFNGFLLPSMEREARLLLTSRAKNWLLTEYGKVLWNKVSVGPYPKKENDMDSEPRVMACCWGPSSPATTFVMLDSSGEVLDVLYTGSLQSQNANDQQSKKDDQQRLLKFMTDHQPHVVALGAVNLSCTRLKDAIYEIIFKMVEENPRDVGHGMDELTIVYGDESLPRLYENSRISSDQLPGQSGIVKRAVALGRYLQNPLAMVATLCGPGKEILSWKLSPLENFLTADEKYGMIEQVMVDVTNQLGLDVNFALSHEWLFGPLQFISGLGPRKAASLQRSLARLGTVFTRKNFETHGLGNKIWVNAVGFLRICGVDLLDDTRIHPESYLLAKELVKDIYHEHLEGINEEPKNFNDEIEQIRAQPSLLKRLRLDKYLESKSKKRQNKRETFEDIRRELIQGFQDWRKQYQEPSQDEEFFMILGETEDTLAEGRIVQATVRRVLGNRAICVLESGLTGMIMKEDYADDWRDIVELSDRLHEGDILTCKIKSIQKNRYQVFLVCKDSEMRSNQYQHIQNLDPYYYEEQSSLQSEEEKARKQKELAKKHFKPRMIVHPIFQNITADDATKFLSDKDPGGSVIRPSSRGPSHLTLTLKVYDGVYAHKDIVEGGKEHKGITSLLRIGKTLKIGEDTFEDLDEVVDRYIDPLASHLKAMLSYGKFRKGNKKEVDELLKIEKAENPMRTVYSFGISHEHPGSFILTYIQNTNPHHEYIGLYPKGFKFRKRMFEDIDRLVSFFQRHI >OMO99443 pep supercontig:CCACVL1_1.0:contig06896:3140:3397:1 gene:CCACVL1_03800 transcript:OMO99443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTTNPQKGTCAPIKLLKEDTCPNNQVKRRNTPLRLAKTISANETVSANGTLNPVVRRNTPLRLAMTVSANETVSANGTLKPIREP >OMO99444 pep supercontig:CCACVL1_1.0:contig06896:5818:6033:-1 gene:CCACVL1_03801 transcript:OMO99444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVPRRRTTGNKLCAEASGDSRAPDEYNMVRL >OMO84160 pep supercontig:CCACVL1_1.0:contig09781:5429:7924:-1 gene:CCACVL1_10969 transcript:OMO84160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFILIFCPFLLSAVGGGGE >OMO51056 pep supercontig:CCACVL1_1.0:contig15986:9592:12422:-1 gene:CCACVL1_30035 transcript:OMO51056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASGSNGSSYQISHPSQGPSIENLLSNIQDLDFLLMKMATWSRNFKSLNFSVVDAWRPWMVDGQAAGKASLWKIKPIVTNIHNLLGLIHTAEIRVIKRSANSAADWFARQARPKMSYVELGQHQPSSIVGIWSRDGVGAPP >OMO98893 pep supercontig:CCACVL1_1.0:contig06994:10618:11280:-1 gene:CCACVL1_04009 transcript:OMO98893 gene_biotype:protein_coding transcript_biotype:protein_coding description:plasmid replication protein repH MMSYQMILMLFLIKIKIYYLVDSDGYGTPGSPCLSVHSRSTDEINMILEEEANYREVSVEFGNVDNVLDSGDSGKCCHMTLHEGESERLDDIFDDNESLMVANGLFPTIQSTESAIVVSDSEMNAIRMTSIDESVVALESIVDHTLKKPRRRKKRKNHYWSRKKSHVKANHAMVVYNGPPEINEIDNHISDDDIAHRNNVLRNEARKVLEVGKIVRYIIC >OMO71394 pep supercontig:CCACVL1_1.0:contig11654:23456:28107:-1 gene:CCACVL1_18243 transcript:OMO71394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGGLRRGVGNRATITEEGGTLKERASLSQEGGYGLNGVEA >OMO71392 pep supercontig:CCACVL1_1.0:contig11654:5704:8143:1 gene:CCACVL1_18241 transcript:OMO71392 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MDKMGLQDCADTKIGNWHLRGISGGEKRRLSISVEILTQPKVLFLDEPTSGLDSASALFVFQVLRNIAHDGKIVVCSVHHPSSDVFNLFDDLYLISAGETVYFGDAQKAVKFFADAGFPCPTRRNPPDHFLRCVNSDFDKIIATLKQTRKDFEMMPLLSGFSTNMTTTEIKARLIEKYKGSENARYTRKKIQEIALIEESCNESKASNPGWLKQLCTLTRRSSLNIFRDIGYYWLRIVFYILVSLSAGSFFYGMGTSNYAILLRGKFDGFIYGLMIVLSIGGLPFFTEELKVFRRERFGGHYGEAVYVLSNFLSSLPYVAAISISSGTILYYMVNLHPGLSRFCYLCLNLFCCIAVTETSMLIISTLVPNLLMAIGASAGLAVFMMMPTGIFRRPVDLPRIFWYYPMYYISYAAWAVEGQYKNDMIGLEFDPPVAGDPKLKGEMILQYTFGIKLHHSKWWDLAALACLLAVFRILFYIVLRYKERAFTIFHRFCA >OMO71391 pep supercontig:CCACVL1_1.0:contig11654:314:385:1 gene:CCACVL1_18240 transcript:OMO71391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTTRKVIRALLRGGGTEEIIK >OMO71395 pep supercontig:CCACVL1_1.0:contig11654:45336:47763:1 gene:CCACVL1_18244 transcript:OMO71395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding protein MLMDQSMASDSNNKGLLEYVRKSTPPPFLLKTYMMVDDPATDDVISWNADGTGFVVWQPAEFARDLLPTLFKHSNFSSFVRQLNTYGFRKVATSRWEFCNDMFQKGERELLCNIRRRKAWANKQQNAAPTQASPQESEEDQRSSSTSSSSGYNSLVDENKRLKKENGVLSSELTSMKRKCKELLELVAKYAQFEKEKEDDHDHSPKLFGVRLEVEGERERKRRRAEISESASILLSQSCK >OMO71393 pep supercontig:CCACVL1_1.0:contig11654:8939:16779:-1 gene:CCACVL1_18242 transcript:OMO71393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase, class-II MAASLKIGIGIPSQTPSTLPLPMSTLSFPFFFYSSPPRLALRLHTSSSRPRAPSLRLRAAAAAASSVETPAAAGKSVENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRMRRQAERWGAELFQEDVEHIDVKNTPFTIQSSERQVKCHSLIFATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRKDQLRASKAMQDRVYNNPNITVHFNTETVDVVSNTKGQMSGILTRRVDTGEESVLEAKGLFYGIGHSPNSQLLQGQVELDSSGYVLVEEGSAKTSVDGVFAAGDVQDHEWRQAVTAAGSGCIAALSVERFLVTNNLLVEFHQPQTEEVKKELTDRDVQEGFDISLTKHKGQYALRKLYHESPRLICVLYTSPTCGPCRTLKPILSKVIDEFDQNVHFVEIDIEEDPEIAEAANIMGTPCVQFFKNKEMLRTVSGVKMKREYREFIEANK >OMO96804 pep supercontig:CCACVL1_1.0:contig07377:1633:8331:1 gene:CCACVL1_04767 transcript:OMO96804 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phosphoglycolate phosphatase, eukaryotic MLTKAAASVSASVTAATATYSSSKSLLSLNQSHQLLCLKRLSSSHSISALGIKWRISNFRYNHKMETFRFTTKASAQPLKNADELIDSVKTFIFDCDGVIWKGDKLIDGVPETLDMLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLNVNEEEIFASSFAAAAYLKSINFPTDKKVYVIGEDGILKELELAGFQYLGGPDDGEKKIELKPGFLMEHDKDVGAVVVGFDRHFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAICGSTQREPHVVGKPSTFMMDYLADKFGILKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLSMLQSPNNSIQPDFYTNKISDFLSLKAATV >OMP10482 pep supercontig:CCACVL1_1.0:contig02430:706:1413:1 gene:CCACVL1_00946 transcript:OMP10482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S2 MARRYWNINLEEMLEAGVHFGHGTRKWNPRMAPYISAKRKGIHITNLTRTARFLSEACDLVFDAASKRNILNCWYKKEAADSVARAAIRARCHYVNKKWLGGMLTNWPTTETRLHKFRDLRTEQKMGRLNRLPKRDAAMLKRQLSRLQTYLGGIKYMTGLPDIVIIVDQQEEYTALRECITLGIPTICLIDTNCDPDLADISIPANDDAIASIRLILNKLVVAICEGRSGYIRNL >OMO94558 pep supercontig:CCACVL1_1.0:contig07840:9471:12641:1 gene:CCACVL1_05925 transcript:OMO94558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAEALVSFVLEATLPKVVSIATEQINLAWGFKKELGMLCDLLTMIQVVLQDAYGRQVRDRDVRLWLRDIAYEADDVLDEFAYEILRRKVKYQKQLKKQVCNFPFFKPLTFSFKLADKIRKINDPLIQMKSDAASFGLRVVTIDRVPQISREYETDSILDSEVVGRKDDVLKIVNMLISLKGQQPISVISIVGMAGIGKTTVAKPVCKEVEKKNMFDMIMWVCVSDDFNDRKILGEMLESIDRSAGGLSNVNAIIQNLRKELKGQRFLLILDDVWNEDREKWARLKSCLAKINNSDNGVVVTTRSQNVASIMETISLHMHHLKGLSDEECWSIIKERALGKRGALVSSDLEDIGRAIARRCGGVPLVASILGGSMGFNLEKSTWLSIKNSDALKLENNNEILTTLKLSFDKLPFCLKQCFAYCSIFPKDHEIDRDQLIRLWMAQGFLQPSRESGLSEGSIAVMEDIGNKYFNDLLANSLFQDAERDAYGNISTCKMHDLVHDLAMSVSKSETLILKDNHVGDFSRVRHLNVLNVGEIMPEVSGAITKKLHSLFLKFDGFHNFPGDFKCLRSLSFEGACIEELPASLGRLRHLRYFDISWSNIRALPESMTKLYNMQTLRYICCYSLQSPPKGMGDLVSLRHILFNDPMLMPLEIGQLTCVKTLPLFSVGTERGNQIEELGCLSQLRGELKISNLEYVRDKREAKAARLHEKTKIYKLEFVWCSQGRLNNDEDVLEGLEPHSHLKSLTIAGYAGEKFPSWILTKGNDIGDSVLLNSLVDLKLINCRKCKHIPTIGQLSNLKFLTIDGMENVKYIGTEFYLNSSMCDGLEALALFPALRKFTLKEMSSLDEWVGQVKAATVFPCLEELIIWRCSKLQSGPIMKEFSSVQKLDIRWCEQLSFIEDGLSASTCIKELSIWECSSLTSIPNIHILFSLTKLEISGCGELISLPSGLCLCTNIQVLRISNCPSLISIPEDLGNLHSLWSLGVTFCVKLTTIPDSLCHLTHLKVLRIGGFSEELEEFPGFDSIQHLHSSLEDLRLYRWEKNEGSTTSASIPHSP >OMO64607 pep supercontig:CCACVL1_1.0:contig12775:5456:5530:-1 gene:CCACVL1_21642 transcript:OMO64607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGKPNNQHKKVQIKDPEANGPI >OMO88001 pep supercontig:CCACVL1_1.0:contig09138:15801:17650:-1 gene:CCACVL1_08604 transcript:OMO88001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIANSVGTCTRKCGTILLDAITFMVFLFLDFLDTFFCVIFKLLDKFFEGKASPCYCKSKAELINGDNEEIRGLSETLYRRKNVFREMGFLNFAGKLEYRKQIDGFDGGRRFLNRWSDCGCESCVSWIKDNACQKLHVVVREFPQERSDDSRRESTENVIFVHGFASSSSLWTETVFKNLAGPIKGHDYRFFAVDLLGFGRSPKPSDCMYTLNDHVEMIGKSVISPFQLDSFHLVAHSMGCIVAVALAAKYSKFVKSVTLVAPPYFPSSKDGTISSSMVLKKLAGKRLWPPLAFGQSVMSWYEHIGRCFCFLFCRNHRTWERILKLLTRKKELSFMIVDLTRHTHHSGWHSMHNVICGGAKFMDDYLGILNRSKVEICIIHGDRDPVVPLECSNNMKMKFPNVELKIIQNANHGTVILGRKKEFARTLEHIWASTHM >OMO88000 pep supercontig:CCACVL1_1.0:contig09138:2135:6117:-1 gene:CCACVL1_08603 transcript:OMO88000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase FAD/NAD(P)-binding protein MDLEFLQSLDTQILVGIAAAVLAIFVGAAYLFSSKKSKGSLDAENFREFKLVKRNQLSHNVAKFTFALPTPTSVLGLPIGQHISCRGKDAQGEEVIKPYTPTTLDSDVGHFELVIKMYPQGRMSHHFREMRVGDYLSVRGPKGRFRYQPGQVRAFGMIAGGSGITPMFQVARAILENPKDTTNVHLIYANVTYEDILLKEELDSLVAKYPGRFKVYYVLNQPPEVWDGGSGFVSKEMIQTHLPAPASDIQILRCGPPPMNKAMAGHLDAIGYTPEMQFQF >OMO72804 pep supercontig:CCACVL1_1.0:contig11367:9563:10304:-1 gene:CCACVL1_17583 transcript:OMO72804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIACIRQTCDVASFSKIAKRPSLDLFVAPPLSDSKNGCPEILLSPTFANKRWFKASKEYWNDAPRTYYGLEDKHGEHGKDDQGLQGSVNKLKEEDGIVTHQEDAIKMPFDPLNIPLGPMTRARAKRFKDSLMGLVRTHLEDLKTIEVQLKSFGDDLGKKLQINYKFITLLAIDSRWPD >OMO72803 pep supercontig:CCACVL1_1.0:contig11367:6911:8586:1 gene:CCACVL1_17582 transcript:OMO72803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGCAAQQSLSVGNSRRHDRNHVDSLVLRGKQVARALLLDGTSCEVISCFPGKDIEQDPVVEESVGAVEKIVEVAANFAKEVDELYGDLGLSASNGVFKETVVGREHDKRQVNPHFRGGLVEAKHSAYSTTDNSFGSRELWNNPKLLRQLVAGSGACSHPSILGIGQSNWGLDRGGLGLDLIGLWLHCSSLSKLRDGQNQQAQPPQQRANAAHNNERIQLPPPRQVVWLDPMERLRQQELGGQAINENMRPRRAEVDEAPQDIIARFLAGLNREIHDIVEMQQHYDVEELLQHAFKAESQVKRNKKSFASSSSS >OMP11823 pep supercontig:CCACVL1_1.0:contig00794:426:4892:1 gene:CCACVL1_00243 transcript:OMP11823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTENRVLECINFDTSGRWSRTYRGAGALMGIFNPQAGVMQSSKSSIWVQNGHMNDLNSIEVGWAKPQPNPSSPRRRPMREPSIVHDAPIPLAIKNGGDWFVD >OMO87126 pep supercontig:CCACVL1_1.0:contig09319:8513:8698:1 gene:CCACVL1_09251 transcript:OMO87126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTSSSSSKGWVVLLKRLIKKESWRWKFLGSGFKWKSLNIQLSFIDDVLFRIASVLEAIYL >OMO95552 pep supercontig:CCACVL1_1.0:contig07657:10757:15120:1 gene:CCACVL1_05373 transcript:OMO95552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAVIGAGISGLVSAYVLAKAGVNVVIYEKEDYLGGHAKTVNFDGVDLDLGFMVFNRVTCPNMMEFFESLGIDMEPSDMSFSVSLDNGKGCEWGSRNGLSSLFAQKTNVLNPYFWQMIREIIRFKNDVISYLEVQENNPDVDHNETLGQFIKSRGYSESFQSAFLIPICGSIWSCPTERVMGFSAFSILSFFRNHHLLQVREELESRGCQIRTGCEVHSVLSSAEGCTVLWGDDFQESYEGCIMAVHGPDALRLLGNQATYDESRILGAFQYVYSDIFLHHDKNFMPKNPTAWSAWNFLGSNNKKVCLTYWLNVLQIIRLKIPCLSGEQAIQSHLLLQQKPHLSLIRFKGREEFGFVEHTRAMVSMKMD >OMO95553 pep supercontig:CCACVL1_1.0:contig07657:20273:27535:1 gene:CCACVL1_05374 transcript:OMO95553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mycolic acid cyclopropane synthase MKIAVIGAGISGLVSAYVLAKAGVNVVIYEKEDYLGGHAKTVNFDGVDLDLGFMVFNRVTYPNMMEFFESLGIDMEPSDMSFSVSLDNGKGCEWGSRNGLSSLFAQKTNVLNPYFWQMIREIVKFKNDVISYLEMLENNPDVDRNETLGQFIKSRGYSELFQKAYLIPICGSIWSCPTERVMGFSAFSILSFCRNHHLLQLFGRPQWMTVRWRSHRYVNKVREELESRGCQIRTGCEVHSVLSSAEGCTVLWGDDCQESYEGCIMAVHGPDALRLLGNQATYDESRILGAFQYVYSDIFLHHDKNFMPKNPAAWSAWNFLGSTDKKVCLTYWLNVLQNLGETSRPFLVTLNPDDTPENTLLKWRTGHPVPSVAATKASLELDQIQGKRGIWFCGAYQGYGFHEDGLKAGMIAANGVLGRSCSVLSNPKHMVPSLVETGARLFVTRFLGHFILTGCVILLEEGGTMFTFEGTSAKCSLKTVIRVHNPQFYWKVMTEADLGLADAYINGDFSFADKNDGLLNLVMILIANRDLHTSDSKLSKKRGWWTPLLFTAGLTSAKYFFKHVSRQNTLTQARRNISRHYDLSNDLFSLFLDETMTYSCAVFKTEDEDLKVAQQRKISLLIEKARIDSKHEILEIGCGWGSLAIEVVKRTGCRYTGITLSEEQLKFAEKRVKEAGLQDNIRFQLCDYRQLPSNYKYDRIISCEMIEAVGHEFMEEFFGRCESVLAEDGLLVLQFISIPEERYDEYRRSSDFIKEYIFPGGCLPSLTRITSAMSATSRLCVEHVENIGLHYYQTLRYWRQNFLEKQSKILALGFNEKFIRTWEYYFDYCAAGFKSNTLGNYQIVFSRPGNVTALGNPYKGFPTVS >OMO95551 pep supercontig:CCACVL1_1.0:contig07657:4668:8569:-1 gene:CCACVL1_05372 transcript:OMO95551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHQSRRELYSAPLHGSNPPNSATNTTTTTTTTTTTTTTTTTSSASASAFIDSNMDLAWPFGKLEGLDRDDIREAAYEIFFTSCRSSPGFGGRNALTFYSSHDHHGSGDGGNGSPASPSGRSYGVVMTPTSRVKRALGLKMLKRSPSRRMSMSSVGLPSGGGAGTSTTPSSPSHHGHGGSGSSPGSGNFNTLPASRPRRPLTSAEIMRQQMRVTEGSDSRLRKTLMRTLVGQMGRRSETIILPLELLRHLKPSEFNDSHEYHLWQKRQLKVLELGLILHPSIPVDKSNSFLVRLREIIRAAETKPIDTGKNSDTMRTLCNCVVSLSWRSSNGTPTDVCHWADGFPLNIHLYIALLQAVFDIRDETLVLDEVDELLELMKKTWSTLGINRPIHNACFTWVLFQQYIVTNQMEPDLLCAAFAMLAEVATDAKKPDREASYTKLLSSMLVSMQNWAEKRLLHYHEHFNRGNIGGVENLLPLALSATRILGEDMTIAEGEGSKKGDTMLVDSTRDRVDHYIRSSMKNAFQKTIEQGNLRNAISGTREEASEALLELAKETEELAARERELFSPILKRWHPIAASVAAVTLHQCYGAVLKQYLAGTSVLNGEIVGVLQRAAKLEKVLVQMVVEDTEECEDGGKGIVREMTPYEVDSIIMKLLRQWIDEGLRRGKEVLSRAKDTETWNPKSKSEPYAQSAVELMKLSKEIVSDFFEIPIGVTDDLVHDLAEGLEQLFQEYITFVASCGSKQSYLPTLPPLTRCNRDSKFFKLWRKATPCAVGVEEMHHIMSADGHHPRPSTSRGTQRLYIRLNTLHYVSSHLHSLDKTLTLSPRISARSRFGSSHRTTRSVTSTSHFEHVSNSIQSACEHVSEVAAYRLIFLDSNSVFYESLYAGGVANSRIRPAIRIVKHNLTLLTAILNDRAQALAMKEVMRAAFEAFLMVLLAGGPSRIFHRSEHEMIEEDFDSLKRVFCTCGEGLISEDIVEREAGTVEGVITLMSLMTEQLMEDFSIITCETSGIGIIGSGQKLPMPPTTGRWNRADPNTILRVLCHRNDRVANHFLKKSFQLAKRK >OMO53125 pep supercontig:CCACVL1_1.0:contig15322:43491:43892:-1 gene:CCACVL1_28869 transcript:OMO53125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKVMFIICVVLVFCMHMLAAADLPTPLKRLFVPMVRRYNCTLDGIYRMVTKDGVVSSNGCAKFLSKSHPAPPDFDLVTKNVKNIGELGVKIQSAYFRYVAALDEYVTTSAKHCSNDFAGRLRRIINGCSK >OMO53124 pep supercontig:CCACVL1_1.0:contig15322:33710:38367:-1 gene:CCACVL1_28868 transcript:OMO53124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMSAGIGLPYFQEMYGREVARVHNCTFQHLSAIYDSVLRGGGVVVSKSCADFLSNTTIPAPPDSNSAYKIIENMGELTTVSILRAYVPYVTAVKDIVAASATHCVFKHNTSEPLEVFFLRSNREQDVLDEEQSREEEQRMLEEFDRMSLLRDTRIETQSSEEVEPDETEEADNVVDEEENPPPSDGFDKRPKENNVVNGKVTLGGQLSNHSYFEEANGSLETADSTEIEDSLKIKGGDDNLEIYSFSEPDAKKDGNFLEKLEGFPKHGDGWPKEDQNLGADVINFGVDEIGESESPPDEDEHEWCPKLFPMVPLPIAAPPMEDGDIPKLNSDNHQPEVMDGFDITRISLIDPFWPREEKERSFNMSLFDKNKSNHSMVPTNTNNESLPMDRPIPSRPTISPVPTMLLHCRSPGSATSTRFGNNASWRDNDETDGSAGLRAIGIG >OMO98827 pep supercontig:CCACVL1_1.0:contig07013:5107:16492:1 gene:CCACVL1_04044 transcript:OMO98827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRMNLGEEFSILEGNEFPFSSSWIPVTPQKPIPTRSNPIPVNEQGNQFGRVNWQELGGFPAGYVAQNFNPIEQLGHRGGSNVGSMNLAEKDRMINSIAGSYTQALQIQNEGMGWNNITLANLLATRNATAFASADATSSISRPNTGPIANLHPQADNWRQSTSNDFIFANQTQPISLPFFRNNNSFHQMHPYHFPVPYRPMYDLNSPPRTEVDAASHISASFQSTPPDQTKMMENSQLSTKPSSASDGSSIHETGEHELLIASRGKEVPQQNCELLQNIIDSSSAVISTPMEGQKDSEGGTDQCIDLNKTPQQKPPKRKKHRPKVIKEGKPKRTPKSATTKDINSNANPSEKRKYVRKKGLTATEHADSSREPDQCAQTPARRRYVRKKSLKESATAPINSAKESDVSAVTAEKEKYIHQENENESMTEQAACTRGSDPSAGTAEKRKYVRKNGLKAPATQHVDCMETQANVVPAAKSCRRVLNFDLENTGNESPAEIVNPQEKPEGSKSSEPQDRVLQNVENCGFKTRLTTQTSQEMAVGNLPQTESSYTSEKMMLNNYISMPCTPAATPSQCQAKDPQMENLNVIARNINMDNADLSQNIYRNGYALTQQNIHPKGMSQFVSQGKDNPANIDGTNELMFERHPQSVSTVLLNSNEGRGSKRDHFQSIEQGQLRTASSTRSLSSQGVFQMNGGYRNGSIGGAAFLQALKRKKNNDESRAYIHEMKYGMLYGSGQLHTQSTNSINSQEFTSLRAGGTSNACFQSANISMRNSGEVSELTGNRYTHSTAANLASSKQHILSQQHSGMEKLGNTNGLTLVHNLAAIENCSHFQPTTPEKAPLLQFCSVRKTSDNNISESKKREPGVPSRVPSRGGKMLQEQKQLHEYQQPTKARGRPPKKVFISPLEEIINKFKAITLDERNYKAKAEAQNALVLYQGAGMVVPYDESGFIRKRKPRPKVDLDPETNRIWNLLMGKEGEDSGTTDKDKEKWWQEERRVFHGRVDSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPLKSSCKSKCNADQATILIEEPEISEPNTTETIKWHENLFSHPLDSQSSITPNESTEYQKNSENSGIERISFTETHSQSLEEEVLSSQGSFDSSVIQANGGIKSYSGSHSETEDPTSGCKFNNIHGSTYDDQMENASFEELFNCVSGSLLFHEGFNKQSEVTENGQMSRLERKEKLKGPSSFNQATHFRNQQVQVPAVGVSNHLLHMTLESEAQEAEGREPCGEECMSSEASTASGVNRSKQIGQYTVQQNGQSVSQDMATTALNALPREQIMHRKELSQPGSHTKSYQHCNNHQEKGNKTFQSESSSVTMPVTSDEVTKLQKSTVHAANALNTGPSKVEGMSVLSKGNTKEQMHSSEKDHGAKSILKPKRRKAEEEKNNASNWDALRKQVQANGSKKERSKDTMDSLDYEALRQANVDEISNAIKERGMNNMLAERIQEFLNRVVRDHESIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQLTLYELHYQMITFGKVFCTKSKPNCNACPMRGDCRHFASAFASARLALPGPEEKSIVSSTVPMMAGSNTGRVVNPMPLPPPEHNLLRVGHNNSNHEPIIEEPSTPEPEHVEELQSDIEDACYEDPDEIPTIKLNIEEFTANLQHYMQSKMELQEGDLSKALVALNPEAASIPIPKLKNVSRLRTEHYVYELPDTHPLLEGMERREPDDPSPYLLAIWTPGETANSIQPPEQSCGSQESGRLCNENTCFACNSVREANAQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHESSLKPIDVPREFIWNLPRRTVYFGTSVTSIFKGLSTEGIQYCFWKGFVCVRGFDQKTRAPKPLIARLHFPASRMAKTKTEKR >OMO65452 pep supercontig:CCACVL1_1.0:contig12650:207:9099:-1 gene:CCACVL1_21523 transcript:OMO65452 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXTL2, alpha-1,4-N-acetylhexosaminyltransferase MAVYADYLPSQQLGLAYNAKRNILYVVDTENHALREIDFVSEKVRTLAVELKLFEPSGIIEAEIGKIFETGSTGISSLGGHSDRTQCVNKFVAEFGRMPLTMKKYAAAVDGSGDDEDY >OMP11678 pep supercontig:CCACVL1_1.0:contig01037:12950:13033:1 gene:CCACVL1_00350 transcript:OMP11678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGQPGDKDEPLIYVFQFQDFSPTIW >OMP11679 pep supercontig:CCACVL1_1.0:contig01037:13582:15106:-1 gene:CCACVL1_00351 transcript:OMP11679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MASSPASLCLAHALLLSRNRASDYYTPSDAWGQGLYISALLAFLSSAAASNHGGGAPEDLVRSSCVHASYPTLCLRTLSSYSGPAKTPRDLAQAAVKVSLSRAQKVSNYLKTAVTGSGKRERVAVSDCVDQIADSVDELSKTLGELKHLRGETFEFQMSNAQTWVSAALTNEDTCLDGFEGVDGKVKSDVKRKITNVAKVTSNALYMINRLNESRGRHRFNP >OMP11677 pep supercontig:CCACVL1_1.0:contig01037:5416:11965:-1 gene:CCACVL1_00349 transcript:OMP11677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEGIEMDCPDLFSDSPTGDFCDSVLSHFSKSDQEDSQRLCATVGAMAQELKEQNLPLTPIAYFGATCSSLDRLSSQPDSPPHVIQSLTTILSLLLPRVPVAVLKKKGDFVSRVVITVLRLNSVTEVTQASGLKCLAHLLITGEKVNWSDVSQNYGVVLGYLTDSISKVRRQSHLCLRDILQSFRGTPVLAPASEAITNLLERFLLLAGGSNANSAEGAKGAQEVLFVLDALKDSLPLMSTKYMTTILKYYKTLLELRQPLVTRRITDSLNLVCTYPNVEVSGEALLDLLSSLALSVSSNETSAIGMTFNARLLSSGMMKVYSLNRQLCVIKLPVVFSALKDILGSEHEEAIFAATEAFKTLINGCIDEGLIKQGVDQIINSNSDDRKSGPTIIEKVCATIESLLEYHYSAVWDMAFQVVSAMFDKLGYYSSYFMKGTLKNLANMQSLPDEDFPYRKQLHECVGSALGALGPETFLGILPLNLEANDLSDVNVWLFPILKQHIVGAHLSFFTETVLGLVGEMRQRSRKFELEGKVFSSRSADAIVYSLWSLLPSFCNYPLDTAKSFKDLLGPLCSALREEHDIRGKICSSLQILIQQNKKIMEGKDDSDSADMSPAQQRAMSHYTPEIAGDNLNVLIASAPQLLSLLSGIFMESTVDEGGSLQSTIWELATIAQQNVVRTLFKKTMHRLLKVTQEAGLAEASKNNSMQVDDSSTENALSLERARLFDLAVSLLPGLDVPALDVLFSAIKPALQDVDGLIQKKAYKVLSIILRNQEGFLSAKLEELLKLMIEVLPSFHFSAKRHRLDCLYHLIAHVSKDASEHRRHEILSSFLTEIILALKEANKKTRNRAYEVLVQIGHEFGDEDNSGHREDLFNMVARGLAGETPHMISAAVKGLARLAYEFSDLVSSAYKLLPSTFLLLQRKNREIIKANLGLLKVLVAKSKAEGLQAHLASLVEGLLKWQDDTKTHFKAKVKLLLEMLVGKCGIDAVKAVMPEEHMKLLTNIRKIKERKERKQTAGSEESKSHLSKATKSRLSRWNHTKIFSDFGDDDTNDSDAEMASGKQSKGSSRLKSKASSLRSKKLRRADKSLPGDLFDQLEDEPLDLLDRNKTRSALRSSSHLKRKQDSDDEPEFDPDGRLIIHEGGKRKKKVAPSDHDSDVRSEAHSRFSVGSSRKNQKRQKTSESGWAYTGKEYASKKASGDLKRKDKLEPYAYWPLDRKMMSRRPEHRAAARKGMASVVKMTKMLEGKSATSALSMKAMKFKKAQKKGGKKKK >OMP11676 pep supercontig:CCACVL1_1.0:contig01037:561:4075:1 gene:CCACVL1_00348 transcript:OMP11676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGETMAIAVQPTREITTKKKPPTKQRRVVVTGMGVVTPLGHDPDVFYNNLLEGASGISEIETFDCSQFPTRIAGEIKSFSTDGWVAPKLSKRMDKFMLYMLTAGKKALEDGGLTEDVMEELDKAKCGVLIGSAMGGMKVFNDAIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADVMLCGGSDAAIIPIGLGGFVACRALSQRNKDPSKASRPWDVNRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFMGGSFTCDAYHMTEPRPDGVGVILCIEKALAHSGVSKEDVNYINAHATSTPAGDIKEYQALMHCFGKNPELRVNSTKSMIGHLLGAAGAVEAVAAVQAIRTGWVHPNINLENPDEGVDTSLLVGPKKERLNVKAALSNSFGFGGHNSSIIFAPYK >OMP07829 pep supercontig:CCACVL1_1.0:contig04172:221:697:-1 gene:CCACVL1_01215 transcript:OMP07829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNRRLTPRTLPRVQPLQAQANRRNRIRVLRERNRTNHIVHNKISHNGTSRRPPNCYFLSSTVGDNGELITRKFESAMVAGLSQSIESRLHKQQHSFSSVNIGCSHYVNVPVFEAGSSTHQSIDKANFVKQDQPAAGTDSYGETRRNFRFSVLSIFL >OMO94184 pep supercontig:CCACVL1_1.0:contig07946:15232:20391:-1 gene:CCACVL1_06116 transcript:OMO94184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MRATTLWTINDFPVYANLSGWSTKETYACLSCGYDTSLEWLQHSGKFCYMGHRRWLEPGHRYRKDKQSFDGTEELRSTPLHPSGHEVLRKLEKLNDLCSKVFCESYLELLESRATSILCEMEKIFPPAFFTVMVHLIIHLAYEAKVAGLVIYRRMYTIERFLLTLKFYMGNRACPEGSIAEGYLANECLTFCSRYLEGAETSFNRPNRNEEGSSSDVDDEDEPLFKCVGRPLGRKRKKGFNVKKRKRVLRLTLDSQTLAQIMKRQNCSRRLTPYELQKKQSESFNGWFRKHVALFDEQQSPIIIDRLKWLARGPFDIPRRYTGYITNEFRFHTKSRNKWLKTQNSGIVVTTKVASYASLRDVQPVERKLIQIEVVRKVIKFGFTLVNFSHLVHTGTNLTHDPLILASQAKKLSKELEMHDTEVDDPNSGSMWVRSKVNDGMNVTAVLENEQVAEDPIDEHIGDDIFLTFGNQHKFGYIRMVKRKRYSTIKRNRTASSQSEQQSSPSECQPPGQVSKQQEIPLQPLEQESTEQDIPLQPQDTETSAADTLREHEQVTSTNDKDGQIVVNVNAHLIPVGEAACKLTRFVGTIVRSAEYAPLTYTTWSKISNNRKEEIWKEIKSKFAFLKLDSSEFVDEETIGRIKTWALEDMYSRVHKTHFLALAAHWKTDKAKTEEANGVQPSGADVYVRSRTRKDGSIVNVKASNVMAVDAVSAPEISPNKNNPSSESTHQPSPNEGFGIGFMSSALFDYQTLTLCPMLYLMLVLALLCADFALL >OMO94185 pep supercontig:CCACVL1_1.0:contig07946:26732:26890:1 gene:CCACVL1_06117 transcript:OMO94185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRDAQLTVNDLSMDLLDEILSKAASNSASNYENATLASTAMWIASKEFPV >OMO94190 pep supercontig:CCACVL1_1.0:contig07946:68085:69221:-1 gene:CCACVL1_06122 transcript:OMO94190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLEEFSHDSAILPALMSYWNRCFNFFRLPCGPMSVTLMDVAAIAGLLVDGFEVSSVMESTREEESIDYNITPKCTGFIPFISQSAGLGVSDKPDEEEATTVLLWGNLFLRTLYRSLYSITTPSDTAPFGTPCGHMWILQIWAWMYFPDPDISPSPLASSKSLLSYGALYASHPMLGFIQWVPVPPATSRNRCCHQHLTTFKRKDLEDGHEEMFNAREDAVFKMPPLLPNCSPFGWYKFLYTISTMPIGD >OMO94191 pep supercontig:CCACVL1_1.0:contig07946:95596:102412:1 gene:CCACVL1_06123 transcript:OMO94191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAEGRHGTTFSSLVNSGVALLFAALANTNNPLGPIKVCFGAQDTRS >OMO94192 pep supercontig:CCACVL1_1.0:contig07946:127762:136345:-1 gene:CCACVL1_06124 transcript:OMO94192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPTSPGDSSTARIVARTSMMDSIRACGLSGLAGVRIDKEDLRRRLLIPQYLRLAMLDSIKKKDVDGGDQHFQICSEDVRSPESPMVVFINPRSGGRHGPVLKERLQQLISEEQVFDLQDVKPHEFVRYGLGCLEKWGDNGDICAKETRESIRVVVAGGDGTVGWVLGCLGELYQKGREPVPPVAIIPLGTGNDLSRSFGWGGSFPSIAWKAAIKRTLLRATTGPIARLDSWHVFVQMPGGEVVDPPYSLKATEECSLDRTLEIDGDVPDKVNYYDGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPISNKIIYSGYSCTQGWFLTPCMSDPGLRGLKNILKMYVKKVNCSEWEQVPIPKSVRAIVCLNLHNYGSGRNPWGNLKPEYLEKRGFVEAHADDGLLEIFGLKQGWHASFVMVELISAKHIAQAASIRLEIRGGNWKDAFMQMDGEPWKQPLSADYSTYVEIKRVPFQSLMISDYRGFTLIYDFGFSDFPVFGALLNQTTEALIEAPVSLTVDSL >OMO94188 pep supercontig:CCACVL1_1.0:contig07946:37197:37871:-1 gene:CCACVL1_06120 transcript:OMO94188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENIVVKPHPKALPLSTPEYTRFSADKVIEDGQLKLALILWESIQLKITLTPFDQVYLLVDEVRKIFAAIEGIKAVDSTSLKGRVEEYFSQIAKFTDLESSFSSRMSSKDQANKLQNLATRLEESVSKENQAVVCHDKLTSELTKVEKEISALQEKKVKLESSLKENDKALEVVRADVSHIPEKMASAESCPTLSEADANGLKVLKDILRSSRKDLKNLKWKP >OMO94186 pep supercontig:CCACVL1_1.0:contig07946:30889:31566:1 gene:CCACVL1_06118 transcript:OMO94186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKDTQKGGKVSSEKGGSKQSMVVDAKPLKISKKKAQDAKSFTSQDKTKGKSTLKEREEKKYPFPNSDVASILDKLLKSKVIELLEMKRPEESDKVDDPNYCKYHRLVSHPIEKCFVLKDKIMELHREGLIEFEGEVASSNVASVTKVTPEPATLTVGIKFGSFDPIMLPLASAKLVISQPTGGNHSSKTNEDEDIEGWTLVTRHRAKKNHSKHVRVLKAQKKAH >OMO94189 pep supercontig:CCACVL1_1.0:contig07946:38249:38995:-1 gene:CCACVL1_06121 transcript:OMO94189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYSGEGGAKQFSPRDARVAIDNGLSWGDIPFTRNRELILVDDGSLNPREFAYMVSVCSSYLSVRYNGNIIIEPYSPHRFGRQFGFCQDIPGTLNNDVRSGSKADLLLFWRVCNVCHTKCKLTYPPLSLKIVDLTAPRFAEWWSKVHNIDDFDQYVDLLISSIRTTLSKKAKQRKEVVDTQLSIVRHGKGKVLAIRSSSDKEDMAPKRKSTQLTQEVIGTPENQHVDSGNNTMLVDNLSDETDKERH >OMO94187 pep supercontig:CCACVL1_1.0:contig07946:32412:32840:1 gene:CCACVL1_06119 transcript:OMO94187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSLGDLTLPLTSLQKDEVTKPSLKGFVRPSKGPLVEHDELPSHRANCFDANAYRLLVKAGYDHEDVTKMANETRTICQKKVKPPKQGLGFSPVKLKIHKKTAAYIIVDEVDETDESLVESPRISVFDRLGRPSDRQSVFD >OMO52060 pep supercontig:CCACVL1_1.0:contig15634:2687:2824:-1 gene:CCACVL1_29382 transcript:OMO52060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHDQNRNHSLSLGGILTLSFSEPIIELSRINKKTLVFSLTGVPSSL >OMO63453 pep supercontig:CCACVL1_1.0:contig12967:14157:17962:1 gene:CCACVL1_22401 transcript:OMO63453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQERRGKRKPDPAAEEWNEKEEKMAREVEKKTRSGGELGTAIFLSCVFLTTRRKTRAQRTSYF >OMP02636 pep supercontig:CCACVL1_1.0:contig06212:6497:8863:1 gene:CCACVL1_02735 transcript:OMP02636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGNLLDSHSDGHSEMSFCPRSIYQGWTPLPSEIASVMTTSATTLEEKLAAMEKDMTDLIKAVEEKDAEIARLKSQIERPQPNDKSKDDQIHPPENESDDVIVVEKNNIQEVKDPTVIATSSTSVGALSVQQLQEMITNTIKAQYGTSSKAYHTYVKPYTRRIDELKMPENYQPPKFQQFDGKGNPRQHVAHFIETCNNAGTYGDLLVNTRRVVSMTELTNTRQRKDEAVVDYINRWRALSLDCKDKLSETSGVEMCINGMHFGLLYILQGIKPQTFEELATCAHDMELSIANSGNKYPSVSDLRGGKKKANEAKTLKSSTEESMFISAKNIGRKKEDSRKEDGQRVSLREKEAKKYPFPDSDVPEILEQLLRNKLIELPESKRLEEKDKIHDPKYCKFHCIVSHPTEKCINLKELIMMLADEKNIEFDADDAVKADYTTFTSSLDFAASMKSTCNDLELGAKCQLIQFGSLEPVLVQFLEENSFSGLVTKGDSDVSNEARTFAKQKSSRRRRHQSKISTVEGRYQGNDLLSPTKKGYEEYFLKVSNNETSEQSFSKPVMLKEFFSQEFFNEDQSTVGVHMVSFEELSEDEKPRVSAFDRLGMPQTHKSVFDRLNVRSSRQKEVVQAGGSVFDRLESSNVSTAKTLLKDEWKDDKETCSRIPSRMKRHLFLEIDTNGPLKVKRRVVVRTSSFVQINSGGNVSGHVSQVEPSQVEAEKDLTAFEDRGQASVVKIHNNLLLENNAHAKLMK >OMP02638 pep supercontig:CCACVL1_1.0:contig06212:16997:18157:-1 gene:CCACVL1_02737 transcript:OMP02638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSWPDELFKCREMGDAIVVVGEHSRKKRA >OMP02637 pep supercontig:CCACVL1_1.0:contig06212:10662:10934:1 gene:CCACVL1_02736 transcript:OMP02637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1/H5 MKKKSSCRKAASSDPPFLKMISDAIATLKERTGSSQYVIAKFIEEKHKQLPSNFKKLLHVQIKTFVAFKLLSTSATAKKPSTAAESRNVA >OMO61719 pep supercontig:CCACVL1_1.0:contig13423:34244:35270:1 gene:CCACVL1_23306 transcript:OMO61719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEGTGETETTESGLISDWAELTHECLINILSRLTLEHRWRGAMLVCKPWLNACKDPTLHTVFDLETRFDSSNDSTRWWTPEFERKIDSMLRSVISWSNGNLTEILTRHCSDLSLNFAAQMCPNLRVLSIKSCPHVTDASMAQIAHHCRNLKQLDISYCYEISHESLQLIGQKCTNLTVLKRNLMNWLDPSQHVGIVPHDYLDACPQDGDTEAAAIGKFMPQLEHLEIRFSKLSAKGLGSICEGCLKLEYLDLSGCANLTSRDIINATSNLKELKELKKPNYYIPRSVFHTERYGHWRLYDERFQTDVFRI >OMO61715 pep supercontig:CCACVL1_1.0:contig13423:17351:20054:-1 gene:CCACVL1_23302 transcript:OMO61715 gene_biotype:protein_coding transcript_biotype:protein_coding description:YbaB-like DNA-binding protein MASTSTMTAPISNLHGATDWKKSIPSSVSLGFNLNTNLPGKQFLSSSGHQKSGHKYKSLRVYGLFGGKKDGEKGDDGNNKAGILGNMQNLYDTVKKAQMVVQVEAVRVQKELAAAEFDGYCEGELIKATLSGNQQPVRIEITEAAMELGPEKLSLLVHEAYKDAHQKSVQAMKERMSDLAQSLGMPPGLSEGLKQQ >OMO61716 pep supercontig:CCACVL1_1.0:contig13423:24032:25780:1 gene:CCACVL1_23303 transcript:OMO61716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMKGVAAAMEYSPYAMYEDQRTRFKHQSLMQDFEDLHKETEAMRNKLHMLKEQKLTLLAEVRFLKRRHKFLTQNQSSNTPAEGNFVQPQNPVIRSKSSKREKKSAGKEHTLRRLATGFDLNQKGKTYSEKETTFTHPSLMFDLNQKQQKHFGGKEVTLRSPLPVLDLNQRERVYSGKEATARSMTPVFDLNQISTEEEELQANDNSMRIDEFKKSSIRIGSDEQHNDIKISACRNTGNGPNRVGKRKITWQDQMALRV >OMO61713 pep supercontig:CCACVL1_1.0:contig13423:993:1181:1 gene:CCACVL1_23300 transcript:OMO61713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHVEERGRSRNHITLGKNAVDYLAKFAQNRGRGASPKTHCQFHDSDNDFRSNNGEKVKLKKT >OMO61714 pep supercontig:CCACVL1_1.0:contig13423:11933:16571:1 gene:CCACVL1_23301 transcript:OMO61714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MAAATGQSKAFVISILSSYLFFSSLFSNIILAAAAAVPKQQELDRISSLPGQPPVTFSQFSGYVTVNNKQGRALFYWFTEATSLPEKKPLVLWLNGGPGCSSVAYGASEEIGPFRINKTGSSLYLNKYSWNIDANILFLESPAGVGFSYTNTSSNLKDSGDNRTAQDALIFLIRWMSRFPQYKYREFYIAGESYAGHYVPQLAKKIHDYNKPYSRPIINLKGFMVGNAVTDNYYDSIGTVTFWWSHSMISDKTYKAILKHCNFTSEKSSKKCDDAVSYAINHEFGDIDQYSIYTPSCLALTNKTSRHIRLKNTLLHRRVSGYDPCTENYAEKYYNRPDVQKAMHANTTGIPYKWTACSDVLIKNWKDSDNSILPIYKELIAAGLRIWVFSGDTDSVVPVTATRFSLSHLNLHIKTPWYPWYSGNQVGGWTEVYKGLTFATVRGAGHEVPLFQPKRAFILFRSFLAGKELPKS >OMO61720 pep supercontig:CCACVL1_1.0:contig13423:46968:48607:-1 gene:CCACVL1_23307 transcript:OMO61720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFVRERSGEEDDLLARSTKKIKAVTKGVSMEETDAFMAEVGEESFEKEQVERRKHSFHDKRSIAAPEDSGVTAVEKEKPPSNFGPWILAQTRKQGRITKGKAGLQENVDPNRENRGGSRFAALSDIQENDAGDIKGVESNSKSQDAGKWRQENSGPWGWALKKKILREKKVETKGDENLDEVTLGEAQAQHSNLHVAEPNATRIRRHMPNMVRRQDGRRMITSNAEARNFSEEEHMTDFEHSPITHSQPSNGMTRKPPDDSLGTVVMETQIFDKFNVDPAEQSIENFKDTLPRERFILGAANHPEVSR >OMO61717 pep supercontig:CCACVL1_1.0:contig13423:27007:29854:-1 gene:CCACVL1_23304 transcript:OMO61717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-type MEFKFRAVDGRSRTFCFPSSSSSYSHMPGQAFRPHPNFPLPDAVQWELEKAQVREEIIASEIARRRAIEAEVRMELALERQVAAMHQTSESGLSFEQRLTMRFDPRCPFLHHVGNNHCWRPDERFDYLPPPPAPPLMPPFLSPPPMLQPGVTEILDSEVKATPEQNKDKLIVLAKPDPNRVVGTKRKTPPLTDAGELHRPLISLKNKHRNEWSCAICQVSATCEKGLTEHLQGRKHKAREAKLKAEAMEKNSNSNTMPLPKKAIKLDKVAELPVTTGLGSEDTEKSKGNVDELPSKKEKKENFRKKLKNNSLQKKHGSTAADNKVEGTPELTKTKKFKFWCQICMVGTYSEGVMENHKKGRKHIAGLKKLEENNAATPATTTNTVTEHESSEGTHMPKDTENNAAIPATTTNTVTEHESSEGTQMPKDTENNAAIPATTTNTVTEHESSEGTQTPKDTENNAAIPATTTDTVTEHESSEGTQMPKGTDVVTNEANEKLTVVEETDSCNLSPIVK >OMO61718 pep supercontig:CCACVL1_1.0:contig13423:32586:32911:1 gene:CCACVL1_23305 transcript:OMO61718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteolipid membrane potential modulator MPSRCEICCELLIAILLPPLGVCLRHGCCSVEFCICLLLTILGYIPGIIYALYAIVFVDRDEYFNEYRRPLYSSSY >OMO84536 pep supercontig:CCACVL1_1.0:contig09740:13740:13961:-1 gene:CCACVL1_10778 transcript:OMO84536 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycogenin MADHVLDQPRLRAFGARLLASEVLSDPEPFKSTRCASMARVNWMAENLTQEQIECTTTDAYAAYKVGKKLLGV >OMO84542 pep supercontig:CCACVL1_1.0:contig09740:29815:33051:1 gene:CCACVL1_10784 transcript:OMO84542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEMDIRVLLSLLVSVALLIWGWRILNWVWLKPKKLEKWLRQQGVTGNPYRFLSGDIKENFSMIRQARSKPMPLSDDIVPYVSPFLHQHVNKYGKNSFMWIGPRPRVTLMDPEDIKDVFNKFNDFPKPDINPLLRLLVPGLVSLEGDKWAKRRKIINPAFHQDKLKNMLSAFYQSAIEITSKWEKMVPMEGSSELDVWPYLVTLTRDAISRAAFGSSYEEGIRIFQLLEEQAGLAIQVMRSVYIPGWRFLPTKTNKRMKLVDKDIKDSLREIINRRVNEINGGKDGNGDLLGILVESNNRVVEEHGNHKSMGMSIEDVLEECKLFYFAGQETTSVLLVWTMILLARYPDWQTKARQEVLQVFGDNKPDFDGLNRLKIVTMILNEVLRLYPPVVALGRGVKKETKLGKLLLRAGTEVTLPILLIHHDKELWGDDAHEFKPERFSEGVSKATKGQVIFFPFAWGPRICIGMNFALIEAKMVFAMILRRFWFELSPSYAHSPATIMTLRSQHGAQLILHKL >OMO84543 pep supercontig:CCACVL1_1.0:contig09740:44989:45330:-1 gene:CCACVL1_10785 transcript:OMO84543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINAILRYTTDVTYQRLCNEIVDLFVKLLRSDLEILKADNGKTSTKNISLASKWCPSLDSSYDRATLFYEIVARKLFPYDSPEYQGMEDAHNYSPFHCVISGIVPENTLKCS >OMO84538 pep supercontig:CCACVL1_1.0:contig09740:20236:21547:-1 gene:CCACVL1_10780 transcript:OMO84538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSGIWCETIGIRGPVGAIQDEVCKHGSS >OMO84539 pep supercontig:CCACVL1_1.0:contig09740:22323:22535:-1 gene:CCACVL1_10781 transcript:OMO84539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADVLHQPRLCAFGARLLASEVLLEPFKARSASMAQANWVAEELTQEQIECATTDAYAAYKVGKKLLGV >OMO84540 pep supercontig:CCACVL1_1.0:contig09740:22854:22913:-1 gene:CCACVL1_10782 transcript:OMO84540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGTKVVTEVIRGTGSCG >OMO84535 pep supercontig:CCACVL1_1.0:contig09740:6313:6519:-1 gene:CCACVL1_10777 transcript:OMO84535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDTVWKRFMLSTTAEIKRFFCLPRSIAAHLAFSIRLLEMRGLSSYENIMHLIGDCAISWNLHRKRH >OMO84537 pep supercontig:CCACVL1_1.0:contig09740:14266:14370:-1 gene:CCACVL1_10779 transcript:OMO84537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDDDEYLMEDGNKVITKVIREIQESQINQPLS >OMO84534 pep supercontig:CCACVL1_1.0:contig09740:4239:5997:-1 gene:CCACVL1_10776 transcript:OMO84534 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycogenin MDIMETMDAKKKNNDDRANLLEEYMNSVAEFANIGARFNLSTKADIEAFNLFAMEHRLRYHPSGTIDPDLKEEEIVHLRKLGKCFGLKIRNAVDRSELAADVLHEPRLCGFGLRKLASKVLLVPFEARSSSLRTIDWIMAVNPADDRIECTNN >OMO84544 pep supercontig:CCACVL1_1.0:contig09740:45407:45667:-1 gene:CCACVL1_10786 transcript:OMO84544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HQKGASHFSSCSILVPPCSKTIANPDPFSSRDKNWKCGIAGPLEIIFGDDCFFWIQTPLLYDSPFKGLPTYDADKMCPRSLDVIPL >OMO84541 pep supercontig:CCACVL1_1.0:contig09740:25782:25844:1 gene:CCACVL1_10783 transcript:OMO84541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKRQQRADYEAVTMTVGW >OMO87214 pep supercontig:CCACVL1_1.0:contig09278:4012:4680:-1 gene:CCACVL1_09192 transcript:OMO87214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATKNSCFDVVGRTVIVLEKESVVTVHNSHFQ >OMO87216 pep supercontig:CCACVL1_1.0:contig09278:40080:41201:1 gene:CCACVL1_09194 transcript:OMO87216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSETRNDGLYMSAPGSPRRVSVESLGFFSVPTSPRARRRTLTLLNAESCINGFDNFEFETSRRFTVDEIEVESKCQNQKEDIIPHDHHSRKESLPAMAFADELFSDGKVRPLKLKLPPRLEYSNDDVKQSSALSSPRSPLKLPFQRRSLWNDDFDPFMAALKNVKQEKEKENVGEAKNHRPMSPFKDTNDLSSQQAQAQQQINEMGLILPTTRQSETNSVPNKKMESNESAFLTWLSVSDQNKQMGCQQQVKKSPIKLEEPKGVVFARRARLVRMEAGQSGTRETSSKRQKLKKFLFRSASVGNISSQSSNATRESNSRPNITKKFSFKNMGLTQYNEEKRVSQVTRMTLVQYTPKLLLCMGFGSASAKYVH >OMO87215 pep supercontig:CCACVL1_1.0:contig09278:6433:6498:1 gene:CCACVL1_09193 transcript:OMO87215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYGIRRHHLAASMTSDATI >OMO87217 pep supercontig:CCACVL1_1.0:contig09278:42119:45167:-1 gene:CCACVL1_09195 transcript:OMO87217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKKLSFTSKVDNFEEAQDEVMSVLDLPELVLECILERLPPAGLCSMAGVCSSLRSRCISDHFWEKHMKRKWGKIIGPAAYREWQWHIASRNGSSHVKQGKPKGWMKILSIVRPFWWNKSKVDDCSKQSSLPDDSIMSWYLALETGRFWFPAQVYNRENGHVGFMLSCYDAELSYDPRTDTFQARYPPHGRRAVAIENNVPWERLRAPPVDTSPHDLHISDCLNELHPGDNIEIQWRRNKEFPYGWWYGVVGHLESCDGNENYCRCHNSDTVVLEFNQYTPGSRWRCTTINRKDHREEGNEADGFYGGIRKLSSEDEISTWKRLWPAEILE >OMO69770 pep supercontig:CCACVL1_1.0:contig11990:3175:4056:1 gene:CCACVL1_19284 transcript:OMO69770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEEQEACLMFHNSYPCAYYVQSPSTLSHADSATAGNNSPIKNADADVSRFTLSRYSSSRGSNNSFLHLQQHDKKINHVDADPMAAVNRLIIIDQYPVVDHDDDDDYEYQQAGGGWWWSFSIALLVFYIATKPPPPQFSIKMARVGEFGLGEGVDASGVTTKILTCNCSIHLLIDNKSKLFGLHIHPPILDISFQRLPPFAISRVNN >OMO69771 pep supercontig:CCACVL1_1.0:contig11990:10704:13415:1 gene:CCACVL1_19285 transcript:OMO69771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MDSIGVEILRIAFPAALALAADPIASLIDTAFIGRIGPVELAAVGVSIAIFNQASRITIFPLVSITTSFVAEEDTLTPQVVEDLEKTSSSGHKNDETNTDLTTPQNDNLEIRDVARINTADHHTKEPAQADQEYGSTSSTSGCAIGSSKSGGVEKGKRHIPSASTALILGSMLGLLQAIFLVFGAKTLLGVMGVKPGSPMLNPALKYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDLTNIILDPIFIFSLRLGVSGAAIAHVLSQYLITVILLWRLMKQVNLLPPSVKDLQFGRFLKNGFLLLARVIAVTFCVTLSASMAARLGSTPMAAFQICLQVWMTSSLLADGLAVAGQAILACAFAEKDYKKVTATATRVLQMSIVLGVGLAVFVGVGLYFGSGIFTKDAKVVHIISIGVPFVAATQPINSLAFVCDGVNFGASDFAFTAYSMVLVAAGSITSIVLLSKSNGFIGIWVALTIYMALRAIAGVWRMGTATGPWRYLTSPSMQIQL >OMO69772 pep supercontig:CCACVL1_1.0:contig11990:14167:14904:-1 gene:CCACVL1_19286 transcript:OMO69772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINIVSSEEPVISRLDRLDNMLRQLEEMKGWNSNRSGSGSGRSSCASTPRSGTTEGEGEGEGHQYSSPASLEKHCRPIDHVMLETQLKGSLIQRLDQAEDRLLKLCLQLEEELEAERKRETILDDEVNHADKHSKPHKKGIKQLVKQCVQGKHHSKIKSTKPKDRLT >OMO69773 pep supercontig:CCACVL1_1.0:contig11990:17003:21340:-1 gene:CCACVL1_19287 transcript:OMO69773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MESVSDIGGKPMKNLGGQVCQICGDSVGKNVDGDPFTACDECAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAIVGDREEDGDADDGASDFNYSSENQNQNQKQKIAERMLSWHATYGRGEDVGAPNYDKEVSHNHIPLLTNGQEVSGELSAASPERLSMASPGVAGGKANIRVVDPVREFGSPGLGNVAWKERVDGWKMKQEKNVVPMSTGQATSERGAGDIDASTDVLVDDSLLNDEARQPLSRKVSVPSSRINPYRMVIILRLIILCFFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHRKVGLLSSLCGGSRKKSSKASKKGSDKKKSGKNVDPTVPIFSLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKSDWGSEGMAAY >OMO94372 pep supercontig:CCACVL1_1.0:contig07904:217:1101:-1 gene:CCACVL1_06035 transcript:OMO94372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSLLELEENLARIKAKASHIEMQRSKMEAMINFVRDQFIVLPEDIYKSMVMMKAQYAQFMAEESQLDAMLNFARQNYQYSLKESNANMTSKSVNIPVGKQHEAMQHPKVPQCLEALSPSLNIPKPIQEAEKEDEEDNIMALDEDDHSHETTYEDESMEIVPTKDVLVESEDNGVSHDYEDDSSSETRVEEEHNMEIIEATSPLECENVEKVEEEVDSQAMIEYLDCEEADFLGIEALLQPRCDEIPNDKWKLNDYFVKATTSYKGEKEMSTKLAWANTAILSLKRIGHQPIT >OMO94374 pep supercontig:CCACVL1_1.0:contig07904:20065:20187:-1 gene:CCACVL1_06037 transcript:OMO94374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGQFLQRLCKKGEWYRWVKDSSWSNAKIKTVSMAGII >OMO94373 pep supercontig:CCACVL1_1.0:contig07904:7462:7584:-1 gene:CCACVL1_06036 transcript:OMO94373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGQFPQRLCKKGEWYRWVKDSSWSNAKIKTVSMAGII >OMO50230 pep supercontig:CCACVL1_1.0:contig16251:1626:3659:-1 gene:CCACVL1_30562 transcript:OMO50230 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MDQKQDHLGCNKAVTKQEAAELEEHGKVNSAESKLELSVEEVVDRYVGSLGISQLLHVVLVSLAWVFDSQNTLITIFTDAQPAAWRCKTQFDHHNITNYSNSSLCMTSSKGQDAGLLCGLPAATWEWVGGNTTSTIAEWGLVCHRKFLAALPASLFFIGSIFGCALYGHLADAYLGRKKTLLLSCILTSATTFITSLSPNVWMYALLRFANGFSRSGIGICCLVLATEAVGRKWRGQVGQYGFFFFTAGFLSLPFIAHPTRSCWRNLYKIISLPPLMYTILLVPFVPESPRWLLVKGRNREALDVLERFARLNSKKLPDNLHLIIPSQSDQNGNQGESERESKKKESLWTVKWAAKRMITVIVAGFGVGFVYYGIQLNVENLNFNLYFTVVLNALMEIPAIFIGSLLLSITKRRLLFSLSAILAGVSCLLCIIFSSKAKASYQYHSRGSWAQLTIEAIGFMAASIAFDVMLIYCVELFPTNVRNFAVSVLRQALMLGASLAPLLVVVGRLSPSLSFLVFGAFSIISGILSLWLPETKNAPLYETLKQQEEEEKLRRLSNSNHLEV >OMO50231 pep supercontig:CCACVL1_1.0:contig16251:7918:12096:-1 gene:CCACVL1_30563 transcript:OMO50231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNRFRVSLTTDTWTSIQKSNYMCVTAHYVDDDWKLVKRIISFYPISGHKGEMLGKALEKCLKDWGLDTVFCVTVDNATNNDVVVGYLKKKFLKEKEFDSSVGKIREAVKFVRASNGRSEKFMACAKEEKVKCDKDCALMFALGGIALKVSGTSYVTSSSLLDEVFKGQINKMNMIIYVASILDPRKKLSFVDFSIQRMYNEGEYSDLMKTVQETTKELFEGYKTLLSPEKGSGSGGNDATMEEAVTFVDPLGEGNEESSMDMFWKHEMESGKEENRSELDVYLKEDLEKKAGDFDVLGWWKMNSPRFPILSCMARDVLAVHVSTVASESAFSTGGRVLDVYRSRLNSKFVQALVCGQDWLRGTFDINLNADMEEQSEFDQLAQEQILERKKTIDQLIKAASAQIDHLDSFPPFRCFNRNGLCVRLESGRGDKLSPYLKQCIQNLLKANMEAPYGSQWAVEEKVKRREMVAPEARYIFLHSNANEMEDSANLQNPGPSLGFIHYRFTLEEDIPVLYLYEIQLDSSVQGKGLGKFLMQLIELIARKVPFFSPLESTVFTFYSVFSRHFGISRIFALFYSSYHA >OMO73354 pep supercontig:CCACVL1_1.0:contig11252:27393:27575:1 gene:CCACVL1_17313 transcript:OMO73354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMWQSARLPMEKIAHIFLQSSVVKAPAV >OMO73355 pep supercontig:CCACVL1_1.0:contig11252:27938:32655:-1 gene:CCACVL1_17314 transcript:OMO73355 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MAMGKYSRVDGRKSSSYCSTATIVVFVAFCLVGIWMFMSSSVVPLQNSELSSQQTKNEVKQTSLKSVSKQFDDTSGDLPEDSTREDGTTMPNKESEKTDEDNSTENLVIERNNENSESQNSAEENQEENHANESSDEKSESENEPKRVDEDDGNGNGNAGETNTREGESDESSGENSSESTVQNENNQEEHSEQSALENSVESQEKDQVSNEILPAGAQSEILTESTTQNGSWSTQAVESQNEKQSLQSSMSSEENGHHWKLCKTTAGPDYIPCLDNWQVIRKLPSTKHYEHRERHCPEEPPTCLVPLPEGYKRSIKWPKSRDKIWYYNVPHTKLADVKGHQNWVKVTGEYLTFPGGGTQFKNGALHYIDFIQNSVPEIAWGKRTRVILDVGCGVASFGGYLFERDVLAMSFAPKDEHEAQVQFALERGIPAISSVMGTKRLPYPSSVFDVVHCARCRVPWHIEGGKLLLELNRVLRPGGYFVWSATPVYQKLPEDVGIWEEMSRLTKSMCWDLVTIKKDRLNAVGAAIYRKPTSNECYNKRSKNTPPLCEESDDPNAAWNVPLQACMHKVPVDPTERGSQWPAQWPERVEQPPFWLNSQVGVYGKAAQEDFTADYNHWKTVVSQSYLNGMGINWSSVRNVMDMRAVYGGFAAALKDLKVWVMNVVPIDSPDTLPIIYERGLFGMYHDWCESFSTYPRSYDVLHADHLFSTIKKRCKLDTLVAEVDRILRPEGKLIVRDNGETISELESLAKSLQWEIRMIYSKDNEGLLCVRKTFWRPTEEEPIKSAII >OMO73357 pep supercontig:CCACVL1_1.0:contig11252:84303:85628:1 gene:CCACVL1_17316 transcript:OMO73357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Staygreen protein MRSLTILTFFNFQAVRLLGPPATFEASKLEVVFMGEGLKSYSAVIPRTYILSHCDFTANLTLTISNVINLDQLKGWYNKDDVVAEWKKVRETMFLNVHCFVSGPNLLLDLAAEFRYHIFSKELPLVLKAVLHGDSVLFAEHPELLDALVCVYFHSSLPKYNRMECWGPLKDAAEGRQGDQNQVQGLLTAEKENSSPPEKWDIRPKSIFQALFTFLL >OMO73353 pep supercontig:CCACVL1_1.0:contig11252:4108:4730:-1 gene:CCACVL1_17312 transcript:OMO73353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPEPETPSLISLTRDQFTG >OMO73358 pep supercontig:CCACVL1_1.0:contig11252:90259:90345:-1 gene:CCACVL1_17317 transcript:OMO73358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVTATSSQKDKIRGPASEIVGSKKKTK >OMO73356 pep supercontig:CCACVL1_1.0:contig11252:70050:71163:1 gene:CCACVL1_17315 transcript:OMO73356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDNLDHGTDSSAAKDNLDHDTNSFVAKDGQPPVGDEIATTEKASATEDAAMEKDTPAIEMVMLVFKAAMVVLPQWMVRMVLLQLILKRNESDTTWFCIRGSSLQVAGPIHDASAIGFHVAALQSHLEGVARAYSATKFFDCPKVQQGYNLGNESRKAIYLRNTLLGHLAEA >OMP08556 pep supercontig:CCACVL1_1.0:contig03689:4438:8622:1 gene:CCACVL1_01107 transcript:OMP08556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MASNAAVSFSFVFLLLSLSLAMAARVSTVTENYGYGGGGVLSKDVLAQQEADRVVRLPGQPPVEFKQYAGYVTVNESHGRALFYWFFEAVTKPEKKPLVLWLNGGPGCSSIGYGEAEELGPFFPQKDLQTLKLNRYSWNKAANLLFVESPVGVGFSYTNTSSDINQLGDSITAKDAYKFLVNWFKRFPQYKSHDFYISGESYAGHYVPQLAEAIFDSNKQAPKADYINLKGFMIGNALLDDETDQKGMIDYAWDHAVISDRVYEDVKAKCNFSLPNVSDDCNTALNAYFAVYRIIDMYSLYAPDCVSNSSSSRQRRPIQGVAPKMFSQFDGWHKKPAGYDPCASDYTEIYFNKPDVQAALHANVTKMGYNWTHCSDVIVNWNDAPASILPTLKKLIAGGLRIWVFSGDTDGRIPVTATRLTLQKLGLKTVQEWTPWYTFHQQVGGWTIEYEGLTFVTIRGAGHQVPTFKPREALQLLKHFLADKKLPPAPF >OMO71165 pep supercontig:CCACVL1_1.0:contig11715:8244:8482:1 gene:CCACVL1_18396 transcript:OMO71165 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein VKTWRKKLCRQNIPDGGAVVQNNRAVVAAADNGCGGRALNSTYVKVKMEVKAIARKIDLSAHHSFETLTSHLMGMFGIC >OMO71166 pep supercontig:CCACVL1_1.0:contig11715:23845:26320:1 gene:CCACVL1_18397 transcript:OMO71166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKNTIAIAIATIFALTLCSGGAMAQGPTSAPEPSAVVFGPSAEAPAPADCLTNLLNMSDCLTYVTAGSNLTKPDKACCPELAGLVESSPQCLCLLLDKNATSSYGIDIDMTKALNLPNVCKVETPPISLCSGINGEAPTGSDAPMSSPGLAPEATASSPSNGGSNGASNIAASATGVASLAALAIAFLPTLFGI >OMO89762 pep supercontig:CCACVL1_1.0:contig08624:21106:26279:-1 gene:CCACVL1_07651 transcript:OMO89762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MVFSSVITRIAISRNPINRSILSSSRWISTHVFSNSNHLKNAETAVQPPLTPASAAYHVSSGGYMRGTVFWEPNKPLTIEEFHMPRPKAGELLIKTKGCGVCHSDLHVIKGEIPFSSPCVIGHEITGEVVEHGPLTDRKITERFPIGSHVVGAFIMPCGNCSFCSKGHDDLCEDFFAYNRAKGTLYDGETRLFLRESGKPVYMYSMGGLAEYCVIPAHALAILPKSLPYTESAILGCAVFTAYGAMKHAAEVCPGDSVAIIGIGGVGSSCLQIARAFGASDVIAVDVQNDKLQKAKTLGATHTINSTEEDAVERIKEITGGPGVDIAVEALGKPQTFLQCIQSVKDGGKAVMIGLSKAGAVGEVDINRLVRRKVKVIGSYGARARQDLPKLVKLAETGIFNLSNAVSQKYKFEEGNKAFQDLNNGRIVSRGVVEIM >OMO89761 pep supercontig:CCACVL1_1.0:contig08624:9447:11310:-1 gene:CCACVL1_07650 transcript:OMO89761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHVTIFIRPRQHRNGVTGLAVEPSIGPYTGPTIDPISKTLS >OMP10772 pep supercontig:CCACVL1_1.0:contig01942:827:895:1 gene:CCACVL1_00796 transcript:OMP10772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-ACP thioesterase PEWHDLDFNYHVNNVKYIDWILE >OMO88228 pep supercontig:CCACVL1_1.0:contig09076:26683:26928:1 gene:CCACVL1_08513 transcript:OMO88228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKLKLTLPLVLLIVFLTFTLLVSTPEARKFAPNHLRHAIMEPGSPSGGRQGRIRLDKVFEAIDLLGIKDTGPSPGTVGH >OMO88227 pep supercontig:CCACVL1_1.0:contig09076:23255:25674:1 gene:CCACVL1_08512 transcript:OMO88227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLSKRLHTLTQSLRWATHQVRLARTDAASSRRRSKAPGFALKKTQEKSEWWIVDGEMHEIGDHVPPRERFVIPRDNIPNKQRKQLREQFMRRTRLVIKESEHEPWCKRYMELYNELRENWERLYWDEGYSKKIAKDHAKYESAEDDDQDFNPYRSRPTRPDLIKDQGFGRNRQGNTLEKVNQLRDKFEYDREKRMREKAFAPMNGATASGSSSYGTNSRNQPFDPQRYFSDSD >OMO95892 pep supercontig:CCACVL1_1.0:contig07585:16433:17398:1 gene:CCACVL1_05195 transcript:OMO95892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAPEELQFLSIPDILRESISIPKRSPKTFYLITLALIFPLSFAILAHSLFTHPILAQLETQPSVDRAKTRHEWAMLLTVQFLYLIFLFAFSLLSTAAVVFTVASLYTSKPVSFSSTISAIPKVFKRLFITFTWVSILMFVYNALLIGFLVIFVIAVDSENAFLSFLAFLIILVLFLGVHVYITALWHLASVVSVLEPLYGLGAMKKSYELLQGRVKMAFILVFGYLAICGLIGGIFGTVVVHGGESYGVFARIVVGGFLVGVLVIVNLVGLLVQSVFYYVCKSYHHQGIDKIALHDHLGGYLGEYVPLKSSIQMENLDA >OMO95891 pep supercontig:CCACVL1_1.0:contig07585:6541:7759:1 gene:CCACVL1_05194 transcript:OMO95891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTSTDRTNLKRLGKCLEDFTHFLTRPPKLLIPKVNPKKLVKEKATVAAAGSNNKTEKCDEMYGQITT >OMO95890 pep supercontig:CCACVL1_1.0:contig07585:99:924:-1 gene:CCACVL1_05191 transcript:OMO95890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSGTKRPPRPPPPPPFARLSWPREQRNEDFNILPFDESPIMKLPEDDAEERGTSANQREHL >OMO87772 pep supercontig:CCACVL1_1.0:contig09178:2285:2572:-1 gene:CCACVL1_08775 transcript:OMO87772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQMKVLVAIILAVSLFLDFPAAVFGDDLERSKSNLRVPKPLPEEFIRQNGLDLDQVRRAEHKADKFTEALNKLPYFRPLEQRLDDGVLPSQKP >OMO87773 pep supercontig:CCACVL1_1.0:contig09178:17213:25508:-1 gene:CCACVL1_08776 transcript:OMO87773 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-dependent dehydrogenase MSSKGKGMGKGKAKELGSGKWKTGGRGAGESHRKRRKSGVLQFFDEAAEADDSRQIISAFSVDHIKGFFYIEAGKQCDINEVCKGLTYIYWSPVVPFSSHELYELLSVRTKCSEVSEGTWARVKNGNYKGDLCQVVAVNNERKRATVKLIPRIDLQAMAAKFDDGVSLKKTVIPAPELISSSELDEFQPLIQIGRDNDTGLYFQVLDGMMLKDGNLYKRVSIDSISCWGVMPTEEELLKISHSDNNESNDVEWLAQLYGEKKRKKTIKSDKGSEKGDGSSGSGMENSFELLDLVFFGRKDFGLIVAMGKDDRYKILKEAPEGPVVVTVEQHELKPFDKKFTALDLHSKTISINDTTKVLEGQYEGKQGIVKQIYRGTIFLYDENETDNGGFFCCKSQNCEKIKQFFYVCKEKGGELDSSGFEDFTSSRKSPVSPKKPWQERQIRSDVNNRNREGMFSIGQTLRIRVGPQKGYLCCLLAVHYSDVTLKLDSKQKVLTDGEDMINLKLKDVGLDFSWPVERIKEVLSCSLETVKSISILGLLPSMDLRQRKGDKSQVLKRCCLRVKCFVGAYFARWLASKLLETVYWFLDVIPELMLYLDGNGLMFGNNFGSRHDDDKDKDVDVEIFRAGKKISLTQLERDHRDWLLQMHDSYDEEIVSGEDQPVIVVNPSNKKALGISYDVIRVHQTLKRKGVTWKSGQKIKVLKGACAGLYKNNVYGTTEHFLIDGLQGDFGALKATEVVVDGIVVSNHGGRQLVGVEGEKIIHGKPSGVDDLVGTFDGTNTGWECKSTSNQNSSWGAAVCSADNDNKTDGATTSWETKATSGSDQVGAWGWQTMSKAKQVIHPLQARSFLVLTLTLILLFLAQIRTISGQHDRFWLAMTWPYGYCSDRKNAPCVNQPPDHLIIHGLWPADANGLLLYPPHCVTNRYSFYPQQLNNTVDLFVLLDIDGFLKGTIGLGQKKSKYDIINAVEKWLGRGYSKMVRVQLKCVKRHGIDLLKEIIFCVDQEGHLVMSCNRQMEAMQHSCGLDITLPGQVVP >OMO87771 pep supercontig:CCACVL1_1.0:contig09178:693:764:1 gene:CCACVL1_08774 transcript:OMO87771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DKEVFNGEEEEDDDDVKKEKKRR >OMO90054 pep supercontig:CCACVL1_1.0:contig08551:4229:5243:1 gene:CCACVL1_07530 transcript:OMO90054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMEVTRQNSEEIDKFLDGAASFADMMFGFLDGSSESEGSFNDLVDREEDAYDDDEDPSQVEQNKIFWEAQEQLLQATLFRTTSLESRIRQATKEALRELDVMGVQCACRRPVAGGCRNCLQRGISIHLQKAGFNCYICKSKWKSSLEIPSGEHTYLEVLDKSNPKKGEIRVVIELNFRAEFEMARANEAYNKLIARLPELFVGKAERLKALIKILCAAAKKCMKEKKMHLAPWRKHKYMQAKWLGTYERTSPAPLPVGYSDRLQKPKASMLTFDLLENLPALHCTAVKVL >OMP11232 pep supercontig:CCACVL1_1.0:contig01464:1123:5254:1 gene:CCACVL1_00614 transcript:OMP11232 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP binding protein MERSLTLSLWALICILNFPFAVEAQNQKRPTTDPAEVRALNSIFQQWGLSSNYQNSWNVSGEPCTGVAIDNSTDIKSEIYNPFIICNCSFDNNSTCHITKLKVLQLNVSGVLPDELWTLTYLTDLAIGTNNFSGPLPDELGNCSKLEMIYIDSSGISGQIPSTFANLRSMLIMYASNTGLTGKIPDFIGNWSNFTTLRLQGNSFEGPIPSTFANLTSMLELRINGLTNGGSLAFIKDMKSLEVLDLRYNNISDVMPSTIGEYQNLTWLDLSFNNITGQIPDSLFNLRSLIYLSLGNNKLNGSLPSEKSASLQNM >OMO67823 pep supercontig:CCACVL1_1.0:contig12373:2444:11971:-1 gene:CCACVL1_20291 transcript:OMO67823 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSMAAHEDDGFGPFIYRNDGDVEEPDHASNKGDAVSVVSYSNLHIDIHVGDDETSKWRFTGFYGRPEVSQRHESWAVKIIGLSISTSMALCWREAIDESNFQELPTKGLVFTWSRRFEEGMIFEKLDRCFANAAWMGQFLYSFAHDLIHSKSDHLPILVTVLDKAPMDFILKNQFRFENMWLKHEGLEDVIKDSGDDDPNVDVMQAIESCSRALQAWVEGILAMIHVVTGLLDKRISSDISTMLDKQFTQEEIKRAVFDMDLNKASSPDVSKALANRLKEFLPQIIGSAQSAFVPRRMIFDSTMIAYETVHFLKNKRIGNKGYMALKLDLSKDYDRVEWDFLESMMRQIGFSNRWISLAMTCVKTVSYSFMMNGDQTEGGEGRSRIGHGDKGQARLGSGFYTIARTDNVPNPVFGESCGYSSPVEAIDAASTSSSSVGIGSSKFFRSSVEKEESIELDWCSFWVQIHGLPLACMNESTGISIGKNIEIVEEVDKCGEKIAWGKCLRVRINMNVLRPLKIGTRVNLSIVGVTMIPFRYERLPDFCYVCGCLDHVESNCGKAYTMKRTTEKIVRGYGPWLRAETPLSNVSSFDTPANPIIPDRSSPTNNSIHIALANPVALEGNSLMKNTITNKQIGEGSRTVLTDFVVAVSDLEKDDDMAKVVNNSVTAKSLHQPNAEIMETVTMISNDLAGKQLFTDATCVENVKTETKKNKGEMEWLRAKIGFNNCFTVDSVGRSGGLALLWCSEIQLQEIPTQGPRMTWSGVTSQGRVYERLDRCLASTDCVDRFGFTFEEHELTLVSDHLPLILHILDKPELPIQIGRQFRFENIWAEHEDSAAIVNEGWTDSACEGVPDQLYKCGNLLQRWNQDVFGNRSKSLWLRDGDRNTKYFHAVANQRKRRNFITSVTDDAGEVHCDQDSITRIIMDYYQSIFSSDNPNRNDILKVTSLIGQRVSPKMSDLLDKEFTSKDIKEAIFQMNPCKAPGPDGMNPCFFQTYWHIVGRDIVKMALGFLNDGDPLPAINHTNIVLIPKLANPSSVRDYRPISLCNVMMKIITKALTNRLKLILPDIISDTQSAFVPDRQIFDNSIISFETVHYMSNKRGGQVSHMALKLDISKAYDRVEWSYLEEVMRVMGFSERWISRVMACVHSVSYSVSINGKQSGRINPTRGIRQGDPLSPYLFLLCMEGLTSLLRESESNGTIRGVAVARHAPRISHLFFAVDNILFLRTRVSDCEAVLHQLNRFEMASGQQINVAKSSILFSSNTPSDLKSSIMSFLGVTIGRNPSFLWRSLLAGRAVIKEGSRWRIGFGNLVYIWSDPWINKPPSFRPLSLPEVTMTDMRVSELISEDGRWNVDILDLLFQPEDVNQILSLPLSQTFHQIVVKMIQEQPCFLSPTTRAYPVQTNDIWHPPPASVYKLNTDTTYNVDSHEAKLGVVLRDSTGQIRFSACKRISFVADVMQSEILAIHYGLLVAQLNGIEKLVVKSDCLQAIREIPKGSASTWDSACLIEEIQTFASSFSLCSFKHVKRNANVLAHSIAQLDVSLGANYVWYGVLPPYVVNLDL >OMO67824 pep supercontig:CCACVL1_1.0:contig12373:37937:37999:-1 gene:CCACVL1_20292 transcript:OMO67824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAYEENGGNHHGSKMQILEQ >OMO77227 pep supercontig:CCACVL1_1.0:contig10797:15992:26260:-1 gene:CCACVL1_15149 transcript:OMO77227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYTVWEGKPVDEKKDVANGTQNSLEAGSDLVKEPSLTDNSNGDLKLSENGSAKPTVVPEKRVLSNGIANGC >OMP11840 pep supercontig:CCACVL1_1.0:contig00785:15:685:-1 gene:CCACVL1_00239 transcript:OMP11840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDK >OMO77348 pep supercontig:CCACVL1_1.0:contig10776:11775:13822:-1 gene:CCACVL1_15057 transcript:OMO77348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIKTVKVSNISLRATERDIKEFFSFSGDIQYVEMQRDDEASQVAYVSFKDTQGAETAVLLTGATIVDLSVNISLAPDYELPPAAFAPSPETQNKAPGGADSALRKAEDVVTGMLAKGFILGKDAVNKAKTFDEKHQLTSTASAKVASFDKKIGLTEKISVGTTVVSDKVREVDQKYQVSEKTKSAFAAAEQKVSSAGSAIMKNRYVFTGAAWVTGAFNKVAKAAGDVGQKAKEKVGEAEEERKRKVVDDFAQIHLSESPKDSAPSEQQPSKPAPAQGLIL >OMO77350 pep supercontig:CCACVL1_1.0:contig10776:20211:21302:-1 gene:CCACVL1_15059 transcript:OMO77350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosylphosphatidylinositol-mannosyltransferase I, PIG-X/PBN1 MSGASESQNSDARKYIMKSYFDKYESLHDSSFEDFMAHELSSSLCEAFPDNLNLDVKLSVPERSLVGEGSHRHLSSSVRLQIETQSIPKLPTHYCEVVIIQRLPLGVFADPFELEHLHERKVFKNVAVFGDTDLELPSFRSNRSVVEVHMDAGSNILSAQNNELEISIQLPLHARYQPLDESGYSIVEIGEPDVLLSCSKEEKQHEKSCLFMLPTDGAKFRIDAVAWRIPSGIKAHARLVSVFTFITAFLSTFSIVLASIYCSGVKVSKNMKQS >OMO77353 pep supercontig:CCACVL1_1.0:contig10776:26551:30310:-1 gene:CCACVL1_15062 transcript:OMO77353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding protein MDGVSSSSNSQNDASTSGGGSQTAAQPQPTPQPVAVQSANAPPPFLSKTYDMVDDPATDGIVSWSPTNNSFIVWNPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANERFLRGQKHLLKNITRRKPAHGHSHQQTQQPHGQSSSVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQSTDNQLQTMVQRLQGMEQRQQQMMSFLAKAVQSPGFLAQFVQQQNESNRRISEANKKRRLKQDGIIDNEHSSPPDGQIIKYQPLMNEAKLLRQILKGDASPRLDSFNNSNENFLIGDDLSSPGGINGGNSSTRVSGVTLQEVPPTSGQSTYVPTTSAISGLCPSAAISEMQMSPRATTSEKMTTAPFPDVSVPVGAQKPSSIPVPQADIVMPDISSITEMVPETIVNIPAENYMGPETGKDGFIDPSTLVANGSIPIELDDIDIDADPDFNALLEGSNIWAEYDFLVQSPLPEDIEPPSMDDKSKGNEAQPMDNGLDKSQHNMDKLTEQMGHLGSDNKMI >OMO77351 pep supercontig:CCACVL1_1.0:contig10776:22422:23903:1 gene:CCACVL1_15060 transcript:OMO77351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSPANSSVSTTAIVGGGATSNATLEDFHFPSSLISIQDRKDEAMLVLKSDLMAALNKEVKSLDEDNWKFEGPRSRIHLISRPGGLLKKQMELSKNRSMAPPK >OMO77347 pep supercontig:CCACVL1_1.0:contig10776:9030:10911:-1 gene:CCACVL1_15056 transcript:OMO77347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLIHRTLSNKTLHLRFFSQAAIALDQSPSPTSPFTLTYLEGFPKPDPKYNETILAIPRASSGSSISAKERKAGRVPSIVFEQEDGQHGGNKRLISVQTNQIRKLVTHMGQSFFLSRLFDLEVRSEFGNGDLIEKVRVLPRKLHLHAGTDAPLNVTFIRAPSHALLKVDVPLVFRGEDVSPGLRKGLYLNTIKRTVKFLCPADIIPPYIDVDLSELDGGQKLVMGDLKFHPALKLIQSKDEPIVKIAGARVSDQQKKK >OMO77352 pep supercontig:CCACVL1_1.0:contig10776:24729:25691:-1 gene:CCACVL1_15061 transcript:OMO77352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVRERRHQQGLRLSLPPPLPAADFRQQSHYAALLAAIGPTSPDIESPSDLEKLSVIGHGNGGTVYKVCNPTSSSVYALKVLRFDQNAAAIRHQAACEAEILKRVDSQYIVKCHAVFDTIGGELCFLMEYMERGSLYDELSVSVNLPEDVISGVAQRVLRGLDYLHGMQIVHGDIKPSNLLIDGKGDVKIADFGVSKIVVGTRNACDTCMGTCAYMSPERVDPERWNGRNADGFSGDVWSLGVVVLECFVGHYPLIGLGEKPDWAALMCAICFGERLEMPETASLEFRSFVKRCLEKDWRKRATVDELLGHPFVNNKKS >OMO77349 pep supercontig:CCACVL1_1.0:contig10776:17413:19539:1 gene:CCACVL1_15058 transcript:OMO77349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAGRPFASRKNLINGKQDATQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKQASETDHRVEVSASKKITDAKLAKDFQAVLKEFQKAQRLAAERETAYTPFVPQAVLPSSYTASEIDVGSDKNGEQRALLVESRRQEVLLLDNEIAFNEAIIEEREQGIQEIQQQIGEVNEIFKDLAVLVHEQGTIIDDIGTHIENSQAATVQAKSHLVKAAKTQRSNSSLTCLLLVIFGIVLLIVIIVLAA >OMO60775 pep supercontig:CCACVL1_1.0:contig13667:1413:9210:1 gene:CCACVL1_23885 transcript:OMO60775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIEKPAAVCLWTDSCVGLGTRRICCSAWHFGPQPTPY >OMO60776 pep supercontig:CCACVL1_1.0:contig13667:14876:15172:1 gene:CCACVL1_23886 transcript:OMO60776 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, B18 subunit MEVPGSSKKMIATQEEMVENKVPIPYRDQCAHLLIPLNKCRQAEFYLPWKCEIERHSYEKCAYELVMERMLQMQKIREEEAKLKSGGSIPLIPKTANA >OMO57746 pep supercontig:CCACVL1_1.0:contig14321:160:669:1 gene:CCACVL1_25691 transcript:OMO57746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPIRDFEGKEKRKEILESLAMRIQLTAWEQEDGMGLGRNSKAVK >OMO57747 pep supercontig:CCACVL1_1.0:contig14321:22588:22677:1 gene:CCACVL1_25692 transcript:OMO57747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDPMGNFSVRSGYIVARKFLGRVEFLV >OMO93507 pep supercontig:CCACVL1_1.0:contig08096:20369:22335:-1 gene:CCACVL1_06459 transcript:OMO93507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAVLVLLVAFWLVALALCFCFALLKWNEIRYSTRKGLPPGTMGWPVFGETTEFLKLGPNFMKKQKARYGSVFKTHILGCPTIVSMDPQLNRYILLNEGKGLVPGYPQSMLDILGKCNIAAVHGSAHKHMRGSMLSLIGPLVIKDELLPKIDKFMRSFLHNWSGETIDIQDRTNEMALSISFNLIVGKESTSLYEIFKPEFDKLFIGSLSLPINIPGANYHQGFQGRKKIVKMLKQIMEERRASAIPHDDMLHRLLYSEDSKYNLTDEEIIDQVITILYSGYETVSTTSMMAIKYLHDHPQALQELREEHMAIREKKKPGEAIDWNDYKAMNFTRAVIFETSRLATVVNGVLRKTTEEMELNGFIIPKGWRIYVYTREINYDPFLYPEPLTFNPWRWL >OMO93511 pep supercontig:CCACVL1_1.0:contig08096:39791:41663:-1 gene:CCACVL1_06463 transcript:OMO93511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pterin-binding protein MNLFKQLLPTKRGIIGAQKYCRASFSAFLHSSPDQSVEVHSPDHEVVIALGSNVGDRINNFNEALLLMRKSGINITRHACLYETAPAYVTDQPRFLNSAVRAVTKLGPHELLSVLKKIEKDMGRTDGGIRYGPRPIDLDILFYGKYRIGSDILTVPHERIWERPFVMAPLMDLLGSVIDNDTTACWHSFSTDSDGLFGSWEKLGGDSLIGKEGMKRVLPIRNRLWDWSEKTSVMGILNLTPDSFSDGGKFTSVETAVSRVRLMISEGADIVDIGAQSTRPMASRISAQEELDRLMPVLEAILVMPEMEGKLISVDTFYSDVASEAVQKGAHIVNDVSAGQLDPNMHSIVAGLNVPYIAMHMRGDPTTMQSSENLQYDDVCIQVAAELYSRVKDAELSGVPAWRIILDPGIGFSKNTEHNLEILMGLPAIRAEIAKRSLAVSNAPILLGPSRKRFLGDICNRPVAAERDPATIASVTAGILGGANIVRVHNIKDNVDAVKICDAMLNTRRIP >OMO93521 pep supercontig:CCACVL1_1.0:contig08096:113028:113516:1 gene:CCACVL1_06473 transcript:OMO93521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHLFRSSPLKGCPIVSVGTKRGRFSQARKPLPKTPPPPPPPPKQTPTPSKFRTFTGVLIGFGGLMCGIEYGFTISMFTYWSYIHGPDPAKEWLKRRFDPTSQFPVAARDEEFLLEVRMAIEEFNERMDKVEEKVQKEEERRKKRKRKSSPLVKKGDLFKVL >OMO93514 pep supercontig:CCACVL1_1.0:contig08096:58084:65630:-1 gene:CCACVL1_06466 transcript:OMO93514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRISPRLHNLPNDPGDSSIGEISNGSAMKTPVNTRVSPRLQGISLEKRPFYGCSNRKKESNSVLMNALTESDTKSPLVTRASPRLQKIPLEKRPFYGSSCRKKRPNSDLEKTLNESDSKNPLLTRISPTEKILNESDTKKPPNLRVSTRLQSIPSGKRPYYGSNLKKKPSDVSQENIMVKSPKADNSTDSSVTVENGENGEKDVIDSEEIGLKVKNRLRQFNKYYLQFAQEEEKRCNGVKDSDHEIVNDKRKMRKNGEVIRHDRNFGDLPGIKVGQHFCSRAEMVAVGLHRRLLNGIDYFERSYGISDYSGYTFPLASAIVLSGKYEDDFDNSEEIIYTGEGGNDLLGKKHQVKDQVLCRGNLALKNNKEQSVPVRVIRGHDCGDSYSKTLYVYDGLYEVTDSWDEKGISGYIVYKYRLKRLNGQEKLRSQNQVIYLFILFHTILIFPPRIACEDLSKGQEDKRIPVLNLHTYPVAPTGFRYIKSIEVSENVSMPPNAPGCNCKGKCTNSRSCSCARLNGGDFPYVSQDGGRLIEAKDVVFECGPNCGCGPECVNRTSQRGLKYHLEVFSTKDKGWAVRSLDFIPSGAPVCEYVGIIRRNDELDVISENDYIFEIDCWHTMKEIGSRERRLGDVCLPLSNSVKEVDEKTLESDPEPEFCIDASSSGNVARFINHSCDPNLFVQCILSTHRDIRLARIVLFASDNIPPMQELTYDYNYALDSVIGPDGKIKKHPCHCGAADCRKRLY >OMO93520 pep supercontig:CCACVL1_1.0:contig08096:105100:112257:-1 gene:CCACVL1_06472 transcript:OMO93520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAVKRSKDTGENPGALSLTMEDWKHAKSSGWSKHNKRKVPWKFQRCLGNYIGGLKDFKWNSTTAVGERLLSTLLTEMDGLEQGKCETGASTQKYEKVIIESDAEVVLKVLQQRRQKILEQRKSLPIASVEKRLIEEVQKHDILIIVGETGSGKTTQIPQFLFNAGFCRDGKSIGITQPRRVAAVTVAKRVAEECGVELGQKVGYSIRFEDVTSSSTRIKYMTDGLLLREALLDPFLSKYSVIIVDEAHERTVHTDVLLGLLKKVQNVRSKNVEDHTLVGHKRASNGTILEKENTDPGVSVLKQFQGRKLPPLKLIIMSASLDARVFSEYLGGARAVHIQGRQFPVDIFYTVDPQTDYLDSAIVTIFQIHSNEASGDILVFLTGQEEIESVEKQIQENLKQLREEDRKMITVPIFSSLPSEQQMRVFAPAPPGFRKVILATNIAETSVTIPGIKYVIDPGVVKARSYDPVRGMESLVVVPTSKAQALQRSGRAGREGPGKCFRLYPESEFEKLEDSTKPEIKRCNLSNVILQLKALGVDDVFGFDFIEKPSRAAIKKSVEELILLGALTDECKLSDPVGRQMARLPLDPIYSKALILASQFNCLEEMLIVVAMLSVDSIFYEPREKLDEALFNPLFLIGQSRTAKKCFTSPEGDHLTLINVYRASNDFMETRKLQVGNNKHEKVLRKWCRENFINSRSLRLARDIHSQIRGHVEQMGLCISSCGDDTLQFHRCLAAAFFLNAALKQPDGKYRALASGEVVSIHPSSVLFRTKADCIIFNEFVKTSDKYIRNVTIIDGFWLTELAPHYYAKEE >OMO93513 pep supercontig:CCACVL1_1.0:contig08096:47192:49333:-1 gene:CCACVL1_06465 transcript:OMO93513 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconolactonase, DevB-type MALSGINNDRGELRVHENLDELRTDLADYIAELSEAAVKERGVFAIALSGGSLISLMGKLCEAPYNKTVDWAKWYIFWADERVVAKNHSDSNYKLAKDGLLSKVPIVPSHVHSINDSVSAEEAADEYMFVIRQLVKTRVVSVSDISDCPKFDLILLGMGPDGHVASLYPNHPVLDETDEWVTFITDSPKPPPERITFTFPVINSASNVVMVVTGESKAEAVHLAIDNIGPDCPSLPARLVQPTKGKLVWFLDKPAASKLDGHQFSD >OMO93512 pep supercontig:CCACVL1_1.0:contig08096:42282:45798:1 gene:CCACVL1_06464 transcript:OMO93512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MASLSFAHFLSLPRWKSNSIIYPTLNLVQPLDIRLQNKWCLSAKRNADNSTQEETSENGAVVKKKASRTTRRTATRTRKKAKDDVTEENSELVLNTDAANEESITPVSSEDNKKTSRRTRKKATVASASPSVEEQKTEKKVRRRRTKKKNDDTEEQQSESDISDTEESSLMMSSSDESEEDELNIDEGEDISYTYGWPPLVCCFGAAQHAFVPSGRPANRLIDHEIHERMKDARWAPEKFVRAPGGPAGNVALALANLGGKVAFMGKLGDDDYGQAMLCYLNVNNVQTRSVQIDSRRATAVSQMKISKRGRLRLTSLRSCAEDSLSKSEINIDVLKEAKMFYFSTHSLLDRNMRSSTLRAIKMSKKLGGVIFYDINLPMPLWQSNEETKVFIQEAWNLADIIEVTKQELEFLCGIKPTEEFDTNNNARSKFTHYDHEVVAPLWHENLKVLFVTNGTSKIHYYTKEQDGAVLGMEDAPLTPFTCDMSASGDGIVAGLLRMLTVQPDLITDKEYLEHTIKYAIDCGAIDQWLLARTRGFPPREGIVEGGGEEENEDEEEDEEEEMVPDPNGIRSITEREYRTLVESVS >OMO93519 pep supercontig:CCACVL1_1.0:contig08096:101368:104021:-1 gene:CCACVL1_06471 transcript:OMO93519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methylesterase 11, chloroplastic-like protein MGNAFGCINPDSTSPTRGSRFRPPRPPPPSSSTAATATTSSSTFAPLFNARPSFFSSSPSLRKNKSKRRSLSESLDHHHDLEDHEAAMIREQAMAAALLFRQHQRNNSLPFNRSTSVVHPSPASGSKKQASHNFPRSSSSRPRSVTDPMIRPQQLLHGQQEVKIDGVETNHFVLVHGGGFGAWCWYKTMTLLEENGFKVDAVDLTGSGINSFDTNNITSLALYVKPLTDIFDKLEDGKKVILVGHDFGGACISYVMELYPSKIAKAVFVAAAMLSSGQSTLDMISQQMDSNDMMRQAQMFLYANGNNNPPTAVDLDKTSLKDLFFNQTSAKDVALASVSMRPIPFAPVLEKLSLSDMNYGSIPRFYIKTQEDSAITPTLQEAMIKSNPPEQVFQLKGSDHAPFFSRPQGLHKMLVEIAQIPSNKQASS >OMO93510 pep supercontig:CCACVL1_1.0:contig08096:32329:38855:-1 gene:CCACVL1_06462 transcript:OMO93510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MNSEYGSNSLNDLSFSLSISPLSTLLRALVAAVRKRRLNYQGNSELLHHDDGEEKLTLTNHLEWLNKAAVKSLADDNLMPDIKRWRLCTVKEVEQTKLLLSIIPMSLTFIVYGMVKSLGNTLFILQIDYMKGGIPFVVFQLIQEVSKHVVNSIYKMVFEKRIERNKRRYSDGVKIGIGMLASVPCCAVAYAVETKRWLNKAAVKDQSKADCDLMVEEKRWRLWTVREVEQTKLLLNIIPMSLTFVTYGMVKSLGNTFFIEQANGMSGGVPVVVFQIIQESSNIAATAGYKMVFERRIKRTKGRYSDGVKIGLGKPEEEEETPFLEVQEEPPSLQVEEGKTSEDQQIQIQLQKIPVHS >OMO93516 pep supercontig:CCACVL1_1.0:contig08096:84971:86637:-1 gene:CCACVL1_06468 transcript:OMO93516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MSLEHGFLLFHSNLLSFEAFLWSVLLVGTIALWLSPGGIAWARFRSKASLAISGPLGFPLLGLLPVFTGSTPHRALAKLAKTLDALKLMAFSVGFTRFIISSDPEAAKEILNSSAFADRPVKESAYELLFHRAMGFAPYGEYWRNLRRISATHLFSPKRIAGFEGFRREVGVNMMEEIRASMEAKGEVLVRKVFHFGSLNNVMATVFGKKYDFGKNSEGFELEELVSEGYELLGIFNWSDHFPLLCLLDFQGVRKRCRGLVSKVNVFVGKIIEEHRVKRVNGVLEDDGSKVGDFVDVLLDLEKHEKLNDSDMIAVLWEMIFRGTDTVAILLEWILARMVLHPEIQSKAQAEIDAVVGKSKQISDSDIPNLPYLQAIVKETLRVHPPGPLLSWARLAIHDIHIGEHFVPAGTTAMVNMWAITHDEKVWAEPEKFKPERFMKEDVSIMGSDLRLAPFGSGRRVCPGKAMGLATVHLWLAQLLQAFQWVACNDGDVDLTEQLKLSMEMKNPLVCKAVPRFA >OMO93509 pep supercontig:CCACVL1_1.0:contig08096:30309:31727:1 gene:CCACVL1_06461 transcript:OMO93509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVSQHNTKEDCWIVVDGKVYDVTSYLDEHPGGDDVVLAATAKDGTDDFEDAGHSKSAKELLQDFCIGELDTSSPIIPELEIFEKETRNYSQKVLELTKPYWAVPVAIVGISVVVGFLYLRKK >OMO93518 pep supercontig:CCACVL1_1.0:contig08096:92633:93299:-1 gene:CCACVL1_06470 transcript:OMO93518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGEAPKPGTNNSQPAPAEAPVEAPAVSKPAPPPQPVDITKQIPAGINSSSANNYLRADGQNTGNFITDRPSTKVHAAPGGGSSLDYLFGGAPPPGSK >OMO93508 pep supercontig:CCACVL1_1.0:contig08096:26081:28720:-1 gene:CCACVL1_06460 transcript:OMO93508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSRTLPLILFSVFLNFAVTTVSLACSKGQCKLLDECLFNEDCQPGLSCFSCSEEFHGSRCVRSTATNQFKLLNNSLPFNKYAFLTTHNSFANDREPLHTGIRLTFINQEDTVTQQLNNGVRALMLDTYDFKGDIWLCHSFKGKCFDFTAFGLAIDTFKEIEAFLSVNPSEIVTLILEDYVETPNGLSKVFKDSGLMKYWFPVSSMPQNGQDWPLVKDMVSNNQRLVVFTSKKYKQESEGIAYQWNYMVENHYGDAGQQTGKCSNRGESAPLDDKTKPLVLINQFHSIPIKEMTCKDNSAGVLSMLDTCYGAAGNRWANFVAVDYYKRSDGGGVFQAIDKLNGKLLCGCDDVHSCVPGSSSATCSH >OMO93506 pep supercontig:CCACVL1_1.0:contig08096:15500:16487:-1 gene:CCACVL1_06458 transcript:OMO93506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRYPFQQSPQSEQHKDKAVRKSCKRDPSSDSIKTLDDSSSDHRKG >OMO93515 pep supercontig:CCACVL1_1.0:contig08096:67690:78457:-1 gene:CCACVL1_06467 transcript:OMO93515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYPPTSRRSRKPPSKSDLYSTFVVHSGSESESESDSRKSKPRKQPPHEPDPYATMLFKGGDEEEEEDDSSLPPLLKRLPKDFGGGGGGPAGFDDDDDEDAGDFGTMIVKTDRGRNSSSRRQMSSSFRPPAPAKVSPMRSRREEMDVDDDEEDEDGDGFGTFVVRSLVRSEREGSGTVVKRAVASMGELGLGKQKRSTSSASLQGEELRFLQNSKVSASSIPDSVTREDPSTKYELLNELGKGSYGAVYKARDIRTSELVAIKVISLTEGEEGYEEIRGEIEMLQQCSHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMNVTEEALEEYQIAYICREALKGLDYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGLPPRSNVHPMRVLFMISIEPAPMLEDKEKWSLVFHDFVAKSLTKDPRLRPTASEMLKHKFIEKCKCGASVMLPKIEKAKQIRTAMAQQAQAQALAPTISGLNPPVVSQLNEDYGDTVPSRPQNMDLQVANKVLGTSTLKKHHVLDGVEETGEGEFGTVIVHDGDERQKPFAESQIHINKEASTAFNHVESTLTNGTVHRLAESQVDKRVGASANSAMMEESHLAAQGFSSTLTPPEQKLRSDHVPEAKAKGGGEISSSTLKNETVSKKGFALQDKLFSIYAAGNTVPIPFLRATDVSPIALLSDNVLGGIQQDCSGTVAVEALQELFAGDGQSKKGRRTQNEMPLPPSVYQRLTSSSTLMNLAQALAYHKMCYDEMPLQELQAMQEQQTIQNLCDTLRTILRLPVLGFAASSYYTPRLFPLPLLAAVNRSSFAAGSLQLEPTSPFSSSLSRRLLSSSTVSTRNNHENQQRGEEPFLDDTTEEDVETTDGWEEEDEMVEPKIGDGGDGGGVVLQGLPWGESALSITHDVLKQFSDDFKIFAFKISPRGYIYVRLDKLSNEYGCPTMEELESYSQEYKKRLDEAGERGEIPDDLALEVSSPGAERILKVPDDLDRFKDMPMRVCYIEDSESNCTEKSGVFILDSIETEQENCVWKLAEVKENRDPNSKGRPFSRKQKDWRLKVPFDKHRMIMLYLEY >OMO93517 pep supercontig:CCACVL1_1.0:contig08096:90250:91763:-1 gene:CCACVL1_06469 transcript:OMO93517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coenzyme Q biosynthesis Coq4 MMQWARVKLNPWQQAAVAVGSAVGALLDPRRADLIAALGETTGKPAFERVLQRMKSSPEGRAMLLERPRVISAQVGQAWDLPENTFGAAYARFMGSRNFSPDDRPPVRFMDTDELAYVAMRAREVHDFWHTLFNLPTNLIGESALKVIEFEQIYLPMCMLSVVGGTARFSEKQRTLFFKHYFPWAVKAGMQCTDLMCVYYEKHFHEDLEDVRRKWGIIPAPPVPK >OMO61072 pep supercontig:CCACVL1_1.0:contig13605:3105:3179:-1 gene:CCACVL1_23749 transcript:OMO61072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDPNGLPRFRRGSLRVKYYMTQR >OMP11693 pep supercontig:CCACVL1_1.0:contig01023:3637:3699:-1 gene:CCACVL1_00336 transcript:OMP11693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSFGAYYHSKYFDSQLI >OMO82595 pep supercontig:CCACVL1_1.0:contig10008:1346:7762:-1 gene:CCACVL1_11872 transcript:OMO82595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSEGDKDKAVVSCLLSFFYFFSTAPSGEEKQRVLDIKRKKRSDNGKQQRG >OMO83495 pep supercontig:CCACVL1_1.0:contig09880:33685:38696:-1 gene:CCACVL1_11375 transcript:OMO83495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3 GTPase-activating protein catalytic subunit MEATSFVSKARTAFHSAAAKAERVFTDFKSDLDSDKLSPKEFKHESLTIEGESKSIHEVKHSRWKPTNLGTKQEWQERFKNIRIGRKGVEDSDKVENSTMAVPFYDENLYLLNAKNDAEAKALEAIPSVDVLNTINVNSIPPTSVIKQLAVAVEAGKKFKSLKDILASSGGSSPMRERAGLSFSAVKSLVIRDKEDKLASGFGDDERVVALIRSLFDAEGNFLRRELVSDSNTCMSMISLPKDIHGAPPQSFLVKLSEVIGSFRTLRKMVLFWCRVVMELRRFWSEERHLPGIPVDEIPDLNSCLLYQQLQVINCCLSRKRRRIIATESFDSEMREASSNVEESNVSKGTIAASSALYARISSGELVLRLGANQPADNLTMLETDEPIYSPITQEGPLLTEDLIRETEELVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTEIDSGDEANSSATRGQLSSRMQKEGNLWRELWETAKPVPAIRQTPLFDEDLAVDGILNFFEDIPTSELFQQLLVSLLGLGFLLAEDKLSANENLSKLFYECKDYVFATCQRSVWDDKLDEICQVYETVETMLLSPDDVIKTIKQAEDTATTENGSPAGELKRRFKKLGLNFVGKDKQQRRPPSKDQKNSDENSSSPSRPFASFFDSKSSLFSKKPPKPENVSHVDKPPSMDENDWTLV >OMO83491 pep supercontig:CCACVL1_1.0:contig09880:14423:18012:1 gene:CCACVL1_11371 transcript:OMO83491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MATRLESKDGEALRASLRKYRLQGNSEDFDVVELNNYLDAQYYGEIGIGTPPQTFSVIFDTGSSNLWVPSSKCYFSIACWFHSKYKASHSSTYKANGKSASIQYGSGAISGFFSEDNVQVGDLVVKNQEFIEATKEPSLTFLVAKFDGLLGLGFQEISVGNAVPVWYNMVDQGLVDEPVFSFWLNRNPEDDLGGEVVFGGMDPNHYKGNHTYVPVTQKGYWQFDMGDVLVGNQSTGFCASGCSAIADSGTSLLAGPTAIITEVNYAIGATGVVSQECKTVVAEYGEIIIDKILSKDEPKKICSSIGLCAFNGAQGVSMGIESVVDESDGKDNGIIRDAMCATCELAVVWAQNQLKQNKTRERIFQYIDDLCDRLPSPNGESVVDCKSVSSMPNVSFTIGGKIFELTPEQYVLKVGEGEIAQCVSGFTAFDVPPPRGPLWILGDVFMGQFHTVFDYGNMQVGFAEAA >OMO83501 pep supercontig:CCACVL1_1.0:contig09880:75667:77326:1 gene:CCACVL1_11381 transcript:OMO83501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNLKHLTYSLLLFISLIGSSIADDEDLLEWVQQDNRRFLNAVLRVSNLNRTIEFYTQSLGMTVLRKREIPEKDSFGAVLGYGPEESQFVLRLKQIHEGESLDIGTAYGHFGIATEDAYGLVDIIRAGGGVITREPGTLPGLSQIFAFVEDPDGYSIEILERPPTPFPINHINLHVVDLDRAIQFYQQALGLQLILIFDNPLEQYTMAIMGFDTNFTQITTVELQYNYNVTEYTSGNGYIQVAISTDDVFTSADEVELVIQELGGSIIRAPDENNKTFSFLDPEGFKTVLVENQVLVENRLKKQRGKLQGISIASE >OMO83498 pep supercontig:CCACVL1_1.0:contig09880:58227:60634:1 gene:CCACVL1_11378 transcript:OMO83498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLKHLTYSLLLFLFLQIGSSIAASTSDDDLSELWVQQDNRRFLNAVLRVSDLNRTIEFYTESLGMKVLRRREFPEKDYFGAVLGFGPENSQFVLHLKQIHQGEKLEIGTAYGHFGIATEDAYKKVEEIRARGGVIRREPGTLPGLSQIFAFVEDPDGYSIEILERPPTPAPINHIMLQVVVLDRAIQFYQKALGMQLILRFDNPAEQYTIAILGYGTNFTQITSVELQYNYNVTKYTKGNGYIQVAISTDDVFKSVDAVKSVIQELGGKIARAPNQITKTASILDPDGHKTVLVENRRKK >OMO83502 pep supercontig:CCACVL1_1.0:contig09880:77713:80684:-1 gene:CCACVL1_11382 transcript:OMO83502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraacyldisaccharide 4'-kinase MEKLKRAVKEIAYAQDQAKLPKFHSSLIPFLSFASSIYGAVLTLRHSLYRSGFFSKHRLPVPVISVGNLTWGGNGKTPMVEFIAKSLADYGISPLILTRGYAGGDEAKMLQRHLLGGPVKVGVGANRAAIANMFFVKYGYLDCRGSKLSERTNLDQKVESQINSEKIGAVIMDDGMQHWSLCRDLEIVMINGLMPWGNGHLLPLGPLREPLKALKRAHIAVVHNADLVLREKLKDIELVIREIKDSLPIFYTKMDPSYFFDVSNINTKMHLEAVNDAVVLCVSAIGSANAFVQGMGKLGPFYVHRFDFTDHHSFQNKDIQMIRERLGELEDNFSSKPIVVVTEKDYDRDPEILKHLHPFQVLVLCSEMQIIPHKGSNEDQFKLLLKELLELEV >OMO83494 pep supercontig:CCACVL1_1.0:contig09880:24914:28419:-1 gene:CCACVL1_11374 transcript:OMO83494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment MMLGALRRKVASGGSSASVLGKSFQAIRPGVSASRVSSNAGKEVLLLQPRGIANVRNFSHLVLPASAAGLTRTRDVISSIQSEAIMQKSCRAFSSGDGDLVDAVVPFMGESISDGTLATFLKKPGDRVAADEPIAQIETDKVTIDVVSPQDGVLQEYVAKEGDTVEPGTKIAVISKSAEGVAPAEKKSEKAASKPSPVETVAKVEAAPAAKPKAPSPPPPKQTATEPVLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGFMSGFVKAAVSALQHQPIVNAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRDAEKMNFAEIEKTINSLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGNVVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDV >OMO83496 pep supercontig:CCACVL1_1.0:contig09880:41733:50623:1 gene:CCACVL1_11376 transcript:OMO83496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEMDETEAVSQVYMACIQQGHRIGISYYDSSIRQLNVLEVWDDGSSEFPLIELVKYQANPIVIYTSTKAEESFLSALQRSDGMSEAPTVKLVKSSIFTYEQAWHRLIYLRVMGMDDGLNIKERTCYLSSMMDMGSDIQVRVSGGLLAILENERIVDTLEQKECGNASIAIDSVIEISLDKFLKLDASAHGALQIFQVDKHPSHMGIGRAKEGFSVFGMMNKCVTPMGRRLLRNWFLRPILDLENLNNRLNAISFFLSSEELMVSLRETLKSVKDVPHILKKFNSPSSMCTSSDWMAFLKSVCSLLHVNKIFEVGISENLREHMEYLNLDIVTKASACITADLAYVYELVIGVIDVNRSKEKGYGTMVKESFCDELDELRQIYEELPEFLEEVSSLELARLPHLCKLNLTPCIVYINQIGYLMCFFEEKPDEITEDILQDFEFAFSDSDGGTKRFFYRTPKTRELDDLLGDIYHKILDMERAIIRDLVSHILTFSTHLFKAVNFVSELDCFLSLAMVARQNNYVRPTLTMETFLDIQNGRHVLQEMAVDTFIPNDTKILDEGRIQIITGPNYSGKSIYIKQVALIVFLSHIGSFVPADAATIGLTDRIFCAMGSKLMTAEQSTFMIDLHQVGMMLRQATSRSLCLMDEFGKGTLTEDGIGLLGGTINHFVSTDVPPKVLVCTHLTELFNESCLPKSEKIAFYTMSVLRPDENSTNVEDIIFLYRLVPGHAALSYGVPEEVINRAALILDGIENNKNVEPLCNERISGRDEQYKGVVEKMLAFDPQRGDLSTFFRDV >OMO83489 pep supercontig:CCACVL1_1.0:contig09880:3915:4094:1 gene:CCACVL1_11369 transcript:OMO83489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLKTNARSHDLKAKRWTGQTKCGVHTATKESCSSISLTLSVLPSRVIEMRPIRAVHS >OMO83490 pep supercontig:CCACVL1_1.0:contig09880:5108:7947:1 gene:CCACVL1_11370 transcript:OMO83490 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDKIRGRASSLFDTSAVSAADVTANNFFHHKQSSLFGIDPFRKSFNKASSSSSSCWKLQAAAMADDPLVVESSSASDGKRLVNEMDFFAGERSSKALQQVHINKASSAAADHNDHNLHVKIESEHHQDQNNEPEVDVNTGLNLLTTNSAANDQKSVPQILKEKQRVNQLADIRAELERIKAENQRLKVTLNQVNSSYYALQMHLVSLMQRQRINPRPETETTDNNQETIIARQFMDLGQAAAKSEKDINDQISESSSEGRFQEESGSGSPGNAIVESMDRIRQQKNINNDRKEDDDQPAGWLSPNKVPKFNSSRDVEQAQETMAMIRKARVSVRARSEASMISDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCADDRAILITTYEGNHSHPLPPAAMAMASTTSAAASMLLSGSMPSADGMMNTNAILPKAMLPCSSSLVTLSASAPFPTVTLDLTHTPNNQRPSLNQLVHGAPNSPNWPHHNISSLPHLVGHPIYNQSKLLGFLGSQGTDQHHPHLAQNLQLQSHSMADSVSAATAAITADPNFTAALVAAITSIIGNPHRDNNIIGNNSNNNGATTPRNTGDNNT >OMO83493 pep supercontig:CCACVL1_1.0:contig09880:21335:22690:1 gene:CCACVL1_11373 transcript:OMO83493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWIRGPAIGRGATATVSLATAIPSGETFAVKSTELCHSLFLQRENYFLSKLSCPRIVKYIGYDIVTNEATNNKPTYNLCMEYVPGGTLSDEIQRVGGRLEEQRVRLYSQQILQGLDYLHVNGVVHCDIKSQNILIGKDGAKIADLGCAKYIDTSAFSGTPAFMAPEVARGEEQGFEADIWALGCTIIEMSTGNSPWPELNDPVSALYKIGFSGDVPEIPGNLSEEGKDFLGKCLKRDAKERWTAKELLKHPFLENLDTHWEEGKESTVNSYSPCSVLDQGFWDSLEVVESPKNLIPEKISLNSPTDRIKELLQGTIMSPAACNWTWTEEDWITVRSSDIEENKEFSCSDEISNVIEEDEFSFTIPSVLEDSFQEELESESSTLDELENSLEITSTIYFSSRTNIELPFDSVTDEFFVSDNLNFETINENSCSIPNFQSYLSNLNHILTLP >OMO83497 pep supercontig:CCACVL1_1.0:contig09880:51053:53680:-1 gene:CCACVL1_11377 transcript:OMO83497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAKKLFFFCLLLSLLALSLELAKAQMAPAAFVFGDSLVDVGNNNHLPVSVAKANFPHNGIDFPTKKPTGRFCNGKNAADLIAEKLGLPTSPPYLSLLKKKDASYINGVSFASGGAGIFNSTDSIFGQAIPLPKQVDNYVEVHKILVQQMGSSGAEKHLSKSLFAVVIGSNDLFDYFGSSKLQKQNTPQQFIDSMATSLKAQLKKLYEFGARKFMISGIGVIGCIPAERVKNKTHECDEERNFWSVKYNDVLKAMLNGLKSELHGLSYSYFDTYSVMHNIIQNPSAYGFNEIKAACCGLGELKAKVPCVPISTYCSNRKDHVFWDLYHPTEATDRIIVDTLFDGPSPYAVPMNVRQLVSL >OMO83492 pep supercontig:CCACVL1_1.0:contig09880:20967:21035:-1 gene:CCACVL1_11372 transcript:OMO83492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHVACEECIVDSSDRLWTAVA >OMO83499 pep supercontig:CCACVL1_1.0:contig09880:61976:63794:-1 gene:CCACVL1_11379 transcript:OMO83499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAKNLLLIFLLISLLALSLELAKAQLAPAAFVLGDSLVDVGNNNYLRNIAKANFPHNGIDFAARRPTGRFCNGKNPADFIADQLGLPSSPPYLSLPKNASYINGVSFASGASGILNGTFLAYGELIPFQKQVGYFVEVHKSLVQQMGSSAAEKHLSKSLFCVIIGSNDFFDYFGSSELQKQHTPQQFIDLLATSLKPQLKKLYEFGARKLMVAGVGPLGCVPAERVNNKTHQCNEEHNSWSVKYNDMLKAMLKGLKSELHGLNYSYFDTYKVLHNIIQYPSAYGFNEIKAACCGLGELRAKSLCLPIARYCSNRKDHVFWDLYHPTEAAYRIIVDVLFDGSSPYVVPINVRQLVSS >OMO83500 pep supercontig:CCACVL1_1.0:contig09880:67738:68004:1 gene:CCACVL1_11380 transcript:OMO83500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIYRLRAISTDDVFKSADAVELVIQELGRKIVRTPNQITKTTSFLDPDGYVKTVLVENRR >OMO72978 pep supercontig:CCACVL1_1.0:contig11324:3620:8808:-1 gene:CCACVL1_17495 transcript:OMO72978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Colon cancer-associated Mic1-like protein MAGKASSSQASVGGLVSGALSHVFIQYPPLRCNINESRGFYYDDANKLLISPTSEQVFSWKTAPFSPLAATTTDSISEGPICSIRFSLDEKLIAVQRSNILIQFFHRETGETFTYRCKSESENILGFFWTDCPSRDIVVVKTSGLDFFAYDYASKSLTLVETKKLNVSWYVYTHESRLVILASGMQCKTFNGFQLSSAGIIRLPKFEMVMARSEANSKPVLSSEDVHIVTVYGRIYCLQVDRVAMVLHSYRFYRDAVVQQGSLPIYSNKVAVSVVDNVLLVHQVDAKVVILYDIFADSRAPISAPLPVLLRGFPRSNISTSRSSSKESDSSEASNTNDNEAIIYGDDWTFLVPDLICDVANKLLWKIHLDLEAISASSSEVPSVLEFLQRRKLEANKAKELCLAITQTVILERRPVTMVAKVMDVLVASYSLSLKTGSYFKGIKTERTASSGPNASGLGQGIDVSTSRTDGHGKSIQEESASRVNSVSVSRHSTYSSSGTEDNSSSEPLKTSSNDNQSLATKVDLAESSTTEAQSSSSASRVPSNNPLNASVAEQQESQLSSPAISPDEMYKFVFAPIEEEMVGEPSYLVAIILEFLRSANLEKVKVHPNLYVLTIQLLARSERYAELGLFIINKIIEPSKEVALQLLESGRQNSQIRKLGSDMLRQLSLHHDYVLLLVQDGYYLEALRYSRKHKVTTIRPSLFLEAAFASNDSKHLAAVLRFFSDFLPGFQNTSDFSSYYHILNEMNSSVAA >OMO72979 pep supercontig:CCACVL1_1.0:contig11324:10305:13025:1 gene:CCACVL1_17496 transcript:OMO72979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1, alanine aminopeptidase/leukotriene A4 hydrolase MAPLDPHSFTDSTHPLTTHVSLSLYFDFSSATIHGAALFTLSSPYSGQLSLDTRSLSIHQVLSPSTLSPLPFSLSPSPDPIKGILLSVSLPNDNSLSSFIITFSTSPCSSALQWLSPRQTFNKKHPFVFTQCQSIHARSILPCQDTPASRICYSALLNVPRELSAVMSARHVDRRLPVSGEGFSSLLPNGFNSLWCSDTRVVEEFDMSQPIPPYLFAFAVGELGFREVGPRTRVYSEAAEGVLEAAAKEFAGTEEMIRQGEKLFGDYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDASGAQVVAHELAHSWTGNLITNKNNDHFWLNEGFTTYAERRIVEVVQGEDRAVLNIGIGWRGLNEEMERFKDNLEFTKLKTNQEGVDPDAVYSQVPYEKGFQFLWRIERQIGRPAFDEFLKKYIATFKFKSIDTETFLDFLKANVPGIEKEIDLVLWTEGTGIPPDAYEPVSNLYSKIVSLANEFKLGRMPREDEVADWQGQEWELYLENLPKSVEASQVLALDARYRLSESKDYEVKVSFLQMAILSKCRDFYGEVEKTLKEVGRMKYLRPLYTALVQGVGKEEEKILAKRVFSEARDCYHPIAQGVVESIFSKHL >OMO72980 pep supercontig:CCACVL1_1.0:contig11324:13876:17166:-1 gene:CCACVL1_17497 transcript:OMO72980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S9 MLSRLLPKPSHFRLLPLLSSKSQSYPHFPQPPPLSLIRFLSTNQNNGDGDGNDNSGKGQSFSDPWKFSQETDGKFDSLFADESGDHAGVSDFSEYPTVAGGEDDGHGNKGGEEDWLVGEEDKAWSFEEEEKDHGVFDIKEEDVRDIGDIGGEIGVAQGDIQIEEQKKLEEEKKQLTAVLKGKLSIFFCRKMKNKNKIKLLFLSSVSIGPDRAFGDLISASGITDEMLDSLIALKDFEGVEGLPPLTVIEDMRYEKNTRKSSRHDIERQKLEEMAKARVRQVDSKGRAYGTGRRKCSVARVWVQPGDGKFMINDKEFDVYFPMLDHRAALLRPFTETKTLGLWDIKCTVKGGGTTGQMDAIQLGISRALQNFEPELRPPLRAGGFLTRDSRVVERKKPGKAKARKSFQWVKR >OMP01094 pep supercontig:CCACVL1_1.0:contig06536:22798:24444:-1 gene:CCACVL1_03163 transcript:OMP01094 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MTATELQLPPGFRFHPTDEELVMHYLCRKCASQPIAVPIIAEIDLYKFDPWDLPDLALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPIGQPKPVGIKKALVFYSGKAPKGEKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGSIEKQQPQGSVTRKAFSAAVMEDKKPDIRALGMDTCGLPPAPTGAVNDYVYFDTSESVPRLHTDSSCSEHVVSPEFTCEVQSEPKWKDWGGANNNALDFPYNYMDATVDAGFASQFQSNNQLSPLQDMFMYLQKPF >OMP02106 pep supercontig:CCACVL1_1.0:contig06324:1071:1139:1 gene:CCACVL1_02920 transcript:OMP02106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFDSDNGYVAPSYDEDGTAGIG >OMP02109 pep supercontig:CCACVL1_1.0:contig06324:17883:21790:1 gene:CCACVL1_02923 transcript:OMP02109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETKSSLVKVKSSGQDGSSKGKVDAAINKRKIESSSKPSVDYKQKSVTTKTEVKSKPSSSSSKTTTTTKTRVKKVYTLAGQKHDPPEEREPLRIFYESLSKQIPASEMAEFWMMEHGLLSPERARRAYEKKQRRQKEIRTGTPVKSSKPISKPESSQRQQLASKNGDVKAKKRINNDKEDDDDDFILSPKRRK >OMP02107 pep supercontig:CCACVL1_1.0:contig06324:8252:10149:-1 gene:CCACVL1_02921 transcript:OMP02107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNREDGRTQNQLRPLACSRNILHRAHGSASWSQGDTKVLAAVYGPKAGTRKNENPEKACIEVIWKPKTGQIGNLEREYEMILKRTLQSICILTVNPNTTTSIIIQLLPSAINAACVALVDAGIPMKHLAVAICCCVAKRGYVILDPTKLEEQKMKAFAYLVFPNSVHSVLPEGSLRVQGEPMEHGIITSATHGKMSVDDYFYCLDRGRAASAKLSDFLRRNLQLHPTNPSKAG >OMP02108 pep supercontig:CCACVL1_1.0:contig06324:14502:17243:1 gene:CCACVL1_02922 transcript:OMP02108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MNGSFRLLCRAESRRLVLLMAITLALVLAVQYFELPYTEVFTSLFAVGKNGSFPIRGSSSKSGMVDNVTLPNGLNSSQTYAINGTNINAGSNTSFAIAPSISSLLENGNNVVHGPVPHTAPEQFISLEQNVTKDYNPSSDTSSGSRGYDVAPSASPPVISQQILSDTKLRNSTSSVGENTTVMLKKDEDPQFLHRTPPSGNVYSENKVPAIRDKRTKTSKKPKKKSKKAPQISVSISEMNDLLQRSHASLHSVAPPSSSKVDQEVNFAKSQIVHAPIMTNDSGFYPSLYRNVSRFKRSYELMESLLKVYIYQEGEKPIFHEGILEGIYAAEGWFIKLLEANKKFVTEEPQKAHLFFLPFSSRLLELTVYVRNSHSRTNLIQYMRNYVDMIAAKHPFWNRTDGADHFLVACHDWAPAETRGRLLNSIRALCNADTGVGFIIGKDVSLPETYVRSANDPLKSQGGNPPSQRHILAFFAGNMHGYVRPILLNYWGNDPDMKIYGPMPHVKGNTNYIDHMKSSKFCICARGHEVNSPRVVEAIFYECVPVIISDNFVPPLFEVLNWESFAIFVLEKDIPNLKNILLSISEERFKTRENLGFFSFSHENLRKSSAS >OMO71764 pep supercontig:CCACVL1_1.0:contig11566:47936:48046:1 gene:CCACVL1_18080 transcript:OMO71764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAWYAKASSMEAFVSVSVSSYPLVVLTQDELKAA >OMO71761 pep supercontig:CCACVL1_1.0:contig11566:4985:5641:1 gene:CCACVL1_18077 transcript:OMO71761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRDRARDRDRDRERDRDRDRDRDRDRERRRDKEERDRDRDRDRERTRSKRSRTPERARPRHTRSRTRSPDRDRYRSRSRSQTRSPERSSHRHHRHRHRTPSAEPPRKRHRHESEDRDKDKDRQKAVSDFVDEIAKEQLKKDSNSNENENENGVGGEEDEMEMMKKLGIPVGFDSTKGKHVPGADVSGVRAVTKRQPRQYMNRRGGFNRPLPAERNR >OMO71762 pep supercontig:CCACVL1_1.0:contig11566:9403:11069:-1 gene:CCACVL1_18078 transcript:OMO71762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGIFAAPVTASGYVGLKTTHNFPTLLPAKDSVAWSRKTVSNGSRTHCIKTWNPINNKKYETLSYLPPLSEESIAKEVEYMMKMGWIPCLEFDEVGEVDKKNSRIPGYYDGRYWTLWKLPMFGCNDSSQVLNEIQECKKAYPNAYIRCLAFDNTKQAQCMAFVIQKPT >OMO71763 pep supercontig:CCACVL1_1.0:contig11566:45993:47495:-1 gene:CCACVL1_18079 transcript:OMO71763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLTARVKETPSAAWVAGLGFPSSLGYHRRCLHLSYHRHSHLIQADVTIRFPIPYLKIEKSIISAGTTRPIGKQILSAWGAA >OMP06491 pep supercontig:CCACVL1_1.0:contig04913:1288:2968:1 gene:CCACVL1_01546 transcript:OMP06491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLQRNIGLVQLIKSILLAALIVLSQLARIIADDRPPTLNYDIFPKNSGHPPQPVNEPTRGCSTPDYCRHYYILSVMTASENGTKAIVYGIAEWSVNKTKDNANNIAYAGRLSISLEYQNGNASQMSQLKAAVSQGISLKGLEIQYSSASMPTEAAEASSPPVDGALTVTVHTASNWVEVKFQTNVNSLEKDDMEDILESAKAILQIF >OMP06492 pep supercontig:CCACVL1_1.0:contig04913:4016:13348:-1 gene:CCACVL1_01547 transcript:OMP06492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M28 MRKRSQSSSISPDTSTSESRDTPKTDEEAKLNNNIQIKSARRSGFVWLTLFVMIAYSSWTVHHYQFENLPVPLTAEQAGKRGFSEEEAMKHVKALTELGPHPVGSDALDLAIQYVLAASEKIKKTAHWEVDVQVDYFHVNSGANRLHGGMFKGRTLVYSDLNHIVLRILPKYAPEVGENAILISSHIDTVFSTEGAGDCSSCVAVMLELARGTSQWAHGFKNAVIFLFNIGEEEGLDGAHSFITQHPWSSTIRMAVDLEAMGIGGKSSIFQAGPDPLAVENFASAAKYPSGLIIAQDLFSSGIIKSATDFQVYKEVAGLSGLDFAYTDNGAVYHTKNDKLELLKPGSLQHLGENMLAFLLQIAPSSQISKGKSMGDDGKSNHDTAIFFDVLGKYMVVYRQHFANMLHNSVIMQSLLIWTMSLLMGGSAAAISLVLSCLSIILMWIFSISFSALVAFILPLISSSPLPYVASPWLVIGLFAAPAFLGALTGQHLGHLILGRYLSNVYAKRNHLPPVIQPDLVKLETERWLFKAGSVQWLVLLILGTYYKIGSSYIALVWLVPPTFAYGLLEATLTPVRLPKPLKLATLLIGMAVPILVSAGIFIRFTNSIIGLGVRFDRNPGDTPEWLASVLLSIFIAVVICLTLVYLLSYVHLSGAKTSVVLSTCILFVLSLAVVFSGIIPPFTEDTARAVNVVHVVDTTGRFGERPNSFVSLSSITPGKLTKEIDQIKEGFSCGRDKVVDFVTFSVKYGCLTFDGTEEGWNESDIPTLDVVSDTHRDKRITQVAIDTKRSIRWFLAINTEEIEDFTFKVNSEEIVPADGKNSVDGWHIIQVSGGKNAPTKFDLTLFWVKNSTKQSGKTPGHEEGQRPLLKLRTDLDDITPKVETVLEKLPPWCSLFGKSTSPHTLSFLSSLPINF >OMP06490 pep supercontig:CCACVL1_1.0:contig04913:563:712:1 gene:CCACVL1_01545 transcript:OMP06490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKILAILCTLQDFSGVQVLLLLLRPPC >OMP06493 pep supercontig:CCACVL1_1.0:contig04913:19534:19677:-1 gene:CCACVL1_01548 transcript:OMP06493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVDHCPSGLQGVGVVRNRNFNPFKERPRKRRTGSVKRELAKELVNGV >OMO94110 pep supercontig:CCACVL1_1.0:contig07969:2891:3190:-1 gene:CCACVL1_06165 transcript:OMO94110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKLVLIIMVVALMGEGSSRAMELCKMDDAGLAACKPSVSQPNPVDPSSDCCDALKGADLTCLCSFKNSNWLPTFGIDPTLALSLPPKCHLQLPSGC >OMP01766 pep supercontig:CCACVL1_1.0:contig06375:26902:28289:1 gene:CCACVL1_03007 transcript:OMP01766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reversibly glycosylated polypeptide family MVTLQRPLEFLMALTMSSITGTTLIGCWVQRLLAFPSRTLLADALVAKDPYGNDINALQQHIKNLLTPSTPHFFNTLYDPYREGADFVHGYPFSLREGAPTVVSHGLWLNIPDYDAPTQLVKPLERNTRFVDAVMTIPTGTLFPMCGMNLAFDRELIGPV >OMP01768 pep supercontig:CCACVL1_1.0:contig06375:57658:62810:1 gene:CCACVL1_03009 transcript:OMP01768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MSMRRRTLLKVIVLGDSGYVHKKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDTLDNWHEEFLKQANPTDPKTFPFILLGNKIDIDGGNSRVVSEKKAKDWCAAKGNIPYFETSAKEDINVDAAFLCIAKTALANEREQDIYFQGMPEAVSETEQRGGCAC >OMP01769 pep supercontig:CCACVL1_1.0:contig06375:87011:87316:1 gene:CCACVL1_03010 transcript:OMP01769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSLLVNGLKTLFIALCCLMIATLIYTISIDGLPFRMELLTPWMAATLVDFYINVVPFAV >OMP01767 pep supercontig:CCACVL1_1.0:contig06375:46374:53562:1 gene:CCACVL1_03008 transcript:OMP01767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MAPKLNDKYTEGDDGASFYMVIYALGKFVRDPNVRDITIYVEHDIDFTGLIDEVPLLNDGLGCCESIVGSVGASGVGPEVETVDLTESGNEADEDSEDNEESDNMLDDDEKSDDVEDDSSDEDLEVFLARTKAFTEENKGLSKVENKRLANFVLNQLNIRVNIRKCKRARKKIIAANFKEEFAEMWDYAEELISKNPGSTIKGLKVAIREEMPHAEHRNCARHVFSNWSGKRLEKTLHFDLWNIVRATTQRKWDDKVAALNKNNENSAKELMHKDRQPKQWTRAFFGEICKSDMVDNNACLGKFQGYLVVMHVVLFGVVGGNPHDFLHHYYSPETYAKAYNCEHGHNRAKCPNKDKATVGAVSREPSIPKGRGRPPKDKGKAAGDNGQPSLPKKRGRPPKNPGNGGNQFLNGEPTTKRKGRPLKDTTTTRLGKTSSKGYGNYMNEKTGQSQFCLFNPEAFRTQGCSSTSRGTGSSKTYIVSMYSDGGGEYEKLKQFFQTHGISHLQTPPHTPEHNGISERRHRHVVETGLALLSQAKLAFTFWNFAFKIATYLMNPMPTPILDEISLPSSSLESSSLQQSSPNTVSVSEVPLISLPSKALKDEKWCDAMSEEINALLRNGTWQLVPKSDSQNLSNLDPSLFFYYKDDVTVYFLVYVDDIIITGSDLQFVKKFVSDLSTQFSLKELLPLHYFLGIKTMSVKDGLFLSQRKYIVDLLQSTNMIDSKAVTTPMATTTSLTIDDSESLSDPTEYRSIIGALQHLIYRLHSPSILAYTDADWAGDKWDRKSVSSSLIYFAGNLVS >OMO68397 pep supercontig:CCACVL1_1.0:contig12228:1312:1731:1 gene:CCACVL1_19968 transcript:OMO68397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP binding protein MSPSPISSPRTTSGSSTPLTGGNGAIPFGYLKQSTYLQEGLGSMPKPPNGLYVTGSSYHDSNPDIFRGLQPGTHIFSELVQSENDVLGIGRSIHGESYDGQSVLADRVSRQLLKDHATMCPSLDLSPSSPSPNRTGVII >OMO68398 pep supercontig:CCACVL1_1.0:contig12228:6054:7541:-1 gene:CCACVL1_19969 transcript:OMO68398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFIPNRSAMDFDFAHYMLTEGRKTKETQSVCSPAREAYRKVLAEALNMNRTRILAFRNKPPTPVELYPSEHSSSSLHAPKSAKPKRHIPQSSERTLDAPDLVDDFYLNLLDWGSANVLAIALGNTVYLWDASDSSTSELVTVDDEIGPVTSVSWAPDGRHIAIGLNNSAVQLWDTASNKQLRTMRGGHSSRVGSMTWNSHILTTGGMDGQIVNNDVRIRSHVVETYRGHQQEVCGLKWSASGQQLASGGNDNLVHIWDRSVASSSSPTQWLHRMEDHTSAVKALAWCPFQSNLLATGGGGGDRTIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAGLTGHTSRVLYMTQSPDGSTVATAAGDETLRFWNVFGAPEVAKSAPKVNQEPFAHVNRIR >OMO72279 pep supercontig:CCACVL1_1.0:contig11473:32376:46079:1 gene:CCACVL1_17870 transcript:OMO72279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALERSPNKNLNPPHDKTHQHWADDSAHYGHRLTPALTQCTGIIPILENPVSPYTASP >OMO72278 pep supercontig:CCACVL1_1.0:contig11473:2167:8762:-1 gene:CCACVL1_17869 transcript:OMO72278 gene_biotype:protein_coding transcript_biotype:protein_coding description:von Willebrand factor, type A MGGVTSKRSNSAQSSSSSYSWDHNRYAQPSYAPSSYAPPSQDYVPQPHYATPHQGYGGQAPDSKRRLERKYSKIDDNYNSLDQVTEALARAGLESSNLIVGIDFTKSNEWTGARSFQRRSLHHIGDEQNPYEQAIAIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDETFCNGFEEVLRRYRELVPNLRLAGPTSFAPIIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTERGQLSTQEKKTVEAIVKASEYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNMDRSRKEAEFALSALMEIPSQYKATLELSILGNSKGKAIDRVPLPPPQYGASSFSNSKPSRSSSFRPSAPSPARHDPPVRTTPASSASDNHVCPICLSNPKDMAFGCGHQLCRTHRRWTKNQHCDALRETLMFCSNFAHAKIALNNFHSISGRSSLNFSQVNSLTSVYKGSLGEPLPATTRTLTPSPMEDKKYSISIIIPAIFALLHFGLIGAVDQIRPRPSTGRQGTWQLLRNNTGVVAMHMALTHRNTVIIFDQTAAGPSQYWLHRRYQGRRNCTHSREDLQDSSCYAHSVEYNIEGNSLRPLRLDTDTWCSSGSLLSNGTLLQTGGHGTGAQRIRYFSPCDTDQRCNWRQSKRFLSDDRWYASNQFLPENDRVIVVGGRRVFSYEFVPKLHSTDGSFNLPFLHQTNDNSAEGNNLYPFLHLSSDGNLFIFANRDSILFNYRRNRVMKTFPRIPGGSRNYPSSGSSVILPLDHKDRFQKVEVMVCGGAASGAYEAASNGRYLQAQRSCGRMIISGNRHQWKMENMPGPRVMNDMLILPTGNILIINGAKRGCAGWNNAATPSLQPYLYKPKKRFGQRFSVLKSTKVARLYHSSALLLPDGRVLVAGGNPNHRYMFRNVAHPTELRLQTFTPYYMSQQYHHLRPTNVSIQYSKNSNGIAYGKDFTIQFRLGRRPSTNMEYNVYAPPFATHSISMNQRLLKLRSKNMVRGLDGLITVVLEAPPSPNVAPPGYYMLTVVNQGIPGLSQWIKLIHS >OMP02418 pep supercontig:CCACVL1_1.0:contig06255:62:157:-1 gene:CCACVL1_02806 transcript:OMP02418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKALWFAKSKTIQNSSNGPRKARNNKCSE >OMO96279 pep supercontig:CCACVL1_1.0:contig07497:25095:27865:-1 gene:CCACVL1_05015 transcript:OMO96279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHFIIGFSEDRRRRRNQTVNSDADSQRKNSDAHRTDDLDSRMDSRMAHHP >OMO96278 pep supercontig:CCACVL1_1.0:contig07497:20102:20257:1 gene:CCACVL1_05014 transcript:OMO96278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHFACSGRAVPSLDSPDFD >OMO86996 pep supercontig:CCACVL1_1.0:contig09366:33229:39382:-1 gene:CCACVL1_09339 transcript:OMO86996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKGKTGVSKAAGHSFARRSGNGGFKIYSDNEKPKNEAPTMTESALGSKKVPLTIANDSKVTLKNMTKSKGKRNTAENKFAPRTALADLSNLTSKVSGSKIYDGSKPMKNERNALLDRVSVAPAAKSVRSKNSDPESVVTSSRKKTVANKSLDKEKASGSATKQANNAKSQLRRDVRSDGSVIMPPKVQSNTAARILPRSLKPVVKTALRASNARRTSNSNCSSGSIKVVSTTAISSESKEVECFQPENSAAIISNEASQRDLLSNGNSYGSTNMPEILAQKKTKRRRSYTSLLMTRSKLLENCGGVNEEEKLPGIDNDSNPLEVAQYVDEIYQYYWITEAHNTSLDNYMKIQTDITPSMRGILINWLIEVHLKFDLMQETLYLMVTLLDRYLSEVQIEKNDLQLVGLTTLLVASKYEDYWHPRVRDLISISAEAYTSQQMLKMEKHVLKQLKFRLNVPTPYVFMLRFIKAAQSDTKLEHLAFYLIELCLVEYEALKFKPSLLCASAIYVARCTLQMIPSWTKLLCRHARYDVSEIRECAEMMLRFQRAAGSGQLKVTYEKYMSRGLSEVAAIKPLDRLPSTS >OMO86993 pep supercontig:CCACVL1_1.0:contig09366:14390:17812:-1 gene:CCACVL1_09336 transcript:OMO86993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLGDNNSSCTSNNRAKDKRKKKKKRGGTKRKMTAEQTLAFKSVTEWVYLDRQNSSSTSTAAPALSSWVVDDFGVQKSLGMGIEKVVFELHSHSKHSDGFLSPSKLVERAHGNGVKVLALTDHDTMSGIPEAMETARRYGIKIIPGVEISTIFSPSRNSEMEEPVHILAYYSSGGPRRYEELEKLLANIRDGRYLRAKDMVLKLNKLKLPLKWEHVTRIAGKGVAPGRLHVARAMVEAGHVENLKQAFSRYLYDGGPAYSTGSEPLAEDAIQIICETGGLAVLAHPWALKNPIPIIERLKDAGLHGMEVYRSDGRLAAYSDLADSYDLLKLGGSDYHGRGGHGESELGSVNLPVLVLHDFLKVARPIWCSAIKDILENYAEEPSDSNLARIARFGRMGSLKGSCPLSCGKDLIDHCLSSWLSTEERQKGDFEAIRMKLSSISISLDGLQVPVESK >OMO86994 pep supercontig:CCACVL1_1.0:contig09366:19105:19176:1 gene:CCACVL1_09337 transcript:OMO86994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSSGRRKREGEGRPPVASLDL >OMO86992 pep supercontig:CCACVL1_1.0:contig09366:6179:10327:1 gene:CCACVL1_09335 transcript:OMO86992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEATTEIGKNRGNMKDDEELEMLLDEIPHATSHHHQQHDHDHVNAMCSIFVCDHDPSSYHHKHTCVSPVSDFSLQSDASSSSSSLSNNGQSSSDNGSPTPPPLEDLKPHLSNGLIRKKASTELGLCRNLSKMYISNNDGENVASSVRDYSLASNGIPLYDQINVDKYGVSDKLSKGFSDCLGIESTVPMTFDGGFNMAFSRFPHREYRMNNLLGSQLYSGCNDSLFSQQELNCWNGAMRSPWQKKEQTCNYQFHQRGNSVFNFSPPLCKPSSLTDDLIYGQRNGLSWNEECELNFPGSHGSIETLFHHLPLSNGMGRGHSNVRIPQGGIEDSFLVQGEGLNDVTNKGLDRSRGQNKGSPQGIGVSKRLERRIQLDDWSHFAATCGATKNAKLCSSFSFLPKYNSLADARGYIYLIAKDQHGCRFLQRLFDDGTQQDVQIIFEEIIDHVVELMMNPFGNYLMQKLLEVCNEEQRMQILLVVTQEPGQLVKISLNTHGTRVIQKLIETLKTRQQISLVISALEPGFLALIKDLNGNHVVQRCLQCLSSEDNRFIFVAAAKYCVDIATHQHGCCVLQRCITHSTGEYRENLVAEISTNGLLLAQDAYGNYVVQFILELKIPSATSTLISQFQGNYVHLSTQKFSSHVVEKCLVVLDDESRSRIIHELLSASYFEQLLQDPHGNYVVQTALRVSQGPMHNLLVEAIESHKAISRNSPYSKRIFSQKLLKK >OMO86997 pep supercontig:CCACVL1_1.0:contig09366:55358:57226:1 gene:CCACVL1_09340 transcript:OMO86997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MVDLQVTSFKLLFQISSLLILLLYPATVSSECTCEVENVAENKGEALKYKLGAIAAILVAGAAGVSLPLLGSRIPALSPENDIFFMVKAFAAGVILATGFVHILPDAFDHLTSPCLKGSPWGKFPLTGFVAMMSAIGTLMIDTFATGYYKRRHFSKHNKQVNIADEERGDHDGEHAGHVHVHTHATHGHAHGSASPSEEEGLSEFIRQRIISQVLEVGIVVHSVIIGISLGASESPDTIRTLLAALSFHQFFEGMGLGGCISQAQFKSVSVAIMGTFFSLTTPLGIAVGIGVSSFYNDNSPTALIVEGVFNSASAGILIYMALVDLLAADFMNPRLQSNFRLQIGANLSLLLGTACMSLLAKWA >OMO86995 pep supercontig:CCACVL1_1.0:contig09366:26650:32600:1 gene:CCACVL1_09338 transcript:OMO86995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVKEQEQVDGNVTQESEISMPSSQQEEAAVKKKYGGIMPKKPPLISKDHERAYFDSADWALGKQGVEKPKGPLEALRPKLQPTQQQTRYRKSPYAPAHGEGGWPLRNYEEKSDQDTNCNVIGLVFAAFKVPESCLNLSGKLSADAFAVFSELVRLSFRNNSISGFIMDFISNQNLKDIDLSNNMLIGPIPTSLLALNFLESLQLQDNYLTGTIPPFNQPSLTVLNVSNNQLTGPIPGTPTLRSIGASAYYNNSLSMCGSSNSGSCYYTSSNETKKKSVATIFLVFDVIGLIAVILLLILYCKKSRKLKKLIQTHHLSEKDHEEELELEAAAGAGAGGSSCYKNESSIIIQTDDESNKRVFEKQGKGNLIFMGGEGAGFDMNDLLRASAEGLGKGLFGSSYKATLEGRAAVVVKRLRDLKPLSKEDFTRLLQIIADQKHPNLLPLVAYYYSKDEKLLVYKYAKHGNLFHRLHGGRGTRDRIPFRWSSRLSVVRGVARALEYLHHNTSSTQWPVPHGNLKLSNILLDENDAVLVSDYGLTSLIAIPVAAQRMVACKSPEYHTSKRVSSKSDVWTYGCLLLEILTGRLSVHSAPPGINGVDLCSWVHRAVREEWTAEIFDMEISVQRSAAAGMLKLLQVAMRCCDKNPEKRPEMTEIVRELDSIKGVESDEDDELSMDQSLTDESFSTNASSLMLVGAADRTSW >OMO82391 pep supercontig:CCACVL1_1.0:contig10044:5958:6929:1 gene:CCACVL1_11963 transcript:OMO82391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGSSTPPPKPALCSYDTTVFDKNTTATISTVHPDIIETHILTRLDGPTLASASCASAQLRALASHEDLWTNICHSTWPSTTSQRLRHVISEFPNGSRSFFSDAFPLATRPASSRNSSENPDLPLELISAVDIYYKKELIFSKVVETETVTSWFKCSPFRVDLLDQKEAVSTRIPHPDTEDTCRDLEDDMELSWIIIDPTGKRAMNLSSQRPVSVHRHWLSGDVQVKFAAVVGGGSSGTATEVAQCGVVVTCGGSARGEMHVREVSLQVEDMDGMFLNGKDSLVILMRGLEGKRGKGKKREEERKKEFLEFLEKKERGRKRS >OMP10545 pep supercontig:CCACVL1_1.0:contig02241:712:955:1 gene:CCACVL1_00880 transcript:OMP10545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESVPGKLSLRLFLTIISYMMLEMKPVDSNHWTE >OMP12085 pep supercontig:CCACVL1_1.0:contig00448:579:881:1 gene:CCACVL1_00134 transcript:OMP12085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSSSTKKRASMTQSSLPQPTLSKKRVVLGELTNSPDLGSTEDPKCRSKSERAPYRENKPVPELKEENKHETIKELDENISDLKKFTFFSSIYQHLHSLE >OMO51166 pep supercontig:CCACVL1_1.0:contig15949:46314:46547:1 gene:CCACVL1_29964 transcript:OMO51166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSPRRAGWKISAIIADIQWLVRQANDEKFQYVKCMANLAADWVARQTREGMISQEWVERPSSSLVHIMSKDGLPTPH >OMO80555 pep supercontig:CCACVL1_1.0:contig10314:91406:96851:-1 gene:CCACVL1_12898 transcript:OMO80555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWPVAMFVQYICNRGATRHTKRDLLQNRQGIIGDQQCGRAPHYPMRSSHSSMGSNHVFANGILYWFIASDTDEKIPGLILTVDMEQNFGTIDLPLEVTEHSYLVVDAWVLRYRESPAKEAYGFQLMAGNLAIVAEQDRCFLGFDDYFFMFAL >OMO80563 pep supercontig:CCACVL1_1.0:contig10314:154540:155520:-1 gene:CCACVL1_12907 transcript:OMO80563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFLKLHLHSSRTPTLFPAQRHNHRHFHLAFNKPNGSYKQNISSLSIQSSLSPSTPPSSKEDAILQARTCLSTCLEKPLNNPKLAGKLKKMKQPRFQVEIPVIDDSPSSLTQLALDIFKDMSIKRKGSLVEILVLWPNASLKEAAIQAFESCSLSHVQHLDLLPSVISENNRILSSADVAVFLAPEPSQLSVIKAIADSFYPKPVVIFNPKWGFEEEKGFGDLKGFAASFEVIYSFMGLEVQGVLSKRKGMVFRCVRDGVLSGEQWAIIVEEEDGQMKVVSKFKSRPGIEEVENVLYNLMAINSPLTKSAKFLRDLVSNVTGKK >OMO80567 pep supercontig:CCACVL1_1.0:contig10314:173308:174174:1 gene:CCACVL1_12911 transcript:OMO80567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbQ, oxygen evolving complex MAHLANLNGISETLPAIPKLPNIHRTQKPSKIIGFHGKKTEHLQEQQQPLQITRRMALSLASIALVSTCTNNNVSLAEDNGYWVTDLLTVPPVENKSIANEKTGTRSFVKKGLYVANLNNKNRMYRLMKYAFDLLAMEDLIGPGTLSYVKKYLRLKSTVMYYDFDKLISAAPVDDKQPLTNLANRLFDSFEKLEEAAKTHDLPQTESCYQDTKVILQEVMARMA >OMO80542 pep supercontig:CCACVL1_1.0:contig10314:19444:20973:-1 gene:CCACVL1_12885 transcript:OMO80542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiazole biosynthetic enzyme Thi4 family MASSSMAVTLTSSTKLYKNPSVFESSFHGVPITPLSFNFKTKSSPCNASISMSAASSSPPPYDLNNFRFDPIKESIVSREMTRRYMMDMITYADTDVVVVGAGSAGLSCAYELSKNPSVQVAIIEQSVSPGGGAWLGGQLFSAMVVRKPAHRFLDELGIEYDEQDDYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKGGRVGGVVTNWALVSMNHDTQSCMDPNVMESKVVVSSCGHDGPFGATGVKRLRSIGMIDSVPGMKALDMNAAEDAIVRLTREIVPGMIVTGMEVAEIDGSPRMGPTFGAMMISGQKAAHLALKSLGLPNALDGTYVGSIHPELILAAADSAETADA >OMO80565 pep supercontig:CCACVL1_1.0:contig10314:162969:163190:1 gene:CCACVL1_12909 transcript:OMO80565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVFQTAGKDQIIKLNDTRHTKEALKSFRGNSNSVTG >OMO80540 pep supercontig:CCACVL1_1.0:contig10314:10111:14674:1 gene:CCACVL1_12883 transcript:OMO80540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGAPAEPADSYYEVRPECTDVPKTRFKIKPGKTLSARKWQAAFSPDGQLDIGKTLHRIQRGGIHPSIRGEVWEFLLGCYDPKSTFDEREQIREQRRVQYARWKDECREIFPVVGSGKYITAPVITEDGANACSPEVLKELTSRGPLDKKVIQWMLTLHQIGLDVIRTDRTLVFYEKQENLSKLWDILSVYAWIDTDVGYCQGMSDLCSPMIILLEDEADAFWCFERLMRRLRGNFRCTESSVGVEAQLSNLAAVTQVIDPKLHQHLETLGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFELYEEPESGNEKAEGSKGRLKSIRHYGKYERENMKIKSSDAPLPISVFLVASVLKDKSSKLLTEARGLDDVVKILNDITGNLDAKKACTGAMKLHKKYLKKAKKT >OMO80539 pep supercontig:CCACVL1_1.0:contig10314:6287:7426:-1 gene:CCACVL1_12882 transcript:OMO80539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MANTILTSIYQGKAFHVLGLFCLMLMIQKGSARDFTVNWGLHNGGYAENYNQWAERNRFQIGDSLVFVYTPNDESVLHVTAEAYKNCTVEAPLAEYKDGHTVVSLSKSGPYYFISGKKENCEKNEKLVVVVLADRSNRSSTKNETSSPPSPSPINPPSPPPSDITPSPAPSAESPPAVTTAETPAPSEESNNHKKNAASSVFMGVTGSIGAFFASVLLLAF >OMO80566 pep supercontig:CCACVL1_1.0:contig10314:167862:171725:-1 gene:CCACVL1_12910 transcript:OMO80566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MDKQSNKKVKSRVIKIESEDSWDFFITQANNPACPVFVHFTASWCAPSVAMNPFFEELALSYQDILFLVVDVDDVEGVASKMEIKAMPSFLLMRQGTQIGKLVETTTIRKDEELIEVAAQSHRFFQTSNCATANPESPNPPDHVVGVPKVPDIEFKNFCDLLKNTGIMNATATSPSGFRVLLSPAEVKEELVYFELGEERGIEEAFG >OMO80550 pep supercontig:CCACVL1_1.0:contig10314:50274:51050:1 gene:CCACVL1_12893 transcript:OMO80550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLAVLFATFALFLFLANATIHTTITVDNEDENPWGRPEGSCQQQIKEQDYLDHCQKFMEEHCSGGRCYNVRSSKHLDSCCEQLEQLDRQCRCQGLKQAFTQGQTGTQEMREMQQMTEQIMEKCNMEPKRCDFPYHTKITLNTEENPRNWATQSCSQQIQRQNFLKHCQNYMEQQCRSSSRGRGCDNPTQHLNYCCQQLEELDRQCRCPGLKQAVQKQLQGGRVGQQEQRELYEVAEKILSKCEIEPRTCSMPYVKA >OMO80561 pep supercontig:CCACVL1_1.0:contig10314:139982:141738:-1 gene:CCACVL1_12905 transcript:OMO80561 gene_biotype:protein_coding transcript_biotype:protein_coding description:cc-nbs-lrr resistance protein MDSIVSVVVQTCGCILCFVFRTITTLSKLHHNTIKLQKDIEKLDARKKDIEDEVGLGEKEGKCPTAQVKEWLRKVEELEGELQPMLETADQISGQGCNPSSCNININSRYQLSRNMAKKSIEVKQLIDSCCFDTVVTDKKSPVRAVERKHGTTCLTGQSEAEEMIEKVMELLKGDGNRRVAFWGMGGVGKTTLLSVVKLRLDKVDSDELVLDAAWLKGLREFNIQITPRSRHDSNHNQPTQHDEKRAILRGIDLMEMGKGLKGLLSTVKNLEEIRVRNCRRMKCIISGKVSTNMIPKLRDMASLKTICSRVPAWPPALDLVEVRNCPLLIRIPFPISNEEKIKGDSQFLSNVAWHDDDDKMECGFQQ >OMO80545 pep supercontig:CCACVL1_1.0:contig10314:30882:31356:1 gene:CCACVL1_12888 transcript:OMO80545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLHSGDGRGNVNGGHSEEAIHSSTTSPSNNRIRLEVFNQTLKIPLGIRRIGCIPSTARPAGLGYNHW >OMO80543 pep supercontig:CCACVL1_1.0:contig10314:24112:24765:-1 gene:CCACVL1_12886 transcript:OMO80543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor SUI1 MSDLDVQIPTTFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKENIKIHGF >OMO80538 pep supercontig:CCACVL1_1.0:contig10314:146:5661:1 gene:CCACVL1_12881 transcript:OMO80538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MISSSKIKSVDFYRKIPRDLTEASLSGAGLSIIAALAMMFLFGMELSNYLAVSTSTSIIVDNSSDGDFLRIDFNMSFPALSCEFASVDVSDVLGTNRLNITKTIRKFSIDPHLRPTGAEYHSGSVSDLINHGDEVDDETVEGSVPLNGVTFDTISHNYQILVVNFYAPWCYWSNRLKPSWEKAAKIIKEKYDPEMDGRILMAKVDCTVEIDLCRRHHIQGYPSIRIFRKGSDVREDHGHHEHESYYGHRDTQSLVKTMEELIAPIPLESQKLALEDKSNTTKRPAPKTGGCRIEGYVRVKKVPGNLIISARSASHSFDSSQMNMSHVINHLSFGKTISPRVLTDVKRLIPYLGRSHDRLNGRSFINHRDLDANVTIEHYLQVVKTEVVTKRSYREHTLIEEYEYTAHSSLAQSIYIPVAKFHFELSPMQVLITENSKSFSHFITNVCAIIGGVFTVAGILDSILHNTIRLMKKVELGKNF >OMO80548 pep supercontig:CCACVL1_1.0:contig10314:46420:47068:-1 gene:CCACVL1_12891 transcript:OMO80548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor SUI1 MSDLDVQIPTTFDPFADANAEDSGAGAKEYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKDNIKIHGF >OMO80553 pep supercontig:CCACVL1_1.0:contig10314:78211:84624:1 gene:CCACVL1_12896 transcript:OMO80553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVVPSPPDHVRSLSLSIYLPSLTLNTTDPTLNFTLRLENPNKDKGIKYAPVNVTFYDFPNRSHVIGSGQIPGFYQGHKKKATKPGNTTASTAVALKAVSENGTGVFRVDLETAVKFKIMFWYTKKQRIRVGGEVMVNASGIKVDHKKIRLKSMASPKMGSFCVVLGALAPANISWAVET >OMO80546 pep supercontig:CCACVL1_1.0:contig10314:36209:37345:-1 gene:CCACVL1_12889 transcript:OMO80546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MSTNNARFPFLKKTQRNKREIEKGKPENLQAMATSCLLCFCIVLTLFHFTTCLTPSIGVTYPALPFTDDRAPVKIPSTFFGRKVTHVRLADSHPSLIKYFASTNTSLFLSIPNTFLPAMAADYPVALLWVYTHVASHPESKIKLISVGELSGEKDDYTSVIPAIWNVHRALQTLGLNTTKVSTTLSFSSTITTANPPSSAQFIEPATEPMIKPLLHFLNQTKSPFLINIHPYQLFQEVEILPVAFALFKKYPLNFLEDPLTRLKYFNLFDMMVDAVISALAGAGYKDVAIVVLETGWPVTGGAGEFEANRKFYNMYVEGLIGHLKSGVGTPLKKQAISEVYVLIDTAGWSSFPNMTGKRAAVIAGLVSLGFIIKKWFM >OMO80558 pep supercontig:CCACVL1_1.0:contig10314:114646:115053:-1 gene:CCACVL1_12902 transcript:OMO80558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQTTFTTTTYLVSPSNQAAYLLYHVALLNGVPYLKLGPYFPPNSNPFNQVQASYPAALPSGLYNDPAPMDALPSTLPSTVSDSNQSHELIHLSTASSGSPGSS >OMO80556 pep supercontig:CCACVL1_1.0:contig10314:105621:106223:-1 gene:CCACVL1_12900 transcript:OMO80556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLLRFLSPPNLLHISPKTQHFTIKCSTSNSSSQPDFPSPMSHDTTISPENFPIEKRRRSEIIRERKPRPELAKSEPPNFEVGWKRTKEINLEKPKGYVIMDFLEKLEGLMGKEFGSTELLAKAGEIVAERAREEAEVLRDEGKVEERMVTELFRVLRLMEMDLAMVKAAVKEETLGERLEQAKARCRQAILVANSF >OMO80568 pep supercontig:CCACVL1_1.0:contig10314:174631:179648:-1 gene:CCACVL1_12912 transcript:OMO80568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNQNKPPFQHSTAPTTTSTTTLSPLRKKYIADEVSEEKGHRLEIGNMVGTANNGRLRQAFSLVNGSQDIGPNSAPASNAGSEYGGIEFTREDVEALVTEKLRYKNKFNYKERCENMMDYIKRLRLCIKWFQELEGEYAFEQEKLRTALELTERRCSEMEMALKNKDEELNLIILELRKSLTSLQEKLAKEESEKKTAVDSLAKEKEARISIERSQASLTEELEKAQSELDGSNQRIASINDMYKLLQEYNSSLQLYNSKLQTDLDAAHETIKRGEKERSAMVENLHNLRGQHQSLRAQLTSSIASQEEAMKQKDALVNEVACLRMELRQIKDDRDNYQQQVQSLTSEVSKYKELATNSSELEGKCVSQGNQIQILHDQLAVAEKKLQMSDMSALETRVEFENQKKLILELQNRLEDAEFKLIEGEKLRKKLHNTILELKGNIRVFCRVRPQLPDDSSSNQGQVVTYPTSMEYLGRGIDMTQNGQKHSFTFDKVFMPDASQEEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGQPEEKGLIPRSLEQIFQTKQALQPQGWRYEMQVSMLEIYNETIRDLLSTNRDASRIENGTAGKQYTIKHDANGNTQVSDLTVVDVQSSREVSYLLDRAAHSRSVGKTQMNEQSSRSHFVFTMRITGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLADVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEPTSVGESLCSLRFAARVNACEIGTPRRQLNMRTSDSRLSYG >OMO80554 pep supercontig:CCACVL1_1.0:contig10314:85544:89168:-1 gene:CCACVL1_12897 transcript:OMO80554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPDCLAFVSTFFFCLVVSFILSWKLALAALPFTLFFIVPGLVFGKLMMDVIMKMIESYGVAGGIAEQAISSIRTVYSYVAENQTLEKFSSALEKTLELGIKQGFAKGLMMGSMGSIYIGWAFQAFVGTYLVTEIGEKGGSIFVAGINIIMGGLSILGALPNLTGITEATVAATRIFEMVDRVPTIDTEDKKGKALSYQMASKNESSDESSYQTEGRRRHKMHVAPSPISYRSSAASTPALNPFSPALSIGTPYSYTIQYDPDDESVDENMDQMAAPAPSQWRLLKMNAPEWGRALVGSMAALGSGAVQPINAYCVGLLISIYFRPDKAEIKSKSRTLSFVFLGIGALNFTSSLLQHYNFAVMGEKLTKRIREKFLQKLMTFEISWFDQEDNTSAAVCTRLATEANMVRSLVGDRMSLLVQAIFGSLFAYAVALKLSWRLSIVMIAVQPIVVGSFYARSMLMKSMAGKAQKAQKEGSQLASEAVVNHRTITAFSSQKRMLGLFKETLKGPKEESVRHSWLSGLGLFSSQFSNTAFSALAYWYGGRLLIKGLITSEHLFQAFLVLVFTAYVIAEAGSMTNDLSKGSAAVRSVFAILDRRSEIDPENQWGLEIKKAIKGKIELRNVFFAYPTRPDQMIFKGLNLRIDAGRTVALVGQSGSGKSTIIGLIERFYDPMKGSVSIDGQDVKNYNLRMLRTHIALVSQEPTLFAGTIRENIAYGKENAKESEIRKASKLANAHEFISGMKDGYDTNCGERGVQLSGGQKQRIALARAILKNPTILLLDEATSALDSVSESLVQEALEKMMVGRTCVIVAHRLSTIQKADTIAVIKNGKVAEQGSHNELISLGRGGAYHSLTKLQGGNSPYRR >OMO80559 pep supercontig:CCACVL1_1.0:contig10314:120661:127810:-1 gene:CCACVL1_12903 transcript:OMO80559 gene_biotype:protein_coding transcript_biotype:protein_coding description:UHRF1-binding protein 1-like protein MESILARALEYTLKYWLKSFSRDQFKLQGRTVQLSNLDINGDALHASMGLPPALNVTTAKVGKLEIILPYVSNVQVEPIVVQIDRLDLVLEENPDVDSPRSSSSTQSSTSSGKGSGYGFADKIADGMTVQVQTVNLLLETRGGARGEGGAAWASPMASITMRKLVLYTTNENWQVVNLKEARDFSSNKKFIYVFKKLEWESLSIDLLPHPDMFSDANLARFQVGANQRDDDGAKRVFFGGERFLEGISGEAYITVQRTELNSPLGLEVQLHVTEAVCPALSEPGLRALLRFLTGLYVCLNRGDVDLKAQQRSVEAAGRSLVSVVVDHIFLCIKDTEFQLELLMQSLLFSRASVSDGENAQNLSKVMIGGLFLRDTFSRPPCTLVQPSMTAVADSSLHIPDFATNFCPPIYPLGEQQWQLTVGVPLICLHSLQVKPSPLPPSFASQTVIGCQPLMIHLQEESCLRISSFLADGIVVNRGAILPDSSVNSLVFALKELDISVPLDTSKLDNHVSGDNHIMQKSFAGARLHIEKLFFSESPSLKLKQLNLEKDPACFLLWDGQPIDASQKKWTAGASEFSLSLETTASLTGLQSSHGGTSGLWRSVELKDASIEVAMVSADGSPLTVVPPPGGVVRIGIACQQFMSNTSVEQLFFVLDLYAYIGMVSEKIAVVGKNKRPKRNRDESLGGSLMEKVPSDTAVSLAVNVLQLRFLESSSLDIQGTPLVQFIGNTLFLKVTHRTLGGAIVVSSTLCWESVQVDCVDTEGNLVHKNGMLLDTVENGSLVTNGFSPLRAVFWVNNKQKQHSNGKASLIPFLDISIVHVIPFDERDKECHSLSVSACISGVRLGGGMNYTEALLHRFGIIGPDGGPSMELSKGLENLSSGPLSKLLKPSAFLNNDLEDGGTLGGAKNDSLLHLEMPDDVDVSIELQDWLFALEGVQEMAENWWFDKEVSSREQRCWHTTFRSLQVKAKSSPKDVPNGKGISHGMQRYPVELVTVGVEGLQTLKPQARRGTLQDVSPTNGFKEYFETLGGINLEARMVISEDNIEDEAVNWVVENLKFSVKQPIEAVVTKDELQHLALLCQSEVDSMGRIAVGVLRLLKLEKSLGKETIDKLSNLGTEGFEKIFASDKLGRGSSAGSLGLSPVLKEINEEPRSTVALLEEAVLDSQVKCDALVTELSKSESSQKHLTNINELRNKLDSMQSLLVRLRGQM >OMO80549 pep supercontig:CCACVL1_1.0:contig10314:48483:48938:1 gene:CCACVL1_12892 transcript:OMO80549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGLFLATVALALFLANASIYRTSVTVEENLGWSKSRESSSCEEEIEKRYKVWQCQLYLKEKAESPFRYKYNPRSESRRLNSCCEQLEELDRKCRCQGLEEAAKQQLKEGQFDQQEQKEMFRVAEGILSKCQMEPRECDISSGPYRKWF >OMO80564 pep supercontig:CCACVL1_1.0:contig10314:156160:158194:-1 gene:CCACVL1_12908 transcript:OMO80564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPESSNYWSHFDYGNLMDDVPVSDGPYSGFSWSTQPVNAPTNVVSAEIDGALGDSDCIKESGSKKRVRPESCNGTSSKACREKLRRDRLNDKFMELGAILEPGRPPKTDKAAILIDAVRMVTQLRGETQKLKDSNSGLQERIKELKAEKNELRDEKQRLKAEKERLEQQLKVMNAQPSFMAPAPAIPAAFAAAQGQAPGNKLVPFIGYPGVAMWQFMPPAAVDTSQDHVLRPPVA >OMO80541 pep supercontig:CCACVL1_1.0:contig10314:16205:18357:-1 gene:CCACVL1_12884 transcript:OMO80541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MKMPLMKLIYLAFLFIPLRIQLCHGQAQYDDYDDPAKAAPPPGQDNCNGVFMSYAFTSRNRELPHVKNVTAQSWAFKSIATIINSGTEEVKGWKMSVGFHHREILVAVSNAVVVDGGDLPLAVGNGTIVAGYPMTDLKTSIDTAGDYNQIAVQVEFTGTMFGLQEKVIPMPKTISLANEGWKCPKVTKYTTYMHTCCKKDPKFKGKPRGKYLPKQYGDLNIMYDVMKSYEGSYEAQVTMDNNNPLGRLDHWNVTWEWMRGEFIYSMRGAYTHRIDYSDCLYGIAGQFLKDFDFTQVMNCQKRPVITDLPATRANDSNIGKIPYCCRNGTLLPPLMDESKARSIFQLRVYKLPPDTSKTVLYPPQRWNVTGVLNPHYKCGAPMRVDPTEFPEPTGLQATTYAVASWQIVCNITKPEKKKTKCCVSFSAYYNDSAIPCSTCACGCDDVDTDKCNPNGRTMLLPADALLVPFENRTAKAKAFAHLKHRPVPKRMPCPDNCGVSINWHVNSDYKTGWTARITLFNWGETQFEDWFTAVEMKKAYPGYEGVYSFNGTKLNSVKNKNTLFFQGLPGLNFLMGEVNGTKINDPRVPGKQQSVVSFTKKQTPGINIRHGDGFPTKVFFNGEECALPDDFPRSAGHISQASITAVISIAILTFLLMTDRFL >OMO80551 pep supercontig:CCACVL1_1.0:contig10314:61142:71710:1 gene:CCACVL1_12894 transcript:OMO80551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNQLISSSGYAFSFEMLCMAKGKAKATRQGGARVKRVSLVREAAGEPREVHAKEGWGSVGCHVNLPMSF >OMO80557 pep supercontig:CCACVL1_1.0:contig10314:106530:109693:1 gene:CCACVL1_12901 transcript:OMO80557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSIGLEPVVGLLTPSKKREYRVTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRVTVYQCLEGGVIAVLQSYVDEDKDESFYTVSWACNIDGTPFAVAGGINGIIRVIDASNEKIDKSFVGHGDSINEIRTQPLKPSLIVSASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPVFLASVHSNYVDCNRWLGDFILSKSVDNEIVLWEPKMKEQSPGEGTADILQKYPVPECDIWFIKFSCDFHYNAAAIGNREGKIYVWELQSSPPVLISRLSHAQSKSPIRQTAMSFDGSTILACCEDGTIWRWDVVPTN >OMO80560 pep supercontig:CCACVL1_1.0:contig10314:134763:139162:1 gene:CCACVL1_12904 transcript:OMO80560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSGDGQGQSKTQGGGVVSRSARSSFRAISSYLRIVSSGASTVARSAVSVASSIVDREDDSGYDQVHWAGFDKLEGDRDVVRQVLLLGYRSGFQVWDVEEADNVRDLVSRRDGPVSFMQMLPNPVGSKRSVDKFADSRPLLVVCTDGSLSGGAHIHDGPGNGSIPYNHDSGNGSFVPTTVQFYSLRSQSYVHMLKFRSVVYSVRCSSRIVAIAQAAQIHCFDATTLEREYTILTNPIVTGSPGSGGTGYGPLAVGPRWLAYSGSPAVVSNNGRVNPQHLTPSASFPGFSSNSSLVAHYAKESSKQLAAGIVTLGDIGYKKLSRYLPDSYSSLQSGSPGWKANGTVNGHLPDADNTGMVIVRDIVSKVVIAQFRAHKSPISALCFDPSGTLLVTASVQGHNINVFKIMPGLQGSSTASDAPASYAHLYRLQRGLTNAVIQDVSFSDDSSWIMISSSRGTSHLFAINPMGGSVGFQPGDPGFSPRHGSSGVMNKPQVRWPPNVGVQTPTSTSLCASGPPVTLSVVSRIRNGNNGWRGTVTGAAAAATGRMGSLSGAIASSFHNCKGNNNLLFTESSSLKTKYHLLVFSPTGSMMQYALRISADHDTNPVVPRLSTAYESTPESDGRLVVEAIQKWNICQKHSRREREDNVDIYGENGTSDNSKVYPEEIKKGRTYPDPDNVDKANISPEEKHNLYISEAELHMHQARLPLWAKPEIYFHSMVMDGIKMAEENAFGGEIEIERFPTRMIEARSKDLIPVFDYLQTPKFQQARIPTVDSNSNGHLLHQRSGLSENGWLSRRGSSSSFDSVNENGPAVAELHNGIEETGLNGSQMPLATTGFVNNSDSPKTRTRIENVNNRESLRMEAQLKFVNSNSEGLKMENHFEDEGDEFD >OMO80562 pep supercontig:CCACVL1_1.0:contig10314:150889:153638:1 gene:CCACVL1_12906 transcript:OMO80562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MQLHISPSLRHVTVLPGKGVREFIKVKVGARRVSYRMLFYSLLFFTFLLRFLFVLSTVDSIDGQTKCSTIGCLGKKIGPRILGRRIESNVPEVMYQVLNQPVRKDEVQGKTDVPQTLEEFMAEMRDIKSDARTFALRLREMVVLLEQRTRNAKIQEYLYRHVASSSIPKQLHCLALKLANEHSTNAAARLQLPSAELVPALVDNSYFHFVLASDNVLAASVVAKSLVKNALRPEKFVLHIITDRKTYSPMQAWFSLHSLAPAIIEVKALHQFDWLSKGKIPVLEAMEKDRRVRSQFRGGSSAIVANNTEKPQVIAAKLQALSPKYNSLMNHIRIHLPELFPSLNKVVFLDDDIVIQTDLSPLWDIDMNGKVNGAVETCRGEDRFVMSKRFKSYLNFSHPLIAKNFNPNECAWAYGMNIFDLEAWRKSNITLTYYHWLEQNLKSDLSLWQLGTLPPGLIAFHGHVHVIDPFWHMLGLGYQENTTLPDAETAGVIHFNGRAKPWLDIAFPQLRPLWAKYIDFSDKFIKGCHIRAS >OMO80552 pep supercontig:CCACVL1_1.0:contig10314:76846:77826:1 gene:CCACVL1_12895 transcript:OMO80552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHEPPFVEKYKAIFQNSADQQKEKFPMVEVIEEFELPLIDLSRLNHGPSERQKCIEQISAAAKEWGFFQIENHGIAEELLKKLKQEQRKVFHQPFERKAESNFLNLSASSYRWGNPLATSLRNLSWSEALHISLKDTSKMDEFNDLRGV >OMO80547 pep supercontig:CCACVL1_1.0:contig10314:40638:44464:-1 gene:CCACVL1_12890 transcript:OMO80547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESEQSSSTEDVSMDSID >OMO80544 pep supercontig:CCACVL1_1.0:contig10314:26511:28986:-1 gene:CCACVL1_12887 transcript:OMO80544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEILAVLISMFLVLVLVPLYLWKRRQDTRSTDEHVEERQAPRRENVVRGGATGGRTRMRRRPAAGGASTSSSAAAAEDGSDEEEEEDGNYEAKASKKKEKKRQEREAQRRADEAARESRQTKQDRYAEMRRRKDEDREAEERRLEEEAKARQAKEEEAAALDFEKWKGEFSVDAEGTTENEVQDGSQDLLSNFVEYIKNHKCVPLEDLAAEFKLRTQECINRITSLETMGRLSGVMDDRGKYIYISLEEMKAVANYIKRQGRVSISHLASKSNQFIDLEPKVQFAEEMSIAEEITVA >OMP11911 pep supercontig:CCACVL1_1.0:contig00713:130:2006:1 gene:CCACVL1_00217 transcript:OMP11911 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase MGIVRTQEEDYERRELEDFRQTLVSVAAMERRKQDDHQDFKALTSSPSNNLEDKLVCVTSGVSFLGLALVNCLLLHGYSVRVLVDNQEDVEKLREMENSWELWMACKNKVSVVMARPTELQGLMAAFDGCRGVFHTSAFTDPAGISGYSKSMAEIEVKGSENVIKACARTPSVRNCVITSSLLACIWIDNSRHNLSPLVNHDCWSDESLCIDKKLWYALGKLKAEKIAWKTAEEMGLKLTTICPGLITGPEFSYRNPTATIAYLKGAQEMYGVGLLATVDVRRLAEAHVSVFEAMNTTACGRYICFDQVIGTEEEAEKLAKEMGMPANKICGNSFDFIPNRFELSNKKLDNLMSRTLRSCYGER >OMO63756 pep supercontig:CCACVL1_1.0:contig12898:8691:16426:-1 gene:CCACVL1_22296 transcript:OMO63756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CW-type MISLGSNDARKGLGLGFGRRAMEDTELEEGEACSYNNNNDDYDASTDPENDLSSLSYIDEKIQHVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYARSPGWSHPKSPPKGQSSNAPRSPKVVQVEDGRHNSACWASGSQSLRPGPPANFGTLPALRVPSSHNSIKQDVSVTSTHSDELVSRSEFGNKKAATLPDQKTLKLRIKVGSDNLSTRKNAAIYSGLGLDVSPSSSLDDSPSESEGMYRDSQEPLLESPTSILRFMTSFPVPGETLLSPLPEDLLNFTLKEKIRKEHRSDFGKGDGISLGERKAKSVEKKDFLAERKTGNSREIKNENGITSKKEADMDMLVCEELVSKTLKLPLLSNSYPAVDKVKSKGPARNRGVNDAAMEDSTEPTQENGWENPRPGSARKVLEEQKTSVMDDGYVRKDGYIKGEKTYDPIKDDSSALKGSKALNSEPVDPPRQKVGRAASHEQDNMKFPQAKEHTPSGGKRKSKGSQGHGSLAADIPKENLRVGSSSMLKHKQSVHANNYANKRESGDLKLERPSRKAEDRYRDFFGDMGESEQEENQTSSFEARPEDRLKEADKIERNASAINSAYNDRLSGKKTEDLLAPESYPKATVDGASNLAANMNIAGTAHVNPAPVLIKENWVSCDKCEKWRLLPISINPADLPDKWICSMLNWLPGMNRCSVEEEETTKAVFALYQVPAAESQNNLQSNPGNAMSRLSSGDALQPDQNQRSFGSYAVPAAKKKKHSSKEISNAMDKDGATPMKKNMQSSLKSGSLTDVTRSPVVGEPGLQHLSKSCDLPVEKHRNKQKEKHKVTEHSSDGGDGKTSKMKGKRSNDQDSLRASKKIKTESMHVADEDWAFEHTGKGGPSTSNGFPTVLVGKNQPKHDERSYKDSKLDKSRQQTSGKRPKDKLQVSLTDGSLDLVNCDGGEVSRKRKVDECIDNQLYVGSIQSMGNHLQDSRMFVKEEFSENDYRRDKKARVSKSGGKDSSASKSSGKTEKKSRHAKNHQSGLDPGSTLSQRSLDGTDSLKRDFGSSQPSLAATSSSSKVSGSHKSKSGSHKSKPGFQEAKGSPVESVSSSPMRIANLDKLQSTRRNVAGRDEPRDAGLFVTGSPRRCSDGEDNGGSDRSGTGRKEKTSTAAQPGSIESSVFDFQDKDGGQLGGSKAKGPVESSPDIRKDQYLNGAVEYLGQEAQYAGKSTIDEYRNGENQNDNQGNANVSRPRKSGKGSSRSKDRNRNFKSDSVDEQQDRGPSHEVKSRDGRNKYQERLGVKSDESENRFVDNKEPAGKLSGENSKRDSQSNVAVQGRADAKPDVTGSQDVLSTVKQNIVQESDGEKYTKRFNPEKSDHGETASGRGNPVTLPPSGATQNEIPSRCPRPGSGSQKGNGLDGSQGDDALKVQKQIKKADHQNGTQHSSSRHTTSGGRRIRDVDAPSPLRRDSSSQAANNALKEAKDLKHMADRVKNAGSNESTALYFQAALKFLHGASLLESSNSETTKHENIQSVQIYSSTAKLCEFCAHEYEKSKDMAAASLAYKCMELAYMRVIYSNHGSANRDRHELQAALQMVPPGESPSSSASDVDNLNHPTTADKVALPKGVSSPQVAGNHVISARNRPNFVRLLGFAQDVFYAMEASRKSRIAFAAANSSSGGAENGEVISSVKKALDFNFQDVEGLLRLVRVAMEVISH >OMO63757 pep supercontig:CCACVL1_1.0:contig12898:19852:19914:1 gene:CCACVL1_22297 transcript:OMO63757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAGKLFLNTKTKESNGPE >OMO63758 pep supercontig:CCACVL1_1.0:contig12898:23820:26129:-1 gene:CCACVL1_22298 transcript:OMO63758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIIGSLGTCKPTSNDVGSPPNSSACTIQGSVSVSPTVLNSVSPTLGRHLARRLVQIGVTDVFSVPGDFNLALLDHLIAEPRLNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCYQAVVNHLEDAHELIDTAIATALKESKPVYISISCNLAAVPHPTFSREPVPFSLAPKLSNKMGLEAAVEATAELLNKAVKPVLVGGPKLRVAKAIESFAELADATGYAVAAMPSAKGLVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKSDKAIIVQPNRVVIGNGPTFGCVLMKDFLRELAKRLKHNNTAFQNYHRIFVSQGQPLKAEPKEPLRVNILFQHIQKMLSGETAVIAETGDSWFNCQKLKLPMGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVIACIGDGSFQVTAQDVSTMLRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVEAIHNGEGKCWTAKVFCEEELMEAIETATGAMKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >OMO63755 pep supercontig:CCACVL1_1.0:contig12898:7911:8150:-1 gene:CCACVL1_22295 transcript:OMO63755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGYAPEYACSFATDAVFSAEARMKRSTQVGSLRSAAVLLSM >OMO66951 pep supercontig:CCACVL1_1.0:contig12487:54312:56188:-1 gene:CCACVL1_20901 transcript:OMO66951 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MAHLLSTSCCVKISSSYKPHGQPLNQVRTALPTSFTIQTSKAPFKGLVFQGGKVGRSCVVRATAAPVSKEASAQPRSGSNGSPNEASKAQRVMVIGGDGYCGWATALHLSNKGYEVAIVDSLVRRLFDHQLGLDSLTPIASIHNRLRCWKAVTGKTIELYIGDICDFEFLSETFNSFEPDAVVHFGEQRSAPYSMIDRPRAVFTQHNNVIGTLNVLFAIKEFREQCHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVRTDETSLHEDLCNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELAALVTKAGTKLGLDVETITVPNPRVEAEEHYYNAKHTKLIELGLKPHLLSDSLLDSLLNFTVQFKDRVDRKQIMPSVSWRKMGVKPQTVPAD >OMO66948 pep supercontig:CCACVL1_1.0:contig12487:24908:27077:-1 gene:CCACVL1_20898 transcript:OMO66948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanases superfamily MGNLGTADPNGPMYYRGIYHLFYQYNPYAAVWGNISWAHSISYDLVNWIHLDHALNPEDPFDINGCWSGSTTLLPGEKPVILYTGSDTKNQQVQNLAVPKNLSDPFLKEWIKSSHNPVMSPIDDGLDPDNFRDPTTAWQGPDGLWRVLIGNQMNGHGRALLYRSRDFVSWIKSEEHLHSSNKTGMWECPDFYPVAIYGKYGVDTSSVNELTKHVLKASFNNHDYYILGNYTPTTDKFSVDTDFMDSGSDLRYDYGQFYASKTFFDSAKKRRILWSWIQESENATDDIKKGWSGLQSIPRTILLSESGKQLIQWPVEEIEQLRAENVSLQSKELKGGSVLEVSGITASQADIEVSFDLSNINEAEPELMDPSWIDPQLLCSQKPESFRGNVGPFGVSVLASKDLTEQTAIFFRIFRSHDKYVVLMCSDQSRSSLREGPNKVSYGAFIDIDPLLEKISLRSLIDHSIVESFGGEGKACITARVYPKLAIDKQAYLYAFNNGTFDVTIASLNAW >OMO66952 pep supercontig:CCACVL1_1.0:contig12487:57492:59407:-1 gene:CCACVL1_20902 transcript:OMO66952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor SUI1 MAEKPQPVKVLYCPICSLPAEYCEFGPDFQKCKPWLINNAPELYPDLCKEANEKEADKVSQQLQSVGISSADGATSSAQPGDISVSKQEEVKRLPGGKVKKKEKQEVVIEKVVRNRRKCITIVKGLDLFGIKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISFDIVEFITETWPAVPETAIFFIEDGKKVPAA >OMO66953 pep supercontig:CCACVL1_1.0:contig12487:60200:64315:1 gene:CCACVL1_20903 transcript:OMO66953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M41 MAWRRLITQVARQQSELGQFRNSISRTLLSSNNRLVSGQQRLKSSYVGNLARRVRDAEEASEVAHLRELYHRNDPEAVIRLFESQPSLHSNRSALSEYVKALVKVDRLDESELLKTLQRGIAKSAREEESIGGLSALRNVGKSRKEAVLGTPGAPIHMVTSEGGHFKEQLWRTIRTVALGFLLISGVGALIEDRGISKGLGLHEEVQPTVESNTKFSDVKGVDEAKSELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGRRNPKDQQYMRMTLNQLLVELDGFKQNEGIIVIAATNFPQSLDKALVRPGRFDRRIVVPNPDVEGRKQIMESHMSKVLKADDVDLMIIARGTPGFSGADLANLVNIAAVKAAMDGAKAVTMADLEYAKDRIILGSERKSAVISEESRKLTAYHEGGHALVAIHTDGALPVHKATIVPRGMALGMVSQLPDKDQTSISRKQMLARLDVAMGGRVAEELIFGEIEVTSGASSDLKQATELARSMVTRYGMSKEVGLVAHDYDDNGRSMSTETRLLIEKEVKDLLDRAYNNAKNILITHSKEHHALANALLEHETLTGSQIKDLLAQVNTQQQEQEHPQQMVAPKNGPQSNPVPPSPSPSPAASAAAAAAAAAAAAADAAAAATAAAKGKGIAPVGS >OMO66947 pep supercontig:CCACVL1_1.0:contig12487:15150:16120:1 gene:CCACVL1_20897 transcript:OMO66947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MQSLRSCSSDAVQLDLTPLPPPPPSSSSSNTTANNAMSSTISAGLSIDEEESTETRISRLISEHPVIIFSRSSCCMCHVMKKLLATIGVHPTVIELDDHEIASLPSPPAHDSLSARNPAPAVFIGGTCVGGLESLVALHLSGHLVPKLVEVGALWFRV >OMO66950 pep supercontig:CCACVL1_1.0:contig12487:47106:53684:1 gene:CCACVL1_20900 transcript:OMO66950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGLVMDESMSNLTSTSGEISASSSATRIETTFASTNQAPPLKKKRSLPGNPDPDAEVIALSPKSLLATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRPKMEVIRKKVYVCPETTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTKEYRCDCGTLFSRRDSFITHRAFCDALAEESARAIPTLPNSLLSSSQQQVEIAGSSVSHNLSTLQQQQPQVFHSQALQALAVKREQDITYLLSGRSVAAADHSLPPWLACSSSFLDPLSQNIHENPSQTQNPSSTTTTLAPFQTPTAASSPISPHMSATALLQKAAQMGVTMSSNKPLQSPAPVAMQRPHHMSGTAGFIGSTSNPAGSAVGLSARDHGLAFFGNKAAAMEQVVAATNSTAGAPSLLHDMMSSLSSTSGFDGSSSSFEQSFNGIFNPKLLGNNSNNFQEIHQQNFQKTAESQLSRSENNHERRGSSVSSNIIGGNSNNDGLTRDFLGLKAFPHRDFPNLAGFNHHGHGINSSPAYAQHNQHSHQSQTPWQVGQQRIVIRNQHGENLVGILHETGSKDVVIICHGFQSIKERIPMVSIANVLERQGISAFRFDFAGNGESEGSFMYGNYRREAEDLRAVVQHFCNKDRPVTAIIGHSKGGNVVLLYASKYNDVPTVVSISGRFNLEKGMEGRLGKDFLQRIKQNGFIDVFNRKGKFEYRVTQESLMDRLSTDTRAACLLIDQNCRVLIIHGSMDRIVPAKDALEFARFIRNHKLHIVEGADHEYTSHQDELTKIVLDFVREGRQEKNTTQDSQSCSGGVRSRI >OMO66949 pep supercontig:CCACVL1_1.0:contig12487:28726:41899:-1 gene:CCACVL1_20899 transcript:OMO66949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanases superfamily MVESLPIDNLSLQSFQVLPEREQQQQQQQPYRTGYHFQPPQSWMNGPMYYKGVYHLFYQYNPNGALFGETGMVWAHSASYDLVNWFSLEHALVPSDPFDKVSYSNNNQVQNLATPKNLSDPLLREWVKYSGNPLMTPPEGVTGDNFRDPTTAWQGHDGIWRVIVGGWSNNQGMAILYESEDFVHWTKSQDPLYASAKTGMWECPDFFPVSINSSNGLDTSVMNNPGVRHVMKASFMEDWNDYYTVGNYDTEQQKFVPHADFTGTNQDLRFDYGKLYASKTFFDSKKDRRVLWGWVNESDSTEDVLEKGWSGLQIVPRKIWLDGTGNQLLQWPVEEINTLRENQVNVYDKKLESGSIFEVSGLTASQADIEIMFELPQLEEAEFLNTNLVDPQLICDKEDASVNGGFGPFGILALATQDLTEQTAIFFRVFRGEKGYVVLMCSDQKRSSLRNGLDKTTYGAFLDIDPSQEMISLRTLIDHSIIESFGGNGKSCITTRVYPKLAINNEAHLYVFNNGTKSVTISRLNAWSMNKSRINCSGGLEEDQQQPYRTGYHFQPPQNWMNGPLYYKGVYHLFYQYNPNGALFGDTGMVWAHSVSYDLVSWFSLDHALIPSEPFDKVSCWSGSATIIQGNKPVILYTGIDADNRQVQNLATPRNLSDPLLREWVKYSSNPLMTPPDGVERDNFRDPTTAWQDTDGTWRVIIGSWSNNLGMAMHYQSEDFVHWTKYQDPLYESGTAGMWECPDFFPVSINSTNGVDNFLPNSNVRHVMKASFAVNAHDYYIVGTYDTKLQKFLPDADFTGTSLDLRIDYGKFYASKTFFDSKKNRRILWGWVNESDSTEDDLEKGWSGLQSVPRKIWLDRTGKQLVQWPVEEINTLRDNQVDVYDKQLEGGSMFEVSGITASQADIEIMFELPQLEEAEFLNTNLVDPQLICDKEDASVNGGFGPFGILALATKDLTEQTAIFFRVFRGDKGYVVLMCSDQKRSSLRNGLDKTTYGAFVGIDPKQEMISLRSLIDHSIIESYGGNGKSCITTRVYPKLAIFNEAHLYVFNNGTKSVTISRLNAWSMNKARINCRGGPMYYKGVYHLFYQYNPNGALFGETGGMVWAHSASYDLVNWFSLEHALVPSDPFDKVACISGSATILPGNKPVILYTGIDADNRQVQNLATPKNLSDPLLREWVKYSSNPLMTPPDGVTGDNFRDPSTAWQVTDGTWRVTIGAWSNNQGMAMLYQSEDFVHWTKYQDPLYASGTAGMWECVDFFPVSINSTNGVDNFVPNPNVRHVMKASFTANAHDYYIVGTYDTKLQKFLPDADFTGTSLDLRIDYGKFYASKTFFDSNKNRRIVWGWVNESDSTEDALEKGWSGLQSLPRKIWLDRTGKQLVQWPVEEINTLRDNQVYVYGKQLDSGSIFEVSGITASQADIEIMFELSQLEEAEFLNPNLVDPQLICDKEDASVNGVFGPFGILALATKDLTEQTAIFFRVFRGDKGYVVLMCSDQKRSSLRNGLDKTTYGAFVDIDPSQEMISLRSLFGRILILKISAKIESLTITMAKSVIWLVGLCFLLVGNEVQAAENPMPLSTEQPYRTAYHFQPPKNWMNGPMYLNEVYHLFYQYNPYAAIWGNMTWGHSVSYDLINWIHLQHALFPIDPYDFNGVWSGSTTFISKGKPAILYTGLDTQNRQAQCLALPKNISDPFLKEWVKSPHNPLMKPIDDIDPQFFRDPTTAWKGPDGLWRVLVGNQMNGHGTALLYRSKDFVTWSRSKVPLHSSNKTVMWECPDFYPVAINGKDGVETSSLGKSNKHVLKASFNQRDYYVLGKYKAQGDKFSVDSDFTNTTSDLSYDYGKFYASKTFFDSSKKRRVLWGWVNESDSQADDIKKGWSSVQSIPRSILLSKDGKQLIQWPIKEIEKLRTKKVSFNNKKLKGGSVLQVSGITASQADVSISFDLPNLKDAEVMNPSWVDPQLLCSSKEASVRGKAGPFGLLVLASKGLAEQTAVFFRVFKNHDKFAVLMCSDQSRSSLTDQGLDKTTYGAFINIDPSHEKISLRTLIDRSIVESFGGEGKACITARVYPKLAIDKQAYLYAFNNGTMDVTISSLKAWSLKKAQLVSATH >OMO77124 pep supercontig:CCACVL1_1.0:contig10815:3984:4451:-1 gene:CCACVL1_15198 transcript:OMO77124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 MSTLKEILTRRPVAATIRLTVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPDTPMAVTITAFKDNTFEFTVKSPSVTWYLKKAAGIESGSGRPGHVIATTLSVRHIYEIAKIKQSDPYCQYMSLESISKSIIGTANTMGIKVVKDLD >OMO99559 pep supercontig:CCACVL1_1.0:contig06865:26967:29914:-1 gene:CCACVL1_03741 transcript:OMO99559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIALRFRFKASKFRLGLGKKEKGGVFHSFFGVEVLVWSDGGDFTVVAALV >OMO99558 pep supercontig:CCACVL1_1.0:contig06865:12145:23578:1 gene:CCACVL1_03740 transcript:OMO99558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MDNMSTQMNTFAKEVQQVREGHNQEAQPPQLVEGALREPQAPRQVAGGGPLDRLREQKAGAQAYLDNLRPRRVVEREEPKDNIKYTTPKFNGSGILEDYLDWKSKSNMYFDYHPYVETKKVQIAILEFVENALNWWNQLVQSRRRDREIPIETWANLKSAIRKRFVPSYYINSLYQRLQALRQGSMRVDEYYFEMLLLMSRAEVEEAPQATMARFLSGLNREIHDIVEMQQHYDLEEMLQHALKAKGQVRRNSAAKKGFTSSSSSWKTPSREDEKMPFKEKEDLRLSIACLIDHLRSTKKKKAWCTSYIAELRRASEGRGDLVDRTSQGKSPEGAQAKDCRKRPRDRTKRTRDDQRIERAPCHGEGCERNVATGTTPPERAADSGDPAPRQVQVDASRTYHGLEEHNGAIGDHVLDKLGDVLEIQEDATDDPGLNTSLAEQGVEDWPPSHGATDHDRPTHGTPHETSHKPSGHNRPSYGPTHAPSGHNRPFDPLAIPQGSMTRARAKRFKEALLGFPLVTSRNIVPPSSVADGATIGLKTSRNNRSSSLYTNLRSVLEVQALKPSKSSLAETSKTSRSRRFHCWIDPLFRYVLPLVKNHLLTHRISPHSTTTKSPKTNLLLNSRGIEANRTGNWAGSGSGTSGSGNFGFGYFRVRVFSGSGISGSGFGSGLGSGSGGFGLSRVRVFSGSALEANPDKVMAILNMCPPPPPQKVKQIQELTGRVAALNRFISKPAERCLPFFKILRTISRFVWTPECRQAFDQHLSTPPLLSRPEEGETLYMYLVASNETVAAVLIRETDQRQLPVYYEAQAIFSFTQGCSSDRPTFERGLTKGGYDLETPEVERRVVGIRNRIPAPKGNQGANPSRSRSGMYFLRRRTDGILEGRRERRTRETIDRQPTKRGAPLVGNAGPENQPTPPSATYDQHLEAVMGKFEVKEPSLVAYYWRVQHLWTKFPEARIQHIPREENSRADALSKLACSDITAVRWQVFMEYVRKPKQTDRLTLYLGDKEENPDWMVEIEKKGILRLSLAKEGQQDKRTRRICKWENHRSNEEELGETKGKWVEALPGIYKESEPPTTRGQGFLPSWPLSPNEAAMRFKAPRRKLEYPSSDHDMEHFDHPKRSKAKQFQDARWGRIDIVA >OMP11151 pep supercontig:CCACVL1_1.0:contig01527:1151:5048:1 gene:CCACVL1_00657 transcript:OMP11151 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plastid developmental protein DAG MASHSSPHPPLPPSAPWPPYFSGDSGSNNTVVPERVELTREPSVVEGCDYEQWFVVMEAPKGRDTHFEMRYLSPTISKPSQWLSEDVNEPV >OMP10579 pep supercontig:CCACVL1_1.0:contig02166:294:386:-1 gene:CCACVL1_00863 transcript:OMP10579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRQICPHRLAVQDISLSRRQRGFDFPWG >OMO60152 pep supercontig:CCACVL1_1.0:contig13773:10061:20514:1 gene:CCACVL1_24361 transcript:OMO60152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIGFTHFGTRLLTERGIPAGTIDTGMVADGMCLL >OMO60153 pep supercontig:CCACVL1_1.0:contig13773:21140:26951:1 gene:CCACVL1_24362 transcript:OMO60153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAKPNTILMVKKGKKKAEAGRDGDGPSECDNQYHSDDDPVALSTG >OMO60154 pep supercontig:CCACVL1_1.0:contig13773:44866:47523:1 gene:CCACVL1_24363 transcript:OMO60154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSVAIVTLQLLCFVSLLLLSTYASVFDDERYFLCNPTNNYTNGSIYGQNVNTTINSLASNASSDGFYITSVVGQDLDTVYGLVQCLYIISKEDCQTCVETAAKDIGNFCQNKKEAMIGYSNCSLRYSDRRFFSTPSNSPIAAFANTADVTYPDVFNPQLANLFKNLSSTAASSTLRFAVGTASYSDFASDNIYGMVQCTRDLSENSCSSCLQEIISVIPQCCRQSRGVRIFAVSCNLRHELYRFFLTTSTSISLPLSPPPPPPSLDRNSVPGATTNTTSSDGKKSTSKTMVVIVVSVAMAAMLVILVVCGCLFWRKAKKKVAGSDPDVHDHHERNMESLLIGLDTIKAATRNFSDEYKLGQGGFGPVYKGTLFDGTEIAVKRLSSNSGQGLIELKTEVMLVAKLLHRNLVKLLGFCLEEKEKLLVYEFLPNGSLDKILFDQNKRSSLEWERRYRIIVGIARGLLYLHEDSQLRIIHRDLKASNILLDEQMNPKISDFGLAKLFGDSQTRGNTTRIAGTYGYMAPEYARNGYFSPKSDVYSFGVLVLELVTGHKNSVFRDPTNLLSHAWLHWTNGTALEIIDPSLGDQWPRFEVLKSIHIGLLCVQEAAADRPTMSEIITMLSSYTITVAAPLRPAFFVSTGNFSTDSALDSGSSRTGDSRERERSVNDVTISELHPR >OMO60151 pep supercontig:CCACVL1_1.0:contig13773:8344:8737:-1 gene:CCACVL1_24360 transcript:OMO60151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKKLVLSSYGDDCQLTRVNNTTPPK >OMO51272 pep supercontig:CCACVL1_1.0:contig15899:602:676:1 gene:CCACVL1_29892 transcript:OMO51272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IELDPGSLGQTVEPSGRSGFNNYG >OMO64673 pep supercontig:CCACVL1_1.0:contig12750:29682:34294:-1 gene:CCACVL1_21620 transcript:OMO64673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDLLPLLDKSEELVNCFKKRGFS >OMO64674 pep supercontig:CCACVL1_1.0:contig12750:60798:61175:-1 gene:CCACVL1_21621 transcript:OMO64674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLIRIFLQRIVMTFTETLITFFATAVATITMINHPKPQRHQSLFFTIFFLIKPKTLDPFIQKLTPPLSFFSNSAIPPKPTFHDYRTPKGPLFPSFLSLKPFKSPNTRTNNSQIPAAPLFRLTN >OMO64672 pep supercontig:CCACVL1_1.0:contig12750:20267:28391:1 gene:CCACVL1_21619 transcript:OMO64672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLAELSSSELAFLSKSQSNGLATKSELIIVAEERRRI >OMO73946 pep supercontig:CCACVL1_1.0:contig11170:4790:7130:1 gene:CCACVL1_17058 transcript:OMO73946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQGFFSALKEEVVRGLSPSRSRSNSPARARSPMASLLRRKKNNHHGNYGGGYVAQPEPLIARSGSLRPVGEALAPLMEGPDPDGGEVGDSKRVGSGLGQWVKGQLSRTPSVASMSYKRSDLRLLLGVMGAPLAPVHVSSTDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNSIRNAYAMGKLKMVACEYETATRTVKNRNGSRAAESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASMFADARCIGEKNINGEDCFILKLCTDPQTLKARSEGPAEIIRHVLFGYFSQKTGLLVLMEDSHLTRIQSNGGDAVYWETTINSYLDDYRPVEGIMIAHSGRSVVTLFRFGEVAMSHSKTKMEEAWTIEEVAFNIPGLSVDCFIPPGDLRSGSISETCELPQDERGKSAIALAAHRAKVAALEKAHDGGADSMIWRMEV >OMP07311 pep supercontig:CCACVL1_1.0:contig04529:104:346:1 gene:CCACVL1_01330 transcript:OMP07311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVQKDPPFFHQIFCFFLDTRVQIPMDLLFAYRLHIIRKTLVFSKPLVHISHQTVLFDNVYLVDSLLTQASIQISVLHSFVK >OMO86747 pep supercontig:CCACVL1_1.0:contig09426:1581:1805:1 gene:CCACVL1_09481 transcript:OMO86747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMSKTRRNTAQTAHRSSNEKGIDRIWQNAGAERRKETFSNACEIMLLIWWTKKATKRRVKATRTRTESTTKE >OMO89439 pep supercontig:CCACVL1_1.0:contig08720:11167:12781:-1 gene:CCACVL1_07825 transcript:OMO89439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPFLMADKEYSGVDFDSNDTILGVDDPFPIIDYSKLTSNDPHQSSQAVEFLGKICLEYGFFAVTNHTIPESLINGTMEALQGFFDLAEEKKRKYETNNSMDRIRWGRGDVQHVTREFFKIASHPHFHCPTEPDVLRETLQEYNKRLREVGINLVRGISKSFGQEESYIEKAMSLESGYDFFTANDYPPRVSAPNIIGQFPHNDPGLLILLVQNILTNGKYKSTVHRVIVNNEVRRVTFPLFMGPSLEATVSPAPEFVDEDNPPAYRGMTYKEYLEANQHHVIEGKSCLQQIRL >OMO62593 pep supercontig:CCACVL1_1.0:contig13236:11884:18516:1 gene:CCACVL1_22730 transcript:OMO62593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSAVTTQSLIPSKILNFRSKNPQDLFSKTLKFYTFKSPTHSNSLHSQRVNSVHPKSPSTQTHHHPIQELLLLLAFSLTLLCFRLLSNALLPDLPLRWQSLIAFSHEAEAQTRAYPKHLWEAIVAYEDRRFFRHFGLDPIGIGRAVLSFSARGGGSTITQQVSVFCLRGIFYAHKMFDGFTQPKFIYPNFSASYFNFIDKDGTFLFSRNGTFKAAIYNPEAQTNFYLCVIHVESNTIIWSANRDSAISNTGKMNLTPQGISIADGNVKWSTPKLQAAVYALLLTEMGNLILLDQFNGSLWESFHHPTDTIVIGQHLPVGANLSNSVSDNNLSTGDYRFMISASDAISQWHGQAYWKLSMDTKAYVNSNFPVEYMAINKTGLYLFGLNGSVVVIQVKLSQTNFRIAKLDASGLFTVSSFSGGKWVQEFVGPIDGCQIPTSCGKMGLCTSDSTSGAPVCSCPSDFHSASQTIGGCLPKEPFYSLPTACDSINNVSESNSSAVSFLRVGSGIDYFSLVFSKPIRYGVDFSVCQDLCSGDCACLGIFYENSSASCYVLKDHLGSLILRNTIQSDFEGYVKVLVRPSATDSSGNQRKEFPLVALVLLPFTGFFLLAALGFLWWKRYILDKTGEIKLGHLTSVSSGDLDTFYIPGLPQKFDYEELEVATDNFKTQIGAGGFGAVYKGTLPDKTVVAVKKITNPGIQGKKEFCTEIAVIGNIHHVNLVKLRGFCAQGRQRFLVYEYMNRGSLDRTLFGSGPVLEWQERFDIALGAARGLAYLHSGCEHKIIHCDVKPENILLHDHFQAKISDFGLSKLLSPEQSSLFTTMRGTRGYLAPEWLTNSAISEKTDVYSFGMVLLELVSGRKNCSLKSQSHSIIDTNSGGGNSSSSSVTGLVYFPLFALEMHEQGRYLELADSRLEGRVTSKEVEKLVRIALCCVHEEPALRPSMATAVGMLEGSIPLGQPRVESLNFLRFYGRRFTEASMIEEENGQILLVHCVRTAESFKGATLLSVALDQLTINMHCTHNLVKNTFLKNERTFSRKILEMILALALERTLSKHAILSSYVCKIYWGHGINGIESASTLYFGKHPSLLSLAEAAMLAGLIPAPELRSPLRDERSGKIFQARVLKRMVEVGAVDMEMALLTVRQPLYLHSSRPEYTDALTCLLSFSDLGLRENNKLSQVGKESRFKGTWDWERESKIWEVCEEMERWAMKFRCRTSAKASCLKGKTQAFANL >OMO62596 pep supercontig:CCACVL1_1.0:contig13236:40917:42240:1 gene:CCACVL1_22733 transcript:OMO62596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MEVERVQEIASNLSKGTIPEEFIRTMTEQPGLTTVQGTVLEVPIIDLRDPDEQKVLKAIDDASRNWGMFQVTNHGIPEESIRNFQEVGKFFFELPQEEKEVYAKPEGSKSIEGYGTKLQRELEGKKAWVDHLFHKIWPPSEINYQFWPKNPPSYREANEEYTKHMHGVVNKLLRLFSLGLGLEEHEVKKAVGGDDLVYLLKINYYPPCPRPDLALGVPAHTDMSAMTILVPNDVQGLQASKDGQWYDVKYIPNALIIHVGDQLEIVSNGKYKSVLHRSTVNKEKARISWPIFFEPPADLEVGPHPKLINEGNPPKYKTKKFSDYCYCELNKIPQ >OMO62595 pep supercontig:CCACVL1_1.0:contig13236:32292:33840:-1 gene:CCACVL1_22732 transcript:OMO62595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERIEAGRQLVKEEAQQLALMFETVGAFKVKRKGGKNKQVFGRHGEWKVIHYDTNRT >OMO62592 pep supercontig:CCACVL1_1.0:contig13236:4954:6879:1 gene:CCACVL1_22729 transcript:OMO62592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med22 MNKGTGLGTRPTTVAAAQKQKTMMQRVETDIANIVDNFTQLVNVARRRTRERVTESGATV >OMO62594 pep supercontig:CCACVL1_1.0:contig13236:28810:30382:1 gene:CCACVL1_22731 transcript:OMO62594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Selenoprotein SelK/SelG MAYVEGGVVKSKRSIWRLRTLTDFFWAIINFIGVFFSTMFSMEKSDAYRKGSGSSKKWDGGPGGPGSGPYGGGGGPRRPPRGLDNVRGIDHSSLPACGSCCG >OMO57334 pep supercontig:CCACVL1_1.0:contig14401:7247:9789:-1 gene:CCACVL1_25827 transcript:OMO57334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFGLGSNQACKTNEDDPEKTNNDPEKTNEDDTEKTNEGDLMKTNDDPEITNEDDPVKINDDIWKINDDDPEKIKKQVDEDRMLNCAWAGSNKACRDCCWGLSVTIDYFNGPEKTNEDDPEKTNIDPEKTNKDDPEKTNEDDPVKTNDDHEITNEDDPVDEDRMLSCAWAGSDKACAGSGRVRIKLVDCCSGLSVTIVYFNGHEKTNDDDPDKTNENDPEKSTEDDPVKTNDDPEITNEDDPRDCCWGLSVTIGYFYVLEKTNENDPEKTNEDYSEKTNEDDPVKTNDDPEITNEDYPVKINDDP >OMO94642 pep supercontig:CCACVL1_1.0:contig07823:73277:76640:1 gene:CCACVL1_05896 transcript:OMO94642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAYHGLEEHIGVNGDHEDVIDASLEEHGDGGTSDRPSLGEAAQHRPQDRPRDMDMSGHHRPRDMDMAGYNRPNDLAMPGHNRPRDPLSMPLGPITRARAKRSSLISDAPKAYHGGNDVSKAYHGLEDRYREQGKDVQGLQGSMKMHGDHGDIDNHVPSTKKMPFDPLKMLISPMTRARAKRFKDALMGLVRTHLDNMKTIQVQLKSFDDDLSKKTPTNYNFITLLAIDSRWPD >OMO51189 pep supercontig:CCACVL1_1.0:contig15939:251:334:-1 gene:CCACVL1_29942 transcript:OMO51189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMWLAELAERCFYKALIGELAKKSFLCP >OMO79731 pep supercontig:CCACVL1_1.0:contig10381:98659:98913:1 gene:CCACVL1_13473 transcript:OMO79731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VCHCLAGTLMEMSHYFVLWGSALVVLLICSWLFCPDSPFLSLLGLFRWIHGFDRQSLTTAMFETIPRMAAPRLHGGVAVFRFGI >OMO79726 pep supercontig:CCACVL1_1.0:contig10381:75203:75758:1 gene:CCACVL1_13466 transcript:OMO79726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I9 MGTPKPELYFVFMNYDPHYQRLRADRTKKGAHELDLYLSRKHDELLASNLQPGSYKKTISLVIVDGFAVEITEAQANVLRSANGVRLVEKNQELA >OMO79730 pep supercontig:CCACVL1_1.0:contig10381:96371:97607:-1 gene:CCACVL1_13472 transcript:OMO79730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFQNEKPLLHHANVVLTPQATSWITYKITNHLVLQEPPTKRNKTQIQDPTSISPPGKSKKA >OMO79725 pep supercontig:CCACVL1_1.0:contig10381:65363:67783:1 gene:CCACVL1_13465 transcript:OMO79725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRAFTKPKKSFKLEYGEQSRSSSFSDYDDCIVGFMDDLPLVSCENNGPPLSLKEVLRTSVGVMAESGRGLTEKVVLSKGKVCALKRFRKVIVRRNEFGRRVKRLAQVCNKCEYLVPITAYLYSKRIKLVLLDYYPMGSLADLLEGGRSGQTALNWNERLTITINIARAITFIHSQSPTTVKNIKMNVHGNIKPTNVMINIDMTARLSDYGFVQLAECGDDPDNKERPVTNYCDSLTQKCDIHNFGLLILNILGGVKDPGFIKCIFESKESIKQGKISFFEFDVEGKERKQALKVLEIALYCTNRLPEARPSIDQILLNLDDILNSSTK >OMO79721 pep supercontig:CCACVL1_1.0:contig10381:48535:52344:-1 gene:CCACVL1_13461 transcript:OMO79721 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase, small subunit MTKEEAANGRDDMIIDGPEQANAVPDGFNANYLRIYYGKLFPHADIFKWLSYGNDGKHPACDKSYVGRREFSFTLENDIYIRFQSFNSVSEMENSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGDNVFTPVERELVFDIDITDYDDVRYCCTGADVCLECWPLMTIAIKVIDTALRDDFGFNHILWAYSGRRGVHCWVCDGKARRLTNEQRAAIADYFRVYKGNENSNKKVSLPGLVLHPFLARSYTEVLKGFFEGKLLSNQNLLSSEERYEKILEMIPDASVTSELRGRWQDNKRSSMSKDDINIVRWEQLKQILQSGKQKAQGLRRCVEEIVFSYTYPRLDMEVSKHMNHLLKAPFCVHPKTGRVCVPIDPNNCDEFDPTTVPTLSQLLEELNKGGLRQDAENGWERTSLGESIAFFRSSFLQPLLKSCKEEIESSYNAKLQQSKNNTLSW >OMO79722 pep supercontig:CCACVL1_1.0:contig10381:52975:55283:1 gene:CCACVL1_13462 transcript:OMO79722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MVTNDNISINGNGNGFNKMEEEFIRRHHKHDVKENQCTSSLVKHVKAPVHLVWSLVRRFDQPQKYKPFVSRCVVQGDLQIGSVREVNVKSGLPATTSTERLEYLDDNEHILSMTIVGGDHRLKNYSSIVTVHPEVIDGRPGTLVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLADVSERLAIQDRTEPIERL >OMO79737 pep supercontig:CCACVL1_1.0:contig10381:142184:143778:-1 gene:CCACVL1_13479 transcript:OMO79737 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MEESPNNSNVCVTGGAGFIGSWLVKKLLEKGYTVHATLRDLEDKSKVGLLKSLPGADTRLVLFEADIYNPHQFEKPIQGCEFVFHVATPLQHDSRSSQFKDTAEAAVAAVRSIADSCIRSQTVKRLICTSSVMAASPLTKDGVGSKSCVDESCWTPADISFTPSIEFWQAYTKSKTMAEKEALSYNNDPDGKLEVVTLICGVVGGETILPYMPSSVRELISQLSGKSSSFEALRWFQQLLGSIPLVHIDDVCDAHIFCMEKQSMRGRFVCVVGNLSIREIEKYFRENYPQYQIDDQKFMEDEGIEVVWDSSKLSNMGFQFKFDMKQILDDSVKCGQRLGVVFLK >OMO79717 pep supercontig:CCACVL1_1.0:contig10381:8898:10540:1 gene:CCACVL1_13457 transcript:OMO79717 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MMSYEIVVKGGFVNKKKIGIEDQLKEMPKKKQKVKEEEEEGSESTVTCTSHGLISVIGRRRVMEDAVTASVGEIEGYDFYAVYDGHGGAAVATTCRDRLHRLLEKEVHERTRRGKELDWEKVMTTCFAKMDEEVSGDGSDQQREAEDVVIRTMGSTAVVVLVGKQELVVANCGDSRAVLCRGGTAVALSRDHKPDRPDEMERVEAAGGRVVNWDGSRVLGILATSRSIGDQYLKPYVISKPEVSVIERTKADTFIVLASDGLWDVVSNEIACEVVKRYLDGQIKMRFPEGCSGGDRAAEAAAVLAELAMARGSTDNISVIVVELRR >OMO79724 pep supercontig:CCACVL1_1.0:contig10381:61841:62366:-1 gene:CCACVL1_13464 transcript:OMO79724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAVIFTVISLLFTISHARFIQVHDVTPDETVNDLPEFDPEKATAILLPSERPGFEPAKLIDFKHDDASETESDVDSLPLTMVSFRPINRHFPRRPIIPFRHKHNCRLHKRRLMLTRSRAALFARSRRDGQGFPKMAPSLRRQEVS >OMO79733 pep supercontig:CCACVL1_1.0:contig10381:126653:128080:1 gene:CCACVL1_13475 transcript:OMO79733 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEQRLNWEQGTLISELIQGMELAKQLRVHLGTASSAESRELLVQKILSSYENALLILKLSGPMGQPPQQQNVGATSGCVPESPLSINGGSPRSDDFDKDNNNQEIRDVSKKRKMMPRWTDQVKVSSESMLEGPHDDGYSWRKYGQKDILGAKYPRSYYRCTYRNTKNCWATKQVQRSDEDPTIFEVTYRGTHTCAHGNQAAIPPPASPEKIDLKSKTLNNNNNPQLQSQDILLNLRKGLRVNTQGLDNKEMAPSFSFPSTSFGYLKSENHSFLPSGVLDNDNFFGSFSSPFMSPATPELNYFSASHSQSDNFGGILNAYQSESDLTGLISANTSATNSPIMDLDFSLDPNFPFDTPGFFS >OMO79727 pep supercontig:CCACVL1_1.0:contig10381:79989:84520:1 gene:CCACVL1_13469 transcript:OMO79727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRLTRIAIVSSDRCKPKKCRQECKKSCPVVKTGKLCIEVSSSAKIAFISEELCIGCGICVKKCPFEAIQIINLPRDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDEREMKEELCANLQLNQVIDRNVGDLSGGELQRFAIAVVAIQKAEIYMFDEPSSYLDVKQRLKAAQVIRDLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQESAEEIETYARYKYPTMTKTQGNFQLKVMEGEFTDSQIIVMLGENGTGKTTFIRMLHGSLRPDSVEDSDVEIPEFNVSYKPQKISPKFQSTVRHLLHQKIRDSYMHPQFVSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGQPSVSCTANSPQSLLTGMNLFLSHLDITFRRDPTNYRPRINKLESTKDREQKAAGSYYYLDD >OMO79729 pep supercontig:CCACVL1_1.0:contig10381:94608:95021:1 gene:CCACVL1_13471 transcript:OMO79729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVKGASLAKAVTDYSDVGNDT >OMO79718 pep supercontig:CCACVL1_1.0:contig10381:11534:15380:-1 gene:CCACVL1_13458 transcript:OMO79718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MEKLMRLISMAPLFLLLCLPFAFAGHDYNQALSKSILFFEAQRSGYLPHNQRVTWRANSGLNDGKASGVDLVGGYYDAGDNVKFGLPMAFTITMMSWSIIEYGKQMAENGELGHAMEAIKWGTDYLIKAHPEPYVLYGEVGDGNTDHYCWQRPEDMTTDRRAYKIDPSNPGSDLAGETAAAMAAASIVFHRSNPAYSAELLRHAYQLFEFADKYRGKYDSSITVAQKYYRSVSGYNDELLWAAAWLYQASNNQYYLNYLGKNGDAMGGTGWAMTEFGWDVKYAGVQTLVAKFLMQGKAGMHAPVFERYHQKAEYFMCSLIGKGSRNVQKTPGGLIFRQRWNNMQFVTSASFLATIYSDYLTSSRGTLKCAAGNVAPTELLSFAKSQVDYLLGDNPRATSYMVGYGNNYPRQVHHRGSSIVSIKVNPTFVACRQGYATWYSRKASDPNVLTGAVVGGPDAYDNFADERDNYEQTEPATYNNAPLLGILARLAGGHSGYNQLLPVVAPVPKPVVSKPKPVAPVPKPVVSKPKPAPMPKLTPTPVKSSSPITIQQKMTASWNNKGKTYYRYSIIVTNKSYKTLKNLQLSISKLYGPLWGLTKSGNSYSFPSWINSLPAGKSLEFVYIHSTTPAVVSVSSYNLA >OMO79734 pep supercontig:CCACVL1_1.0:contig10381:128868:134793:-1 gene:CCACVL1_13476 transcript:OMO79734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSRVQPLSKVVVPNSLVLVTFPGSSSFLRKLNRDLRSFEVGSPAVKWRGRIVCAGGGLFPVDPWAPPNIDSKSIASQLSAFSLIPYIGFLYFITKSKSSPKLTIFGFYFLLAFVGATIPAGIYGKFSSLNNNYFQVPTLIHQYYFAHGCQIVNLLVVMTAKELIEGAVSGVRSNAESSIQSPSVKRLIYTASLIAASPEMIEAVVSGVRSIAESCIQSATVKRLIYTATSMAASPITKDGKLDFKSNINELCWTPVDITLTHGLDYMMTYTISKTLAEKEALSYNDKPNCKLEVVSLPLGLVGGETLLSYVPLSVQVMLSQLIGNSETFKALQFQQQLLGSILTVHIDDVCDAHIFCIEKPSLRVVPNSPVLVPFPGSSSFLRNLRPNPSKLNRVLRNYKDGNPGIKRKGGIVCAAGGFLPVDPWAPNIDSQSIASQLFAFSLFPYIGFLYFITKSKSSPKLTLFGFYFLLAFVGATIPAGIYAKVKYGTSLSNVDWLHGGAESLLTLTNLFIVVGLRQALRRTEDAKESTSSSVPEVKDQK >OMO79716 pep supercontig:CCACVL1_1.0:contig10381:1282:8580:-1 gene:CCACVL1_13456 transcript:OMO79716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGTRGNVAYASIHNSWHMYSDQPLRRADHRPFKKLSPSVPTDASTDANKPRRRVSGPPGPSVPVDFVG >OMO79723 pep supercontig:CCACVL1_1.0:contig10381:57643:61169:1 gene:CCACVL1_13463 transcript:OMO79723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MKYRNDKISIADFGGVGDGVTLNTKAFRAAIYRIQHLRRRGGTLLYIPPGVYLTGSFNLTSHMTLYLARGAVIKATQDTWNWPLVAPLPSYGRGRERPGGRYMSFIHGDGLRDVVITGENGTIDGQGGIWWNMWRQGTLKYTRPNLVEFMNSRGIIISNVIFRNSPFWNIHPVYCSNVVIRYVTILAPTDSPNTDGIDPDSSSNVCIEDSFISTGDDLVAVKSGWDEYGIAYGRPSSCITIRRVTGSSPFAGIAVGSETSGGVENVLAENIVIYNSGIGVNVKTNIGRGGFIKNITVSDMYMENVRTGIKIAGDTGDHPDNGFNPNALPYVKDVTLKNIWGVKVQKAGTILGLKNAPFSGICLSKVNLHGTTGPRSPPWKCSDVSGAAIEVSPWPCAELTSPQQSGSCASHF >OMO79720 pep supercontig:CCACVL1_1.0:contig10381:46086:47042:1 gene:CCACVL1_13460 transcript:OMO79720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQENNFSLGFDNGAGTSLSQLILSGGSNTLDSIFSHCAAPATTTTSASMTISNNSVFEPLGSSVYLRQRDLLQKFCQESKGNAAVSHNSLTNQLQNYQNPASTSNYMSPQKKKLYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYETAEAAAYAYDRAAYKLRGEYARLNFPNLKDPSKLGFGDIARMNALKNAVDAKIQAICQKVKRERAKKNAKKNNPDRSPAAVSSSETEKEIKVTESSSSCSSLSTAVFSDNLSNELVSPTTSEDGLWRCENSPSSVLNDYPTMMMMTEEPVFKDCSLARMPSFDPELIWEILAN >OMO79740 pep supercontig:CCACVL1_1.0:contig10381:154649:158092:1 gene:CCACVL1_13482 transcript:OMO79740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILENLYLDNYKLSVVPPELGELKTLKLLVVDYNMLVSVPAFQVNFASKRELRASLASEFE >OMO79728 pep supercontig:CCACVL1_1.0:contig10381:85056:93723:1 gene:CCACVL1_13470 transcript:OMO79728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEIRKEIHPVYKNSEKSEKRSIRFSRHLAVTDYSNVGNHT >OMO79719 pep supercontig:CCACVL1_1.0:contig10381:31396:34137:-1 gene:CCACVL1_13459 transcript:OMO79719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSEEAVRSRHPKKVSSLSSESDPKGNVNGNTILDQKVDDTVNPNGGNDVSKSVTDSIGVNNGGDQSNGLGKDIQSVTGRSTVNQQSDSNGGENLQKNGKESSDGGKKDGEIVHKVQEGSDVEAEVKMGSEKSQNVSNGQEGANVGAKGTSDGEEEGKSMHNGKKSSNLEEKGRINDDREGENVHKDQKGSKVEEEEGKTGGENGGEIGNMGQEGANVEVKGVTYGEKESKNMHMDQEASNVVDKGKKGGEKDSENVHKSQEGLNLEDKGKADGKKDGETKGKLVREKEDNNVNNVDKQPNIQAEGKINDEEREKPGDSLDPKGVTKEKNSAQDSVLSLPTRKDGVHGEECDPNMCMDNNERFSACLRVPGNDFADLSLLIQNKGKGPLNITISAPAFVHLEKTEVELQEKEDKKVKVSIKTSGKDNLIVLKDGSGECSLDFKEFMVHNPAEAYVNILSQTPTTAFIFVVAVLILVSGWMWVSFRRRQLARSGLKYQRLDMELPISAKGKTEPEVDDGWDNSWADNWDDEEAPMTPSKPVTPRLSSKGLASRRSSKEGWKD >OMO79738 pep supercontig:CCACVL1_1.0:contig10381:144636:147084:-1 gene:CCACVL1_13480 transcript:OMO79738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPVATLDQGTTCSAWNYCSERLAAGSVDGSLSIFDSRDPSSSSFTRSSKFKAHEAGIVKVAWIPPEYGDAVACICEDGTLSIWEELVEGTQPLQWKLCKSFKTSSKVLDVQFGVNQTSLRMVTAYSDGGVKVFELIDPLELKNWQLQAEFQNVIDSVSTLGKASCLTACISWNPQRGEGQESSFILGFNSNTPQLNSPKVWEFDAAHQRWLPVAELASPGDKGDQVYCVAWAPNIGRPYELIAVASQKGIAIWHVGSTPDLDGRLSVEKVALLSGHNSEVWQMEWDMSGMTLATTGGDGLVRLWQSNLKGTWHEQATLQPTSS >OMO79732 pep supercontig:CCACVL1_1.0:contig10381:121894:122511:-1 gene:CCACVL1_13474 transcript:OMO79732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAQCHRPVEKTSCHQGQGHHESSLTQKVSGMASSIFKGHGSHQTHSQTTTQCHSKTETHYTNPGVGKSQTNYCHNQATQGHLPHHTMSHSGQNHCMTSHTNGNHCMTSHTNSHLPHHTMSSSGQNQCMTSHTNGNHCMTSHTNGHHASGNATACQQGKTKRRGATKKRSGFLQKIKDGISGDSSSSSDSESDDEKCGAKKASD >OMO79736 pep supercontig:CCACVL1_1.0:contig10381:139769:141365:-1 gene:CCACVL1_13478 transcript:OMO79736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKANIKVCVTGGAGYIASSLIKKLLEKGYIVHATLRNLDDKSKVGILKSLPGADTNLVLFQADIYNPNQFERAIHGCEYVFHVATPMLHDTQSSMFKDTIEAAVAGETSIAESCIRSQTVKRLIYTASGYTRSKTLAEKEALSYNEKYNGKLEVVTLACGLVGGDTFLSYVPLSVEVTFAHITGKLFSVDGLRLLQEILGSVPLIHIDDVCDAHIFCMEKPSMRGRFLCAAANPTIKEIETYFRENHPECKIVDQVLMGEEKGGINGLDSSKLMKMGFEYKNDLKKILDDSVKCGRRLGALISIDQQPIVQGNGFVAGDMKNCSIYSS >OMO79739 pep supercontig:CCACVL1_1.0:contig10381:152570:153997:-1 gene:CCACVL1_13481 transcript:OMO79739 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MENTQESPLNVVIVPTPGMGHLIPLIEFANRLVNLHKFSVTFIIPNDGSPMELPKKLLLSQPEPISTIFLPPVSFDDLPEDARNETRIIQSLTRSLHFLRDEFKALVQSSTRVVAFVVDVFGIDAFDIAKEFGLEPYVFLATGFMLLSLIFELPKLDQIYSCEYRDLPEPIKLPGCVPFDGKDIPDPLQHRKDFVYQRTLQQCKDFPLAAGIIVNSFIDFEKGPFEALTEVSRGLPPVYPVGPLTRNGSDNEVEGFSDCLKWLDEQPSESVLYVCFGSGGTLSREQMNELALGLEMSGQRFLWVVKEPVETSANATFFGSKSVNDPVSYLPDGFLERTKEVGLVIPSWAPQVHVLSHGSTGGFLTHCGWNSTLEAIVHGVPLIAWPLYAEQKMNATLLKDDSNVAFKVNVNENGLVGREDIATYAKQLIEGDEGKLLRTRMRELKNAAKMALSPHGSSTNHLAKVAGIWKKKGIN >OMO79735 pep supercontig:CCACVL1_1.0:contig10381:136351:138097:-1 gene:CCACVL1_13477 transcript:OMO79735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQATKKVCVTGGAGYLGSSLVKKLLDNGYSVHATLRNLEDKTKVGLLKSLPGADSKLVLFQADIYNPDEFKHAIEGCEFVFHVATPQQTSATTQTSQEIIEGAISGVRSIAESCIQSPSVKRLIYTASVMAASPVTTDCGKLNFESNVNESCWTPLDITSSHGLEYMMTYTISKTLAEKEALRYNDNPNCKLEVVSLPCGLVGGETLLSHVPLSVQVMFSSLIGNSATFKGFQLMEEILGSVPIIHIEDVCDTHIFCIEKPSMRGRFICAAASPTIREVATYFRQNYPQYEISEEFMGEEKKAISCDNSKLVKMGFEYKYNMKNILDDSVNCGRRLGAVFINE >OMO69457 pep supercontig:CCACVL1_1.0:contig12032:19814:23822:1 gene:CCACVL1_19498 transcript:OMO69457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b245, heavy chain MDNSVKKPLLLSDDGVEPDHVNKSCFLKSTLKWTLKIVMWVIFIAWVGLIFLYPGELGTELVNKIRHATEGTIFGISGSLFLAFSAPILIIAFLAAAHLIISGEDVFEKKKTTKSPRFRLWTFPVLVDGPFGVVSASELIGIILFVVFIIWAAYAYTMRNLSFMTEFASQNVGIVMLELTGLRLGMMGLLCMAFLFLPISRGSLLLRLIDIPFEHATRYHVWLGHLTMMLFTLHGLFYVIAWAMQGTLVKELLQWKSIGIANLPGVISLLAGLFMWVTSLHPVRKDYFELFFYTHQLYVIFVVFLALHVGEFVFTITAGGIFIFMLDRFLRFCQSRKTVDVLSAKCLPCGTVELVLSKPQNLRYNALSFIFLQVRELSWLQWHPFSVSSSPLDGKYHLAVLIKVLGGWTAKLRDSILSMSETEQEMTKTITASVEGPYGHETPYHLMYENLILVAGGIGISPFLAIISDILHRVRDGKPCLPRNVLVVWAVKKSDELPLLSTIDMESICPFFSDKVNIEFNIFVTRESQPSLEEGKVHAAALNSSGCPLSGCSMSVLVGTGNNIWSGLYVIVSTIGFVVLMTLLRIFYIKPYNISTWWYQGLLLIACMVASIIIFGGFVVYLWNLWGKKASAKDEHKQDDTIKVEPDQNGTVSQKTLNQNSLDSSTIIQYGTRPDFKEIYGTVSKKWGSVDVGVIVCGPATLQSSVAKEIRSHNIVRQRQDAIFHFNSHSFDL >OMO69458 pep supercontig:CCACVL1_1.0:contig12032:24501:27525:-1 gene:CCACVL1_19499 transcript:OMO69458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVWLASIFTMRMLNEPVFGFYTCGSKPIFSIVDMKFGFHIMVEKLKRNSVSDAKKETAKDGGSDHIAAHTFTFRELAAATKNFRADCLLGEGGFGRVYKGRLESTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDRRRLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDLKCSNILLNEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSKTGGEQNLVAWYTGSKFTAKVPASPDIEYDFHPHHV >OMO69460 pep supercontig:CCACVL1_1.0:contig12032:40567:51654:-1 gene:CCACVL1_19501 transcript:OMO69460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVQMNNDGPVTNGFVELNTHMHDEHKQMRDGVTGEGQGLSEEDESRINEDVEGRNDGKMEVVQVQVHVRGVQQRSQQQPQGPLVRWERFLPLRTLKVLLVENDDSTRHVVCALLRNCGYEVTAVSNGLQAWKILEDLTNHIDLVLTEVVMPCLSGIGLLSKIMNHKTCKNIPVIMMSSHDSMSIVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSGSGSESGIRTQKSTKSKSADSDNNTGSNNEDDIGSVGLNVRDGSDNGSGTQSSWTKRAVEVDSSQPVSPWDQLADPPDSTCAQVIHSRPEVLGNSWVPVTATREHDGQNDALDNVMGKDLEIGVPKVTTLQLEDPSKKLLVNIPGANKDKLSEMNLEKDDEKLDKAQLELNNENPGGDLRNQAADLIGVITKNTDPQVESAVFDITNGLPKVSDSKDKAIYNIKEMPSLELSLKRLRDVGDTGTSAHERNLLRHSDLSAFSRYNSGSTANQAPTGNVGSCSPLDNSSEAAKTDSMKNFQSNSNSMPPKQQSNGSSNNNDMGSTTNNAFSKPAVLTDKPAPKTSVNSFHPSSAFQSVQHGRASAPQTLAQGKGDTAQGVLIQARGTDQVQVQYHHHHYHHYHHHVHNLSQNKKIGNRDVLSLENMAEAAPQCGSSNVSSAPHVERNAGNHSLNGSASGSNHGSNGQNGSSTALKTRGVNLESEDGVPGKVEDGGGVGIGGRNGVDQIRFAHREAALNKFRQKRKERCFEKKVRYHSRKKLAEQRPRIRGQFVRQITATGKGAFRFLGAGLCT >OMO69455 pep supercontig:CCACVL1_1.0:contig12032:2745:9777:1 gene:CCACVL1_19496 transcript:OMO69455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSSASTTTTTTTNNKAVNPLLTFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWNDFLERQAESTQLAVNGVSSDEGKKVSLTEGAEDDNGEVQKAEGDESCEKKSDSGDLSENDSEKEKVQSAPEKRVHQIQIWAEIRPSLRAIEDMMSSRVKKKGGLSKHEQENGRGKPINPTEDGKLPKGASEEDSEDEFYDAERSDPVQDSPTTDNASTTTAGAAADTAPIESSFPKEELEVLVRGGVPMALRGEVLYMFYTVIVSSFASSLPLSHGFGQFQLWQAFVGVKTRRVDKYYQDLLANETNSGNNTEQQSLLSDSKGPTTDSIGGPEKWKGQIEKDLPRTFPGHPALDDDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWALMGIIDDYFDGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESGQFDMHCQLVNWNVQCLIPHQFLLITVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEKRLHELREKHRPAVIAAVEERSKGLQAWRDSQGLASKLYNFKHDPKSMLMETNKTGRLVDSQANGELSRSALGSTNADEVLISLTGDAELDAVPDLQEQVVWLKVELCRLLEEKRSAILRSEELETALMEMVKQDNRRQLSAKVEQLEQEVAELRKALSEKQEQENAMLQVLMRVEQEQRVTEDARIFAEQDAAAQRYAAQVLQEKYEEAVASLAEMEKRVVMAESMLEATLQYQSGQSKAQPSPRSSHPDSPARNNQESQQEIPPRKISLLSRPFGLGWRDRNKGNPSTAEEQNDVKPSNVGHTEIQQKDTNAKETNGNDTKETNTNGVDVQDKE >OMO69459 pep supercontig:CCACVL1_1.0:contig12032:37036:39092:1 gene:CCACVL1_19500 transcript:OMO69459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRYSNLLDEALGLDQTIEPWPLRGRIVAIEDQVETSGSFVLHHLLKRALSPNSSNVSIFIAFSQPFSHYDRVLRKLGCNLVTQRDNKRFFFFDMLKLQCPGGDGGITPEGGLIALYGKIHETISVLPEISRKNVTIIIDDLSLMEVAANGSSDYVLDFLHYCRTLTSEFGCSLVTLNHEDIYASADRPTFLLQMEYLADILIKAEPLATGLATDVHGQLTVMNKGINYGQDSSRNKISNFHFKVKENIVECFYPGSRG >OMO69456 pep supercontig:CCACVL1_1.0:contig12032:13319:17405:1 gene:CCACVL1_19497 transcript:OMO69456 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding 8 MDNSLKKPLLLNDHGVEPDHVNKSCFLKSTVKWTLKIVMWVIFIAWVGLIFLYPGEFGFNLAKKIKNVTNNTIFGKTGSNFLLFSAPILIIAFLAAAHLIISGEDVFEKKKTAKSPRFRLWTFPVLVDGPFGVVSAAELIGIILFVVFIIWAAYAYTMRNLSSMDEFDGIIMMEHSGRRLGNLGLLCLAFLFLPISRGSLLLRLIDIPFEHATRYHVWLGHLTMTIFTLHGLFYVIAWASQGTLLKELLQWKSTGIANLAGAITLSAGLLMWVTALHPVRKNYFELFFYTHQLYVIFVVFLAMHVGEFAFVIAAGGIFIFMLDRFLRFCQSRRTVDVLSAKCLPCGTLELVLSKPQNLRYNALSFIFLQVRELSWLQWHPFSVSSSPLDGKYHLAVLIKVLGKWTSKLRDNILSMSETEPGKTKTITASVEGPYGHELPYHLMYENLILVAGGIGISPFLAIISDILHRVRDGKPCLPRKVLVVWAVKKSDELPLLSTIDMESICPFFSDKVNIEFNIFVTREAQPSLEECKVHAAALKNSSGCPLSGCSMSVLVGTGNNIWSGLYAIVSTVGFIVLMTLVRIFYIMPYNISTWWYKGLLLIACMVASIVIFGGFVVFLWNLWGKKASAKDKHEQEDRIKVESDQRNGTVSQKHLNQNSLDSSTIIQYGSRPYFKEIFGNVSNKWGNVDVGVIVCGPPTLRSSVAKEIRSHSIVRHRQDAIFHFNSHSFDL >OMO99535 pep supercontig:CCACVL1_1.0:contig06869:8381:15484:-1 gene:CCACVL1_03748 transcript:OMO99535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MDSSNLGSVPEDTHEVEKSKPVASAFDSVPRPPPSQSSSQKYSPLDWLSYFDREEDVCISDSNDVFHLYMAGTEGPVVFCLHGGGYSGLSYALSASIIKEKARVVAMDLRGHGKSSTENDLDLSIETMCNDVFAVLKALYGDSPPAIVLVGHSMGGSVAVHVAAKKALQTLAGLVVVDVVEGTAMASLIHMQKILSGRMQHFPSIEKAIEWSVRGGSLRNIDSARVSIPTTLKYDDSKNCYVYRARLEETEQYWRGWYEGLSEKFLSCPIPKLLLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPDEFASLILNFISRNRIGPHGVELIYVADPRTPPASTATIVNQLTNSMGLAIFCSFF >OMO99537 pep supercontig:CCACVL1_1.0:contig06869:26208:30240:1 gene:CCACVL1_03750 transcript:OMO99537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTADLIWKCPHFFNALKERELDLRGNKIAVIENLGATEDQFDTIDLSDNEIVKLDNFPSLNRLGTLIINNNRITRINPNIGEFLPKLHTLVLTNNRLVNLVEIDPLSSLPKLQFLSLLDNNITKKPKYRLYVIHKLKSLRVLDFKKVKAKERLEAENLFASKEVEEEMKKESAKTVTPEEVPKVSEVAEEEQQPKVVAPTPEQILAIKAAIVNSQTLEEVARLEKALKTGQLPADLKLPGDADGNVKAKDEKMINDVQMESNVEADNVDEPKQEEPTPMEQAWFKTVQLNDS >OMO99532 pep supercontig:CCACVL1_1.0:contig06869:7:1308:1 gene:CCACVL1_03745 transcript:OMO99532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRIISHAIKNVSCCYTIRAPGETASFVLTLNSSDVEDATGGFNEMKINFDGKMRGQRVRCSAVEVENDIDDEACELVNGVELSIGEGDDDNIQAFLLKAVKNNNGTVSLFLTCFVVIPGERGSQKPCLHNGWLAKILKGLQETLKPQQNGWMDLSAASKVKVLVLFISGDNNPLCPVSVLNEFEKGTGRGSKVVIFKGRGHAFAHRPGSPEEDVDAEQAL >OMO99534 pep supercontig:CCACVL1_1.0:contig06869:6235:7330:1 gene:CCACVL1_03747 transcript:OMO99534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c, class IA/ IB MASFEQAPPGDAKAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAVIWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKQSTA >OMO99536 pep supercontig:CCACVL1_1.0:contig06869:20000:25693:-1 gene:CCACVL1_03749 transcript:OMO99536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEVIAAAPSPVPTADHKRKLEDVEFEAPPVDASHDSTVDNPVAEDNDVAAPDSFEAKRPRLDDDKTDGGLASENGFQAEKSDEPAEEEDKEEPSKQNEVNKQGEEGAAPSEEAQVNVTPEQVEGKAEETKQTSADNHEAADVQLAKFDGSKIDNGQEAAKEESKQPSDQVNEQEADDGSTITRKIEVPNAKVGVLIGKAGDTIRYLQYNSGAKIQIMRDADADRDAPTRPVEIIGTLSSITMAEKLINAVIAEADAGGSPSLVARGLATTQAAGAADQIEIQVPNEKVGLIIGRGGETIKGLQTRSGARIQLIPQHLPEGDGSKERTVRVTGDKKQIDIARELIKDVMNQTVRPSPLSGGFNQQPYRPRGSTGPPQWGPRGHPSHTASYDYQQRGPYPSQNSHYHPPPYGGYPSHQMAPRSNFGSGWEQRPHSMHGPPPTGGYDYYGGRQGSASGPHSNPMPGHGPSPAPAMGPASSQSNYNYGQPHGPADYAHPPPYSQAAPQHSYGHGYEEKYENHAPVQHSYGGHGSSQPGYAQSGPQPGYAPQQQYGKPPSYGMQSQGPQTYGPPANQQEAPYQGPNAQSYGNAPPQQQYTYPSSGPMQQSYPPYGSAPPSDGYSQPPPVTGQAYPQQGSQPVPSYSQPSAQQATAYAPASSAASYGQYPTTQQGYSEQPAQNNTGYGYQGAQDPGYAAAGPGTTYAAPPSGQATYTQSTAAAQPTYDQSVAQSGGYAAAPGSVPVAAYGKTVSPQPGYTQYDSTQMYAAPR >OMO99533 pep supercontig:CCACVL1_1.0:contig06869:1988:4315:-1 gene:CCACVL1_03746 transcript:OMO99533 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MWQGGKVPSVSDEMDSRVRNPHRRYDAVTVVTARVRFSPTGEVVLQCYLRDAINGQNLPSDIFTEADLYGDKEPWKLFNPNHSHPYWVFTHLKMKRDSVSVAKQTTTKKKGKGNSKAKKNREEEEEKHDRTAGGGSWKGRSVHDILSSDDGEKLGFDREYKFKLNHDDEGRGSNPNSNGNWIMHEFSIKGCDLVICEIKNLKRKRKGTERDSHDLDDDSRVSKKLDVGDRDHQLQVCAITEEQAGLVDTPLPDDDDDFLKKLDVGDRDHQLQVCPIPEEQSGLVDAPVPDDDDDFVNSLLNSEWDWNFIASDREFSSPLQQQQHIPLVF >OMP11353 pep supercontig:CCACVL1_1.0:contig01364:101:595:-1 gene:CCACVL1_00567 transcript:OMP11353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLPCSGVQYVADSDCAQQSSGTSLIFDRESNCSENRKQGQVADCRMDELLIGVEGNSAERQGEGQGTRDELTNSEEHHSGSSYYNGQAEGGSHDYEDDDSNAQNCYTGPYLASENSHLLIDTIECELPSDNREEGLSISEPKWLACDESVALWVKVTFLLSL >OMO96697 pep supercontig:CCACVL1_1.0:contig07398:4481:9841:1 gene:CCACVL1_04826 transcript:OMO96697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESILQVSVMSVLEDVLQQQGNRTSNIVPALLRYEAAGWLRKTVGVVGGKDLPAEPSEEEFRLGLRSGIILCNVLNKVQPGAVPKVVEGACDSVIIPDGAALSAYQYFENIRNFLVAVEEMGIPTFEASDFEQGGKSSRIVHCVLALKSYSEWKQNGAIGTWKYSANAKPSNFGTAKPFARKNSEPFTNPISRTVSLGEKSVESFCSEQSELSEAGSVRSLQKLVRAALSDKRQEEIPVIVESMLNKLTEEFERRLTSQKEQIRITSKDMEESVPDDSASQTTSCEDKDEVEAPTEAPINEVVEAPTEEMVEDEALRKETIESPTEEVIDNEPSKELQKSESYKEKCKADEEESIRRRKAAEESLRRRKADEEESLRRRKADEEESMRRLLKQQALVEQQKRDIMELKHSLHATKSGMQCLQKVYQEEFIILGKQFHRLSHAALGYQKVLEENRKLYNQVQDLKGNIRVYCRVRPFLNGQQNNMTSVDHVDHSSITIVTPSKYGKEGKKLFTFNRAFGSNATQAEVFADTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPKELTEEELGVNYRALGDLFFLQQQRKETISYEISVQMLEIYNEQVRDLLSSEEIRNSSQNGINVPDANLVRVTSTADVINLMNLGHKNRAFSATAMNDRSSRSHSCLTVHVQGKELTSGNILRGSMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLAALGDVISSLASKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHIAPEYEALVETISTLKFAERVATIELGAAKVNKDSGGEVRELKEQIASLKAALARKEGEPESMQMQMQRSQSLSSSSETNSMSKTGSSPSPSLPKWNSLSDLSSSNINTENESSSTSRRDSLEIQEMLANPSLWPPLGSPALSVKEDDKDSVPGDWVDKVMVNKQEKLDNNTSKNQNPSPASKEVSKEVINGQLPGKFYQTYIRTPTKVHPESNLTKPTAIKKGNQDDDSDATSDCSESEVWQSSTPKTTNGAKPKKQQLRTVKSTETRSSIPSLIPSPSARKSPNAGSNQTLQKGKRKTGLTK >OMO96698 pep supercontig:CCACVL1_1.0:contig07398:13554:15242:1 gene:CCACVL1_04827 transcript:OMO96698 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MSQADVSKMKPPLVPLATLIGRELRNEKVEKPFVKFGQAALAKKGEDYFLIKPDCQRVPGNPATSFSVFAIFDGHNGISAAIFAKENLLENVLSAIPQGISRDEWLQALPRALVAGETSGTTVTFVVIDGWTITVASVGDSRCILDTQGGVVSLLTVDHRLEENEEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSSDMAAQSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDQPVLPPIPRKKPNVLSSFLFGKRSQNSTNKTTNKLSAVGVVEELFEEGSAMLAERFIS >OMO56380 pep supercontig:CCACVL1_1.0:contig14531:172151:177499:-1 gene:CCACVL1_26602 transcript:OMO56380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MASPSPPPPSTLPKEEEKEGSAQQSNAQPEVMARSSAAKDSPPQPSWFNPKRLLIIFCVINMINYVDRGAIASNGVNGSSKTCDDKGICKSGSGIQGEFDLSNFEDGLLSSAFMVGLLVASPIFASLAKSHNPFRLIGVGLSVWTLAAAGCGFAFSFWFIAICRMLVGVGEASFISLAAPFIDDNAPVSQKTAWLAMFYMCIPSGIALGYVYGGVVGGNFNWRYAFWGEALLMLPFAIFGFVVKPLQMKGFAYMESKKALTVETVSAVTDDSEASKLDGHVSAGGDGISADDLNKTSKSVGIHKILNQFSRFAKDMKVLLVDKVYVTNVLGYIAYNFVIGAYSYWGPKAGYNIYQMKNADMMFGGLTIVCGILGTLAGGFVLDRMGATISHAFILLSGATFLGAIFCFVAFCLKSLYGFLVLFAVGELLVFATQAPVNYVCLHCVIPSLRPLSMAISTVSIHIFGDVPSSPLVGVVQDHINNWRTTALILTSIFFVAAGVWFVGVFLHSVDKSNYESESQASPNTIANRKPLLDGNEDESSDV >OMO56374 pep supercontig:CCACVL1_1.0:contig14531:111996:115544:-1 gene:CCACVL1_26595 transcript:OMO56374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLLLFILLHISHSSAGRAVSEFRALLAVKSSFTDDPQSLLSNWNATTPLCSFNGVTCDSTGRHVASIDLTNFNLSGTLSPSFAHLRFLQNFSVAANQLSGPIPTDFAALSALRYFNLSNNIFNGSFPTELSQLKNLQVLDLYNNNMTGDLPISVTELPNLRHLHLGGNFFGGQIPASYGRWQFLEYLAVSGNELVGKIPPEIGNLTKLQQLYIGYYNTFDGGLPPEIGNLSELVRFDAANCMLSGEIPPEIGKLQKLDTLFLQVNALSGSLTPELGTLKSLKSMDLSNNMLAGEIPESFANLKNLTLLNLFRNKLHGQIPEFIGELPELEVLQLWENNFTGSIPQKLGSNKKLQLLDLSSNKLTGTLPPDMCSGNTLHTLITLGNFLFGPIPESLGKCESLNRIRMGENFLNGSIPKGLLGLPKLTQVELQDNYLTGEFPVTDSSISVNLGQISLSNNQLSGALPVTIGNFSGVQKLLLDGNKFSGRIPAEIGKLQQLSKMDFSHNKFSGPIAPEISKCKLLTFVDLSRNDLSGEIPTEITGMRILNYLNLSKNHLIGSIPSSISSMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFLGNPELCGPYLGPCKDGVASGTHQPHVKGGLSASLKLLLVIGLLVCSILFAVAAIIKARSLKKASESRSWKLTAFQRLDFTCDDVLDSLKEDNIIGKGGAGIVYKGVMPNEYAYTLKVDEKSDVYSFGVVLLELVSGRKPVGEFGDGVDIVQWVRKMTDSNKEGVLKILDPRLSTVPLHEVMHVFYVAMLCVEEQAVERPTMREVVQILTELPKSPNSKQGDNTITESSPSPGSSTLDSPNATGKDPKDQQQPSAAPKSPPPDLLSI >OMO56369 pep supercontig:CCACVL1_1.0:contig14531:57038:58222:-1 gene:CCACVL1_26590 transcript:OMO56369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATTTQTQPPNALMKAPKGPKGIPRSKLVCFSFAAYSKALIDHLKTLDIPILPGLTDQEFSAIESTFHFSFPPDLRSILQEGLPLDPSFPNWRSSSPQQLNILLNLPSLSLSKNVSLHNFWSDSWGSRPENPNEALALVKRLLTKAPLLVPIYRNCYVPSTPNVAGNPVFYVDGEEVRILSFDITRFFQEVEFLRRGGVIKPFMRKKKKNMMNSGSFKSNVPAWAATVARRIQFWTDVAEKGRRVVERGVTQGWWSKYGTDQTEEEEERTSSSGLGECLEEAFWKLREGGWKEEEVREMMMDGCDQNNKDEDKETSGTELVMNGSDAAWHVRVLSVVLLRAGWTREDVVYSLDLHDVVGSIDDDDDDNADEEHGIISYVEVDQNSRLELQH >OMO56362 pep supercontig:CCACVL1_1.0:contig14531:18170:22100:1 gene:CCACVL1_26583 transcript:OMO56362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDVSKLVGFSVGLRNCSNGFPLNPNGIKRKRLPLARAKRQSRTPEIAARNTIHQEVGEQARCRELAKSSSFYRAVYSEIEEVGWEHLVRLGGDLTFLSFRVLDKKGRVHILEIQLNKAYPKVPPMVSADVPYIFKFEWSMNSRLKILVQQFQEHLEKLQEFWSTLDEIDRSLWVVGTVQASRAKSSRQIHTGNDCFIMLSIDINDPRSLPECRFMGTGTTMDSLRKAWKRNSNRWTRDKPFSENLEYLLKTQLPRPPDAEKNNNLVECGICYAQYLPIGDDIGPEGRSGSGTDYTCENSSCSKAFHSICLVDWLRSITTTRQCNLITCGISCFWHWATCHSMFCSAIVRTVQSQLQSKSMPRRIRDRTGPYPRSEADSSSLENLALRRKSL >OMO56375 pep supercontig:CCACVL1_1.0:contig14531:123150:126024:-1 gene:CCACVL1_26596 transcript:OMO56375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexokinase MMKKEVALTAVTTTAAAVVVVVAFARQYWRQRKDRQWKKTNRILRKFASESATPVPKLWEVANAMVSDMEASVSSDHEQAKSSLNMLVSYISPLPSGNEKGMYYGVNLRGTDFLMVCARLAGKYEPISDLFREEISIPSNVLTGTSQELFNFIAVEVARFISAHPMDNDESAANENKLGFTLSYAASQATTAQSESAIKWNNFCSDDSWGKELVDQINQALGQHGVDMRVSALVDDTVGDLAGGRYYNKDSVAAISLGMGTNAAYVDSAPSAPKWQDSLPKAGEIVINMEWGNFDSSHLPITQYDASLDSDSSNASSRKFEKLISGMYLGEIVRRVLVKMAQETALFGESVPSKLLIPYLLRSPDMAAMHQDTSEDREIVNEKLKEIFGITNSTTMAREIVAEVCDIVTERGARLAGAGIVAIIKKLGRIANRKSVIIIEGGLYEHYRIFRNYLHSSVWEMLGNELSDNIIVEHSHGGSGAGALFLAASQNPTVS >OMO56371 pep supercontig:CCACVL1_1.0:contig14531:64020:70640:-1 gene:CCACVL1_26592 transcript:OMO56371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin MRDINFLTFLLFIFIIPSVISFNGDSQILIRVKDSQISDPSGKLHDWVISTPDQSPCNWTGITCEPQNHTVFSIDLSGFGISGGFPFGFCRIRTLRSLSLSGNFLNGSLSSQVLSPCSHLLEINLSDNMFTGELPDFPSENLEVLQLSNNNFTGDIPLSFGRLQSLKVLSLGGNLLNGKIPSFLGNLSELTHFELGYNPFKESTLPNEIGNLSKLELLWLTNANLIGEIPASIGNLVSLWNLDLSCNFLTGKIPESLSMLKNLEQIELYQNQLSGELPEGLANLTSLLRFDVSQNSLTGKLPEKIAALPLESLNLNDNYFTGEVPEVLALNPYLQQLKLFNNSFTGKLPTNLGKFSPLEDFDVSTNKFTGELPPFLCYRKKLQRIVVFQNRFSGKIPESYVQCKSLNYVRMGDNALSGYVPKKFWALPLLQFLELENNYFEGSISPSISALHKLTSLRLSGNNFSGNIPVEICQLDNITQINLNRNRFSGELPLCITGLKLQKLDLQDNELTGEIPSSVSSWTELTELNLARNRLTGEIPGALGNLPVLAFLDLSGNLLSGKIPEDLTKLRLNQLNLSDNKLNGKVPSGFNNELFISGLLGNSDLCSPNLKPLPPCTRSKPATFYVVAILILAICFLLLIGSLLWFLTTRSKSGSKNRRPYTVTIFQRVGFSEEEIFPFLKDENIIGTGGSGRVYKVELKTGQVVAVKRLWGAKPETEAVFKSETETLGRIRHGNIVKLLMCCSGEEFRILVYEYMENGSLGDVLYGDDKCAGLTDWPKRLTVAIGAAQGLAYLHHDCQPAIVHRDVKSNNILLDEEMMPRVADFGLAKTLQLEGGDGDGAMSRVAGTHGYIAPEYAYTLKVTEKSDVYSFGVVLLELITGKRPNDPSIGENKNLVKWVTEVALSPSSSSSSSSSSPEGRIENGGNCSKSLADIVDPRMNPSESDLMEIEKVLNVALKCTSAFPINRPSMRKAGIQVGNACWELYCLEHGIQPDGQMPSDTTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGDDGDEEEE >OMO56360 pep supercontig:CCACVL1_1.0:contig14531:9456:10539:1 gene:CCACVL1_26580 transcript:OMO56360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDDACNTGLVLGLGFSSTLETPSSKPKKLTSSASFEPSLTLGLSGESYQVVNNSTSGSKNKVDVVINGGGGYNYHHHEETTAVNAAVGGDHLYRQASPHSAVSSFSSGRVKRERDLSYEEVEVEKNNSSRVSDEDEDGVNARKKLRLTKEQSALLEESFKQHSTLNPKQKQALARQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLQKELQELKALKLAQPFYMHMPAATLTMCPSCERIGGVGADGNAKSPFSMASKPHFYNPFTNPSAAC >OMO56385 pep supercontig:CCACVL1_1.0:contig14531:202395:206928:-1 gene:CCACVL1_26607 transcript:OMO56385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxykinase, ATP-utilizing MAANGVPTPKSPLARIVTQKQQNGISHGDGGKTVKAQTIDELHSLQKKKSAPTTPLDGAQGAFASMSDDERQKQQLKSISASLASLTRETGPKLVKGDPAKAQSGARVAHHHHVEAPTISVSDSALKFTHVLYNLSPGELYEQAIKYEKGSFITSTGALATLSGAKTGRSPRDKRVVCDDTTKDELWWGKGSPNIEMDEQTFLVNRERAVDYLNSLDKVFVNDQFLNWDPKNRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRFTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDNNRYLIGDDEHCWSDAGVSNIEGGCYAKCIDLSKEKEPEIWNAIKFGTVLENVVFDEHDREVDYADKSVTENTRAAYPIEFIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLTMAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGSYGYGSRIKLPYTRKIIDAIHSGSLLNATFEKTEVFGLEIPTEVEGVPSEILRPENTWSDKKAYKDTLLKLGGLFKNNFETFTNYKIGTDNKLTEEILAAGPQF >OMO56382 pep supercontig:CCACVL1_1.0:contig14531:188099:194548:-1 gene:CCACVL1_26604 transcript:OMO56382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEQSSNVELGTKHNEIWRLFKEAQQNILYLNKQRVKAVEELNKVNSEKQLLIDKIEQLEKENRRADNLALCWEMLLRIDSMVLGGMISTGEASDLRKMIMDSKVSVADVLSDMLQKKDSELLAELRQFSEGSKKKGFHIIHICTEMEPLVSVGPLAPYITGLSRALQRKGHLVEVILPKYASLDLDEVHGLREIEAESYSYFNGQLHANRIWTGVVHGIGVTFIQPLYFSSFFNRDTIYDYPDDFERFTYFSRASLDYIAKSGKQPDVLHLHNWETAIVGPLFWDIFAKQGLENTRILLTCHDFDSQCLEEPDKLALCGLDPGRLNRPDRLQDTSETNLVNILKGGVVYSNKVIVMSSMHSKGRIIRSMSHGLEHTLAFHKEKLLVAPCGFDNSTWDPSKDKFLPVNYSAENMRGKHACKVALQQQAGLSEHASSIVVGCIFSEVSDFELENLKAVVWNATKGGAQFVFMGNGTVPTINRALRSFQEELEDGKVKLFDHYDEALSHLMFAGSDIILCQSFDDPLLQVPLKALKYGAAPVSLSPGDMNLRYSADHDHEITRFSQFMRSTFGAMSLSQALDEIKNNPSTWKRKITDAMAKDFSWDAECYDIHVSAYTDVKSL >OMO56379 pep supercontig:CCACVL1_1.0:contig14531:157984:168948:-1 gene:CCACVL1_26601 transcript:OMO56379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMIRAPAKKKTTTLTVAVKCRPLAEREHGGDIVRVKNNKEVVVLDPDLTKDYLDRIQNRTKEKKYCFDHAFDPHWTNLDAYQKCISTVISGVVQGLNATVFAYGSTGSGKTYTMVGTKHDPGLMVLSLHTIFDLIQRDKSSDEFEVTCSYLEVYNEVIYDLLEKSSGPLELREDPEQGIIVAGLRCIKVHSADKILELLNLGNSRRKTESTEANATSSRSHAVLEITVRRKQKNKYRNQVMRGKLALVDLAGSERASETNSGGQKLRDGANINRSLLALANCINALGKQQKKGLAYVPYRNSKLTRILKDGLSGNSQTVMVATISPAGSQYHHTVNTLKYADRAKEIKTHIQKNIGTIDTHVSDYQRMIDSLQVEVCRLKKELAEKDSQLSVKPVEKAADDELSWLNILSQEISENVQERINLQKALFELEETNLRNRTELQHLDDAIAKHQATEKDGAVVEVLRVRRQDILDNIRDNDEAGVNYQKEIEANEKQRCQLQHMIDEAISNNGNKTYLRILSQYRLLGMANTELQFEMAMRDQIIHNQREAQRNLWNLLMGLGLDEKQILELAAKQGITIEDWTMTRYLGLSNKEQSPNLALGGYPPLSYGLSISQWHSRSSCIYPNYQNVASNPFSRGSWDSSPTICREEHHSSYYLVAHNSPPFVKFRSSDNWVGGHPVSQFGSPEKLPRDLRKSYPEMNSPVSSCNESYLLSPALSADYGQRQKDTMRQNEYSKGPHVGMSGNQDSFKRSRGGNQNQRMRKRAAPSVLDKKLLRDRVRSCQQAYSAADEIVDHLRAEYSAYSRLRWQPFAMAVQGALQQLQSSSANTKKNILHFSEDDEEHAVTPSSSYSHSAKKPRKTDENEEKLQRLEDLHIQKRQVKRQSDSDSESDSDSDSSSSSSSGSSSDEGEKEDGTTSGDAIYGQEEEPKYDLIKSILRQRYTKSNDRKSKLEEKNIEVEVATGKAKNKIDMSNGGGTGNREGGGLKKAIKNSASDAAEGVEVKGKEGPRFKDLGGMGGVLEELKMEVIVPLYHPHLPRWLGVRPMAGILLHGPPGCGKTKLAHAIANETGVPFYQISATEVVSGVSGASEENIRELFSKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDESHRLVQPNDKESSSEGSDSKPGYVLVIGATNRPDAVDSALRRPGRFDREIVLGIPDENARHEILSVLTRNLRLEGTFDLFKIARSTPGFVGADLAALANKAGNLAMKRIIDQRKHEFSRESMDEKQADEWWRQPWLPEEMEKLTITMADFEEAAKMVQPSSRREGFSTIPNVKWEDVGGLDFLRQEFERYIVRRIKFPEDYAGPELLNKYVGESELAVRTLFTRARTCSPCILFFDEVDALTTGRGKEGGWVVERLLNQLLIELDGADQRRGVYVIGATNRPDVMDRAVLRPGRFGKLLYVSLPSADERGLILNALARKKPIDASVDLNAIAHMKACENLSGADLSALMNEAAMGALEEKLSSAEISEIPWTIKTSHFERALSKIKPSVSDKERQKYENLSKDFKTA >OMO56366 pep supercontig:CCACVL1_1.0:contig14531:51551:52132:-1 gene:CCACVL1_26587 transcript:OMO56366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MAGLPRKGYRNPRGNQQAGARQSAWSKRRSSFFYKASELYALCAVQNVLLVFVPSGNLVTNRLVYCGKADVDQVRRARAEKEASLRKLSEEYAILQQQMEALELRSRRLHQRMMENGCQGRSLMGRPIDELCIEEIPTVRPTLQEYAEALRKHTQKQKRLSKQAASMLAGPNDASLSKSNEGDSSGGFNDRER >OMO56376 pep supercontig:CCACVL1_1.0:contig14531:132607:134104:-1 gene:CCACVL1_26597 transcript:OMO56376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNNLIINASNSCIINEANSDQFEDFKRPIKQLKTADSWNSNKSIPPPPKGASSSSSSHIISFDNSNISSPAISDQYYGLDHHCAMKPKTERPVGSLTRTPLHAQDHVIAERKRREKLSQRFIALSRLMQGLKKTDKASILGEAIKYVNQLKERVATLEEQVSKKTMESVIFVKKTQIYADDETSSSDENFDNNNSLPEIEARVSDRDVLIRIHCQKNKGCLPNIINEVENLHLNVLNSNVMTFGHATLDITIVAQMEAEYSMTVKDLVKSLRLALLKFM >OMO56386 pep supercontig:CCACVL1_1.0:contig14531:207335:211077:1 gene:CCACVL1_26608 transcript:OMO56386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGVQFKGFSGEGVACFLQSAPLIRHGSKNQIPRKYLLSSCTKRKSLRVKSGQLSHHHVHVTRYPSDINQTTAAKDGATSSVLSGKPSE >OMO56384 pep supercontig:CCACVL1_1.0:contig14531:199927:201752:1 gene:CCACVL1_26606 transcript:OMO56384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMFSAEMNSEEHKRSKGESKEKIYQIFKDFLTSVAKLDELVAVGGRLLTGFQQGLDSLQRPPINKTSKLIESIIKANETKRLKSYLEAGCVNSHDRVTNMNKLHTCILGLSDHLIKVKSLLNDLDSFLDDARAALQNANEHLSPLLDEEFVNGLYLQESNDEDEMTSPREPEVTDYAALMGIIYSMVKQDCVMQDKIVTSLNLKSTTGELESYCLMWSLRPYINDQIMQLAWKLVH >OMO56368 pep supercontig:CCACVL1_1.0:contig14531:54823:54942:1 gene:CCACVL1_26589 transcript:OMO56368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAKRSLAWNPGTKKQSSRQENLDLTVFDKLFRLDSTK >OMO56381 pep supercontig:CCACVL1_1.0:contig14531:185907:187262:1 gene:CCACVL1_26603 transcript:OMO56381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKPNEANDKGKGKAEGSEKATSPDQTDPNLPSSSSQTPEKKLQQASAITCDTASTNLPDLPVELIWKIADSIQSSEDFVAFSGVCKALRSVSLNIKWKPGLPLLLISADTQHINNKQKIFSLYRNKLYELDLPHVSGKRSFGSNHGWIVTITSDLQPHLINLVSRIQVSLPHLNTIRDQMGLLVALNIHKCILLKIIPEVDDENQFVVVVIFGKNHGLAFAKPTSVKWTIIRGLERIEDMALYRGQLHAIHKDGSLLRFASADPESTQRSIAYRPDDVIRVERTYLLETSEQLLGVFRFSHSTPFEERYGTKWFKVYQLDVIGNGNKGAWQRLNHLGDWALFIGEGNSWPICTTNVPNCRRSCIYFTDDNWDQQGRGAGHHDRDIGLYDMESKKIERLEFGSHSPGHHSKSVWFTPILRKT >OMO56361 pep supercontig:CCACVL1_1.0:contig14531:17083:17265:1 gene:CCACVL1_26582 transcript:OMO56361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVTFMLILLILANFGAFGAAARGTYNFSQIGSFCKSSCNNTVEDKRGVPTGANPLHNR >OMO56377 pep supercontig:CCACVL1_1.0:contig14531:147057:148453:-1 gene:CCACVL1_26599 transcript:OMO56377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSAKWLSELGMDEYNIIHHCHMNSFAELTTAEDIAAALGTVKQSFSSESYSSYPNLNTKNTASLENFDRPAKQLKTSSSWNSSTTTEHVPQRPSSPTSQILSFERTSSLPSNSHQQFYNIDPHPGKPKDETVTSGNMNFLTPMITPNGPFENTNYAPKINNQGVKRTHSMTRSPSHAQDHIMAERKRREKLSQRFIALSAIVPGLKKYVKHLQERLQVLEEQTKKRTVESVVFVKKSQLSADDESSSCEENSDGQSTSDAALPEIEARVSDNDVLIRIHCEKQKGFMAKILSEIENLHLTVVNSSVLPFGNSTLDLTIIAQKDAEFSMTVKDLVKDLRVALLKFM >OMO56364 pep supercontig:CCACVL1_1.0:contig14531:45096:47249:1 gene:CCACVL1_26585 transcript:OMO56364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLSPRGRNQRSKGFKVKHSNEKKVAFDKSGTEVIRLGRKDVPRMDETIVKDVRQKEDEEEESKNVEEENKPEETENAYNDAMSEHDNQKPEEETESRDLSTDGVKENEESKSNDSEENKEKETEENQEKDSENKESEVSTKDGENKANNEEKETTEESQEKQVGEDNNEMQSKEKETEKSGGEIENSGSSDDQVRDGVDTNNAEAREEHYKGDDASSEVVHNVQHSVENESGSENSKESEQPENKDKNELDQDNKTDSSEAQVHHDESNVQENGTTENNQESGKENNQAESKEDSHSDSTTATESNENQTETDTTPNTTQNENDVAQQSERSDSDGNGEQSDSSATPTSTEKGDGASGDSTSSNSDSESAESKGQTENSDAWTGSDSNPASSGTSQNDNTVQSEESNKNSGGEGTQENVLSLSTNENSTAGQNEEGHSSDSPANKESETSSNTNDNAGAGQNENGNTNNDTNVNGNDNATDNQSNANDNANANEDVAQKDDNNSNANENSNNNENAGPNENNAAQSYTDSNNENASQNENNASQSYTDSNNENASQNENNDAAQSNTNNNENTSQNENNDAQTYINENAGQSEAAQSYSDNNGNSGDNQNNNAPQSYSDNNGSGNLDVNSDQNESVDSSSSSDASSNADGNTDASQNNSNVSSDASNPQDGKEAQTEKGTDTKSEGNNGENAAAE >OMO56363 pep supercontig:CCACVL1_1.0:contig14531:42293:43645:-1 gene:CCACVL1_26584 transcript:OMO56363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class V/Cysteine desulfurase MASKLLLQTLTRRKPTTIIRRFSTAAAVATPPDYEDPSGITMKGVKISGRPLYLDMQATSPVDPRVLDAMLPYYLSRYGNPHSRTHLYGWESENAVETARAQVAALIGASPKEIIFTSGATESNNISVKGVMHFYKDKKRHVITTQTEHKCVLDSCRHLQQEGFEVTYLPVESDGLIDLDRLRKEIRPDTGLVSVMAVNNEIGVVQPMEEIGKICKEFNVPFHTDAAQALGKIKVEVDKWNVSLMSLSGHKIYGPKGIGALYMRRRPRIRVEPQMNGGGQERGIRSGTVPTPLVVGMGAACELAMKEMEYDERRIKSLQDRLLNGIREKIDGVVVNGSMERRYVGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFTTEEEVDKAVELTVKQVVKLREMSPLYEMVKEGIDIKQIQWAQH >OMO56367 pep supercontig:CCACVL1_1.0:contig14531:53257:54434:1 gene:CCACVL1_26588 transcript:OMO56367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFPSKGYRKTRANPRAGARQAACSKRRSDVNDNKAANLNVNVYVYVNALFVQLPTR >OMO56378 pep supercontig:CCACVL1_1.0:contig14531:155497:156924:-1 gene:CCACVL1_26600 transcript:OMO56378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSGSFDETVRIWDVKTGKCLKVLPAHSDPVTAVDFNRDGSLIVSSSYDGLCRIWDAGTGHCMKTLIDDENPPVSYVKFSPNGKFILVGTLDNTLRLWNFETGKFLKTYTGHVNSKYCIASTFSITNGKYIVSGSEDNCVYLWELQSRKRVQKLEGHTDAVISVACHPSRNMIASAALGNDKTVKIWTQEKE >OMO56383 pep supercontig:CCACVL1_1.0:contig14531:195545:198280:-1 gene:CCACVL1_26605 transcript:OMO56383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin SPT2 MRAYDREEVDDYDDYEGYQDEDVEGEEGEEYEEEGEEYEEEEARQPTQEELDYLELRQRLKDSIRRKRKLNGADSSQEKDKTSTYNNFGSFFGPSQPVISQRVIQESKALLEDKERLTRLLSQTSKKNSASNSSKPKPGQRVQVPKATSEVRKKVEKLKVARDYSFLSDDAEAPAPSKEPPPRNVSAPTSEARSAQMPLKSKPQLGSNGTGGRTVQGMREERRPVPMNGQMHPKSAVSNKSSSASKPSVMSMDSKKQHSGSSGSGPGRPGNGSGAGRPVGASNGIRPPRPVGASNGMGPGRPSGASSGMGPGRPTGASNGMGPGRPSGASNGMGPGRPSGAVPSKMPVAKMEKKISAPAARNLPPTAQKAPASKMQSSGSKQQLELRKGQERSRDKIMPQRPMISSKPQVNKPAKTVSSHSHSTSNVQRPKKKQHLSEDEKALMMIRNMFHTDRYQAYDDDDDSDMEANWDEIVKEEKRSARIARQEDEEELKKIEEEERRERLRKAKKRKLSQH >OMO56370 pep supercontig:CCACVL1_1.0:contig14531:60750:62827:-1 gene:CCACVL1_26591 transcript:OMO56370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKKKQQKLATSSGQEPDSNDLLGKEDENQSKEALAAKNTITDSLSEEDLSSFNEQYQHNNILQWTCSKPTQNAAEQASLNPSPWQQVASLQPNSSNHLVHQSQPTLHLAQSNTPFWLQQRPSFPFPATFQPFTSITTVDGSWQPSAILGGTTSRSQQQVPICYHYGPYPGFPGPWDPSSCWGHGQQSQPSFNCTFPGAYGYFSSAPPPTPNCSVTFGESSQRGIIRPTVKLSQKHQQLWEAQSIENVQLWSVIGQLQSEVADYKSRLTKLEAQVSSLKLSPDDPNTRVDRTKRGRPKRSVVSVDVSASPDESHPRAKARKRAATKAQPEARVLVFDNVALSNLEDRQKTVRSSSSNQKDNDKQENSLLDGLLGMKIQIMRLKMLQQQQAQQKMKMKRKLWEMMMLPQEVKKKKKKRLKPKTIQ >OMO56365 pep supercontig:CCACVL1_1.0:contig14531:48616:50360:-1 gene:CCACVL1_26586 transcript:OMO56365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase, subunit VIa MAMASVRSGLLRTLRGGSRPAAPQKRGFASSSHHDDAYETAKWEKITYLGIAGCTLLAIYNLSKGHPHHEEPPAYPYLHIRNKEFPWGPDGLFEVKEKHH >OMO56373 pep supercontig:CCACVL1_1.0:contig14531:100653:100769:1 gene:CCACVL1_26594 transcript:OMO56373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFDAEEGYGFESYAARRRMIRTENKSRKRDRETDRMK >OMO56372 pep supercontig:CCACVL1_1.0:contig14531:81388:83791:1 gene:CCACVL1_26593 transcript:OMO56372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNNSQVNQRIATISGHLNPPNYSQMEGGSSLGRANCRAKGGSAGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNTPGVTADISHMDTGAVVRGFLGQQQLEDALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVKTLCEGIAKCCPKAIVNLISNPVNSTVPIAAEVFKKAGTYDPRRLLGVTMLDVVRANTFVAEVMGLDPREVDVPVVGGHAGVTILPLLSQVKPPCSFTQKEIDYLTDRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRALRGDSGVVECAFVDSHVTELPFFASKVRLGRYGIEEVYPLGPLNEYERVGLEKAKKELDQSIQKGVSFVRK >OMP11778 pep supercontig:CCACVL1_1.0:contig00889:906:1001:1 gene:CCACVL1_00271 transcript:OMP11778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KGFGLFKIKKESLGFQSGFNSIVDNGGEAVG >OMO49760 pep supercontig:CCACVL1_1.0:contig16407:13579:13785:1 gene:CCACVL1_30816 transcript:OMO49760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLEIRTKELMARGPKIDEIDHRRAYLQFQIDQLLVDVEAWNDYLPPRKEAENGDDKDGGGENEENS >OMO49759 pep supercontig:CCACVL1_1.0:contig16407:10989:12315:1 gene:CCACVL1_30815 transcript:OMO49759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFLPNCSPSFQSWWAGQWNNIIDKENVKVIVTRLSPGGKRIAKPKKRLVASNQSSDDEENEAPLKVNKKKKPTLNASTVPASSNPSDDHPIAEVKKPKMTKPSPTVSSSPLPALKPASFIPQVTPSALGLSTSSNPFKTSVSTPFGYTSGPNYGPSVNKTLDFDTPLDLTLASPTGPPAKETLRSPKPVTPKPTPTKTSSSPSSSFQDTIAKLNRLSSALDQA >OMO92255 pep supercontig:CCACVL1_1.0:contig08244:622:765:-1 gene:CCACVL1_06890 transcript:OMO92255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKQGHMEDGFKAAVQRWGAVVIVQSQSITHVPLSLPMQHFTLEYM >OMO92260 pep supercontig:CCACVL1_1.0:contig08244:17965:18027:-1 gene:CCACVL1_06895 transcript:OMO92260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAQPHQPALDHDCPIGST >OMO92259 pep supercontig:CCACVL1_1.0:contig08244:12394:17648:-1 gene:CCACVL1_06894 transcript:OMO92259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTELNLNKECKAGGSGAGDGFIDRSKVRILLCDNDSKSLEEVVSLLVKCSYQVTPVRSARQVIDALNAEGPEIDIILAEVDLPMTKGMKMLKYIMRDKELRRIPVIMMSAQDEVSVVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRRMLGLAEKNILNYDLDLVASDPSDANTNSTTLFSDDTDERSRKSTNPEMGVSTHQEDESAAAAVEAPQNDSSEYRPDVPGISDRRTGQFLCGPKKSELKIGESSAFFTYVKSSAVKNSTQGAAPNGENAAQNKTIEENHLLESGQAVNDDTQVHENGEAWENCSQGDDFPSSSSVPDSLSLERSSTPPVSMEFSQQRNSKEDKFSQVLVPPRNEPQHDVSGLPNQTPYPYYMSGVVNQVMMPSSAQLFQNNLHDLQNHATSAVLPQYNHLQQCLSHPHVNGMASFPYYPVNMCVQPSQMPSGHSWPSFGNSSTTEVNLSKVDRREAALMKFREKRKQRCFDKKIRYVNRKKLAERRPRVRGQFVRKVNGITVDLNGQPASADYDEDDEEDEQASRESSPEDDTSGC >OMO92256 pep supercontig:CCACVL1_1.0:contig08244:5587:5976:-1 gene:CCACVL1_06891 transcript:OMO92256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEMIEKGLTPDCKFYYDLIGVLCGVERVNFALELFERMKRSSLGGYGPVYDVLIPKLCRGGDFEKGRELCDEAVAMGVSLDCSSDVLDPSITEVFRPTRKVEEVNLKGSTADKKPVKIKQNIRKREK >OMO92257 pep supercontig:CCACVL1_1.0:contig08244:7077:7178:-1 gene:CCACVL1_06892 transcript:OMO92257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSRILLPPTAFSSLEIAITEERFPNQMKIR >OMO92258 pep supercontig:CCACVL1_1.0:contig08244:8749:9804:1 gene:CCACVL1_06893 transcript:OMO92258 gene_biotype:protein_coding transcript_biotype:protein_coding description:vesicle transport v-SNARE 13 MADAMTVAADQRGRLMMSTERLNQSTDRVKESRKVMLETEELGVSILQDLHQQRQSLLHAHNMLHGVDDNISRSKKILTNMSRRMNRNKWIVGSIIAALIVAILFILYIKLTH >OMO68783 pep supercontig:CCACVL1_1.0:contig12173:50260:50427:1 gene:CCACVL1_19841 transcript:OMO68783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSGKEAEAEIVTYSLKSAIMLEKIRVVTRTVAAQKLANRLVENHYSSRGVEID >OMO68777 pep supercontig:CCACVL1_1.0:contig12173:18394:24352:1 gene:CCACVL1_19835 transcript:OMO68777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding protein, ACBP MATMARASSGLAYPERFYAAASYAGFDGSPHSNGNDVGSRFSNEVALILYALYQQATVGPCNVPKPSSWSPVEQSKWKSWQQLGNMASTEAMRLFVKILEEEEPGWYSRASNSVSEPVIDVQMNHNSKAEHIIENGNSFPETKTISTENGSLLETQDKDVVSEGLGSVVVYDQWISPPITGQRPKARYEHAAAVVQDKMYIYGGNHNGRYLSDLNVLDLRSWTWAKVDSKVAPESAESPSPVKFAPCAGHSLIPWENKLLSIAGHTKDPSESIQVKAFDLQTGTCSMLKTYGKPPVSRGGQSVTLVGTTLVIFGGQDAKRTLLNDLYILDLETMTWDEIDAVGVPPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQAMEWSRPTQQGEIPTPRAGHAGVTVGENWFIVGGGDNKSGASETVVLNMSTLVWSVVTSVQGRVPLASEGLSLVVGSLNGEDILISFGGYNGRYNSEVNVLKPSHKSTLQSKMMEAPVPDSVSAVHNHTNPTRDLESEFEVGQEGKIREIVMDNDSETMKPKGEETRELHMAKLKSEKEELEFLLNKEKSLTLQLKQELAEAETRNTDLYKELQSVRGQLAAEQSRCFKLEVDVAEQRQKLQTLETLQKELELLQRQKAASEQAALNAKQRQGSGGVWGWLAGSPPQKDDEI >OMO68774 pep supercontig:CCACVL1_1.0:contig12173:5515:8580:1 gene:CCACVL1_19832 transcript:OMO68774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNITAGDGGSFSSGTAGEEQEQVNHFNGSNSANLPSNSNNGSISQQDHQQPPPAATKKKRNLPGTPDPNAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTSTEIRKRVYVCPEPSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKTCGTKEYKCDCGTIFSRRDSFITHRAFCDALTEESKANQGLVVVANNNMGSSNLQSQVNELIPSIPINNNNPNTCSMNNINVSDPLFSHETKIPLQPLSMAGSMFSSSPGNLFGGAKTTSSSSSCLQSTLFEGNGNLFAAAASASMSATALLQKAAQMGATASNASLNSPLMGKSSFNTSMAPPTFVSTQTQNINNGELTNQFMQKSQQEIQTEFFNNAANNNNNGGMFPGVFDQNAVLLKSIENEHHQHGASSNSNSTTTTPRSNGGNPLSGFNGDMMTVDFLGIGGSRPIARNLLEKQQDLEFGGMGQARLQGLSHFQQHAALEKPMWKV >OMO68778 pep supercontig:CCACVL1_1.0:contig12173:26865:27327:-1 gene:CCACVL1_19836 transcript:OMO68778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDPRHAPGYGGESINKGREADDEGRRAKL >OMO68775 pep supercontig:CCACVL1_1.0:contig12173:9570:10634:1 gene:CCACVL1_19833 transcript:OMO68775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbP, oxygen evolving complex MAFQHYACKNVSLNKIYMTQSPNDQKSSAEEFAPLATTFRRRLLVGVGSASLVAVGANFGGLTSFLLGLAPESGRNLKLDVLYPIQGYSRRIENNEGFEFIYPATWVGDQRLLYRAAERLERSLDPLPTNSLKSGNRPRKNVNEPIVAYGPPGSSGELNVSVIVSPVPLDFSIETFGGPEEVGEAVVRTITGQRRDVTGTLIKSNVREDPAKNVKYYELEFKVESPSFQRHNVAVCCARGGRLFTLNAQAAESEWPNYKSAFYRIANSFNLTT >OMO68784 pep supercontig:CCACVL1_1.0:contig12173:51560:53284:-1 gene:CCACVL1_19842 transcript:OMO68784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEIELETGEAESLVWSKHMAIGKIHAIKILNRKGVVAILRSIWTDDVASGIKEVGDNIYGISFSSESTRDRAIGEGPWSVMGYHLNLKKWEGDQTVNEIDFHELDFWLQVHNLPPDMLTNKNARAISKVLGTVVKIDETDFNNGFGNGFLRLHVGIEVVNPLICGFWVPRRGRCKVWANVKYERLADFCYSCGKFGHMAKHCLVDAKVSLDGKPLYGPHLRASSVRSDIGRKDPGAGKWNKWNSGEMALLITDAENKVEDEAREKWGKQWDVKKWNENNDMEMMVDNTERDRKGKRHVEEPKFHTQNNRSTFSSPMIDMNIDTPVDTPVNIPKTNIALQSHSIQNDSLIPIPDLGDSTLDISFVNKIPKPVFSPVDIPNSTIVPKIPHPSVNIISTVPDLTNGAIIPLIQDPVNNNPPIQSPTLSSSDDGDPGPLLLLSGDTHNTSPYPVMPGGYQYPDLVNIVNDGREIAYEWDSNSKDIGIMQDSEEVSSVYKVLDNEEGIEDVPWCGVKNVNNEAAGFDMSVKQKPGLIETSLAAELRCLKLKRGADGNIVEENEISLEKRRKVTAENI >OMO68776 pep supercontig:CCACVL1_1.0:contig12173:10974:16229:-1 gene:CCACVL1_19834 transcript:OMO68776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPRSGSGNAEDNVGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKRRAANSALRASLKKKRKLGVEPEVQPDTKSDDFDVPLISRKVEDYPPPVSGKKSKEKAPKKQIQYSPETPPMRNLSARNSLKVEEDYQRDDSPFEENWRSYKTPSFSAADSSRNRSQRSYDDVAIAAVTPDDSEESSEEVFVGQTCHQCRRNDREKVSSCLKCDKRGYCDICISTWYSNIPVEEFEKACPACRGFCNCKACLRSDNMIKVRIREIPVLDKLQYHYSLLSSVLPVVKKIHQEQCFEVELERKLRGMEIDLPRTKVNADEQMCCNFCRIPIIDYHRHCANCSYDLCLRCCQDLRRASSGGVEEVGNEAGETTQDKETASGQASEMKPNFLDKFSGWEANSDGSIPCPPMEYGGCGHHSLNLNRIFKMNWVAKLVKNVEEMVSGCKVNDVESLEKIELNDPRLCQFADREGCDDNLLYCPSSQDIKAEGIADFRKHWGRGKPVIVKEVCDSSSISSWDPVAIWRGIQETSDEKMKDENRIVKALDCLDWSEVDIELGQFIEGYSEGCTRENGWPELLKLKDWPSPGASEEFLMYQRPEFISKLPLLEYIHSRLGLLNVAAKLPHYSLQNDVGPKIYISYGTNEELGRGDSVTNLHFKMRDMVYLLVHTCEAKVKRQKEKMDIQKSNGESEVNNSLADPEMSSDEKGLPDLSLDGPNINDEYESTSDKDEDEKMEDQGACWDIFRREDAQKLNEYVRMYWKDFGKTETVISDSVICPLYDESVYLNEHHKRKLREEFGVVPWSFEQHFGQAIFVPAGCPFQVRNLQVGKMSLYAASSAIKEVQKLVLDPKLSAELGFEDPNLTAAVSENLEVAKRRQITCA >OMO68781 pep supercontig:CCACVL1_1.0:contig12173:45112:46041:1 gene:CCACVL1_19839 transcript:OMO68781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKCFQFLKESRMVPRGWFGAKLVNIYKASLDELEYLNKECPTTKGVLVTELAKEATDDVHSEARLEIGDVIVKCNGKDVDSLMEFTGMLLGKADQTLDLVVKRAMDGKEKQVKRKLLDYAKVEFNEELDDQNSINDEDDQNSSGDHKMNDEQYHLHKYYRGGRRRKLSSREVFISRFRRFDISNELANDFFDNGARVAILKASPSVVSVVSIDQDGNLVKACSGTIVKHAVSQGTYTILTSASLIEPVSRKKSYKADKHKISVILMYGKEVEGKLLGFDFHYKLAAITIKSDEIERVQIHPVSIRHL >OMO68780 pep supercontig:CCACVL1_1.0:contig12173:42662:43570:1 gene:CCACVL1_19838 transcript:OMO68780 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MECRTLEITVISAKDLKDVNLFTKMDVYAVVSINGDHRTAQRTPIDKDCGSNPKWNYIMKFTIEEALAQQNRLNLNFRLKSDRQLGDKEIGDVAVPIKELLDQNNGNGKVEQNGAAATAYPYPPPPGAYPPPPYGYPPQGPAPGYGYPGYPPQSGYGYNNTPVIQKPQKPKRGGMGAGMGLGLAAAGGLLGGMLIGDMVGDAVEDAFDPGFDSFDCGFDF >OMO68782 pep supercontig:CCACVL1_1.0:contig12173:47649:48895:1 gene:CCACVL1_19840 transcript:OMO68782 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGSRRPLEITVISASDLKCVNHFTKMDVYGVVSINGDHRTAQRTPVDKDCGSNPEWNHKMKFTIEEALAQQNRLNLFIHLKSDRHLRDKEIGCVQVPIKELLDNNNGNEKIEQNVSYNVRLPNGKAKGVLNFSYKFGEKFEKPVPAGHAKNKGTVYPPPPAGAYPPPPTGYPTPSGVYPPAPQGAAGAYPPPHQGEAGTYRLTPADYLTPSGLYPPSPHEAAGAYPPPSHVATGAYPPPPAGYPTTSGVYPPPPQGPTGAYPPPPQEAAGAYAYPPPPGAYPTPPHGYLPQGPAPGYGYPGYPPQGGYGYNDMSVMQKLQKPKKGGKKSKMGMGLAAAGGLGLGLLAAGGLVGGMVIGDMVEDAVEDVEDAVEDVVEDAVVDMVDCAFDSFDW >OMO68779 pep supercontig:CCACVL1_1.0:contig12173:33599:33861:-1 gene:CCACVL1_19837 transcript:OMO68779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARKLMKGEELHFDILLDICSRLPAKSLARFRS >OMO53221 pep supercontig:CCACVL1_1.0:contig15274:9027:23233:1 gene:CCACVL1_28798 transcript:OMO53221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMDGIRSMLLVSTILLLVANGAVGNRKSAKSSSSSVFSLFNLKDKSRFWSEAVIRDDIHDLETRSPSQTGVQNYTRAGNIANYLSLMEVDAMYLPVPVNFIFIGFEGNGNQEFKLHPEELERWFSKIDHIFPHTRVPPIGEVLTPFYKISADKFQHHHLPTISHINYNFSVHAIQMGEKVTSIFERAINVLSRRDDVSGDRDGTDSLWQVDADVMDVLFTSLVEYLQLEDAYNVFILNPSHDPKRAKYGYRRGLSESEITFLKEDKSLQSKILQSGTTPESILALDKIKKPLYGKHPMSKFAWTVTEETDTVEWYNICLDALTNIEKLYQGKDTAEIIQNKVLQLLNGNNEDMKLLLKRELKSGDFNGLHAECLTDTWIGKDRWAFIDLTAGPFSWGPAVGGEGVRTELSLPNVGKTIGAVEEISEDEAEDRLQDAIQEKFAVFGDKDHQAIDILLAEIDIYELFAFKHCKGRKVKLALCEELDERMRDLKEELQSFEGEEYDESHRRKAVDALKRMENWNLFSDTHEEFQNYTVARDTFLAHLGATLWGSVRHVISPSVADGAFHYYEKISYQLFFITREKVRNMKKLPVDLKALQEGLSSLLIPSQKVMFSSHMLSLSEEPALAMAFSVARRAAAVPILLVNGTYRKTIRSYLDSSILQYQLQRLNDQGSLKGSHAHSRSTLEIPIFWFINTEPLLVDKHYQAKALPDMVIVVQSESSSWESHLQCNGKSLLWDLRRPIKPALAAVSEHLAGLLPLHFVYSHAHDTAIEDWIWSVGCNPFSITSQGWHISKFQSDTIARSYIISTLEESIQQISTIAGELHYGDAMRLLYTLEDATKSFGDKVNATISLLHPIHCTKVRKVDVEFDTTTIPAFLIVFGILYMVLKPRRAKPKIN >OMO53222 pep supercontig:CCACVL1_1.0:contig15274:24106:28080:-1 gene:CCACVL1_28799 transcript:OMO53222 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MVAAMLVVFLVEFPPPLATTLKEEGRVDANLVLRWMKKRLVNIRWMKALKWKGFLGIPVVTSTVGLLSAFSPNYVSLLITRGLVGFGLGGGTVFLTWFLEFVPASKRGMWMVIFTSFWTFGTISEASLAWIVMPRLNWRWLLALSSAPSFALLLFYAVVPESPRYLCMKGDTSDALQILEKIASVNQRRLPPGILISGRTNGQDEDASLYVDTFITSLAELPGILLAAILVDRVGRKQCMAFMFLLAFIFLLPLLTHQSAVLATCLLFGARMFASGTFNVACIYTPEIYPTPVRTTGAGVASAMGRIGGMVCPLVAVGLVSECHQTAAVALFLVVILVSVVCILLFPYETNGRELSDALVVST >OMO77546 pep supercontig:CCACVL1_1.0:contig10732:56:5290:1 gene:CCACVL1_14977 transcript:OMO77546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVACRGKAAPVGRPAHAEAAPVGRLRDYPDGNFKDSIVVRRISYPVFQFQNGIQNFERGYQIKLHISTSHDWFCWFVYPFGSLCARFLFFETDNWGVKSTLSIQDEDGAKVVIEQNWIDDVEGEGAWFSLIGKLLLKKQLNVEGMRMGLGLAWKLAAAVSRSLAESVVRGGGSRRGGNPLSCAPSVTGVGGILGFAGKAVSKSKELVTEGGGMNQGSNAGPEVLVAKNSPPLQRRIRGIYISYMIKQVLPSQGTNVFLYVWSLEAELWGVRQGLLIAKEQAYAPLIVELDAAVVVHFLKNSMVNSHPCYTLVRDCLGFIQGDWIVELRHIFREGNWCADCLALLVHDGDYGVSFYEERPGQLLHLLHKDQVRIGTLRP >OMO77547 pep supercontig:CCACVL1_1.0:contig10732:6489:11067:1 gene:CCACVL1_14978 transcript:OMO77547 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MASIEATSQGPTTRSRAHHQRTGSREEPYSLEERMDRMEDAVERMHEAVEGMDTRIVELEGDVEWLRGEFQGALNEGFDRLSKESSERDDALEAMVVALRREVEELPLIVALRKEVEELREELERCKTAVGRGVLASMPTTKVDVPKPKEFKGTRSAKKVDNFLCGMEQYLRATNVTDEATKVNTASMYLVDIALLWWRRRAAATPAIKTWEDSRRSSSPNSTRSMPRMMRGPSCGGSLSGARGVEDLNEAMTVAKSLIEFKRLEKTNTPKEKGKGKVGGDRDKSSKGGWGKSFSHKGESSNKDKDKEKRPLACFLCEGPHRVRDCPKRSKLSAIAREEQQPEKEKEILKLGSILLSVEPKRRRKKGLMFVDIEVADHKVNALVDTGASDLFVSEGGAKKLGLKVDKGQGWIKTMNSKEVPTMGVAQGLELKLGAWSGKDNIEVIPLDDYDFVVGLDFLDRINALLVPFADCICILDPRSQCIVPAMEKEQAPLEIELVPNAQPPAKAPYRMAPPEFEELRKQLKELIDAGFIHPSKAPYGAPVLFQKKHDGSLRLCIDYRALNKLTVKNKYPIPLIVDLFDQLGDARLFTKLDLRSGYYQVRIAEGDESKTACVTRYGSYEFLVMPFGLTNAPVTFCTLMNKVLHPFLDRFVVVYLDDIVVYSRTLEEHVEHLKQVFEVLRAHELYVKKEKCSFAQHEVPFLGHIVGGGHIRMDPSKEVHPRLLNITTPLTELLKKGRTWEWSSKCHQAFERLKEDVTKEPVLALPDHTKPYEVHTDASDFAIGGVLMQEGHQIAFENRKLNETEWRYTVQEKEMTTVVHCLRTWRHYLLGSKFVVKTDNVATSYFQTQKKHTPKQARWQDFLAKFDFEFEYKPGKANMVDDALSRKATLATISRLEMDLTARIKEGLQHDPTARAIMEYAKEGKTRRFWLENDLIYTRALVEEHYYWPRMRDDVETYVNTYLVCQQDKIEQRLPAGLLEPLPIPEHPWESVSMDFIVGLPKVDGFANIMVVVDRFSKYDTFILTPKECPAEKAAWLFLKHVVKYWGVPRNIVSDRDSRFTSRFWMELFKLLGYDLNISTVGHPQTDGQTERVNALLELYLWHYVSATQKDWPKLLDVAQFSYNLQRSESTGKSPFEVVMGLQPATPSQLASGYTGPNPAAYKFAKEWQEQADLACVCLHKAAKKTKKWADKKRRDVEYQVGDLVLVKLYTTLKNPGLHTGLVHRYEGPFRVLRRVGKLAYKFDIPRGYKFRMHPVFHVSLLKPYHADEEDPKRGKSGRAPFRITTRFEKEVQSIIAERAVGRKRKGCVQKKEYLVLWKGQPETEASWEPAEALWQFEEYIRRFHEEEATRASPS >OMO69142 pep supercontig:CCACVL1_1.0:contig12108:1735:1800:1 gene:CCACVL1_19649 transcript:OMO69142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDFHCQEIKRPAGPEFPLN >OMO61346 pep supercontig:CCACVL1_1.0:contig13516:36335:37477:-1 gene:CCACVL1_23589 transcript:OMO61346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVRARASTSQAAPIEEETTIQPYLSVPPPIFVDNECQKWYGAKCNNSFIIEKVLPTNIDQELGVSQLFVNRGWGSLLQVDGYYYPNLVKQFYANMDKERDGYSYTINTMVKGKYIVVDEEYLCRLLDLNNEGSMFYFTYVNGEQRTATESTWKRDGALRSFDIPLGMDNQGSREVRVKYMEPRDRLVCYLLHHNVIHRSSNKHKLRTEDFYVVDKLCHGLGHCNRIPMARLLLSRMWEVVHSSHEDKAFVFPLLISKILVNEGVEVDGEYCFNNEDNVIDVNCLSHLGLRRRVVANVLHWYNVQRRTYAQGLEQSQGSRQPSLEVDEENFQELPMREFQQLMIREMRQHRSEMRSYMERMEDTLRDSMGQRRPRRGR >OMO61348 pep supercontig:CCACVL1_1.0:contig13516:42428:45113:1 gene:CCACVL1_23591 transcript:OMO61348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLQGEVICEGMLGARMCWPRLSLGTHADGLCAQGVGLRPHVASAHAAWVAPPTGAGRPCGKPGAPPGLSQDPCAPVLVRHLTCLLALKLNFAPLLQTLEDQSYDDKIDSSSSSITIILAPFFIQIVLGKYLCSGSDTSGSGIFGFGFCRVRARIGFGFGPGSGSGSLSIFRVRSEFLAHPDLTCKILKELKLDPTYNVFVDAPKAYHGRNDTPRTYHGLEDKHGEHGKDVQGLQGSMKMHGDHGDIDNHVPSTKNMTFDPLKMPNSPMTRARAKRFKDALMGLVRAHLEDLKTIEVQLKSFVDDLGKKLQINYKFITLLAIDSRWPD >OMO61347 pep supercontig:CCACVL1_1.0:contig13516:38666:40774:-1 gene:CCACVL1_23590 transcript:OMO61347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MKSPCIDYLEDFSFELKPGVVRLLPIFHGGPHENRHEHLQDFIIKCAMITGMPQEQAKLRTFPITLEGKAKEWFWSLPSKSITSWKEMERVFLNQYFPEAKRADVRRRINSCRQLPKEKWFQYWKRYNKICESCPYHNISEELLIQQFYDGLFYEDRVYIDATSGGSLTFKTPTEVRKLLNIMAENIHQFSTSEEILKPKEPEAESSMHKEMKKMKEVVQSLVTEKDKVYQLLSKQIADQQDTIANFMRYMVANQGVKHHQQSILQASLNEAQGQENNMEHDNELNNAQRYGINSMGMEDDQPRITKEMESQETPFPFSTPQVKAVLPTPEMKPSPTVIPHVQMLSNEESSVKRKEKEKFDFGINSVSSPTISQDPPRKKREPKKIMPPNDCPAPFPQLLEPQKTCCEESQLNSLHKWKVNIPLVATIKQVPKEEWKMVDGLTTQKDHEEEIEDTFSWEEPTNSEPSTMEGEFHEIVVPIMKEIEEVLSKAMDDLESQELKEQNPIQFSSNDEDDTLCMVCIPTTPKETPKIVQEVKEIPKEDIEMDIPPPSLPKIAKHEEPPYDEHFGHPKDLKDLVDDQVLELTYPNLEVNKFLKQESYGEEEWISMDQFLLKDEEINQVEDTPQRQVRSYANHGCHKLSLHQPLTATQMALSFIAIHGVALNKIGECFPYLLFCASHFCVHIPLTSSYLLFALKWYDPP >OMO61345 pep supercontig:CCACVL1_1.0:contig13516:25828:32681:-1 gene:CCACVL1_23588 transcript:OMO61345 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MTEGLTDLWENFNLTEEENLEVAVDPRLVDETLAEGNNCLIGKLLSRRPVNVEVMRNVMHMVWKLAGGLQVREIGENLFIFQFESDLEKERVYQQTPWNFNKALLVLKSYDAYDCVEDIKLDGCSFWKQAHDLPLGFMNESIGRVIGESFGTVEEIDTCGDKIAWGKFLRFRARLNVTKPLRRGMILTAPNGGKILISFRYEKLPDFCYVCGCLTHVENECEKAVVMRRDKGKIIKEYGPWLRAEVPRSKSVKFDGPGISETRTGREEEKKDSVNKRREGEGCKGRKGQSLLKLKAARDNEDSSDFQDDTDGLSATNKEQVTGKHHVGDSQQKLLEKSHGSDSPVGAKKKVFSKGNSGSQDVVMEDGLATKGDPSFVFKAVNESSNSGGGSKIKKKWKRAAVTVSRMDKGSNDQLHKVTGQKREGSKGDLMEARKKSKEDGEVDSDRRSGGLALLWKEEWEVSIMSYSNSHNDAIVVDGKGSLPWRFTGFYGNPITHRRGESWDLIRTLKGQSSLPWVIGGDFNEIMDGSEKVGGSIRPFSQVQHFRNMILDCELEHLKVVGPELTWRRGRGENAVFERLDRFLVSGSWRDRFGKKDNAMLKIKDARGKWCVEADDIEQVFVDYFEKLFTSSHSRIPKSFCDNIKTRLSMDHLEVLSKSWEASEVKAAIDQMNPSKASGPDGMTAMFYQKYWDVLGTEIVSKVLANRFKQILPDIISENQSAFVPGRLIFDNALVAYETVHYLKSKKGGKVGNMALKLDMSKAYDRVEWNYLEQVMRTMGFPSSWISLIMTFVRTVSYSVIVNGKKCCNFAPSRGIRQGDPISPYLFLLCVEGLSALLNNADTQGMIQGVSVCRAAPRITHLLFADDSLLFGRASITEADAILDLLKQYEQASGQFVNIDKSAVYFSNNTTLADREAIKQKLGVSKSLDGDKYLGMPILIGREKKPHFQHIKDRIWRRIHYWQSKLFSAAGKGVLIQAVAQVVPVYAMSCFKFPKTFVKDLNSILARFWWSHGKSSKGIPWVAWEDLSVFKLDGGLGFRDFEAFNLAFLAKQGWRFIHNTTTLCYKVFRAKYFPIGSFMNAKLGSNPSYVWRSILEGREVLKLGTRWRIGDGMSVKIMEDTWVPSLPLEKPSSIAPNILDYGLVTELIDHDERHWKEDLLYELFQPTVVEAILDISLSSHRVEDKLIWAASKLGMFTVKSAYHVARIMLDREVVDVELRNPLWKLLWTARVSPKDVFFECYYARSVWNDIAPWMEDFVLTNESAEDFCFNLCVLATQKGCLDKIFICLWMLWFNRNSCLHEGKCILSSQLVHKVVLMVKEFEEGMKSLYGDQPVIQGHHITKWTPPRSGLLKLNCDAAFNQSTGITTLGMVLRDEAGSVILGGVSRVSEADNVMHAECLAILFGLEVAWEHGHISLLVESDSKQAIEEIRKGYESLWMGASIIHDILTFSTQFNFISFDHVRREANVLTHDIAHSKCREGEQRVWFQVRDLAYAAPPVGRLTHHNQVT >OMO61344 pep supercontig:CCACVL1_1.0:contig13516:8148:10558:-1 gene:CCACVL1_23587 transcript:OMO61344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MTNFFSFQIFAFLLFQLGVYQCQAIARYKFVVQEASYTRLCSMKNILTVNGQFPGPTLHVHKGETIIVDVYNKGHENITIHWHGVEQPRNPWSDGPEFVTQCPIKPGGKFSQKVIFSVEEGTLWWHAHNDWSRATVHGAIIVKPKPGTTYPFPKPYAEQTLILGEWWKEDLSELYKGLLRSGADPNISDANTINGQPGDLYACSKPDTFKLNVDHGKTYLLRIINAAMQDLFFFSIANHNFTVVGSDASYTKPFTSDIMAISPGQTIDVLLTANQKPDHYYMAARVYNGAIGSETNNSTTTAILQYNGNYTASSPPLLPKLPNINDTDTAFNFIGKFRSLADKDHPVHVPLKIKKHLYYTYPSTILQTPKQATEVKVLDYNTTVEIVFQATNLVRGTDHPMHLHGFSFYVVGSGRGNFDKDKDPLNYNLVDPPLQNTIAVPVNGWTAIRFEAHNPGVWFMHCHFERHAIWGMDTVFIVKNGKRREERMLP >OMO61349 pep supercontig:CCACVL1_1.0:contig13516:46728:48455:1 gene:CCACVL1_23592 transcript:OMO61349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKQEQPNVAAMLQTMIQRVDTMIDKMQRVKEGIFSLDSNRGGNELALLSLVDRRTLRAYVKGDVHRENLFHTRMYANGKPSSVIIDEKSCKNIVSDYLVKELALPTTKHPKPYSLGCFNDREEFSVDKQVLVTLSLGKYKGDVLCDVLPMQACHVLLGRPWQFDNKVHHDGETNKYSFMCGKKPLTLIPLSLQEALKDQIKVRDDFAKLDAEFRAKEKSKSEPKIDDCFDDKTTLVAKSVLDVVCDDTKPKLSVVCNDTKSVLNVICDETKSVLDANCDENNYVLVEHSIKNNSVLVDHSIANNSVLVDYSIKNNSVLGEKKEISKEVVKECMLGTKSEIKSALHDNSDLILPLFRNTLMGTNNLAGDIPSNIMSLLSDFVEIGVVLMQGEKPVAYFCGKIQRGFPPIKDIEFHPYVVQYEFIESFPYVVQYKQDLRTNLLQGGGNDAPKAYHGRNDTPRTYHGLEDKHGEHGKDVQGLQGSMKMYGDHGDIDNHVPSTKKMPFDPLKMSNSPMTRARAKRFKDALMGLVRTHLEDLKTIEVQLKSFGDDLGKKLQINYKFITLLAIDSRLPD >OMO87749 pep supercontig:CCACVL1_1.0:contig09184:23240:26300:-1 gene:CCACVL1_08786 transcript:OMO87749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLPARKGRHRQRYEDHLRLVAGCIPYRFETDVDDRNGNVKSRITVLMISTPNRDDLVFPKGGWEDDETVHEAACREALEEAGVKGRLDENPLGVWEFRSKSRQNSCSLEGGCRGYMFALEVTEELDSWAEQTSYKRKWLSPEESYKFCRYDWMRDALNVFLTVIQKERMSNGRPEKLVELPMFAASEAMAEHQMLSAGCSGKPSSVQHLEESFTKCIVQG >OMO87750 pep supercontig:CCACVL1_1.0:contig09184:28198:30403:-1 gene:CCACVL1_08787 transcript:OMO87750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LHARLIKSGLQSDPVSLRPLLLSCVSSAPESLSYACSLFARIPSPDRFAYNTLIRAHAHSSPFHAVSFFSTMRRRGLYPDHFTFPFVLKAFARLHGGHETHSLVIKLGFDSNIYIQNALISFYGSFGSVTEALYLFDQMPEKDLVSWSSMISCFANNKFWYHALDLFREMQSVGNFKPDEVIMLSVISAVSSLGALELGKWIDAFVSRTGVKRTVSLGRAGMLHEAFKFVERMPIRPNAVIWRTLLGACVRHNDLKLAEKAKEKIYELDPNHDGDYVLLSNAYGGVGQWFEKANVRKSMREKRIGKNPGYSLLNAGEMIHEFVSGDNLHFKSKEIKQFLNSIITNLRVEGWKLDLLVDLIHQSDTGKWPKSNSPMV >OMO87748 pep supercontig:CCACVL1_1.0:contig09184:14754:22596:-1 gene:CCACVL1_08785 transcript:OMO87748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSKPNMKNDAWTDIMQLLSTRRSSFNNNDDVQQC >OMO72939 pep supercontig:CCACVL1_1.0:contig11332:21425:26275:1 gene:CCACVL1_17506 transcript:OMO72939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLVRENLFIGNIGDAAEVLQNGSTEITHILSVLSSASISFFSEWRSGLTIPTKEIKKVFVDGSGDATASGDHSVNASKSSLSPQKLLYLLEYAGKDLKLVRMAVPIRDMESENLLDYLDACFDFIDQSRKEGSVLVHCFAGVSRSAAIITAYLMKTEQISQEDALESLRQNCEFVCPNDGFLEQLKMFEEMGHKVDHTSPIYKRFRLKVLGDCYNRGEKIDSSKLGADPGLPTESSSVIKASPDEGINRTAYRCKKCRRVVALQENVVDHIPGEGETSFEWHKRRSGNPFSKSDQTECSSIFVEPLRWMTAVEEGAIEGKLSCAHCEARLGYFNWSGIQCSCGSWITPAFQLHKSRVDISTV >OMO72938 pep supercontig:CCACVL1_1.0:contig11332:2755:2937:1 gene:CCACVL1_17505 transcript:OMO72938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSTIPILPFTSEKIGETYLDLKSAPHVTSRAVVHRAIITVMEESVASVEEISFLLLLKKP >OMO79090 pep supercontig:CCACVL1_1.0:contig10496:18073:18138:1 gene:CCACVL1_13921 transcript:OMO79090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEYRLGSFRRCGSFGRRALN >OMO98239 pep supercontig:CCACVL1_1.0:contig07147:6025:7892:1 gene:CCACVL1_04283 transcript:OMO98239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANKSLVPGKNNIASSGGNRNGKRKHGRSTTLRPEEGVDFISKGEPSSKKNSAVSNGPIICPVWRGCFRIRTEKFGLSVDLVAHASKKSSEEVFNLASRLPAQLDLQVLPILDVYSGFGIPTLDIGLVDLNIFAENERSETDSDNLINRLLNEGLAMKTMVSDNVLLVFTSHHLPYKYWRSEEANVLPVDTTLARVSGTAEDNNFCISSNKQGQQMGKQRMESMKSNELPVLLLESCNYTFDSNGSRKDDEGESNAEKNAAKSIVEAQLPAERTFSEENTEKTDRISGEADSNKKMASDQALGDASLDAGFVSPVSTAAGEMITNSCHLNNASSKLLVQGRPGRALEGNF >OMO98240 pep supercontig:CCACVL1_1.0:contig07147:12956:18022:1 gene:CCACVL1_04284 transcript:OMO98240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRQEEQNQEVNTNVMLQQIMQQLGTMTTRLEALETRNQQAQQGANAAINNERIQLPPPRQVARLDPMERLRQQELGGQAHNENMRARRGVEKEEPKDNIKYKIPKFHGRGSPSDYLECETKLDMYFDYYPHAEPKKVQIASLEFTENALNWWNQLVQSRRRNLESPIDTWQGTRSVDEYYSEMMLLMFGAEVDEAPQATMARYNDEVLCDVLPMQACHVIHGRPWQYDNKVHHDGETNKYSFVCGKRPFTLIPLSPQEALKDQIKMRDEFAKMESDYRAKEKAKDANLNVNCVEGRSDLVDKHANSKKVVKECMVATKSEVKEDLNGNSVLILLLLKNTLATNDLERELPSNIFSRLSDYVDVFPEEILNGLPPIRGIEHQIDFFPGAQIPNKPTYRTNPEETKELEKQVGELLQKGFVCESLSPCAVHVLLVPKKDGTWRVGIGAVLMQGGKAVAYFSEKLNGAALNYPTYNKEFYALVRALQTWQHYLWPKEFVIHTDHDSLKYLRGQQKLNKRHAKWSEFIESFPYVVRYKQGKENVVADALSRRYVLLSMLDSKFLGFEYIKELYASDVYFGEIFKACENSGFGKYHKHDGFLFKESRLCVPSCSLRILLMRESLEGGLMGHFGVDRTYDILHEHFFWPKMRHDVRKYVASCIVCLQAKSTSKPHGLYTPLPIPHEPWAHISMHFVLGLPRSRCRDIFVRGHPDPAVSNDFGGTTVVGF >OMO85685 pep supercontig:CCACVL1_1.0:contig09592:14473:16546:-1 gene:CCACVL1_10047 transcript:OMO85685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQIIPAATSGSNSSGVSASQPPPHDDIVLAPLRTRFEHSLSFKESNYAEDDSCVYMTCKCPQCGNPDYIIRYKGDWKEELPYKCPKPPNRILEPKEVSDLPFASVVPAPNSNLEREYTFLYCYLCETTHSVVRFKGGFHDHCGIFLYGKPRFQGEAKDKGLKYLFSCPCGGELSYTSPPPPKKRDYLKPKHGNMKRIQQQVKHRKARQIARKIARTRTRLQSRYEIAREGESS >OMO85684 pep supercontig:CCACVL1_1.0:contig09592:2727:3062:-1 gene:CCACVL1_10046 transcript:OMO85684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIKKSWSPPLPPSPVRTCLCSPSKHPGAFRCHRHKNLGTVSSSKSMAVVVAGTLVTLTTPSNAKLMKEFLNKILTRSSSHSLQSRSNFQQKPSRFCPANTSVNGVAVFS >OMO49960 pep supercontig:CCACVL1_1.0:contig16346:2455:2565:-1 gene:CCACVL1_30735 transcript:OMO49960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRFTLGFHPVGIKMLRLPWGHRARRQGLKNPHCLVY >OMO86485 pep supercontig:CCACVL1_1.0:contig09474:2401:2628:-1 gene:CCACVL1_09592 transcript:OMO86485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MATTSIIIVKAFFFVLLTLSMAMSAGGFKAKSLGYYTFAKPNPPCQAADPKNCPQKPANPYTRPCNDPNLCRNHN >OMO86484 pep supercontig:CCACVL1_1.0:contig09474:1687:1812:1 gene:CCACVL1_09591 transcript:OMO86484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSRNGKENRFGHRGLGSNAAKSSQNPRNLSNNIPCCVHVET >OMO92637 pep supercontig:CCACVL1_1.0:contig08190:39518:43650:1 gene:CCACVL1_06794 transcript:OMO92637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDSQSKEGREGENASQKWVCNFIATNLISSTNPYSLPGVPLLRLLRRRGRYNAAPTSHPGPKRLKRLRTRIWEILGDKIESEIGRWRGCSNTWFLKTGVGLRNCLIGVRGAIEGEISCCVLYF >OMO92635 pep supercontig:CCACVL1_1.0:contig08190:25764:34813:1 gene:CCACVL1_06792 transcript:OMO92635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGPDHSHVNCGEVLSIAISDMRASLSLPEPLAPYAPQGYGLFFDELISGADSTKWFAEVIPQLAHLLLRLPSLLDVHYQNTQAYGYFLRILGPQQPGMVLLSQELIGALLACSLFCLFPVSNRGVKHLPTINFDHLFASLYDSYSESQEHKVRCIVHYFERICSEMPKGCVSFERKLLSLENHPSQSHASYPNAGFWAKSTVPLCHFQVHSSGLIEDNCTGALEVDFANEYLGGGALHMGCVQEEIRFMINPELVAGMLFLPSMEENEAIEIVGAERFSGYKGYASSFRFSGDYVDNRSVDFLGRRQTRIVAIDALCSPRMKQYKLKYLLRETIKAFCGFLDQSKYSQYKRLYQDNELGPCQLDQDNNDGDISRDEILSNENGGQFMEPENCFGIATGNWGCGAFGGDPELKTIIQWLAASQALRPFISYYTFGHEALKNLTQDSFTLEAAAKIYSMRMDSVHLEAYEMQKKSAIIAMDTNKILCLMLSVIFMSLSLESHVSFGADTISVNQSLSGNQTIVSAAGVFQLGFFTPRNSSNYYIAIWYKRVSQLTTIWVANREKPIRDTYSSVLKISDGNLVLFNESQVPIWSTNISSTNSTSVVALLLDDGNLVLRDGLNSWTLLLWQSFDHPADTWIPGSKFSFNTRTNQSQRFTSWKSLDDPASGLFSLEIDASKTNQIVWSNTRQYWRSGSWDKQRKSFSLVPEVTMLSSYNLNFSYFSNENESYFIYSFYYSSTITQYILDVSGQITAMTWLDDSKEWIYQNIVFSAQPRKQCEVYAFCGAFGICNDTSIQLCNCLTGFEPTSQEDWNEQVYSEGCVRKSKLECENAAVAVGNGKEDQFVENPRIGFPTNPQNATANNITECKLTCLKKCSCSAYAYDNNNGCLIWTGDLFNLQQLGEDDDEVKTLHIRLAYSEFSNPTKSKKKKLTIVAVAVSSGLILLGLMMFIIKTRWRTTIIPTNPTEGSLMAFGYKGLQKATNNFSEKLGKGGFGSVFKGTLPDGSLVAVKKLEGISQGEKQFRTEVGTLGAINHVNLIRLRGFCSEGSRKLLVYDYMPNGSLDKHLFHAKDSEVLDWKTRYQIALGAARGLAYLHDKCRDSIIHSDIKPENILLDADFCPKVADFGLAKLFSREFSRVLTTLRGTMGYLAPEWISGQAITPKADVYSYGMMLLEIVSGRRNFQVQHSEDEGTAFFPATVAIQVSTEGGDVLSLLDSRLNGNVNVEELSRICIVACWCIQDDEFQRPTMSQVVQILEGVLEVSQPPIPRFLQA >OMO92636 pep supercontig:CCACVL1_1.0:contig08190:37808:38767:-1 gene:CCACVL1_06793 transcript:OMO92636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERQMKMIKETKYWVRRGPRWNGVESDPNLLEKKSLDEIRQMKFSEETKHWVRKGPRYDHVGRDSNLLVKKSLDEIMPECQMKFSEETKCWVRKGPKCDGVGRDPNLLEKKSLDEQKLCSGEAPKLENKIGIPEVHASETTNGGMIYFEEPKGTHQPIIGSQVAAETLNATYRLQLESKRAQLELGQPLAEFERFLHAASPVISFSHRCAPCAVCSVSQPTSIFLCKHQMQNNISLRALWNWYQKPGNYGLEVKTVDYNKQKGKPTEIIPFQAHFIPFLSAVQLFGKVRPEKQGGELNSSSAKTLPAKYSPKAIQPSH >OMO92638 pep supercontig:CCACVL1_1.0:contig08190:55910:56839:-1 gene:CCACVL1_06795 transcript:OMO92638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVSRNKFLLCFRPVVDVDLMIESKAVSVHRSENQALTAYVGVKNKDDMKPSTAVLSSVSDAENSIVVHRPTKKTFSQVVKAVVFEIVLAKRVRERKAIDQRSYSWKHNSKLSKSADKVLSGKGIQDTLSKSESVSSLSSYSSSSSSSPSSSPNSTQKPERQNLQKNDDTCRNYQQERELKTKQEGSSRNNNAIYLLLVSLAVTIFWGKICAILFTSIWLYFVPQQQQSAGVIRNLESIKRSSEKKSRDYYKKKVIMEGLLDRTYNRGALNF >OMO54001 pep supercontig:CCACVL1_1.0:contig15071:5306:5398:-1 gene:CCACVL1_28146 transcript:OMO54001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASIKGIEGTGVDEEFVGKVEARSTIRKIKKG >OMO94503 pep supercontig:CCACVL1_1.0:contig07855:20235:21250:-1 gene:CCACVL1_05962 transcript:OMO94503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALAPPPISLSVFRFACFSLQNQVSLGTLLAFTMVAISVLILRYVPPDEVPLPSSLQESIDSVTLRYSGETLEISTSGDSSKPLLINKNVPVDCPIIEKQEAEASCKLLAFCYFILII >OMO76743 pep supercontig:CCACVL1_1.0:contig10873:42142:45213:-1 gene:CCACVL1_15458 transcript:OMO76743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPNLFESPHLFDMTHKTSESEMGKLKDDDYETTEIMDAPSGDDQDPNQRAKKKRYHRHTQRQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKAQHERHENAILKAENEKLRAENNRYKEALSNATCPNCGGPASLGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPLTSLTQLSSNSHLHSRSIDLGSSNFGTQSSGFVGEMYGGGGGDLLRSVSGPTEADKPMIVELAVAAMEELIRMAQSGEPLWVPGENSTDVLNEDEYLRTFPRGIGPKPLGLRSEASRESAVVIMNHVNLVEILMDVNQWSSVFCGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHTDGTWAVVDVSLDTLRPSPMSKSRRRPSGCLIQELPNGYSKVTWVEHVEVDDRSVHNIYRPLVNTGLAFGAKRWVATLDRQCERLASSMASNIPAGDLCVITSPEGRKSMLKLAERMVTSFCTGVGASTAHAWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWISVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCSDATGSYVIYAPVDIVAMNLVLSGGDPDYVALLPSGFAILPDGPGALNNNVNGGTGIHEIGSGGSLLTVAFQILVDSIPTAKLSLGSVATVNSLIKCTVERIKAAVMCDNNA >OMO76738 pep supercontig:CCACVL1_1.0:contig10873:12370:16604:-1 gene:CCACVL1_15453 transcript:OMO76738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMNSPMRNAKSLKLGEQKFDEGRSRICNLPDPIIHHILSFLPTKEAAKTSALSKRWQFLWTFVTNLEFNEEVWQQHDVKEETRRNFMSFVERVLFLSDPSSIRKFLLSCKVLSDQSRISTWVHAALKRKVQRLILHFPLEDFESLSLPDCLFTCESLKELDLEFSCVLNLPSYICFPHLKILSLSNIKFPDDHSAQELFSSCPNLVKLTLDACDWENVKGVHISAPLLEVIDIFEEDCQINQSCCQFMISGTKLKLFCYQGVFENDFCVFDAPSLEEADMVQLGSDDIEDNLEMQIAAYRCYKLFKGLANVKRLQVTNGSLEFLASAEELVSRLPSLPNLKHLAVDEHEYAVDFACIGLLKILQNSPCLESIDFKRGVDLPTYDENNDWTLDPVPPCFLTHLKTVTIRLFSGSDKELHVLGECSDVQIAAHRAYKLLKGLTNVKSLVVTPDFLELLTSVEELVPHLPSFPNLKYLALDEYEYAADFASTGLMKLLQKSPYLESLDFKWGVSLSTYKENKDWTLDPVPSCFSTHLKTVTIRILSASKKELHVVKVLLRTAKSLEKLCFPSRLGDKKRKRLLTLLEESESACDIVFMKLRPFYFKPKEY >OMO76739 pep supercontig:CCACVL1_1.0:contig10873:17816:23092:1 gene:CCACVL1_15454 transcript:OMO76739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEIAQKQLPSILERFKALLKQREDELRVSGGDDDEVVPTLSSEDIVQLYDAVLSELTFNSKPIITDLTIIAGEQREHGEGIADVICARIVEVPVEQKLPSLYLLDSIVKNIGREYVRHFSSRLPEVFIEAYRQVNPNLYPAMRHLFGTWSAVFPPSVLRKIETQLQFSQSANQQSSGVTSLRSSESPRPTHGIHVNPKYLRQLEQQSGADGNTQHVRGTSAGLKMYGAKHSIGYDDFDSDNTEVPSSHVAVQRLNSTGNVGRTSVSLGANKSQLSCASRASRPFSPSRIGSDRLLSSEVEDLPPDDSPRRFIEGASPSRPAFDYGRGRAIIRDEETREWPRKQFFDDYHRSESSLNTYKLSNGHERQTPRALIDAYGNDRGKGFNSKPVQVERLDVNGMGHKVTPISWQNTEEEEFDWEDMSPTLADRGRSNDYSLSSAPTFGSIGARPAGLESNSRSSRTTQTQLPLVDDSSTIPEDAIPSMSSGRGLNPILRSHYPQEAWNSSQRFPQSTHHLHAKGRGRDFHLPFSASGISSLGGENSVPLIDKLADGGSQFRPPAILPRAGSSGLDSVPHGARPAIIPSTTGVWPPVNVHKSQPPTTRPNYSLQQHSRSQFDSINPINAVMNHGPAKQSYMPEQFDSFESKDQSLTRVPQLPDQRPALHQRNQFQATSLQPQFLPSQELRENFLASATAPLPPRIAAASLNHGYTPQMHGAVNSMIPSIPFPNPNMSTGSLRLQGGPSPPLPPGPPPVSQMMPGTQNTGPLLPNQPQGGAFSGLISSLMAQGLISLTTPTPVQDPLGLEFNADLLKVRHESAISALYSDLPRQCTTCGLRFKIQEEHSAHMDWHVTRNRMSKNRKQKPSRKWFVSASMWLSGAEALGTDSVPGFLPTENVVEKKDDEELAVPADEDQSVCALCGEPFDDFFSDETEEWMYRGAVYMKAPNGLYEGMDRSQLGPIVHAKCRSESSAVPPEDFVRYDGGRMAVSNCRCCSELIEAEQQT >OMO76735 pep supercontig:CCACVL1_1.0:contig10873:6682:6957:1 gene:CCACVL1_15450 transcript:OMO76735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKETELRSHLHEPNELGDCMRVLDRFLELGVIRSRPDVVIIMEGGDGAKESRPAPDEKVLIEEKENGLEGIDLGMAIMVRSEEKVRNIIT >OMO76737 pep supercontig:CCACVL1_1.0:contig10873:10058:11195:-1 gene:CCACVL1_15452 transcript:OMO76737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKTPCTYGTSRDSNFINFPFPPNQTPIANCYEKTISALGGPMFKNKDISPKANKPHCLELNVTIQINLSPLATTLILHLAPAVKKAGN >OMO76736 pep supercontig:CCACVL1_1.0:contig10873:7403:9127:-1 gene:CCACVL1_15451 transcript:OMO76736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MISSIKHSSTSSFTSTDFLPKKRSIPRTQSSPLPIIKSIHNGFPNQSLSSQKPLHVASIENLAISKNSKQRKTECQAYEADRSRPLGINIELPDEEARLEAAKKIKIGIYFATWWALNVVFNIYNKKVLNAFPFPWLTSTLSLAAGSLLMLISWATRVADVPKTDVEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFVLGEAFPLPVYLSLLPIIGGCALSAVTELNFNMTGFMGAMISNLAFVFRNIFSKKGMKGNCVSGMNYYACLSMLSLLILTPFAIAVEGPQLWAVGWQNAVSQIGPNFVWWVVAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAILGTFIYSQAKQ >OMO76742 pep supercontig:CCACVL1_1.0:contig10873:39274:39351:-1 gene:CCACVL1_15457 transcript:OMO76742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVWSRMQSSTGSRAAAVVVVDAAH >OMO76740 pep supercontig:CCACVL1_1.0:contig10873:25753:27717:-1 gene:CCACVL1_15455 transcript:OMO76740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta subunit-like PLP-dependent enzymes superfamily MACSNIKTLSLINHKILSSHLTVDRKIGTASVVPVPVNIPQTLSKILPDSATLTLKNNPQTQSFSVINKPVERKEMDSVPGKFGRFGGKYVPETLITCLGKLEAEFNLVLHDSQFQEELRTALRDYVGRETPLYFAERLTNHYKNNNGEGPEIYLKREDLNHVGAHKINNAIAQAMIAKRMGRTSIVAATGAGQHGVATAAACAKLDLECTIYMGAADMEKQSSNVLLMKLLGAQVKTVEGSFKDASSEAIRGWVGDLENSYYLTGTVVGPHPCPSMVREFQSVIGKETRRQAMDKWGGKPDVLVACIGSGSNALGLFHEFINDQDVRLIGVEAAGFGLDSGKHAATIARGEVGVYHGAMSYLLQDEEGQILGPHSIGVGLEYPGVGPEVSYLKETGRAEFHSATDQEAVDAYRRLCKLEGIFPALEASHALAFLEKLCPTLANGTKVVVNISGRGDKDADRVFHYKHDLTPCEIVY >OMO76741 pep supercontig:CCACVL1_1.0:contig10873:35543:37436:1 gene:CCACVL1_15456 transcript:OMO76741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta subunit-like PLP-dependent enzymes superfamily MGTASFVPMAVILPDDQTLTKNLPDSASLTLKNPQTQSFVVNKPVERKEMNYNPGKFGRFGGKYVPETLITCLDKLEAEFNLVLHDSQFQEELRTALRDYIGRETPLYFAERLTNHYQNSNGEGPEIYLKREDLNHVGAHKINNAIAQAMIAKRMGRTSIVAATGAGQHGVATAAACAKLDLECTIYMGATDMEKQSSNVLLMKLLGAQVKSVEGSFKDASSEAIRGWVGNLETSYYLTGTVVGPHPCPSMVREFQSVIGKETRRQAMEKWGGKPDVLVACIGSGSNALGLFHEFINDQDVRLIGVEAAGFGLDSGKHAATIARGDVGVYHGAMSYLLQDEEGQILGPHSIGVGLEYPGVGPEVSFLKETGRAEFYTATDQEAVDAYRRLCKLEGIIPALEASHALAFLEKLCPTLANGTKVVVNISGRGDKDADIVFQFKHDLTPCEIVY >OMP11711 pep supercontig:CCACVL1_1.0:contig00999:2109:2249:-1 gene:CCACVL1_00319 transcript:OMP11711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKLFRKQLSKTDIVEKRLAILLTSCGLSTLTSTLARLVSKWRIC >OMP10577 pep supercontig:CCACVL1_1.0:contig02173:829:927:1 gene:CCACVL1_00865 transcript:OMP10577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWLIAPVLKTGIVRNKELSRVRIPLSPFAR >OMP07975 pep supercontig:CCACVL1_1.0:contig04107:100:1107:-1 gene:CCACVL1_01183 transcript:OMP07975 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein GRIP-like protein MQKCHQAYRSNTTDVPSSPANEASGTTTSDAYLPSHSPTPFSSPSPACPYAHLCRRQSAAVNRSSLFAVAARFPPATNTKTSNKKKTHYS >OMP02677 pep supercontig:CCACVL1_1.0:contig06208:22375:29777:-1 gene:CCACVL1_02727 transcript:OMP02677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MSMAIEVCSEISSAGISPRISFSHDLNQNEGAEHHHPKRLDTSLLDSTSDFDFCFGNYTTFVQELPSADELFSNGKILPIEIKKKHVAVSSQISHQAVPSATANHHHQQKPTENNSGKKRLKEFLSMSIDADEKPPSKSFWQFKRSSSLNCESTRSKSLIRSSLHFLSRSNSTGSAPNPKPTMLAKETQNQNKQHLQKQPSLSRKSSVSSTSSSGSFYSYKPPLKKSCGNGAYGNGVRVSPVLNLSHPFISNATMSFFGFAGSLFCNDEEKMREFLKTSQEVTSNGLAMVDQFSEVLNKLNIPNIPHIDTTPNERRLLQADGSPAWVPPEQQAQIAQATANQPNVVVALDGSGNYNRINEALKEVPKNNPTLFKVYIKARIYKEQVDVTKHMTNVMFIGDGPTKTINTGSLNYVDGVGTYRTTTAAVVGDGFIAKDIGFENTARAIKHQVVALRVLTCLVYNIYTVSSFFGFDLIFVFKPQGCSLIPQAESKDGSCMLPDHTGHPGEISSQTDFGVKLYDPGSSLSQSSDFSSLEVIETTPDTADVIQHLMLPSTPCYLGGTQHPVFSSQRLKAFFLLFSHSYHLPMPPKGGDKKPQDFSSQSPLGLTGLRDEEVESPLKLNDGSLWKGLNAIALSLEKFDNSQECLMQQLAQQRADSQDNTQQIINRLEGRLGDEEFNNVEPRAAAYVPPHVRNGNGNGARNDHQRYPPPRHPPQEGPVNQNHLREIIEDIAAFTWYINLPPNSVRTWEEMERLFHTQFYRTEPEVSMDDLSRLYQRKGESAEDYLARFKKLRNRCRTPLQEMEFVRLARNGLDIELRKKFEGADFRDFFEMSTKVTRYETLLRDENERRSSSYGIYYQEPNYELGVVEIKADKPIECPCCRNVRVRGTLPKRLWKKRSRH >OMO66641 pep supercontig:CCACVL1_1.0:contig12528:26041:31557:-1 gene:CCACVL1_21041 transcript:OMO66641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 31 MEETTRFTTFLSNPYNPPWTKPGKIKQEQGLLVGVDESGKVLKATLRLIKNSTILGPDIQNLNLIASIEAEDFVRIRITDSDKERWEVPQEIVPRKSYSKTQTQNHRPTSVETQVLSHPSSDAVFTIFNTTPFGFTVSRRSSGDILFDTSPEASKADTILVFKDQYIQLSSSLPKDRSSLYGLGEHTKSSFKLRANDSFTLWNADIGSANPNVNLYGSHPFYMDVRMGTNYTGEKTGSSHGVLLLNSNGMDIIYDGDRITYKVIGGIIDLYIFKGPSPEMVVQQYTGLIGRPTAMPYWSFGFHQCRWGYKNVSDVEGVVAGYRKAGIPLEVMWTDIDYMDGFKDFTLDPINFPWEEMKKFVDTLHQNGQKYVLILDPGISVNKSYATFIRGMQSDVYIKRDGIPYLGEVWPGSVYFPDFLNPKSRAFWSNEIKLFNDVLPFDGLWLDMNEISNFITSPATPSSTLDSPPYTINNEGVKRPINNLTLPATSLHFGNITEYNAHNLYGLLEAKVTNAALINVTGKRPFILSRSTFVSSGKHTAHWTGDNAATWDDLAYSIPSILNFGLFGIPMVGADICGFSGNTTEELCRRWIQLGAFYPFARDHSAIDTTRQELYLWDSVAATAKKVLGLRYQLLPHWYTLMYEAHTKGTPIARPLFFSFPQDTNTYEISSQFLIGNSILVSPVLKPGAVSVDAYFPSGNWFDLFNHNNSISVHNGKYITLDAPADHINVHVREGSILALQGEALTTQEARETPFELLVVSSKENSSGQVFLDDGEELEMGGKGGKWSLVRFHAAIVGEKLMIRSSIVNPEFAASQKWVIGKVTVIGLENVKGIKGYELFSNKKNGDRDYVVTKLELAQLSLRLETSFFYFCRFMPVHCRCIGYLSCPARSLLAIASKEVPIIKLQKRYAHTHHSFDELPFRDTYPLNSQLAFYARSGNFEATWALFSRMHFSCCHLDAYSFTPVLSACSALPGTKCGKLVHGLMIKTGVDAGTVTKTALMNLYSKYGCLDDSERAFEEIKLKDVVAWNALISSFLRHDLAQRALDAFATMRREQVQLKKCVVSWTSMIDGYGSQGYGLEAVELLEQMEVEGKGVVPNSVQRYDEEERAHQRSRK >OMO66642 pep supercontig:CCACVL1_1.0:contig12528:47123:48994:-1 gene:CCACVL1_21042 transcript:OMO66642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRVKSPPKHNPISKIREPPSHKTERRVRRFLTFFGRERKERDRARRPNKGTFTPH >OMO66640 pep supercontig:CCACVL1_1.0:contig12528:17439:22588:1 gene:CCACVL1_21040 transcript:OMO66640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLVGDDVAAARSLSSGRGGWGSASIDLPKP >OMO66639 pep supercontig:CCACVL1_1.0:contig12528:4460:6053:1 gene:CCACVL1_21039 transcript:OMO66639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclases/protein prenyltransferase alpha-alpha toroid MWKLKIAEGVDGPYLYSTNNYVGRQTWEFDPDAGSPEERAEVEEARHNFYKNRFQVKPSGDLLWRMQNRYYRNYGRAKLDKA >OMO68635 pep supercontig:CCACVL1_1.0:contig12200:686:3852:1 gene:CCACVL1_19882 transcript:OMO68635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGSDTTWVGKKPLRRIGGMSDALSIAADLGFSVPPPPSQEEMQNLSSATGEKGDDLIKVLRELTTVQRKIADLQVELQGRKDDKNVAHLTHVSEMEKKCETLARITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASVTDFQWSQNFKESPSVWGEMLRPIPVALASCTRFFEAMSAMRESFATLQKLRVGHSASSLQSTPAKDPSQRVLGDSDCMTPSPWKNESSYDDLGIKSLRSQELERQEAEDESSEIGDFHMVDGTSHRRLSWPPSVKKNGV >OMO98281 pep supercontig:CCACVL1_1.0:contig07135:2080:2310:1 gene:CCACVL1_04262 transcript:OMO98281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGAQAGDKEKTEVVMSSDFGPWMVAQHLWPRRVLKSRGIGNANKTKTLGVQEEKKEVENFAASLGNGWALCYCN >OMO83807 pep supercontig:CCACVL1_1.0:contig09851:26578:27369:1 gene:CCACVL1_11170 transcript:OMO83807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MLSPPPPPPPPPPFPASPSPPLPPRKQSAIPVSVDQVVISKPFINQQTTPTSQKPDPDIIDYNPRQPILRPLHSRRTNPLIWCCAILCLIFSIILILFGVATLIIFVSIKPRVPAFDTPNASLNGIYFDSPEYFNGDFTVLANFSNPNRRIDVRFEYMVIDLFFQDRFIGTQAVQPFSQRRGEARLEAVHFISSLVYLPQNLGVELQKQVLSNRVNYSLRGSFKVRANLGLVHFSYWLHSRCELMMSSPPTGVLVSHTCKTKR >OMO83803 pep supercontig:CCACVL1_1.0:contig09851:4823:5709:-1 gene:CCACVL1_11166 transcript:OMO83803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSFLSSLLFSAFLFSLSLLQTPTFAIEKSYIVYLGGHSHGQDLTSADLDSVTNSHYELLGSFVGSSDIAKEKIFYSYTQNINGFAAVLNEEEAAQIAKHPNVVSVFLNKGRKLHTTRSWDFLRLENAGVISSSSLWIKARFGEGTIIGNLDTGK >OMO83808 pep supercontig:CCACVL1_1.0:contig09851:27773:29123:-1 gene:CCACVL1_11171 transcript:OMO83808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase, class-I MSAFVGKYAEELIKNAKYIATPGKGILAADESTGTIGKRLSSINVENIESNRQALRELLFTSPNALSYLSGVILFEETLYQKTCDGKPFVEVLQENNVIPGIKVDKGTVEIAGTNGETTTQGFDSLGARCAQYYKAGARFAKWRSVLKIGPNEPSELSIQQNAQGLARYAIICQENGLVPIVEPEILTDGPHDIQKCAAATETVLAAVYKALNDHHVLLEGTLLKPNMVTPGSDSPKVAAEVIAEYTVTALRRTVPPAVPGIVFLSGGQSEEEATVNLDAMNKLDVLKPWTLSFSFGRALQQSTLKTWAGKKENVEKAQEAFLSRCKANSDATLGKYTGGTAGGLASESLFVKGYKY >OMO83806 pep supercontig:CCACVL1_1.0:contig09851:23709:24792:-1 gene:CCACVL1_11169 transcript:OMO83806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQMISFLRKCFGRQKQQQDLDEEQDPYENDQRNLELLRDNTVESSSSFCLHQNVISTCIDIVEDSASACGLGTVGNRQETPERWIKHYCSSQKMLLVGEGDFSFSACLALAFGSATNMIATSLDSRGFLFRNYKKAMSNIHDLRSRGCTILHGVDVAEMANHCSLMGIQFDRIIYNFPHAGFCSDEPAESQKRRHQLLISLFFENAKKMINEEDGEIHVTHKSNGFFLGWNLQLLASRAGLKLIQELPFNFTDYPGYRTKYGFGGDKNFNCHPSKTYKFALFRPSPTPTPTPTLP >OMO83810 pep supercontig:CCACVL1_1.0:contig09851:38067:38153:1 gene:CCACVL1_11173 transcript:OMO83810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGESRKEHRPGPIHLGFGRKCTWTTWK >OMO83804 pep supercontig:CCACVL1_1.0:contig09851:8903:10623:-1 gene:CCACVL1_11167 transcript:OMO83804 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MSDSAYRVETTARLAQWRIDNLASCTYRKSDPFNIGNWNWHLSVEKNRVLYVKLYPEVSNLTRDNPPIASFIIRVVCSSSGDRKTLTHPEIRDKQLKNNDDFVWPIEVPLTGKFIIDVEFLDLKTASPNGGTGTGEACSIWAQGLTKKRSNETALTCLGRMLREGIHTDIIINASDGSIGAHRAVLAAASPVFRSMFAHDLQEKQLSTINIPDMSIQACQAFLGYIYGNIKHEEFLTHRLPLLRAADKYDISHLKEMCHDSLLEDIDTKNVLDRLQNAALFQLPKLKSSCMRYLVKFGKIYDIRDDFNAFLQCADRDLISDIFHELLNTWKGF >OMO83809 pep supercontig:CCACVL1_1.0:contig09851:30055:35447:-1 gene:CCACVL1_11172 transcript:OMO83809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase MGSKSVEDMIEASSGVHFSGFHMNGLESSNIDKPTTSPATENMHKQPFVIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHNLSEKELASVHEYNFDHPDAFDTEKLLDSMENLRRGQAVDIPNYDFKSYKNNVFPARRVNPSDVIILEGILIFHDPRVRELMNMKIFVDTDADVRLARRIRRDTVEKGRDIGAVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPQDISERHVLLLDPILGTGNSAVQAISLLIKRGVPEPNIIFLNLISAPQGVHVVCKSFPRLKIVTSEIDIGLNEDFRVVPGMGEFGDRYFGTDDDEQQVVAPVR >OMO83805 pep supercontig:CCACVL1_1.0:contig09851:20890:23096:-1 gene:CCACVL1_11168 transcript:OMO83805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLDKESKFEMQSDENEEKEEEEKWVAHYSSNHQLLLVGEGDFSFSLSLANAFASASNICASSLDSYDVLIKKYKNAKSNLENLKKLGASLLHEVDATKMKLHTDLAKRKFDRIIFNFPHAGFHGKEDNFHLIEMHRTLVRGFFRNARRMLRPNGEIHVNHKSTAPFCHWNLEELASRNSLVLIQLVHFNKKDYPGYQNKRGDGSRCDEPFPLGESTTFKFRFSRRAKAITSMASTSKISQQYQNIPMQMQLQPTSSDLNYAQRNHNMSHRPLHVGFPPVHNSNHYPRVFDANFNGVVQPYQRHYYEYDVAYPVPQRMSFDSDVAAGEMRHGLEREMVEVPRTLNGDLYYWHELHRISNLRSHLHMTLPCQDFWLNASNTQASQHW >OMO83802 pep supercontig:CCACVL1_1.0:contig09851:425:934:-1 gene:CCACVL1_11165 transcript:OMO83802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intein splice site MGDSDNTKATPFAYGAGHVRPNRAMDPGLVYDLTVEDYLNFLCARGYNQSMIKLFSDTPYTCPKSFSLADFNYPSITVPDLSGSATVSRKLKNVGSPGTYRARVKSPAGVTVTVNPSTLTFEKHGEEKIFQVTFKAKSNVKAAVGYVFGHLIWSDGHHYVRSPLVVQHK >OMO77272 pep supercontig:CCACVL1_1.0:contig10792:3192:10857:-1 gene:CCACVL1_15120 transcript:OMO77272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIGRKIKDAEPIPFSFERKGMVSEDVWLVSFQFYNDPVSGYVFRMKDALHYVETGEPGRLAFKPKDKSDNDEDLEEDNDCEPAIVERQKVAVNGTTDETERQSAEQVSNLSRITKGEEMLTSASTGEQTSFSFSRLWVCKAEAVDHRLTEEERVQLSLRSLGRLKPAMK >OMO77273 pep supercontig:CCACVL1_1.0:contig10792:17197:21148:-1 gene:CCACVL1_15121 transcript:OMO77273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKLCNLDDDMIGEDKLLNLSGGPVREILKFVEIESLVKLGLSSKAWRTLDPLLTSETFNVQGVSQQHQLYTPLCKAMEERILDPEATASKNLSIDLTRVDDERMVEIVQRHRFDDGDLRLNIGPIGEMIIEDSTPDYGKDCALFKGLKLSINAVCSLVKGSSLVMMIIGLAIEVSRTDHSLAGDVLG >OMO56830 pep supercontig:CCACVL1_1.0:contig14472:11064:12131:1 gene:CCACVL1_26234 transcript:OMO56830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MPKLVSFPPHHHLHRHHPLLLLFLLLISATNAATASSTYQRFKEAPQFYNSPSCPSLDTNNGMCSDEGVHVAMTLDAAYLRGSMAAILSVLQHSSCPQNIIFHFVAAATTNHHHLRHTISHSFPSLKFQIYSYDSSAVSGLISTSIRSALDSPLNYARNYLANLLPPCLRRVVYLDSDLVLVDDIAKLAATPLGEHSVLAAPEYCNANFTSYFTLTFWSNPTLSLTFAGRNACYFNTGVMVIDLQRWREGDYTTKIIEWMELQKRMRIYELGSLPPFLLVFAGNIAPVDHRWNQHGLGGDNYRGLCRNLHPGPVSLLHWSGKGKPWVRLDSNRPCPLDALWAPYDLLQTPFALES >OMO56832 pep supercontig:CCACVL1_1.0:contig14472:26407:27136:1 gene:CCACVL1_26236 transcript:OMO56832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MASANVSKASKWLSNKTLRLSLHRRRSKSSSSTSTSPGSSPTARHTPKKAKTANDVVEDDQMKEVFRYFDGDGDGKISALELRAYFGSIGEYMSHEDAQGVINDLDSDGDSMIDYEDFLKLMKNKEAHDEDDDLKKAFEMFELEKGSGCITPKGLQRMLNRLGDAKSYDECVAMIQCEAGRYS >OMO56829 pep supercontig:CCACVL1_1.0:contig14472:4596:5279:1 gene:CCACVL1_26233 transcript:OMO56829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MASGFGESTSMPPPSPSCSGSNNANNDAGDFECNICFELAQDPIVTLCGHLFCWPCLYRWLHHHSHCQECPVCKALVQEEKLVPLYGRGKNQTDPRSKSYPGMEIPNRPAGQRPATAPPPPPETNQFATHGFGLMGGFVPMATARIGNFTMGFGGLLPSFFNIHFHGFPDATVYGTTSGFPYGFNTVHGAHGQGFPQPTTRGQQADNVLKNLFWLIGVFVILALIYW >OMO56831 pep supercontig:CCACVL1_1.0:contig14472:18016:20848:-1 gene:CCACVL1_26235 transcript:OMO56831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAYYCVKENKGCVGWVQKYFKDCLCNLKDDFSFGFGLISLVCWGVAEIPQIITNFKTKSSHGVSLLFLLTWVAGDIFNLVGCLLEPATLYTVSTVVLVLQSVYYDYICRWWKCRRIKSDNMVNDEDDEKKPLKPDKPDDSAIPIPKASPGRSTPRREFYYTSARSMAGSGTPPFRAYFRAARSGPSAMEMNSDSSSEDEAAAPVSSKKSRTGTLPRPIPRSAASYGTFLAASVNLPLGSKAWSTASRKLLQEHSMEHSALGQWLGWLMAAIYMGGRIPQIWLNGLNPLMFIFALIANATYVASILVRTTEWDSIKANLPWLLDAAVCVALDLFIILQYVYYKYFRKAVNSDGEDYGDYKEANKEFAP >OMO56833 pep supercontig:CCACVL1_1.0:contig14472:29034:33642:1 gene:CCACVL1_26237 transcript:OMO56833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLGLAEMQIALASRFASFDLESSPHRSAFQWGGTIFALSLIQAQQPYAGKKTTDCANPDTSDSVLGYTCNGLNRTCQSYLVFRSQPSYNTVTSISNLLSADPTQVAEINEVPEAASFETNQLVIVPVNCSCSGDFYQNPPSKITVPPPPPPPPPSSSLTPPSPPSGSSNRTWVYVLAGVLGGAAVLLIVGVVIFCVFFRKSRKNPILVVSSNPSESFEAIAKKKKLQDESQSLDFLDSMSSIAQSLNLKMYKFEELQVATDNFSSSSQIKGSVYRGVIQGDFAAIKKGNGDVSKEIELLNKVNHSNLIRLSGVCFHDGHWYLVYEYAANGALSDWIFHSDNSGKFLSWKQRIQIALDVATGLNYLHSFTNPPHIHKDLKSSNVLLDGDFRAKLANFALARSTEGEEGQFALTRHIVGTKGYMAPEYLENGLVSTKLDVYAFGVLLLEIVTGKEASAFYGEEYMNLSEILNKVVQEKDGKEGLKQLIDPFLLENYPSELVIIVINLIHTCLKKDPTARPAMDEIVRSLSRILTTSSAFDSSSNMSWSQASTGSY >OMP11893 pep supercontig:CCACVL1_1.0:contig00734:291:896:-1 gene:CCACVL1_00226 transcript:OMP11893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDNWVLCKVYKKQGKKGKGTTADEDEDEGEGDDAGAPPLMAEEEEEQQSSNTIAASPQISVNQKQQHQNGGGSEIMNQLQIAVDQKQNNENGGSSIMNPSQLIRQHYNNMMLPQATYYSNGYNAAASTSGLPDFPEDLGFGQDLRCYGNFVPTAAPISSIPPPPPPPPVRPTGSEYPLNMMIDDELFTDDERNYYLDKYWI >OMO95046 pep supercontig:CCACVL1_1.0:contig07744:14606:14860:-1 gene:CCACVL1_05617 transcript:OMO95046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DRRMHMQLHTAEFNIQSMSITHADTSLYQIKIEQNSKSEYKYLKPLIKIPWQNHFGIHNATSPNKTKADTCTGSLKCHIVQTHQ >OMO61686 pep supercontig:CCACVL1_1.0:contig13431:15957:18534:-1 gene:CCACVL1_23320 transcript:OMO61686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MEALVIFLIALLIGSSHLDVGEGQSNTFNIVNFGAVGDGKTDDSGAFLKAWQALCGTKGATVTLEIPASKTFLISQSDLSGPCSSSSIQIKILGNIVAPEAMAWKGSKECWLCFREVNGLFLYGSGQINGNGASWWTQGKGAERPTALHLHACNNLKLDGLTHLNSQMNHIGVHHCNGVSISNLHIYAPGDSPNTDGIDISGSTQVYISDCFIGTGDDCIAIKGGSSNINITKITCGPGHGISIGSLGEGGKNENVEQVYVRDVIFNATKNGARIKTAPGGSGYARTISFEEITLINTRYPVEIDQRYCNGKAHTCSDKGQAVAVSDVTYRGIHGTSSDEQAIYLDCSSNSGGCTRITIENVNITLSTFPLTKTLQVICNNAHGKAIHTQPVVSCLLPGFKRPFI >OMO83297 pep supercontig:CCACVL1_1.0:contig09909:18333:30932:-1 gene:CCACVL1_11446 transcript:OMO83297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEIEARDVIKIVLQFCKEHSLHQTFQTLQNECQVSLNTVDSIETFIADINNGKWDAILPQVAQLKLPRDKLEDLYEQIVLEMIELRELDTARAILRQTQAMGVMKQEQPERYLRLEHLLVRTYFDANEAYQDSTKEKRRAQIAQAIAAEVSVVPPSRLMALIGQALKWQQHQGLLPQGTQFDLFRGTAAMKQDIEDMHPTTLSHTIKFGKKCHAECARFSPDGQFLASSSVDGFIEVWDYISGKLKKDLQYQADETFMMHDDPVLCIDFSRDSEMIASGSQDGKIKVWRIRTGQCLRRLERAHSQGVTSLVFSRDGSQLLSTSFDSTARIHGLKSGKLLKEFRGHTSYVNDAIFTADGSRVITASSDCSVKVWDVKTAECLQTFKPPPPLRGGDASVNSVHLFPKNTDHIIVCNKTSSIYIMTLQGQVVKSFSSGKKEGGDFVAACVSPKGEWIYCVGEDRNMYCFSYQTGKLEHLMTVHEKDVIGITHHPHRNLVATYGEDCTMKLWKS >OMO83300 pep supercontig:CCACVL1_1.0:contig09909:49138:58007:1 gene:CCACVL1_11449 transcript:OMO83300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, TAZ-type MSGVDGTIVRLECGISYAVAVSSCGQISGQVPNQGGLPQQNGNPLQPAQMQNLGVTGGMGGAGVVGGAGPTHNPLNMDPDLIRIRELMRGKIMEILKARHPQQPITDASMIKFRDFARRLEEGLFRSAHSKEEYMNLNTLEFRLQNIIKMSKSAQNQRHPQLVNTPSAPVGTMIPTPGMSHSGNPSIMVTSSVDTSMTAANANIAPSTVNTGSLMPTGSMNSRNISNGYQQSPGNFPMASGGMSSIGVPRMTSQMIPTPGFSSNNNNSSISNQSYMNNQSSNNVGGLSTVESTMASQPQQQKQHIGGQNSRILHTLGSQMGSGIRSGLQQKTFGFANGSLNGALGMMGNNMQMVNEPGTSGGYQTTTPFSNSPKPLQQHFDQHQRPLMQGDGYALNNADSFGSGNLYGTVTSVGSVTNSQNMNPVNLQSMSRSNSSLINNQSNLHDNILQSNQQQHLQQQPHQFQQQQFVQQQRLQKQQNQQHQNMLSNSGYSQTHLASDIGSQVKREPGVEHHDELLHQQAPEQFQLPELQNQFHQNHAGELSGQPDISSSLPTNPQQMQQMLHQHQLVPESHNDYKFSAGGQPESVLQSPWQTQSQDRAQMGGNMPHEQHVQEDFRQRISGQDEAQRNNLSADGSSIGPMIAPRGSSDPSNSRVAFPKSGNGSHERQYKNQVKWLLLLRHARRCKAPEGKCDIACFTVRKLLSHMDKCESSQCLHPRCHHSKILIRHHKSCSNLSCPVCVHVNKYIQKQRTCPNSTSVLPSMDSGSTKTYDAVDNSARMTSTTASVDTSVDIQPSLKRMKIEQSSQSVIAESEGPLVSGSTAPEPHGSQDFQRQDYQHSDRVMAVKSEPMEVKTEVPMSTAKASPAIIEMKDTVDEVCKQKTDGEPIVCDEFGGLPKQENVKTEKEPDPGKQENATQSSETGTKSGKPKIKGVSLTELFTPEQVRAHITGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCSPCGARIKRNAMYYTMGAGDTRHYFCIPCHNEARGDSIVVDGTAIPKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIAEVERGERKPLPQSAVLGAKDLPRTILSDQIEQRLFRRLKQERTERARVQGKSYDEVPGAEALVIRVVSSVDKKLEVKQRFLEIFQEENYPAEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSECSFPNQRRVYLSYLDSVKYFRPEVKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKAAKENIVVELTNLYDHFFVSTGECKAKVTAARLPYFDGDYWPGAAEDLINQLRQEEDGRKLNKKGTTKKTITKRALKASGQSDLSANASKDLLLMHKLGETICPMKEDFIMVHLQHCCTHCCILMVSGNRWVCNQCKNFQICDKCYEIEQKREERERHPINQRDKHVLYPVEITEVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCHLDIETGQGWRCEVCPDYDVCNACYQKDGGIDHPHKLTNHPSMAERDAQNKEARQVRVLQLRKMLDLLVHASQCRSAHCQYPNCRKVKGLFRHGIQCKIRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNSG >OMO83296 pep supercontig:CCACVL1_1.0:contig09909:13169:16462:1 gene:CCACVL1_11445 transcript:OMO83296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIDEKVSLGGAFNSRTRSIAIAIARGRRTKTIFSMLYLPFRLVLVSFFLFASPCYAACNRDDHDSLLAFYSNITFPSSSPLNWSASNDCCSSWEGIVCAVDDRVTQLLLPSRGLSGPISPSIVNLTHLTHLNLSHNRFTGPLPPGFFSALNQLQVLDLSYNSLNGELPLDFFSEDNNSLSSIQTVNLSSNHFTGTIQSNSFFQAVRNLSSLNLSNNTLTGQLPSSICLNTSLTLLDISDNKLTGQIPSGFGNCSKLQIFRAGFNELSGELPADIFTVTSLQELSLPVNHLSGHIPDAIVQLTELTVLELFSNEFEGPIPKEIGQLSKLEHLLLHVNNLTGSLPPSLMNCTNISTLNLRVNKLDGDLSTFNFSTLLRLNTLDLGNNNFTGTLPSSLYSCKSLTAVRLASNQLEGQIPPDILKLQSLSFLSISTNKLTNFTGAIKILMGCKKLTTLILTKNFMNEALPNDGNILGEEGFQNLRILGLGGCNFTGEVPNWLANLTNLEVLDLSQNRITGFIPRWLGSLQNLFYMDLSDNLISGGFPNELTTLWALENQESNDQVDRSYLELPVFVMPNNATSQQLYKQLSNLPPAIYLRNNNLSGNIPETIGQLKFLHVLDLSQNQFSGSIPDQLSELTNLEILDLSDNQLSGQIPASLRGLHFLSSFSVAYNDLQGPIPSGGQFDTFASSSFEGNPGLCGSIVQRICPNAPGMANSTTRLKSLNTKLIIGLVLGICFGTGVVITVLALWILSKRRIIPGGETDKIELDTFSSNSYSGVHPQTDKDSSLVILFPNKINQDKDLTIFELLKATDNFNQENIIGCGGFGLVYKAILADGTKLAVKKLSGDFGLMEREFKAEVEVLSTAQHENLVSLQGYCVHEGFRLLIYTYMENGSLDYWLHEKADGPSQLDWPTRLKIARGAGNGLAYMHQICEPHIVHRDIKSSNILLDDKFEAHVADFGLSRLILPYHTHVTTELVGTLGYIPPEYGQAWVATLRGDVYSFGVVMLELLTGKRPVDMSRPKISRDLVAWVQQMRAEGKQEEVFDPFLKGKGFEEEMLQVLDVACLCINQNPFKRPTIKEVVDRLKNVGTTTRNQNKD >OMO83298 pep supercontig:CCACVL1_1.0:contig09909:31287:36089:1 gene:CCACVL1_11447 transcript:OMO83298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEHEKLTVVLCLIWTATILYGEMFSFLMPSLFSCSWPHLSSSSSNSSLNGQGFAGDYVKVAVVADPQIMDKTSLPLPPKSLALEIVQFYTDLYMRRAFFSSILPFKPDVILFLGDYFDGGPYLSDEEWQESLSRLKHMFGLNTEEIYSSIKVYHLPGNHDIGYASLQSLKPEVVSRYEKEFGSRNYHFMIGKVEFIAIDAQTLDANRQGSVASASWNFVSNVSSDRPLHPRVLLTHIPLYRPDWTDCGRHRSSPIINQRVLFSHDQEIRYQNYVTKESSNQLLELIEPVLVLSGHDHDQCTVNHESKRGPITEHTLGTISWQQGNLYPSFMLLSVSNSSLPEASWPEKALLSHLCFLPMQTHIYIWYIILFILTILALLLWPTSGFGLSHHFGDLMECIRKSINILRNGTKEKNEDENCEYEMVWGADGSMHLVKKFVTKSVPHTSDRGSVERGNAVLRPTAKKNVNQDTEVCLSVEANADSELDPKKLPPRASKSKVKIIVQRLIRTFRMLTLIAAVNIPLYMMLLFKDWIDK >OMO83301 pep supercontig:CCACVL1_1.0:contig09909:59335:65634:1 gene:CCACVL1_11450 transcript:OMO83301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATAMSPSTSLSSSRLNSTPSWLQWHQPSPCQRPSTFVIPRASSSGNPNNGNNNKKPKPKSKKASSSSPPTPTTTSESVTKTQQQEQQQQQVSLSLDDVNPVGLGRKSRQIFDEVWRKFSNLGQISRTNRADDIEALDALLIREGPMCEFAIPGAQNTTVLVVGATSRIGRIVVRKLMLRGYTVKALVRKADQEVLDMLPRSVEIVIGDVGEPSTLQAAVEGCNKIIYCATARSTITGDLVRVDHQGVLNLTKALQDYNNRLAQLRAGKSSKSKLLLAKFKSEDSLNGWEVRQGTYFQDVIASKYDGGMDARFDFTEAGQAVFSGYVFTRGGYVELSKKISLPLGFTLDRYEGLVLSVGGNGRSYVVILEAGPSADTTQSKLYFARINTKVGFCRVRVPFSSFRPVKPDDPPLDPFLVHTLTIRFEPRRQRPVEGAAGMKQDPRSFKLILEYIKALPTGQETDFVLVSCTGLGVEPNRREQVLKAKRAGEDSLRRSGLGYTIIRPGPLKEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCHEYVAEQGRELYELVAHLPDKANNYLTPAFNNNTNITSKNRSYQISRYSRRRCCSLAAASFLAAAAAVIVSGSAVAGAAEVPQDSETFNNIPETLSGDCASPSSKDCKKARIQRPKSRKAETCTSKCVTTCIRGGEGSPGEGPFNIRGPIVVFKEGFRSRRYCLVECSDICNLIGDGDDGP >OMO83299 pep supercontig:CCACVL1_1.0:contig09909:37574:42961:1 gene:CCACVL1_11448 transcript:OMO83299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSIRPRPLDIHKKIPIVKSVKDFEDDEPPTSATRNSQMLRFASVEVENEVPQIPTKKLIPEIPTPEFVVVDTYERDYSRTFVQPTSYLRARGARAEIGEFVEYDLDNEDEDWIQDYNKDKKLLAPEKLESLLFKLEVLDHKARERAGVITPTLVAPIPVLLTMDAAIEALQSQSIKYGVFQSVYNYWKEKPPPPVNDNNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRNLEQAKTLLDALIKREEKKREVVESEVNLQRIQMKYKHETELLEDITVPGFQPITGKFVSSEDEFMDSDDLANSRPRTRPAVVPNPPLTDSNVAMVPAASVKQEFRRRHMLQGWLQKLDPLEPVLLFTKPLVPDKLAAAGIVPPSDSSTKNGTSAPPHKFHGRIGRGGRIVFDRWNPLMHTPIDCGNSFYVPPKPRPSTYN >OMO83302 pep supercontig:CCACVL1_1.0:contig09909:68026:69654:1 gene:CCACVL1_11451 transcript:OMO83302 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G-like, type 3 MMKLESEDDHKSSIEYQRLTWDALKKSINGLVNKLTVSNINNIIPELFAENLIRGRGIFCRSCLKSQIASPHFTDVLAALVAVVNTKFPAVGGLLLTRVLVQFKRAFKHNDKAQLLSTVKFVAHLVNQQVANDIIALELVTVLLQNPTDDTVEVAVAFVTECGSILQDLSPNGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGNPAVRPELDLVEQEDKITHEISLLDELDPEITLDIFKEDPRFLENERRYEDLKKSILGDEDEEGSGDEDDDDDDDKDEEDEKQMKIKDGTETDVVNLRKKIYLTIKSSIDFKEAGQKLMKIKLKPGQEMVLCSMILDYCSHQRSFNQEYGRLGEGFCMMNKVYQEKIDECFVQQYSMIHHLETNKLHNVAKFFAYLLATDALPWHVLANIRLTEEDATSSSRIFIKTLFQELSEHLGIRRLNERLSDPTMQDSFESIFPKDNAKNTRFSINFFTAIGLGGLTENLRRYLKNMQQKKKPAYDTQIQKPLLRRGGLDKALPFLSAVYYSFRLI >OMO62213 pep supercontig:CCACVL1_1.0:contig13312:23367:23552:1 gene:CCACVL1_22958 transcript:OMO62213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKMNNPGKSRFNNSNKPASLKTEFGVPKDQEGDSKLQAYRVKIHEFKAEQRNSYITMDY >OMO62212 pep supercontig:CCACVL1_1.0:contig13312:12339:14731:-1 gene:CCACVL1_22957 transcript:OMO62212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLWNKNKDKSTRSKPPDAPPSIFKEDSEEQFSKLQAIKQEDDCSSNGSIPSSPRQNWCSKNGSGIMTVMTTRTTSLEEQVALLAKSVEALTASLKEKDDQIAFLMGFNQDGQRALGKARLQLFIDDMETTSLFHVIDAKTTYNVLLGRPWIHQNGVVPSTLHQCFKYCRNGQLKTVVADSKPFSSAESYFADAKFYLIDAADEEVECHASRKPADEEVKSYAPEKSDHKKKESSQPVKRVNDITKNLGNLTLPLTSLQEDEVSKPSLKEFVRPSKGPLVEHDELSSQRANCFDPNAYRLLVKAGYDHEDVTKMANETQTIWQKKVKPSKQGLGFSPVKLKIHKRTAAYVTVDEVDENDESMVESPRISVFDRLGRPSDRRPVFDRLGRLSALNTKRVVWFTKPRKPSFKNSTRREKRRGSLSSESNKFHSRIPSRMERQNELIMTTDETLKAKRHTVIVTRPFDEKVKPRSFQVGDLVLVVRRPIIISRRMRNKFLSKWDGPYVVREVYSNGASKIVDQDGIKVGPIDGRCLKRYYA >OMO55642 pep supercontig:CCACVL1_1.0:contig14644:700:1575:1 gene:CCACVL1_27120 transcript:OMO55642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MINDHLWNYAEYPKIEAEAKLVAEELGIDYAWNDILFGDARIRCSSYSAEQPIVAEAGVKQAERCRQALANMHFDQCFASPISCAKTTAEVLWQGREEPLVFLDSLKEAHLFFYFRLSRILAFAVLLIKADIFMKHISKCHIYDLCQHSQQLFLCFRFSGQSKPLYTIQ >OMP04089 pep supercontig:CCACVL1_1.0:contig05823:3320:4457:-1 gene:CCACVL1_02190 transcript:OMP04089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MASNTNFLLHAFVWFALATTAFSLSPHFYDKVCPQALPAIKKIVDAAVHRERRMGASLLRLHFHDCFVNRKNSVANTNSARGFEVIDQIKAEVDKICGRPVVSCADILAVAARDSVVALGGPTWKVRLGRRDSTTASRTLADSSLPSPFMDLPALIENFKKQGLNQRDLVALSGGHTLGLAQCFLFRNRIYGKSNIDHYFAKERRQTCPLNGGDSNLAPLDSTPAHFDTSYFKNLVEKKGLLVSDQALFAGGSTDKLVKIYSSNPEAFWNDFAKSMVKMGNIKPLTGNQGQIRVNCRRVNW >OMO69275 pep supercontig:CCACVL1_1.0:contig12082:1372:2463:-1 gene:CCACVL1_19575 transcript:OMO69275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIERLRTLKELNAPNLTNQPLCITFPTIEDKRNIDAASGGSLMNKIVAEAQKLFEEMANSSHPLVMKAKPIYEVSANSYIAALEDKVDATNAQVAKFVNLITTRMEAKACGLCSLEDRATDMCPTLYEEEEVNAIGNAPYVNPYNSGWRPNPLRCGNTNQSRANPFPQGQQNFQPRQILSRPQGESFNSISKLEELISKVASSQVEFQELTKLDLTSGFYN >OMO69276 pep supercontig:CCACVL1_1.0:contig12082:6352:6576:1 gene:CCACVL1_19576 transcript:OMO69276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEQKKNFYKDNKNVFQYFNPSSKSQSIDQSAASTSNPNVAKKLNHNAKERDRRRQINSLFSSLRSLLPASDQM >OMO96983 pep supercontig:CCACVL1_1.0:contig07338:19476:21310:-1 gene:CCACVL1_04728 transcript:OMO96983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSTHGKANSLLTATPSGVVEKASATVDVAMLTANKNVPIMEDDATTVAKQGDASAVETITSEKGGDDSIATVDGGGILADVVSVDGTATVTKDSAAAVIILSLLNYVVITFCYYHKSHGVASVIMWASHNLDVLQCLGDYVLLRNSCNFG >OMP09926 pep supercontig:CCACVL1_1.0:contig02882:30:209:-1 gene:CCACVL1_01026 transcript:OMP09926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMFRLLEPGATNLVESVNLQEKYTGSFFFNSSPLSFRLDFPKSKANSLNPNPAPSPFT >OMO62723 pep supercontig:CCACVL1_1.0:contig13194:19454:21856:-1 gene:CCACVL1_22674 transcript:OMO62723 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like protein MDADRVRHAVEPAASIFRIKAKIRRAIETEGIPYTYISSNAFAGHFLPNLIQENATVPPRDKRDVSAIFVQEDDIATYTIKAADDPRTLNKILYLRPPSNVLSFNEIVSLWETKIGKCLEKSYVPEDQLLEIIPKSPIPWNFVLSFGHPMLVKGEASNFEIEACFGAEASELYPEVKYTTVHAYLHQFV >OMO62722 pep supercontig:CCACVL1_1.0:contig13194:3588:4913:1 gene:CCACVL1_22673 transcript:OMO62722 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein TRANSPARENT TESTA 12 MNRQPVPVLPPPIQNPLTNIIMRWTPGTLKCLSDTDSEPIHHPIPTVFVYLINNALSLSTRFFCGHLGNLELAAASVGNSGLQLLGLMMQSLYVVHLLYVDHVDTNKKGVSSLQGQPLLGLRAPRH >OMP11058 pep supercontig:CCACVL1_1.0:contig01622:2107:2232:1 gene:CCACVL1_00694 transcript:OMP11058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EWRNGGDVSKKETSGKLGVLGLRERKIWCVGHMGLHVIRIT >OMO54217 pep supercontig:CCACVL1_1.0:contig15033:38545:38616:-1 gene:CCACVL1_27970 transcript:OMO54217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGVKNFERDKAKVKKRTTKAAPK >OMO54215 pep supercontig:CCACVL1_1.0:contig15033:27593:31453:-1 gene:CCACVL1_27968 transcript:OMO54215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTSLAGLQDHLKLAREYALEGLYDTSIIFFDGAIAQINKHLTTLDDPLIRAKWMNVKKALSEETEVVKQLDAERRSFKEAPNGRRPSSPPIHAKSSFVFQPLDEYPTSSGASMDDPDVWRPPSRDTSSRRPARAGQAGMRKSPQEGSWNGRGNPRTGTGAAARGAKAGASSRTNTGVRASATGKKGTATGKSSKGDAANGDAEDGKTKRSQYEGPDPDLAAMLERDVLETTPGVRWDDVAGLTEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNTGTNEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIRINLKTVEVATDVDIDEVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKNMSKDEISKDPVAMCDFEEALAKVQRSVSQADIEKHEKWFSEFGSA >OMO54216 pep supercontig:CCACVL1_1.0:contig15033:33042:38203:-1 gene:CCACVL1_27969 transcript:OMO54216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MGSYGMLARRVVETEMPIMVQIQQLIRGTKNAMSLAQGVVYWKPPKQALDKVKDLVEDPSVSCYGADEGLPELREALIKKLRQENNLHKSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTKILVGPGDPKTLYPDADWLEKTLRETRPVPKLVTVVNPGNPSGTYIPEPLLKRISDLCRNAGCWLVVDNTYEYFMYDGLKHSCLEGDHIVNIFSFSKAYGMMGWRVGYIAYPTEVEGLATQLLKVQDNIPICASLISQRLALHSLEVGPEWVHERVKDLVKNREIVIDALSPLGEGAVQGGEGAIYLWATLPEKYVDDVKVVHWLAHRHGVVVIPGTACGSPGHLRISFGGLLEADCRAAAERLKRGFEELVKDGMVE >OMO54213 pep supercontig:CCACVL1_1.0:contig15033:3990:10867:-1 gene:CCACVL1_27965 transcript:OMO54213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MASIGASTSRPKDFPSSPYYIHASGNPTLLLVSAVLIGPNYHSWSRAMKMALLTKNKLHFVDGSLPVPAREDSLYPACKCAITWLSLGWFTQYLPRLLSRLSAKKVREFHENDQVIVFIKGLSDLYASVKTQILLMDPLPSLNKAYSLVIQQERSSMIYVPNVADINVMAVKNAGSMVVKGGPVPATRKNFNNAKKAMCSYCGREGHTIDKCYKKHGYPANYSTNSRNQKRFHPQGYANAVALEDSESSDVVLASETCHEQNPAFQFTKEQYDQLMQMIQGITGTSHHVNAVTLPSSSNLPSYSGSIPSNCEIDAHISLSVFTNNTSHFHEWVLDTGATDHITYSLDVFHSVTPVHNVFVNLPNKTKVEVTHVGTVKLSNSLILTNVLYVPKFTFNLISVGKLAFDLHCCIIVLSSCCLIQGIRPWRMIGAAKLNQGLYKLNSKDILPAAFTSNHLLSSSVVNTVSCNKEESILWHKRLGHPSNARLKSLVPTVSSINDCEVCHMSKMKRLPFPSSDSVSLSAFDLIHVDIWGDNYAPTFRGHRYFLTIVDDFTRHTWVFLMKHKSEARCLMQNFIAYVATQFNTTVKCVRSDNGQEFNVPEFFNSKGIIHQTTCVKTPQQNSVVKRKHQHILNVARALRFQSQLPIQFWGECVIHSVHLINRMPTLVLHNISHYEALHSFAPVLDSIKVFGCLAFASNHVHIKNKFDSRSIKVVFLGFSSGVKGYKLYDIQTHKIFNSRDVIFYESILPFSLLNNKEQSGVSGSAAITETVFIHSDVPVQQSVHPVLQQSQHSSTTQVAAPTQPNTRTSPHLLENVFNYSQLSTSHRAFSVAFDQQVEPKSYKEAVKDSNCPVAKMTTVRVLLSLAAVKGWYLQQLDINNAFLHGDLEEEVYMKLPEGFSADPTKVSADHSLFLKETDTSFMALLVYVDDCIIAGDNFEEVLAIKKFLHDEFTIKDLGEVKYFLGLEVARSEKGINLCQKKYTLDLLKEVNFLDSKPVPTPILPETSLSKEKGTPLEDATQYRKIIGKLQYLTTTRPDISFTVQQLAQFLDKPTTEHLSAVHRVLRYLKGTIGFAFVRYRFEEEFTRAVLKGNDRILFGRRLVVRKATTRKADRNPTTQSFRERGRSAVRSTFVLKGVGRKISKNDHRVWKSPSTQQIEKGNAVKDHGKLSLPPSVNVNSGKDVEIEEFADAVVPGEVFSEELELEVLIPNDHCNWLERCVVVSVNSVANVEKVITVLTNCELKLEITEISNIMLLVCVEEEGLIDECIKLVMDSCHDFVSEIFPWESTGFKRKSIVWIQLEDVPLELWHCNFFSAFGEKWGKFLQIDDSTSSKKSLKTARLQILTSSTSDIPNLAVGYSSGIKFRIGISVEYESSSEPERRGVRPESSARKSDSGSRSENLALDFSNSFNALIKEGNVSSRELIKETDMDDGILNSNREELVNGDNGFYGFGARVSQIDLDDGILNSNRKVLPIRDLSTNDGMDARISGNNLVDGNLTLNRQDLVNGDNSIYDGYDACEDEIVADTVNAYYESPLCSSPLESGWKSKEGLGCRLNRAKCKVCAKKRRKKVRREVTKIILGDKAVVDLDSDISLSDGDIKRRNEILLKEAEPTFELSQALGIIFHEDRSHIISRLVALDGD >OMO54214 pep supercontig:CCACVL1_1.0:contig15033:25786:27013:-1 gene:CCACVL1_27967 transcript:OMO54214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLNFNLSSFLLLILLCVSTSTVTATSSSSHVSVNLLNPFEDIVCSFIKCGEGSCKASNKSLLGFECECNPGWKKIQIGPFTFPACLIPNCTVDFNCGNGSQSPPPPPASLPPLTNQTNHCDLVWCGDGKCVSNGTGHICQCDQGSENLFGSSELACFKPC >OMP12035 pep supercontig:CCACVL1_1.0:contig00534:865:1308:-1 gene:CCACVL1_00166 transcript:OMP12035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MAMAMATATVGKEDHQDGDGIHEKESDDEVNDNPIEEVRLTVPITDDPTQVALTFRTWFLGLLSCCILSLVNDFFSYRNNQLSVTAVSAQIVVLPLGKLMAATLPDTTISISL >OMO56618 pep supercontig:CCACVL1_1.0:contig14497:21518:23461:1 gene:CCACVL1_26415 transcript:OMO56618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylan biosynthesis protein IRX15/IRX15L MGKLNSNTKLILLHPYIQKQGSSNRLWLLAFISFFTIAFLLTLIYTRESITSKTAATSTTVTGPSSISPFGGAPLPTTVINTLLHYASKSNDSFHMPHSELKPISDVLRKCSSPCNFLIFGLTPETLLWKALNHNGRTVFIDENRYYAAYYEELHPEIDAYDVQYTTKISETKELIASAKEQIRNECRPVQNLLFSECKLGINDLPNHVYEVDWDVILIDGPRGDGPDGPGRMQPIFTSGVLARSKKGGNPKTHIFVHDYYRDVEKMSGDEFLCRENLVEHNDTLAHFVVERMEENSFQYCRSKNNSTSSSS >OMO56616 pep supercontig:CCACVL1_1.0:contig14497:6433:14322:1 gene:CCACVL1_26413 transcript:OMO56616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAENCSVKVAVHIRPLIGDERTQGCKECVSVTSGKPQVQIGTHSFTFDHVYGNGGSPSSSMFEECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTAMKDGCQTGLIPQVMNALFKKIETLKHQTEFQLHVSFIEILKEEVRDLLDSEPVSKSASPNGNAIRVAVPGKPPIQIRESSNGVITLNGTTEVAVSTLEEMATCLEQGSINRATGSTNMNNQSSRSHAIFTITLEQMRKIQSVSAVNESLDEDMGEEYLCAKLHLVDLAGSERAKRTGSDGVRLKEGIHINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSKTAMIACISPADINAEESLNTLKYANRARNIQNKPVVNRDLISNEMQKMRQQLQYLQAELCARGGPNSDVQNLKERIAWLEATNEDLRRELHEYRSRRAVVEYCESDAQVSYYEFIKSDGHKRGFQSIDSSDYQMDEVLSDGSPGEIDEVAKELEHALWRNTMDRELNELNKRLEQKETEMKLVGGADTEALKQHYQKKIMDLEEEKRMVQKERDNLLAAVGNRSANSDGQMQKSQEINVQKLKALEAQISDLKKKQENQVELLKQKQRSDEAAKRLQSEIQSIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLKKEGRRNEYERHKLEALNQRQKLVLQRKTEEAAMATKRLKELLEARKSSARDSSVNHNGHTPNGQGNEKSLQKWLDHELEVMVNVREVRFEYEKQTQVQTALGEELALLKQVDQLSSNGDVPHKVNKGHSRLLSMSPNARMERIASLEHMLSMSTNALTAMASQLSEAEERERGLAGRGRWNQVRSINDAKNLLQSLFNTTAEARCQMREKDLEIKDLKQQLKDLTALLWQSEAQKKELVKEQKMREQAVAIALATSASQGNSRSSSKHFADDLSGPLSPMSLPAPKQLKFTPGVVNGSVRDSAAFLDQSRKMVPVGHLSMKKLTTVGQTGKLWRWKRSHHQWLLQFKWKWQKPWKLSEWIKHSDETIMRSRPRAQALIEY >OMO56617 pep supercontig:CCACVL1_1.0:contig14497:15339:17906:-1 gene:CCACVL1_26414 transcript:OMO56617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTFHSFLFFFFLFLFFFTCFSRPITYPPQNNNLLLPSDAVCILSFKSKADLDNKLLYALNERFDFCQWRGVKCAQGRVVRYILQNSGLRGIFPADTLTRLDQLRVLSLHNNSLSGPIPDLSPLFNLKSLFLDHNNFSGYFPPSVLFLHRITSLDLSYNGLTGPIPANLTALDRLNILRLQWNRFNGTLPPLNQSSLLIFNVSGNNLTGQIPATPTLSKFNTTAFALNPNLCGELINRACTSRAPFFDSSSASGPLGQSAEAQGGNGGGASGGIVALPPPSSPKRKHRRTGMVLGFTIGIALLIFSILLALALVRKQSGKKRVESKETKPTTKTASSELITNSNLGNSKARVVEEASERRTVIPEIQKLKKSGNLVFVAGEVEGYSLEQLMRASAELLGRGSMGTTYKAVLDGQLILTVKRLDAGKTAITTGEAFEQHMDAVGGLRHPNLVPIRAYFQAKGERLVIYDYQPNGSVYNLVHGSRSTRAKPLHWTSCLKIAEDVAQGLAYIHQASRLVHGNLKSSNVLLGTDFEACLTDYCLVVLADSSSTEDPDSAAYKAPEVRKSTRRLTPKSDVYAFGVFLLELLTGKHPSQHPVLVPHDMLEWVRTMREDDGGEYHRLGMLTEVASVCSLTSPEQRPAMWQVLKMIQEIKESAMMEDSAFGYSS >OMO71668 pep supercontig:CCACVL1_1.0:contig11584:31296:32564:1 gene:CCACVL1_18109 transcript:OMO71668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGITIIRLAGGHSYCFFNGYIHRLAFMGYTDNWVLLSFDVCNEVFHLFTLPNNIKISHSDTVRIDVFRGLLSLFNPVIERENYCDIWVMKEYEPPLFLEILCKSSGKKSRFAAGTKAGFSVSVINRKLDSGSPLALHIEALKEGEEPISFGPDALLVNYGNGWKLQTVTEVDDFSGMEGVRQVSINAASRPGTSFNCLYIKKKLVLAFVVMFMLAGIILFINSYM >OMO71667 pep supercontig:CCACVL1_1.0:contig11584:5904:9665:-1 gene:CCACVL1_18108 transcript:OMO71667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mycolic acid cyclopropane synthase MDGLMQAAYHVTVQFMLASLERNLLPDSVIRRLTRLLLASRLRSASTPSPQLQLQDLLQFANSLKEMPIAIKTDKPKTQHYELPTSFFKLVLGKNFKYSCCYFSDESTTLEEAEEAMLELYCERSQLKDGHKILDVGCGWGSLSLYIARNFPYCKITGICNSTTQKAFIEDQCRDHKLQNVEIIVADICTLEMEGSFDRIYSIGMFEHMKNYEALLKKISEWMKHDSLLFVDYFCHKAFAYHFEDKSEDDWITRYFFTGGTMPSANLLLYFQDDVSIVNHWLVNGKHYAKTSEEWLKRMDKNLAWIKPTMESTYGKDQAVKWIVYWRAFFIAIAELFGYNNGEEWMVSLFLFKKKLSSQRP >OMO69937 pep supercontig:CCACVL1_1.0:contig11931:13335:17069:1 gene:CCACVL1_19192 transcript:OMO69937 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE nucleotide exchange factor MATLLKTLPFKSPPSLPPRVTPISLISPNTPFHVCFKQRLPRTSCSLRFTSTPSLRFLKLVPFASNGGETETTETQQEVQEPQIEDSSDGAVAVEEDAAAADESSDVDDIPSSGMVSLLQAYKEALASNDESKVANIEAYLNSIEDDKVDLEKKVGSLLEELSVEKDRVLRISADFDNFRKRTERERLSLVTNAQGEVLENLLPVLDNFERAKAQIKIETEGEEKINNSYQSIYKQFMEILGSLGVEPVETVGNPFDPMLHEAIMREDSEEFEEGIIIQEFRKGFKIGDRLLRPSMVKVSAGPGPAKPVQEEPSGGGDVDETKDETTETIESNETAESSETSETSSGA >OMO55674 pep supercontig:CCACVL1_1.0:contig14610:17352:17444:1 gene:CCACVL1_27095 transcript:OMO55674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLLGIRRVPVLLMDRVGLYYHSPGVSTF >OMO65380 pep supercontig:CCACVL1_1.0:contig12659:24898:25584:-1 gene:CCACVL1_21540 transcript:OMO65380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRKGVWAAAQKEGVERGEG >OMO57204 pep supercontig:CCACVL1_1.0:contig14429:33077:34055:-1 gene:CCACVL1_25914 transcript:OMO57204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDHRHGLAGNQISSFNPAYQIHRNCDGTGDIEFDFWDARKTRYYDSFNNLDSCEIEFQVQTCADEESFATGDRPLPIWGANKVTDASPLLPNSHLNSNLSPRTRIRAIEEGRKELMEMIRNLPESSYELSLKDIVDHQQHASEPEEVKGKEAQAAVPEDKIFLVETGQMKKQKNKKKKKRRKAEPICRSGSMDTDSFLIKTFLPSSLSFRKKSISEKSSKVSPSPSSEVSKKPVDSQWWIKRVFIRKNHKNREDSKNNNSSKSRYGDSSFFLPSFWLFFLFKKGKGKRQ >OMO57203 pep supercontig:CCACVL1_1.0:contig14429:25749:28551:1 gene:CCACVL1_25913 transcript:OMO57203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWISLLLSLAFSSLLRFVTGRAVSIRPIKLRFHLILTVKSFGEGARDRPYGHCLVAKIKNNPGTIDRYRKAVTTKKTRVNREQPIRENFKLWHHHPVLMDIDDFRAILETAKVDVWTFIDTAILVASLDNGQELKQQRDRIVERLYAMSMAAQCRNCEFGEKPSDREVKADLKRDSSHENKKTGVSPITPQSDNRDNDLDPYGGLFDDEQKRILEIKERLGEPNQSEDSLIDLLQGLEDMNITFQTLKETDIGRQVNNLRKHSSNDVRKLVKQLVRKWKEIVDEWVSLNEPGELKPAALMVDGDSAPQKLPQNSSNKVPDLAYTPSPLNGSSGSDKNNSEPGRKIKLIVHRKEPPTKPTCSAPVLQNTQREQKEIKFDSERLASARKRLQENYKEIENAKRQRTVQVMDIHELPKPKNAFFGRNKGGNSQRRPAKAF >OMO57205 pep supercontig:CCACVL1_1.0:contig14429:37692:39921:-1 gene:CCACVL1_25915 transcript:OMO57205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding protein MEDANRSTETKEDDVEFKLYNTMSKKKEVFEPKVPGKVGMYVCGVTAYDFSHLGHARAAVAFDLLYRYLQHLGYEVTYVRNFTDVDDKIIRRANESGEDFLSLSDRYCKEYNVDMALLQCLPPTHEPRVSQHMDQIEDMISQIIDKDMGYIVDGDVFFAVDKFPNYGKLSGQRQENNRAGERVAVDSRKRNPSDFALWKAAKAGEPSWGSEWGRGRPGWHIECSAMSKRYLSPSFDIHGGGLDLIFPHHENEIAQSCAAWEDSHVSYWMHNGHVTNNNEKMSKSLANFFTIRQITERCHPLALRYFLINAHYRSPLNYSVLQLESASEAVFYIYQTLKDCEDALSQLQGDTPSDAEQCIDLQKDFKDKMSDDLNTPLFLTGAFLDALKIVNNLLTMLKKKKQQKQERLSSIQSLAQVEKEVKKVLDVLGMLPPYSYAEVLQQLKDKALIRAGLTEDDLLRTIDERAEARKNKDFLRSDQIRAELQQKGIALMDIGTATTWRPCVPVQQEPEVVAVGEPKPPAESAE >OMO57202 pep supercontig:CCACVL1_1.0:contig14429:14200:20221:1 gene:CCACVL1_25912 transcript:OMO57202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRDRQLARIRSCTQANRYGNELRSGTIELDRRSEGEDRI >OMO57206 pep supercontig:CCACVL1_1.0:contig14429:45711:47663:-1 gene:CCACVL1_25916 transcript:OMO57206 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MKKQGCEIEAVGINYKIYRSQKSELPFKIFNRNQQRVKQQEVDQELQQQPPVSSLHVEEACPGIRHVLKDVNCKAKPWEILAIVGPSGAGKSSLLEILAGKLTPQTGSIFVNQSPVDKARFRKVSGYVTQKDNLFPLLTVEETLMFSAKLRLRLPQAQLSSRVKSLIQELGLEHVAMTRVGDDRVRGISGGERRRVSIGVDVIHDPKVLILDEPTSGLDSNSALQTIDMLKVMAETRGRTIILSIHQPGFRIVKSFSSILLMADGSVLHQGTVDQLSANLREIGLQLPLHVNIIEFAIESIEAIQLQRKAQQEVVQAQVISAPSQQRKGEEGESRAGRFTLQQLFQQSKVVDEEIVNVGIDFPRDFANSRLKETMILTHRFSKNIFRTKELFACRTIQMLISGLVLGSIFHNVKDDLTGAEEKVGLFAFILTFLLSCTTEALPIFLQEREILMKETSSGSYRVSSYAIANGLVYLPFLLILALLFSIPLYWLVGLNPSFMAFIHFLLLIWLILYTANSVVVCFSALVPNFIVGNSVISGVMGSFFLFSGYFISKHGIPNYWIFMHYISLFKYPFEGFLINEFSKSGKCLEYMFGTCLVTGEAVLREEGFGEESRWRNVVIMVCFILVYRFISYVILRCRCSQRSLRAALS >OMO49443 pep supercontig:CCACVL1_1.0:contig16501:2593:2679:-1 gene:CCACVL1_31000 transcript:OMO49443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLISREGKGYRSMSKQAGKGRDVDR >OMO85483 pep supercontig:CCACVL1_1.0:contig09615:4332:10053:1 gene:CCACVL1_10155 transcript:OMO85483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol acyltransferase MAATSGASPFLAGSIKLASAAAANSRGNRYVSFSRRMAVSTDHNISSTTSFTENGRWLPEEEKRKKQNRRKEESELISNVYGNPDEITEENGKKLKDFFEECKDLIKSSDGGGGGDGDGGGGPPRWFSPLECGSRTPDSPLLLFLPGIDGTGLGLIKHHYKLGKMFDMWCLHIPVKDRTSFTDLVKLVETTVRSECKRSPGRPIYLIGESLGGCIALEVAARNPDIDLVLILANPATSFNKSQLQSLIPFLELMPDQLFLNLPNMLNSVSGDPLRMAWENVVKGLLPLQNVGVLSEDILGMPSYLSVLADMLPRETLLWKLQLLKSASASANSHLHAVKAQALILCSSKDQLLPSQEEAQRLRRILPKVAIRFFKDSGHFLFLEDRSDLVMSIKGASFYRRGRYLDYVSDYIPPTPYEFKKLYDSNRWIFNATSPVMLSTLEDGKMVRGLAGIPSEGPVLFVGYHMLLGFELAPLVLQFLMERNILLRGIAHPMMFVKLREGRMLDLDTFDHYRLMGAVPVSGPNFFKLLSSKSHVLLYPGGVREALHRKGEEYKLFWPEQSEFVRMASRFGAKIVPFGVVGEDDVTELVFDYNDQMSIPSLRKFNKEVTDEVVQLRTDANGEVSNQDLHLPGVVPKLPGRFYYYFGKPIETAGRKQELKDREKSHELYLHVKSEVERCMAYLKEKREEDPYRNIVSRLLYRATHGSKAEVPTFEL >OMO85484 pep supercontig:CCACVL1_1.0:contig09615:10832:13935:-1 gene:CCACVL1_10156 transcript:OMO85484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSALYFSISTSLLYLLGVFFLVSSVEASCHPDDHSALLQFKQSFIINSSASSNREAYPKTETWESGRDCCSWDGVECDNGTRHRHVISLDLASSFLYGSLNSNTTLFRLVHLQSLDLSDNTFPNSEIPSEIKNLSKLTSLVLFYSNFSGHLPSEFFELSELETLDLSGNPLKLGKQGLRSLLQKFTNLQQLYLGDVKISSSVPNYISPNFSSLTTLILSNCDLRGEFPPVFFELPNLQFLSLESNPELTGYLPENIQDNHSLLKLSLASTGFSGKLPGNFFKSLDYLDINNCHFSGEVPYSLAKLTQLQYLDLSYNNFSGAIPLSIGNLNQLMTLDFSNNNFSGEIPSSLSNLTQLLYLSLANNNFNPGNLSCLGTQTQLTYLDLSNTSLIGNIPSSLQNLTQITFLYLGANELDGGIPTWIGNYLPNLIEIKLQHNILNGVVPESIFKLENLEILDLQRNRLNGIVKLDLFLELKNLTRLQLSGNNLSVLMTNISNFNVTPPKFKLLGLASCNLQKFPDFLRGQDELEILELADNRIHGLIPKWFWTVGKESLNYLNLGFNYLTDFEELPDILPWTGLEVFSLESNMLQGSLPNPQPSIISYLVSNNSLSGEIPPILCNLSSLLALDLSNNNLTGTLPQCLDTLSNSLKVLNLRSNHFSGDIPWSFSKSCALWMVDLSHNQLQGTIPRSLAHCTMLESLNLGKNLINDTFPSWLGTLPRLKVLILRANELGGSMDQKPQAKSDFSKLQVIDLSENSLRGELPSDYFKIWNAMQFSNSDSSSPYMNANTSFQGEIPETIGSLKLIRILNLSNNNLNGHILPSLGYITNLESLDLSRNKLFGKIPPQLANLNFLEHFNVSYNNLDGPIPRGQQFNTFNNDSYEGNSRLCGSPLSEKCGNSDGLLSPPSLAGEEEDEGIEGAFKFGWKIVLIGYGGGLIIGISFGHNFNPRKHEWFIKVFKNWPLSNRWNNGSSWSGSFSFIRSKVLWNYTS >OMO49697 pep supercontig:CCACVL1_1.0:contig16433:31863:35463:1 gene:CCACVL1_30846 transcript:OMO49697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab protein geranylgeranyltransferase component A, eukaryota MNDNPPYPPIDPTTFDLIVIGTGLPESILSAAASAASKSVLHLDPNPFYGSHFSSLPLSDLTSFLTFHSTSPSSSSDGQLHDFTVLDFATRPLYSSVDISNFAPQLLHQHSRKFNIDVAGPRVLFCADHAIDLMLKSGASQYMEFKSIDATFVGDGNGNLWTVPDSRAAIFKDKTLGLMEKNQLMRFFKLVQGHLAGEEASKVSEEDLQTPFVDFLNKMGLPPKIKSFILYAIAMADYDQGDGGDLLKTKDGIDQLALYSASIARFQNAPGAMIYPVYGQGELSQAFCRRAAVKGCLYVLRMPVTSLLIDKESGSYKGVRLASGQDIFSKKLILDPSFTVPLLSGSSSPNPLQRKLPLFNFREDRRKVARGICITKSSLKPDISNILIVYPPRSLFPEQVTSIRLLQIGSNLAVCPAGMFVLYISTLCSSDDQGKKLLNAVMNTLLTIPASINSESNAAVQSETVEKGKPTVLWSALYIQELSLGQVDFICSTPMPDGDLNYNNVVDASVKLFQHMYPDEEFFPETVSSENSEDDGGVALET >OMO49694 pep supercontig:CCACVL1_1.0:contig16433:17101:21115:-1 gene:CCACVL1_30842 transcript:OMO49694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MASGKICKVCGDEIGHKEDGELFVACHVCRFPVCRPCYEYERSDGTQSCPQCNTRYKRLKGSPRVAGDDEDSDHDDFDDEFHTNNPKDDSHHPQHVNENAEFNNNQQWHPNGQAFSVAGSTAGKDFEVDKETYGSAEWKERVEKWKVRQEKRGLVSNSNDDGGNDQAEEDDYLMAEARQPLWRKIPIPSSLISPYRIVIVLRFIILVFFLRFRILTPAYDAFPLWLISVICEVWFAFSWILDQFPKWFPIRRETYLDRLSLRFEREGEPNQLLPVDVFVSTVDPLKEPPIITANTVLSILSVDYPVEKVSCYVSDDGASMLLFDTLSETAEFARRWVPFCKKHNVEPRAPEFYFSEKIDYLKDKVHPSFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSQGALDVDGKELPRLVYVSREKRPGYQHHKKAGAMNCLVRVSAVLTNAPFMLNLDCDHYINNSKAVREAMCFLMDPQFGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMLGLDGIQGPVYVGTGCVFNRQALYGYDPPVSEKRPKMTCDCWPSWCCCCCGGSRKKSKKKGDKKKGLLGGLLYSKKKKMMGKNYVRKGSAPVFDLEEIEEGLEGYEELEKSSLMSQKNFEKRFGQSPVFIASTLMENGGLPEGTNTTSLIKEAIHVISIGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCVPNRAAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGKLKWLERLAYTNTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLSNLTSVWFLALFLSIIATGVLELRWSGVSIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTAKAAEDAEFGELYMFKWTTLLIPPTTLIILNMVGVVAGISDAINNGYGSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQTGPVLKQCGVEC >OMO49693 pep supercontig:CCACVL1_1.0:contig16433:8883:12997:1 gene:CCACVL1_30841 transcript:OMO49693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLEYVAFSNNSFSGELPPELCSGFALTNLTVNDNNFTGSLPACLTSCNGLLRVRLDGNRFTSNITNAFGAHPDLSFISLSNNQFIGEISTEWAECKSLTDLEMDRNGISGEIPAELGRLTQLRVLKLGSNELNGSIPTELGNLKLLFNLSLNQNHLSGEIPRSIGNLVELQYLDFSDNNLTGTTPKELMFCNKLLGLNLNHNNLSGDIPHELGSLTFLQYSLDLSSNSLSGTIPSELGKLISLENLNVSHNDLSGKIPTTLPYMISLRSFDFSNNKLTGPVPIDGPFQNASKEAFAGNSGLCGKVEQGLPPCNSISTSKNKSHKLVVSVVLSVFGVLVSIAAAVFTCHRLSNKPNEKTEEFLLVPPWRTTQWYNPNLPKQYFTLRIRIRINPPGP >OMO49699 pep supercontig:CCACVL1_1.0:contig16433:48479:49276:1 gene:CCACVL1_30848 transcript:OMO49699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKSEEFDSWLKDALLEGRRPGVLVLVNDCDWELSGQLDTTLEEKDVVVFISTLHGGKYLR >OMO49701 pep supercontig:CCACVL1_1.0:contig16433:58992:59243:1 gene:CCACVL1_30850 transcript:OMO49701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTSEQNVNSEEIVEFEDDEVNTMEEKEVLEGEDATNHVIEDSIIEVLLEPYVGMEFESLDDAQEYYNKYARFKGSPYEIKI >OMO49700 pep supercontig:CCACVL1_1.0:contig16433:50018:58480:-1 gene:CCACVL1_30849 transcript:OMO49700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLEIINFRDLACSNIKRLVLQSWKFVPPPNLAASLDFEQEAGGYENVTFNEKDCPDFEDKYELVLKGIEELKAKVANVELIDNQTIQRSASPSQGCADNRVPSRTNKVLSPLVTRRRGHPSTKRKIPRIEEVIRKLKNKKKVQQVKENICSKKSRTKKENPYTFESSRDHYPKM >OMO49696 pep supercontig:CCACVL1_1.0:contig16433:29988:31241:1 gene:CCACVL1_30845 transcript:OMO49696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKMEAESLKRLSQKSLKRSLHLLSPQFPRPDPKTKTIRLSHQESGEVSGIIIKSKTLQVAQPSIANQDTRQGTQNSLLVGPSMFPSSPRIRIPSSSSSSGFRSHRPWKMYRFISGHKGSVMSVAFDPSNRWFCTGSADRTIKIWDLASGTLKLSLTGHIGQIRGLAVSKRTPYMFSAADDKQVKCWDLEHNRVNKSFHGHSSGIYCLALHPTDDNILVTGGRDSVCRVWDIRSCQQTLALSGHDNTVCSVFTRPTDPQVVTGSHDSTIRFWDIRNSGKAMSTLTHHKKSVRAMALAPDPRDHSFVSASTDNIKKFNLPRGEFLHNYYVLPQKKNTSGIINSMAVNEDGVLATAGDSGSLWFWDWKSGYNFQQAHTIAQPGSLDSEESIFALAYDVTGTRLVTCEADKTIKMWRQA >OMO49695 pep supercontig:CCACVL1_1.0:contig16433:24327:24715:-1 gene:CCACVL1_30844 transcript:OMO49695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTTVRAFVAIPRASPYANSKKIAFETTDPAADEAVWVPWPSAVIGEYLRMDFIVSS >OMO49698 pep supercontig:CCACVL1_1.0:contig16433:40103:43932:-1 gene:CCACVL1_30847 transcript:OMO49698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARPALSIQRSGARQLSNLGVSGGLSSTLPALTTPLAETYPRLPDTQQVSAERELMTRPPVHATSVPTNSGVVGHIFSSSSGFSSDLHYSSASPHENHSRNASFISQPPTNGSALALPQSSDSVLPQSTISSHYNKENSGSWCTDANFLDFPVNTPVQSSQVESNSCSGIMTSDDFSKRNDWQEWADQLITDDEALTSNWNELLVDNNVTDLEPKMTYQVAKPCMTIPAQKPQVHPQFHSSSVENRSVVNPSSSANSAPAKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYRPEPSEESSEKKLTPIEEISSLDLKAGMGITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQIMFEKQKSGLDKLKGSSSNLENLDPVAPSSDATKESSAKSEPEASQMDHVKSKLEGTSQELDAKQTEAESGDKEKAETCVSESSSQPSKCLRTEE >OMO49691 pep supercontig:CCACVL1_1.0:contig16433:1378:1941:-1 gene:CCACVL1_30839 transcript:OMO49691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYKRREFRVKWIIVRQTKVSQGRHGQEIRPIRRHKVIEAKVKVPYFLEIGDLRRNGTIEIVVENTDILKLCQTTDLRWKGSIERIVAQVQDKELRQSTNGRGYWTFQTAIDEFKAGEIGKWGEVKLGYGTRNVCIREINLGNCSGCIAGNGSPVAEVVEIGEPPRVERRRSKRQAVLPLDKCFSL >OMO49692 pep supercontig:CCACVL1_1.0:contig16433:2481:3078:1 gene:CCACVL1_30840 transcript:OMO49692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDKGRLFNNKLNGTIPPEVGKMTSLKSLDVNTNQLEGEIPDTISNLTNLEAILLFANRFSGSIPRDFGKNSPGLLYVNFSNNSFSGELPPELCSGFALENLTVNGNSFTGSLPACLKNCTELYREVESVACSKIRSESVHRRYSC >OMO52895 pep supercontig:CCACVL1_1.0:contig15406:14017:15168:-1 gene:CCACVL1_29027 transcript:OMO52895 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Vegetative cell wall protein gp1 precursor MKANRVVLIVLALLFISQNLAEARSHNSHHHSHKGGQGEELRRRSGRRGGGGGRRGGGGGSCDPLFQFLFATCGQWPFGTSPSPDNPFRPAPRPSPRRRSPPLPPVVSSPPPVVQPPLLPSPPPLVPSPSPPPLVSASPPPASPPPASPPPSSPPPPTPPPPFPSPPPPLVPSPPPPSNPPPDFPFLFPPPLVSSPPPPEITPSPFFPPWLSPPDINPDDTPTPTIPFFSSPPPDFFLPPPEEDNPPAGFTPAPPLVPIFAPPVTEPGLPPDEFQAPPILPITLPPPFETVPEAPLVPIFSSPPDPPQLPLLPPIGTNPAAPTLFLPPPVIPTIPEIPQIPFPFSSAPPAPDAGFVEPMSPPQSFLPPFQFPPSTDSPPFIKN >OMO52893 pep supercontig:CCACVL1_1.0:contig15406:5026:5124:-1 gene:CCACVL1_29025 transcript:OMO52893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKIPTASQIPACFPAMTAPEGVKGDTCIVPP >OMO52896 pep supercontig:CCACVL1_1.0:contig15406:20356:23303:1 gene:CCACVL1_29028 transcript:OMO52896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVCTFSLLSAIILARPQSTVSSISASVLLSQQEPISQFSSQMEAVSPGAAVVRIVHHQDLNKRILIALIVASTLLAGILLFLLCFWICRQKILKNSNEKSKQNLEPTKSLSLSPIVDRFNSLWMAGKKGSVAVIEYQLLEAATNNFGESNVLGEGGRGRVYKARFDEKFLAAVKRLDGGGPDAEREFQNEVDWLVKIQHQNIVSLLGYCIHGETRLLVYEMMQNGSLESQLHGPSQGSALTWNLRMKIAIDVARALEYLHENCNPPVVHRDIKSSNVLLDSNFNAKVTLYFGLAVTTGSQNKNVKLSGTLGYVAPEYLLEVYCLLTLKYAYYGHFIETLQAYAESNIAMPQLTDRSKLPSIVDPVIRDTMDLKHLYQVAAVAVLCIQPEPSYRPLITDVLHSLIPLVPTELGGSLRVT >OMO52894 pep supercontig:CCACVL1_1.0:contig15406:7699:11531:1 gene:CCACVL1_29026 transcript:OMO52894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEVDFAEALVALTAQIQDLKTKLEVQASSIADLQIRAAQLDKSPASPLLPPLPPTPSFSTISIADSNTDAILDSIQQTLKAMAASYEEESATMEDEALDAATVDDGEQIEILNKFRFKDPKPISTNLEFSQTVPIATISLGSLVPVECMDLVSQISELRHATVERALAVFIGFRPGGHESSKEVTNGCALQLLDKMSESTIIARPAPLVLTNKPSTTVNFLHWFVNFETIFSMPCTSTYFSYKEIAKALHVLVFGDPHHSVQNGALSNTQSTRLLVLLVRRVVFDPVGQFLLQDMNLDQNGHSISFAELFAFVRPYCGAQVQVSISFRDVGQDIFNKELMEEVVNIVPTLTFQGLNLVELIHEFWLAATMVSYHALEFVDINLTRKVEQEFGKLDIGWTWLLQSMVEHVNSCFSCFNLEDKAEHRACINIGRRMYRWEINFPISRCLRTKASGLYAYQQLLADMESFHLKSFVGCSESLVGSLELELELELELELEPKIFNKLKSLGLESCKDMKCLIDTTKQTIAFSNVEELSVRDMPGLKEFCHGGQPQGFLQKLKTLSIGNCKDIISATPFLQNLERVKVDRCDELQVIFQPDQEEAMLPELGSIEHGPNHHNLRSLKVVNINNCNKLTYLFPASVAISLGQFEKLVITDCSKLEEIIQITEVSNICLRSLRNVTVTGCHRLTSLSSLSHGQVLENLTHLGIDECSRLEYTFPFSMAEGLPQLNEVKLRQLPEFKGRDGDGHDVVLTLPSLKRLFLEECRQWTPFPIPLEIQELKISGRMTSTRKQLSNPVMIPELRPNRECLTITHFEELFDSSYNLSVLKELKLDEISELQVIWKGPIKVVHLRNLTDLSVSSCQRLKYVLSATIAGNLPQLSSLSIIYCDGLEQIIEKDQPSSHL >OMO65049 pep supercontig:CCACVL1_1.0:contig12700:4405:12550:1 gene:CCACVL1_21585 transcript:OMO65049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGENNSNGNQEEVADDSDDMFRISFNHHLVREATAEQYEGDSWP >OMP05991 pep supercontig:CCACVL1_1.0:contig05099:11299:17834:-1 gene:CCACVL1_01763 transcript:OMP05991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MTNAPYMLNVDCDMFANSPQVVRQAMCQLLDSKSEREIGFVQFPQCFYDGPKDDPYGNQLVVLYEYMGRGIAGLEGISYSGTGCFHRRKVIYGQWPEDVENQARNHTSINGKLVDNEVLIKEFGKCKRFSESAAYALKGKKSTFSNNLSDCLEEAFHVASCDYEFGTSWGNKIGWIYGSMTEDVMTGLMIHKKGWKSALPMPKPQGFLGCAPSGGPAAMTQQKRWATGLLEILVSKNSPIFAFLTGKLPFRMLLFYIWLLCWGLFSIPELCYAALPAYCIIANSQFLPKVQEPAMLIPVAIFVIFNLLTIREYLKAGMSIRGWWNFMKMGRITRTSAYLLAALTMVLKLLRLSETVFEVTQKDQSNSDGDNETNKGAIKFTFDESPLFVPGTTLLLVQLTALLSFSLGLRPLAHDVGHGLGLGEVLCSLWANITEAKNILELLRQYEAASGQFVNIDKSANYFINDTYMASREDIKQHLGLRKVGGQLVLEGGNRWRIGDGLLVRIMDDNWVPTLPQGKPSSIHSREGQFPCIFVADLINHEERSWKEDLLYELFKQHDPTTIMDIRLSMERVVDKLICVESKLDVFTAEVTTVLFGLEFAWEHGISNIVVESDSSGIVDEIRKGRESLWQEASLVHDITAMLPYFEFISFHFVKREANGLAHNIAKSECELGAHRGKTLQTMVFSNVEKTGSESEPDSLSVLPV >OMP11397 pep supercontig:CCACVL1_1.0:contig01327:1069:5409:-1 gene:CCACVL1_00541 transcript:OMP11397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor eIF-4 gamma, MA3 MASSEGFLTEEQRETLKIASQSVEAALPSPRLSSSPKSPPSLVSDHQLKVPAGGKAPTGGIAVRHVRRSHSGKFVRVKKDGGGGKGTWGKLLDTDGDSHIDRNDPNYDSGEEPYQLVGSTISDPLDEYKKAVVSIIEEYFSTSDVEVAASDLKDLGSSEYHPYFIKRLVSMAMDRHDKEKEMASVLLSALYADVISPSQIRDGFVMLLESADDLAVDILDAVDILALFVARAVVDEILPPAFLTRAKKTLPETSKGYQVLQTADKSYLSAPHHAELLERRWGGSTHVTVEEVKKKIADLLREYVENGDTFEACRCIRELGVSFFHHEVVKRALVLAMEIQTAEPLILKLLKEAAEEGLISSSQMVKGFARLAESLDDLALDIPSAKNLFQLIVPKATSEGWLDASFTKSPFEDGEAQKEDIKLRRYKEEVVTIIHEYFLSDDIPELIRSLEDLGLPEYNPVFLKKLITLALDRKNREKEMASVLLSALHIEIFSTEDIVNGFVMLLESAEDTALDILDASNELALFLARAVIDDVLVPLNLEEIGSKLPENCSGSETVRMARSLIAARHAGERLLRCWGGGTGWAVEDAKDKIMKLLEEYESGGVVSEACQCIRDLGMAFFNHEVVKKALVMAMEKKNDRMLDLLQECFNEGLITINQMTKGFTRVKDGLEDLALDIPNAKDKFSFYIEYAQKKGWLLASFAVEALPSAAAS >OMO56513 pep supercontig:CCACVL1_1.0:contig14509:6715:9691:1 gene:CCACVL1_26492 transcript:OMO56513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLDQQKTAPISFSMNSNKKKELLSSAMKRTSEWIFSQEIPSDVTVHVGGASFSLHKFPLVSKCGYIRKLVSESNDADLSIIEIPEVPGGSESFELTAKFCYGINFEISTENIAMLRCAAEYLEMTEDYAVGNLVGRTEAYLNEVALKSLAGAVSVLHSSEGLMPLAEKVKLISRCIDAIAYLACKESQFCMSGRSESGNDSTISATIPNAKPIVDWWAEDLTVLRIDIFQRVLIAMIARGFKQYALGPVLMLYAQKALRGLEIFGKGRKKIEPRQEHEKRVVLETIVSLLPREKNAMSVSFLSVLLRAAIYLETTVACRLDLEKRMALQLGQAVLDDLLIPSYAFTGDTLFDVDTVQRIMTNYLECETEGSHFGYKEEEEYISPPPSDMERVGKLMESYLAEIASDRNVSVTKFIGLAELIPEQSRITEDGIYRAIDIYLKAHPTITDLERKKVCSLMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDVMNGSVSSGDSPAISSRGNFYTTDIHPVSDELSTLKRENQDLKLELVKMKMRLKEIEKASSVKSAVSSPMGNIMASSDKPPLPRKSFINSVSKKLGRLYPFVRADGISPTGAKGRTRPNKDRRHSIS >OMO56514 pep supercontig:CCACVL1_1.0:contig14509:14439:14573:-1 gene:CCACVL1_26493 transcript:OMO56514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSVGFEILWPVFNNLRFYFKREMGGTHLSYGRDLIVGGARKMLP >OMO63885 pep supercontig:CCACVL1_1.0:contig12884:9036:12844:1 gene:CCACVL1_22191 transcript:OMO63885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fumarate lyase MAMYIVSRRLSAGSTTSQLATSLRYATCWRPYSTSFREERDTFGPINVPSDKLWGAQTQRSLQNFEIGGDRERMPEPIVRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAQEVADGKLNDHFPLVVWQTGSGTQSNMNANEVIANRAAEILGHKHGEKFVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRLIPKLKTLHSTLHSKSVEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRVLCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETRLPFVTAENKFEALAAHDAFVETSGALNTVATSLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAITVGGSNGHFELNVFKPMIASALLHSLRLLGDASASFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGCTLKEAALKLGVLTCEEFDNLVVPEKMIGPSD >OMO63889 pep supercontig:CCACVL1_1.0:contig12884:29328:34065:-1 gene:CCACVL1_22195 transcript:OMO63889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALPWSLVFTVLFLSFTVVSSATPAAPKTQTFIVRVDDRLKPHQFPTVEEWYTSTLESLSSTNILSSKNPTTSQTQKSTVDVLHIYKTVFYGFSVKLTPEQAEELKTCPGILSVFPDRIHHFQTTRSPHFLGLDTAKAITGLCRRSDYGSNVIIGLLDSGIWPERHSFDDHDMGPIPSRWKGECVQGDQFPKTLCNKKLIGARYFTSGYQHAFGESKKNDMKSARDAVGHGTHTASIAAGRAINNASFLGFASGEASGIAQKARIAMYKVCGGSACMGSDILAGFDAAVADGVDVISMSLASDDVISYDQDVAAIAAFSAMEKGVFVSASAGNSGSTSGTVANVAPWITSVGAGTIDRAFAAELHLGDGSIIKGSSLYDGEPLDNNTYWPLIYGGNATARGYSYLPSYACFPDSLDPNLVRGKIVICDRGVISRVMKGVVVKKAGGIGTVVANVAPLGEGLVADAYLSPALAVTESARAQLLTYINSTPNANATIVFRGTQVGVKPAPVVASFSSRGPNPISIYVLKPDLIAPGVNILAGYPDGVSPTHLPEDPRQVEFNILSGTSMSCPHVSGIAALLKGAHPDWSPAMIRSALMTTAYTHDQDGNALLDEMDMGVGNTWAMGAGHVDPEKALDPGLVYNLTADDYINFLCASNLSLEQIKAITRRDVNCSEAQNLNPWDLNYPAISVAFDPLDPSFWEVDVTRTVTNVGHATSTYTVAITNPAGIITTVDPPTLMFKQLGETKSYTVKIAADPSCVPPVTSQSEFGQLSWSDGKFQGPGGGDKPAAAAPPAAQPAEVPKKSKK >OMO63887 pep supercontig:CCACVL1_1.0:contig12884:18021:23675:1 gene:CCACVL1_22193 transcript:OMO63887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSPPVQLMMEQDSLSLEGTDSNALILPAKRSKKRKGRNQKLEKAKEEKKQKLSNSQLRKLKKLEEGKEKALLLSKSIEDLKKYKLPEDAYSLLQSSTTIGQAETLREKHRRAVQFSKAGLELPHIDKSPKRKDDDSMSSSESEPELEGSNSRKYISETHIGPPVIIIEREVASNACDSLASPQEPVSNEEQGPSCSSVDTLPTKEVSLKDNSTPFEKDSTNCIPKSSVDDENKISMPVQPLSTPTVVHVSRPDEVEDKRKDLPIVMMEQEIMEVINEKSTVIICGETGCGKTTQVPQFLYEAGFGSNQSTLRSGIIGVTQPRRVAVLATAKRVAFELGLHLGKEVGFQVRHDKKIGDRCSIKFMTDGILLREVQNDVLLKRYSVIILDEAHERSLNTDILIGMLSRVIRLRQDLYEKQQHMVLSGHSISPENMIFPLKLVLMSATLQVEDFISGRRLFHIPPPVIEVPTRQYPVTVYFSKRTELVDYIGQAFKKVMSIHKKLPQGGILVFVTGQREVEYLCRKLRKASREAISSIPKEGKDTEATAPSQLNSGEDIDMKDISEAFEIHGDASHQQTDRFSTYDGDHYDHDEDDSDDAYESETDSELEFGEEGNTLDQNSMENVDNLVDVLGGDGSFASLKAAFEALAGKDGSYPKPEGEKAVSINPENTSEQAPGPIEKTSEDNKSHNAGKLRVLPLYAMLPAAAQLRVFDEVKDGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYNPTNGMETYDVQWISKASAAQRAGRAGRTGPGHCYRLYSSAVFNNILPDFSCAEISKIPVDGVVLLMKSMGIDKVANFPFPTSPGPSALVEAERCLKALEALDSNGRLTTLGKAMAHYPMSPRHSRMLLTVIQIMRRVKNYDRANLVLGYAVAAAAVLSLKNPFVMEYEGSYNQIDEPNRDDGSGPLDSEKVLNKKEKSQKKKLREMARISRAKFSNPSSDSLTVAYALQCFELSKSQVEFCNENALHLKTMEEMSKLRKQLLQLVFIQNANRDAEQDFLWTHGTMQDVEHSWRVPSNKNPLLLNEEELLGQAICAGWADRVAKRTRGVQKSSEEDRKVNSVRYQACLVKETVFLHRSSFLARSAPEFLVYSELLNTKRAYMHGATCVKPDWLVNYAKSYCTFSAPLADPKPYYDPQADELYCWVVPSFGPHLWQLPLHGLRISDHAHRATVFAFALLEGQVLPCLRSVKQFMAASPDLILKPESYGQKRVGNLLHKLKTQSIDSCARLRKTWEENSRALHSEILDWFQESFHKQFEKLWSEMLSEVLLEPQERFPKKLKKKDKKKK >OMO63899 pep supercontig:CCACVL1_1.0:contig12884:71849:77282:1 gene:CCACVL1_22205 transcript:OMO63899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase MANPKLGRIPSMRERVEDTLSAHRNELVSLLSRYVAQGKGILQPHTLFDELDNVIGDEQSRQKLRDGPFSEVLKSAMEAIVLPPFVAIAVRPRPGVWEYVRVNVYELSVEQLTVSEYLSFKEELAEGEVKKPFMLELDFEPFNASFPRPSRSSSIGNGVQFLNRHLSSSMFRNKDCLEPLLNFLRAHRYKGHALMLNDRIQSISRLQAALAKAEDRLSKLPPDAPYSEFEYVLQEMGFEKGWGDTAEHVLEMMHLLSDILQAPDPSTLETFLGRVPMVFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALENEMLLRIKKQGLDFTPRILIVTRLIPDAKGTTCNQRLERVTGTEHTHILRVPFRSENGILRKWISRFDVWPYLETYAEDVASEIGAELQAIPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLIAMNNADFIITSTYQEIAGTKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMCIYFPYSDKEKRLTALHGSIEELLYDPKQTDEHIGTLSDRSKPIIFSMARLDRVKNMTGLVECYGKNAKLRELANLVVVAGYIDVKKSKDREEIEEIEKMHGLMKEYNLDGQFRWIVAQTNRARNGELYRYIADTKGIFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGISGFHIDPYHPDQTSELLANFFQRCKEDPSHWTNISDAGLKRIYERYTWKIYSERLMTLAGVYSFWKYVSKLERRETRRYLEMFYILKFRDLVKTVPLASDD >OMO63894 pep supercontig:CCACVL1_1.0:contig12884:49905:50606:1 gene:CCACVL1_22200 transcript:OMO63894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MALKNLFSATLLMLLGLSFEASVLGSDGDYNNPNPNSNLQKPDVEKERLLSKLIGVQGIIYCRAGNKITPLEGAVARITCEGVDEYGYETESLSILSCATDAKGYFIATLSPHEVKHSKINRRFKQCKAFLEASPSDDCDFPTDVNKGISGAPIASHRLLPDKNMKLFTVGPFFFIPQQDTNSVSPDGY >OMO63890 pep supercontig:CCACVL1_1.0:contig12884:38190:39242:-1 gene:CCACVL1_22196 transcript:OMO63890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIIAEFIPPRNRSRRVTASDIWPDSLFSKFNDLSQLDHDDSLSHFKRSQPQPSSGEELVEKKPKKQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDREARKIRGKKAKVNFPNEEDAFSTQYNPTNFNNRTEINHWNHSPNPNPNLSVYQQPARNSHLNGYGFNYDLNEIGGYATDPIVISGEENSGSGSEGAYSVNQNLNFCYTPVKAEEEEKREEPVNKVAAVEVREEANEVQKLSEELMAYENFMKFYQLPYLDGQSPTQNAVAPQESVVGELWSFDDDGVAVPVTSTAM >OMO63892 pep supercontig:CCACVL1_1.0:contig12884:43216:44229:-1 gene:CCACVL1_22198 transcript:OMO63892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIIADFIPRKRGRGVTASDLWPNSPFDKTNVFNSEFCQLGHNDFERPRPFQGDHEQVEKKPRQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDREARKIRGKKAKVNFPNEDDAFSIQYNNQDPHQYFNNHHEINNHNNSATSWNPNPNLNSSINYPQPCNNNILDTNNHGFGYDLNQFGGYATDPIVISGEENSASGSEAAYSYCNNPDMMSNVCFAAPVKVEEEEELLAENRVVGQVGEENQVEKLSEELMAYENYMKFYQIPYVDGQSTTEENASVPRENVIDELW >OMO63893 pep supercontig:CCACVL1_1.0:contig12884:46553:47664:1 gene:CCACVL1_22199 transcript:OMO63893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MAPFRLSLAFSLLLLSLLVIASADDYSKFDDYSKYDSKYGFDGIPGDAPQAKPEEEETKPHYDTKPVDYNKSNSNSKPVGNDYGNNKPEVVKPKRQGEEKKPNNYGTKKSNYTPKPQGKYEEKEKPYVVKPEKEEKPGYGGEKKPYYNQKPNYGSKPDNYKPKPEGENKPNYYKPKPEGEKKPNYGAKPDNYNAKPEEKENVYPIAVQGLVLCKSGSKYYPIQGALATITCKTVDKDGVEKTVSVCSGSTDQKGYFLAKLSNYGLAKKLKLKDCNTYLHSSPLETCNVPTNVNKGIVGAPLPTNFHILKDKKINLYSVGPFFYAPKPQSAPTGY >OMO63897 pep supercontig:CCACVL1_1.0:contig12884:66101:68017:-1 gene:CCACVL1_22203 transcript:OMO63897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MAISGGRIVIAFVNVIGLALLSCVADGAIQLAGRKHSLHIVRRPRGRNLAGGDPTVFNVMNFGAKTDDKTDNHGPFAQAWNAACQNIGPAKLVIPPGTYMAGQLYFQGPCKGSNPIIVEIQGTIKGTTDISEFQDDGWITFEYLDGLILTGGGTLDGQGAFAWKHNDCRKNINCQHLPKSLKIHRVNNSVIHDIKSVNPLGFHMFVTMSSNITVHHLDISAPADSPNTDGIHVSGSSFVDISHSKIGTGDDCISVGQGSTKVSISNILCGPGHGISVGSLGRTKGEGPVNGVLVKDCTLSQTTNGVRIKTFSKSTPNQASGIVFQDIVMDKVQNPILIDQNYGTSRKKAGKASSQSKVKISDVLYRNIRGSTNTSAAVSLSCSSVFPCERVELVDIDLVYDGTGSTKIVQNPTLSALCMNVKVKPGEHGLIVMEAKVSLGTL >OMO63898 pep supercontig:CCACVL1_1.0:contig12884:68588:68671:1 gene:CCACVL1_22204 transcript:OMO63898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGNWLRLARLHWVFPSYVMLGPYGP >OMO63896 pep supercontig:CCACVL1_1.0:contig12884:53262:65384:-1 gene:CCACVL1_22202 transcript:OMO63896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRKRRESIQRALVVLKGDRVSSDKTGLAPLWRAYKYASNMDDEILVLILLSGNGSGPSSSKGFRHQSNITCEEDPRVKSMRQEISQRKEDYRQIFRPFYETLKNKGVRLLSSSYSDFGFRACDIETESFLYYSCFTRHLTFRLSGTGSNVSLVSDNLEDNDMALDRLFTILEEPESSMSMEEEHNPISPKLMKRSTSQEEPSCRPSTSRQTEEGTMYEPQYEIRRQVEQTSDDISTRVCMADFMAAEKPQKLSWEVIVQITKWFSTKAWNNDPDRNYSTHIGYFQNQSVLVQRLLSCRRRIFEAEMRAASSMHHKNIMILKGYHRSENGTILIFPFLQGMTSIDRYIWGPGRRELKFQAKLDIAISIAQGLRYMHEECPQGPIVHGDLQPWKILLGRDLQPMISGFGKAKWLQLELVSLNSKNRHLVDPSVVDSIALVKNDVLQFGVLLLRLFCRTSAPEDDKSLIQWARPLMRDIKFHELLDEDLNCSDMHGIYRVMAAATACTMSKPISRPYMTQFDSMRLHCLASASSLSVSVECVNICKLTKGEGSGRNDCSVLSCAWKAPRVLTGFLASTANSSDSSFAYARQGRRNRIKSIVNWYVGRVVNVRLTNMKCFGEFRLTALHGRGWYSTDVSEFVLLGKLFRSSMLNVGCKRWHSHCSSSVFSEGSDEVSPERLWEDLKPTISYLSPNELELVHSALRLAFEAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTNVVTFERIEEEFGHTVRRIVEGETKVSKLGKLKYKNENDSVQDVKADDLRQMFLAMTEEVRVIIVKLADRLHNMRTLSHMPPHKQSSIAMETLQVFAPLAKLLGMYQIKSELENLSFMYTNPEDYAKVKRRVADLYKEHEKELVEADKILMNKIENDQFLDLITLKTEIRAVCKEPYSIYKSVLKSKGSISEINQIAQLRIIIKPKPSVGVGPLCSPQQICYHVLGLVHGIWTPVPRAMKDYIATPKPNGYQSLHTTVIPFLYESMFRLEVQIRTEEMDLIAERGIAAHYSGRVFVTGLVGHAVPNGRSSRGKPVCLNNANIALRVSWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPRGEIKNLPRGATVIDYAYMIHTEIGNKMVAAKVNGNLVSPMHVLANAEVVEIITYDALSSKSAFQRHKQWLEHAKTRSARHKIMKFLREQAALSAAEITTDRVNDFIADSEEESELEELSHISRTSKPLWEKILRNVVDFSSPGSCEDALTAKNGSIWVPKVNGKHNKHVQHTSLKGNGNLLSLGNGAAKLIPANIPPHKEVLPGLESWQSSKIAAWHNLEGHSIQWFSVVCTDRRGIMAEVTTALAAVGIGICSCVAEIDRGRGMAVMLFHVEANLEVLDGLLAAAGRAQYKMTNCLSADSMMDAINPTG >OMO63900 pep supercontig:CCACVL1_1.0:contig12884:78717:80908:-1 gene:CCACVL1_22206 transcript:OMO63900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MGLSLSLSPLALIVLLACFLECNGYGFPFHQHHHHPRVAKHNYRDALTKSILFFEGQRSGKLPSNQRVTWRRDSGLSDGAAMHVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVLEFGGLMKGELQNAKQAIRWGTDYLLKATVHPDTIYVQVGDANKDHSCWERPEDMDTPRSVYKIDKNTPGSDVAGETAAALAAASLVFRKTDPTYSKLLARRAMRVFEFADKYRGPYSNGLKKVVCPFYCSYSGYQDELLWGAAWLHRATKNPTYLNYIQVNGQTLGAAEFDNTFGWDNKHVGARILLSKAFLVQRLQSLHEYKGHADNFICSLIPGAPFSSAQYTPGGLLFKMSDSNMQYVTSTSFLLLTYAKYLTSAHQVVNCGGTTITPKRLRSIAKKQVDYLLGDNPLKMSYMVGYGPRYPQRIHHRGSSLPSVANHPAKIQCSAGFSFMNSQSPNPNILVGAVIGGPDKNDRFPDQRSDYEQSEPSTYMNAPLVGALAYLAHSFGQL >OMO63895 pep supercontig:CCACVL1_1.0:contig12884:51171:52746:1 gene:CCACVL1_22201 transcript:OMO63895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLNIIRQRRKKVEVSIMRNNGSGLEGVIVLGALAIASLVAAFTIKKGRKNCNEGTTNLEATDSCKKEDNGGEGLRFILQDSSSTLHQNSCCTNREISKIGITQIESLMTEEKMMHCGENDSNFINGDREIVISDSEQGSVAMTDENHVVKNEINESSVMETIEIEIEDEVVAADANPSAEENSTLESPSSSDSASSIEEEKCSPKQEEEEEGYSLFESSFSTEEEDEEEFSPMRSTFSTEEGEEYSPMRSSFPTEEEDEEEEYSPMPSSFSTEEDEEEEGYSPTESSFSTEEEEGFSPIESSFSTEEESSEGTTSSSTESNTEDAIWPAEMVGFLSLEPKEMNISQKLEEKDTTAKIQEYNNLASTEKLVAMNDKKEHVAEAKRQIWVWLGLVLLLLLLLLLPIAHSKVQPNHLS >OMO63886 pep supercontig:CCACVL1_1.0:contig12884:13658:14623:-1 gene:CCACVL1_22192 transcript:OMO63886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced protein 1 MAKLLFLRSLILSLIFVVALAPLSQGSSQGQKQPSSLTAAASSTVQYHGGPLLNKPNGINVYVIWYGGFSLQDKNSITDFLASFSAPAPRQGPSVSTWWKTISSYKDKTGKPVSTTVRLVKQAGDAYSSGKTLKRAQIAQFVKTKIASKIFPLDTNGIYLVLTSKDVTVERFCMGSCGFHESLMVGATSRVVFAHVGDPTVQCPGLCAWPYALPAYGPPGQALVAPNGLGADGMIINIATLLAGAASNPFKTGYFQGDALAPLEAVTACPGIFGAGAYPGFPGNLLVDKLSKASYNAYGANGRKFLLPAMWDLISLSCKAN >OMO63888 pep supercontig:CCACVL1_1.0:contig12884:25886:28615:1 gene:CCACVL1_22194 transcript:OMO63888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCDCFESPWPPDELLVKYQYISDVLIALAYFSIPLELIYFVQKSAFFPYRWVLMQFGAFIILCGATHFINLWTFTMHTKAVAVVMTIAKVACAIVSCATALMLVHIIPDLLSVKTRELFLRNKAEELDREMSLILTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLCLEECALWMPSRAGMNLQLSHTLNYQIQVGSTVPINLPIVSEVFNSAQAVRIPYTCPLARIRPLVGRYVPPEVVAVRVPLLHLSNFQINDWPDLSAKSYAIMVLILPTDSARKWRDHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALDLARREAEKAIHARNDFLAVMNHEMRTPMHAIIALCSLLLETELTPEQRVMIETVLKSSNLLATLINDVLDLSRLEDGSLDLDFGMFNLHGIFKEVINLIKPIASVKKLSMTTILAPDLPMYAIGDEKRLMQTILNVAGNAVKFTKEGYVSIVASIAKPESLRDWRPPEFYPVSSEGHFYLRVQVKDSGCGITPQDLPILFTKFAQPRSGSSQNSGGAGLGLAICRRFVNLMGGHIWIESEGLEKGSTATFLVKLGICNNRNDSSMHQVPTHVRAYHGSADLSGQKPIFNDGPFSSNTRYQRSL >OMO63891 pep supercontig:CCACVL1_1.0:contig12884:41777:42532:1 gene:CCACVL1_22197 transcript:OMO63891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MAIPRPLLAISLLLLSLVAIASANHDYNNSDSYKNQAYNYDTKPESYKPSEEVEKPNFSTKPEESSYGEKPYAPNPNYEEEKPGHYDTEDLPIAVQGLVLCKSGPKYYPIQGALARITCLAVDEKGYEKTHSVCSGETDAKGYFYATLMPSLIGHDDYKLRLIECRAFLESSPLENCNVPVDVNKGISGAPLSDYRVLNQKKIRLYSVGPFFFTSKPNYAPNDGY >OMO85065 pep supercontig:CCACVL1_1.0:contig09669:57007:59247:-1 gene:CCACVL1_10451 transcript:OMO85065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MNCVQSWPEPIIRVQSLSESGIRAIPDRYVKPPRDRPVFKPVSSDQTDETVGFDDIPVVDLQNLFSNDKALREETLKRVSAACKNWGFFQAVNHGVSHELMRKIREIWREFFNQPVEVKQEYANSPVTYEGYGSRLGIEKGAILDWSDYFFLHYMPASLRNPNKWPSQPSSCRELVAEYGAEVVKLGGRLLKAMSTNLGLNEDYLLNAFGGENNVGACLRVNFYPKCPQPDLTLGLSSHSDPGGMTILLPDHDVPGLQVRKNDKWITVKPVPNAFIVNIGDQIQVLSNATYKSVEHRVIVNSAKDRVSLAFFYNPKSDLLIEPAKELVSEERPALYNPMTFDEYRLYIRTKGPCGKAQVESLKSNR >OMO85059 pep supercontig:CCACVL1_1.0:contig09669:31726:32652:1 gene:CCACVL1_10445 transcript:OMO85059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vitamin B6 biosynthesis protein MAGTGVVAVYGNGAITETHKSPFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRVEGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTVADEDNHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRVLRNMDDDEVFTFAKKIQSPYDLVMQTKQLGRLPVVHFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPAKRARAIVQAVTHYSDPDVLAEVSCGLGEAMVGLNLNEKVERFAARSE >OMO85061 pep supercontig:CCACVL1_1.0:contig09669:34117:34872:-1 gene:CCACVL1_10447 transcript:OMO85061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQLNHLQASTLKHEKKPKPPFIFTSSKTRTSIRVIAATSGKAQQLIQSGQVKPIPPKEAAAAMDSDGFKLLDIRPEWERQKAYVKGSIHVPLFVEDKDNSPITLLKKWVHFGYIGLWTGQNFTMINPNFIKEVETAVPDKDAKLLVACGEGLRSIMAASKLYDGGYKNLGWLAGGFNKAATGDFPEVEGPEKLQYATIGGASYYFFQLLLLLQAVGKE >OMO85062 pep supercontig:CCACVL1_1.0:contig09669:36190:44276:1 gene:CCACVL1_10448 transcript:OMO85062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPVSREKLASLSNSVKIAMDLASKLDLCRQLKQDSLEEDAASLSDFLPRLFDLYSEPSGPVRKFATEIIGEIGVKHVEFAPQIAPFLITVLEDDTPAVARQSISSSIDLFRRTLEKVAIQGLYSSELDSDLESSWSWMLKLKEKIYSVAFQPGSGGTRLVALKFVQAVILLYTPDPNGSPEPPPEEGTPVEFNITWLRGGHPLLNVADLSIEASQRLASLLDLLRFPTVKSLTSSVIVVLINSLAAIAKKRPAYYGRILPVLLNLDPPSFVIKGVHVYGAHHALKNALLSCLKCTHPSAAPWKDRILGALREMKAGGLTELTLNEVHKSNGSLEEGKDYSSVVKEETPLVKSCDAVVSNVGRKRSGTEDSSDLADSDGVSGKRFRSTPSVSEESTKDSNRNTTMSQGDISSVQQNTNKADVDTGPVQQLVGMFGALVAQGEKAVGSLGILISSISADLLAEVVMANMRNLPPNRPHTVGDDELLENMCIVGSDTQAKYPPSFLADVASLSSTFPPIASALNSQQSASKEVKMEGDNEGDLPHEAENALLATDLPASSDIVLPGMGKTDVPTPSGIHDVGSFESEIPGLDSSVHADGLSDTQAASSLVSTDVEDASQEQVSYGGRSPLHVLPSISTDRSEELSPKIAVTDSNSMISSTATSVILPRFVLPKMSAPVVALSDDQKDDLQKLAFIRIIEAYKQIAVAGSSQVRFSLLSYLGVELPSELDIQKILREHILSDYINHEGHELTLRVLYRLFGEAEEESDFFSCTTAASAYETFLLAVAETLRDSFPPSDKSLSKLLDEVPRLPKSVLNLLECLCSPGISDKADKESQSGDRVTQGLTTVWSLIRLRPAIRDVCLKIALQSAVHHLEEVRMKAIRLVANRVYPLPSMAPKIEDFAREMLLSVVNGDGTEGKDAEGSITESHKDSDSEKPSNELQPMSSIGKDISADVHQSETSQSVSSPSVLEAQRCMSLYFALCTKKHSLFLQIFIIYKSASKAVKQAIHRQIPILVRTMGSSSDLLEIISDPPSGSENLLMQVLHTLTEGAIPSAELIFTIKKLFDSKLKDVEILVPVLPFLPREEVLLLFPRIVNLPPEKFQAALTRMLQGSSHSGPVLSPAEVLIAIHGIDPERDVIPLKKVTDACNACFEQRQIFTQQVLAKVLNQLVEQIPLPLLFMRTVLQAIGAFPALVDFIMEILSRLVSKQIWKYPKLWVGFLKCAQLTKPQSFSVLLQLPPPQLENALNRIAALRAPLVEHASQQNIRASLPRSVLAVLGLAPDSQSSSQAQTSQAHTGDTSNSEKDAVAAEKSKESSSAS >OMO85060 pep supercontig:CCACVL1_1.0:contig09669:33366:33685:-1 gene:CCACVL1_10446 transcript:OMO85060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATVVARQKGFPAKTITSFHYEFFRFKHYSNIKSI >OMO85058 pep supercontig:CCACVL1_1.0:contig09669:30356:30493:1 gene:CCACVL1_10444 transcript:OMO85058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEQGFASSSRRKSMGFGWEESQNDIDKNQVFGGLKKKLKKTS >OMO85057 pep supercontig:CCACVL1_1.0:contig09669:27450:29938:1 gene:CCACVL1_10443 transcript:OMO85057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAELNRSSTSASASISTASSSSYRTDSGASASSSGSSPSQAREEQEEQGRDEYQHQNHHFHNPEPENQHIGRVSPYRDNYSAFDDSSTAIGDDTWSCIIVVLTFWFFVSMTLILGVYGTRNILVGPNCSILIQPNPIFVQSVKVEELGNSKAKLKLYGFFKSPSLDVVRTWSESNAATVQSDSHMEWIYYLNRGSQVNISYNVNSTGSSVFFIIAQGSEGLSQWLQNPTYPNTTLSWNIIHGSGRIEQDIYSSSSYYIALGNLNSEDIEVTLNITVRAIIYNTTEAYYRCTLTDGDCSLSILFPQGNSVVLTSPGPDQSSSANDWSVKLSYGPRWMTYILGIGVMTVIMLFGFDFLNKLHFGRQNVTSGRYGAIASSRAPLLPNKDDDITSWGSSYDSISSDEADLEDFLPAAGSSVEGTSLDGENSNNTRRLCAICFDAPRDCFFLPCGHCVACFACGTRQTS >OMO85056 pep supercontig:CCACVL1_1.0:contig09669:21633:22316:-1 gene:CCACVL1_10442 transcript:OMO85056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEKQIKEVKRSESLFRHGWKAIGLCKKGWYVVRMKVIKGGNRGIHDKKAG >OMO85053 pep supercontig:CCACVL1_1.0:contig09669:3761:5908:1 gene:CCACVL1_10439 transcript:OMO85053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MVGPSRPLFVLFGSSIVQFSYSNNGWGAILADIYARKADIVLRGYAGWNSRHALKVLQQVFPKDAAVQPSLVIVYFGGNDSMAPQPSGLGPHVPLPEFIDNMKKIALHLKGLSDKTRVLFLSPPPVNEEQMLHCLGVEGRTNEACGVYSEACLKLCQEVDVKCIDVWTALQQRDDWKSVSFTDGIHLSSEGSKIVVKEILKALEEAEWKPSLYWRSLPTEFDCVAIDLVTQDEDKSNLSVSEFELERLLKWEQ >OMO85063 pep supercontig:CCACVL1_1.0:contig09669:45066:47490:1 gene:CCACVL1_10449 transcript:OMO85063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKILQVDRNAKDDDLKKAYRKLAMKWHPDKNPHNKKDAEAKFKQISEAYDVLSDAQKRAVYDQYGEEGLKGQMPPPGAGGFPGGADGGPTMFRFNTRNPDDIFSEIFGFSSPFGGMGDMGGSRAGASGFPRGMFGEDIFASFRGGPGDGSTSMPRKGPAIERTLPCSLEDLYKGTTKKMKISRDVSDGSGRPTTVEEILTIEIKPGWKKGTKITFPEKGNEQRGVIPSDLVFIIDEKPHSVFKRDGNDLIVTQKISLVEALTGYTAQLTTLDGRNVTIPINNIISPTYEEVVKGEGMPIPKEPSKKGNLRIKFNIKFPSKLTTEQKTGLKRLISSP >OMO85064 pep supercontig:CCACVL1_1.0:contig09669:48908:52656:1 gene:CCACVL1_10450 transcript:OMO85064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MASAARTVEEIFKDYSARRTAIVRALTYDVDDFYGLCDPEKENLCLYGHPNESWEVNLPAEEVPPELPEPALGINFARDGMNRKDWLSLVAVHSDSWLISVAFYLGARLNRNERKRLFSMINDLPTVFEVVTERKPVKDKPSMDSGSKSRGSTKRSSDGQVKSNPKLVDESYEDEEDEHSETLCGSCGGNYNADEFWIGCDICERWYHGKCVKITPKYRILKFVPETNTRGKFERRNISEILPNFGTTSGAQLNEALQLHMEMEAQRKLNDQLEVQRSLKVKIEAQERFFERIAGENRNRPTKAATKSLSPISLPSLCEESESNAKDFETDSEADKNEIESAERRIHQQQALKMAQVEDDLASIYPFESLNTYNGYDHHHQNMFLNREERLLYPNANDQPWNITVCSSSPVPSFL >OMO85055 pep supercontig:CCACVL1_1.0:contig09669:16666:20278:-1 gene:CCACVL1_10441 transcript:OMO85055 gene_biotype:protein_coding transcript_biotype:protein_coding description:LMBR1-like membrane protein MGDFNLALVIVAIVVCVLVFLFNVYLLVNYQHPDDANQAYFPKFVVVFGLSIAAISILMLPADVANRQACRHAIYNGACNLTLPMKDLWLAIYIVDAVLVFFIIPFAMFYYEGDQDKSVGKRIKSALCWVVTTAIVCAAVLGILYGLVGKVDFTVRHLSSATTNFPSSWDFSSSQPCIGNGARACSAFTANASSEKTWTMRTTFPEYVVALATIVGSVLFSIFGGVGIACLPLGLIAAFIRRPKAVITRSQYIKEATELGKKARELKKAADDLHQEERSGSKGRKWRKNVKNVEKELLRLEEDVKLLEEMYPQGEKAETSWALTVLGYLAKLVLGILGLIVSIAWIAHIIIYLLIDPPLSPFLNEVFIKLDDIWGLLGTAAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVALILLCSISVIQFCSTAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIAFVVLAGLTFVYYLAFGWRRKKPSGKFQLSS >OMO85054 pep supercontig:CCACVL1_1.0:contig09669:7192:7790:-1 gene:CCACVL1_10440 transcript:OMO85054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQNLDAAVVDPKLMNLNYAIEGGGQVGSAFGHDDDGDV >OMP11568 pep supercontig:CCACVL1_1.0:contig01149:12956:14049:1 gene:CCACVL1_00439 transcript:OMP11568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTTTHLSAIVEAFEELLEFQVKDGQLRLDRFCETCSLISVLFNCLGLAFKFAEMEYVAKIHDLVEASKSYATLENVLDLDVANGTVKKPGSHSRNLRRVRQGLDLIRALFEEFLSSEDYSLKDAASTAYAQVCAPFHTWTVRTAVSAGMYTLPTREQLLLKLNETENSAEKKMRMYIKASRPVIDYIDKLYVARNISLDW >OMP11567 pep supercontig:CCACVL1_1.0:contig01149:9025:9520:1 gene:CCACVL1_00438 transcript:OMP11567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MANMEENSHNESNAKRPKGGMITMPFIFSNEVCEKLAVVGFNANMISYLTTQLHMPLTKAANTLTNFGGTASLTPLLGAFIADSYAGRFWTLTVASIIYQIVRFLVYFIQIHEK >OMP07042 pep supercontig:CCACVL1_1.0:contig04704:483:1068:1 gene:CCACVL1_01387 transcript:OMP07042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLDNAKEVLTEEEFTKLQELQTKSSDFEATPDEEKNLLELKNSVREKIAQRDKAKNLSFLNGKFANMPPSSLRDKDGKEVEEAIKTGERISKGAKEAIKKMGVAKFVELITDKAYFIDHVSTPTVGIMANKKVYKHINEQAKRLEFDVEKFKQALGIKA >OMO74362 pep supercontig:CCACVL1_1.0:contig11137:38305:44518:-1 gene:CCACVL1_16797 transcript:OMO74362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGWQSCRCRCGGSGRSCDVRCVEDLKKQEHPEAKKRKKYSNKEMPVYPPSPCRRVSSYTHCAAPCIGV >OMO74358 pep supercontig:CCACVL1_1.0:contig11137:8318:11869:1 gene:CCACVL1_16793 transcript:OMO74358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYYTGSNNQRDTAPMIYLRESMPGSYPEAPVLPGNAMMYMNSGSFSDAFAGNSQQQSNCIGIQAVEASDSTSQQQEILSNLGGSRVGEHDFGAWRDGRNEMLVMHSMGGPASILHSGQNLQGQGLSLSLGTQIPSGIQMPSIPYRNPNSGFASFLGPNPSVSGEGGSRNSSSRDEQSRNVEYLPPGFSGGNHDSAKGDLSPYGMSSIARTIPNSKYLKAAQQLLDEVVNVRKALKQPDGEKNQNSQEHQMKSSKDDDEGSKNVPSNQQESSNNSPKELSHAERQELQNKLTKLLSMLDEVDRRYKQYYHQMQIVVSSFDVIAGCGAAKPYTALALQTISRHFRCLRDAINGQIRATRKSLGEQDTSENSKGVGITRLRYVDQQLRQQRALQQLGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYKEEFVDAEMDSNSSSENAVKATKGDTMTSEDKGEDLQQSGSSSATERCNTGHLMDSKSDHVPDVEMAGSTTGAGFPNVTRGDAETEYGLLKLRAEQRPSVDDSSLFSDAIGHSDGGNDRFMAAAAAAYHMSELGRFGSGSGVSLTLGLQHCEGGSIPMSGGSHQSFVAMRGDDIYNPAASSVGAETADFECINPGNRQHRFSSSHLLHDFVA >OMO74364 pep supercontig:CCACVL1_1.0:contig11137:52592:56786:-1 gene:CCACVL1_16799 transcript:OMO74364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrin MGAACCVAARDKTIVNGSSSEVLHRNIRYSPTWSFRWDNRGRVAGEDTSISWFSDAISRNDGSEIKYESACASEDGSPSDSFQSRTWQKSPTSEGTAGQVRTPASDQSISRNISIDVNLEQVKESAASPAVSYPSPPKISLSLPSASSLATSPLSSQSHVHPNSSTTTRWPLRSPGHHLLRQVSDNRFLGLKSPNRYSVGEERLAMPSWSNDSTGGSRGGSSSDGWSMHAFSELMATSRRERWSFDNDAWGFHREKISRSSGRTSASPSVDLQTCGVCSKLLTEKSLWSSQKIIISNELPVVAILTCGHVYHAECLENMTPEIDKYDPACPICTLGEKKAHKLSEKAFKAEMDLKAKINKRSRSRVVDGGDIDAAPVVFSRLKSSGHEGKASSSSMKSSLGRPFLRKHFSFGSKGNIRDVHGNPIQLTDEHGNPVQLTDEYGNPVYVTGVATNQTTTLADQLARLPCSPTDIQGEQLYEKYEVHQKQPHQNLIYETSVKEEIRRSNSSSSSSEEDDGMGGRRKKKGLKEKIKEKLTGGKHNEEEQSNTATYTTTATYTTSTATPGGVAPGTHHTEHHEKKSFMEKIKEKLPGHHSH >OMO74359 pep supercontig:CCACVL1_1.0:contig11137:13085:23719:-1 gene:CCACVL1_16794 transcript:OMO74359 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MVVDFEFNEHRTGAQELDNYMKRHKRMKVLKEGEERVSSTLPATIDVDLEQKTKEDARKVVDSLNPFATSSLYRALVMSSHSVTEEMEALRSKLEQLQTSPIMKSSESFMHSEEGKAKRRNVMDLAERQDTQPENQNIINNQATNSAKKEAPKEEVRGAATDIDIGLNKIIYLCDNVRIKEDNDQAHIVDDMISKLSEIGMNKSLYDIAGETSGKVVESDPDNAVMMNKRKYIDTDEEEEEEDNQADTASVEGLENIWEEMAFASECSKDIAPVSITIETSRGDEDVCNHSFVLEDDLGEVCRKCDTYKKKHKRMKIFKEGEHHASSTFADMEEKTKKDALVVVDSSNPFAIPNLYRAFGSVTEEIAGLRFKLKQLISSPIMKSAESLMRSEVGKAMKRNFLNLEDKQDTQSANQNVINKQQVSTPVNPIDVDQWVSNNANETSSAQSVTQPAQDSYHLEDDNAGNDDKEVTEIIIISDSDDDDDGVRNPSHQEAVADSITYVLSTEDTMVLDSAEMEAPKEEVRSAATDIDIGLDDIIDLCDSNEVRDSQERNAQKEEEGNKLEEVAFGMDESVDQLTGYPERKASEEEGEIVFNIDVGSAKNTIIDIDDDRLIEEENNQDDMADDMGRKPSEVHFEMDKSIDQVTGCSERKASKEEVETVPNIDVRSAKETYNSTDKNTRIKEDNDQTRIADDMRSKPSEIDIEMDKSSDDVVGETSGKEVESDLDNSIMDKSKYMDTDDEEGIDNQADTASDDGLENIWKEMAFAFECSKENAPVIRKSEISSEDDEEICDHSFIIKDDVGRVCRICGIIETGIETLIDIQYSKAQRSIRTYSYEPRNKSISGLNGIVPSGVNSLGDDSESTEYYAHPRHSKQMRPHQVEGFNFLCSNLLPDDDPGGCILAHAPGSGKTFMIISFMQTFLSKYKNGKPLVVLPKGILETWKKEFQKWQVEDIPLLDFYTLKAENRSQQLDVLLQWEQQKSILFLGYKQLSSIVCDNSGSKEAADCQDKLLKLPTILILDEGHTPRNEETDVLQSLIRVQTPRKVVLSGTLYQNHVREVFNILNLVRPRFLESNTSRAAISRIMSIGTVSGARKNIKYGKQSAFFDLVEYTLQKDENSKRKEAITQELREMTSNVLHYYKGDFLDELPGLVDYTVVLNLSEKQKEGLKNLKKLERFKQIATGTAFYVHPDLKDFSDRYSSAVNNERLDQLLENLDPRHGVKMKFFLNILGLCESTGEKLLVFSQYILPLRLLERASMKNKGWMPGKETFLITGDSDYSQRELFMQRFNNSPDAKVFFGSIKACGEGISLVGASRILILDVHLNPSVTRQAIGRAFRPGQTKKVYIYRLIAADSLEEEDARTCFRKESISKMWFEWNKCSEFQDFKMKTVDLDECNDQFLESPALLEDLKVLYQR >OMO74361 pep supercontig:CCACVL1_1.0:contig11137:33202:37696:1 gene:CCACVL1_16796 transcript:OMO74361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQEQLSAIKGAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRFRPGISITPYHANVKESHFNVDFFKEFDVVLNGLDNLDARRHVNRLCLAADVPLVESGTTGFLGQVTVHLKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKELLFAKLFGDKNQENDLNVRSSDAASSSEHSEDVFECRKDEDIEQYGRRIYDHVFGHNIEVALSNEETWKNRNKPRPIYSKDVIPEKLTQQNGNAEKGCATDDVSAMASLGLKNPQDVWSLAENSRVFLEALRLFFLKREKEIGSLTFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGIAGNIVHAIATTNAIIAGLIVIEAIKVLKKDSTNYRMTYCLEHPSKKMLLMPVEPYEPNKSCYVCSETPLSLEINTHRSKLRDFVEKIVKAKLGMNLPLIMQGASIIYEVGDDLEEDMVAIYAANLEKTLSELPSPVTSGTVLTVEDLQQEFSCSINIKHREEFDEEKEPDGMLLSGWVEAPVAKDNDKPIGNGESTSNALPEEEILAADKDVEIQETESATGKKRKLSEVSKDTTPDHSGVPDGSRNQNEVEKLDVDDEDELIVSDDWESLTKKKRL >OMO74365 pep supercontig:CCACVL1_1.0:contig11137:63753:65178:1 gene:CCACVL1_16800 transcript:OMO74365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDGEEYGISKLPDEIVISILRRIPMKEAARTSVLSRRWKSLWTLCLRLELDGSKRMFYFLTKVKGYSESDREIEREGFINWVNHVLESCHAHAHALEEFKVGFGLHDKFRPEIDKWVRFGGNYLPWFARNLPLLSASNFSHLQKLVVSTGNEREWPEHPILSQLKQLELNSVIASDEDFLHCCPLIEACTSLNRLALKLYMVQLSDCKDTIREVRRPNKCVHQSLKVVEVTGFVGRTADTELCIYLIENAIMLDKIIIDPCHLVFRGTPYEDAYPEMVMRQYLPLGLVLGCGTP >OMO74360 pep supercontig:CCACVL1_1.0:contig11137:24565:29929:-1 gene:CCACVL1_16795 transcript:OMO74360 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MESPLPVLPTDYGISNELYSRGCKRAKILHDSKDDSVASAGNPQLETEKEKPKASPNVIDYSDPFAVSKMLETFNTGGKYGSVTKDIEALISRNMHLANKVLALHPGLSNVLNLFDDVEKTPIKKETSKLPSRQLAHLSRNNFIDLEDDSVENGISSTQSAVVILDSDDEDNRNTRLLHPVQEIILRKPSEILLSKEIRVGESNLLQLEEAKLLQLGESNVYQAPESLGNRSYKEEKVNLPGEIDTKKDKGVYIGVEDDVDTETEIEDDGLGDIWQEMSMALEVSKDVSEDSSSSEDMSEDEVCDHYFVLKDDLGSVCRICGVIEKGIETIIDIQFNKAKKNTHTYASDSRNAKNRDSTEIGVKLPEDELAVTDIAAHPRHMKKMKPHQIEGFNFLLSNLVTKNPGGCILAHAPGSGKTFMIISFMQSFLAKYPHAKPLVVLPKGILSTWKKEFETWQVEDIPLLDFYTVKADNRSQQLEVLKQWIEHKSILFLGYKQFSTIICDGDTSQTSKSCQEILLKAPSLLILDEGHTPRNENTDVLQSLVKVQTARKVVLSGTLYQNHVKEVFNILNLVRPKFLRLDTSRSVMKRIMSKVHISAVRKQFKAGAEAAFYEMVEYTLQKDDNFERKVSVIHDLREMTGKVLHYYKGDFLDELPGLVDFTVVLDLSHRQKEEVKKLKRFQRKFKISAAGSAVYLHPELKSFSENSVVTDDMMDKLVEEVDLKEGAKANFFLNMLNLCEAAGEKLLVFSQYLIPLRFLERLTVKRKGWHPGVQIFSITGDANNDQRERAMEQFNNSPDAKVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQKKKVYAYRLVASGSPEEEDHSTCFNKELIAKMWFEWNQYRGNRDFDIEPVDVDECNDLYLESPLLRKDIRALYKR >OMO74363 pep supercontig:CCACVL1_1.0:contig11137:45414:49722:-1 gene:CCACVL1_16798 transcript:OMO74363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase MISNSKLSNHTDSLSLNDAELDRFAEVGNHLADAAGDIIRQYFRKKFDILDKEDLSPVTIADQAAEESMVSIILENFPSHAIYGEEKGWRCIEESADYVWVLDPIDGTKSFITGKPLFGTLIALLKKGKPILGIIDQPVLRERWIGISRRKSTLNGQEVATRTCTELSRAYLYTTSPHLFKGDAEEAFARVRDKVKVPLYGCDCYAYALLASGYVDLVIESGLRPYDFLALIPVIEGAGGVITDWKGHQLDWKASPDSQATTMPPAQNQDHDQVVMGYPANYVQIIRPRHDEIIRTPEPPCDNPGHEKPRPCLKCLTVLFSTVALLSFLVFIGYYFSTYRNKNPDIKIESITATHVKAFPNNTNTVSADWHLTFFFDNPNTFASISYKKIQVSVFDGDERVSFGSLDSFDQKKGEEGRMQMEINGLSMNMEKNKLNSAGEMAVSLKLDAVVWVDNKYMKMKNHWRLLVADCGNVNVDTSMNFSWDGSGRCNVNLD >OMO51937 pep supercontig:CCACVL1_1.0:contig15672:12663:15619:-1 gene:CCACVL1_29484 transcript:OMO51937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MTPKISRELPLVVPVPGLSLVSSKSTSKCFEQPHPNRKFEDSDLPRQPTDEAESKELNLDIGAYEQSTTPRVRIDGKVVKMGVYNVFNGSLIMEFDGEVIHPRISSQVPLKSNNFVYVISSKFVKKGAIEDSSSTKPDRISKGRQRIMDIRQRYNETFHQFWRRFKQLCADCPDHGFKKEILIQFFYGGLGLKDRVFVDSFSTKPLHERTPEKAFNVLEELSQRTKSSTSHEKKDRAKEAKKEPEVNNKEVQPRLKIDQPSNSAEKPDIDLKHRTKLEVFFNVKWRIFSNFGKRFKKLCAAHPYHGYDQRRLVQIFYQGLRASDRLSVDTLIYIPLLDHSTADIYEIMENFAQMITNTSKEAAQGLSPVKPVPSTSHGRPTPAKASQACATSSLAVPNPATCPDATS >OMO92038 pep supercontig:CCACVL1_1.0:contig08274:31382:34496:-1 gene:CCACVL1_06930 transcript:OMO92038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEVVKDLGAGNFGVARLLRNKETKELVAMKYIERGHKVVLTPTHLAIVMEYAAGGELFERICSAGRFSEDEARYFFQQLISGVNYCHSMQICHRDLKLENTLLDGSPAPHLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQEDPRNFRKTIQRIMAVQYKIPDYVHISQDCRNLLSRIFVANSSRRITLKEIKNHPWFLKNLPRELTDAAQASYYRRDNPTFSLQSVEDIMKIVEEARSPPPASVPVKGFSWGQDDDEEEDVEAEVEEEDEEDEYDKRVKEVHASGEFQIH >OMO94113 pep supercontig:CCACVL1_1.0:contig07965:6339:8732:1 gene:CCACVL1_06162 transcript:OMO94113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNKIPWLMLPLFLLCFSLESHVSFGADTISFNQSLSGDGTIVSASEVFELGFFRPGNSSNYYIGMWYKQASQPNTVWVANREKPVRDINSSVLKIVDGNLVLFDESELPIWSTNISSTNSTSVEAVLLDDGNLVLRQGPNSSTILWQSFDHPADTWLPGMKLSFDKRTNQSRSITSWKSQDDPAPGLFSIQIDPSQTDQIIFLWNRSQRYWFSGTWDEQTKSFTLLPELRTLYLYNISYRHVSNENESYFTYSFDNSFAISRSSIDASGQFKAMLMLTNSGEWSLFFSQPRQQCDVYGYCGAFSNCNEKSRQFCNCLTEFQPASQKEWDQQLYTGGCVRQAKLKCGNDSVNGREDQFLESRMTTFPTNPRTVTADSIKECKSTCLNNCSCTAYAYDESIGCSIWTGDLLNMKQVGEDDAKGKSTLHIRIAEFSNPKNKKGPIIVAVAVSVSAGVALLGVMMFIIIRRQRKTISSTKAAQSSVMAYGYKDLQKATKNFSERLGRGGFGSVFKGTLPDGSLIAVKKLEGINQGEKQFRTEVSIVGAINHVNLIRLRGFCSEGSRKLLVYDYMPNGSLDKHLFNAKGSGKLDWKTRYQIALGAARGLAYLHDKCRDSIIHCDIKPENILLDVDFCPKLADFGLAKLIGRDFSRVLTTIRGTLGYLAPEWISGVAITPKADVYSYGMMLFEIVSGKRNFQNSNDGSTFFPTWAAQQVTEGGNVLSLLDNRLNGNVDLEELYRICTVACWCIQDEEIHRPSMSQIVQILEGVVEVTLPPIPRFLLIDTTLSLCSESQSTL >OMO94114 pep supercontig:CCACVL1_1.0:contig07965:10273:12666:1 gene:CCACVL1_06163 transcript:OMO94114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPWFVFPLLFLFFSLNSKLSFGADTISANQFLSGDQTIVSSGGDFELGFFKPGNSSNYYIGMWYGLGLVSEQTPVWVANRETPIRDRFSAELRISDGNLVLFNESQVPIWSTNISSSTGSSSVIAVLEDTGNLVLKDGPNSSTLLWQSLEHPSHTWLPGGKLSLDKRTNRSQLLTSWKNSEDPSPGLYSLELDPNGSNAYLIRWNRSEQYWSSGPWDETARIFAWVPEMRLNYIYNFSFVSNENESYFTYSLYNPAIVSRFIMDVSGQIKQLSWLESSKQWNLFWSQPRQQCEVYAFCGAFGSCSEKGLPFCTCLQGFQPKSQGDWNLTDYSGGCQRKTKLRCEDPTLPNAKEDKFLELPNMVLPVDPQSMSVGSNSECESTCLNSCSCTAYAYDSDGCKIWIGELLNLQQDTSNGETIFIRLAASESSSSSNNKGIIIGATAGLVGLILVVAMLGILIWRRRTIKTPKPTGSSLLAFRYRDLQNATEDFSQTLGEGGFGSVFKGKLPDSSVIAVKQLESSSLGEKPFRMEVNTIGTVQHVNLMRLGGFCCEGTKKFLVYDYMPKGSLDAHLFHEKNSVLDWKTRYQIALGIARGLTYLHEKCEECIINCDMKPENILLDSDLCPKVTDFGLPNLIGREFNKILTTSMKGTRCYLAPEWISGSSITAYADVYSYGMMLFEFVSGRRNSEESDDGKVRFFPTWAAGLVSQDGDVLSLLDPKLKGDAPVEEVSRICKVACWCIQDDETHRPSMRQVVQILERVLDVNLPPIPNSLKCLSNSQSTYSFFVESSSSHSS >OMO94112 pep supercontig:CCACVL1_1.0:contig07965:19:3035:1 gene:CCACVL1_06161 transcript:OMO94112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPFHGEAALVGRPAHGEAASGTVPPWLNLPSGAASPREKPVRDIYSSVLKISDGNLVLFDESQVPIWSTNVSSTNSTSAVAVLLDDGNLILRDGPNSSIILWQSFDHPADTWLAGSKLSFNKRTNQSRRYTSWKSQDDPAPGLFSMEIDPNQTDQVIFIWNTSQRYWVSGAWDEQANSFSLVPEMRMLFLAGFNFTHFSNENESYFTYSFNNHLIISRCSINASGQFIATSWLTSSREWTLFFSQPRQQCEVYGYCGAFGSCNDQSTQFCNCLTGFQPASQEEWNQQLYTGGCVRQAKLQCQNANERRDPFLKSRMTTFPTNPLNVTADGIKDCKSTCLKNCSCTAYIYDENNGCSIWIGDLLNLKQPGEDDSEGKTLYIRIASTPANKKKLIIVAIAVSLGLFLLGLITIFIIKIRRWRRTIIPTNPTEGSLMPFGYKDLQKATNNFSEKLGKGGFGSIFKGTLPDGSLVAVKKLEGINQGEKQFRTEVSTVGVINHVNLIRLRGFCSEGSRKLLVYDYMPNGSLDKHLFNAKGSELLDWKTRYQIALGAARGLAYLHDKCRDSIIHCDIKPENILLDADFCPKVADYGLAKFIGRELSRVLTTMRGTLGYLAPEWISGQDITPKADVYSYGMMLLEIVSGTRNFRVQHSNDEQTAFFPATVALQVTEDGDALNLLDNKLNGDVNIEELSRICTVACSCIQDDEFQRPTMSQVVQILEGILEILLAPIIHDFLASFSLLYGDGA >OMO97540 pep supercontig:CCACVL1_1.0:contig07231:78828:88330:1 gene:CCACVL1_04525 transcript:OMO97540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQTSTHHQGISSVANITTVTTTTSFHYQKLKMKIEKRACSKDRTSKEMGFLL >OMO97538 pep supercontig:CCACVL1_1.0:contig07231:68845:69597:1 gene:CCACVL1_04523 transcript:OMO97538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAREAEKGVLKLVHPGRHVEIHKEPIVAAEVLKKNPRHSVTRPDVFEYPWIVVRPESLLNLGRVFYIVPNRTIYKLIKAKGFCIQPSLRQHLSTKDSSAGTTPKHKGHCQSHWQQFHFQATNWDKASPQDDSTQFVSFADMVTKYQSSYTEYKQMSVTDSMSDIESPGDKGYHVSEISSSKEAALRLNDDALGTECKQMVTILKPCLRKQDSARKLLQLKVTFFLPTKFEERKRKATDPGTEYTHFLTC >OMO97537 pep supercontig:CCACVL1_1.0:contig07231:65178:67035:1 gene:CCACVL1_04522 transcript:OMO97537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGKVFTLAEVSEHNSRKDCWLVIEGKVYNVTKFLEDHPGGDEVLLSATGKDATDDFEDVGHSSSARAMMDEFYVGEIDTSTIPSKTKYTPPKQPHYDQDKTSEFVVKLLQFLVPLLILGLAFGIRFYTKSPA >OMO97539 pep supercontig:CCACVL1_1.0:contig07231:70598:74294:-1 gene:CCACVL1_04524 transcript:OMO97539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQQQQQQHHHHHHNHHHHHHHHHQQQLPLAKSSRQRCNEWIFRDVPSDITIEVNGGTFALHKFPLVSRSGRIRKLVAEHRDSDISRVELLNLPGGAESFELAAKFCYGINFEITSLNVAQLCCVSDYLEMTEEFSKDNLGSRAEEYLESVVCKNLEMCVEVLQQCENLLPLADELKIVSRCIDAIASKACAEQIASSFSRLEYSSSGRLHMNRQAKCEGDWWIEDLSVIRIDLYQRVMTAMKCRGVRPESIGASLVNYAQKELTKKSSLWNPSGQTKVDLLSTGHERLVVETIVSLLPVEKLAVPISFLFGLLRSAVMLDCTIACRLDLERRIGSQLDIATLDDLLIPSFRHAGDTLFDVDTVQRILVNFSQQDDSEDDMDDASVFESDSPHSPSQSALLKVAKLVDNYLAEIAPDANLKLSKFMAIAETLPAHARTNHDGLYRAIDIYLKAHQGLPDADRKKLCKLIDFQKLSQEAGAHAAQNERLPLQSIVQVLYFEQLRLRNALCCSYADDDPKPVHHQSWRISSGALSAAMSPKDNYASLRRENRELKLELARMRMRLNDLEKEHVCMKRDMAKSHSRKFMSSFSKKIGKLSFFGHSSSRGSSSPSRQSYRTDSKVIERTCASTD >OMO71040 pep supercontig:CCACVL1_1.0:contig11740:26222:28060:-1 gene:CCACVL1_18492 transcript:OMO71040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier, SUMO MSQSQSGGRANGNGNASADDRDRVRLTMAGQDGSRVLYRVRRNYRFFRLFQDYCKKKNLDYQTARFLLNGHRIQGKSTPEKVKNFLGTSP >OMO71037 pep supercontig:CCACVL1_1.0:contig11740:11431:17349:1 gene:CCACVL1_18489 transcript:OMO71037 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor MTHLFSVLVLLLKIHTIKSCAGISLKTQELYAIVFATRYLDIFTNYISLYNTIMKLIFLGSSFSIVWYMRRHKVVRRSYDKEQDTFRHYFLMLPCLILALVINEKFTLKEVMWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRAFYILNWIYRYFTEVHFVHWITWISGLVQTLLYADFFYYYFRRIEKWGAPYFSVNSSGNISIRPKGLNATSTDQKEIDLFNVVKKVSANKSSGGLGLQLRLIIRLPDVLKHRLESLQSAFESSITACNYRSHYQDGIVEATQIYCELVRLGACMQFLDVGGGLGIDYDGSKSKNSDFSIGYDLQEYASTIVQVIQQVCDSKSVKHPVICSESGRAIVSHHSILIFEAISSSASDSDSPGLNPEGLDHLIEGLTENARLDYSNLSAAATKDDQNTCLLHANKLKQNCLDQFKKGSINIEQLAIMDELYDMVLKATGAYEEALGGSHNLLGNPSVVQVTSESSEAEGFAVTIAMPGQSCRDVLRTMQYDPDVMFEELKQRIKGFDRLQVNGITDGMLQSVLEDISSNMPYLMKGSSYCSLV >OMO71039 pep supercontig:CCACVL1_1.0:contig11740:22455:24070:1 gene:CCACVL1_18491 transcript:OMO71039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MIGINDLYSVLTAVVPLYVTMFLAYASVKWWNIFTPEQCAGINRFVAIFAVPLLSFEFVSRINPYKMDLLFLAADGVSKVLILLVLLCWANFSKSGSLDWSITLFSLSTLPNTLVMGIPLLKSIETRTSVLSQLKESRNSCYFDKEKENLKATGEKIGGNCGNEDEVINVIATTPSNQQTAQNVNKIAPDQSQRFKPMVAASVDGEDGTGTCEQTVQTAQKEESSGKVVASEKQGDLEDASIPSSLSSAMLLKILKKVWFKLVRNPNSYSSLLGLSWALISCRWDIKKPQIMENSVTILSSAGLGMAMFSLGLFMALQPRIIACGKKLAFYGMLARFLAGPALMAIASVAVGLRGTTLKVSIVQAALPQGIVPFVFAREYNLHPDVLSTA >OMO71036 pep supercontig:CCACVL1_1.0:contig11740:5560:9441:-1 gene:CCACVL1_18488 transcript:OMO71036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSAGSGFLSITVFILVILSLNFVDGESKKRPIPSTAEGPFKPVTVPLDKSFRGQAIDLPDTDPRVQRTVRGWKPEQISVSLSTTYDSVWISWITGEYQIGEDIKPLDPKTVGSVVRYGRLKFPLTHRAMGHSLVYSQLYPFQGLQNYTSGIIHHVRLTGLEPDTLYYYQCGDPSIPAMSDIYYFRTMPISCPKSYPGRIAVVGDLGLTYNTTSTIGHLMQNNPDLVLLIGDATYANLYLTNGTGADCYSCAFPNSPIHETYQPRWDYWGRFMQPLVSKVPIMMIEGNHEIEEQVGHQTFAAYSARFAFPSEESGSSSTFYYSFNAGGIHFLMLGGYINYNKSGDQYRWLKKDLAKVDRKVTPWLVATWHPPWYSTYTAHYREAECMRVAMEELLYKYGVDIVFNGHVHAYERSNRVYNYSLDPCGPVHITIGDGGNREKMAIKHADEPGNCPEPSTTPDKFMGGFCAFNFTSGPAAGKFCWDRQPDYSAYRDSSFGHGILEVKNETHALWTWHRNQDSYGSTGDKVYIVREPERCPVEPE >OMO71038 pep supercontig:CCACVL1_1.0:contig11740:18266:19204:1 gene:CCACVL1_18490 transcript:OMO71038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier, SUMO MSSNKAVIPPPSTINIVISGQDGSKLSFKIGRSIKIKKLLTLYCEHRSVDYDTVRFLIDGDRLPVNKTPEQLGLVDGCEIKAMLEMFGAGMRLSKTMTSHGKVSNNK >OMO55999 pep supercontig:CCACVL1_1.0:contig14564:29383:30074:-1 gene:CCACVL1_26830 transcript:OMO55999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S10 MASATATYAPMKPTKAGFEETHEQIHKIRITLTSKNVKNLEKVCKDLIQGAKTREIKVKGPARMPTKVLRITTRKAPCGEGTNTYDRFELRIHKRLIDLYSTAEVVKQVTSITVEPGVHVEVTIADV >OMO56003 pep supercontig:CCACVL1_1.0:contig14564:74207:76487:-1 gene:CCACVL1_26834 transcript:OMO56003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MESEEPKPVVLITGCSQGGIGHALARAFADRNCRVVATSRSLSAMSDLQQDPRFYLQELDIVSDQSVQNVVSNVLEKFGCVDVLVNNAGIQCVGPLAEIPLPAMENTFNTNVFGSMRMVQAVVPHMASRKKGKIVNVGSVTVMAPGPWSGVYTASKAALHVITDTLRLELGRFGIDVINVVPGAVRSNIGNSAVASYNRMPEWKLYKPFEAAIRERAHFSQMSRSTPTDVFAKNTVNAVLKKNPPAWFSSGYYSNVMAIMYHLPIFVKDFIIKKVMKG >OMO55998 pep supercontig:CCACVL1_1.0:contig14564:22842:27362:-1 gene:CCACVL1_26829 transcript:OMO55998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 METWRSTVLILAVFLAFVSVDVAAVVTYSSRLIHRFSDEAKLLRFPRNGGGVRWPERKSLEYYELLVNSDFQRQKMKLGPKYDLVFPSQGSKTMSFGNDFGWLHYTWIDIGTPNVSFLVALDVGSDLLWVPCDCVQCAPLSASYYSSLDKDLSEYRPTGSSTSRHIPCSHQLCDSSPNCKSSKQQCPYTVDYYTENTSSSGLLVEDMLHLASASGHMLNSSVRAPVIIGCGMKQSGGYLDGVAPDGLMGLGPGEISVPSFLAKAGLIRNSFSMCFDEEDSGRIYFGDEGPPTQQSTQFLLSDGKYMTYIVAVEACCVGDSCLKQTGFSAVVDSGTSFTFLPNEVYERIAKEFDRRVNATITSYPGYPWEYCYKSSSQELPKVPYLNLMFPSNNSFVVYNPVFVVYGLQGVSGFCLAIQPVEGDVGTIGQNFMTGYRMVFNREDMKLGWSPSNCQDLADGKRMSLSPNGTPSNPLPTNEQQSTPGGRAVAPALAGRAPSRPSVAPTRLVSSQFCYLKLLPMLILLHVLISSFPLDTAPHW >OMO56002 pep supercontig:CCACVL1_1.0:contig14564:59106:72550:1 gene:CCACVL1_26833 transcript:OMO56002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKYQILDNRPIDQWKVTELKEELKKRKLTTRGLKEELVKRLDEAVRIERENAAKDADNGFNSEPQPVEVGSTEEAVPVAVETVKDVLDHDDSGIEKGSGVKVQVDINDSAAALGPGVVQGRDIPVEEEPIIQITTVQTEITVSETLVSDIALTGQDLQSSGQEENVNSNIPVEHEGPKPKIENEGPKPEAGNEGQKPDVGSEGQKPEVENDTSKSQVESMGSKPQLESDDAEPQLETIGSKPQLENDDLKPQLENAGLKAPHEDGMHDSSAPTNQVSEEDVLESKQIDSKFSTEEMGEKSEKNEEPVVKEDSPVDVVGDGVSADKKDVFIENKSHSAVPSEKRKLHDQEAVVNNEPAKRRRWNTDNVKVPERQGPNLTPTTTPKETIQPAALRRNFSRSDSTASDDTPKERVVPPSQKPATTSLRIDRFLRPFTLKAVQELLGKTGTVTSFWMDHIKTHCYVTYSSVEEAMETRNAVYNLQWPPNGGRLLVADFVDPQEVKMRLEAPPKTPTTPGTSGPTAPQVQPQPQPSPRQQISRQQLPPPSLPPPPPLSNPPSVRERLPPPPPPPEKLDPPIVTLDDLFRKTKATPRIYYLPLSEEQVAAKRAARGRYTKQCHHRGYKTLPSKIGRYLSVKKPSAAEARNSAQNINENGVRQENGKLIIISNNCPPLRKSEIEYYAMLCKVGVHHYNGNNNDLGTACGKYFRVSCLSVIDPALSHWCIFHRSKAELVVATWDKQFHSSQMVQKVPLLYLANDILQNSKRKGNEFVNEFWKVLPGALKDLLENGDDRGKNVVSRLVGIWEERRVFGSRARSLKDVMLGEEVPPPLELSKKRPRSTSVRIVKRDSRSIKTKLSIGGTAEKIVSAFHLVLGEQPNEDEETSKCKSAVHRVKKMEKDVDIACATAKDPKRKTLAKELEDEENILKECIEKLKSVEASRAALVLQLKEALREQESELENVRTQMQVAHAQAEEACNMRKLLNDEDYVSTSATLDANAKAGETPKRTAAAIAAEVADKLAASSSSQMIMHSVLSTFAAEEAKNAGLKNSLTSVPVNSVTDAVSKSEKSVPVADPNAFVPAQALAPPINHSYQSVMVPQPAMQNQTTTSQAQYHMLPNMASQQYLQPSGGTVNPYGSYGGMPPLPPGPPPPPPYMVSPMVPLTPQALPITQQQPVPAAQQTTPMTQQQLLPLTQPPVPPSFRPLQPPGMVYYGHPPHSYGFLFVSVQSCSLNREESSSTSFSVLFVGEGWMSDASFMTEEALSIEKVASPEEPPELPAQGLPELPTLVREAPLPELRPTELPAPKLRRREGPPELPTLGREAPPLELRPTELPAPELRRRQGPPELPTLGWEAPPPELRQNEGPPELPTAGQREAPPQVFILQG >OMO56001 pep supercontig:CCACVL1_1.0:contig14564:52621:56604:1 gene:CCACVL1_26832 transcript:OMO56001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNKPRRFKSHQSHRGQSSRTHQPQREDDSLPPDQPPEEEPNVPKIQLAMWDLRVNSGFGGIVLSPVGSQCVSKEDYNLMKRKGLAVVDCSWARLSDVPFVKLRCGAPRLLPWLVAANPVNYGRPCQLSCVEALSAALLICGEEETANLLLGKFKWGHAFLSLNRELLKAYSECENSADIISVQNSWLSQQSQTPKALPDEEDNSEDEGSSNDSDDDGLPPLERNMNHLTLQESDDESE >OMO55996 pep supercontig:CCACVL1_1.0:contig14564:13681:15524:1 gene:CCACVL1_26827 transcript:OMO55996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSALTIANAKPVTHNFSKFKSPSQSFYCSCSSFSSSSNLPPPNEVKLSLNSKSAAKKCVLNVGIGLLAASVLALTPLDADATRVQYYATVGEPLCELNYVSSGLGYCDVIVGSGVEAPRAELINIHYTARFGDGTVFDSSYKRARPLTMRIGVGKVIKGLDQGIGGGEGVPPMLVGGKRKLQIPPHLAYGPEPAGCFSGDCNIPANATLLYDINFVGIYSGNAK >OMO56000 pep supercontig:CCACVL1_1.0:contig14564:33385:52042:-1 gene:CCACVL1_26831 transcript:OMO56000 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MLRRSILELSHRRWYPRRIPRQTVTQHSSPFIYSRKEFSTSLGKNATEKPESKSVLPKVALGSAIIGGAFLVAYQAGYLDQYLGKNPKVSTDSTSIGFDHKKEEGTQVVSSSNEETNKLTPFVDLPEQKVQTDIGVPPQSSETQVENQANAGDKSNETLGESTAAVPEKDLPEYSQSSLPSVDQNAHAAVSAEGNLKKVESEATKVPSKEIQDTQVDIQSSAAIGEKETEIVPSITTADKPQDEPSKGAKLPSLASEESQLKSVPSLHPTTEDKPGEEIEAPSSLLDTYHLREKTDESYLTSLDRKYEQLKETEAFGTAIEDLNEGYLSKDGKLVLDFLQAIHAAEKRQAELDAHAFAEEKRALKEKYEKELRDSRARELMHTEEAAILEKELRREKAKAAAAIKSLQEKMEQKLKMEIEEKEREAELELQKAKELGKAELAAAMANEKAAQIEKMAEANLHINALCMAFYARSEEARKSHSVHKLALGALALEDALSKGLPIQKEIDAIRTYLEGVEKDSVLDLVLSSLPEETRYHGTDTLLELNQKFNALKGTLRHFSLIPPGGGGILTHSLAHLASFLKVKEVDQSGEGIESIISRVDNYLAEGKLAEAAAALEQGVKGSQAEEIIGDWVKQVRNRAITEQALTLFAIDDPLSFPQIHFTKLAATLAWRFAANNGSSLATNDMEKNGDAKIQDSEPPTPHSVIKMGLRDRTSSMEDPDGTLASVAQCIEQLRQSSSSVQEKEYSLRQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSAEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWKQLQNGLKTGDLVDDLLTGALKNLSSSTEGFWSATVQAGGVDILVKLLTTGQPSTQANVCFLLACMMMEDASVCSKVLAAEATKQLLKLLGPGNEAPVRAEAAGALKSLSSQSKEARREIANSNGIPGLITATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCTSPAQTADTLGALASALMIYDSNAESTRASDPLVIEQTLVNQFQPRLPFLVQERTIEALASLYGNAILSVKLANSDAKRLLVGLITMATSEVQEELVRALLSLCNNEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCVLSNENDESKWAITAAGGIPPLVQILETGSVKAKEDSALILKNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLSALLTSDLPESKVYVLDALRSMLSVVPFHDILREGSAANDAIETMIKILSSTKEETQAKSASALAGIFETRKDLRESNIAVKALWSVMKLLNVESENILVESCHCLAAIFLSIKENRDVAAVARDAMSPLVALADSSVLEVTEQAVCALANLILDAEISETAIAEQIILPSTRVLREGTVNGKTHAAAAIARLLHSRQIDYAITDCVNRAGTILALVSFLESAGGGSVATAEALDALAILSRSEGTSGQIKPTWAVLAEFPKRVSPIVSSIADATPLLQDKAIEILSRLCHDQPLVLGDTVASASECIPSIARRVINSKNVKVKIGGTALLICAAKVNHHRVVEDLDQSNSSTHLIQSLVAMLSSGGSSLANPQDDNQDSISICRHAKEESRNEESDTGTAVISGSNLAIWLLSILACHDEKSKIAIMESGAVEVVTERISERSSQYAQMDFKEDNSIWICALLLAILFQDRDIIRAHATMKSIPVLANLVKSEVSANRYFAAQAMASLVCNGSRGTLLSVANSGAAGGLISLLGCADVDIQDVLDLSEEFALVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLTQLAKDCPSNKIMMVESGALEALTKYLSLSPQDATEEAATDLLGILFSSAEIRRHEAAFGAVSQLVAVLRLGGRAARYSAAKALESLFSADHIRNAETARQAVQPLVEILNTGLEREQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCKILSSNCSNELKGDAAELCGVLFANTRIRSTVAAARCVEPLVSLLVTEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGKNYTLHEAISRAVVKLGKDRPACKMEMVKAGVIESVLDILLEAPDFLCAAFAELLRILTNNATIAKGPSAAKVVEPLFHLLSRPEFGPDGQHSALQVLVNILEHPQCRADYRLTSHQAIEPLIPLLDSPAPAVQQLAAELLSHLLLEEDLQKDAVTQHVIGPLIRILGSGIHILQQRAVKALVSIALTWPNEIAKEGGVIELSKVILQADPSLPHALWESAANVLASILQFSSEFYLEVPIAVLVRLLRSGSESTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRAHQCEETAARLLEVLLNNVKIRETKATKTAIVPLSQYLLDPQTQAQQARLLATLALGDLFQNEALARSADAVSACRALVNVLEDQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNSLFSNFPRLRATEPATLSIPHLVTTLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSVAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVFCKLTLGNTPPRQTKVVSTGPNPEWDESFAWTFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPESKSGASRNLEIEFQWSNK >OMO55993 pep supercontig:CCACVL1_1.0:contig14564:5864:9319:1 gene:CCACVL1_26824 transcript:OMO55993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQVEKTSLELDILKGNLKEYEVENTKEASLDEILHCEKNLKNSLQLITEKKNMLAKQYPSYGQGQTVVLQRHEGQASLAYNNAARNQEKTQGKKRSRAKVSTSNLPQLLPMPDNCDPRTWPYSLRVPNGASSSYAINPQAVAAYYPAGYPYVPSQSQIPPTYPPIQPYYWDPTAVSAQNVHPASMLGNPSMTQPQPIMGNSAMPSVINPYQQPVAYGTSTSCQPMRPNMMHQQLGGVGSSYAPQMMRENEIAPSIPNYQKPAGGFCDPFLDQSSMGKGKAPYVQLQQTGILGNQYKLGMLANDKPSGSGTQQNPTPSTPYHTYINSNAQKDASQQLRFGLNEVSLLSNSAFKTSTQNVASQTRVGLNGVNLLSNPTFTTTQSTITSQPQMSQLLRGGLNGMNLLSNSTVTTTTTQSTVSSQPQFSQLRVGPNGLLSNPTLKSTQNVASQTPMSQLRVGPNGVRLLIHPTVNTTQNIASQPQLRVGLNEANLLSNNPSLKSTQNVASQPAMSQQLEVGSNALTLLSKPAYNITQNVTLDPLRSQIQVDSNEVSFFSDSTLTTTQNLDVTTIMNSERAPSKSFFSDIDIGSIMNLTLKDGGNIDNGSNGGNMNSDVINIIGNPNGPTQENPPPMSPESFFLESFLNDVRTELNRLHVCNFTVNELLLAFYKESKNDFSCKQVEMENNTAESQAAENNEGNFMDWDDLTFPENFNFDDLDLLF >OMO55994 pep supercontig:CCACVL1_1.0:contig14564:9672:10059:-1 gene:CCACVL1_26825 transcript:OMO55994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGTGTGTGCHVSTSSNDTLPPWHHNYTSTELLSALMSPTSFPLMKEIGGPSIPQVVVSQQQVETASNCPQMPSSDEGTSYESKLPHLNVDN >OMO55995 pep supercontig:CCACVL1_1.0:contig14564:10637:11958:-1 gene:CCACVL1_26826 transcript:OMO55995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRVKLQIKRIENNTNRQVTFSKRRNGLIKKAYELSILCDIDIALIMFSPSGRLSHFSGKRRIEDVLSRYINMPDQDRGTLVRNKEFLLSTLKKIKTENDIALQLATSSSTNSNTNSNMEEIQREISSLQHQLQLAEEQLRMYEPEPLAFTSMAEIESCEKNLEQALTRVTQRK >OMO55997 pep supercontig:CCACVL1_1.0:contig14564:17612:18154:-1 gene:CCACVL1_26828 transcript:OMO55997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAGTLEYLSDLMNNSGHKYKKKKQLQTVELKVRMDCDGCELKVKKALSSMSGVKSVSINRKQQKVSVTGYVEANKVLKKAKSTGKKAEIWPYVPYNLVAQPYAASAYDKKAPPGYVRNVENNVATSATVTRYEDPYISLFSDENPNACSIM >OMP09766 pep supercontig:CCACVL1_1.0:contig03042:331:462:-1 gene:CCACVL1_01042 transcript:OMP09766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSSGDGCFAQKKVLDRKSLKLMKLTRRGIGVKHIATAMLDF >OMO99145 pep supercontig:CCACVL1_1.0:contig06951:469:564:1 gene:CCACVL1_03908 transcript:OMO99145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPEVREKLRMEYEERDLSPEREKGKRIRDRP >OMO88084 pep supercontig:CCACVL1_1.0:contig09107:6720:6887:-1 gene:CCACVL1_08558 transcript:OMO88084 gene_biotype:protein_coding transcript_biotype:protein_coding description:transducin beta-like protein 2-like protein MDPVLSVAVLSVVLGAVIAFVFCQKLSSQAKIRSPEHCETGAPLRSQKAVEASSV >OMP10544 pep supercontig:CCACVL1_1.0:contig02245:1855:2235:1 gene:CCACVL1_00883 transcript:OMP10544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIYIPAEVYHRCTTVQYTVQANPDLNPAKPLVFVFMLDKEELRLKQALGFLLAG >OMO67065 pep supercontig:CCACVL1_1.0:contig12476:21425:23172:1 gene:CCACVL1_20820 transcript:OMO67065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDKISKIKEEPQLSGAYIRSLVKQLTSSRTKDNTITMNPKDNNNNPADNSVEAEGFNSQNLTKFSDGFSETATETQPQQQTQPPQHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKFHRAAMKQATEQQQQQPPQEQELQQFSQPSSSYHHHHNFEQESKTNKSRRNPRIYPSNTNINTNTLSPYNLDNFSYSSFSQQHYPPPPNPYSWPPAASSIPLIPSVTDSLNFNLPNQTLGLNLNFHDFNNIDTTLYHNNNNNPSIYSSSSPSSSSSPTLSVVTEEIPSGAISHNHELRPTAVADLTESDGGGGLHQAMDDEEMAEIRSLGEQHQMEWNDKMNLVTSAWWFKFLKTMELGPEVKAEDDDGFQPFDPVMEFPSWLNANDGCLQQHFNDHCPDHYFQDPALPCMDIGEIEGMDGDWLS >OMO67062 pep supercontig:CCACVL1_1.0:contig12476:7676:10369:1 gene:CCACVL1_20817 transcript:OMO67062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELATSSISGVRLNFLSPKSLTAPTFHSFKNPQSTQFLTFHSLVPRPLFSDGFVCRGSSLGFINNISSNSSYNNKISNKIYKRLDSCLVIPPPGNKKPRAIIKFLGGAFIGAVPEVTYSYLIELLAKEGFLILSVPYNVTFDHEQAANQVYERFNACLDNILAFGFPDANLSPDDLVNLPIFSVGHSNGALLQVLTGSYFCDKIPKANAIISYNNRPATEAVPYFEQLGPLVRQMMPVVEASPVYSMARSASDDAWKMFIDTAGAMIPDRDEEVLVSFNRFVDQLPSVFGQVTQGISEFKPTPSENRECCKNKYNVQNTLLVKFNFDTIDETDLLEETLKPRVESIGGTLEKVQLNGTHLTPCAQEPRWQAGYVYTPADAIVQGLKTLALNETKVLSRTISDWFRRFED >OMO67063 pep supercontig:CCACVL1_1.0:contig12476:11411:12795:-1 gene:CCACVL1_20818 transcript:OMO67063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein (ACP) MAAFASTSACPLATLATIPSARGSLSHHKSFISIGGPVFNGLKLIPKIQFSKEITKFSTRSSCFKTAISCSAAQPETLQTVQSTIAKQLSIDVSTVTPETKFADLGADSLDTVEIMMALEEQFGVSIGEGGAENIATVQDAADLIEKVKAAA >OMO67066 pep supercontig:CCACVL1_1.0:contig12476:25515:27876:-1 gene:CCACVL1_20821 transcript:OMO67066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dimethylaniline monooxygenase, N-oxide-forming MASEQGYLKSSKVGIIGAGISGIAAAKQLSNHNPIVFEATDSIGGVWKHCSFASTKLQTPRCDFEFSDYPWPQRDNSNFPSYLEILDYLHGYATHFDVLKYIKFNSRVVEIRYIGDKSEEFGPKIDHDVVSPGEFGPLLNGHPVWEVTVETHPSKTLEKYTFELLVVCIGKYGDIPRMPIFPPNKGQEVFGGKVMHTLEYSKLDKEAARELLKGKKVAVVGYKKSAIDLATECAEANQAYWIWGLPFFLFYSTRSSQFLHQTPKQGLLRNLLCPLLSPLRKAVSKFIESYLVWKLPLVKYGLKPDHPFEEDYASCQMAILPENFFSEADKGKIQFKRASKWWFWNGGIEFDDNTKLQADVVLLASGYEGKKKIQTLLPEPFSSLVLDSSGIMPLYRGTIHPLIPNMAFVGYIESVSNLHTAELRCKWLSRLADGFFKLPSIEKMLEQNSKELEIMKKTTRFYKRHCISTFSINHSDEICEEMGWKSWRKNNWLLEAFAPYNSQDYGQDN >OMO67064 pep supercontig:CCACVL1_1.0:contig12476:15780:15941:-1 gene:CCACVL1_20819 transcript:OMO67064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGQGFEDPIRGRLPRGNARKGTTSSQSQLSTAEKACTLPSSLTLIQFPPFS >OMO57111 pep supercontig:CCACVL1_1.0:contig14442:5555:12022:-1 gene:CCACVL1_25989 transcript:OMO57111 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LRR receptor-like serine/threonine-protein kinase MDFKLRSSSKYLFFFFFMIFFIGCCKSNAQSTQNAINPSEEDSHQHSGCWNHAWTLHENNQILSVMDPKLVEFDENEALRVVGVALLCTQASPKMRPSMSRVVAMLAGDIEVSSVTSKPGYLTDWDFKDTIGTFMIADTLTSFAASTHNIKNSISKNDNSIGRTNALSN >OMO57115 pep supercontig:CCACVL1_1.0:contig14442:40231:45667:-1 gene:CCACVL1_25994 transcript:OMO57115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNFSRSTSFNRRALNVETPRLLSITSPRALNVLSPKPLGFASPRVVHQRFGWTSRLFPVLILIGAIISFFIILGRGYVYVLPSLNGVSKFNDTSNVCEILDGSWIRDDNYPLYNASECPFAEQGFNCLGNGRQDKDYLKWRWKPKNCDLPRFNVHNVLEMLRDKRIVFVGDSMSRTQWESLICLLMSGVEDKKSVYEVNGNKITKRIRFLGVRFSSFNFTIEFFRSVFLVQHGWMPRHAPKRVRSTLKLDKLDDISNEWIKADVLIFNSGQWWVPGKLFETGCYFQVGNTVKLGMSIPAAFRMALETWASWVDDMIDTNRTLVFFRTFEPSHWSENSRRFCNVTQLPLSETEGRDQSTFSETIFEVIKNMTVPITVLHVTAMSSFRRDAHVDWISKPDDRTLLRCAPRRMKTYNPQQQNNFFPYFPLPPPHNPPFPPKVPPPHNHPSPPSLPPPHQPPTPNPPKVAPPHNVPISPPKVAPPHNVPTPPNAPFSPKAPPSPTTPSPPKLPPLAPRNPITPPSPTTPSPPKLPPPAPRSPIAPPQPQPHPVPPPPPHHPSIPPPPHSLPPPSGPSPIAVPPPPPHIVPPPPPPPAARGHHSTVIVVVFVSLGGLFFLAFLSVALFCFIKKRKKKTVQETDMVSIDEHVKVQEAIIPGPHGEKNKVLLIEEDIHIEEEIKRNEKISEGHSHLKSNSQEISQASSMAAELPGPSHIHHLEHRAD >OMO57114 pep supercontig:CCACVL1_1.0:contig14442:36371:39619:1 gene:CCACVL1_25993 transcript:OMO57114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGTVQINWHDTKPVLTLDFHPISGILATGGAEFDIKIWLINSVQGEKGIPTASYQNSLSYHSTAVNSLRFSPSGGEMIIWKLHTTETGQSWKVLKTLSFHRKDVLDLQWSTDGAYLISGSVDNSCIIWDANKGSVHQILDGHFHYVQGVAWDPLSKYVASLSSDRTCRIYVNKPQTKTKSVQKLNYICQHTITKAELQPTDDAKSVKNHLFHDETLPSFFRRLAWSPDGTFLLVPAGSYKVSPSSGTINTAYIFSRKDLSRPSLQLPCISKPVVAVRFCPIAFNLRGSNSAAFFKLPYRLIFAVATLNSLYIYDTESAPPIAVLAGLHYAAITDIAWSYDAHYLALSSQDGYCTLIEFEKGDLGLPISLPEPKTMNVEKKSPIAQKPDDMIIETAIGDDIIKVDDKEKECAERKEGTHATVPILVDALGDDDSIKVDNKEKECAERKEGIQASPSLVKGPISNKPAKRRITPMAIDP >OMO57110 pep supercontig:CCACVL1_1.0:contig14442:139:784:1 gene:CCACVL1_25988 transcript:OMO57110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type VLAEMTDGGVDRSVECTGSINAMIAAYECVHDGWGVAVLVGVPNKDDAFETHPVNVLNERTLKDTFFGNYKPRSDLPLVVEKYMNKELELEKFITHEVPFTEINKAFEYMLCGDGLRCVIRMDA >OMO57112 pep supercontig:CCACVL1_1.0:contig14442:13821:14357:1 gene:CCACVL1_25990 transcript:OMO57112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MGSSLFIFLVFLVSLPLSMLLVHGDVDLIQKTCKSTKYYDLCVSSLKSDPTSANSDTKGLAMIMVGVGMANATATSTFLSSQLVSTANDTTLKKVLKECSDKYAHAGDALQASAQDFADELYDYAYMHVMAAADYPNSCHNAFRRYPKLMYPKEIASREDGLKHICDIVLGIIDNIGF >OMO57113 pep supercontig:CCACVL1_1.0:contig14442:25282:30353:1 gene:CCACVL1_25992 transcript:OMO57113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFCFVLCIIITFALTAEFGTAVHNSYSQSDSMKAEKANAYIRLRSSTNVFLLCFELILACKFDLLPYIVGVIANRHFVFVLCNVIIFVLIVEFRIFSAADS >OMO87333 pep supercontig:CCACVL1_1.0:contig09246:13702:15210:1 gene:CCACVL1_09120 transcript:OMO87333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALMHKEMVRLRNRCSSIAFREKDNRIKVSRTRSLRAVSCINVSGNNGFDAEAVHLPAFFPAASDE >OMO99796 pep supercontig:CCACVL1_1.0:contig06806:15560:23640:1 gene:CCACVL1_03631 transcript:OMO99796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MIGKIGPYTVFVTPPSTPTPTEQPVFESPKKVVASPPAAPPPVLPPPQQFDKSYVSDSDGSILGFFKNAASKVQNAHSSLDDHLARWFGLNQSKYQWALDDYYESKGLAVEGLQQALQRQDGEPMAVPDGVCNREVGDLVEKEDLEDVDCCIDDFDYQNLFHETGIADQAIGGGLEMDLWIAKELEPVYYDSMTRRKILISFLVKENNLILFFACEGEQSDFLLDEGFVCEGEDSEFVIDGKRLENDVSKLDVMDSVWMDDHDKNQKKPNKKYFCQKNSNYTLHKLVAKKIVKAGGFLGSNAKEKQNSVDPYIYRNIAARYIWVGKMVEGMNLFDDKGWDLVYFWRIYKEKEGVKVKEISSKMQSEDETSNDILVHPQNKLTVVDEKQVKQQKKEGTSASASGVETAAIIATVVIIVVKVFILVYVCKKRARAQSSNVFPADSEFLTLTMDEFLNDMEREKPIRFTSQQLRIATDNFTNLLVSGGFGAVYKGLNTQISPLTIHFGTNWPLNF >OMO99795 pep supercontig:CCACVL1_1.0:contig06806:4053:10809:1 gene:CCACVL1_03630 transcript:OMO99795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDSNSDLSGDEDEGFLLNDGGPLPFPVENLLQTAPCGFVVTDALEPDHPIIYVNTVFEMVTGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDSTVVSEIRRCLEEGIEFQGELLNFRKDGSPLMNRLRLTPIYGDDETVTHVIGIQFFTEANIDLGPVPASSVKESLKSSDRSHSSFSAFRPVVVGDRNVCRGVCGILQISDEVLSLKILSRLTPRDIASVGSVCRRLYDLTKNEDLWRMVCQNAWGCETTRVLETVPGAKRLGWGRLARELTTLEAAAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSHPEWQHVQVSSPPPGRWGHTLSCVNGSNLVVFGGCGRQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPVWREIPVAWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWRCVTGNGMPGAGNPGGIAPPPRLDHVAVNLPGGRILIFGGSVAGLHSASQLYLLDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLTELHELSLASSAI >OMP10491 pep supercontig:CCACVL1_1.0:contig02408:500:565:1 gene:CCACVL1_00939 transcript:OMP10491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRVRRSLKVLEPLSLHYKQ >OMO66196 pep supercontig:CCACVL1_1.0:contig12573:34471:41537:1 gene:CCACVL1_21275 transcript:OMO66196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASRFRRLLTGPSSLCSQFASIRLESTLTSPKLFISGLSRETSDEQFKEAFDQFGQIVEAKVIRDRATGRSKGFGFVTYTSIEEAVKARDEMNAKFLHGWIISSVNSSKADFRVFATASDVNEASNYVPAAPVLLPDGIWKQIPGGVTAAKGFKAAGLYGGLRAVGEKPDLALVTCDVDANVAGAFTTNVVAAAPVLYCKKVLNSTKTARAVLINAGQANAATGDAGYQDMIECVNALATILKIKPEEVLIESTGVIGQRIKKEALLSSLPRLVNSLSPSIQGADSAAVAITTTDLVSKSVAIEYEVGGTTIRIGGMAKGSGMIHPNMATMLGVVTTDALVDSDVWRKMVQVAVSRSFNQITVDGDTSTNDTVIAFASGLSGSNWITSMNSYDAALLQTGLDAVMQGLAKSIAWDGEGATCLIEVTVTGAEGEAEAGRIARSVAASSLVKAAVYGRDPNWGRIACAVGYAGISFDPNNLQILLGDIMLMDGGQPLKFDRVAASNYLIKAGETHGTVEIKIAVGDGPGFGKAWGCDLSYDYVKINAEYTT >OMO66200 pep supercontig:CCACVL1_1.0:contig12573:52478:53092:-1 gene:CCACVL1_21279 transcript:OMO66200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFTNTSNFDNLLLQTLMGRLQIRPPNSSFKPFLTQSLEELLLDAANLSDSDSDDGNKTQLAKEESKLEKEIIKIILSGKTDSLKPNSGQAVTINEHHICITFHEEKGSDYRVWEWHGHIMLFDEENGYTPEYIYGNYFERLQGKPRVARDEEEKEAEAAEEEEEEDEKAGNLGLRELIDGGADSAGGRILHRNINAGSPRFL >OMO66201 pep supercontig:CCACVL1_1.0:contig12573:54547:56433:-1 gene:CCACVL1_21280 transcript:OMO66201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic pyrophosphatase MAPPLETSTKSFGSNHSSHPPLNERILSSLTRRSVAAHPWHDLEIGPEAPKIFNCVIEISKGSKVKYELDKKTGMIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLIIMQEPVLPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRDYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPATDAYDAIQHSLNLYADYIVESLRR >OMO66205 pep supercontig:CCACVL1_1.0:contig12573:81872:85740:-1 gene:CCACVL1_21284 transcript:OMO66205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate-related phosphatase MRTEMGKISKSLWPKVVVKKWLNIQSGGDECQSDYAINDETDERRKSCSDEDYYMIVPKDFSGWLKEAATAGGIKPSKIMPETPPPANELDLRMFVGTWNVGGRSPHEGLNLKDWLRSPTPADIYVLGFQEIVPLNAGNVLGPEDNEPAAKWLSLIRKALNNGSNAAELERQGSQKPRVSFSDLISMEDQLGMEDFEKLVNLNSNSSEDGSPSPTSMSGSPRRGRFCLAASKQMVGLFLCVWVRADLYQHITNLKISCVGRGIMGYLGNKGSISISMKLYETSFCFVCTHLTSGEKEGDEIRRNSDVAEILKRTRFSQSFSDYLRRTSFSPRSCRDPRQPLPPETILDHDKIIWLGDLNYRLAVGSGDTQELLKKNDWQALLEKDQLMLEQKAGRVFKGWKEGKIFFAPTYKYLPDSDDYVAQISNSKEKRRNPAWCDRILWKGDGVKQIWYSRGESRFSDHRPVYSIFSVQINLTNKLISTAKSCLPRFSAKSSLSSGCVAKVQAEEMLVMPRAQSCINATSGF >OMO66204 pep supercontig:CCACVL1_1.0:contig12573:76105:78035:-1 gene:CCACVL1_21283 transcript:OMO66204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGAGCLNDGEMAYDNSSRTEPKRSHQWFMDGPETDFFPNKKQAVGVPTSNLFSGMLNSNVPSWGNSSGFHSMSGQLPERLFDTETARPVNFDDRSIPSVSTEKVDMGRKVSEDLFVNDSSFGLSMSHTLEDPRSGLNYGGIRKVKVSQVKESENVMPASMGHAYDRVDDNSVPISHAYNKVEDSNISMGLAYNKGEENIMSIGDTFERENNVFITMGQSYNKGEDSVNISQTYKESNDAMAMSNTFNKGDNNFMSMGQTYNTTDDNPMTLGHTYNKGDDNAISISYNNSDNNNLSIGQSYSKGESTIISFGGYDDDDTNPSGRLISGYDLLMGQPSAQGSDAPNETEMAKSNPLVHTGNVTASGAEVSRKKEDPKAGKKVSSNNFPSNVRSLLSTGMLDGVPVKYIAWSREKELRGVIKGSGYQCGCQTCNFSKVVNAYEFERHASCKTKHPNNHIYFENGKTIYGIVQELRSTPQNMLFDVIQTITGSPINQKSFRLWKESFLAATRELQRIYGNDGAKQLP >OMO66194 pep supercontig:CCACVL1_1.0:contig12573:21727:21960:-1 gene:CCACVL1_21273 transcript:OMO66194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRPNRHQRKPSQSVFLSFDDITAPMSETSPPANKTPPSTTSQPVRAPPPPSPAALATAESSENVTKTKDDDEPKN >OMO66202 pep supercontig:CCACVL1_1.0:contig12573:65839:68435:1 gene:CCACVL1_21281 transcript:OMO66202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLADSDSRAEPQTIKINQPDQAGGTAAAAQKSACCGS >OMO66197 pep supercontig:CCACVL1_1.0:contig12573:43991:44671:-1 gene:CCACVL1_21276 transcript:OMO66197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILGLMGSRGAVDDLSDIKQAILNACLEDSDDSDCDETSDLEDFFPEQVQESKEDDGFEYAYALRRMFGEDLLQSPPLVSALKGSREKHGLKPKVELTVKWAPDVYDPIPTTVTHTRGRKLPKSRKTNDKFDKKKNGKKQKGNNSRGGKDTKQSRRGGGGNSAGSPDCGSSFMKKSQTRMHYSVAEAL >OMO66191 pep supercontig:CCACVL1_1.0:contig12573:7298:13321:-1 gene:CCACVL1_21270 transcript:OMO66191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTCFKCYPWSQAPSLPFFQKPTSHFSIISFSSSQQNQTQFPLSHNFTPTQLLDTLRRQNDESSALRLFDWASKQPNFTPNLPIYEELLTKLGKLGSFDSMKHVLQQMKLSGCEIRRALFRRKRGNEAMRLYREMLENSEPPDAITYKVVFRGLCSGGGPIGEAVDFLVEMIEKGFLPEFSSFYMLAEGLNALAMEDTLIKLIDMVMKKANCSDSEVSMIRGFLKIRKFQDALAESSEKLMAIESMATHDEETPLVADISRGETPKSRTRDVHILSSAFLLVFLAYGAAQNLETTVNSEGNLGTVTLGILYVSFAFFSLFAKLVVLKLGSKNAVILGTTGYWLYIAANLKPNWYTMVTASVYLGFAASIIWVGEGTYLTSIARSHSLETKLHEAIVIGKFNGEFWAMFALHQFVGNLITLAILDTKAEGSSSNTTLLFIVFLFSMTLGTILMCFIRKSDDNEEKVSADSSVSVFSVLASRLKSVITPLLDKRMLLIIPLIAYSGLQQAFVWADYTKDVVNPVLGEAGVGGAMAVYGAFDAICSLAAGRLTSGLRSITLIVIGGAFFQAVIFLWLLLRYTATSGVLGIIYPLLMAALLGIGDGVLNTQLSALLALLFKQDTEGAFAQLKLWQSSAIAVVFFLSTAVTLQTMVLIMLVGILVSVVAFLYLTLKVEKAFS >OMO66198 pep supercontig:CCACVL1_1.0:contig12573:47632:48508:1 gene:CCACVL1_21277 transcript:OMO66198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A MDAGAKVKKGAGGRKGGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYSQRVGTGAPVYMAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKNEKAAKEPKETPAKATKSPKKTTKKAAA >OMO66199 pep supercontig:CCACVL1_1.0:contig12573:49985:50404:-1 gene:CCACVL1_21278 transcript:OMO66199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B MAPKAEKKPAEKKPVAEKAPASAEKRPKAEKKITKEGGEKKKKKIKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >OMO66190 pep supercontig:CCACVL1_1.0:contig12573:6456:6818:-1 gene:CCACVL1_21269 transcript:OMO66190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSFFTSVLHRLTLRWPVLLYAATWTVLLTAMVALASFSPEVAFVSAISQSSSFSKECGIQGSVRVPVDVPGEKLCLPGHLFGRSKLDWIVPPVFAAVVVTGSAWVVRGMGLWEFDEAH >OMO66193 pep supercontig:CCACVL1_1.0:contig12573:18498:18647:1 gene:CCACVL1_21272 transcript:OMO66193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCHVAYGVHRGHVSVWFCVVIMIKRWSLMDCAIAFTKLATSLDSAHFC >OMO66203 pep supercontig:CCACVL1_1.0:contig12573:72857:74769:1 gene:CCACVL1_21282 transcript:OMO66203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MDILSKAALNQGMSNYALVVYRHAIATVVMAPFAAVLDKKVRPKMTLPIFIKIMVLSLLEPVIDQNLYYIGMKYTTATFASAMYNILPAITFVMAWILRLEKVNFRSIRSHGKLFGTLATVAGAMVMTLMKGPVLDLFWTKGSINHQAAAKNGTDIHDTIKGGLMITVGCFSYAAFVILQAVTLETYPAELSLTVWICLLGTLEGTIAALIMEKGNAAIWAIKWDTKLLTAAYSGIVCSGLAYYIQGVIMRDRGPVFVTAFSPLCMVIVAVMSSIILAEQMVIGAVIIIAGLYFVLWGKNKEYNTATPSKPDRIETASIDMANKEQDSNSDHQTITIDVSRKETSKTRDEYLHEKTDSIPV >OMO66192 pep supercontig:CCACVL1_1.0:contig12573:16699:17189:-1 gene:CCACVL1_21271 transcript:OMO66192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MAVDDECKLKFLELKAKRTYRFIVFKIEEKQKQVIVEKLGEPGQSYDDFTASLPADECRYAVSPDTSRVRNKMIYASSKDRFKRELDGIQVELQATDASEMGLDVIKSRAS >OMO66195 pep supercontig:CCACVL1_1.0:contig12573:26308:32296:1 gene:CCACVL1_21274 transcript:OMO66195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MEEGAVCLEISREEIMENVNSTNPELQRECECLEPEPEPEPESKIIEDVNSTKLDLKRERECLESEQELEPDESPNKKQAKEVSNEDIRSEVSNPTVSPQENTSTFHDISSRNQAGCGEVTSLCSGSGSSSSEETLSESFETADASGVVSTSKVTLEIPKHLSSSGIRKITFKFSKKKEDYENETTYSVGGECMNPENYYGNSSIEWNSRYSCAPNMELKMSKKVVPSNYPSNVRELLGTGILDGARVKKYWMELFTRGDICVAALSVLSAYEFEQHAGHKTRHPNNHIYLENGKPIYNIIQELKTAPIGILDDVLKEVAGSSINEESFQDWKASLQRSNRKVEAEKKYNMKPRNLPNSRRPNFGVSKQVLPKKRTVGGGTRKRDNDLHRLLFMPNGLPDGAELSYFIKGQKLLKGYKQGNGIVCSCCESEVSPSQFEAHAGMAARRQPYRHIYTSNGLTLHDIALSLANGQCVTTGYSDDMCAICGDSGDLLLCCECPQAFHPACLNLEHCPEGDWRCANCTDKSNSGVARPIVIRLTRVVKAPEVEIGGCVVCRSHDFSATFSDRTVILCDQCEKEFHVGCLREIGLCDLKEIPKDKWFCCNDCNRIHDALQSSVSNGVQIIPTPFSNIIRRKHLEKGLFINGTADDVEWRILSGKSRYPEHLPLLSSAAAIFRECFDPIVAQSGRDLIPVMVYGRNISGQEFGGMYCVVLIVRSVVVSAGLLRIFGQEVAELPIVATSREHQGKGYFQALFACIERLLSSLKVENLVLPAAEEALSIWTKKFGFTMMSEQQLSKYQRELQLTIFKGTSMLEKKVQLISE >OMO74441 pep supercontig:CCACVL1_1.0:contig11127:27070:27460:1 gene:CCACVL1_16707 transcript:OMO74441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGISIFQLAPQGLNQVIRLRITRIWDCTVPTGQRGQPIHIQIGQRDVEHFRALLIEGALYLLSGFRVSRPNISLIAVPN >OMO74451 pep supercontig:CCACVL1_1.0:contig11127:72100:73366:-1 gene:CCACVL1_16717 transcript:OMO74451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPGKAAILALGKAFPHQLVMQEFLVDGYFKNTNCDDPELKQKLTRLCKTTTVKTRYVVMSDEILKKYPELAIEGLPTVKQRLDICNDAVTEMAIEASKTCIQKWGRPVSDITHLVYVSSSEARLPGGDLYLAKGLGLSPETRRVMLYFMGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIIGFKPPSADRPYDLVGVALFGDGAGAMIVGSDPVLSIEKPLFELHTALQNFLPNTEKTIDGKLTEEGISFKLARELPQIIEDNVQGFCEKLMGIAGLTDKDYNKMFWAVHPGGPAILNRMEKRLELSPEKLNASRRALMDYGNASSNTIVYVLEYMIEESFKKKKTTTQSHEDGEWGLILAFGPGVTFEGILARNLTV >OMO74444 pep supercontig:CCACVL1_1.0:contig11127:37396:39268:1 gene:CCACVL1_16710 transcript:OMO74444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triosephosphate isomerase MDWFQPKRRGPEWKARSVASISGPPLPTKTSICAPILPLPKKNVSDEVASKTCINYGGSVNGSDWGELAKQEDINGFLVGGGSLKALECRLI >OMO74448 pep supercontig:CCACVL1_1.0:contig11127:62618:62941:-1 gene:CCACVL1_16714 transcript:OMO74448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSGVQELNRLIRLGCGWGSWKCTEQSREWVHVDGFLLDCDGGEGDSSGPGLGLGEALFKGKRLCMIEL >OMO74456 pep supercontig:CCACVL1_1.0:contig11127:95236:95508:-1 gene:CCACVL1_16723 transcript:OMO74456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTSTASESFLKKKSEQVLDGSDIMELVENEEVFSNFVDHKFKELDKDSDGQLSVKELQPAVADIGAALGLPAQGSSPDSDHIYSEVP >OMO74452 pep supercontig:CCACVL1_1.0:contig11127:74244:77284:-1 gene:CCACVL1_16718 transcript:OMO74452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHGEGSDAAEEAMVAQVEKHPISSILIVIAMQTEALPVVNKFQLTENPHSPFPKGVPWVHYHGTYKDLTLNLVWPGKDSALGVDSVGTISASLVTYAAIQAFQPDLIINAGTAGGFKAKGAGIGDVFLASHVAFHDRRIPIPVFDLYGVGSRQAISTPNLLKELHLKTGKLSTGDSLDMSPHDEASITANDATVKDMEGAAVAYVSDLLKVPAIFVKAVTDIVDGEKPTAEEFLQNLASVTAALDQAVTQVINFISGKCLTEL >OMO74450 pep supercontig:CCACVL1_1.0:contig11127:68625:71593:1 gene:CCACVL1_16716 transcript:OMO74450 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor MRAQKRPIHAVTMWVRRQPPKVKAFLAVVSGMAALVLLRFIVHDHDNLFVAAEAVHSIGISVLIYKLTKEKTCAGLSLKSQELTAMFLAVRLYCSFVMEYDIHTLLDLATLATTLWVIYMIRFKLKSSYMEDKDNFAIYYVVVPCAILALCIHPSTSHNLLNRIFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYIKSVFGGQLVLRLPSGVV >OMO74455 pep supercontig:CCACVL1_1.0:contig11127:89235:92341:1 gene:CCACVL1_16721 transcript:OMO74455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MAGTGVAGDIEENFYAGNKVQPFASTPAAGQVKEDKDKVPLLGMHEFFSSEVWRASLAEVIGTAVLVFALDTIVISTLQTETKTPNLVMSVLIAFVVAVLLLATYPISGGHINPIVTFAAFLTGLIPFSRTVIFILAQSVGGILGSLALKAVVNSEIERTYSLAGCTVTIVTPGVDGPIVTGLETGQALWLEIICSFVFLFASVWMAFDRRQAKAIGRVTICVILGIVLGLLVFISTTVTATKGYGGAGLNPARCLGPALVRGLPNPDQLFVIFQVCVEEGSHMDSVISREFDHNLPSLDENYNAITNQKEKPSRKSLLFTCIGAHEFSSLEMWKAALTELVATASLMFTLTTSIVACLDSHETDPKLLVPFAVFVIAFLFLMVTVPLSGGHMSPVFTFIAALQGIISMARASIYILAQCLGSITGFLILNSVMNHDVAKTYSLGGCTIDGNGPASGISPGNALILEFCCTFVVLFVGVTIAFDKRRSRELGLPMVCAVVAGAMALAVFVSISVTGKAGYAGVGLNPARCLGPALLRGGHLWDGHWVFWIGPCFACIIYYGFTKSLPKEGLVWEDGERDFVNIAAPALCCWGPRTQLNGKV >OMO74446 pep supercontig:CCACVL1_1.0:contig11127:45041:45154:1 gene:CCACVL1_16712 transcript:OMO74446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGGIGRNSSVHNSNYPSTTKLFFGRPKGRGSEKF >OMO74438 pep supercontig:CCACVL1_1.0:contig11127:152:12154:-1 gene:CCACVL1_16704 transcript:OMO74438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTKSVDPSLWWEPFSSLLTELENASPTDDLPKPLAKKLKENHDWFVETVHRFKPPNEKSKEALSSQKIKIGSHELTVKPDFRDKALQVSSYLNLDEVQAYILVDRYLECGNNAENYIVHDPIHVVLLQYYIERQCLFKCTRQIIMHALFLGNSLKEGSFVREEALKLISDGLEGKLISVLQALMCCSHPEQMDVDLFTLWAEETLLEDNLVLDIIFLIYYESLCTCSAEKWKDLCSIYKGILSGSYNFGKLAISPEAQYSFYQAKVQLLLILVETLNLENLLQMVHDAIPYRQGACVFTLNDVPEIDALISSFDIFEMREAGPLVLAWAVFLCLISSLPRKQETNVLMEIDHVGYVRQAFQVSSLSYFLEILQSGILKESDGPVAGYRSVLRTFISAFIASYEINLQLEDGTLNMILDILCYVYRGEESLCIQFWDRASFIDGPIRCLLCNLEGEFPFRTVEFLRLLSSLCEGSWPAECVYNFLDKSTGISSLFDITTESVFDRISQIVETQHPVRIPGVDGFHIPSKTRGHILRFVDGNTALVRWEHTKSAVFVLLLRLAQTPYLENNEEAFLTLDLLGRLVSFNTAVCFALMESCNLLHVQATGMNARTENNMWVVEIISIIVRNLSPNPSGAALMSMALGILAKMLKCSPSHVASVALKANLFDMASHSSIVNVGWNGLSSGSWLLSGKLAKMLLIDSEQNDYDCPLTISVLDFTMQLVRTGVEDDIVMSLIVFSLQYVLVNHEYWKYKVKNTRWKVTLKVLEVMKTCILATSSSEKLGDVIRDLLLYDSSIHNTLFRIMCTTSEALERLYLNRLIELVEIEGLQLAISSALDISHIMLTKISKDTSSSIPAFHQAMLSSMTKPIPVVAAVISLISFFRDPAIQVGAAKLLSMLLQMAEPHPFANLCFGPDDKQMTDLRHSIKSILLEDWVLNEDLFIAILNFLTSAARYQPAFLVAIFDNKEDTDLQLASAGGAKQSTDEALSGSLGSKTSSVIDALLQYVERSDEIINSNPCVLLNILNFLKSLWYGAGQYTAILDRIKSSDKFWKQLSNSISQTPGSEVCLSMKESEALHLGYKYQCQSAILEIMAYDVFLMKKLLYAESLIKESPESNKKTAADNYHLKDILSNWCKSSVLESLIKSCTSCKYDNDIYFHAKVALSLVTAHIMGKLAASDAGSLSVSLVGKVRLLIDKLTNQPAFSELLAQYSQRGY >OMO74453 pep supercontig:CCACVL1_1.0:contig11127:79732:81751:-1 gene:CCACVL1_16719 transcript:OMO74453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MSGAFATTQGDCSQFKSQTPHSCKRSPVIADLMPDAPPESRTDGCCHGGLIASWAVNPSKSFSSFEMKVGNLSDSNLKGPVNLTLLAPGPGYTCGLVEETDPSVSYDIGGRRQVQAYKTWKSTCTYSSFIANRAPTCCVSLSTFYNPKVTPCPDCSCGCREETDQNTLSCIREDSFSPQSDPLASHDIVRCTDHMCPVRVHWHVMKNYLTHWRVKLTISNYNYNRKYFNWNVLVQHPGFSQTSTAYSFNSTMLPSYDFSDGVALFWGIEYYNDELLNSDEYQIGSVSTEILLKKDLHSFTLASGWALPRRIYFNGENCQMPLPDTFPRLPNHSTNQKPAHPLFLLLIYLIFKTQSPNVWFWQPLEVSFQAETGPNSL >OMO74440 pep supercontig:CCACVL1_1.0:contig11127:18929:25893:-1 gene:CCACVL1_16706 transcript:OMO74440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon-associated protein (TRAP), alpha subunit MSGMGDGYVGTAQDAVRIRRLEKQREAERRKIQELKSKSASGNGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRNKIEEEEKEKLQKLQQEEEELQMQKRRKRKVKGNPRLSFTDDMDDGSDEEDGENESFEQKRFNCGKFGKDPTVETSFLPDSEREAEEQAERERLRKQWIREQEQIKNEPLEITYSYWDGAGHRRVIQVRKGDTIGEFLRAVQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEALETPGLARCQSEADSVVPEDAEGGDLGIVSEDVPDFGDGSFSPAPGVETVCVFPKNSAKTVVAGEETELLVGMENVGESPVNVIAIKASVHLPFDHHLLVQNLTAQAFNNATVPTSAQATFPYIFAVSKYLQPGTFDLVGTIVYEIDQHPYQNTFYNGTIEVVEAGGFISVESVFLVTLGIALLVLLGLWLHGQFQRISKKTKRAPKVEVGTKTTDSSLDEWLQGTSYTQNASKSKKKK >OMO74445 pep supercontig:CCACVL1_1.0:contig11127:41872:42261:-1 gene:CCACVL1_16711 transcript:OMO74445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYRRRGDSIFDSFSLSPLPYPVLLILAVTSIFLVISWFLDYESVMETAEEQMSWVLFATPVVLILLARWLSTVETSDLLFGSSPWDRRRRTHHRPSEGSSPWAVAGFIVLLLIMVWFHSDIRESWLV >OMO74439 pep supercontig:CCACVL1_1.0:contig11127:14244:17072:-1 gene:CCACVL1_16705 transcript:OMO74439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MAKLRKGSTMINQISNDGKKVEVVGVGECADCAQNNFEISQAFSGLRVTIDCKAENGQFKTRGSGELDKEGNFKVSLPEDLVKDGKLKEECYAQLHSVSDDACPAHHGLESSKIVFKSSSDEKHKFGLKGKLKFSPITCASAFFWPHFKYPPLPKWNHPPLPPLQFPKWSHPPLPKIPLPPLKSFHHHPLFPPIYKKPLPPPVPIYKPPVPVYKPPPVPVYKPPVPVYKPPVPVYKPPVPVYKPPPVPVYKPPVPIYKPPVPVYKPPPVPVYKKPNPPPVPVYKKPPLPPPVYKPPPVPVYKKPPVPVYKPPVPVYKPPVPVYKPPVYEPPPVPVYKKPLPPPVPIYKPKPLPPIPYKPLPPLPKIPPFPKKPCPPLPKLPPLPPKTPCPPLPKLPPLPPKYFHHPKFGKWPPLPPYAPPHP >OMO74447 pep supercontig:CCACVL1_1.0:contig11127:46605:54713:-1 gene:CCACVL1_16713 transcript:OMO74447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLAAESSIKKKKRKLNSSKDKQHHAKRRRGESSKREPKGVEEGESLAGRELDLNFSEERPWRNLQLILSLQNKQVDLQKKVELAFDFVNSREKEGRNDVNEDDETVNVSRLIVFLNDWIQSLLISPEKKVKVDGTVEAYLDFRCWRIFKFCLKESLRLHVSLNFSRNLLRAISYIARNVLSLVSDQSFSSNESIFAGEGFELYGVVLDCVSLLFSSQSGLSNENLELWVSTIDVVLQLVQKIYTKGLDGGNVGAFALQFSCVVLEPFTKFLRIHPTKKNGFHDFVDKLLEPLLLLVGVLHRQINTDKCDWTKNLLKLAEEVLSQGLFHPAHIDGFLGLRSVEKYAGSVDGKAKDPKVVIKSYHRHLFDKLESITKVKNDVELSGVGQLFHLFVGRVKKQKGASGTDNTGNIGSSRHLEDGLSGHLSTDPSRSSAAFPDNNYISSNLSAEARKSLFDFFVQLLEPLLLEMNGYIQPNLAESPSLLDVHCTLKSINSLLASFSHEKLYARTEDISEGACLGFLKKVYDTVISFAVKLVSMSEFNIDTETGREMFPFLAKELFLAIGYFLDIEYDVIGNDLISLWLIMLSYMNIGLPFLDSPDQCLLTSPILDLACRMVHLYSALRQVNNSIFTLCKAVRLLISHHYERETSCTRIFSHATSLPNEVNAASVGTLLCSQDFKLAIHTAIRSIPEGQASELIQLLTADISESMEWMKIGCSMADGNEYRKLDVRDPGIDFHIQAELLGRILSEIYTILLDSLTVTAGNCTLLGPCMKELVSTISPCINSLAGQDPVGINEFLSSLMGRPSPIVVDGIEKEKHEVSLQWIFVFLFRLYTSCRSLYRQVISLTPPSASRKLSSAMGDTFTAYTGRDLMEKSDWTKEGYFSWIINPSPSLLDLIHHISNIYIKENFEDCCPLIYVLHIMALQRLVDLNRHRSSLQYLLHQSDKLILVKKLDDADSLMYSKKCRKLKRHISALEQEAVELTDFMLGHLSLVANYHSSILSSVDTSCERNEGFQAHESDEWDFSICSVNKKSLPIAIWWIICKNIDVWCSYAADKKLQKKFKKFLMLLIQTSLPCLTNSPLEGEKHKTDEDGQLKKITLYQISQRLLKDSTLYENKFVRRNLASRFCHALENSVLSLFGDSSVTDISFKPIPVWPEVLSALDNTSGIVSGRRDFKHDSATRLVSNSSDGILSKTSINRKAHHSKNVKFKDCQSLLNLLFWMPKGCLSSKAFSKLATYVLNLERIVVADLLDCQDALSSSGFYELFQLFVASRRTLKNIITASYEDKTESSLSSQQSFAEGSDFITWLFKSVSAVIGFIDTILEDCLPESKLKMFSLMDHTCHLFFAISKNQFGQAVPFITNSERSCKKQTSTGVVSDESMLNERGSEALRSLCNTAEVLKEQAESILGPIKGALDNVEIGVPNNAVYINKMSFAVSCFGGFIWGLASALNQAGQKSSELKSKFLRGKYEPLSKLNHCINEFLGFLSDLLCLFIENNQQPRRYHDAQSSEKLDYSRDLLVCKDDLVEFHYLSKHKLQGLLKGDHPDRANLLRQLLITHSAIPSLNLRADGTSLASGMVLPIIGISQLLLWELANLTEIPPPFTFVWLDGAVKYLEELGTHFPLMEPTLNEDAYAKLVELHVRAIGTCISFQGKRATLDSHERESSTKILHDGTDMSEAFLSHGAHCLDEFKARLRMSFRAFIKNPSELQLLSAIQAIERALVGVGGHASFYEINTGSADGGTVSSTVAAGIDCLDLILEYGSGRKCLSMVKRHIQSLVAALFNVILHLQSPLIFYGKFAINDVERNPDPGSVVLMSVEVLTRISGKHALFQMEPWHVGQSLRIPGALFQNFHQLRLSEAPVSSSTKQNHDSIVNMEYHVVDRQFSINLFAACCRLLYTVLKHHKSACERFIAVLEESVSLLLHCLETVDADVVVQKGYFSWEIQEGVKCACFLRRIYEEIRQQKDIFAGHCYKLLSTYIWVYSGYGPLKTGIRRDIDEALKPGVYALIDACSAKDLQYLHTVFGEGPCRNTLANLQHDYKRNFQYEGKV >OMO74442 pep supercontig:CCACVL1_1.0:contig11127:29519:31196:1 gene:CCACVL1_16708 transcript:OMO74442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLKNDAAAAAYVQSIPSSKSSIIEFRQPPIDRRAPSTTTTRTQPKPSQRVDGEGKDCLAARKLQKADREKLRRDRLNEHFLELGNALDPDRPRNDKATILTDTILLLKDLTSQVTKLKAEYSTLTEESRELTQEKNDLKEEKASLRSDIDNLNAQYQQRVRTMFPWTTVDHSVVMAPTSYPFPVPMAMAPPAAIPIHPSMQPYPFFGNQNPGVIHNPCTTFVPYMTPNPMVEQQSTQHVAPVAQPGSHSNASGKQDSNNKISGESKIDKTVDSNDVATDLELKTPGSTADQDLSSGQRKLKKSLRNENSNTEQSYSSRCSSSYSAQDSSSNSIVGGRKADDRDGGKD >OMO74443 pep supercontig:CCACVL1_1.0:contig11127:33427:36744:1 gene:CCACVL1_16709 transcript:OMO74443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triosephosphate isomerase MAMVSTYCPQFSGLRRSCPKLDNSQSQCFVQHINSQLRLSSSSRKPCRAVVAMAGSGKFFVGGNWKCNGTKDSITKLVSDLNSAKLESDVDVVVAPPFVYLDLVKASLTDRIEVSAQNSWVGKGGAFTGEISVEQIKDLGCKWVILGHSERRHIIGEDDQFIGKKAAYALSEGLGVIACIGELLEEREAGKTFDVCFQQLKAFADAVPSWDNIVIAYEPVWAIGTGKVATPEQAQEVHVALRDWLKKNVSEEVASKTRIIYGGSVNGGNCVELAKKEDIDGFLVGGASLKGPEFATIVNSVTAKKVAA >OMO74454 pep supercontig:CCACVL1_1.0:contig11127:84314:85434:1 gene:CCACVL1_16720 transcript:OMO74454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MAATGNVGHVIDQDEENVYAGTRVRPFASTPRVEQRSVEDEKKQNPTSLRKIFSIHELFSLDVWRASLAELFGTAVLVFAMDTIVISSYETQTKTPHLIMSFLIAVVITILLLATFPISGGHINPVISLAAAFTGLVSLSRAAVYILAQCVGGILGALALQAVVDTKTEQTFSLGGCTLTIVVPSANGPVVIGLKTSQALWLEIICTFFFLFGSIYIAFDKRQARHLGRVMVCAVIGVVVGLIVFISTTVTSAKGYAGVGMNPARCLGPALIRGGHLWSGHWVFWAGPAIACVAFALYTKLIPSQLLHN >OMO74449 pep supercontig:CCACVL1_1.0:contig11127:63603:67167:1 gene:CCACVL1_16715 transcript:OMO74449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-hairpin glycosidase-like protein MSRPNHVDLNQTGHTKSEDSLFTLAENEECDFSKLLEKPPRLLLNIERQRSLDERSLINELSIGISPRLSTRTDLHISSSRVFEPLDLISSPVGRRSGFNTPRSQTGFEAHPMVAEAWEALRSSLVYFRGQPVGTIAALDNSEEKLNYDQVFLRDFVPSGLAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFHLGEGVMPASFKVLHDPVRNNETLMADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDTSLAEMPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKHDDEGKEFIERIVKRLHALSYHIRSYFWLDLKNLNDIYRFKTEEYSHTAVNKFNVMPDSLPEWVFDFMPVRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSTAIMDLIESRWEELVGEMPLKVCYPAIENHEWRIITGCDPKNTRWSYHNGGSWPVLLWLLVAACVKTGRPQIARRAIEIAESRLLKDNWPEYYDGKLGRYIGKQSRKCQTWSIAGYLVAKMMLEDPSQLGMVALEEDRQMKPLLRRSNSWTL >OMP11601 pep supercontig:CCACVL1_1.0:contig01116:1113:6951:1 gene:CCACVL1_00409 transcript:OMP11601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/proton exchanger MDDLKGCKSIDLDDNPPFVRIHSHAEIRSLEERAVLELEDDKLGSNHGSMARQKLCYDEPSHCRDLVNIKCSKNIVWNSIKIVIFSTKLNLLMIFGPAAILVNKITSYHGLVFVFSLLGIIPLAERLGYATEQLACYTGSTVGGLLNATFGNATELIISIFALRNGMIRVVQQSLLGSILSNMLLVLGCAFFSGGLVVMKREQVFNKATAGVNSGLLLMSVMGLLFPAVLHSTRTELHFGKSELALSRFSSCVMLLAYAAYLYFQLKSQDKLYDMVSGNEGESETESSDDDETPEISLWESIVWLTIMTVWIAVLSEYLVDAIEGASTAMKIPVAFISVILLPIVGNAAEHAGAIMFAMKDKLDISLGVAIGSSTQIAMFGIPFCVIIAWIMGQPMDLNFQLFETATLFLTVIVVAFMLQEGTSNYFKGLMLIFCYLIVAASFFVHVDLDSVVIAMIILGCTDGATDDDPNKDEDKDKRPPDQVTVNCCDGGCCNAECGGGCCAGGDGGDGGGCGGCGGD >OMO50041 pep supercontig:CCACVL1_1.0:contig16326:47173:47280:-1 gene:CCACVL1_30676 transcript:OMO50041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATKRESFGTVPSKPSKKKTQTSIPSSSGDHDR >OMO50049 pep supercontig:CCACVL1_1.0:contig16326:135423:138216:1 gene:CCACVL1_30684 transcript:OMO50049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPLPSSSAVLRKARLSPYLFTLLAFIVFVAILYGEDFMCIFGQLEPIPGRPISQPVKKREKLPFAIGKGEEGSCDIFSGRWVRDELTRPLYDESECPYIQPQLTCQEHGRPDTDYQKWRWQPHGCDLPRSPAVDGGGQRCMVLDVPSGGGSFLGHRLEKLSVLGNFNATLMLETLRDKRMMFVGDSLNRGQYVSMICLLHRLIPAEGKSMKTYNNDALTVFKMKDYNASIEFYWAPFLLESNSDNAVVHRISDRIVRKGSINKHGKHWKGVDILVFNTYLWWMTGLEMKILKGSFEDDEKEIVQVSTEDAYGMAMRSLLRWVKKNMDKKKTRVFFTSMSPTHAKSIDWGGEPGQNCYNQTTMIEDPNYWGSDSRKSIMKVIGEVFSKSKFPITFLNITQLSSYRKDAHTSIYKKQWNPLTPEQLANPVSYADCVHWCLPGLQDTWNELLFAKLFYP >OMO50040 pep supercontig:CCACVL1_1.0:contig16326:38724:42021:-1 gene:CCACVL1_30675 transcript:OMO50040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MAISVPSLFKERKYPFLFALSILLISFAILLLSNSFSPFPSLPISSDLHVSTSHDQSPPSSLQSPSPLRSQSQTDTARSPPELQTGNDDAVPDVVDLNVSLDVNWRNCKLGAAAVDYIPCLDNWKAIKELKSRKHMEHRERHCPSPSPRCLVPLPSGYKVPVQWPKSRDMVWYDNVPHPKLVEYKKEQNWVRKSGDYFEFPGGGTQFKNGVNSYIDFIQKTFPAIKWGKNIRVILDVGCGVASFGGYLLDQNVITMSFAPKDEHEAQIQFALERGIPATLSVIGTQKLTFPDNAYDLIHCARCRVHWDGDGGKPLLELNRILRPGGYFIWSATPVYRDDEKDRKVWNSMLALTTSMCWKIVAKTVDSSGIGLVIYQKPVSYSCYEQRKERVPPLCEHKNKQNVTWYEPLSYCLSRLPSDSMGNLLSWPTPWPQRLTSKPPSLPSEPDAEDIFNEDTKHWAALVSDVYLDGLGINWASIRNVMDMNAGYGGFAAALIELPLWVMNVVPINAQDTLPIIFDRGLIGVYHDWCESVNTYPRTYDLLHSSFLFKNKQERCDIIDVAVEMDRILRPGGYLLLQDTMETIKKLNPVLRSLHWTTSLYQGQFLVAKKGFWRPGDDLDDKKHE >OMO50043 pep supercontig:CCACVL1_1.0:contig16326:53116:54951:-1 gene:CCACVL1_30678 transcript:OMO50043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin MLLKASPAFSLLNSHVENQSPLFSSVPASQTPASSSSSILRVSSPKNGTGNGSLVVVAATRGAANNKPLTGVVFEPFEEVKKELDLVPTVPQVSLARQKFTDESEAAINEQINVEYNVSYVYHAMFAYFDRDNVALKGLAKFFKESSLEEREHAEKLMEYQNKRGGKVKLQSILMPLTEFDHAEKGDALYAMELALSLEKLTNEKLLNLHSVADRNGDVQLADFVESEFLGEQVEAIKKISEYVAQLRRVGKGHGVWHFDQMLLHEEEAVA >OMO50046 pep supercontig:CCACVL1_1.0:contig16326:92911:96479:-1 gene:CCACVL1_30681 transcript:OMO50046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHSPNSSSALTDTRFSDLKPPLSEPVIEALNQSGFKFCTPVQAATIPLLCSFKDVAVDAATGSGKTLAFLIPIVEILRRSASSPKRHQVMGIIISPTRELSSQIYNVAQPFISTLPNVKAMLLVGGVEVKADVKKIEEEGANLLIGTPGRLYDIMDRMDVLDFRNFEVLILDEADRLLDMGFQKQINYIISRLPKLRRTGLFSATQTEAVEELSKAGLRNPVRVEVRAETKSLPGSASSEQLASSKTPSGLHLEYLECEADKKPSQLVDLLIKNKSKKIIIYFMTCACVDYWGVVLPRLTALKGFALVPLHGKMKQTAREKALASFTSLSSGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFIHRVGRTARLGRQGSAIVFLLPKEEAYVEFLRIRRVPLQERKCIDVALDVVPQIRSAAMKDRDVMEKGLRAFVSYIRAYKEHHCSYIFRWKELEIGKLGLGYGLLQLPSMPEVKHHSLSTEGFTPVENVNMDDIKYKDKSREKQRKKNLLAKKECKQEESKPQKPKKVSDAVAPIMKKKTAKQRRAAQTIEDEEELTREYRLLKKLKKGAIDESEFAKLTGTEDLL >OMO50035 pep supercontig:CCACVL1_1.0:contig16326:11335:15209:-1 gene:CCACVL1_30670 transcript:OMO50035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDNGYAGLALLRLRERVVNDPSGALSDWKENDGALDHCSWFGVQCSDGKVVLLNIARSEDKDTVQSRLLQELAAPAPFINYSKIFPPYSQPPGPPSSVEPSIDRNVTRGGFIVDPSNNNTESPNADPSHAPAPTPSNNNTVLPNASVPTTSGSKSSGSSSKHRTILLASIGGAVFLLVVIVGTYLFKTSKVSTVKPWATGLSGQLQKAFVTGVPKLKRSELVAACEDFSNVIGSSTIGTVYKGTLSNGVEIAVASVAVKSDKDWSKNLETQFRKKIDTLSKVNHKNFVNLLGYCEEDEPFTRMMVFEYAPNGTLFEHLHIKESEHLDWAMRLRIIMGMAYCLEHIHQLNPPIPHNNLNSSAVNLTEDYAAKISDPCFWNEITASDREADRKNIPDSPLESLESNVYSFGVLLFEIVTGRMPYLVDDGSLEDWASDYLRRDQPLTEMVDPTLNSFDREQLEKLGEVIKSCCHPEPRQRPDMKEVGARLREITAITPDGAIPKLSPLWWAELEIMSAEAS >OMO50048 pep supercontig:CCACVL1_1.0:contig16326:124137:131824:1 gene:CCACVL1_30683 transcript:OMO50048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 27 MLIGNESRRLLLLLIIFLLTIYLRVNSAIGLCEHSFIDRNKLYNFTLASPLPKFPHGVLSEDGFYKVVENETVLWFQLCDGMVFNHDPPRCVMCSGCGGASHCGMECSALVAEISEDANNPYKGVIVRMSSSDTEHNCSLSVSVICDSTGFQGPTSMTKSGKCDYATELRHPSGCATVISFHKGGLGWFGTLMIIILCLFGAYLLAGAVYRHFFLGVNGIDVIPNLDFWASLPHRTQSSSVLRKQRLSPFFYTLLIFILFVVLLYSEDLLCIFGAFQGGASGGGQLVQTHQLSSADSQIVTLGVEKKRDTTSSTTVKTAAEATVVPFAIGKSEEGCNIFSGKWVRDELNRPQYQESECPYIQPQLTCQEHGRPDKDPSSDGLVAHKVVIRVVVDRTLGPVQVYIIRNFNATLMLESLRGKRMMFVGDSLNRGQFVSMVCLLHRILPENAKSFESTLDQSLTVFRAKEYNATIEFNWAPFLLESNSDNAIVHRVADRMVKKNSINKHGKNWKGVDILVFNTYLWWMTGRPMKFLNGSFDDVNKNIEELKTEDAYRVAMKSMLKWVRKNMDQNKTRVFFTSMSPLHTSSSEWGGAPGGNCYNETSVIENPDHWGSESKTVMQVIGEEFSKSKYPISFLNITQLSSYRKDAHTSIYKKQWSPLTPEQLANPVSYADCVHWCLPGLQDTWNELLFAKLFYP >OMO50038 pep supercontig:CCACVL1_1.0:contig16326:31764:33912:-1 gene:CCACVL1_30673 transcript:OMO50038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MENLLEISEPEIRINFILNTKCRCNLILRSLCPSFPVAFKVQTSSPHKFLVNPPSGLVPPSSHVALQIVLKPQDQIPPTFPRSHSDRFLIRTAPFDLDSGEPTHYDSVNTWLSTRPTQDIKLKVAFVGPFLLQHAVSCGDLEVVRKMIKFQKSVLFDLSPKEAESILRVATQLDNSEDMVNLLLEAGLRIATREEEEEQENANNVSSYPVDPRWESKGWSELHVAAVFDRTEELDRLLRNGRREPLDWRDKEGRTPLHLAVSKGNIECAKMLLKSGADENAKSNDGRTALYRAAANGNRRMVEILIEMDADPTIQDDHGRSALDVAREKGHEEMVEIMERGKEVMLAARCGNTRRLKSLLRNGATMNFQDQYELTPLHAAAIKGHKEVILVLIEMGSDLDRPDNEGHTALHLAVEGGHSEIVEALIDNGANVNAKTKNGLTPIYMAKTLGYIDISQLIVNGGTPIL >OMO50036 pep supercontig:CCACVL1_1.0:contig16326:24351:31184:-1 gene:CCACVL1_30671 transcript:OMO50036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MFYSRELLARKASLGQIWLLGTKQAKLDRRKANKLDVARLCEEILNPPVPMALRLTSILMGGVVILYEKKVVMLHDDANQLLINLRNAWKEINEATLLPKNKAKAGRRSITLPEFEDTNLGEPEDEEIPMGFQQNNFNMRLDQIDDCYVFLDPMADNLTHDHNQADDNDITLCDSFQPNTEFRNQFERFEEGGEETQQNTFEEHIEIPTAPIPSPAQENGPQRDEDIPEQHPEDLFMKQDQFDQQPNLNKAARQDQQRQGPVKRKARSKRIIIEEQTVISSAEMQSRLKDTSDIRGRLSRQRRCTNMLSTSKIPKLMELPSTVLMDDIFMKGNQEIPYPPQLLELWKNSTQPDHDSPTARTSQPQPPEPEEFPPERVHDDYPMNYSFDDHHSGVGSSCIEERELQRTVMKTVTPPVGPSQSVIPGNSGDAGRYSGSSASGDGVPANRVEVNIGRVGSRKSNVPSSSRNSTSSLEPVFEAEALHEIDPILKLSKPQNLTPDFELLVETQLTMETPQNDPPDIMTENIRKHMKTHFETPGAPQVESLNNLAAGLNRKGAAQLFYQTCVLASLQVLKVEQAEPFGDILISRGAKMANSIPKSPSHFHSSSFKDINTILKEEEEDSRFHPQSPKRPSIFHRVKLSTSVLRAWAHRHAPLPPSPPPPVTLPNADQRVVVYLTSLRVVRKTYEDCKAVRSILRGFRVPIDERDLSLDSDFLDELQELIGKRSFTLPMVFIGGRYIGGAEEIRQLHECGELKKLISGLPLLVGSNVCDLCEGLRFVVCPKCNGSHKIYSEKSGFRSCNACNVNGLIRCPSCIPSHNRVTYSYS >OMO50037 pep supercontig:CCACVL1_1.0:contig16326:31402:31464:1 gene:CCACVL1_30672 transcript:OMO50037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTGRRSEAICARVGIACK >OMO50047 pep supercontig:CCACVL1_1.0:contig16326:120218:122581:-1 gene:CCACVL1_30682 transcript:OMO50047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVETSFNDPQNPPPPPQSFPSDYPPPPPPSHNFDSDSSKTLGSAPNHSSNNNGFQVNQGADSNSVNYSSSVKPEIQKPLLSENGLTNTHSGTTDKDYSGGEEEATSRRRRRSRWDPPSDSNNNQSGNGNGNGEAGSGTKKRRSRWADDEPKPVIQLPDFMKDFTGGIQFDPEIQALNSRLLEISRMLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRARERLNKERQEIISQILKKNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGRLQQKRDLKPDPSENEDLHVLVEADTQESLEAAAAMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGEPGHRQYACPSRTSTFKSDVSCKICGDGGHPTIDCPMKGSTGKKMDDEYQNFLAEVGATVPDSGSKQNSASASSGTNPPWASNSASAGSAHPGLGSNPVKPPKEFDDTNLYIGYLPPNLDDDGLISLFSSYGEIVMAKVIKDRGTGLSKGYGFVKYANVDMANNAIKGMNGYRLEGRTIAVRVAGKPPQPTVAPGPPAPTYPVSSQPAGAYPSQQYATGGTLPNAAPASYAGNPVPWGPPVPPPPYAPYAPPPPPPGSAMYPPVPGQPMPPYGMQYPPQMQTVPPGVPPPPATSTETSQSFPPGVQSENSTSTPAVATNIYGNSMPPNSHSAYPTSSLGYTSYYNAVPPPPPPPAPSSSADHSQGISNVPWAPNPPLPPAASSAEKTTYGADAEYEKFMAEMK >OMO50042 pep supercontig:CCACVL1_1.0:contig16326:48538:51325:1 gene:CCACVL1_30677 transcript:OMO50042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGLGSPGLTSLIISSARRATTHRKNCEQLAEHVKLIGNLLEKLKSTDLVTLPAIKEPLDGLDEALKKALDLIESCRDKSCLYMLALGWNIVYQFRQIQTEIDRYLNIVPLISLVHEFRMQNLEEGLQAIKQDQREYSLDEEDVEAQSVILKPDRTKKDANILEKSLSRRYPELGFQEALQEEKEKLQVELHRSRTINDSKQCRVIEHLIDVTENVVNVVPDHKKVHKLIANEPTYVIAGYVTNAKSSCEQLDMKPEGQCESQWQVDLFDCCSEPCLSLKTCIFPCGVFSRITNVVSKGKTSREQAISDLMAYSLFCGCCCYSCCVRKKLRQLFNIEGGICDDYITHLICFCCALVQEWRELEARGFQGCEGRKMIPPPYQYMKP >OMO50039 pep supercontig:CCACVL1_1.0:contig16326:36400:38196:1 gene:CCACVL1_30674 transcript:OMO50039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide-N4-(N-acetyl-beta-glucosaminyl)asparagine amidase A MLLNRYSVFFLIFHFLTRTVPTSTAPDHFFKASKSPKPEAQEFFELSNPLPSDRLTPSCSITVIRHSFANTINLPPYSTSYSPPSDCPTPWPCVVLELRVSSSGDQYDRIAGIWLDGAEILRTSTAEPTESGIYWRVRKDITRYSSILSKPQVNVTMMLENVVNDVYTGVYHVHVSFLYYKENVRPYEVKIPSIISPNENSHSLGTAELGLFKTPADLIIPISDDGERGNWFRVESEADVHERRVRIPKNTHQLVLELYVSFHGNDEFWYSNPPNSYIQMNNLTTERGNGAYREVFVTVDGKFVGSEVAFPVVFTGGVNPLFWEPVVAIGAFNLPSYDLDLTPFLGWLLDGKEHEFRIAVDDAISFWLVNANLHIWLDHGTSKLEAQSVVYNNPALVIRRDESFSSLDGTFKIKAKRKSEFAGWVKSKAGNFTTIVSQEFILTNSVRFYFNGTDKIVQQDVKAKRDSRIRADSGNLVGRTVIQRRYPLTVITSTVPLPRIIDSLDSKKEEMYMQVTNVSHSLNEKRINGNYSSILYNKQKSEGWMKVKDHDVLSGEATTWQRYKFRDEFGCYSRTVLAYNGELTSDNTTFNCAGAAST >OMO50044 pep supercontig:CCACVL1_1.0:contig16326:64239:65017:1 gene:CCACVL1_30679 transcript:OMO50044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNSPCASCKLLRRRCAKDCIFAPYFPSDDPHKFAIVHKVFGASNVSKMLQELPVQQRADAVSSLVYEANARVRDPVYGCVGAISFLQNQVSQLQMQLAVAQAEILCIQMQQEPMVPTPQLDQLQDDKSFLLQHNLLPHHHQYLNFANSSTNVIQDSLKRESIFGDMVS >OMO50045 pep supercontig:CCACVL1_1.0:contig16326:73050:79476:-1 gene:CCACVL1_30680 transcript:OMO50045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSGDQPDDQKSDPTPPFDPSKPSVPISYPIKTLEDLDSGSYFTSFHYPFNVSSVPLQPNSGLAQRPRILVCHDMQGGYVDDKWVQGGDNPGAYAIWHWYLIDVFVYFSHNLVTLPPPCWTNTAHRHGVKVLGTFITEWDEGRAICNKLLATKESAHKYAEILAKLAVALGFDGWLLNMEVKLDVGQIPNLKEFASHLTQTMHSLLPGSLVIWYDSVTIDGDLDWQNQLNDKNKPFFDICDGIFANYSWKEDYPKLSAKLAGDRKFDVYMGIDVFGRNTYGGGQWTTNVALDVIKKDDVSAAIFAPGWLYETNQPPDFQTAQNRWWSLVEKSWGIVQNYPKVLPFYSNFDQGHGYHISIDGAEASSSTWNNISSQTFQPFLEYADESTSNTIEVHVDFKEASFSGGGNLTFKGTLEAKASFSTRLFLAELHMGNLPVHFTYSVKSEGNSQLGLSLEFSSETQGVKKLLLAPHEVKQFSSKFDEVIVPHQLRKPNMAPGWVIQESSIAMNGYTLTAIHAVCYRQQPERSVLTSQQSSTTEGSADYFAVLGDIRISNSSQNTVFPPSSSWILEGQDIEWGGSQNSKTLSVKISWKFKDGKNPLFPRYNIYVEKLPKQPVRPLGRKLQGGREYIGAAQVEAFYISELAIPSDTSSLKFTIQACGADGDSQNLDEAPFFQLNVEGSQDSPQDFLNAHNAARKEVGVPPMVWDNVLEAYALNYSQVRVDTCRLVHAVGPSGQNLAWTISNLSAIETVGLWVSEKSFYNLTSGVCANDSVCYHYTQVIWINSTQLGCARVGCNDNNGFFTACYYYPPGNVPGKRPTDGIESLVKAVAPSPQPPQVNQLSPVSPPRAAVLLFPPKEGKNRKGLVVGLVIGSSALLIFGLGFMAWFILRRKRKREKEKDDNVFDMLFSDEFGNGMGPRRFSFNELAKVTGNFDDENYKLGEGGFGSVYKGYLKDLDSYIAVKRVSRKSKQGLKEYASEVKIISRLRHKNLVKLIGWCHERGELMLIYEFMVNGSLDFHLFKGKSLLTWEIRYKIVKDLASALLYLHEEGDYCVLHRDIKTSNIMLDSNFNAKLGDFGLARLVDHSKGLKNTLLAGTVGYMAPECLSSGKASKESDVYSFGVVALEIACGRRSIIAPKFEEAESDQALLLVPWVWESYGNQRILDVADKKLGMDFEPKQFECLVIVGLWCAHPSHDLRPSIRQVIQALHFEAPLPNLPSSMPLPNYNVVPIITPEIGSSQPIISDMTLTLPR >OMO81215 pep supercontig:CCACVL1_1.0:contig10227:29917:30078:1 gene:CCACVL1_12549 transcript:OMO81215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERMKIVDDESKAKSLVGGSNKRPRVPGKESRQPHTVKLETTFLPAGNVGKL >OMO81214 pep supercontig:CCACVL1_1.0:contig10227:28903:29220:1 gene:CCACVL1_12548 transcript:OMO81214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MDGSSGHEKEGFLRENGGIGDEGISEEGELKEAFDVFDKDKDGLISVEELGSVLCSLGLKEGNKMEDCKAMIRKVDMDGDGMVNFDEFKKMMKSSGGGLISVSAF >OMO81213 pep supercontig:CCACVL1_1.0:contig10227:11347:11508:-1 gene:CCACVL1_12547 transcript:OMO81213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKSSYDVGNVRAINNLHGIITARTRKVNRTFAVYTARARTVHRTSPLLFQ >OMO81212 pep supercontig:CCACVL1_1.0:contig10227:5775:8587:-1 gene:CCACVL1_12545 transcript:OMO81212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLKKAVGEHGDSGLCSSRFQSANTISYIDTAIFITEHELSSDPLVNDDDDRAFHI >OMO81216 pep supercontig:CCACVL1_1.0:contig10227:30620:32754:-1 gene:CCACVL1_12550 transcript:OMO81216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue MSAIVSGKRSFFEELSTTPPVSKRIRCSSRFTSAFSPSSSSPPPSPPSSFLIDQLIAIFPEMDKQVLERALEECGDDLDSAIRRLNELRLGSADRIAAPAADKTGVALEGNAQPQAEGVPTVNGDVPPNEQTAPEVFTMDGSDWVELFVREMLNSSNIEDARARASRALELLEKSIRARAGAEVAPNLHQENMTLKEQLQALIQENTILKRAVALQHERQKEFENQSQELQHLKQVISQYQEQLRTLEVNNYALTMHLKQAQQSSSIPGRFNPDVF >OMO54345 pep supercontig:CCACVL1_1.0:contig15008:9:5988:1 gene:CCACVL1_27859 transcript:OMO54345 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MLILTNIYGINNLQGIWDTSEGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFISYWDTKVETRHPTRLYSRYIDKVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEIRINPKIRMTQEAFNSTREGVWDLQNEQTKERTAKAYLRVDDDHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVNTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGLSHEQDQLIPNLFRYIQPWSSEFIDSQCVWAEYALKRQEAQAQNRRLTLEDLEDSWDKGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDICQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDMFDQKASNKYWVDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNVVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLTDEQWTKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTKTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQIAGYLYGISPPDNPQVKEIRCIAMVPQWGTHQQVHLPSALPEHDFLNDLEPLGWMHTQPNELPQLSPQDVTSHARILENNKQWDGEKCIILTCSFTPGSCSLTAFKLTPSGYEWGRVNKDTGSNPHGYSPTHYEKVQMLLSDRFLGFYMVPDNGPWNYNFMGVKHTVSMKYGVKLGTPREYYHEDHRATHFLEFSNLEEGDTAEGDREDTFT >OMO54351 pep supercontig:CCACVL1_1.0:contig15008:34375:39789:1 gene:CCACVL1_27865 transcript:OMO54351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MDDATAATTAKSSLRLVEKTVKDMFTNNRRLVEVPYTATLGDTMNALVANKVVAVPVAAPPGQWIGAGGSMIMESDKQTGSVRKHYIGMVTMLDILAHIADDQDGTASNTDDLEKKIILDCMELFSKGIHRALVPVDSQMANIQGVELVESASSYRMLTQMDLIKFLQAHASELQGIISTSIKELGAMNENVYAINDQTKVIEAIKCLRTALLNAVPIVKSSDDIQEDSKQLINGKGRKLIGTFSATDLRGCHFSALQTWLPMRAQEFTELVSTSPLFSARERLGPNELVTCHPDSPLSEAIDKAVSKHVHRIWVVDQNGFLVGLVSLTDIVGVLRVSLLSKIDAIQKLDTPSRNLNSGIIIKEIDDSGQDISEDEVAASLANNSIVQRGKGVAELPCKHYFQEVEVVNSEETTCSPSKIIRSMLNLSNVFRSLSIKRAYDDKDFGVAAKKQRLFEISYGEEDAFSKMKTTEQSTCFNLGSKMIGAAKKSRGRPPKKKASKYKFMEVRVEQELQLVEVPIKSSNFFLDESGGCLVTATRES >OMO54346 pep supercontig:CCACVL1_1.0:contig15008:6678:9596:-1 gene:CCACVL1_27860 transcript:OMO54346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCSMICCGKGVDRKEKGKKQPTWRIFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKAEVEFSVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSAECLLDWTRRMNIAIGSAEGIAELADPRLNGKYVEAELKRVVLVALVCADNRPEKRPTMLEVLELLKGESKDKLAELENNEVFKNPQSAVTNNDGISTAEESSEIIKEEKDPKPVTETELEKAQDG >OMO54349 pep supercontig:CCACVL1_1.0:contig15008:20970:21095:-1 gene:CCACVL1_27863 transcript:OMO54349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVAHQSVHAFKRAGDLGWFFRVSDKGMATRKVITTRFDQ >OMO54348 pep supercontig:CCACVL1_1.0:contig15008:17228:18895:-1 gene:CCACVL1_27862 transcript:OMO54348 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MDKEQEQKGDDTSSSSVLVELPLGEATAAEGFDLEKAVCSHGLFMMAPNKWDPISRSFSRPLRLIDHHSSSSLVAMVQISQPSSSTLHLRVSGTSSLSPEHRRSLLNQVTRMLRLSEDEENRMREFRRIVKTLHGKEEEEATEGLRSFSGRVFRSPTLFEDMVKCILLCNCQFSRTLSMAKALCELQFEIQNQIFGEADDFMPKTPGRKELKRKFRMSKVSMRLDSKFAEAKADSELDVQHSLQIDESHACKTMGSFPSPEELANLDESFLAKRCNLGYRASRILKLSQGIVQGDIQLMLLEEDCKETSLSNYNKLAEQLHQIDGFGPFTCANVLMCMGFYHVIPVDSETIRHLKQGRAVALLRAKVWEIK >OMO54354 pep supercontig:CCACVL1_1.0:contig15008:50457:52693:1 gene:CCACVL1_27868 transcript:OMO54354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MALITSPLSKPKSLPFSPLQRAIAIKTRPCSCRVLNFDPFQNPKLHQINGKQNLLHAGFVTRLNGSYSNRKVVALANGVSVGAKEQDPEGEKTWGRILLSDVVVQRKREVFWGRQWNALDIATAGVVLAMHLLSLFAPFHFNWPAFWVAVGLYFVTGLLGITLSFHRNLSHRSFKVPKWLEYFFAYCGAHALQGNPIDWVSTHRYHHQFCDSERDPHSPIEGFWFSHMNWIFDTNNVVERCGEQTNVGDLEKQPFYKFLKITYVLHPIALGALLYALGGFPFLVWGMGVRIVWVYHITWLVNSACHVWGKQAWNTGDLSRNNWWVAVLAFGEGWHNNHHAFEYSARHGLEWWQVDMTWYLIKFLQVIGVATDVKLPTEVQKQRMAFSK >OMO54352 pep supercontig:CCACVL1_1.0:contig15008:43588:45184:1 gene:CCACVL1_27866 transcript:OMO54352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATAAATTTTATLLSSPYKRPNKANGKNDVNVNVDKGSKKMGVHGNGDRGYIYNKASFMKWTLDDVVHVARYHRIPCFFAAGLLFFMYVEYTLRMVPDSSPPFDLGFVVTRSLHQALASSPELNTLLAFLNTVFVGMQAAYIVWTWLIEGRPRATISALFMFTCRGILGYTTQLPLPQEFLGSEVDFPVGNVSFFLFYSGHVAGSVIASLDMRRMKRWELAWLFDTLNVLQAVRLLGTRGHYTIDLAVGVGAGILFDSLAGKYEESRRKFALASYAPSTKELC >OMO54347 pep supercontig:CCACVL1_1.0:contig15008:14775:16140:-1 gene:CCACVL1_27861 transcript:OMO54347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat 4 MATHFSSLHTLLLVFFLCSFQLARPFTVIMSDSGVPSTLIDAPQTGFSMNKNGARTNPHEQEAVYDIMRATGNDWATEIPDVCRGRWHGIECMPDKDNVYHVVSLSFGALSDDTAFPTCDQTKSYISESITKLPHIRTLFFYRCFSYNPQPIPKFLGQLGSTLQTLVLRENGHVGPIPSELDNLTRLRVLDLHKNNLNGSIPVSLGRITGLRSLDLSGNKLTASIPGFSFPVLNVLDLSQNLLMGPIPFSLGSYPSLVKLDFSHNRLTGPIPDLVGGLKDLMLMDLSYNRLSGPFPLSLGSLTSLQALILKGNQMGSTSIPSDYFDGMKDLMILVLSNMNLHGPIPESLGRLPNLRALVYVMQLNPVQEGRFSTSPP >OMO54350 pep supercontig:CCACVL1_1.0:contig15008:23278:33319:1 gene:CCACVL1_27864 transcript:OMO54350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKDTVHRLEKESGFFFNMRYFEDSVTNGEWDDVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDKKDHARAVEIIRNDLRVFSTFNEELFKEITMLMTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHACGPPNGARAPSPVTNPLMGSIPKVGGFPPIGAHGPFQPAAAPGPAPLTASLAGWMANPSPVAHQAISAGPIGLSASSNAAPMVKRPRTPPVDYQTADSDHVLKRPRPFGEEMSNLPVNILPVTYPGQSRAHTMYSSDDLPKNFSAHIIQGSTVKSIDFHPVQQTLLLVGTNIGDITIWEVGAREKLVSRNFKVWDLSACSMTLQASLASEYTAAVNRVIWSPDGNLLGVAYSKHMVHIYSYHGSDDLRNHLEIDAHAGNVSDLAFAQPNKQLYVISCGEDKTIKFIFSTAIDGKIKAWLYDNLGSRVDYDAPGHSCTKMAYSADGTRLFSCGTNKEGESHIVEWNESEGAASPCLRFNKEGNILAVSTNDNGIKILGNVDGIRLLRSIEARALERAYDASRAAEPQAAAKGSIISAFGASSSTTGTGIVVADRSAQMAAIVGLNGDNRALPDVKPRVADELEKSKIWKLTEMNEPSQLRSLRLPDSLMPIKVVRMIYTNSGGAILALTDNAVHKLWKWQKNERNVTGKATSSSQPQLWQPPSGILMTNDLRETNQEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATFLAFHPQDNNIIAIGMDDSSIQIYNVRVDEVNSKLRGHQKRVTGLAFSTVLNVLVSSGADAQLCVWSMDGWEKQASKFLLIPSGHAPNPLGPTRVQFHQDQTHLLVVHGTQIAIYEGSKLECLKQWLPVESSGPITDAVYSCDSQSIFVGFEDGSVCILTAATLQLRCRINPGAYLPSNPSPRVYPVVVAAHPSESNQFAVGLSDGGVHVLEPPQDEGKWGILPPAENGGGPSISSTTGSDQQQR >OMO54353 pep supercontig:CCACVL1_1.0:contig15008:47034:49950:-1 gene:CCACVL1_27867 transcript:OMO54353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTASFFASPTQAFSSTRSVTASSFLGASLPRTFPKEKRLVKISGIVSAAAATVTTSPVEETKEYALPSWAMFELGRAPVYWKTMNGLPPSSGEKLKLFYNPAATKLVPNEDYGIAFNGGFNQPIMCGGEPRAMLRKERGKADSPIYSIQICIPKHAVNLIFSFTNGVDWDGPYRLQFQVPKAWRNRPIEFFNEGLAEELSKEGACERAIFPDTSIVVDRCGMIGNLSKEGGDRCSLNFVEGCMDPSSHLYNPLANVDDGSCPIDSDLED >OMO54355 pep supercontig:CCACVL1_1.0:contig15008:64455:67659:-1 gene:CCACVL1_27869 transcript:OMO54355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKEEEGDSQVDLAGNAELLEAVKDKRWRDVKRLLDKQPDTIRAPITEFYETILHILVNYCKDADAIAHLKHIIDPDDDKPIVFEEAIVFEALEKTDYRGNTPLSVAASVRNAEAAKKIAKKKPDLLLKLNPRSQETPFHLAAKLRRDHTFKGMLHVAENDANIKTSLFSAPTDVGIVENLIAASHYGLTVELLNKYEKLGRNRAEERGKILRKLAQKPLAFKSGCDHVQGWDWARWIYKAGFSRIKKVNDILHPSHESQTKNNDDRLCIDIILNRDEDHQSPTPTHKDINLFDKCRQIASWMFQKLVIPQRVRDIYKTEMRNYEACQVVHLMCTDFTWTFENAQIALKEAALSAATLGIVEILEEILTVYPAAPVMFYNDENYNIFDVAVINCRYMVFDLLFIRCSTSSLEKVLNYPVLNKQQNNLLHFAGSCIPSRHINGAALQMQLEMQWFKAVETVVPPSFKEQRNSKNKTPIEVFEDEHKQLIEDAEQWMRDTASSCMVVDALIVAMVFAAIIAVPGNVDDHGIPNFRKETVFEVFVVADTAALFSSSFSLLLFVRILTSRYDEKDFLKALPNRLLLGIITLILSIAATLVASSSALIMLVDAVPGPKLLIRRSTVIPVTVLAALPVIFFIWSQSHLLIDILRATYRPPVSFKHKNDD >OMP00022 pep supercontig:CCACVL1_1.0:contig06740:13845:14512:1 gene:CCACVL1_03493 transcript:OMP00022 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase, subunit 10 MGRKKGVVEFDETAPDDFDPANPYKDPVAMLEMREHIVREKWIDIEKAKILRERLRWCYRIEGVNHLQKCRHLVTQYLDATRGIGWGKDGRHPSLHGPKVEEVEAE >OMO82844 pep supercontig:CCACVL1_1.0:contig09967:176:5863:1 gene:CCACVL1_11727 transcript:OMO82844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYNPDYLFLLQTILRQDPQGAVNFALMMAQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLEEHGYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLYIRALQHYTELPDIKRVIVNTHAIEPQALVEFFGTLSKEWALECMKDLLMVNLRGNLQIIVQTAKEYCEQLGVDACVKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGLYCEKRDPTLAVVAYRRGQCDDELVNVTNKNSLFKLQARYVVERMDEELWEKVLNPENEYRRQLIDQVVSTALPESNSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEHQLFEEGFAIFKKFNLNVQAVNVLLDNLNSIDRAVEFAFRVEEDAVWSQVAKAQLRAGDVSEAIESFIRADDATQFLEVIKAAEDANVYQDLVRYLLMVRQKTKEPKVDGELIYAYARIDRLGEIEEFILMPNVANLQNVGDRLYDEALYEAAKIIYAFISNWAKLAVTLVKLQQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWMELTYLYVQYDEFDNAATTIMNHSPEAWDHMQFKDIIVKVANVELYYKSVHFYLQEHPDLINDVLNVLALRVDHARVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDKHYKDAMETCSQSGDRELAEELLVYFIEQGKKECFSACLFVCYDVIRPDVALELAWTNNIIDFAFPYILQFIREYSGKVDDLIKDKIEAMKEVKAKENEEKEMVAQQNMYAQLLPLALPAPPMPGMGGGFGPPQPPPPMGGMGMPPMGSYGMPPMGPY >OMO82846 pep supercontig:CCACVL1_1.0:contig09967:10136:14604:-1 gene:CCACVL1_11729 transcript:OMO82846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSSGRCAACKYLRRRCAPDCIFSPYFPPTNPQRFVSVHRIYGASNVAKLLQQLPTHLRAEAADSLCFEAQCRVEDPVYGCVGRISLLQQEIHKAETQLVKTQAEIAVLKSQTQLGHVDDLQALQFEPQSDDIASLQSSSNPFGITTPTQSSSLFTTTPPPWSTPALVPFPSTGGSGCPPAFLRDGAESNNAQLFGTGSAFGTSTIASTTPATATVVGNPSTVAFGTSPTPAFGSSAPPAFASGQGPPSFGCKTPEYLRNRNAFGGWNNGGSILGTGSATTTSTTPPSVVQPSPAFATMASSSTTSSSNPRILFDPKSGIWSLEIPPTLGSWKCSTSVNPAASFGASSTPSFTFDCSPALAPAPAAAFGGQSTSKSNPSVCFDSKSNIWSLEIPPTIGSWKCSCSIIPAASFGSFSTPSFSFDSTAPGLASFRQPSPPSQEATHHFFGCRCNACAAKTSPPSPPSQEATHHFFGCRCNACAAKSSPPRPFATSFEREGSKAIAYEATSEEPYGSGQNMKVQSISAMCFYNSESHEVIRWKDYQLGQKGTPTPNPFQLSPPKFTFPPQPQPQSEEKDTPTTSPPPTNSSFFQANSDTPKEPIFGKPQQPQPERWFGQNVNVTRNPFQSTSPFQSTSLFQSASTQTSIFSPTSFNTPSFSVNEEQPRSLFSTARSSIVQTTLDDREEPVFGKGITSLFRDKDNEEKPRSLSFGFGTPIKADKLESVE >OMO82848 pep supercontig:CCACVL1_1.0:contig09967:23683:25956:1 gene:CCACVL1_11731 transcript:OMO82848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSIGSQLNSCKPETSILCCPPQNGTASVRDSHSPSLVSNDATLGRHLARRLVEIGVKDVFSVPGDFNLTLLDHLIAEPGLKNIGCCNELNAGYAADGYARSRGVGACVVTFSVGGLSIINAIAGAYSENLPVICIIGGPNTNDYGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEGAHEQIDRAISTALKESKPVYISISCNLPAIPHPTFIRDPIPFSLSPRLSNKMGLEAAVEAAAAFLNKAVKPVMVAGPKIRVAKASKACVELADACGYPVAIMPSAKGLVPENHTQFIGTYWGAISTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIGNGPAFGCVLMKDFLESLAKRLNRNTTAKENYQRIYIPDGVPLKCEPQEPLRVNILFHHIQKMLSSDTAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQSVPDKRVIACIGDGSFQVTAQDISTMLRCEQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGNCWTTKVRCEEELIEAIEKATNEKNDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >OMO82851 pep supercontig:CCACVL1_1.0:contig09967:47323:48108:1 gene:CCACVL1_11734 transcript:OMO82851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSFFPHLLNSISSYDGNVMLAAIISLLLVILFVLLLHVYAKWFLAQARQRSSTSSSSMSVSHVFRHDRFHHFHTFAFDTTFPSSPLKGLDSSAISSIPLFVFKFGELEFKHGLECVICLTPFEDNDVGRNLPKCGHCFHVECIDMWLQSHTNCPICRAPVLSNDKVSVSVVSLADSEVSNSLESVGELLNSEVGVIDGNLTDLSDSNNRQIAAGNDDNFSSASSSSSSLASSLGCSLKRMLSRNRSERKVFPSFNASELEV >OMO82847 pep supercontig:CCACVL1_1.0:contig09967:21524:23034:1 gene:CCACVL1_11730 transcript:OMO82847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter MEEDNTDDKPTSLACGELGNLDFDAEDHTSANPKCRGNTEQSSAILETKGGMGHSGSSPLKAESLEILRAKESGVAFILGHSYAKAKKSSSILKKFAINVVYAFLSKNCREPDVVLNVPHTSLLEVGMIYYV >OMO82850 pep supercontig:CCACVL1_1.0:contig09967:38413:45861:1 gene:CCACVL1_11733 transcript:OMO82850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate binding module family 20 MGTGASRNAEGGSHGGEEREESLDQSGGQLYVSLKMENYKKKGDLIPHVYGSAPLIGSWDSSKALPMERESASRWELSFVVPPNHETLDFKFLLKPKYGYTPCIVEEGPNRILRGGTLQGDARLALFKLDSEEVLEYRVLIKADRVSPFDLAASWRAYQENFRPSTVRGIPDVSIDAAPEAGRENGSSASLELDLEHYVVPAPSTSANSGLVYAANLAENPRSITFAGVSVDRPATIKEMEVVIPDPSKVYSGSGMVESKSVGTFSPLQKQDSHRGLFVDRGVGSPRLVKSASQSTFSFDLKLDTETKNSMPAAAGAVAAAAVADQMLGPKEDRHLAIVLVGLPARGKTFTAVKLTRYLRWLGHDTKHFNVGKYRRLKHGTNQSADFFRADNPEGMEARNEVAALAMEDMIAWMQEGGQVGIFDATNSSRGRRNMLMKMAEGNCKIIFLETICNDERIIERNIRLKIQQSPDYAEEPDFEAGLRDFRTRLANYEKVYEPVEEGSYIKMIDIARGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESMDNVRGRIGGDSVISDTGEIYAKKLANFVEKRLKSERAASIWTSTLQRTILTASPITGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYESRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPHIEVPLHTIIEIQMGVTGLQEKRYKLMD >OMO82845 pep supercontig:CCACVL1_1.0:contig09967:7000:8699:1 gene:CCACVL1_11728 transcript:OMO82845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEPDNEHREDEEAPAADDEDTGAQVAPIVKLEEVSVSTGEENEDPILDLKAKLYRFTENQWKERGVGTVKLLKHKETGKVRLVMRQSKTLKICANHLVLSNMTVQEHAGNDKSCLWHAADFADGELKDELFCIRFASVEKVAESQKPKEEDKDASAAAGLLEKLSVEEKKTDDKAEEEKPVAAKEEKETKDDTEKADAEKKDGEAASST >OMO82849 pep supercontig:CCACVL1_1.0:contig09967:33977:34983:1 gene:CCACVL1_11732 transcript:OMO82849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGDDESEFFFQIARLKKELEASLAINGSLEKENQELKHEVARLKAQISSLKAHDNERKSMLWKKLHNSMDNSSFDALLQKSSDFIKVSEQSFEFQELAVRKERQKVPKPKEANENKVKAPPPPVPAPAPPPPPLPSKLLAGSRSVRRVPEVAELYRLLTKKDATMENKTNSATTPVLAFTRNMIGEIENRSTYVSAIKSDVEKQKEFINFLISEVQSAAFKEISDVEVFVKWLDQELSSLVDERAVLKHFPQWPERKADAMREAAFSYRDLKNLEAEVSSFKVNPKECLNSELRRMQALQDRRACIN >OMP02883 pep supercontig:CCACVL1_1.0:contig06171:878:937:1 gene:CCACVL1_02669 transcript:OMP02883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKTKRATTLANSIKGF >OMO85736 pep supercontig:CCACVL1_1.0:contig09588:19310:19447:-1 gene:CCACVL1_10031 transcript:OMO85736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASSPSLEADFGFRFSFFDSLILLQELAAPFTPVLFIGAATSFFCR >OMO75740 pep supercontig:CCACVL1_1.0:contig10994:24515:26995:1 gene:CCACVL1_16060 transcript:OMO75740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MVVSLSIGLIFLMFFYGDVMRVDIPLDIFEELGIVMSQGHVYRFLRFQVVPSKEQYRWVKSDYDIHFNGSTDLEPVTEGVDKYPRYWFSLATVEQINMRGKFELVLTNVAGMLLSLTDVTEVYKSTGEIKKKKDIVLRLIRSTLLFLLFFHFFSFDSFLYLTGNKFLSTSSASTLFVNPDIQEAEEIRRRFAHDKTPVLFIRNGGGGGGDGNGPLDIYIDRLLYLTAPDVKGKRFRIEGKITRVLIKNGWFFEYCSKCSARVDPCGNAFKCLRDGIVTPKFVTQLSLTVTDETTRMNLTAFGPVGERDIMKHDYVFTIGATEQSLTQGPLRYRVFSFVVKSSEDILGGIGHQLPGKDNDVLASISTGQAPAVGVSLGLDDSVGLDHSQADVDASQINRTPTESAGQTSQPVVHDSQIPTYTPPSVSTGQASALDVSLGLDESIGLDDSQAGLDDYQMSTDQTFVAEGALEGPAKVIGSQSSSKRRKSCARKLQL >OMO59881 pep supercontig:CCACVL1_1.0:contig13854:11638:12823:-1 gene:CCACVL1_24556 transcript:OMO59881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECEQNQETTHQDTTTTAVEEFTYGPWMVVARCWNKNVTSLNKEKRRQNNNQNDGPSRGLNANSAPNGHNANSNKNLGLSKSAQEKKGKKVMVIANNQAPTVNYSILDGKAGFTFQIGGRSHERNFEDKGQKECGRQCRDTLRARGEHIYEAIIVRMYECHV >OMO59880 pep supercontig:CCACVL1_1.0:contig13854:2122:6692:-1 gene:CCACVL1_24555 transcript:OMO59880 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase MEKLDHLKSAVASLSQISENEKNGFINLVARYLSGEAQHIEWSKIQTPTDEVVVPYDTLAPTPDDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIEHLNLKYGCNVPLVLMNSFNTHDDTLKIVEKYSKSNIDIHTFNQSQYPRLVVEDFSPLPSKGQAGKDGWYPPGHGDVFPSLKNSGKLDAFLSQGKEYVFVANSDNLGAIVDLKILHHLIKNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVNLNAIKRLVEADALKMEIIPNPKEVDGIKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNKARANPANPSIELGPEFKKVGNFLSRFKSIPSIIDLDSLKVSGDVWFGAGIVLKGKVTIAAKSGVKLEIPDGAVIENK >OMO59882 pep supercontig:CCACVL1_1.0:contig13854:15092:15178:-1 gene:CCACVL1_24557 transcript:OMO59882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGARKALGDSAAGEALPLAPDHQKSRI >OMO63751 pep supercontig:CCACVL1_1.0:contig12900:4787:9771:-1 gene:CCACVL1_22299 transcript:OMO63751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERPTTSHSNKHTNRLAAEHSPYLLQHAHNPVTDFGHGFYGGLLFEVGYSTCHWCHVMEVESFENEEVAKLLNDWFVSIKVDREERPDVDKVYMTYVQALYGGGGWPLSVFLSPDLKPLMGGTYFPPEDKYGRPGFKTILRKVKDAWDNKRDMLVKSGTFAIEQLSEALAASARSNQLPDGLPQTALRLCAEQLSKSYDSRFGGFGSAPKFPRPVEIQLMLYHSKKLEESGKPGGAKESQKMVFFSLQCMARGGIHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQLANVYLDAFSITRDVFYSFVSRDILDYLRRDMIGSEGEIFSAEDADSAEFEGATRKKEGAFYIWTSKEIDDILGEHASLFKEHYYVKPSGNCDLSRMSDPHNEFKGKNVLIERNDSSALASKLGMSIQEYLNILGKCRKKLFDVRSKRPRPHLDDKVIVSWNGLAISSFARASKILKDEAQGTAFNFPVVGCEPKEYLEVAEKAANFIRRHLYNEQTRRLQHSFRNGPSKAPGFLDDYAFLISGLLDLYECGGSTDWLLWATELQDMQDELFLDREGGAYFNTPGEDPSVLLRVKEDHDGAEPSGNSVSAINLVRLASMISGSKSDHYRQNAEHLLAVFESRLKEMAMAVPLMCCAADMVSVPSRKQVVLVGHKSSLEFEDMLTAAHASYDPNKTVIHIDTTNNAEMEFWEANNSKIALMARNNFAPDKVVALVCQNFTCSPPVSSPKSLEDLLAKKASSSVS >OMO63752 pep supercontig:CCACVL1_1.0:contig12900:10738:15047:-1 gene:CCACVL1_22300 transcript:OMO63752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor class I/class II MNSVAMSSTARLFTTFNHLPMRPRFTPRSRGFLVARPWPTIRAPRIICMAEPYLITKLESAEKTWKELSVRLADPDVVSNPTEYQKLAQSVSELDEVVSIYRQFKDCEKQLEEAKALSEEEGTDGDMAEMITSEINSLSSQLKELEEKLKVMLLPSDPLDARNIMLEVRAGTGGDEAGLWAGDLVRMYQKYSERNSWKSSLISYSEAEKGGFKTCVIEVKGNCVYSKLKFESGVHRVQRVPQTEAQGRVHTSTATVAIMPEADEVEVEIDPKDIELQTARSGGAGGQNVNKVETAIDLFHKPTGIRIFCTEERTQLQNKNRAFQLLRAKLYEIKVREQQEQIRNQRKSQVGTGARAEKIRTYNYKDNRVTDHRLKMNFELTSFLEGDIENAVQACAAMEQKELLEELAESVSATAG >OMO63753 pep supercontig:CCACVL1_1.0:contig12900:20092:23709:1 gene:CCACVL1_22302 transcript:OMO63753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MELYGRNPARNGSQSGHQPEWSPAGPETGLEESMWQLSLRGSESYPERPGVPDCVYYMRTGFCGYGNRCRYNHPRNRAAVEAAVRATGEYPERPGEPACQFYLKTGTCKFGASCKFHHPKHAGGSFSQVPLNIYGYPLRPGEKECSYYLKTGQCKFGITCKFHHPQPAGTSMPASAPQFYQPVQSPSVPVPEQYGGASTNVRVARPPLVPGSYVQGAYGPVLFSPGVVPIPGWSHYSAPVSPVLSPGAQPAVGATSLYGLTQLSSSTPSLAGPYPSLPSSTGPSSSNQKEQTFPERPGEPECQYYLRTGDCKFGSSCRYHHPRDRVVPRTNCVLSPMGLPLRPGVQPCSFYLQNGHCKFGSTCKFDHPMGTTTMRYSPSASSLIDMPVAPYPVGSLLATLAPSSSSSDLRPELISGSKKDSYLTRIPSSASTSSSSVGLIFSQTGSVPLSDLQLSSQSSVPLSSSRSTRQGGEVRRST >OMO63754 pep supercontig:CCACVL1_1.0:contig12900:27370:33127:1 gene:CCACVL1_22303 transcript:OMO63754 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MKDINFGEIQKDCSSCLRFREKMGVVTVAELKPSISGKRSFRPSSSIRHATEWPISDVSSDLTIEVGGSSFALHKFPLVSRSGRIRKLLIDAKDAKISRINLSAVPGGSEAFELAAKFCYGINVEITLSNVAMLRCASQFLEMTEEFAEKNLEARTETYLRDMVLPNISSTISVLHRCETLLPISEEINLVNRLINAIANNACKEQLTSGLLKLDHNFPSKAMPEPETPSDWWGKSLAILNLDFFQRVLSAVKSKGLKQDMISKILINYTHNSLQGLVVRDPHLVKGSLLDLELQKKQRVIVEAMVSLLPTQSRKSLVPMAYLSSLLKSAIASSASTSCRSDLERRIGLQLDQAILEDILIPANSHGINHSTMYDTESILRIFSIFLNLDEDDDEDNPLRDESEMAYDFDSPGSPKQSSIIKVSKLLDTYLAEIALDPNLSPSKFIALAELLPDHARIVSDGLYRAADIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMSGGHNQFFFGAINGQFPQRSGSGAGSGAISPRDNYASIGVFAFPLRRARTLRILENNLPRSFNLRRKIGMRVSFSQLGNVLPIPRLFRQLEQEFETVVKVLQPGPLGIIEHKFSADEIREANATVRRAVENWRRNAIIEERNGVLKDYIQR >OMP03593 pep supercontig:CCACVL1_1.0:contig06032:1703:8462:-1 gene:CCACVL1_02349 transcript:OMP03593 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative receptor-like protein kinase MTKMIILLLFHILFVSSAAAIAPPPNQDGCDQTSCKRGQPPVRFPFRLKGRQPDHCGASGFVLSCNNSNQTVLELPTSVKLLVKRIDYIKQRIQVYDEESCIQKQLPNLTISASPFTLIAAPGYEGMDSTLQNNSLFKCLAQHESDYINFGIPCLNQPGFYFKFVNLDFASGGLLNCSKVADAVEVPIGFTRSNIIDNNFHFNWSEPACGSCEAKGNGCRANLTNPLGIECYYIHRDNKDLHLFNMLTSTQTGKTLKNNLLRVEL >OMP03595 pep supercontig:CCACVL1_1.0:contig06032:24726:26978:-1 gene:CCACVL1_02351 transcript:OMP03595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQSTRLETHLSGTLDELTAHSRGPIRMLLHRLRNNRAISLADVDELRNYTLHPHPEHKDNIVDFEYFDEASRMALEKMIVVDEKPFKIVEHEGFIEFMSILQPKFKMMSRTTVGKDCYKLFLGERKKLKKLFSTLGSRICLTMDIWTSIQNASYLCLTGDNVASNDVVVAHLREKKKERKKSLLLDGEFFHVRCSAHIVNLIVKDGLSVVGPSVTRIRDVQTRWNPTYFMLDSALKFKKAFDRLEDDDIEFSINLDGRAPRADDWSKARVLVNFLKLFYEVTKFLSGTHKYRVLSKLAKDILSTGSRVLDQFHCSLTPVLVESLNCAQDWLRASASPIQVAEDSEGVEKLEIGIIEDVEIKVSTSLE >OMP03592 pep supercontig:CCACVL1_1.0:contig06032:731:856:1 gene:CCACVL1_02348 transcript:OMP03592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDNAVILKQNAVIVERRFFRKTPLVFPTPNIAALLAALF >OMP03594 pep supercontig:CCACVL1_1.0:contig06032:16888:19646:-1 gene:CCACVL1_02350 transcript:OMP03594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIIFLFQFLLLLTAKAAGFGPAIPSYQERCNPTRCKKGQPLVSYPFQLKGRQPDDCGAKGFDLSCDNKNQTVLELPTSVKLMVKRIDYVNQKIQVYDENNCVQNQVQNLNLSASPFLFMSDNYYYSRLRNFTLFNCSADGGLDDYSFNDNIPCLGGQGFYIQYVGYDYNPFADLLNCRKTIDILNVPEGILSVKDKKFYFNWSEPACGLLCEAHGQGCRPKTNSSGIECFNRAHKDQTRKLLMISGLTIASFILILGAIGLFWLYHLHQKEKQGQRKIEQFLEDYKAQKPSRYSYADIKRITNQFKEKLGQGGYGTVFKGILSNDVSVAIKVLNNFKGNGEEFINEVGSMGRIHHVNVTRLVGFCADGYNRALVYEYLPNESLEKFIFSNEGENRFLSWEKLHEIALGIAKGIEYLHQGCEQRILHFDIKPHNILLDQNFNPKISDFGLAKLCSKEQSAVSMTAARGTMGYIAPEVLSRNFGNVSYKSDVYSFGMLLLEMVGGRKNIDVNVGNVSQVYFPEWVYNRLEKGEELGIKIEDEGHSKIAKKLTIVGLWCIQWYPVDRPSMKIVVQWLEGEAENLSVPPNPFASKDEMKIKRPINRELEAISE >OMO85595 pep supercontig:CCACVL1_1.0:contig09602:17931:22011:-1 gene:CCACVL1_10093 transcript:OMO85595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MITQSWVKIVIGELIPFKGDRFNAERNRRLHSSWFWFSALITGCSAVCAGLSGWLLNNVAEMVVIKYRDATARIRSFAWKAYPADHCLGFIIFHSMVLVFASKTYFHYIHLTRRSGTQQSKSKPSKSVIFRQKMEMLWEVEGPNKKCLSLDPDTVLLSTPPQKPWASHRRQDDETPNQTQTQSQKKDACFICHRQGHWAQDCPSKSKTPKSNTQRSLPDQGSDVHSVPVLRCHCGVAYKTAISRSQDNPGRRYYVRECECRGNIETEQGGLFKRYIWYDEHVEDLWAPTCECGAGACTINFGVGRVKDGTVMTYNMYYTCRIRMGHGACGFLKFITPPDWSMEKSIQPSVLSPNLVPQNNVTHENECPIPNLEGHRFPRSRSEIHSRQIEFENQMSAAAADSSNTCKVLILGRCVDDVHGWVGRLAFPPPRLLVDPPPRHFFCCIFPLFDPILVSEYVDISDSGSSRSIPHALDNKVDELSQNNFRNDTKLMVVPLKIPSRKRSFTAVQEDLTSLVLKEAGKKLIQLMEPPDNDALPQAANAAFDVSACPSSECGPFAESSTNTNQPASIVIELGSVVQENCNLQAPTEHDIVEESLDDIAGLHSEALATLRASRPSSNFGQSIRKGASHLKEMRLQMRKLYHHWETETLKLETFFLDMTKDLDAYEEVSETLKLELREERCMGREEPLETWSSSSESSTLDVRKRQKVTGNYGSKTE >OMO85592 pep supercontig:CCACVL1_1.0:contig09602:5560:7737:-1 gene:CCACVL1_10090 transcript:OMO85592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFLARTGRDHQRYDENNFRLVSGCIPYRLTKEDDKEDMEMENRVEVLMISSPNRSDLVFPKGGWEDDETVVEAACREALEEAGVRGILREIPLGVWEFRSKSRQDLCSLEGSCRGYIALEEFLKVIIIAQQDDDKLKLKEQQVEIVDEPQPQPPPPPPSSDSDSDVLVAADCQIMASNCYVNPSKSQHHAPHALLRYLVGTLVSKGQNFGEHLILPWELVRN >OMO85593 pep supercontig:CCACVL1_1.0:contig09602:12338:13087:-1 gene:CCACVL1_10091 transcript:OMO85593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S27e MVLQNDIDLLNPPAELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >OMO85594 pep supercontig:CCACVL1_1.0:contig09602:13884:16395:-1 gene:CCACVL1_10092 transcript:OMO85594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MEANPTKGKEQQSRSGCNPVKKPGPVTMDHVLLALGETKEEREQRLRSLFNFFDSANAGYLDYAQIEAGLSALQIPSEYKYAKDLLNVCDANKDGRVDYVEFRRYMDDKELELYRIFQAIDVEHNGCILPEELYDALLRAGIEIDDEELAMFVERVDKDNNGVITFEEWRDFLLLYPHEATIENIYHYLERVCLVDIGEQAVIPEGISKHVHASRYLIAGGVAGAASRTATAPLDRLKVVLQVQTTRAHIMPAIRDILREGGILGFFRGNGLNVVKVAPESAIRFYTYEMLKEAIMKAKGGKDKAEIGATGRLFAGGLAGAVAQTAIYPMDLVKTRLQTYSREGGKIPNLGTLSKDIWIQEGPRAFYRGLIPSLLGIIPYAGIDLAAYETLRDMSKKYILQDSEPGPLVQLGCGTISGALGATCVYPLQVVRTRMQAQRGDKAAAYKGMSDCFKRTFQHEGMRGFYKGLFPNMLKVVPSASITYMVYESMKKSLDLD >OMP11264 pep supercontig:CCACVL1_1.0:contig01433:819:1115:-1 gene:CCACVL1_00592 transcript:OMP11264 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 DVLHNWNDEDCIKLLKNCHEALTEKGKVIVLSYAMVEEPEASNGAKFVCHMDLLMAMHGGAKQRTETQFKDLSMAAGFSKFQLHCRIFDAIGVMEFYK >OMP11033 pep supercontig:CCACVL1_1.0:contig01666:453:1112:1 gene:CCACVL1_00715 transcript:OMP11033 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MGKKVITHPASAKANNKNHHHEKQLHSLIKVLKPKVYITDSSSFKQLVQELTGFQTTTQLTSCHKYPKPEQVRQSKIPVIELDDQLEGDQIMPPAPAAAATILSTDSSLISSLKLCDNYTFDDVQAFHQLEEINQIPTTHEMMSRFEDDHHDYYPLTSTNYQQRNWLEYQNLESWLLDAAADQPFPYNCYNNGFSSTQNNIEQDHQLISVFDYELSGLI >OMO78659 pep supercontig:CCACVL1_1.0:contig10554:109:2141:1 gene:CCACVL1_14226 transcript:OMO78659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MIFDCFAKELIDYDEINTSPKKKLRYSFQAFMDGLISFPLNIPGTAYHACLKGRKNALKVIKDKLIARRKAPAKSEKDHDFLDDLLKEVDNEDSILTEEIAIDMIFVLLFASHETTSAAVTLAVKFIADHPKVLDELTKEHEAILKSRDDKNSELTWQEYKSMTFTHMVINETVRLANIVPGIFRKALKDVEINGYTIPAGWLVMVVPAVVHLSHGKYEDPLEFNPWRWEGKELHAGSKTFMAFGGGVRLCVGADFAKLQMAIFIHHMVTKYRWRVTKGGDIIRKPGLIFPNGLHIKIAQK >OMO91594 pep supercontig:CCACVL1_1.0:contig08323:3000:8876:1 gene:CCACVL1_07070 transcript:OMO91594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELDFLSSLANKAPEWNTSPAPREDCPSATSFKADGNSSLGSFKDALLSSVPIQVGFSPLDFDVSDDEDDGDTTIPRIKLTREEKIKIRAPWMNSLIVNAQGRYPFKLFNDRIKALWSLKGKYQIIDLDKGHFLVRLERKEDYETALFKGPWFLNNSCLNVRKWRADFQPTDEPEEEFSAIWVRLPNLPFEYFNRLVIEKVVASLGKLIKVDFHTDNGNRGRFARMLVQIPLNKQLIDRFKIGESRIDVAAYRENSNFEAFVLGGTDVAGTSGSKDVGPGLVNVEDLNLVSVGEGPNQAQILESPRALSNQISIPTFSETEYSSLLPSQEALASKDDNTRPTGSSMANSSDDRDDREFAEHLLPVSDNGRLPKTPRGTIAQRCLHDLDCTAEVRADLQERELPLEPTLPQVVRDLANANPRGDNYVEQEEGNTASHGGVWSSRGELDELNRDFDDSGGQEDQNSLSRRPADRNKTTRKSSQGGPGGWVEVFGRLYLQKRLELLRAASRMGIQDPRWFPMKILSYNARGAANPLFKQLVRQLVADHKPTMLIITEPRISGSRGELVRNSLGFDGSEYVDPIGFSGGIWILWHTTEIDVTVKSKTRQEITVDIKAWDAHPFCFDTVVQKFIALVKNWNKNTFGNVFHNLKRIQARLLGIQKEISDNPSQFLLDLDRELNRELADILRKVESLWAMKFRVDWLLEGDNNTKFFHKTTIARRRSLYTSESDFCCLLPQNPPPGIRRVSDDAFSNLGDPISPYLFILCMEFLSLAIDQEVSQHNWNPILKVNANKTKIWFSPRVPDENKNRITSRLGFQKVSNLGVYLGHPTLVGKAKKNDFLFVIDKMRNKLSGWKAHNLSFAGKYTLIQSVSSTMADYSMNTSLLPAAIHYEMDRIHMNFLWGDTDEGKKVHLLSWNQVTKKKHRGGLSLRKSKFRNIALMAKLQWRAKISPEDLWVKALNGKYKAFSGGSGGGGGSVRNNSSDVWKGMSKGSIFVEKGLKKVINSGRNSSFWNDIWISNRIETLRSMITGPLTRNDSLLNVADCIEDDGTWNFSTTEFFHLGGSTPKLVIRRTVNVHWVPPCLGWLKLNSDGSSLGNPRPAGAGGYFRSENGGWILGYARRIDHLTSLQAELWGLRDGLRLAVDKSFTKLEEPDEVDSLPEAHTLFS >OMO57108 pep supercontig:CCACVL1_1.0:contig14443:14678:18224:-1 gene:CCACVL1_25996 transcript:OMO57108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MSGSRKRGSKWDSKDERQYSHENVRDSGWPGKAGVAFHDRESEHEYFSPEVGRNGSKWSSMEASDVIKSKHGLPSRESLSGSRGAQKDDNNVDFVKNWKPATSWDGDESMRMSPGLDDWRQQSRRHSPKSDWSRSRSFTQRSRSRSWSRSHSRSRSRSPVHGIRRQSGFHERTRSKSGVSTQLCKEFMAGRCRRGNQCHFLHDDIQSHEDGWDNRQKKAGASKYFTPNDGKDFLVKSGRSSDCCTDYLKGHCRRGASCRFAHDGAADDVGRGSVNEVSRVRENSKRNRVISPERDGERETRRSSDVPCKYFAAGNCRNGKYCRFSHHSQARASPERRSRGDRGGWGQSSVSMDKFRDSAKLRDTDASYNVEKSRNGPKNDAVASNEAEKFWAAGPKWSDKDASNDLGKSWPVSKWGDTAYSDGAMSKDTNGKMGTSEPRFSDWSIGQHNYDASGKNSDRDEARKLENSGIATAASEPRWGEDTLSDTEMSPWNPTSMETEPAHVSHGFKSQTLIDTPVQAHEKNITKEALGWVREGVAASQPMSTENSNFQLDHRMRGSSGAALPCDSNVPGRNPAISHIDLNFSSNIPPMQSFDQPGPRSSSLPYPNLNPVGQSQVAIPSGSNEVNMKGMQNNLVFQDEKPSIKLNLGDTTILHGNSGIQSTQNMVSNEQLTQLTNLSASLAQLLGNGQQLPLLHAALNPHDAMQVASLANSGGPTEPVSVTTVQPGEDIKLPKQYDPLADSIESLKKQETNAKPLEFSVDPVAQRITGDGIPELSANKLLPSTLVGSTNGGEYHNDHSSKREPALDSHMPNQMEPVANSEVKKENGGDEETRTAQEENKNGPSENIDAEDKTEEGKKSKDGKGIRAFKFALVEFVKDLLKPTWKEGQIGKEAYKNIVKKVVDKVTASMQGATIPQTPEKIDQYLQFSKPKLTKLVQAYVEKFQKS >OMO57109 pep supercontig:CCACVL1_1.0:contig14443:21833:21901:1 gene:CCACVL1_25997 transcript:OMO57109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKEYGKNRASKAKREKNASLA >OMO57107 pep supercontig:CCACVL1_1.0:contig14443:572:1177:-1 gene:CCACVL1_25995 transcript:OMO57107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMEDHILHDQLPLNPIIWQSSNFPFQTPPSTTTSNDQQLGQQVVDEEEEPEEELSAMKEMLYKIAAMQPVDIDPATIRKPKRRNVRISDDPQSVAARHRRERISEKIRILQRLVPGGTKMDTASMLDEAIRYVKFLKRQIRVLQQSHNQQPPCQWQVTPNKALVSTSSTSMETQQAGPAGFSAFGATNGGNNPLCFNNHE >OMO64562 pep supercontig:CCACVL1_1.0:contig12799:2661:2747:-1 gene:CCACVL1_21668 transcript:OMO64562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKARAHTVADNLAASLQRLKFTMAYI >OMO53213 pep supercontig:CCACVL1_1.0:contig15287:3759:16615:-1 gene:CCACVL1_28808 transcript:OMO53213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MSSIFKGSPYRRPNDVEAGSSRSAHSDNDYDEFSDGPFNITSTKNAPIDSLRRWRQAALVLNASRRFRYTLDLKKQEEKKQVLSTIRTHAQAIRAAYLFKQAGERENGTAVPEPTPNGDFAIGPEQLASLTRDHNLNALQEYGGINGLSELLKTNLEKGIQGDDSDLLKRRNAFGSNTYPRKKGRSFWRFVWEACQDLTLIILIIAAVCSLALGIKTEGPKEGWYDGGSIAFAVMLVIVVTAISDYKQSLQFQKLDEEKRNIHLEVVRGGRRVEISIYDIVVGDVIPLNIGDQVPADGILISGHSLAIDESSMTGESDIVHKDMKQPFLMSGCKVADGSGKMLATGVGINTEWGLLMANLSEDTGEETPLQVRLNGVATFIGFVGLSVAFAVLVVLIVRYFTGHTTDPNGAKQFIAGKTRASHAVDDVIKIVTVAVTIVVVAVPEGLPLAVTLTLAYSMKKMMADKALMTVVEAYVGGRKIDPPDSRSELTDTQTSLLIEGVAVNANGSVYTPEGGGDVEVSGSPTEKAILNWAIKLGMNFDAVRSGCSIVHVFPFNSEKKRGGVAISLPDSKVHIHWKGAAEIVLAACSRYLDSNDGIVAMDEEKMAFFEKAIESMAAGSLRCVAIAYRSYESEKVPTNEEELARWALPENDLVLLAIVGIKDPCRPGVKDSVLLCQKAGVKVRMVTGDNLKTAKAIALECGILHSDMDASDLIEGKVFRELSDTQREEVAEKISVMGRSSPNDKLLLVQALRRRGHVVAVTGDGTNDAPALHEVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRSPSFNAGIVNCPCKFQAVYQVSVLLVLNFQGKKILHLNHENSEHANRVKNTLIFNAFVLCQIFNEFNARKPDEFNIFRGLSRNYLFIGIVTITIVLQAIIVEFLGKFAKTVQLSWKLWLVSIAIGFISWPLAFLAKLIPVPETPVSSFFSRKKSNRQRNQSIIEKA >OMO96782 pep supercontig:CCACVL1_1.0:contig07379:2208:2354:-1 gene:CCACVL1_04776 transcript:OMO96782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGIVCRYHSIDEASASGAAPILISLDLNSIVDLQCTIDIMDHLLACE >OMO75600 pep supercontig:CCACVL1_1.0:contig11020:12920:19681:-1 gene:CCACVL1_16123 transcript:OMO75600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLEHLFWTCDYARALWFASSLGLRTDAFLDINLRQWLFKLLGIEEINGKENHWFAVEFAYTLWYIWIQRNEKIFQGKEPNLTDLMSRIKRTVAKVTEASGMEWQEHQQSSLYVNLLKPGTTKFVSSRAIQGLQALNQGNWLLFLSITKLQDNAWFGHKAPLIEELEEHMQKLNQERASAVLERRSANNDDEMEVEAAVKAAMLVFKECGNNATTIKYAAKDAQAASARGQANLLVKLDEFGYGTRSGANEFESSVSSNMYPKYPLEQQTYNEQPVQQSDADVVSGECSPIGWLASISREAYLEYVHNYVVVYKPYMS >OMP05413 pep supercontig:CCACVL1_1.0:contig05400:1857:2867:1 gene:CCACVL1_01913 transcript:OMP05413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSPRFQMEKARTILRRSIYTFLQNYQYFTATAAIIAFPYAASVLVSQLFVPYSPLLPTIHNRLRLLFQAAGFPSSSEFFTVLCFKISQTISSSIFALPFTLSCLLLAKSSIIQLLNNHHKQNQPPSFSSVISLYNPLLATYICNFLVLLSANATAFSLLFFGFDFFQGLGFTSSNWVLFLSASGAVLYSIILANALIICNLALVSSGMEKSGGYLAILKACVLIRGKTSTALTLAVPVNLVLAAVEALFHYRIVRAFNSAEITSFPMALEGVLIAYLYSIFVVLDTVVSCLFFKSCKQGSSMEQQEEARYSYRIEIAEEDGNAYVKVKNIEQLP >OMO77888 pep supercontig:CCACVL1_1.0:contig10688:9947:10057:-1 gene:CCACVL1_14748 transcript:OMO77888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEISCAILIVIVHHKSTILALHLSFSIGVFWSKH >OMO63616 pep supercontig:CCACVL1_1.0:contig12932:16529:30824:1 gene:CCACVL1_22382 transcript:OMO63616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLLTVELDFEKEVIRETTQFGLIGKIIADRVLNRKGVRNIIQSIWPAKALLKVFDLGPNLYGFSFADRKSMEMALLNGPWTVMGHCLSMKRWDSELAARDIRFEEVNFWIQV >OMO63615 pep supercontig:CCACVL1_1.0:contig12932:15853:15924:1 gene:CCACVL1_22381 transcript:OMO63615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKTRIRQELRRSSPHKPSPEF >OMO63614 pep supercontig:CCACVL1_1.0:contig12932:2811:14170:1 gene:CCACVL1_22380 transcript:OMO63614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGQALEHMGPETNQAGRQACDMGAQPESGVSPSNHMDAADLGPKANDLGAQCNQVLRFGKEAAAASSFLLGFLSFSISHGLETPIHERFHQSSTDFQSTSAKVPPHSSSAHDLLVEFEHVVRIEFVVRRIGDNLNMAHSRNYENVDLHVAHMVDNLHNLNCKNNGCNKVAVDLLQRMKTEVSDVSIESYFMETNGRFQSPWNHCTRSSEDGKYVDNGDDVEFIQPVIQATDVVIEISNGNRPGTHFKREGWLRLVSRFEKNAGVFYSQKQFKNRWDLLKKEWKLWKRLLGTYTELGWDPIKNTIDASEGWWDERLKVVPDASKFKLGGIDPELEGKLHQMFNGIATTGNNALAPSSRVQSINLVDDDHEQLEVLFEGGSSADVQTTYEEANDFLNSVNGNGNDQEPKFPVNQDHVQLPRCKKRFIEQDSSQRKNGKKSSKKQVGGAAKLSSQIEKLCTAADTMGQATSQATTDADPYGIPTAIKVLDNLSEEVPKSSQLYFFSLKLMVNKEKRTVFLSISPEIRVW >OMO52571 pep supercontig:CCACVL1_1.0:contig15509:20111:41548:-1 gene:CCACVL1_29187 transcript:OMO52571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serpin family MNFIPHPRPRRDGESGSPPGTGRKSIKDVNSVSSQMIALASVSGDPDVSFVNGAWVKQGLKLKPSFQEVVEGLYNAIAKEVDFVNKTDESNSIGRAKGDPEMAFNNGAWVLQGLKLKPSFQEIVTSVYNATAKEVDFMNKGLIASGSKGRTLEQLFDCLGSRSVKDLNSQSSQMIALASSAHDPELAFVNGAWVLQGLKLKPSFQEIVKGVYNATAKEVDFVNKIMEYTSKASQFIMSMGP >OMO52570 pep supercontig:CCACVL1_1.0:contig15509:7115:8844:1 gene:CCACVL1_29186 transcript:OMO52570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHSVELSLSLKPSYVPKSVSNLLSDVSKIDNKSDKLSVLSDYICKYEEELSIIEALKRDELPQCRQLLMDAIETLKEEVMIINNTMDSEAGQPLVEYLPTKRKNNDEQEEEKEIWESCYNEEETSYGNPSYAKKQKALVLEDNFHQVFEEKDQPSILRAIQHEVPICKERNMEGFLLLPCKADHGSGVGSNSPGSSSTAKEKEEVAVAALVPGVQYHQSSTSRHQGFLCVNNNPTLNNYYPRPLTQPIWKNNRRCWSPELHARFVEALNLFGGIEVATPKQIRDMMKVEGLTIDQVKSHLQKYRLHCGKVLAASYVDRFR >OMP10494 pep supercontig:CCACVL1_1.0:contig02395:622:984:-1 gene:CCACVL1_00933 transcript:OMP10494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MAKPIPKVGSRRNGRSGARKSARRIPKGVIHVQASFNNTIVTVTDVRGRVISWSSAGTCGFRGTRRGTPFAAQTAAGNAIRAVVDQGMQRAEVMIKGPGLGRDGALRAIRRSGILFRFVRD >OMP07352 pep supercontig:CCACVL1_1.0:contig04505:82:867:1 gene:CCACVL1_01323 transcript:OMP07352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPAFGEGKRTGLSVLLENG >OMP01324 pep supercontig:CCACVL1_1.0:contig06472:13805:17070:-1 gene:CCACVL1_03115 transcript:OMP01324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MERKSASSLTLATIFLLLMGSGPCESRPITSNSSQAAVVASDGVQNVWEPLPTYIQLKSFVSSESDDISCEQSYGFLPCTTTVLGNIFLILVYGYLMFRAAKLLCDGCEILLEILGPGLIGGLFLPVLSSLPDAIIILASGLSGSKETAQSQISVGIGLVAGSTVMLLTVVWGSCLILGKCDLDSSLSKDPRDTKGFSLRGSGVSTDIWTSYAARIMAISIVPFIIVQLPTILHTTSQSRTAILIALVVSVSLLLSYSIYQVFQPWIQNRRIAFAKHKHVIAGILKHLSVRAVGRLLTDDGVPNTTVIEKLFMMIDENGDGRLSQAELRALVLGIRFDEIDMDVEDAIGNVMKEFDTSCDSFVDLDEFVKGITKWLHEARRLSEGQEGALMHFHEETRKEHHLLGGQGGHFGESVKNPVWNAFKAVMMLLLGTILAAVLSDPLVDAVSEFSKASSIPSFFVSFVILPIACTSEAVSALSFAGRKKLTTTSLTFSQIYCSVTMSNILSLSVFLGLVYFRDLTWDFAAEVLVILIVCIVMGAIASFRTTFPLWMSLLACALYPFSLLLIYILDYVFGWS >OMP01325 pep supercontig:CCACVL1_1.0:contig06472:17657:19266:1 gene:CCACVL1_03116 transcript:OMP01325 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein tyrosine phosphatase, receptor type, B precursor MAASHMVTDLWDEAIRRDKKKYKKRRAEESPVLINKDDDANQEGEEIGLNSPVPTVPLVSGGVSYRDRVAGSFPAQESNWEWEDWNRFNSLRNVKADFVEKKKETLIGESSVVKNGPFKYDKKEWKPKKDNGIVITTKSSGKWGFKTKSKGIGSPSRSNVDIPKAYSIFEPNKVTGPRPGFYFKAGDLKAVTFDARCLEELVGNNLDGPVVEGLMSIAKTSAAVVFDTFDYGGKYFWCGYGELKG >OMP01323 pep supercontig:CCACVL1_1.0:contig06472:9462:9587:1 gene:CCACVL1_03114 transcript:OMP01323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHAVQRVSVRKPYTKLLNRWLQLVLEPAHKLTNLAMACGC >OMO93872 pep supercontig:CCACVL1_1.0:contig08040:16488:18358:-1 gene:CCACVL1_06306 transcript:OMO93872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQSSPAAVEVGRGRQAKETNVGKIEPEGEPDSPSDRGLTDSTTGHDRFKRPVRSDSVNTGRN >OMO93871 pep supercontig:CCACVL1_1.0:contig08040:7614:8044:1 gene:CCACVL1_06305 transcript:OMO93871 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative peptidase MESEEEQSCDEAEPPKGPQDEDPETPNIQTIVSVLGSDESAKEALIYSYTAAYGASPPTSRLSRMQRYQVPPFVFCKTFNATNEPNSRLRPSLSLQCEDLEVPRALKSG >OMO93873 pep supercontig:CCACVL1_1.0:contig08040:18907:22797:1 gene:CCACVL1_06307 transcript:OMO93873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSKPLTRVLFCGPHFAASHNYTREYLEKYPYIQVDVVPLKDVPDHIENYHLCVAKNMRLDSNVISRAHQMKLIMQFGVGLEGVDIDAATRHGIKVARIPGDATGNAASCAEMAIYLMLGLLRKQNEMQIAIKQKKLGEPVGGTLLGKTVLIMGFGNIGIDLAKRLRPFGVQVIATKRSWAPNLQGHDSKGCPTQNGVVDDLVDIKGSHGDLHEFASKADIVVCCLSLNKGTVGIVNKSFISSMKKGALLVNIARGGLLDYDAVLHNLESGHLGGLGIDVAWTEPFDPDDPILKLKNVIITPHIAGVTEPSYRSMAKVVGDVALQLHAGTPFTGIEIVN >OMO93870 pep supercontig:CCACVL1_1.0:contig08040:2314:4230:-1 gene:CCACVL1_06304 transcript:OMO93870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDECIRRYLSSWAALGVVDAKEATSKMAFEYSAKKIISYDEERIHGKVRESFKMFTDGLVSFPLNIPGTAYHASMQGRKNAMKVIKDIVAKRKLATKSGGEIENNDFLDYLLNQAAKEDNFFNESIAENLVFFIFFAANETTSPALTIAIKLIADHPQVLAELRKEHEAILRNRSNNCHESNSNITWQEYKSMTFTHMVINEVIRVANIASGLFRKVVKDVEIKGYTIPEGWLVYAAASVVHMDPNIYEDPCTFNPWRWEGKELNAGSKTFMAFGGGVRLCVGAEFAKLQMAILIHHLVTKYRWSVLDGGKIIRKPYVTFPNGLHIEISELK >OMO69509 pep supercontig:CCACVL1_1.0:contig12022:3144:4193:-1 gene:CCACVL1_19459 transcript:OMO69509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVADSECGVGLGQSSQSDGQTVGQNWL >OMO69510 pep supercontig:CCACVL1_1.0:contig12022:4550:5342:1 gene:CCACVL1_19460 transcript:OMO69510 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin P/U MASASSSSVIISPRKLRSDLYSYSYQNDANTPLVISVLASLIERTMARNERIVKNCNWALTKDSRNRVFDCHETPDMTIQSYLERIFRYTKAGPSVYVVAYVYIDRFCQANPGFRINARNVHRLLITTIMVASKYVEDMNYRNSYFARVGGLTTNELNNLEVEFLFLMGFKLHVNVSVFESYCCHLEREVSIGGGYHIEKTLRCAEEIKSRQNEERRYNQIARIML >OMO69511 pep supercontig:CCACVL1_1.0:contig12022:9818:11034:-1 gene:CCACVL1_19461 transcript:OMO69511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein (NAP) MGHEAINFNKSELGDARTGLINARIMRLLNLAKKQADALEKLSPSVRKRVEILREIQGQRDELDALFLEERAAIVAKYHKLYEPLHANRCNIVNGTVISTEGVPDFWLTAFKNNPVVGNNITESDEGVLKYLRDIKRCRKNLKQKPKEEETEEAEQVPDNAEQISETENKEESKNAEPVTEADEDKEGEANTETEDHKEGYSKNGEATTGVEDDIEEKDCERCSFLIKYFFNPPQVPDDDDDDKDAVADQELQNWMEQDYYIGSTIGDIIIPHAVSWFTGEAIQPDDLGYIEDESDEDEEEDEDKNKEEDKDNDENKVDEEKEHNDEIKEEEQEGKVDDEEESNIRDGQSQ >OMO51223 pep supercontig:CCACVL1_1.0:contig15929:61684:63374:-1 gene:CCACVL1_29929 transcript:OMO51223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin ClpA/B VRKELDDLRDKLQPLIMKYRKEKERVNEIRRLKQRREELMIALQEAERRYDLARAVDLRYGAIQENEKERLIGLAERLHQRVVGQDRAVAAVAEAVLRSKAGLGRPQQPTGSFLFLGPTGVGKTELVKALAEQLFGDENLLIRINMSEYMEQHSVARLIGAPPGYIRHEEGGQLIKAVRRRRYSVVLFDEVEKAHIFVFNTLLQVLDYGRLIDGQGHTVDFRNTVIIMTSNLGAEHLLSGLMGKCTMQVARDRVRRHFRPELLNRLDEIVVFDPLSHDQLRKVVRLQTKDVASRLLERGAALAVTDSALDYILTESYDPVYGARPIRRWLEKKVVTELSRMLVREEIDENSIVYIDAATNRTNLVYRVEKNVGLVNAATGQKAEVLIQIPNGPTRSDTAQTVKKMKIKEMEDDEDEMDM >OMO51218 pep supercontig:CCACVL1_1.0:contig15929:30870:31154:1 gene:CCACVL1_29924 transcript:OMO51218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial isoform MLASAVVAGVTEARARIFGHVLNPTGHRSPHKILRKKLIGQKVAAWYPYDIKNDDPLVMAREEEERLSKLEMLKRRGKGPPKKGQGRRAVKRSK >OMO51220 pep supercontig:CCACVL1_1.0:contig15929:36891:37172:1 gene:CCACVL1_29926 transcript:OMO51220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 LYR protein MASGLAAPTRTEVLQLYRSLLRVARQFCDYNIREYTKRRTIDAFRDNKNLTDPSQLSAAFSDGKAQLEVARRQAIVYSLYAPKVKSIMDIKPS >OMO51219 pep supercontig:CCACVL1_1.0:contig15929:32487:36154:-1 gene:CCACVL1_29925 transcript:OMO51219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWPFTLICILAFSLSIAHSKTLKRDVKALNEIKASLGWRVVYAWIGDDPCGDGDLPPWSGVTCSTQGDYRVVTELEVYAVSIVGPFPIAVTNLLDLTRLDLHNNKLTGPIPPQIGRLRRLRMLNLRWNKLQDVLPPEIGELKRLTHLSLSFNNFKGEIPKELANLPELRYLYLQENRFTGRIPPELGTLQNLRHLDVGNNHLVGTIRELIRVEGCFPVLRNLYLNNNYLTGGIPAQLANLTKLEILYLSYNKMSGAIPTALAHIPKLTYLYLDHNQFSGRIPDAFYKHPFLKELYIEGNGFRPGVNPIGIHKVLELTDTDFLV >OMO51221 pep supercontig:CCACVL1_1.0:contig15929:39298:41620:-1 gene:CCACVL1_29927 transcript:OMO51221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRICETANLQRELSNLRKTITKTAVALLEPFNDVLILLCTKKVISTSRFMESDDYEKEGREFEDAGKHHNRG >OMO51222 pep supercontig:CCACVL1_1.0:contig15929:53657:61232:1 gene:CCACVL1_29928 transcript:OMO51222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGETGRAIDAGTNLVFV >OMO90353 pep supercontig:CCACVL1_1.0:contig08484:9000:10829:-1 gene:CCACVL1_07389 transcript:OMO90353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extradiol ring-cleavage dioxygenase, class III enzyme, subunit B MALPITETFYISHGSPTLSIDDSLPARPFLKSFQDKVFAQKPKAILVISGHWDTSYPSVNVVQRNDVIYDFYGFPDKMYKLKYPAPGAPELAKRVKELLVESGFKRVDEDKKRGLDHGAWVPLMLMYPEANIPVCQLSVQSKQDGTYHYNMGKALAPLKEEGVLIVGSGATTHNLRALRNMGGAVVPWAQEFDNWLKDALLEGRYDDVNHFQDKAPHAKMAHPWPDHFYPLHVAMGAAGENAKAKLIHHSWDLGCMSYASYQFTTAS >OMO90364 pep supercontig:CCACVL1_1.0:contig08484:66351:72104:-1 gene:CCACVL1_07400 transcript:OMO90364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNKKGKQRSDISPTEKVMETDKKSRKRNVPPENGGPAGSLRRPKRAAACTDFKEKSVRVSEKSSIIETRKDILADDEIMAVGLTSGAEEDRPNRRLNDFILHDSEGSARPIEMLEVSDMFITGLISPLEESSEKEKSFRCEGFGRVESWSISGYEDGCPVIWLSTDVADYHCWLPVLSALRDESGKRENVGQEIAFSGGTLSIGKETGEGESKLDQSYSSTSATEEDEDAKAARLLQEQEIFESMKQKKNQGPASMSNKYYIKINEDEIANDYPFPAYYKNSNEETDELVVFDRDFDVCDPEDLPKSMLHDWSFYNSDSRLISLELLPMKPCPDIDVTIFGSGLMTNDDGSGFCLDDDPNQSASGCSTATNADGMPIFLSAIKEWTIEFGASMIFVLIRTDMAWYRLGKPSKQYLPWYEPVLKTARLAIRIIALLKEQSRVSRLSFNDVIKRVSEFKKDNRAFISSDPAAVERYIVVHGQIILQLFAGFPDVQVQKCAFVVGLTKKMEERHHTKWLVKKKKVVQKSEPNLNPRAAMAPVASKRNVMQATTTKLINRIWGEYYSNYLPEDLNDETGGVKEGKEEDGNEEQEENEDDAEEDKSIIQETQKSHSVSRRSRQSSTVEEIRWDGEPVNKTSSDEPLYKRAVVRGEVIIVGSSVLIEVDSCELPAIYFVEHMFETSGGSKMFHGRMMQRGSETVLGNAANEREVFLTNDCGDFELQDVKHTVVVDIRLMPWGYQHRKENANMDRSDRAKAEERRKKGLPMEYYCKSLYCPERGAFFRLPFDALGLGSGFCHSCKEKKATKKKEIFEVNSSKTGFVFCGIEYSVYDYVYVSPYHFQQGRAENECFKAGRNIGLKPYVVCQVLEIIVPKEQKKARMESTQIKLRRFFRPEDISAEKAYSSDIREVYYSEETHIMSVEVIEGKCEVRKKIDLPVCNAPAIFHHIFFCDRIYDPFKKSLKLLPANVKLRYSTGIVDNDVAYQKKKGKSKQGENEFGVEEQRETAQENRLATLDIFAGCGGLSEGLHQAGVSLTKWAIEYEEPAGEAFKLNHPESMVFIRNCNVILRAIMEKCGDAEDCLSTKEATDLAASLNEEEINNLPLPGQVDFINGGPPCQGFSGMNRFNQSLWSKVQCEMILAFLSFADYFRPRYFLLENVRNFVSFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVSQSRKRAFIWAASPEETLPEWPEPMHVFASPQLKITLSHNLQYAAARSTASGAPFRAITVRDTIGDLPAVGNGASKANLEYESEPISWFQKKIRGNMAVLTDHISKEMNELNLIRCQKIPKRPGADWQDLPDEKVKLSTGQVVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPDQDRILTVRECARSQGFPDSYKFSGNIQHKHRQIGNAVPPTLAFALGRKLKEAVDSKKSM >OMO90350 pep supercontig:CCACVL1_1.0:contig08484:149:958:1 gene:CCACVL1_07386 transcript:OMO90350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSSRSPRRNNNGGNGNGHSINVVSSGVSGPPSPSLEENNNNNGNDSIGRPSNFKKVAVRYRECLKNHAAAIGGNATDGCGEFMPSGEEGTLEALKCSACNCHRNFHRKEIQCDCPFPFDCYHNSAIINSGRKLILGPSQNYILASPAAAVTAAAPLMTSFKAGSSVPSETDEKDDNGGGGRVAAAKGSGGGKVRKRFRTKFSQEQKEKMLKFAEKAEWRIQKLDESVVQHFCQEIGIKRRVLKVWMHNNKQSLAKKLDHINVPGLSN >OMO90355 pep supercontig:CCACVL1_1.0:contig08484:20362:21261:-1 gene:CCACVL1_07391 transcript:OMO90355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFTFFRRPSAAAAVAPLPIETPFEQQLKPLLHDLLLSDPSSSSSFPCSNSIWFVQALQASTKTQSIALNSINSISFQESDRPIVENYLEDNNVKVLDACNLLSEKMEIVQDYVKSLRVVSHLVIQGNFEPNLQRALDLLDSSVETLERRLKETLLLENKKKKVINYSSSEYSEILNGSMGFALMVCGMLGNALSLSKSKRRRLHKFQLPTTFDQFKDCRKAGSSSMVLFELQQTLKVARDLQLNLRLRNRSDQIAEELKSKCDELEGKIMALDSGVRDLYKHLISVRMALLGTLSVA >OMO90357 pep supercontig:CCACVL1_1.0:contig08484:32703:33523:1 gene:CCACVL1_07393 transcript:OMO90357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MAQAQQRTKPSLPWKTRMFVSVISTVTDAANRPNGTVNRRLLRFLDFKTSANPKPVNSVSSSDTTVDAPRNLWFRLFAPSIPSNILLPVVIFFHGGGFTYLSPASQAYDAVCRRFARKLPAFVISVNYRLAPEHIYPSQYDDGFDVLKFLDDNYETFLPESADLTRCFLAGDSAAILRRRGENGGGGAASGIVSGFYGKNGFLLESVLAGRFGPRPSGGKRERTERRGYIGAEFPGNNGGGGWI >OMO90359 pep supercontig:CCACVL1_1.0:contig08484:38903:42456:1 gene:CCACVL1_07395 transcript:OMO90359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MSLSQGYQFDSHDHRKTAKPRLPWTVKLCVSIVSAVIDTACRPNGTANRRLIHLLDYQTPPNPPTKVNQNSVSSMDVSLDSTRNLWFRIYSPSNTQLLPVLIFFHGGGFSFLSTASLGYDMLCRNFAFKLPAIVVSVNYRLAPEHRYPSQYDDGFDVVKFLDENGATVLPQNADLSRCFLAGDSAGANLAHHVAVRVCKTELRKMKVIGLISLQPFFGGEERTQSEVELVGPSSLLVSVPRTDWCWKAFLPKGSNRDHAAANVSGPNSEDISGLDFPATMVVVGGFDPLKDWQKRYYEWLRKSGKQVSLIEPKLPWTVRLCVSVISAVIDVACRPSGIASRRLIHYLDYQTPSNPNKVKNSVSSIDVSLDVTRNLWFRIYSPAKTQLLPVVIFFHGGGFSFLSTASLGYDMLCRNFAFKLPAIVVSVNYRLAPEHRYPCQYDDGFDVVKFLDENGATVLPENADLSRCFFAGDSAGANLAHHVAVRACKTELRTLKVVGLVSLQPFFGGEERTKSEAQLVAPSSLLVSVLRTDWCWRAFLPKGSNRDHPAANVSGPNAEDISGLDFPATMVVVGGFDPLKDWQKRYYEWLRKSGKEASLIEYPNMIHAFYIFPNLPEASQLVMQIKNFMAKCSSSSKVLSSSESGTLSAAPNHQASPYLDLIKYFVGFFQLYVGLFICLFGLSFGFFYKM >OMO90351 pep supercontig:CCACVL1_1.0:contig08484:3091:4832:-1 gene:CCACVL1_07387 transcript:OMO90351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extradiol ring-cleavage dioxygenase, class III enzyme, subunit B MAMAMNDTFYITHGSPTMSIDESLPARPFLQSWQDKVFGQTPKAILVISGHWDTCFPTVNIVECNDIIYDFYGFDDALYEHYQFPPQMHQLKYPAPAAPDLAKKVKKLLVEAGFERVDEDRKRGLDHGAWMPLMLMYPEAKIPVCQLSVQSKEDATHHYKMGKALAPLKDQGVLILGSGAATHNLSALQDLGGAVAPWAAQFDSWLQDALLQGRYEDVNQFQEKAPYAKMAHPCPDHFYPLHVAMGAAGEKAKAKLIHHSWDLGTFSYASYQFHNS >OMO90366 pep supercontig:CCACVL1_1.0:contig08484:85554:91769:-1 gene:CCACVL1_07402 transcript:OMO90366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MDEENRTVNGDEEVEVQDIESVWNWKDAETYSQPLLSRRHNTTSQIAIVGANVSPIESLDYEIQENELFKQDWRSRKKIQILEYLLLKWTFALIVGLGTGLVGIFNNIAVENIAGFKLLWTTKLMLQQSYYKAFAAYAGCNLALAAGAASLCAFIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVVGKEGPMVHTGACIASLIGQGGSRKYHLTWRGLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRAFIQICSTGTCGLFGEGGLIMYDVSAAKVTYTAPDILAVILLGTIGGVLGSLYNYFVDKVLRVYSIINEKGPAFKILLVITISLLTSICACGLPWLATCIPCPTDASVSCPNTDVSGNYKSFQCPPGHYNDLASLFLNTNDDAIRNLFSTSTIKEFHISSLFIFFGTVYFLGIITYGIAIPSGLFIPVILAGSCYGRLVGRLFAPITKLDVGLFALLGAASFLGGTMRMTVSLCVILLELTNDLLLLPLVMLVLLISKTVADMFNKGVYDQIVKLKGLPYMEAHAEPYMKHLVARDVVSGPLVSFSGVEKVGNILIALKVTGHNGFPVIDEPPFAEAPELCGLVLRSHLLVLLKKKIFSRERVLAGDQILRKFGAFDFGKAGSGKEIKIEDLDIEEDEMEMYVDLHPITNASPYTVVETMSLAKAAVLFRQLGLRHMCVVPKSQGRPPVVGILTRHDFMPEHILGLYPHIKRRKIRRIFVRGRGRERGRKPSRRSRSRSRSRYMNRRAGCGWWDGRSKPNEIKDFNGNLLGRCRYFTYKRDVDPSVIDWVMHEYTLPEAQGSAAAICEVQWKPSKKRPRDEETQSPQMMKKPRSDECASSSSPPNVEEEIQLQSQVRPESCFEQDDDDDRITVDEFLQIIREPSPPRHDSEVSLGQVAR >OMO90352 pep supercontig:CCACVL1_1.0:contig08484:5749:7382:-1 gene:CCACVL1_07388 transcript:OMO90352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extradiol ring-cleavage dioxygenase, class III enzyme, subunit B MALTMKDTFYISHGSPTLSIDESLQARHFLQSWKDQVFAQRPKSILVISAHWETSFPSVNIVQHHDTIHDFYNFPDKMYKLKYPAPGATELAKRVKELLVGSGFERVDEDTERGLDHGAWVPLMLMYPEAEIPVCQLSVQPEEDATHHYNMGKALAPLKDEGVLIVGSGSATHNLKVVKSIRALQNSGGAILPWAEEFDAWLKDALLEGRYEDVNNYEDKAPHAKMAHPWPEHIYPLHVAMGAAGETAKAKLIHHSWDHSCLSYASYQFTTAS >OMO90360 pep supercontig:CCACVL1_1.0:contig08484:48261:49247:-1 gene:CCACVL1_07396 transcript:OMO90360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MALSAIRDAYIYIYAGKQLADDKTARDYNIEGGSVLHLVLALRGGSL >OMO90354 pep supercontig:CCACVL1_1.0:contig08484:12432:18516:-1 gene:CCACVL1_07390 transcript:OMO90354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDPSATMNYVDDEASSGSGDDVNLVDGHNKRQSMTPSGSGRRKRSRKATGDAIVDAMLEIAAASKMRASAIMKNEDRFSISKCIKVLDEMQDKSTGMDDLDLELDEMELVAAAAGYYYYNSITRQTRCNSSPSGSGFMNELLEGPDDQCREMLRMDKHVFHKLCNTLRHRGMLRDTAGVMIEEQLAIFLNIVGHNERNRVIQERFQHSGETISRHFNNVLKAIKSLSREFLQPPNMETPPEILNSNRFYPYFKLKKLTPSKVAPPMASRRLRAFKRWMKSQGFEWSDALEFTDCPEQGISVRALCDLKEGDVVAKIPKAACLTIKTSGAREMIESAGLDGSLGLAVALMYEKSLGQDSPWAGYLQLLPRQECLPLVWTLGEVDSLLCGTELHKTIKEDKAIIYEDWKENILPIVNSAPLIDPNYFSVEEYFAAKSLIASRSFEIDEYHGSGMVPLADLFNHKTGAEDVHFTSSHQQSDDDDDDDDDDAVSDNSDNNKLSTNCGLENKASDTTATVEENSFIPSDSDTSSAFGDDPMMLEMIMVRDVKSGVEVFNTYGLVGNAALLHRYGFTEPDNPFDIVNIDLELVLKWGCSLFSSRYCRARLALWRRLDYSGCISDDSEYFEISSEGEPQMELLTLLYIMLLPDDAYHKLDTSICTADKANGIIGMILSEKHDISWERSSEIGKDLLLTEKVCSALMALADTRESLYGSNSINDDMEAQKRCGIKERKLYHSLALRVSERRILEKLRTYATAGAKKF >OMO90365 pep supercontig:CCACVL1_1.0:contig08484:82707:84831:1 gene:CCACVL1_07401 transcript:OMO90365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWDSLISPEFDYVENEDVLVVKSDREEGKQQSAQSGHAQKEGKVCQRNILLEMGPNENADGFDKTSTDPQFFAPVARDIYMNPRASEYLVAYGWYRQQLQLLGVNACMMIAFKYVERYLQCSSSGRVLCKDEILQMESAVLNYLEL >OMO90361 pep supercontig:CCACVL1_1.0:contig08484:49739:51317:-1 gene:CCACVL1_07397 transcript:OMO90361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MGQRPNPRLSMGPIKRKILIPSSCFFFSLVRKSTRLIYAGKQLADDKTARDYNIEGGSVLHLVLALRGGSL >OMO90362 pep supercontig:CCACVL1_1.0:contig08484:54497:57015:1 gene:CCACVL1_07398 transcript:OMO90362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTRGKGESSGWTAFDLKQRQKQGLVPEDKDDPFPPIPNNLSSFHSYGNLTKSNDLSARSFSSVLKPSGNFPTLKQNKDPIKPINMVKPTETDAGKVVEGKNNNLALKKLKELHSWAEDSLIEDVLLAADSNIHKASALLKGMVPNSGTEDIKEKNNNELSSTIADFPSNANCDTSFPSGKTADVVGQSSKADAREDNLENLTNVPENKLLDEASNTKLILRHLTSIPYEPEWEEDDVYLSHRRDAIRMMRSASQHSRAATNAFLRGDHFAAQQHSQHAREEWLAAQRLNAQAASEILRIRNSDNDLWKLDLHGLHAAEAVQALQEHLRRLETQVPAGRSVSPNRFKENNGIVRSSSVETFSSMDKLDTQQISSRQRPTSLQVITGVGNHSRGQAAIPTAVRSFLIENGYRFDETRPGLITVRPKFRRG >OMO90356 pep supercontig:CCACVL1_1.0:contig08484:23644:30100:-1 gene:CCACVL1_07392 transcript:OMO90356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKMLNSDEQFHIVNMLKSTSEQKTIEEIVTDFFNNSKLRHFNVCYSLSLLLEDKVMLSSTERLVAFAILHRSYSSQKSAANPFVSFLINVACDDGAEKYERAFILHLLGSGNSNSSKEFLKQSASDYIKNFDPSSQTFPQREQLQQQYIEKVHPEPYTCLLKNTAVNNVLADPDVPRGCDANSAELDLQPGSKAKLGSGDRNEALSGLIANLSLEGLIPHWIRPVPPRFPVDEKELVWLNPDNNHELQWDRGMCADTSRGAAVRDLIAKALKGPLAPNQQEQVLVELANDPKLVYHCGLTPRKLPELVENNPLIAVEVLTKLINSPEIADYFTVLVSMDMSLHSMEVVNRLTTAVELPKEFVRMYITNCISSCENIKDKYMQNRLVRLVCVFLQSLIRNRIIDVKDLFIEVQAFCIEFSRIREAAGLFRLLKTLE >OMO90358 pep supercontig:CCACVL1_1.0:contig08484:35783:35845:1 gene:CCACVL1_07394 transcript:OMO90358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLKQLGPTATSTPNMAAT >OMO90363 pep supercontig:CCACVL1_1.0:contig08484:60573:65201:1 gene:CCACVL1_07399 transcript:OMO90363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEYEPIKGYYGDESEQEKHLRNNKNRNAADDNIDDGEKRIGKVALRISEPAIVNKDEEGPLIPISNSSRLRHQQQPQHPPPQQAQRLVSLDVFRGLTIVLMILVDDVGGLFPAINHSPWNGLTLADYVMPFFLFIVGVSLGLTYKRVSCKATATRKTILRALKLLILGLFLQGGFFHGVNHLTFGVDISQMRLMGILQRIAIAYLVAAICEIWLKDDDHVTSELTLLRKYRYQWVVALVLTIIYISLLYGLFVPDWEYQLPMATSSSAPRIFSVKCGVRGDTGPACNVVGMIDRKILGIQHLYSKPVFVRTKQCSINSPDYGPLPSDAPSWCQAPFDPEGLLSSVMAIVTCLVGLHYGHIIVHFKDHRDRLRLWLIPSSALLVLGLALDFSGMHINKALYTFSYMCVTAGAAGLLFAGIYVLVG >OMP00253 pep supercontig:CCACVL1_1.0:contig06707:11952:13552:-1 gene:CCACVL1_03430 transcript:OMP00253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIAVERNRRRQMNEHLKVLRSLTPCFYIKRGDQASIIGGVIEFIKELHQVLQALESKKQRKASLSPSPSTPSPRPLLQQLPNSSGQPDHIHSPFGVDTSVGEVAACCNSSLADVEARISGPNVILKTISKRIPGQILKIIAVLEKFSFEILHLNISSMEDTVLYSFVIKIGLECQLSLEELAVQVQQSFFSEPIFLNEI >OMO86780 pep supercontig:CCACVL1_1.0:contig09414:52521:53833:1 gene:CCACVL1_09463 transcript:OMO86780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MAQTQTGVFDVMNFGAKADGKADLNQPLFAAWKQACASASPSKIVIPEGEYILSQVTLEGPCKAPLEVQVRGNLKAPADPSQYNNIPSWVTFQRIDHLTLSGPGTFDGQGDMAWSQNDCKQNDGCNKLPIQAISHQLVGVQRSFTNMTITAPDESPNTDGIHIGRSSEITITDSTISTGDDCVSLGGGSQNVTIRRVTCGPGHGISVGKYKDEPVIGIFVVNCTLINTMNGVRVKTWPASMEGLASDMHFDDIVMVNVSNPVLIDQGYCPHNKCNAKAPSRVKINNVSFKNIRGTSATQLAVKLACSSGIPCENVEIGDIDLSYIGTQGPATSECSNVKPTFSGKQNPSTCSIMD >OMO86776 pep supercontig:CCACVL1_1.0:contig09414:22146:23470:1 gene:CCACVL1_09458 transcript:OMO86776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPSLPDFKIQSPLPSLEGWHADTTVRELIVHGEKRWDVGKVMDLFSEEEYKKIISIPLRRNGEDALIWPEEDNDSKQAETLLMEAIRWEPPEKGWIKCNSDGAFDQNSNEAGYGVVIRDEKGRLLDGTCNNCVASSALQAEAIALEQALSLVVQKQMDRVSFEVDSSVLYNVVTQPKKSKRWCIDPIITKISDKMKWVGSCNLMLTKREANEAANWVATNAKKKKVPSNWIQIPPSSLVFILDENGLPAPPSVSV >OMO86783 pep supercontig:CCACVL1_1.0:contig09414:92580:97250:1 gene:CCACVL1_09467 transcript:OMO86783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGSKWRKAKLALGLNLCAYLPRTSDDDYSSLPSTERLSDAALLSPSNWDNMASSRPMTPVPSSHGLRLSKSLSRSSSKSSKQTCSICLTKMKQGAGHAIFTAECSHSFHFHCIASNVKHGNQICPVCRAKWKEVPMQSPSLDPPPGRAAINPVGWPQNDALMTVVRRLPPPRRDLSRRHVVPLFQTPEPSVFNDDESLDQQPLIAESKISLDNSSLRTIEIKTHTEVSAAPRSSSYDNFTTLVHLKAAATVSSQNPSRNHASLSQLFQNPRAPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGCNDRLSVIAFSSTARRLFPLRRMSDIGRQHALQAVNSLVANGGTNIAEGLRKGAKVMEDRKEKNPVASIILLSDGQDTYTVNGPGANKSQPNYQLLVPLSMHSGENTGFQIPVHAFGFGADHDASSMHSISEISGGTFSFIETESVIQDAFAQCIGGLLSVVVQELQVEVECMDPSLCLGPLKAGSYPSRVAADGRTGFIDVGDLYADEERDFLVSVKVPAESSGCETSLLKVKCVYRDPLTKEMTTLESDVVRIQRPEIAGQEVVSIEVDRQRNRFQAAEAMAEARTIAEQGDLAGAVSILENCRRVLAETVSAKSHDRLCVALDAELKEMQERMASRHVYEASGRAYILSGLSSHSWQRATARGDSTDGSSLIQAYQTPSMVEMLTRSQAMLLGSPSTQRLVQPLWPLASQPMPR >OMO86777 pep supercontig:CCACVL1_1.0:contig09414:28689:31074:1 gene:CCACVL1_09459 transcript:OMO86777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MDKSRIDLRTRANDEYIDGVINFLDFAFANSARDGKILCPCVNCVNTYYKTRAEAFDHIMCDGFLKGHVNWIFHGESSIERTSTSRCNLEEEDLHHDMRNLVNDALRMDVNMEDRTEQPSDPNRGRLGANHFYSLIKEAEENLYPTCSTFTKLSFMVHLYHIKCLNGWSNKSFTMLLKLLKDVLPEGNTLPTSFYEFKKIISALGLGYEMIHACPNDCMLFWKDNKDLEFCLKCGASRWKETEISRTNQANRLKLEEPHCTGTKSFARIIDEKTKDANGIPPSRAAMYILSRTKKDGTIVNQKAAEFMSGSSTQRNEEVLGLRSHITGLEEKLEKQSHVLNVVLELLNKRFPEENVNDVINEVINPEAADANSAPITRPMQTVPQIQVFNPTQSKEMNDED >OMO86775 pep supercontig:CCACVL1_1.0:contig09414:14228:16575:1 gene:CCACVL1_09457 transcript:OMO86775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHEKLPDLGLVGEEGNETNDWIVVGRLIVDRNLNRAGVMAILRNIWPEKEAPAIGEVGQNTYSIYFVSEELILKALEGNPWSIMGHYLNLKKWEANTTVAELDFRVFRRLNLKRAEQEEIENQRSAKRAKSSVIIEEFMEEHGHFIYDPNSFYRDYKGPKRETW >OMO86784 pep supercontig:CCACVL1_1.0:contig09414:98472:100004:-1 gene:CCACVL1_09468 transcript:OMO86784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein COX16 MTFIEGNMDQSPKTSNLAAKTAKVQSSGPSFRRWGRKHPFIRYGLPMISLTVFGAVGLGHLLQGSKDIAKVKDDQEWEIIETRKELSRTGPIDAYKPKKISLEEELKALQEKVDINKYDYKRIPRPSEGKSA >OMO86781 pep supercontig:CCACVL1_1.0:contig09414:54608:60800:1 gene:CCACVL1_09464 transcript:OMO86781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSVAIRLLRLADRMSIVAREFAESNRPDRPIFGNSIEIRRLFAEAQSPDSPPRQFRFKIEID >OMO86778 pep supercontig:CCACVL1_1.0:contig09414:38671:39718:1 gene:CCACVL1_09461 transcript:OMO86778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MAQIDVMNFGAKADGKADLNQPLSAAWKQACASASPSKIVIPEGEFMLSQVTLEGPCKAPLEVQVGGNLKAPTDPSRYNNIPSWVTFQRIDHLTLSGPGTFDGQGLTFTNMTITAPDESPNTDGIHIGRSNEITITDSMISTGNDCVSLGGGSQNVTVRRVTCGPGHGISVGSLGKYKDDTTRVHTFSENFFVAT >OMO86786 pep supercontig:CCACVL1_1.0:contig09414:104464:107796:-1 gene:CCACVL1_09470 transcript:OMO86786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIMKLGSKSEVFHRDGQTWLCSTGLPSDVIVEVGETSFHLHKFPLLSRSRLIENLIKGYSGDDEKKCVLQFQDMPGGAKTFLLAAKFCYGIRIELTALNIASLRCAAEYLHMTEDHGEGNLITHTENFLNDIFSNWTDTIKTLETCEEILPYAEKLHIVSRCINSLAMKACADVSLFSWPMSENGNAQSPEGNNVLWNGICSAAKPQSLSEDWWYEDACLLRLSLYKRFILAVESSGIKPERISGSLFHYARRHLPLLGSSRQSSFKGGNYASPASTVSVPLSETDQRNLLEEIVELVPNEKGGTPTNFLLRLLRTSMILCARPSCREKLEKLIGAQLDQAALEDLLIPNMGYSVETLYDIDCVQRILDHFVLVDHEAVESSSNYIVDEGQLMGGSQPLTPMTMVANLVDGYLAEVAADINLKLPKFQSLAAVIPDYARLLDDGIYRAIDIYLKAHPWLTDSEREQLSRLMNCQKLSLEASTHATQNERLPLRVIVQILFFEQLRLRTSIAGWFFVSDNLETSQDPTGNLALPRNNASANAGATQEPFLEADDIMERVSELEKECLSMKEELDKLVKLKTKGGWSIFLKKIGFRSKSLSYDPKTTKSSDSKTSKPRDSKASATASFRNGIENHNNGESELQALKEK >OMO86782 pep supercontig:CCACVL1_1.0:contig09414:78620:82293:1 gene:CCACVL1_09465 transcript:OMO86782 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MTDEPITRPLEELDTDTLLDLLPDIPLWVKCPDYERVDWLNKFVADMWPYLDQAICATIRSMTEPMFAEYIGKFQIEAIEFDHLSLGTLPPEIHGLKVCETNENELVLEPAVRWAGNPNIVLVVKLLSLRITIQLVDLQISAVPRVTLKPLVPTIPCFANIVVSLLEKPEVDFGMSILGGDIMAIPGLYHFVQKTIKKQVAILYHWPQTLEIPILDSATVAVKKPVGMLHVKVVRAQRLLKKDILGTSDPYVKLNLTGESLPAKKTIIKKRNLNPEWNEKFKLIVKDPQSQVLQLQVFDWDKVGNHDRLGMQLIPLKLLTPYETKEFNLDLLKHTHINDPHDKKQRGKIAVELTYAPFREESMKLDGPQNSYGRKESGFYKSSESEVFRGAGLLSVMIQGAEDVEGEHHNNPYALVLFRGDTKKTKMIKRTRNPLWNDEFQFMLEEPPLNERIHIEVMSKRAGISFRSKESLGHVDINLNDVVHNGRINHKYHLINSKNGVIHVEIKWTTV >OMO86779 pep supercontig:CCACVL1_1.0:contig09414:40802:42585:-1 gene:CCACVL1_09462 transcript:OMO86779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRPELHATPTGDGRYVFRPACYTLSKDEKRVFCQFLNDLKVPDGYSSNISRCVSLAECKISGMKCHDCHVLLHRYLPLAIRGLLNKVACEALLELCTYLRELCAKVLHFDDLERLEKSIPVTLCKLEKIFPPSIFDIMVHLTIHLASEAKVAGPVQFRWMYFVERYLRRLKSYVRNKARPEGSIAEAYIVQECMHFCSRYLHGTETRLNRAGRNDGRNGVRSHSRLQVFSQVGESLIGNKYEELSLTEWAKARMYVLQNCDEITEFVDMHKEKLREKDPRNVEGRHRDEFYEWFKTHVNQLHDEGSQMVNDELWNLAKGPDIRVHRHMSFLINGWRFNTKDRDLQLKTQNSGIFVKGDVNAGNLDYFGVLTDIIQLYYQGQNTVILFKADWWDVHHKSGFKIDKFGFPMVNVTRKLKTNEPYVLASQAGQVYYVKDIKEPNWQVVVKTKPRDLYDLPKNDEPCQENEDFGFTPHESTAEDDNDVMISLDRSDLEPATVEGNSSNIDVMGVEEDETDCDEDSFINDDDD >OMO86785 pep supercontig:CCACVL1_1.0:contig09414:101163:103389:1 gene:CCACVL1_09469 transcript:OMO86785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFMKGDLLTKARRLVKGLAKPEPAWLKAMEQAPPAIFPRTDGKVKKISLPEDLYIKKFFDKYPESLYHDAVKISSFDPVPARLFALRVLELKEHGVSEEKAMAVADMEYRTEKKAKKAAYNRLKQIACLQGKKPPPNPYPCPIKETQAEEFKYIAERQTNPKILEMVEKMREEKKAQLPERFGDEF >OMO68043 pep supercontig:CCACVL1_1.0:contig12299:12470:14976:-1 gene:CCACVL1_20108 transcript:OMO68043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSTNIHGNSFTYPLLFKACAALTSLRDGTKLHAHVLHLGFQQDIFVQSSLLDMYSKCSDLASARNVFDEMITRNAVSWNTMISAYCRGSCVTEAVKMLKEMWVLGFELSASSFISVLAACTNLQLGLSMHCCIFKLGLLQCDIPLANSVMNMYVKFGSIDGARSIFDTMNERSVLSWTTIIGGYVNAGNLVEAFNLFNGMRQAGGVSQDMVLFVKIISGCVQTGNLLLASSIHSLVLKSGYDGEDLMDNLVLNMYAKCGDIVSARRVFEMVDEKCIYLWTSMIAAYTQFGYPAEALDLFKSLIRTGLKPNEATLATVLSACADLGSLTVGKDIEQYVKLNGFASNRKVQTSLIHMFCKCGSIEKAKEVFAGVLNKDLAVWSSMINGYATHGMGIEALDLFHRMQMSEVFNLDHAVFTSILLACSHSGLIDDGLKYFKSMKKDYGIEPGMEHYTCLVDLLGRAGHFDLALETIREIPVQVQAQVWAPLLSACRKYRNVELGEYVAKKLLSLNPGNISNYVLMANIYTSGGKWKEAAITRSMMRKRGLVKEPGWSQIEIHGYIHVFVAGDRSHHQSADIYKKLDELNIKLKEAGYIAETDMVIHDLENEEKEEALKVHSERLAVVWGLISTDPGTTLIIIKNLQTCGDCHSFLKLTSMITGRVNSNRASFACVKNRAKNSLHYQFQVLMEWPFKMSAHPLAINLE >OMO68044 pep supercontig:CCACVL1_1.0:contig12299:16435:16921:1 gene:CCACVL1_20109 transcript:OMO68044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L38e MCMPKQIHEIKDFLLTARRKDARSVKIKKSKDVVKFKVRCSKYLYTLCVSDAEKADKLKQSLPPGLSVQDL >OMO68042 pep supercontig:CCACVL1_1.0:contig12299:11022:11862:1 gene:CCACVL1_20107 transcript:OMO68042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MGMSEKERKTIRTGVNYGSVSSGLLPENGRFMRLYKLGARKFLVNNVSPLGCIPFAISTKKPTTPCVEETNERVSMYNNKLLPNFLTELQLTLAGSKFVLGDLHKVFKDVYASPASYGAE >OMO68046 pep supercontig:CCACVL1_1.0:contig12299:20799:23060:1 gene:CCACVL1_20111 transcript:OMO68046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mammalian cell entry-related protein MVGNTLVKISTCPSMLSSTLTTIPHSSSKTFLYLPPKPRTTPGKLRASANTEHTPTPASSEQKNPLAVVLDVPRNIWRQTLRPLSDFGFGRRSIWEGGVGLFLVSGTVLLALSLAWLRGFQIRSKFRKYLAVFEFAQASGICTGTPVRIRGVTVGNVVRVNPSLKSIEAVVEVEDDKIIIPRNSLVEVNQSGLLMETMIDITPRDPIPSPLVGPLDSDCIKEGLIVCDRQKIKGEQGVSLDALVGIVTRLARQMEEIGITNTYLLAERVAAVIEDAKPLLAKIEAMAEDVQPLLAEVRDSGLLKEIENLTRSLTEASEDLRRVHSSIMTPENTELIQKSIYTLIFTLKNIENISSDILGFTGDEATRKNLKSLIKSLSRLL >OMO68045 pep supercontig:CCACVL1_1.0:contig12299:18996:19354:1 gene:CCACVL1_20110 transcript:OMO68045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDPGSEEQLPGGEIMAHAVS >OMP03228 pep supercontig:CCACVL1_1.0:contig06113:8808:9573:1 gene:CCACVL1_02495 transcript:OMP03228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-trans-poly-cis-decaprenylcistransferase-like protein MERNNISTVRSINQKVGNLLWKEVCKVLSVGAVPTHIAFIMNGNRRYEQKLNLLKGTGHRAGLQAMLTMLMHCCELGNLDLLSEPVRNAAEELMVKTANYSKFVFTFCIAYTSTDEMVHAIQEACEERQREMRELRSKGGDYEAEKTVKIVDIEKHMYMAIVPDPDIVVRTTGENRLSNFLLWQTANIDLYSTPKLWPEMNF >OMP03233 pep supercontig:CCACVL1_1.0:contig06113:21977:22801:1 gene:CCACVL1_02500 transcript:OMP03233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-trans-poly-cis-decaprenylcistransferase-like protein MEKDGINKPARVLWRLGYFLRELIVSTLSVGPIPTHVAFIMDGNRRYGKQQHLNQGGGHVAGYLALKLMVLYCRELGIKYVTAYAFSIDNFKRSPEEVRCIMDLMVEKIEQELSQVDNTFSRMGVRVHFSGKLELLSQPAMDAVKRLMAATAGNSKALLTICFAYTSTDEIVHAVQETCRRKLAPDQIEGKGNYVVKVEDIEKHLYMAVAPEPDIIIRTSGGHRLSNFMLWQSSNTCLITFSQLWPAMSCWHLVWAVLKFQRNYYYLEKKKKQM >OMP03229 pep supercontig:CCACVL1_1.0:contig06113:10496:11317:1 gene:CCACVL1_02496 transcript:OMP03229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-trans-poly-cis-decaprenylcistransferase-like protein MEKDGVSKSARVLWKLSCFFRKFIVSTLSVGPIPAHVAFIMDGNRRYAKQRNLEEGEGHNAGYLALKFMVYYCLELGIKHVTAYAFSIDNFKRSPEGVQRIMDLMVEKIEEELSQVDNTYSKMGIRIHFSGNLELLSQPTKDAVKRLMAATAKNSKVLLTICFAYTSTNEIVHAIEQTCQEKMADQMELEEPNVVKLADIEKHMYMAVAPDPDIIIRTSGESRLSNFLLWQSANCSLICFSQLWPAISFWHLLWAVLKFQRNYYYFEKKKKQI >OMP03231 pep supercontig:CCACVL1_1.0:contig06113:18144:18368:1 gene:CCACVL1_02498 transcript:OMP03231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPTKTHSGTASKLPKLYYISESSVIRATNNTRPNAKQFPYSTSNANHKVWLKPTSEMSFLYANLVLEVGWGG >OMP03227 pep supercontig:CCACVL1_1.0:contig06113:6600:7763:-1 gene:CCACVL1_02494 transcript:OMP03227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRKVKKAKIVACSRTYLPDELIIFQILSRLSIESLHGIMRRVCKTWADTIRSPQFARTHLQHAKPGPGLFIQDRKNPDGAGFVQFKHNGEVKITHLNPNQPYPGLILCSCQGLSVFSFRRERYCTSLDRTYNTWDKLLYVANPVMMDVVVQVSTCITPSPNYYNTYGISSLPNNGGFKLVCCQFDPQTIQSDFYILKLGDDHDQYSWRKIGSAFGCLFASDWHRMHVIPIGQYIYWLPNGSLWSDIPCGQGMMIDIANESVSVISFDEATGLQLKDPSSFRGFGNIDNHVALVQHDYAALYVCISKDIYLRNWELYHKVDYQELDFRPSLFVACIDQEVILYGLFRFQRYNMKTKKVRRLEELESYFKYQMCTHTNTLVSPCIL >OMP03232 pep supercontig:CCACVL1_1.0:contig06113:18938:19081:1 gene:CCACVL1_02499 transcript:OMP03232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISKEEEGDEYCRENMNLLAGRKKKKNGKGGRWGRGVRRLGGWAVG >OMP03230 pep supercontig:CCACVL1_1.0:contig06113:12726:14869:-1 gene:CCACVL1_02497 transcript:OMP03230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRSSILRDRALLGKHRYVVVGERDFFHKRRNLSPYT >OMO59372 pep supercontig:CCACVL1_1.0:contig14003:46706:49464:1 gene:CCACVL1_24883 transcript:OMO59372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAFEKQSPPVKEAETATTHISNTGNNVGNNGGDGYKSRVTVVGSGNWGSVAAKLIASNALKLNSFHDEVRMWVFEEMLPSGEKLTDVINRTNENVKYLPGIKLGKNVVADPDIENAVKDANMLVFVTPHQFMEGICRRLVGKVRGDAEAISLIKGMEVKMEGPCMISNLISEQLGINCSVLMGANIANEIAVEKFSEATVGYRDNREIAEQWVQLFSTPYFMVTPVQDVEGVELCGTLKNVVAIAAGFVDGLDMGNNTKAAIMRIGLREMRAFSKLLFSSVRDSTFFESCGVADVITTCLGGRNRKVAEAFAKNGGKRSFDELEAEMLQGQKLQGVSTAREVYEVLSHRGWLELFPLFATVHEICVGRLPPSAIVEYSEKKPRLSMMEDSARH >OMO59364 pep supercontig:CCACVL1_1.0:contig14003:8543:11176:-1 gene:CCACVL1_24875 transcript:OMO59364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MDDIEQLILASFTAADALEGYQLSRENDDNVMLCWYFKSIKNLKSQLEIASGIKQARATVRAELGAIDKQGNFNQGDPLTHDLNPIWKSLKEDALLKEEDDLVGIEAPRKKLMALLLAEDSRLKVISVVGMGGSGKTTLVNKVFDDVIIKNSFTYHVWTTISQSFKMDELLKDIIRQIYTGNRQGVPLEVETMKSSDLKKMVHNSLRSSSYLLILDDVWSRNAWNAIKQALPKGDRSRIVLTTRDITVAPAACQEFDAKIHTMDKLSDKESKRLFLRKAFKDGKCPTNLEETVESILKKCDGLPLAINTIGGFLRKKGRTLHEWEKANGSLNFELRMNEELEFMKKILSLSYSELPDEVKSCFLYLSMFPEDYTIEYNRLIRLWMAEKFVQPIEEKTVEEVADEYFKILLNRNLIQSAETNRDGRFKSCRVHDIMHKICILKSKDQRFAGILNNAGADWPNNVRRLSIHTTFHNVELIKKNSLVRALFVFGLVESPSKATMLAMLFKKHRKVKLLDLQGAPLKQFPREITKFVYLRYLSLRHTKVKEIPSSISKLKNLETLDLKHACVSKLPASIVKLTKLRVLLVYQYDQIESYNTHFLYKHEFKPLENIGLLKSLQKLSFVKATRGSHILTELGHLTQLRRLGVIGLKEEDGAALCSFIHNLSQLRALSITSLNEEEIIDLPANQLVSPQRLRLQRLYLTGSLKELPMWIPNVTSLVRLSLKGSRFDVAPLKHLQDLPRLEHLELFQVYNGKELHFEDGGFRSLKVLGLDKFEKLETIKVDKGAIGNLEKLIIQHCMMLKEVPIGIEHLTRIKVLELFDMPQELIERLRIGGGDNHKIAHIPQAHSSHWNEGWESNSLDGTLNVRKGITPIGKRI >OMO59366 pep supercontig:CCACVL1_1.0:contig14003:20364:23183:-1 gene:CCACVL1_24877 transcript:OMO59366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEENDVIFLQEKLSRLIHEEVEPLKGGGVQGELENLKHELECMRAFLRVADAIEDKDKQMVQLVNQVRDIAYDAEDVLHEQAQIRGNKFFSFLGKIPLLNPLWSKVIKRNYHLASEIKAINPKLSSIIEQYQKFKNVNSKDQSSSSCYDLRGDALLVEEAELVGIDKTKNQLIQCLVEGETRFKAVSVVGTAGLGKTTLVKKVYDDFVTKQHFNSFAWIHVSERFKIEEVLKDIIHQIFTTVNEAAPQGLDMMNRAHLKAIIKEFLGRRKYLIVLDDIWSIDFWNAIRNSIPKENCSRVVLTTRNPEVASTISIGSEGGHVFHLDPLSETESMTLFCKKTFQGNSCPLHLEKIVKRILIKCGGLPLAIVAISGVFATKDTSKIEEWEKIVRNLGSEIEGSGILQSMAKILLMGYGDLPHHLKSCFLYLSIFPADYPVECMRLARLWIAEGLVMEMEGNTLEDVAEKYINVLLNRSLIQVAERTSDGRVHSCRIHEFVREAIVSKSKEQNFTTIAGEYATVWPERVRRLSIHNTIKKVKQDKNLHQLRSLFMFGVQDSLNKASIPLLFGNNSRLLRVLDLTGTQLEVIPEEFFMLSFLRYLSLRDTKVKFLPSAIEKLQDLETLDLKRTGIAELPEEISKLKKLRHILVYRFEKESYMPYHNLEGFMAPKGIGQLLSLQKLCFVDTNQGGDILQELGKLEQLRRLGITKLKKEDGKVLCSSIEKLTSLRSLNIHSAEEDEIIEMQHMISPPQFLQRLYLHGKLEKLPDWIAGLHSLVKILLRWSQLIDDLVEPLGKLPNLMELQLRRAYNGTSLNFVKGSFLRLKILLLDELEELKEIKLENESVTCLEEFTISRCPMLEKVPEGIEHLRELKLLQLFNMPEDLTKTINRTNNGEDYDKVAHIPQVYATNWKNSHWERSVLSRGNMSNRNDEKGKSVVID >OMO59373 pep supercontig:CCACVL1_1.0:contig14003:50790:51688:-1 gene:CCACVL1_24884 transcript:OMO59373 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Protein bem46 MKGTSDEVVDCSHGKQLWELCKEKYEPLWLKGGNHCDLEHYPEYIRHLKKFISTVEKSPSQRYSSRRSTDQFEQSRKSTDVLFEVSRKSTDRREKPRKSTDRPEKLKTQTTNMDKLESSKKNMDKLEKLRISFDQLERSRRSVDCHEKSRKSIDHQLERARKSVDRLDRIRTG >OMO59371 pep supercontig:CCACVL1_1.0:contig14003:44372:44728:1 gene:CCACVL1_24882 transcript:OMO59371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTGVIRVLLKLIICFILLCQYQISAARPVAAEMVEFKDYNYQHQEMNGKSEAVPMPRSDQIWTAEDYSHPRRRRPVHNKFDP >OMO59365 pep supercontig:CCACVL1_1.0:contig14003:18110:18851:1 gene:CCACVL1_24876 transcript:OMO59365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGFSQQCSKMSLSEGQPKTIVLDKDWFEFEEKDGE >OMO59369 pep supercontig:CCACVL1_1.0:contig14003:39201:40231:-1 gene:CCACVL1_24880 transcript:OMO59369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRNIFSWNSVITALTKCGFIDEAARIFSQCLSMISDRGIQLYQALLSKTDLRKLYPILLGCIQMILCLTNELTLASLVGACATLSAIKEGQQIHARVVKCDKLREDLVLGNALVDMYAKCNRIEDARCVFDGMQVRNVVSETSMVSGYAKAASVKTARLMFTKMTERNIVSWNALIAGYIQNGEDEEALRLFCLLKRESICPTHYTFGNLLNASANLADLQLGRQAHAQVLRHGFCFQFGE >OMO59370 pep supercontig:CCACVL1_1.0:contig14003:41352:43536:1 gene:CCACVL1_24881 transcript:OMO59370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M76, ATP23 MEEEPATTPEFKGVTVEKCDKMIQKSLRTPMVKFLKEHLEKSGCIVGDNFIRAVKCDKDMSGGYIPGEGIMVCSDQAEFQDGVNQVIIHELIHAYDECRAANLDWCNCAHHACSEIRANHLSGDCHFKREFLRRFTKIRGQEQDCVRRRVMKSVTANPNCSKAAAKDAMEAVWDVCYNDTKPFDRAP >OMO59367 pep supercontig:CCACVL1_1.0:contig14003:25488:26018:-1 gene:CCACVL1_24878 transcript:OMO59367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQLIVVAFVFMAVVGAFAAEKSPSQAPAASPKASSPSKSKSPSSSPAASPKSSSSAPTPSSKSDSNSPAAAPKKSAAAPKSSAAAPKSSSSDSPAAAPKTSSTDSPTAAEGPDVASPPRPFFEDDLSPSPSPAAADGPAAAADTPAAAPPNSGAATLKASGVLGVAAIAGIFYF >OMO59368 pep supercontig:CCACVL1_1.0:contig14003:28707:30594:1 gene:CCACVL1_24879 transcript:OMO59368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M76, ATP23 MKEEATTTPGFKGSTVEECDKMIQKSLRTPMVKFLKEHLEKSGCIVGDNFIKAIKCDREMVGAYVPGKGIVVCSNHIKLQDDANQVIINKLINAYDDCRAANLDWNNCAHHACSTIRAGHLSGDCHYKREFLRGFMKLRGHEQDCVRRRVMKSVTKNPNCSEAAAKDAMEAVWDICYNDTKPFDRAP >OMP11052 pep supercontig:CCACVL1_1.0:contig01632:2409:3554:-1 gene:CCACVL1_00699 transcript:OMP11052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAVVESVIVLSVKIIGNLIIQEAKFLYEVRGRVEQLRANLIDMRLFLDDAESRKRNDRNIRNWIAGIRDIAYDAEDVLEIYALKTASRRSSSRSKVIRWFTSWRINNPKLLHEVGSAIDGLTTRLSQLSTNVETYGLKLKDLKERERPSSLSEDRQDMRASYPHTIEQNVVGLEEDASLLLDRLVHTNCRVVSICGMGGLGKTTLAKKVYRQCKVGGGHFQFFIWVFVSQQWRRRNIWETLLYELISPKETYIEEKIEKEFKKKWRKIQKMKDDAVAKLLYDELQKSKCLVVLDDVWDDDAWDKLSPGFPTEETSTKFVITTRNRNVAVKADANGFIHEPRCLNDVDSWELFKKTTTPTENIDAGTSSNRHLICMLFKLIT >OMO92939 pep supercontig:CCACVL1_1.0:contig08156:6138:13736:1 gene:CCACVL1_06720 transcript:OMO92939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation release factor pelota-like protein MKVVRRDLVPNGPGSVKMIPVDSDDLWFAYNLITVGDSVMARTVRKVLRETSGGRDAERVTLKLEIRVESIDYDKEGSVLRIRGKNILENEHVKIGAFHTLELELQRPFVLRKASLSSVGKLLFLGLAIYLKLFTFICAAVPVIWDSLALDTLQQASDPGASADLAVVLMQEGLANILLIGRSLVRIDFGLRLFIYVAVHFLSNILQALKKFFENVLQAFLKHIDFNVVRCAVIASPGFTKDQFHRHLMLEAERRQLRPIIENKSRIILVHTSSGYKHSLKEVLEAPNVMNMIKDTKAAQEVRALEDFFSMLSNDSARACYGPKHVEIAHERMAVQTLLITDDLFRNSDIATRQKYVNLVNSVKNSGGTAHIFSSMHVSGEQLAQLTGIAAILRFPLPDLEDIEM >OMO92940 pep supercontig:CCACVL1_1.0:contig08156:15102:17815:1 gene:CCACVL1_06721 transcript:OMO92940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex II Clp1 MASMLESESSTGSIYISDDWSAAANSIAYGSVTSPPPLAFICGPKNSGKTTFSRHLLNVLLQRYPKVAYLDTDVGQPEFTTPGFLSLTVVDKLTPDLAIPCLKTPDRCLFFGDVSSKRDPSTYLKYIFTLCDYYRKEYCMFDKSESPGRTELPLVVNTPGWVKGIGYEILVDMLKYISPTHVVKINISAESKNLPRGAFWLDGDYDGMVNIMEIKSARQDSFNRSVLVQKDARLLRDLRIMAYFRQCFPSYQPITTIKELAYVLASHSPYEVPLSSIKIRHLHCQVPSTETFYSLNATIVGLAVSSEESEGFPWCVGLG >OMO75439 pep supercontig:CCACVL1_1.0:contig11041:17500:17710:-1 gene:CCACVL1_16173 transcript:OMO75439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSTSKKHYAIFVLVAATAVAILSSIPTAEAAVLPFPSVRKLQQISTCSRPETPCNTDKDCCVLSTCNS >OMO61338 pep supercontig:CCACVL1_1.0:contig13518:14023:22299:1 gene:CCACVL1_23596 transcript:OMO61338 gene_biotype:protein_coding transcript_biotype:protein_coding description:carboxylase-lysine N-methyltransferase MGFPLKSSPTTEIDSKSLELPPKFHLALKVSSLQFPVVSTLYGGKQVVFFAMSPYSFGNSQPQHCSCENTAEADDGCSILLELSEDDPFFDKKMVRESIEHVLTLSLSLSRYSDLNAFLRYRSSLSSRLLNDKGFGIKEIVHIKGTLDPNSLSSLLHRMLQIARIIHLDEVELYFGQIDGAGSYSPRNEMEALNSILSFIRTSFSSQLHSQTHALQDLRDAVVNMIHEFGTKNKVEDKTDKNYNCDKEQCLVEWAKDHGVKTRLQIAYIEGAGRGAITMENLEVGDIAMEIPASIIISEDLVYKSDMYHILEKIDEMSSETMLLLWSMKEKHNCNSQFKMYFDTLPENFNTGLSFGVEAIMVLDGTLLFEELMQAKEHLRAQYNELFPALSNDHPDIFPPELYTWEHFLWACELWYSNSMKIMFADGKLRTCLIPVAGFLNHSLHPHIVRYGKVDLATNSLKFPLSRPCSVGEQCCLSYGNLSGSHLITFYGFLPQGDNPYDIIPLEFDVDQVDSMEECPLSNWTTHMSQPNLEVEKEVLEDLQSTFNGMMENLGDTDSVDRENASWEVKLALEYKEIQRRIVSLILTSCSTAADPYNIITLKWLVIMVIAASGGA >OMO99442 pep supercontig:CCACVL1_1.0:contig06897:30346:30414:-1 gene:CCACVL1_03803 transcript:OMO99442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTTQITVATQPALLNINATA >OMO99441 pep supercontig:CCACVL1_1.0:contig06897:17523:26932:-1 gene:CCACVL1_03802 transcript:OMO99441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKSPNFDRSRQGYRAELPFRPAHGSGRAGL >OMO98705 pep supercontig:CCACVL1_1.0:contig07055:29441:29509:-1 gene:CCACVL1_04099 transcript:OMO98705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDQLSSFKHGQFNKQNPRAE >OMO97766 pep supercontig:CCACVL1_1.0:contig07202:36538:37224:1 gene:CCACVL1_04462 transcript:OMO97766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSISATGFKGGLATSFHGSWGTSIVGEDYAMLVKSVPNHIRVSKPVRFQPMMKNVNEGKGLFAPIVVVTRQIVGKKRFNQLRGKAIALHSQVITEFCKSIGADAKQRQGLIRLAKKNGEKLGFLA >OMO97762 pep supercontig:CCACVL1_1.0:contig07202:20866:22010:1 gene:CCACVL1_04458 transcript:OMO97762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLGCFGISSKRKRRKPANRILPGDSRLVCYEPLDSSVSANLDISEDPISSNPQISNKPKEKSNTKVRKKVSFNLNVQTYEPIPDEETTTYQFLQSVEEKESEKNGGEAKVVENTTQWKEIKAKAAPPPKLRSKENIEEPFSSKLSSKSNCSPRYNQSRPLLQDIAVDASLSNWLTSPNTDEAKIKGSTKNGSIAVDTLSSKKNTCDGSFSWRNRDDRVVLDITNFESF >OMO97767 pep supercontig:CCACVL1_1.0:contig07202:52962:54225:1 gene:CCACVL1_04463 transcript:OMO97767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLGDSPGVMEDELIVELEAAKDEIKEATQFGLIGKVIADRILNKKGVMNVLQSIWPKKVLLKVFDLGPNLYGFSFADRRCMEIALNNGQWTIIGYSLCLKKWDTSKAVNDIRFETINFWVQVHQLPLEFMTLNNARKIGKSLGTVLDIEDPDWVNGFGRSFMRIKIAMNLNRALTGRFKVPRGEGESISTVVKYEKLGDFCYNCGFLGHGDKNCDKPTIAEGNPGGIAKYGAWMRAVAVRGSQKEESAVSKVKSLPPGSSSLKITPVKDIEKGIPELDPDQIEDILLKYRKNTEGVRRNLFKEKETYDRETLQSNRVTTTLQQSLQ >OMO97772 pep supercontig:CCACVL1_1.0:contig07202:81478:83191:-1 gene:CCACVL1_04468 transcript:OMO97772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14b/L23e MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >OMO97764 pep supercontig:CCACVL1_1.0:contig07202:28968:31690:1 gene:CCACVL1_04460 transcript:OMO97764 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP2/3 complex, 34kDa subunit (p34-Arc) MASFERASPALKEILLRIYRAEKPIEVDHHLYEFGSVEYHIKSSASDPQFTYLSISTPLLTQGFLLSHGLSPYAIQMVKEISTDVLEIVEPANEGYQLTLRLDFSKIPREKDSLKIITEVSSVQAVILSSQLKEMLLSVNSWDASLGTSKPIKLVYHPREPFYVIRQPQKIIAVFPMRFKEHSDVIIATSFFQELMDVGSSEKWAKVPPCSWSPIPPPELRGEPFEDLSTNGGFVSFDIFSRHVEGKRLDKTVWSLLNFYAYVKHHVKCTRGFIQRRMRKRLDSLVKVLHNSSLEEDGQIKKVKGMNSAITTHLIYMSKLTKMRYIRNDSFFSIQLFAFKEMQDGDT >OMO97774 pep supercontig:CCACVL1_1.0:contig07202:90092:90592:1 gene:CCACVL1_04470 transcript:OMO97774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MSSCQDGTKNCTLAKENVETTKATIEKEINPDGKKRSWPELKGENVETAKATILKERPDLYVVVLPEGAPWTNDEGFSRVRLVVNEKGQVVNVPSIG >OMO97771 pep supercontig:CCACVL1_1.0:contig07202:79686:80946:1 gene:CCACVL1_04467 transcript:OMO97771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caleosin MATEAPKAPVTSERKIRNDLEEKLPKPYLARAMEAVSVDQPNGTPGHKNKGMSVLQQHAAFFDQDRNGVIYPWNTYRGLRQLGLDIFTSILTTVLINFFMSYPTLPTEYTKRSMGVIQQPLTLKEVNLENIFSKYGRTQPDKLSYDEVWEMTEANRVGYDPIGWIAAKGEWLVLYRIAKDHDGFLSKEAARSCYDGSLFEYIAKMKNNKSGDKKKL >OMO97769 pep supercontig:CCACVL1_1.0:contig07202:74135:76008:1 gene:CCACVL1_04465 transcript:OMO97769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caleosin MASIVENEAFATEAVYAPVTQERRVRTDLEDKLPKPYMPRALVVPDVEHPTGTTPAHRHNQLSVLQQHAAFFDQDDNGIIYPWETYTGCRALGFNMIASLVMTVLINGAMSYVTLPGWIPSPFFPIYVHNIHKAKHGSDSGIYDPEGRYNPMNIEHMFSKYAHTVPNKLTFAELWNMTEGNRNTFDFFGWIAAKLEWGILYVLARDEEGMLSKEAVRRCFDGSLFEFCAKMNAGGQSKMG >OMO97775 pep supercontig:CCACVL1_1.0:contig07202:91914:92360:1 gene:CCACVL1_04471 transcript:OMO97775 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane lipoprotein MMMKGAFLLLVLAFLATLFDQGHAADDAFADSKWGFRRFPHRPRFPWRRHWPAFPPHPAHDFKPPEEVTKCARAFKAAAVCRKPPIGAECCTALQTIQADCGALKFLEPVLKAYCSSNDAPADDAPAPPADDAPAPPADDAPAPATKN >OMO97761 pep supercontig:CCACVL1_1.0:contig07202:14049:16723:-1 gene:CCACVL1_04457 transcript:OMO97761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQGKLFIGGISWETSQEKLSEYFGQYGDVVQSVVMRDKVTGRPRGFGFVVFSDPSVLDTVLQEKHTIDGRTVEAKRALSREEQQTSARSGNVSQGRNSGNGGNIRTKKIFVGGLPPTLTEDGFRQYFEAYGHVTDVVIMYDQNTQRPRGFGFISFDTEDAVDRVLHKSFHDLNGKQVEVKRALPKDANPGGVSRTMSGGSGGSGGYQGYGSSGGSANSYDGRMDSNSGPPGAPRSSWGTQTPSGYGTMGYGNAAAWGAAGAAGGAASGGPGSAATGQSPTGAAGYGSQGYGYGGYGGNDGSYGNAGYGAVGGRSGGAPSSNASSGGGDGGGYMGSGYGEANGNSGYGNASWRSDSSQGSGNYGGTQANGPYGGGRRYAREFKGGVKCPLMAEPELLRGW >OMO97765 pep supercontig:CCACVL1_1.0:contig07202:32915:36056:1 gene:CCACVL1_04461 transcript:OMO97765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNINSAKKAVVTSKKLGTW >OMO97770 pep supercontig:CCACVL1_1.0:contig07202:76570:78891:1 gene:CCACVL1_04466 transcript:OMO97770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caleosin MASIDENDSLATEAAFAPVTHERKVTTDLEDKLPKPYMPRALVVPDEEHPTGETPGHKHNQMSVLQQHVAFFDQDDNGIIYPWEITQGWIPSPFFPIYVQNIHKCKHGSDSDIYDPEGRYNPTNIENMFSKYALTVPNKLTFAELWNMTEGNRNAYDLFGWFASKLEWGLLYVLARDEEGMLPKEAVRQCFDGSLFEFYAKKHGGGQSKMNHSS >OMO97773 pep supercontig:CCACVL1_1.0:contig07202:85454:85906:1 gene:CCACVL1_04469 transcript:OMO97773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKGALLFLVLAFSATLFEQGHGAESEDAFADSKWGFRGFPHRPGFPWRRHWPAFPPHPAHDFKPPEEVTKCAGAFKAAAVCRKPPIGAECCTALQTIQADCGGAKFLDPVLKAHCSSDDAPADDAPAPPADDVPAPPADDAPAPPTKN >OMO97763 pep supercontig:CCACVL1_1.0:contig07202:22499:25067:-1 gene:CCACVL1_04459 transcript:OMO97763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLHNDVKDVNGYKNQTLGTLDPSNLEDHTDRDDYSESNSLLPPKKGGMSRKTEKTRRKVQWNDNNGNKLAEILEFEPSDVSDSDEEDSDACICVIIPNFMLPSAEEIEGLEDEQGCPSYVCNSAYPTGVVYHSKAEYSPKSATPLAASCRVTQ >OMO97768 pep supercontig:CCACVL1_1.0:contig07202:64304:72164:-1 gene:CCACVL1_04464 transcript:OMO97768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation Initiation factor eIF-4e MASEAAVEVNAPAAAAPAEAEKQPHKLERKWTFWFDNQSKPKQGAAWGTSLRKVYTFDTVEEFWCLYDQIFKPSKLPGNADFHLFKAGIEPKWEDPECANGGKWSVTSNRKANLDNMWLETMMALIGEQFDESDEICGVVASVRQRQDKLALWTKTATNEAAQMGIGRKWKEIIDVNDKITYSFHTAQQASEVKDFSDPSISRLSNALSLRFFETCSQSHSQSFPASYLINKLGISQESALKLSKHVPLKNPEKPESIISFFEDKGFSESQIKTLVTKCPQIFELDVKNNLSPKLEFFLSRGFSIPDLAKFLTVYPTTLTLSLDKKIAPSFNLLSNLVQSDGKAVKVLLRGPFIIGYDLNSYVIPNFNILLENGVPKSNTINAFHLHPTTFLTNSDYFKEIVKKVKERGFNPLELKFLLAVDVVRQNSKSNWDSKFDVYKKWGLSEEQIWEAFLKHPWVMSASEDKISKTMEFLVNTMGIQPLAIAKRGKVIGLSLEKRIVPRGLFVQDLISNGIGIKFTLSSLFDISEQMGIGRKWKEIIDVNDKITYSFHERGFDPLELKFLDAVTVLRKNSKSNWESKFGVYKKWGLSEEQIWETFLRSPCVMAASEDKIAKTMEFLVNTMGIQPSAIAKRGKVIGLSLEKNIVPRGLFVHDLLSNGLAIKFTLCLLFDISEQYFLKRFVYRFEDKAPELLQLYKQKVDVAAGGKYKTQRIHWRFR >OMP07674 pep supercontig:CCACVL1_1.0:contig04274:955:1038:-1 gene:CCACVL1_01252 transcript:OMP07674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFPIRRLDKQIASSKARPVRFRSQ >OMO61705 pep supercontig:CCACVL1_1.0:contig13428:24915:25112:-1 gene:CCACVL1_23311 transcript:OMO61705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNAELVIPLFQRLVPRMIGPGTSSSSIAHLATTMILLPSSLLLMMTPFETFSPPTLPMNSKSSPF >OMO61704 pep supercontig:CCACVL1_1.0:contig13428:4919:5113:1 gene:CCACVL1_23310 transcript:OMO61704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIFFSSTSNSKPFTAAAARSRYLHFNFKLKAQSTSKIETHLNPFIFLFVFSEYVFFLESLISIV >OMO92566 pep supercontig:CCACVL1_1.0:contig08198:30184:32316:1 gene:CCACVL1_06821 transcript:OMO92566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSCQFIFWVVLFSLFPSLYSLPLPLPLPLLPTNNISLYGNALFRNNGISLTQETTCLPSSSLADIGRAFYVFPIRFLDLKTGAASSFSSHFSFSIIPNPLCPFGDGIVFLITSNADSFSFSNGYMGLPNPNPQGQDSFLAVEFDTSFNPSLGDINGNHIALDVNSVVSLASIDVLSKGFDLKSGRRIRVWIEYRDSAKLIQIWVSYSQTKPPSPILVAQIDLSKDFQEYMHVGFSASNGPGSAMHMVDQWRFKTFTAYQPSMNPMDAIEQGYCFMCSPDSDPDPEDLFNSIPEDQTHNHKTSHKSKLKLKLKLGTMGVALVCLIISVAIVTAILAVVCYFMIMRKKRRVGRRRKRSQTGLLQMNNVPTRLSLAEIKSATLGFHRNRIVGEGASAVVYKGSLPSGEAVAVKRFDQSNQKEFVRNPFTTEFATMVGCLKHKHLVQLQGWCCEGSELVLVYEYLPNGSLDRLLHRNSESAIFLSWSLRLNIVLGVASALAYLHEECARQIIHRDVKTCNIMLDDEFNAKLGDFGLAEVYEHNCASREATIPAGTIGYLAPEYVYCGVPTVKTDVYSFGVVVLELATGKRPVAEDGTVLVDWVWDLWVQNKLIEAADPRLSGRYNVLEMERLLIVGLSCVHPNHEKRPMVKEAARMIRGEAPLPLLPPMRPTVMIRSNVFADSQDIFNFDGDRTPTADDSGWFSPRSHFSRA >OMO95422 pep supercontig:CCACVL1_1.0:contig07680:1758:3797:-1 gene:CCACVL1_05413 transcript:OMO95422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVRYRYEDELRLAIYKGNGRNLSVRLLLVRKALARIADRGTGGQRYIQLFGQFVRRGYRFVSPERWHQDKTHRNRPLQRKWSQRLYDDEWRSRPSKTLPISKDKVDQEVNKSKAASKDQNFTTVEDDALVDQGDVIVQNGRDWLERSFVMNMISEGVSRVSSFLSSCHLKLGIFELSNTMLLVSMEDEELIEDGIKLVSEKCQGLVSEVFPWESTGFKRKSIVRIQLSDNAYPYVKAFGIPLVATGYASSIKFKIDVHVEREGSSSIKSFDVLPEFFDGKCSGEHRMNSMVVRPGPARPDRVTRLTRDPVASPGRRGGATRGILARSTPGGKLKKKLGQRQNRARCKVCAKNKRKMTRRGVTKMILGDAAQVDLDSDISLTDVESIRTLESTLYEVVNRIFEILVEE >OMO95420 pep supercontig:CCACVL1_1.0:contig07680:349:480:1 gene:CCACVL1_05411 transcript:OMO95420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSNKQFKHTFHRDEYDEFSTRSDCKIEGKFRLRTAGLTMML >OMO95421 pep supercontig:CCACVL1_1.0:contig07680:861:923:-1 gene:CCACVL1_05412 transcript:OMO95421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSAVGSIYPVCRATRSP >OMO95423 pep supercontig:CCACVL1_1.0:contig07680:6440:6523:1 gene:CCACVL1_05414 transcript:OMO95423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEGKAMFSRRRPSFEQRGEKEKGE >OMO59301 pep supercontig:CCACVL1_1.0:contig14012:1267:1434:1 gene:CCACVL1_24935 transcript:OMO59301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRPLYNRQEDAALGILEGKDSHRRRDYYNKQDFPWRQREKTLTGGMLFREIRY >OMO78133 pep supercontig:CCACVL1_1.0:contig10633:69152:70585:1 gene:CCACVL1_14648 transcript:OMO78133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGQCLCEKASSYFVRKSKNDMNETEMNETVMNETSVQVVHNSQSHEKRKENDTRKEAKSKIDMNEIEMNETSVQVIQNSQSREKRTENNTRKEATTPAPIKEKMKTVIEISGEATGYEHAPMVADVISHATQAQKSNIISPRRPPTVDEKTNAIRLAQEAQALVYGIHEAHTCCR >OMO78134 pep supercontig:CCACVL1_1.0:contig10633:74160:76472:-1 gene:CCACVL1_14649 transcript:OMO78134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGEDSSFEERENRFFGRVYEGRGRGGGRGVARGGLSIPASEKRPRPMTSITITVDCQV >OMO78131 pep supercontig:CCACVL1_1.0:contig10633:47091:50452:-1 gene:CCACVL1_14646 transcript:OMO78131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDRTRKKPNTTTRKREKEIDVAIASHALVQRPLRENQPVNSVSWEAMRRGHVANPL >OMO78127 pep supercontig:CCACVL1_1.0:contig10633:7733:7867:1 gene:CCACVL1_14640 transcript:OMO78127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAEKVEIEHGNYYLSNRVHEAVQAIIAFVKKEGSKGWDDPWS >OMO78129 pep supercontig:CCACVL1_1.0:contig10633:18081:23145:1 gene:CCACVL1_14644 transcript:OMO78129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15, bacterial-type MADAVQLQQQYIPVVHSKKSQSLDPWRSKPQIALLGESIRGPETVKSGSNSLDFLCFLPLSSFGIRAYSLLSLNDLRDNVPRKQKTRKGRGIGSGKGKTAGRGHKGQRARGNGKLGFEGGQTPMRRRLPKRGFKNPFSLTFQPVGLGKIAKLINAGKIDSHELITMKTLKDAGAIGKQIEDGVRLMGRGSEQIKWPIHLEVSRVTVRAKEAVEAAGGSVRRVHYNKLGFRALLKPEWFEKKGRLLPKPARPPPKLKDKVDSIGRLPAPTKPIPFFTEEELVSSPA >OMO78128 pep supercontig:CCACVL1_1.0:contig10633:14068:15459:1 gene:CCACVL1_14641 transcript:OMO78128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MASITPLSLLSPSSRFFFHFLFNSVVILLISCNLRSANAVGLSYDFYNKSCPNVERIIHNVASQKLAEAPVTAAGALRIFFHDCFVEGCDASVLIASSKSNKAEKDSEINLSLPGDGFDVFFRAKRAVELQCPGVVSCADVMAIATRDLVNLVGGPRWEVQKGRRDGLVSKASRVPGNLPQANQTIPQLISLFKFKGLSVLEMVALSGGHTIGFSHCREFMPRIYGYNKSFDIDPTMNQDFALSLRGPCPKRNLDPTVVALNDVTTPFIFDNAYYRNLQKGLGLLTTDQMLGLDPLTRPYVNIMAKDQQIFFDYFVKAMIKMSELGVKTGTDGEIRQDCGFFND >OMO78130 pep supercontig:CCACVL1_1.0:contig10633:28466:31850:-1 gene:CCACVL1_14645 transcript:OMO78130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFDIPAAEDMMNDDMDLPDESPVLKVGEEKEIGNQGLKKKLLKEGEGWDTPDNGDEVEVHYTGTLLDGTQFDSSRSRGTPFKFTLGQGQVIRGWDLGIKTMKKGENALFTIPPQLAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKILIEGEKWENPKDLDEVLVNYEAKLEDGTVVAKADGVEFTVKEGHFCPALARAVKTMKKGEKVVLTAKPQYGFGEKGKPATGAEGAVPPNSTLQIMLELVSWKTVTEITDDKKVMKKILKEGEGYERPNEGAVVQVKLIGKLQDGTVFVKKGYNEGEEPFEFIIDEEQVCDGLDRTAMTMKKGEVAELTIAPEYAFGSSGSQQELAFVPADSTVFYEVEMVSFTKEKESWDMNTKEKIEAAGKKKEEGNALFKVGKYVRASKRYEKAVKYIEYDSSFGEEEKKKAKALKVACNLNNAACKLKLKDYKEAEKLCTKVLELESTNVKALYRRAQAYINLADLDLAEIDIKKALELDPNNREVKLEYKVLKEKIKEYNKKQAKFYGNMFAKMS >OMO78132 pep supercontig:CCACVL1_1.0:contig10633:66642:66710:1 gene:CCACVL1_14647 transcript:OMO78132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRHRPPPPTIVPKSRRISDQ >OMO54051 pep supercontig:CCACVL1_1.0:contig15063:32902:33072:1 gene:CCACVL1_28097 transcript:OMO54051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADNSLETSLIWLQNLVKGDIGQELEARALKGLKITHAQKGFLRFSFIVPSSASV >OMO54048 pep supercontig:CCACVL1_1.0:contig15063:18496:23206:1 gene:CCACVL1_28094 transcript:OMO54048 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MEVIKAACRRGSSAAESIAIRADQKSYTYKQLVSSAFNISRLLSSCNIKINDGGITGHGNLGGARIGIVAKPSAEFVAGMLGTWFSGGVAVPLALSYPEAELLHVMNDSDISVVLGTEDYRETMQSVAAKTAAQFSLIPPVPSFSPSTNMTDQSEIGEIEADGSLISENPALVVYTSGTTGKPKGVVHTHKSINAQVEFMQKFSVRGIWQRWRESYPINGTKADDAITVFTGVPTMYTRLIQGYQAMDAEQQAASASAARQLRLMMCGSSALPQPVMQEWETITGHRLLERYGMTEFVMAISNPLRGARKAGTVGKPFPGVQVKIAEDNSGDDILGVGELCVKSPSLFKEYWKLPQVTKESFTDDGFFKTGDAGRVDEDGYYIILGRTSADIMKVGGYKLSALEIESVLLEHPDIVECCVLGLPDKDYGEAVSAIVVLDSERKRKREELNSALSLEELCSWAKDKLAPYKVPQHYYLSRISLIPNYEMNLNITSPVHNA >OMO54056 pep supercontig:CCACVL1_1.0:contig15063:46230:46598:1 gene:CCACVL1_28102 transcript:OMO54056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQATVASALVIICLIVLSSDIGGVKCQTPGSNECHFITANCQTNGDCTTICTAQGYSRGACANFKQCCCITSA >OMO54050 pep supercontig:CCACVL1_1.0:contig15063:30144:30813:1 gene:CCACVL1_28096 transcript:OMO54050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily MEKKLSSMSPEVYLKWAQEALSKGIAQRDLDNRAAQGIQILQLQKGFVRCNFIVPATALDANGKWHVGAIATIIDTAGAIAVYSENNRSKVVTDYNISYYSTAKIQDEIEIEGRVVANKGKLTSVMVEVRRKDNGELIATGKQWTASNDFRAPLGQPSKL >OMO54049 pep supercontig:CCACVL1_1.0:contig15063:24968:25809:1 gene:CCACVL1_28095 transcript:OMO54049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily MEEEINFLERSLKWLEDVTTGAIGYEIESRTLQGLQVVRFVPGFVRCHFTVPIHASDVNGNWHVGAIATLIDNVGGAAIYTISDRVKVTVNFNISYYSTAKIQDEVEIEAKVLANKGKLTSAVVEIRRKDNGELVAIGKQWTASNDYRAPQAKL >OMO54053 pep supercontig:CCACVL1_1.0:contig15063:39233:40798:1 gene:CCACVL1_28099 transcript:OMO54053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQGTVASLILILCLVLSSDHMEVNCESSGSSDCVFIEALQGRLNAFDDDEG >OMO54054 pep supercontig:CCACVL1_1.0:contig15063:41926:42401:1 gene:CCACVL1_28100 transcript:OMO54054 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative defensin-like protein MASKLAPVASVLFILFLVLSSDIGVKCQSESDCRFIEESCNNHGDCQKICSGKGYSFGAVCAPRGEGTGTHCCCIVQT >OMO54052 pep supercontig:CCACVL1_1.0:contig15063:37749:38413:1 gene:CCACVL1_28098 transcript:OMO54052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily MEDESIRKSQKWLMGLSEGSLSHQLEAIAMEGLQILHSQKGFIRCNFVVPSRASDADGNWHVGAMATLIDDVGAAAIYSLVDHVKASLDFSISFYSTAKIQEEVEIEAKVEANKGKLSHVLVEVRRKQNRELIALGKQWMASHKIASQPQSSKL >OMO54055 pep supercontig:CCACVL1_1.0:contig15063:42919:43368:1 gene:CCACVL1_28101 transcript:OMO54055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQATLASALVMIICLIVLSSDMGGVKCQPPTSDCHFIAANCTTTEDCVKICAAQGYNHGAVCGQYGQIECCCIITT >OMO88254 pep supercontig:CCACVL1_1.0:contig09070:65424:65870:1 gene:CCACVL1_08501 transcript:OMO88254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLMNKLFKNKSTQRFILSLKTPHQQQYEQQLLPKVSPSLIPQQTNHFSLAKPEFLNNPDFSLNQKSVENSKSTESLKLYPNLPFGLNPIKVTGFDPSAVFEVEEGTAETEDDDAGKVWADSVKKKRKKKMNKHKYKKLRKRLRRKT >OMO88250 pep supercontig:CCACVL1_1.0:contig09070:30182:30247:-1 gene:CCACVL1_08496 transcript:OMO88250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGIPDDAILNLFGEMQKT >OMO88256 pep supercontig:CCACVL1_1.0:contig09070:69032:71848:-1 gene:CCACVL1_08503 transcript:OMO88256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRALITSEARNERRSRTNGINFRTVHHFVNLITPALAFSSGRPSGKTI >OMO88257 pep supercontig:CCACVL1_1.0:contig09070:76135:76296:1 gene:CCACVL1_08505 transcript:OMO88257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLTFKLLFGKEKWQISGLLDGPWSKHFISGRAWTEIKARYRYSGRAGPGQS >OMO88251 pep supercontig:CCACVL1_1.0:contig09070:40235:40294:1 gene:CCACVL1_08497 transcript:OMO88251 gene_biotype:protein_coding transcript_biotype:protein_coding description:polyamine oxidase 1-like protein MDSSSRSSVIIIGAGISGQ >OMO88252 pep supercontig:CCACVL1_1.0:contig09070:60231:62150:1 gene:CCACVL1_08498 transcript:OMO88252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSPAKLHGHLLLFLTILSLCFISHARLTLDRSDSRALSSIVKDLGINGQRFPATNPCSAAGVFCERRLTNNNTYVLRITRLVFKSQGLDGFLSPAIGKLSELKELSVSHNNIVDQVPSQIVDCKKLEILDLQNNQFSGEIPSNLSSLIRLRVLDLSYNKFTGELSFLKYFPNLESLSLANNLFSGKIPASIRSFRNLRFFDFSGNGFLEGSAPIISKVDDQSAESQYPKRYIFAESQRSNKSTSITGAPAPSPNGSKSASSAESPTSPPTKKHKNKKRKLMGWLLGFLAGALAGSISGFVFSVMFKLLIAAIRGGGKDSGPSIFSPLIKKAEDLAFLEKEDGLASLEIIGKGGCGEVYKAELPGSGGKMIAIKKVIQPPRDAAELTDEDSKLLNKKMRQIKSEINTVGHIRHRNLLPLLAHMSRPDCHYLVYEFMKNGSLQDILHQVSQGMRELEWPARQKIAIGIAAGLEYLHLHHSPRIIHRDLKPGNILLDDDMEARIADFGLAKAMPDANTHVTASNLAGTVGYIAPEYHQTLKFTDKCDIYSFGVILGVLVIGKLPSDEFFQHTDEMSLVKWLRNIMTSDNPSQAIDPKLMGQGYDEQMLLVLKIAYFCTLDDPKERPNSKDVRCMLTQIKN >OMO88255 pep supercontig:CCACVL1_1.0:contig09070:68177:68726:-1 gene:CCACVL1_08502 transcript:OMO88255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFFRSTLFITLAVFGLCLGHLSFMSNAQDDGTATYYTPPYTPSACYGYEDEGTMIAAASDEIWNNGTACGEMYQVTCVSGTNQGTPYPCWGSGTVQVKIVDYCPPASCRGTIDLSQEAFASIADPDSGVINISYQQYVP >OMO88253 pep supercontig:CCACVL1_1.0:contig09070:64122:65012:-1 gene:CCACVL1_08499 transcript:OMO88253 gene_biotype:protein_coding transcript_biotype:protein_coding description:CENP-S complex, centromere protein X MEWKRNGRNQERNRNRNRSTFAMDDSGIPTFEPVGAAGTSKKDRPTFANANALKLSCELLRTFITEAVQRAATIAEAEGGTKIEATHLERILPQLLLDF >OMO88258 pep supercontig:CCACVL1_1.0:contig09070:77133:77864:-1 gene:CCACVL1_08506 transcript:OMO88258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPFSRSTLFITLTVFALCLSLSHLPFMSNAQDDGTATYYTPPYTPSACYGYEDEGTMIAAASDEIWNNGTACGEMYQVTCVSGTNQGTPYPCWGSGTVQVKIVDYCPPASCRGTIDLSQEAFASIADPDSGGLMVKGYVHKLPGVYLAKV >OMO94131 pep supercontig:CCACVL1_1.0:contig07960:25214:31244:-1 gene:CCACVL1_06153 transcript:OMO94131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S2 MTIHSVVIQKLLSTNSHISKQTVTHHMKQFAYGIRNKQVILDSDKTLICLRNALNFISCLSRDPSSSFLFINTNPLYQCIIDEMTVKVSTFNPERANSLWKMRGFMTNSFSPKKFRSRNKKLVFAPTRLPDCVVIFDTERRSSIFREAEKMGIPIVGLVDSTTPWEYYKKITYPIPANDSAQFVYLVCNMITKCLMLEKKSKDTVREEVKQIDEGTGESKVDSVNDEVLVIPYGNLAPLSEDIAETKQILDKLVVVRFSGALGENMGFGGSKSLIEVKNGSTSLDLIVKQIQSLNSKYGCNVPLLLMNSSKTHDDVLEALEKYSSSEIDIHSFRQGERTQEKLSFSEGGDGDWSSDHGTQFLSLMNSGTLDVLLSQGKEYALVVNPDDVGATVDPTIVNHLAQNSIEHCMEVTPTTSTSLMNFMASSLQGKFKASNILIVMYDKILFISSTKKFKFIDTRNLWIDLKAIKRLVDNNAMDLSKPTPTSKVLFSYFLDSCAMQLFEKTIGITIPQSRFLRLNSTSDLLLLQSDLYTSTQGVLEQDDARAIPTNPVIRLGPEFEKISDFQSRFKTIPSIIGLNSLEVIGDVWFGADITLKGKVRIAVDPGVVLKIPDGTVLEDEEINGPEDI >OMO94129 pep supercontig:CCACVL1_1.0:contig07960:16379:17758:-1 gene:CCACVL1_06151 transcript:OMO94129 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative disease resistance protein RGA4 MAYLTSLHAFKLENAVTKVDVKSNEKEGFRKQVLEWSGRDLNEQDEVKREKELEGLKHNSNLEELAIRHFVGSNFPSWMIGGHLQNLVTLSLYHCTKCKTISLGQLPCLRELYIKGMQELEEWPQDQYTSLCMLHVINCPKLRKLPNFMSDLRVLKLKRCDSLKALPKAPSLLFLILINNLVLEEWQEGSSTTQYDEGNLAGQLRPTLNGPLELKLILSIQRCPKLESLPDEELPTALECLMIGSCPMLNSLGAKETLKSLLFLKDLYVEDCPSIRCLPEEGLPPSLQHLKIHGCPLLIEECQKEGAGATEWPKIMNVPDLEIDSIKLSYTQLCQRKKYGFVDLFAVK >OMO94130 pep supercontig:CCACVL1_1.0:contig07960:22902:24020:-1 gene:CCACVL1_06152 transcript:OMO94130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L3 MSMEAGVGVMGTKLGMMSYFEADGTVVPVTVVGFREGNIVTQLKTSATDGYDAVQVGYRRVRDKKLTKPELGHLEKGGLIPMRHLQEFRLPSVEQFEVGQKLAVEELFKEGDLVDVSGTTIGKGFQGGIKRYNFKRGQMTHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGSKRKIRKLKIVKIDNELRVVMIKGAVPGKPGNLLRITPAKIVGKNIPKN >OMO67311 pep supercontig:CCACVL1_1.0:contig12447:3425:9499:-1 gene:CCACVL1_20612 transcript:OMO67311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MRRRLVALFFICDLFVLFTSVAAIAAESESAAEINVTASESNVSDTRSGEGSFADMMNRALEKEFNDTEQNEVADPGSFNNSVKENQAVLETVARYNPKKNETKEGKSFQLHDVFNLDNENRAEDAPTLIDQHDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFSFVGEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVLGGLLQIFLFMCLCGVTVSLCGGKPSEGVFVGAFLSMSSTAVVLKFLMERNSISALHGQVTIGTLILQDCAVGLLFALLPVLGGSSGILQGVLSMTKSLVVLITFLAILTILSRTCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLGQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHIDILLAAVILVIVIKTMVVAAVVKGFRYSNKTSVLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAVVHLGVLLRWFPPDSPNEIGFKGDSLRADSAKRITLIVQGSHDS >OMO67314 pep supercontig:CCACVL1_1.0:contig12447:16128:16526:-1 gene:CCACVL1_20615 transcript:OMO67314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEELISSNILLSELRANIRVSQTSPNFPPALRVNHMVPKPTRIPIKPILFVTSSRHIVTPILPCNEESKDQHNKEEANENGHAAKVKGKEGFLVPVGTDKSGKGDKEDEETKENDRPPEKVDASVVLLVG >OMO67319 pep supercontig:CCACVL1_1.0:contig12447:39191:42473:1 gene:CCACVL1_20620 transcript:OMO67319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLSMGEAHRRITEYLNKFSDAVLYQDVTSLARLFSFSSNSPSLLSVADALNVFQDANRLIKQSDKFSQFGEILAQLLRSLQSYRLGNLVDAYHAFEKFANAFIQEFRNWESAWALEALYVIAYEIRVLAERADRELASNGKSPEKLKGAGSFLMKVFGVLAGKGPKRVGALYVTCQLFKIYFKLGTVHLCRSVIRSIETARIFDFEEFPRRDKVTYMYYTGRLEVFNENFPAADHKLSYAFANCNPLREENIRMILKYLIPVKLSLGILPKAWILEKYNLLEYSNVVQALKRGDLRLLRHALQEHEHQFLRSGVYLVLEKLELQVYQRLLKKIYIIQKQKDPSKAHQLKLEVIVKALKWLEIDMDLDEVECIMTILIYKNLLKGYFAHKSKVVVLSKQDPFPKLNGKPVG >OMO67313 pep supercontig:CCACVL1_1.0:contig12447:13092:14700:-1 gene:CCACVL1_20614 transcript:OMO67313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWVPLFEIFMNSPTPETEASLWLQQYFSATTSSSSTTTPITPSSFISLLTKPCDANVKDSSFPSSPITKRIMFIETLPGMVQSRILSFLAVENRRFNGKELSKLARHLLSESQELEFWVKKAARNLLDVVSESNMNEWISGFSLDSGEETVGEEFDSLPEWLKDAAAANDPLLSWLPLSVVDFGSSFCDDSLGKDEDLFSQVEENGENDLMESGDDIDVDQALNVPLEPEIEKMAVRLRDRVLNFESSLKAVALANEIRLLCLEKGRDSLQVLNLIEPWNAEDETASVLISHLSSGDEEELAWPSQVLCSIVLPKLLVLEEPASRLLVTSTMEYCSLHQKAAVHALLFPLVFRRNGINNPICDVITRIVRECLHPAHVSAFCQKLLCGGDEERRFTMLPCHQCLVSSELVWTESLFNLFQNILNHNVQLTQDSVDCLVYHVTQMAERFSKSLKFGNFVLCLVSKCASLLKSHKNVLTEAVECTDTLVTKSILSRLASF >OMO67318 pep supercontig:CCACVL1_1.0:contig12447:32558:38559:-1 gene:CCACVL1_20619 transcript:OMO67318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MGSDSLSQTKPIPIPTQEEDPSSQSTALLSFNTDSALESSVKESSSRPTTTIVFIALILLTCVALSAAFAFAFLFYSSPSSSSSSATVHDFARPLKKLEKPVVLLISSDGFRFGYQFKTATPNIHRLIANGTEAEMGLIPVFPTLTFPNHYSIVTGLYPAYHGIINNYFVDPSTGDFFSMKSHEPKWWLASWIEIPKEWVQSYSPLLAIRPPAGYAPSDIVAKMNKGLESGKVDNGKYLKVYLKEELPSRLHYVESDRIPPIIGLIEESFKVEQTRTKKKECGGAHGYDNAVFSMRTIFIGHGPQFPRGKKVPSFENVQIYNLVTSILKIQAKLKLLTDFAQTAESRHPPPPPPGIRESGLLFLSRLISDIKLEPVFLKCSLHRKICPLSSSVAGFSRLPFTPRKQLPSFKVHATVTETNQPKWWERNAGPNMIDIHSTQEFLTALSEAGDRLVIVEFYGTWCASCRALFPKLCRTAQEHPEILFLKVNFDENKPMCKSLNVKVLPYFHFYRGAYGQLESFSCSLAKFQKIKDAIEMHSHSDCKVGPPKGLEDLNLESVSAPIEKPTVST >OMO67315 pep supercontig:CCACVL1_1.0:contig12447:18865:22061:-1 gene:CCACVL1_20616 transcript:OMO67315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MMSSMGILGLQIQQRLPVLGAVSHSCLTNSPKFVHAAAVNSVSSLSRTLKKWNNGGRLPRRLVLGLGASFWVQYTNMAGNSKSFIASARQKGAIEQVLQNAEWPEQFPFKDEDFQRFDESPDSSFYEAPRFVTHIDDPAIAALTKYYSEVFPPSNTPGVSMLDMCSSWVSHFPKGYKQERVVGMGMNEEELKRNPVLTEYVVQDLNVNPKLPFEDNSFDVITNVVSVDYLTKPLDVFKEMCRVLKPGGLAIMSFSNRCFWTKAISIWTSTGDTDHAVIVGSYFHYAGGFEPPQAVDISPNPGRSDPMYIVYSRKLSTA >OMO67316 pep supercontig:CCACVL1_1.0:contig12447:27248:28156:1 gene:CCACVL1_20617 transcript:OMO67316 gene_biotype:protein_coding transcript_biotype:protein_coding description:CMP/dCMP deaminase, zinc-binding protein MDRQRFVIDAAEAEQLAKQSGKTVLQFLPSLVKSAQSLARPPISNYHVGAVGLGSSGRIFFGVNLEFPGLPLNHSVHAEQFLITNFSLNAEPRIKYLAVSAAPCGHCRQFLQELRGAPDIKILITSEDEKENTTNHNCDDKDHEFTPLSHFLPHRFGPDDLLEKDVPLLLELHRNGLSLCSDFCNGNINGDDDLKYAALDAANASHAPYSKCPSGLALVDVDGNIYKGSYMESAAYNPSLPPAQAAIVAYVASSGGAGYERIVGAVLVEKADAVIKQEHTARLLLQCISPKCEFKVFHCKKN >OMO67317 pep supercontig:CCACVL1_1.0:contig12447:29423:31708:-1 gene:CCACVL1_20618 transcript:OMO67317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MASYLWRKYADYVYTKWERTLLWDMLEPYRRPKSFTPLVTIYIAAFYTGVIGSAITEQLYKEKYWEDHPGEAVPLMKPKFYGGPWKVLKGDVLPPNQSNENMTTYGTIPAELPPSSNFIYRAREQIREGLGTRRPWKEMVKIEAINLPANLNISIERVRKNAAFFRVNYVIIVLFLLFLTLLWHPVSLIVFIILMAAWLFLYFLRDDPVSLDGIVVDDRIVMMGLLLMTVAMLFLTDVSYNIIVGLSLGLVVILVHGLFRSTDDLFFVEDKEANRSPVLMRGPEETAPLPLKNAASSSFSVS >OMO67320 pep supercontig:CCACVL1_1.0:contig12447:42979:45931:-1 gene:CCACVL1_20621 transcript:OMO67320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTIKNPKKAKRKNKGSKKGDGASTSASVPKFQPRVWQPGVDELEEGEELQCDPSAYNSLHAFHIGWPCLSFDIVRDSMGSDRKDFPHTVYFVAGTQAEKASWNSIGVFKVSNVSGKRRELVPTKSEDDPEKDGEDSDSDDSEDEEEGGSGAPVLQLRKVAHHGCVNRIRAMTQNPHICASWADSGHVQVWDFSSHLNALAESETEGTQGASAVFNQAPLVNFRGHKDEGYAIDWSPVVPGRLVTGDCKNCIHLWEPTSGSTWNVDATPFIGHSASVEDLQWSPTEPHAFVSCSVDGTIAIWDIRIGKSPAASFKAHDADVNVISWNRQASCMLASGSDDGTFSIHDFRLLKDGNTVVAHFKYHKFPVTSIEWSPHEASTLAVSSSDNQLTIWDLSLEKDEEEEAEFKAQTKEEVNAPDDLPPQLLFVHQGQKDLKELHWHTQIPGMVVSTAADGFNILMPSNIQSTLPSNGS >OMO67312 pep supercontig:CCACVL1_1.0:contig12447:11355:12405:1 gene:CCACVL1_20613 transcript:OMO67312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MALLHEACEKWGFFQVDNHGVDKKLMQKVKQLVNEYYDGNLKESFYESEIAKSLKNKANISDKDWESAFFIWHRPTSNINEIPKISEEFCKTMDEYIAQLIKLAEKLSELMCENLGLEKDCIKEAFAGSKGPAVGTKVAKYPQCPNPELVRGLREHTDAGGIILLLQDDQVPGLEFLKDGKWVQIPPSKNNTIFINTGDQVEVLSNGRYKSIRHRVMAEKSGSRLSIATFYNPAGDAVISPASKLLYPSHYSFQDYLKLYSTTKFAEKGPRFESMKTMVNGH >OMO62240 pep supercontig:CCACVL1_1.0:contig13298:37363:37768:-1 gene:CCACVL1_22943 transcript:OMO62240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVNGRFGAVPVVVDGKGKGERENG >OMO62241 pep supercontig:CCACVL1_1.0:contig13298:38662:42365:1 gene:CCACVL1_22944 transcript:OMO62241 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Protein bem46 MGGVTSSMAAKFAFFPPNPPSYKLIKDEATGLLLLNPFPHRENVDVWRLATRRGNEIVAVYVRYPMATSTLLYSHGNAADVGQMYELFVELSIHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEENYGSKQENIILYGQSVGSGPTVDLAARLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKISLVKCPVLIIHGTNDDVVDCSHGKQLWELCQEKYEPLWVKGGNHCDLELYPEYIRHLKKFISTVEKSPSRRNGFRRSTDGIEQSRQSAEYFEASRRSIDRRDKPRKRTDGRDKPRKSTDSRPEKLKLHEYNKFNNIDKLEKLRISLDQMERMERSRRSVEYHDKSRISIDHQIEKARKSVDWLDRIRAF >OMO62239 pep supercontig:CCACVL1_1.0:contig13298:2255:9369:1 gene:CCACVL1_22942 transcript:OMO62239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MKEIFLFFSGIGSRENGIWIRKKALSCLSFGMLSWPDNSSDEEDEDGDLEDEEVDAEEKIFLSDRFATFLPHDDEKLIWISFKRERRVFICRKCGKPGHPKFKCNLPTDEAHGYGNLPYKFSRLFGPTRAVDAFLGRHKKMITFVYPHKLKQSGTMGDKGDGENQADLATMMQTIMQRMDALTNQVHQIREGQNQQVQPPQQRRQLSGPLDRLREQEAGGQAYLDNLRPRRGGERDESKDNIKYEIPKFNGRGIPEDYLDWESKLEMYFDYHPYVESKKAEGQVKRGISAKKGFSSSSSSWKTPYKKEERKEKESAKKEFVSPKTESKGSSSSSSSKPRFKCFMCQGFGHYARDSVNKKVMYFNEHGELLSEEEDLTLDYSGDGDDERDDYEDAIFYFIFLLIRI >OMO76956 pep supercontig:CCACVL1_1.0:contig10858:21500:26573:-1 gene:CCACVL1_15276 transcript:OMO76956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYCSAPPCVRISQNAICFTEDSACSLLGFFGFTEYRCWEWVVDAEELREWCIADKQTPDEELKKALDWACGKGGADCSKLQVNQPCYHPNTIRDHASYAFNSYYHKFKTKGATCYFNSAAMITDLDPTFGINKTSVLERDLFRGSAANLAVPTPLLIAFYVLEQNAIIGKARRERMASAKEMDTQVKEIFSIQKKARFTDMKALVFLVVANGVAAAYSLVQVVRCVVGMVRGSVLFSKPLAWAIFSGDQAMAYLSVAAVGAAAQSAVFAKLGQPDVQWMKICNMYGKFCNQIGEGIAIALLVSLAMVLLSCISAFTLFRLYGGTKPKNNSRW >OMO76953 pep supercontig:CCACVL1_1.0:contig10858:4458:6072:-1 gene:CCACVL1_15273 transcript:OMO76953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPTYISMNHWISSLHFPEEDAQPTAPPDKGKRVLRVNSQKAYKLGASRRHNRGRIVIPDLEDDRRSCSKYGSLSTAEVNKVQDALNSKRNKNKDVHASSVTPALDVPATSLNPNMQLARATGENLNARNFAENHSKKDKSVPPPSIHPTLAEMVASILEERNKNKDVHALSVNPARNFAENHSKKDTSVPPPSIHPTLAEMVASILEERNKNKDVHALSVNPALDVPATSLNPDMELALATGENLNASAFAENHSKKDKSVPSPSIHRTHTPAQAEEGNRESRAVIHQNEVLRPSLMERNGTARTYEWEDSVDVSGTPSCTEICRLPIPKVTYVSPLKVCELKKWTRRRKRHMWSPEEEQALYDGVTK >OMO76954 pep supercontig:CCACVL1_1.0:contig10858:7892:13236:-1 gene:CCACVL1_15274 transcript:OMO76954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, ZZ-type MQPSSSTRSIDEMQSNIDDKTVGLCNVNDDDTNQKTIVAEPPPVAFDTPTITEKKPLIEETPQLQPAAAPRKHRKKNSIGKRELAQLLIPMGRSRGNFHSADEDPTQRSRRKKNVPAGENLESSTSGQGTNEGKKALFHCNYCNKDLTGKIRIKCAMCPDFDLCIECFSVGAEVTPHKSNHPYRVMDNLSFPLICPDWNADDEMLLLEGIEMYGLGNWAEVAEHVGTKSKEECIEHYENVYMKSPVFPLPDMSHVVGKNKKELLAMAKGHNEDKKGSSMLGELTMKEESPFSPSRVKVEGGPSGRLLSGLNADVEFGIRSSSGSTKSAAVKKASNMAQVKDGYVKMEDPQMDRSFKAKKPDSSGNDGPSLLELSGYNPKRQEFDPEYDNDAEQLLADMEFKDTDTEEERQLKLRVLSIYSKRLDERKRRKDFILERDLLYPNPFEKDLTPEERAICRRYDVFMRFHSKEEHEELLQTVIGEHRTLKRIEELKEAQIAGCRTSAEADRYLEQKRKREAEETSQRGKDGVHVQVHLSGPGGPNSFMASKSGKDSNSKPTAHASSSNANDLDMMGFSETQLLSEAEKRLCSEIRLPPPLYLRMLQIIAEEVFNGNVTKKSDAHRLFKIEPTKTDRVYDMLVKKGIAPP >OMO76952 pep supercontig:CCACVL1_1.0:contig10858:1253:2237:1 gene:CCACVL1_15272 transcript:OMO76952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQLQAARADEKRLIIIMPMILALALLLIIDDQVVESTQEEAVASQARIIKGKGMVFSKKNTTTSAFARKLLQSNDEGDIGNRIGMECSKDDIVILQGTRAPLPNGIPSYSVEILNVCMSGCSISNIHVSCGWFSSVRLINPTVFRRLYYDDCLVNDGEALAPGQCLSFQYANTFSYPLSVSSVACC >OMO76955 pep supercontig:CCACVL1_1.0:contig10858:13987:20415:-1 gene:CCACVL1_15275 transcript:OMO76955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKFSPTLRIGDLSDFIAPSQACVVSLKGLKATPNKPEPQVKISNVKSQQAEPVKISLKDCLACSGCITSAETVMLEKQSLDEFLSNINKRKAVIVSLSPQSRASFASHFGIPPLQVFKKLTTFLKSLGVKAVFDISCSRDLTLIETCNEFITRYKQSQATDDEKSKSSLPMLSSSCPGWICYAEKQLGSYILPYISSVKSPQQSMGAIIKHHICQTMGFRPEEVYHVTVMPCYDKKLEAAREDFLFQAGSDGGSQEDAGVCIPEVDSVLTSGEVLELIQLKEVDFKALEESPLDRLLTNVDDGGHLYGVTGGSGGYAETVFRYAAKVLFGREIAGPLDFRIIRNSDFRELALEVEGRTVLKFALCYGFQNLQNIVRKVKMLKCDYQYVEIMACPSGCLNGGGQIKPKPGQSPKDLIKSLEAIYMENTTSKRVAERKVARFQKNISKRGSVEGSTKKGYGYGYPVGPILLGFFVFVVVGSLFTGRGRAFAKEAKSFLVKAAPNLVEIFSEDIG >OMO76957 pep supercontig:CCACVL1_1.0:contig10858:29814:30341:1 gene:CCACVL1_15277 transcript:OMO76957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDITASAKPEYPVIDRNPPFTKVVGNFNTLDYLRFVTISGVSVTVGYLSGIKPGLKGPSMVTGGLIGLMGGFMYAYQNSAGRLMGFFPNDGEVSHYQKHRFNN >OMO57888 pep supercontig:CCACVL1_1.0:contig14299:1995:2093:1 gene:CCACVL1_25661 transcript:OMO57888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKGSFDKDIATRRNAQGKDSTWCNLERGTV >OMO88043 pep supercontig:CCACVL1_1.0:contig09115:37619:38276:-1 gene:CCACVL1_08569 transcript:OMO88043 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclin B MASFIMRCLQFEADVAVGLGTSNLTNAQVRSRVVKALDAVGMAAGGSRNGGHTDIVDEPILDMDGCDSKNPLAAVDYVEDLYAHYRKMESFGFVSPNYMAQQLQL >OMO88045 pep supercontig:CCACVL1_1.0:contig09115:47350:54330:1 gene:CCACVL1_08571 transcript:OMO88045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVKGVEIEAAAKYNEAKRNMGKRWDPGTDKRYRYQRRLWIESVKIDEQREPRHDGKTL >OMO88042 pep supercontig:CCACVL1_1.0:contig09115:10597:10677:1 gene:CCACVL1_08568 transcript:OMO88042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVQDFNPLQTVENRFEPSKPTDPDGS >OMO88044 pep supercontig:CCACVL1_1.0:contig09115:42580:46578:-1 gene:CCACVL1_08570 transcript:OMO88044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin/Phospholipase A2-related protein MDISNEASVDAFSIGPSTIIGRTIAFRILFCKSMSHLRQQIFHVLLRFIYRCKDFLAPLLSWLHPRNPQGILAMVTIIAFMLKRYTNVKLRAEMAYRRKFWRNMMRTALTYEEWAHAAKMLDKETPKMNESDLYDEELVNNKLQELRHRRQDGSLRDIIFCMRADLIRNLGNMCNPELHKGRLHVPKLIKEYIDEVSTQLRMVCDSDSEELSLEEKLAFMHETRHAFGRTALLLSGGASLGAFHIGVVKTLVEHKLLPRIIAGSSVGSIMCSVVATRSWPELQSFFEDSWSSLQFFDQLGGIFAVVRRVMRQGAVHEIRQLQWLLRNLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLDPEEGSGTSARRWRDGSLEVDLPMMQLKELFNVNHFIVSQANPHIAPLLRLKEFVRAFGGNFAAKLAHLTEMEVKHRCNQILELGFPLGGVAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSHVEIQKAAIQGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLKRSADRAAAASASSHGLGSTVRFNASRRIPSWNCIARENSTGSLEEDFLTDHSSLHQGVGGSSNVPPSGRNLRTHRSMHDGSDSESENVDLNSWTRSGGPLMRTTSANLFIDFVQNLDAEAEVNKGLMVHPNSPGFQIGGRDPFIHSPRVTTPDRGSEYEFEQKDLGNRTPVNGSSIMVTEGDLLQPERMLNGFVLNVVKKEDLALTHRIHGSENYSPEVAECMQLDCPEKEMDASSASEYDDDDTVVSNCLNETVPIVNATDHSIVHDNDHQGVVDG >OMO78051 pep supercontig:CCACVL1_1.0:contig10643:2690:3112:1 gene:CCACVL1_14678 transcript:OMO78051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPWTKQLVHNWKWTLYVWTSLYVYILFLVLLISCFRPLFFPVTTTASFNSREERDIAAVDESEEALISGIEDQNRVSGLISKWQHSRRKRKAVFLDKEFSDIAGAAGSSASSMSVTREDTSASAVIEDDVGDSESVCLSG >OMO78054 pep supercontig:CCACVL1_1.0:contig10643:19699:19911:-1 gene:CCACVL1_14681 transcript:OMO78054 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MSPPGYVFDPSDKEIVTFYLPKLIEGNGSLDFLGYFKYLFKVCNVYSTAARPSLLLDFDMNYKNDNMFHA >OMO78055 pep supercontig:CCACVL1_1.0:contig10643:31162:31233:1 gene:CCACVL1_14682 transcript:OMO78055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERTLATSTERGGAKKMTHMGE >OMO78053 pep supercontig:CCACVL1_1.0:contig10643:17017:18271:-1 gene:CCACVL1_14680 transcript:OMO78053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATKPICFKDGWSVKSKIAVVERKINAKN >OMO78052 pep supercontig:CCACVL1_1.0:contig10643:15494:16716:1 gene:CCACVL1_14679 transcript:OMO78052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIYQEPDLEVRNEEEDDQQLQESINLQLLRLDSFKNNQQNRHGCATCPCNATTSKSNPMKHTFPEPNSETKPEKPFLDNRISLSGFSKLPLPQLHRSVSDRYTYTPPRTNLPERAKVMADAPFAKASVCVSASPSKPPVLRKSLSDHYPSPVKSSNSSSSNEMGLESIEEDRPSAKRLKKMKEWMEEMNQWVYECSREDGDVCNTDETKEDSEVDCEEAVRVQKIGDCLDLHFKCPCGKGYQILLSGQNYYYKLI >OMP05522 pep supercontig:CCACVL1_1.0:contig05333:5147:8244:1 gene:CCACVL1_01872 transcript:OMP05522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLGGPVLKDFMECPVGTFKNVSGSDRVLCYDCPSDELPSRALYVNVRGGVTERPCPYKCVSERYHMPHCYTALEELVYTFGGPWLFGFILLGLLVLLALVLSVARMKYVGGDELPALMPARRIDHSFPFLESLNEVLETNRTEESQSHVHRMYFMGPNTFNEPWHLPRYPPEQVTEIVYEDAFQSFVDEINSLAAYQWWEGSIYSILSILAYPLAWYWLQQRRKNKLQQLREFVRSEYNHSCLRSCRSRALYEGLKVAATTDLMLAYVDFFLGGDEKRNDLPPRLHQRFPMSLVFGGDGSYMAPFSLQNDNILTSLMSQFVPPTIWYRLVAGLNCQLRLVRSGQLKLTLGHVISWLETHANPTLISYGVRVDLAWFQPTSSGYCQFGLIVCATGNESMQQWIESQDRNLPHMEQSRWRQDLVGCVGASEHLSACQRVFGGILHAKSLWTLKMKRGICYPFSFIVCNTKPVGHQDLVGLLISILLLGDFSLVLLTLLQLYSISLLDFFLVLFILPLAILFPFPAGISALFSHGPRRSADLSRVYALWNVTSLINVVSYF >OMO85980 pep supercontig:CCACVL1_1.0:contig09553:5418:8395:-1 gene:CCACVL1_09885 transcript:OMO85980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MGKGGKSQEEANNGEENMAAWLMGINNLKIQPFKLPPLGPHDVKVRMKAVGICGSDVHYLKTLRCADFVVKEPMVIGHECAGIIEEVGSEVKNLVPGDRVALEPGISCWRCDLCKGGRYNLCPEMKFFATPPVHGSLANQVVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRAEIGPETNVLVMGAGPIGLVTMLAARAFGAPRIVIVDVDDNRLSVAKDLGADGVVKVSTNTQDVPEEVERICEVMGAAVDVSFDCAGFNKTMSTALSATRAGGKVCLVGMGHSEMTVPLTPAAAREVDVVGIFRYKNTWPLCLEFLRSGKIDVKPLITHRFGFSQKEVEEAFETSARGGNAIKVMFNL >OMO85982 pep supercontig:CCACVL1_1.0:contig09553:17215:19994:-1 gene:CCACVL1_09887 transcript:OMO85982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein prenyltransferase, alpha subunit MDSDEGDREPLSRRAEWSDVIPLPQDDGPNPVVSIAYKEDFRETMDYFRAIYQADERSPRALCLTREAILANPGNYTVWHFRRLVLQALNADLYKELDFLQQIANSNAKNYQLWHHRRWVIERLGADARAKELELNKEILSRDTKNYHAWSHRQWVLQALGGWEDELDYCHCLLEQDVFNNSTWNQRYFVITRSPFLGGLKAMRESEVRYTVKAILAQPNNESPWRYLRGLYKDDIEALVDDPQVSSVCLKVMNTKSNYIFALIMLLDLLCNGFQPSQEFRDAVDALWTSDTHPLDSDLAKAICYILEHVDSLRAGYWMWRKSKLPQAA >OMO85979 pep supercontig:CCACVL1_1.0:contig09553:1208:4584:1 gene:CCACVL1_09884 transcript:OMO85979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFNGGGNRRVFNRLGGPSTAPTDSSKNQKVCYHWQAGRCNRNPCHFLHRELPLPSSAATANGSGEGFALLNHLDGHQEFVSGISLPGLDKLYTGSKDGTVRAWDTSSGQCMGVINLGGEVGCMISEGPWLFVGIPNFVKAWNTQTNAELSLSGPVGQVYAMVVGNDLLFAGSQDGTILAWKFNAVTNNFEPAASLKGHTLAVVSLVVGANRLYSGSVDHSIKVWSLETLQCLQTLTEHTNVVMSLLCWEQFLLSCSLDQTIKVWVATENGNLEVTYTHNEEHGLLILRGMHDMESKPILLCVCNDNSVRLYDLPSFSEKGKIFAKQEIRAIEVGPSGLFFTGDGTGFKVWKWAEAKAIATS >OMO85981 pep supercontig:CCACVL1_1.0:contig09553:9194:12470:1 gene:CCACVL1_09886 transcript:OMO85981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MAHVADFKAGDDDGEKNLAAWLLGIKTLQILPYHLPPLGPHDVKVRIKALGICGSDVHHFKTMRCANFIVKKPMVIGHECAGIIEEVGSEVKSLAVGDRVALEPGISCQRCNLCREGRYNLCPEMKFFGSPPTNGSLANKVVHPANLCFKLPDNVSLEEGAMCEPLSVGVHACRRAGVGPETNVLIMGTGPIGLVTLLAARAFGAPRIVMVDVDDCRLSIAKSLGADEIVQVSTNIQDVGEEVMKIQNAMGSGSLIDVSFDCVGFNKTMATALSATAAGGKVCLIGLAQSEMTIPLTPAAAREVDVIGIFRYRNTWPLCIEFLRTGKIDVKPLITHRFGFSQKDIEAAFQTSAGGGNAIKVMFNL >OMP05854 pep supercontig:CCACVL1_1.0:contig05174:2065:2160:-1 gene:CCACVL1_01806 transcript:OMP05854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEFMLIILLFQGQIEYGLSLPIHVDYGSCSC >OMO85240 pep supercontig:CCACVL1_1.0:contig09649:19255:20772:1 gene:CCACVL1_10334 transcript:OMO85240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEVMKAELAPYYDPRTNLRYANADVFKLVRSLPNEYVQRYLALRKAQVVLK >OMO85236 pep supercontig:CCACVL1_1.0:contig09649:7494:9353:1 gene:CCACVL1_10330 transcript:OMO85236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNSSEAERWLSIAEKLLASRDLHGTRTFALRARESAPILADQILAITDTLLTAQANPQDWYGILQLDPLTQSMEVVASQYRKLALLLDPRKNRLTFADQAFRIVSEAWNVLCNPSKKSAYDNELRFLQFGHVNQLGQQQQHHHQYHQQMQFQQPQPETQALFMQQPPPPPPPKETQSFFMQTPQRETPPQVTQSLFARKSPRSNNNRDGNAALEGGQLGLNSNPPESTRPAESTWKRQVTHMGSAGPSQINQTGSAGSSHISRPEPTRTSQISRPEPIRTSHVNHTGAASSSQSNRTEPTGTGQINQTATPPPPPPPVEHTRTETDNTDTTPPSQAAEPKEPTFWTACPYCYVLYEYPRTYEDCTLRCQTRTCRRAFHAVVIPSPPVNGKDTYFCSWGFYPIGFAVNGKNVGGNFPSWSPISSMFPCPNYNNIGKQKSNKKSAPRVYYDDDDVYVDISDSSGSSENDDDDDWQGERRRKKAKSAKGKGSVGRPARKPPSERVKRGSNGLVNVGRGGNSSGASMVPEGVPIAEPSRRGVGNSGRKQMGRGAKDLGKLDLNVEFSNEVEEPAPGRSVENHAGYGEEDNIEGNAFFEGLDEFLSSLPILSVVGDDKVKAT >OMO85238 pep supercontig:CCACVL1_1.0:contig09649:13365:15785:1 gene:CCACVL1_10332 transcript:OMO85238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase MAALAPTSLSKDPSSNPTLTIFGSRLKSGQIQQTQFRLCSSFRSNTGLKLKASAEPPALTQERMAETGTAPDLFDEMKNRFLSFKKHKYMENLEHFQALSKAQAPKFMVIACGDSRVCPSNILGFQPGEAFTIRSVANLVPTYESGPTETNAALEFAVNSLEVENIFIIGHSCCGGIRALMSMEDEADSSSFIRNWVIVGKKAKLITKDAASNLSFDQQCTHCEKESINCSLLNLLTYPWIEEKVKRGVLSLHGGYYDFVDCTFEKWTLDYKGSIMEGKNRAVVKNRSFWC >OMO85237 pep supercontig:CCACVL1_1.0:contig09649:11968:12072:-1 gene:CCACVL1_10331 transcript:OMO85237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRSTPHIRCGTSRKQSQRAHKADCCSLLHPYD >OMO85239 pep supercontig:CCACVL1_1.0:contig09649:17035:17871:-1 gene:CCACVL1_10333 transcript:OMO85239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIQNTVHYCCVSKGNRTLYEYSGGDREIENLAALCLERTPPFHRWYFETIGKRTFGFLIEDGYVYFTIVDEGLENRGVLQFLEHMRDEFRSVAKKGSRGSFSGMSSIGVQEQLVPVIRRLITSLEHVSRGGDDWKAETNSSEAGPSPSPSSANAQLEAASSTKAPLLGKSSKQEKKKLKDHVIAVRDIELEEHRKSTDRGVKVDPTAMDPNNQNGASSSISLQKDLGSMRIRTSSQNIRKKWWRLVRIVLAIDAAVCLILFVIWLSICKGIECTRS >OMO85241 pep supercontig:CCACVL1_1.0:contig09649:22042:22850:1 gene:CCACVL1_10335 transcript:OMO85241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHLPELSKPELPKLEAKVPELPKPELPKVPELPKAELPKVPELPKLELPKVPELPKPELPKVPELPKHELPKTPELPKPELPKVPEFPKSEFPKVSELPKPELPKVLELSKPELPKVPELP >OMP05644 pep supercontig:CCACVL1_1.0:contig05302:4709:5743:-1 gene:CCACVL1_01859 transcript:OMP05644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MSNHRSWMYCRLDTFGYVSSEFKQGVDDFIDFAFSHVSYVFDNTIRCPCLKCANGEFHNRDVVEYHLYSWGFVGGYYAWSSHGESISGNLERVESSRSRDDPVNPYQSMVVDCDFGYNDFNRSGGTDENVEELPNPKAREFFSLLKDADESLWTGCKKHSKLSAVSQLLNCKSECNMSDSSYDRFISIVKDMLPEDEKLPTNFYRTKKMDSLGLGYKKIPACPNNCMLFYKESESLNECSVCGHPRYKPRKSSSSKHKDVPFKVLRYLPLTPRLQRLYMSSKTAKHMSWHEFNQSPEGEMRHPVDSEAWQQFNRTHPEFAHECRNVRLDLCTDGFNPFGPNAKP >OMP05948 pep supercontig:CCACVL1_1.0:contig05117:1469:1531:-1 gene:CCACVL1_01779 transcript:OMP05948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKLNENLNKRTRSINSSASK >OMO89784 pep supercontig:CCACVL1_1.0:contig08613:13932:17332:-1 gene:CCACVL1_07631 transcript:OMO89784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MSTSQNSSSALPTLSVIQNITYLVSIKLDRTNFLLWHSQLLPVLKSQDLLKYVDGSFPAPPVSIKDPADKDGSSTIANPQFVTWTRIDQMLLGWINATLSESILAQVVGLDTSHKVWSNLHSLFSMQSTARVMQLRSQLQNLQKGSSSISEYLQKVKSIADSLAAIFQPVSDTDLVLYTLSSLGPEYESFTTPISVCPDVPSFFELNSLMLHHESRLEQASQISLTNIQNTAFVAQNNHTTSFPQNNYYRGRRRGRRQFNSRSRGRNNGRSSFYPPSSTPGLLGAAPPSTNHQEWYSDTGATNHMAADPKGLTNSNNYGGVDKIIVGNGSQLSISHTGNTTLHTHHLSIPLHNVLCVPDIKKNLISVFQLTKDLNCVCSFYGDGFVIKDLETGRILYKGPCEGGLYHILTDMRRLISTAKYQSFFGNRTSSDVWHMRLGHPLHQILIKLASTNCLHVSGALSNKRICQHCLAGNMSHDFSRFGWLYPLHCKSDALSCFSHFKRMVENALSARIKILQSDGGGEYTGKLFSILLDSNGITHQLSCPHTPQQNGVAERKHRHVVEKGLYLLSQSSLPSKFWVEAFQTSLYLINRLPTPVLGGKRPYVVLFGKPPVYDHLRTFGCACYPHLVPYNKTKLEFKTRQCVFLGYGVQHKGYKCLDPQSRRIYISRNVAFDENLFPFAGQKKASVTTTHTPIILLWLFPSETSSSSIQALSTPTIDPSSDVLGSTTLKEPQHSDPTFAAELPSNEQVIEQETSMTSSSTPPDSDPPPSTSLHPMMTRLKVGIRKPKALCTTKHPLPACYSSTLEHSSSEPTCFSQASKDPRWRQAMQDEFNALLRNNTWVLVPPSTPQNIVGSKWVYRIKYKSDGSIERYKARLVAKGFHQQLGLDYDETFSPVVKAPTIRLVLSLACSYNLDLQQLDVKNAFLHGNLNEDVYMQQPQGFVDPTRPGHVCRLQKAIYGLKQAPRAWFKRFSSVLLEHGFRMSQADSSLFIQRTTRGCIYLLLYVDDTVITGSDKIGIQSLIRYLHAHFDMKDLGPLHYFLGMEVA >OMO57314 pep supercontig:CCACVL1_1.0:contig14408:19822:20280:1 gene:CCACVL1_25845 transcript:OMO57314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKGALLVLVLAFSTTLFEQGHANAFADSKWRFRGFPHRPRFPWRRHWPAFPPHPAHDSKPPEEVTKCAGAFKAAAVCHKPPIGAECCTALQTIQVECGGLKFLDPVLKAHCSSGDDAPAPPADDAPAPPTDDAVPAPPANEAVPAPPTKN >OMO96399 pep supercontig:CCACVL1_1.0:contig07480:3:10299:1 gene:CCACVL1_04975 transcript:OMO96399 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSCLAWNCRGLGASRAIHVLSQLVKCRAGGLALLWQDPSLINIVSFSSSHIDANVRSSTNREWRLTGFYGRPETSRRHESWDLLRQLSEQSTLPWMCVGDFNEILTNDEKIGGPPRSQRQMEMFRATVEFCEFRDLPIKGPLMTWSRLMSSESDHLPLHIEILDKPWVGIHYNHRFRFERMWLTHDGVQTIINGSWNVQQEMSIQQRIASCAQSLQHWDKTVFGNVRYSIRKKKRDLERYYKEAQRNGLSGPLNDCLNDIHELYDREESMWRQRSKVSWLREGDRNSRFFHSIVNSRKNQTTISSIQDANGEWQTDLASMEHVVCSYFKDIFTSSKPLHSDVEQVLGVVERRVTADMNAQLVREFTMEEIRVATFDMGADKSPGPDGMPPLFYQKYWHVVGAKVSEMALAFLNSGVSLPDVNQTDVVLLPKIDHPSSVRDYRPISLCNVIFKIVSKTLANRLREVLPFIIDPNQSAFVPGRMIFDSSIIAFESIHYMKNKRSGGEKHMALKLDLSKAYDRVEWVFLERIMARLGFSNRWVSLVMECLRTVTYSILVNGRRTESFVPSRGIRQGDPLSPYLFLFCMEGLSCLLQRAELNDRIHGVAVSRYAPRISHLFFADDSLLFLRASSEECDVVLDLLRQFELASGQQVNIDKSAVMFSCNTPGDLQEIIMHHLGIQKVLDRDRYLGLPIMIGRSKSVEFHLIKDRLWKQLRSWSGKLLSIAGKAVMIQSIAQAIPTYLRSCFRFPKTFLYELNMLIAKFWWGSTDSRNKIHWKAWDSLCTSKLDGGLGFRDFESFNMALLAKQCWRLIQDGNSLCFQVLKAKYFYRSSFMQATLGHNPSFVWRSLLAGREVIRSGSRWRIGNGQTVDIWRDRWLAKPSSYQPAPRPGIVLQNNPVSSLFNNDGYWDEDLLSELFEDEDVYRILCIPLHFSSTDRLIWNHSVDGHYTVRSGYHVVRRILGKEVAEVGARSVMWRLIWGANVVPKVKFFYVAYYSWEDFWDCLLSKASQLGSLDVVCMVLWMIWSNRNKALYEQVCKLPQSLCLAVNRFMFDFDASNRRAIGRPIQEQRVWQPPANGLVKINADAAFYQADGLAVLVAVIRDYTGRVLLSGTTKIDRVTSVLFAEVYAIRFGLVLAYSFGYTSCEDGSVAKIGVKILWKPSKCPKCKVFGHQDCFKKVEVHMMEKQWIPEVVEPVREKTPPPIDCLVLPLEQPDLHSMDSFPPLSVEKAMSFEVAIAEQAKTIEKGKMVANSHHDQRLQISVSRYAEILDDLKKDLKSHGGSADCIYVGKDEADVAHLLRHRGFKSFSKKFDASGYHHLMRKIESHSSVEDASEQALHSQFEELHKKAEDLARTTPSFTRRLLSKGKTPSQRGQYLIKDTFSDPRLNIGAFVERRIQDLGRTLSKKQSQPLIKRRIHVKEWKESHIVESRVGNHISIIYFFVVLS >OMP07822 pep supercontig:CCACVL1_1.0:contig04178:11813:11953:-1 gene:CCACVL1_01222 transcript:OMP07822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEFNGGRQAKPPLLVLVQRGGDVKFSTYSAQNIACKTASFLFYR >OMP07821 pep supercontig:CCACVL1_1.0:contig04178:3868:11459:1 gene:CCACVL1_01221 transcript:OMP07821 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Transcriptional corepressor SEUSS MVPSGPSTPIGGAQSVPPSLLRSNSGMLGAQGGGLPSQTGFPSLVSPRTQFNNMNMLGNMPNVSSLLNQSFGNGAPNPQLSGPGSSQRGGIDSGAESDPLLNVGNGMGFNAPSSSSFVPSNMVNPGSSGQVQGQQFSNLSGNHMLPDQQQSQQLESQHFQHGQQAMQQFSAPHNTQQVQQQQQFQSIRGGLAGVGAVKLEPQVTNDQHGQQQQQLQPLRNLAPVKLEPQQIPTMRTLAQVKMEPQQSDQSLFLHQQQQQQQQQQQQQQQLLHMSRQPSQAAAQINLLHQQRLFQLQQQHQQQQLFKAMPQQRSQLPQQFQQQNLALRSPVKPMYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFDQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASSNLSAPELQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETRTGPMESLAKFPRRTSTSSGFHAQAPQPEEQLQQQQQQQQQQQQQTSQQQTMAQSSNSDQSSAQASGMQLAANNSVANVNNSLNAASASTSAGTIVGLLHQNSMNSRQQNSMNNASSPYAGNSQIQSPGSSSTIPPAQANPSPFQSPTPSSSNNPPQAPHGALAATSHMSSANSPANMPMQQPALSGEADPSESQSSVQKIIHEIMSSQLNGTGGMVGVGTLGNDVKSINGMLPTSNNTVVNGGNGLVGNGTVNNNSGIAGGAYGTMGGGLGQSAMVNGIRTAMGNHPVMNGRVGMATIARDQGMNHQQQDLGNQLLSGLGAVNGFSNLQFDWKPSP >OMO90024 pep supercontig:CCACVL1_1.0:contig08562:569:727:1 gene:CCACVL1_07543 transcript:OMO90024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLEKNYKGGVNLRVKGSRVFGLTRKEGEEEEALVTLRFWVINHGECGDGG >OMO54235 pep supercontig:CCACVL1_1.0:contig15023:9548:22902:-1 gene:CCACVL1_27940 transcript:OMO54235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKKVAVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDSTPMLRNGETGDWIGTFEGHKGAVWSSCLDSKALRAASASADFSAKLWDALTGDELHSFEHKHIVRACAFSENTHLLLTGGVEKILRIYDLNRLDAAPREVGNSPGSIRTVAWLHSDQTILSSCTDTSGVRLWDLRSGEIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDANHFGLVKTYEMPCNVESASLEPKHGNKFIAGGEDMWVHIFDFHTGQELGCNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTGPVNHEENDPLPGNGPSGKVKAVAEEVTRKIESTLHIGRDVEIGERDKLIYLFRTKAFPFHFSTKHSIQTSSFSPNPPMSTFPTDLISDILCRLPVKTLLRFKCVSKPWDSLIDDQDFAKLHLHQSLKTNTNIKLLLDNRTEIDEKAYSVDFDSLCNLVPLPRPFRSEAHKYYSRIFGSCDGLLAVYHHESGIALWNPSTRKCNYLPTLGDDLTKDLDLIPRYGYHRNVILGFGYDVTNNDYKVVQMLRHKTRNHFEVMVYSLKANSWRKIKDCPYEVAYNYNDGAFVNGSLHWVGDQIGGSQFGKLIFGLRLGDEEYFEVSEAEIDHDRGIEIDFKNVGELGGCLCVFRDGSTGGFPDNVALWVMKEYGVKESWKQVIYLSRDDWDMMINIFHTRAVGYSKSGDKLLLDDGSFKPAWCNLENKTGETLYIPGAPERVSVLMINKLRMEGKAHDDTLTFVADINIFRTLRLFLVKFLRNTPNLLFLAKSRRAMSTFPTDLITDILCQLPVKTLQRFKCVSKPWGSLIDDQHFAKLHLHQSLKTNTNIKLLLDNCIEIDEKAYSVDFDSLCNLVPLPRPFRGEAHKLQSRIFGSCDGLLAVYHHESGIALWNPSTRKCHYLPTLSDDLTKDLDLIPRNGYHRNVILGFGYDVTNNDYKVVQMLRHKTRNHFEVMVYSLKANSWRKIKDCPYEVAYNYNDGAFVNGSLHWAGDHIGSRFGNLIFGLCLGDEEYFEVPEGEIEYRDFDYKNVGVLGGFLCVFRDGSTGYVEDNVVLWVMNEYGVEDSWKQLIYLSRDEWAVNIYHTRAVGYAKSGDKILLDDGGFKPVWCNLVTKTGETLYIPVKTLLRFKCVSKPWGSLIDDPDFAKLHLHQSLKSNTNIKLFLDNCTEMDEKAYSVDFDSLSNLVQLPRPFRSEANKYRSRIFGSHNGLLAVYHQEAGIALWNPSTRKCHYLPPLSDDLTKDLQVIPSFYGMNNDIILGFGYDISINDYKVVKMLRHKTRNRFQVMVYGLKANSWKKIKDCPYDIPYNYNDGAYLNGSLHWEAGELGDESCFGDLIFGLRLGDEEYFKVPECDNEVRDFDYKNVGELGGCLCVFRDGSTGWVADNVALWVMKEYGVKESWKQLIYLSRDDWEMMINIFHTRAVGYSKSGDKILLDDGGFKPVWCNLETKTGETLYIPVKTLLRFKCVSKPWGALIEDSKFTKLHLHQSLKTNPNTKLILDNCRESDEMAYSVDFDSLNNLQQLRRPFPVEARPFCCNKYHSRIFGSCHGLLAVYHRESGIALWNPTTRKCHYLPPLDDDLTKDYDYHPGYHYDRNTVLGFGYDVSNDDYKVVKMLRSKTQKCFQVMIYSLKANSWKRIKDCPYDIYTNYNDGAYVNGALHWTGDTIGDDNYYAKLIFALHLGTEEFYEVPEADIEFRDFDYKNAGELGGCLCVFRDGSTGMVGDNVVLWVMKEYGVKESWKQVIYLSRDDWEMLINIFHTRVVGYSKSGDKILLDDGDFKPAWFNLESQTGEAFYVQDILLRLPVKPLVRFKCLSKQWGSLINDSNFAKLHLRQSLKSNTNIKLLLDNITENDENAYSVDFNSFNNLMQFPRPFTTEWNKYQSRIFGSCNGLVAVYHRQQGIALWNPSTRKCHYLPALDDDIIIDDDAIPDYEYDNNTILGFGHDDVSNDYKVVELLRSRTSNRFKVMVYSLKSNSWKRIQDCPYEIPYNYSDGAYTKGSLHWVGDKINDESGGGGTLIFALDLGTEEFRQIPTCDADSMPFYYKNVGVLGGCLCVFRDCSDCSGMDHVVLWVMKEYGVKESWNELIYLIRDYWMTNIFHTRALAYSRNGDKILVDDGSGGPVWFVIEDESGERLCIPGAPQFFSATIYVDSLVSVN >OMO54248 pep supercontig:CCACVL1_1.0:contig15023:94803:96212:1 gene:CCACVL1_27954 transcript:OMO54248 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate transporter MAIDQGSQYPSSSSTTTTPLLLHRQPWWRRPFQFKNSFSSELSGAVGDLGTFIPIVLTLTLVSHLDLSTTLIFTAFYNIATGLLFHIPMPVQPMKSIASVAVSETPHLTTSQIATAGASTAIVLLVLGATGLMSTLYRVLPLPVVRGVQLSQGLNFAFSAIKYIRYNQDFVASKSTTAREWLGLDGLILALSSLLFLIIVTGSGDQHTLEDDESIDNEPRSRISRRLRIFSSIPGALIVFLLGLVLCFIRDPSIFNDIKFGPSKIGFLTITWEDWKTGFLKGAVPQIPLSILNSVIAVCKLSGDLFPDREISAAKVSVSVGIMNLVGCWFGAMPVCHGAGGLAGQYRFGARTGWSVVFLGIGKLVIGLVFGNSFVRILSAFPIGILGVLLLFAGIELAMASRDMNSKEESFVMLVCAAVSLTGSSAALGFVCGILLLLLLKLRRMDCSRSTFSKFKFGSATDNQTSSIP >OMO54242 pep supercontig:CCACVL1_1.0:contig15023:66973:69227:1 gene:CCACVL1_27947 transcript:OMO54242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECWFDDACILDMDYFVKTISGIKAKGVRPDLIGSIIAHYASKWLPDLASDDSEKTLPSLEQSSPESVTASWMKKRFFVETLVGILPPERDSIPCNFLLRLLRTANMVGAEPTYRAELEKRISWQLDQASLKELMIPSFSHTCGTLLDVELVIRLVKRFAALDEGARSGVAALVKVAKLVDSYLAEAAVDTNLGLDEFVALAGALPSHARSIDDGLYRAIDTYLKAHPNVSKPNRKVLCRLIDSRKLTPEASLHAAQNERLPVRAVIQVLFSEQTKLNRQLDWSGSFSGTRSPNPGLEPPARCLSKREMNAQQMEIKRLKEDLLRVQSQCIAMQMQMEKIMEKNKRGFFRWRKLSIMPSFKSSVSVIEKIDEEGEGEVAFARQTPMVMDMKTKLVRGRTPPNPKYWRKSMS >OMO54239 pep supercontig:CCACVL1_1.0:contig15023:36800:40880:-1 gene:CCACVL1_27944 transcript:OMO54239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epoxide hydrolase-like protein MDGGGESEKDPTDGVFHGGDVGFATRIVSAVAGGDRRYHGTFPVTLQLHVRVYSMCDGPALSHGPYLGTVTVCSFSSILLLSVKACVFTVNSQIEAAEASSGYSPLARQRLHLKKSWGMPVLFLSSVVFALGHTVVAYRTSCRARRKLLFHRVDPEAVLSCKNVFSSFQKVPRSPTPSAGKTPKSDGETRRKPFGQSRDEGELPVRLLADVDSLFITLQGLTVHYKLCFPGSPPRSLSSTTFLEPKLNCTPQVAPGKLKLERQALSVLSKTQYNHLHRSYSNQFHSSSLYAPLLDGSPTSPVLSEDIPVLSLDDTVAEVETSHLSSGALEQDIEANGQFGIVLVHGFGGGVFSWRHVMGVLARQVGCTVAAFDRPGWGLTSRPSRKDWEGKDMPNPYKLETQVDLLLSFCSEMGFSSVVLVGHDDGGLLALKAAQKIQSSMSSFNITIKAVVLLSVSLSREVVPAFARILLRTSLGKKHLVRPLLRTEITQVVNRRAWYDATKLTTEVLSLYKAPLCVEGWDEALHEIGRLSHETILSPQNATSLLKAVEEMPVLVIAGAEDALISLKSSQTMASNLVNSRLVAISGCGHLPHEECPKALLAAISPFISRLLLKPELQRQ >OMO54241 pep supercontig:CCACVL1_1.0:contig15023:62656:65380:1 gene:CCACVL1_27946 transcript:OMO54241 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP/ATP carrier protein MAIGFMLLYTKLANVLSKQALFYTVIVPFIAFFGAFGFMLYPLSNYIHPDALADKLLAVLGPRFLGPLAIMRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVDEAKKFYPLFGLGANVALIFSGRTVKYFSNLRKNLGPGVDGWAISLKAMMSIVVVMGLAICGLYWWVNRFVPLPTRSKKKKEKPKMGTMESLKFLVSSPYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQFIFDKYGWGVAAKITPTVLLLTGVGFFSLILFGNPLAPALAQFGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLAAARSLDGQFTALRREEELEKEMERAAVKIPVVSESGNGSLASGSLNPAAGDSSETSTPSSI >OMO54245 pep supercontig:CCACVL1_1.0:contig15023:83892:83996:-1 gene:CCACVL1_27950 transcript:OMO54245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLRLPIKPAKTEDHKYTWRVKSSGGVRVPGAI >OMO54240 pep supercontig:CCACVL1_1.0:contig15023:53355:58618:1 gene:CCACVL1_27945 transcript:OMO54240 gene_biotype:protein_coding transcript_biotype:protein_coding description:monoglyceride lipase-like protein MQPYVDTEKLGNFWGDMPEEEYYTSQGVRNTKSYFQTPNGRLFTQSFLPLDQKIKASVYMTHGYGSDTGWLFQKFCINFASWGYAVFAADLLGHGRSEGLRCYLGDMEKVAAASLSFFKHVRYSEEYKDLPAFLLGESAGGAATMLMYFQSEPNDWTGLIFSAPLFVIPEPMKPSKVRLFMYGLLFGLADTWAAMPENRMVAKAIKDPEKLKVIASNPRRYTGPPRVGSMRELARVTQYIQANFSKVAAPFLTLHGTSDGLACATGSQMLYEKASSTDKCLKLYDGMYHSLIQGEPDENANLVLKDMREWIDERVESVRDRKNSQDFGNIQRGALSGVASAHFPVTVHLNVTIQF >OMO54244 pep supercontig:CCACVL1_1.0:contig15023:78686:78856:1 gene:CCACVL1_27949 transcript:OMO54244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRQLHVLATASNDIRNGSEYEQAKFIPSQGGTTMKHAGIKVKTELSQVGSKVEN >OMO54238 pep supercontig:CCACVL1_1.0:contig15023:33678:36220:1 gene:CCACVL1_27943 transcript:OMO54238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGIPSPFEDGVISDPLYQSIQIKLN >OMO54246 pep supercontig:CCACVL1_1.0:contig15023:85575:86589:1 gene:CCACVL1_27951 transcript:OMO54246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSAKTPRILINPDHPNLLHPKPYSSKSLCFSNHRKVREISSTKPHQQLSVLNSASFNTQDPNSHLHLLCLHGRLQQALSYLNSMQELQIPLDEDAAVAMGCRMMFQFLIP >OMO54243 pep supercontig:CCACVL1_1.0:contig15023:72085:77418:-1 gene:CCACVL1_27948 transcript:OMO54243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTRERDRREKNVVNNLPPIIEWEERAASPRPATPYRQGIHTPSSPLCFEAFFQASSSTTTLPTQDEHHMQSFQDDVNPVAPVPTSFEAFDASKNPKAVVNKDKRCRKRRKEIKEEESVEIGLDKNEGVAFSSTAGAFDASLSKDQRYRLRLKEKQKFKDEEIDRLSSELKAKNKECASLKEKEQFKDEEIVRLHSELEAKNEECESVKEKEHFKEDQIKRQQEEIARILSELEAKNEECASLKEREQFKEYQIKRQLEEIAWILSELEAKKEECASLKEKVKDKDEALEHLTDEAFRAIYP >OMO54237 pep supercontig:CCACVL1_1.0:contig15023:28699:32923:-1 gene:CCACVL1_27942 transcript:OMO54237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLTEKNPQEKTQDFKWGIERGVGVKKKDIMFYESFTYKGTEYFLYDCVYFNDGSQTEAYIGKLVKMYEGSNRVKKVKVIWFFRPSEIRKYLGDYQPRWNEIFLASGQGRGVSNINLVEAISGKCSVVCTSKDSRNPQASKADLKWADYFFCRHFDVGKLAILDVLPDVVEGVKVEYFFNKKPVQKPLGPPNLKSTIKEKTGISNFSFKLKLEKSVGNGVKDVNSGSRVNLLTKESKSVPIDMSKQVDLPIQNSPPRRKTSVPNDGSPHGGSSHYQAQNKDDKAEVTNPKDPLSITAEVQPYKKRKLLLDEMTSSKFDKLDCQPGEDGSISIDNQFVQVSRRPDAPWEQRLERAQEEGCLVSLENLDASYSSEEVEDILWNVFKEKVDAKMIEKSNVACPYYGKALIIFKSKEAADSAISQLMKRCLVLSDGRPVIARKGTLTKPDKSAGFVGHHTIGRVPFHKQSYERKAKSTSHATQPNNVEYEMAMEWRMLQEKSDICWKALHEVLLT >OMO54236 pep supercontig:CCACVL1_1.0:contig15023:24544:27061:1 gene:CCACVL1_27941 transcript:OMO54236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A MSGKGAKGLITAGKSTKDKDKDKKKPVSRSSRAGLQFPVGRVHRLLKTRVSANGRVGATAAVYTAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >OMO54247 pep supercontig:CCACVL1_1.0:contig15023:89542:92555:-1 gene:CCACVL1_27952 transcript:OMO54247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDYGFAVEEMVVDEGLGYPRAYAKICRDRSLGPYNHGPPFTFTPYALQQNESLRAKELEQMFPVIDPKARPTAKPKIFINLLWKQLSHLGNAGFDPEEIRVDPYGNVLYYHADSASPLSWDIDHWFPCSRGGLTVASNLRILQWQVCKKKHNKLEFQVPWWDFQLGISVNQFLSIFASRNSDFRHRAFSYLFAEGENEEINSSQTVESHSFPQHFMQSKEQIGLAPAAVVVSRKELYDSSSTLKALDYNRQMIRPQSPIIAARKMKPLKENENPDFVTNPYQAIVMARDSLKQREETHKMQTEIQKLDEEVNEMRRKNDEEKISIQDLEVELIKRRRRAEKCRRLAEAQSSYRTMLEKMIRDAMHQSVVYKEQVRLNQAAANALMARLEAQKAICDAAEKELHKKFKQRDELEKQIRPEWEHARKRSRMDDTLFEGEDSKSVLYLPGIRPRTPSHKELRVFLEEEQKASVGGLSSNEDQKHEEIEEELAITASNAIRERRQEHDKAIAALEDEKSIEQKFQSLEIEEERQESEFPVVEEAEREEDEESRKQRGKGNVERWLQMLLENTQEELDPQNADSEVTGTDEIIKKLDLKYPKKEKETVTQIREKVVEQKKEEIVEIEGSKTPRRRSYAWEAASASEGVGSRKERLEREQTLREKDVLKKKEENVEIENSKATRRSSYAWEGPGVSEGVGSRKEKTEKNRKERNLMRSESARAFRRIPSSPSLILGMKKGVECMRKKPIVTSDDEEDHAVGNSFLRSSIKTIKKAVKI >OMO54249 pep supercontig:CCACVL1_1.0:contig15023:98973:102122:1 gene:CCACVL1_27955 transcript:OMO54249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEMFIMGLSNYVPEVEYEFRRESNSDVEYKYERMGEVKKYCKSVLQSASEFKPEDNRIADIKEELNFGYGDWRQDIGDAPIMPFDDREIPKNSSQAPSNIASFWITDVDHAHQTKKSVSISGILMIGRTLDTSFAERPYEGSPRFQIWPSHTQLAMSFEGIYTETKQNGGERVVCLLGDAMLPSRESDSNDPWEWLKGSDMNYNQVPLLQDDQILLVLHYPLIHTLTNRVIRGEMKSLNPKSNAKFFDQVHILAQMLKSTRYEFGSDKIVSKACDPYPYQDSLIDAGIGIYKGNGFCAILEQVTNSGAFTVVPNWKCNGTDDYCSKLGPFVSDKEIKATNGSFKDIILFMQDVRCKTTHGLRNASSARVAAVFRAAPATEDQYRVRWRSGLSNMTLAAEGIWNSSSGQLCMVGCLGIVDSEGSTCNSRICLYIPLSFSIKQRSIVVGSISSIDKSNKVYFPLSFERLARPTELWNYFRSSHPYYSYSKLRQAGAILEKNEPFSFGTLVKKSLLQFPKLENRDSFFSSLSFLAEDLTLQISAVPDPLPNSHPPRVFVQMDILSVGPLFGRYWYSRNLTTSEEEMPYNTKAEYTEKQLLLNVSAQLTISEKDYSNFSVLFLEGLYDPRFGRMYLVGCRDVRASWNILFQSMDLESGLDCLTEVIVSYPPTTARWLVNPTARISIASRRTEDDPIYFGTVKLQTLPIMYRKQREDILSRRGVEGILRILTLSLAIACISSQLYYLNQNPDSTPFTSLVMLGVQALGYSIPLITGAEALFKRAASDSYEMQSYDLEKSQWLNMIDYTVKLLVLVMFLLTLRLCQKVWKSRIRLLSRAPLEPHRVPSDKWVFVATLTIHVIGYIVVLIIHTAKTSQRPLQTDKFIDSRGQSRTLREWEIELEEYIGLVQDFFLLPQVIGNVLWQINSKPLRKLYFLGITVVRLLPHLYDYIRSPVPNPYFAEEYEFVNPTSDFYSSFGDVAIPLTAVFLAVAIYCQQRWSYEQLSRILAFRQYRLLPAGSRVYERLPSKSMETELASDVNGNTSNKLDSDDEE >OMO99686 pep supercontig:CCACVL1_1.0:contig06834:8510:12675:1 gene:CCACVL1_03679 transcript:OMO99686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MSQSEETPISDITPSDSAPHLGLHSPDPDPTPIPDPDLNHSAEESLLHQNNLHQQLQDLDLKAEEEEEAAAEEKDDGDRESDKDADVYAAGEEEEDDDDDEEKNENENDDENVKKNEGRRSHYPVRPEAEDCAYYMKTGLCKFGSNCKFNHPVRRKNQAVKEKIKEKDESAEKPSQTECKYYLRTGGCKFGKACRYNHSRAKNSAAPILELNFLGLPIRPGEKECPYYMRNGSCKYGANCRFNHPDPTTTAAGDPPSAYGNGGSVSSQAASQVNMPSWSSPRTVNETAAYMPMMFPPTQGVPPPNPEWNGYQTTVYPPAERGLHPTPAYVMSNPSTESTVYTHHQPQMVVDEFPVRPGQPECTYFMKTGDCKFKSNCKYNHPKNRVAKPAPCTLSDKGLPLRPDQSMCSHYSRYGICKFGPACKFDHSIQAAPPPEPLPFSNSAATEPSGFAGSNGTDTAVKQPV >OMO52240 pep supercontig:CCACVL1_1.0:contig15555:35749:40124:-1 gene:CCACVL1_29297 transcript:OMO52240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGSSSSRLGSNPSRGRLNRRPKRRSGLSSLFICGGSSSRAPLEMEDYPADILVKPAEQCDLVTNMVQSPLEESTSICSMEARFSSTGTETGISAESSTTASGDSSIEGSSRDVETSNCRRCFTERMVSADYSRGESHRDSSTSASTSFKEQQSSDPVSVNLSTNEDAVSGLENANEGASQIRPEPSILSTQRLEDSHSRGIPIENQLGDVTTVHNPGSDSAPPASEPVTFHSLGGESIRETIPSGLGFLVSNRERGRGDGSVLHVDVVSISSNILSGSSADASNREARRNSRRLFWDAFSRRSSRRLNDSPSIFLSTDDSDDPGFHDRWLLDFSGDFFYDGAGGDSGYFSSRIHSLNERRRHSRSEIWERLRGGHDENSRRTTFCPSGLHPDGTCSCDSLLMTDESTARASISRIVMLAEALFEVLDEIHRQPVSLSLSMMSLPAPESVVDSFPLRSHKKVDAAEAGDEAQCYICLAEYEEEDKIRVLPCHHEFHMSCVDKWLKEIHGVCPLCRGDVRQGVESSVSNSEIPSL >OMO52239 pep supercontig:CCACVL1_1.0:contig15555:32994:35058:1 gene:CCACVL1_29296 transcript:OMO52239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSYTPTYYSTLHDSITSLCKTILPFSFKKRRLTAAEHRLSKLQSDNLKWQQDSFHQILKLVGLHKEGILGEAEVAAFRTHLLETLIASPSEIEQPVILRDKLVFLQELLYAKCISEEEYHCSKRPLLQRLAVQGAEIDSRDLIVANPKDSKENQEEEWSVIDLKDENKDNASNSKNKSKNNSTMKQIKGAASVFGFGSSQKPSKNRSEKSIFDVESNSSAFMMSSRENPFWDSNLKGKESETKSILMQEETLPTESVKENGSGADKAKRKPFRTLFHREQRDGENVPGSEEKSSKSAKKQWGFDGFKKWKRNDSEDETAPLPLDERSDSEAFSDSCQLVATPIGEGPDTKQIKRKLHANGAPSDFFIDKVLGDKIKKELSRIQTELSTTNPNLQFSNDQMEAISTTLPVDKADLKKFFPKSWCDRYGDVVLDVVKKEFKEHVGEMENMRNATREKHHNNSMRWTTFEDDENCHPNLFANSNSYSSTKEDSRNNPFSYDYSDNNKKLRPESAFFQDQNPFWNPRHG >OMO96056 pep supercontig:CCACVL1_1.0:contig07541:6451:9203:-1 gene:CCACVL1_05096 transcript:OMO96056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDFQKLLMRMSCNGCRVLRKGCTETCSLRPCLQWLPTALSQANATLFLAKFYGRAGLVNLINAGPQNLRPGIFKSLLYEACGRIVNPVYGSVGLMWSGKWHLCQAAVDAVLNGAPIMQVSLDSELTDPSLALNKLSCDIRHVSKEKDQKSSDDALRKIKSRGRFKRSAPKPKVVKEEVYESASHESGLSLGGDGGGEGDAVSVETVEASLAKTDESADGSDLELELTLGFEPRPRKRANGCDGAACGMNLVKGLC >OMO96055 pep supercontig:CCACVL1_1.0:contig07541:1126:1919:-1 gene:CCACVL1_05095 transcript:OMO96055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFLRCQGVELFLHYLSLESLVGSGYMELLTVSTVFDGGHRAIVFNRIKGIKDEVKIGLRVLTRPDAAKLPTIY >OMO96057 pep supercontig:CCACVL1_1.0:contig07541:9522:9617:-1 gene:CCACVL1_05097 transcript:OMO96057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIWVCEINGVEELEKPLIAEEEQGVVSFRS >OMO56944 pep supercontig:CCACVL1_1.0:contig14460:127746:130924:-1 gene:CCACVL1_26158 transcript:OMO56944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDAAEVAQTPTYPSSFKVHHMMCTELRRFVDRIVRIFPEIEAARPRCTTGINALCLLNSALERAKLLLQYCSESSKLYLAITTDAIVSRCVKSRNLLEQSLCQIQGMVPVKLAVEISQIVDELRAANFMADKFEEEAGKVVRELLQRGAAASDSMEYAEMKALQTAAFRLHITSSTAILIEKRAIKKLLEKVGDADQQKKKILKYLLYLLRKYANLIISEQIDNTSDQKEGAFAVNNPCSNFGQTQSVDVESYTEYKRYDAHDDRLSSALPPEEFKCPISSRLMYDPVVIASGQTYERFWIQKWFDDGNDRCPKTNKKLAHLSLTLNAAMKDLISKWCMKYGITIQNPSMQPNVFQSLENSSTSIASLGSSMNDLRFPVDISSISLASLDTSYTSDSSRNKIADVISLKREQTRDEDDLCQYQSHINSSKMDLEDLSSLAELDLESQYKMLEDMRNRLRSDDLACLSMTSKNFIEPLIEFLSSDRGLHDKRALKAGFQLLLTFLSKHRNGVRLLHKDAYSLLSSFLDSEVTKEVLDIMEVLSGHSSSRSKIVSSGALVSILNILISKITDFQECVIKILCNLSLNDDVCSNLVSLECIPKFVPFLEDRTLARHCIALLRNLCSSHEARASITQATGCITSVAALLETDSYENQENALAILLALCSQSVEYSRLVMDECDNIIPDLVDVSVNGSEKGKVSALEVLRLLRDTSPSDDEQEYVQAENVISEDVNNFSKDKKSHKSLFGVKLPIFSKSNAQKKKK >OMO56937 pep supercontig:CCACVL1_1.0:contig14460:89130:91939:-1 gene:CCACVL1_26151 transcript:OMO56937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MSSSCAVSPSVRTEFVNPISKRFLAPSRIYNQPRSKCEMSRRNFAKGIVASGVSVMGSSLTTEPVQGVERLPFKPEGYNFWTWRGQKIHYVVQGEGLPIVLIHGFGASAFHWRYNIPELAKRYKVYAIDLLGFGWSEKAIIEYDAMIWRDQVVDFLKEVVKEPAVLVGNSLGGFTALVSAVGLAEQVVGLVLLNSAGQFGDTKAKTTKPEETALQKFILKPLKEIFQRVVLGVLFWQAKQPARIESVLKNVYINTSNVDDYLVESIKMPADDPNAGEVYYRLMTRFMLNQTEYTLDSFLSKLSCPLLLVWGDLDPWVGPAKASRIKEFYPNTTLVNLQAGHCPHDEVPELVNKALVDWLSTLEAKPSLQTV >OMO56934 pep supercontig:CCACVL1_1.0:contig14460:77084:79203:-1 gene:CCACVL1_26148 transcript:OMO56934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic pyrophosphatase MANNGGEAMSGKSASFSRVALNERILSSMTRRSVAAHPWHDLEIGPGAPAVFNCVVEIGKGSKVKYELDKASGLIKVDRILYSSVVYPHNYGFIPRTICEDSDPMDVLILMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYTDIKQLPPHRLAEIRRFFEDYKKNENKKVDVEDFLPAEPAIEAIKYSMDLYASYIVESLRK >OMO56942 pep supercontig:CCACVL1_1.0:contig14460:115388:117499:1 gene:CCACVL1_26156 transcript:OMO56942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSIMRELKEMKDGIGNISKRGGDNKIWRNRTRSHVAPDQAGVESESTEQSPWANLPPELLLDIIQRVEESETAWPARAVVVFCAAVCRSWRDITKEIVKTPEQCGRLTFPISLKQPGPRDAPIQCFIRRDRATSTYLLFYGLVPSEGENDKLLLAARKVRRATCTDFVISLVADDFSRASNTYVGKLRSNFLGTKFTVFDSQPPCDSMSPSTIRSNRRFHSKQVSPRLPACNYSIGTITYELNVLRTRGPRRMHCILHSIPVSAIQEGGTAPTPSAFPQSFDEQLSPLPSSKGKEPATGTSSPSLPATPVFSPGAGEPLILKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPSHNISPEEQEKVTLQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >OMO56930 pep supercontig:CCACVL1_1.0:contig14460:50818:53880:-1 gene:CCACVL1_26143 transcript:OMO56930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIALHASPTFAAEGFLAARDNKPSLFAHYIRSRCGKSRFRVQARKAGSRSWNPNKISRLDFVEQEQLERNSVIAVSEEEDEELLTSLSGDESSFLSLSVKPDRNMALLDDYEMEELDFASHTNHRSGYVAVLGKPNVGKSTLANQMIGQKLSIVTDKPQTTRHRILGICSGPEYQMILYDTPGVIEKKMHKLDTMMMKNVRSAALNADCVLVIVDASKVPEKIDEVLEEGVGDVKCKLPTLLVMNKKDLIKPGEISKKLEWYEKFTDVDEVIPVSAKFGHGVDDIKEWILSKLPTGPPYYPKDIVSEHPERFFVAEIIREKIFMQYRKEVPYACQVNVVNYKSRPNAKDFIQVEIVVEKDSQKIILIGKGGKALKTLATAARLDIEDFLQKKVFLEIQVKVKENWREDEGLLRYYGYGGQIRAL >OMO56939 pep supercontig:CCACVL1_1.0:contig14460:98019:98927:1 gene:CCACVL1_26153 transcript:OMO56939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSFLSYVELKKQAEKDVAEEDLDMEKGQTQLNPIDEQNLSQFFQEVASIKITMEEITNLVFDLQTLNEETKSTHSAKVLRGLRDRMEADAVSILRKAKIVKARLESLDKSNITNRRISEAYKEGTCVDRTRILITNGLRVKLREMMNEFQGLREKILSDHKEDLKRRYYNATGEFPTEEVIEKMVSGSGDKVQLFAEKVGMDLRSKERHEAVRDLQRSLQRLHQVFLDMAVLVETQGEKIDDIEENVANAGEFISGGTNSLYYANQMKKKKKAWVYWVWGVGLIILLVCIISMLTS >OMO56926 pep supercontig:CCACVL1_1.0:contig14460:23022:24554:1 gene:CCACVL1_26139 transcript:OMO56926 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MDVEKVFHMTGGVGKTSYAENSSLQKKASDMVKHLTMETIQELHHALTPKSLGIADLGCSSGPNSLSLIKDIVEVVEGISHKIFQPLPEFLVYLNDLPTNDFNSVFKSLPDFYRNIKKDRNEEVTPSIFIAGYPGSFYGRLFPNNCLHFIYSSYSLHWLSKVPQALYDEHGKSKNKGNVYISGSSPPSVSEAYWNQFQEDFSLFLKSRSEELVTGGRMVLIILGRIGQDHVDRGNSFFWEILSRSLAISVSQGEIDKEKVDSYHVHFYAPSTNEIEDEVKREGSFQVEKLEMFEIEREVKNGGSYGSAVAMTVRAIQESMLCNHFGDDGIDLDTLFNNYGKIVDEEMAKEEIKPITFVLVLKKL >OMO56928 pep supercontig:CCACVL1_1.0:contig14460:37021:38000:1 gene:CCACVL1_26141 transcript:OMO56928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase type 2/haloperoxidase MIKASVFRDSNGDDDDSNTRIQVLEQEAFIDGSTRAARLQGLERTLNRLSKWLVAALFGGVLLWRHDTEALWAAMGSVVNALLSIVLKRVLNQERPVAGLKSDPGMPSSHAQSIFFTVVFIIASILEWLGVNQLSVSISVLALAFGSYFSWLRVSQQLHTMSQITVGAIIGSMFSILWYMSWNAFVLEAFNSSLLVRSFVLLGSAGFCLGFLVYVIRHWLKDER >OMO56929 pep supercontig:CCACVL1_1.0:contig14460:41603:49731:-1 gene:CCACVL1_26142 transcript:OMO56929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 5 MEEDRTLALPSKLFPKFGSYPTRTGTAMVYGIRLGSFRDSPSQLKTQKTEREKMKHLGLGIFLAVLFTVHVEAGDYFIRTRGTHFLLNGNPYYANGFNAYWLMYMASDPSQRPKVSAAFREAATHGLTVARTWAFSDGGYRPLQYAPGSYNEQVFKGLDFVIAEARRYGIKLILCLVNNYESFGGKKQYVNWARSQGQYLTSDDDFFRNPVVKGYYKNHVKTVLNRYNSFTGMHYKDDPTIMAWELMNEPRCTSDPSGRTIQKKRLNPSMDIGTDFIANNRIPGIDFATVHSYPDQWLSSSSDQYQLSFLNNWLDAHIQDAQFILRKPVLFTEFGKSWKDPGFSTYQRDQLFNTVYYKIYSSAKRGGPAAGGLFWQLLAEGMDSFKDGYEIILSESSSTANIITQQSHKLDQIPEGIKVMSFFTSTDGSSSVSGGSHKDDAPARQPKEAKVDEGGEGAFHATSFPSNQGMEKKVKEMSEHLVKATLQHWMIDCRYPLFKHFNQEDLKKATFKSLATFVLSVLDAEDLERPHCYDKIREQMNKFYEANLKDLKDDQDPFGHFVQGKMEFKDFPLLNEHDIGNIFSCYLHGSQWEKGYPFRCWPIPVLYGLQWEAEVKSFKVMIHCEKKIMQLFFIKVLIILTHYSGYRRRLSIISWILQERCCLSLASLRKSSEHLGSSLRNEDLDDEFAEDTNDEFASPDAEVVGTRHSYLMYGTDGSLLELQKETESEIMNELNKHCSDSELESVEEIRRTLRGLVRGKLYSVRVGYSKVTSALDWGSFGFFSEDIYDMVNIADAIRKIKGHRYISKFHIVEAFDYFEQGICSLIKTLRGELELQVYEEVATHPPYNVYESAGDLAKIHHGSKEKSIDSVVKVEHMVIATFFQISDSTDLEVFSYQSCVKEFLKAMDTYRKDNRGAKINHTENLRGLTSLTRNLKVGYGYYQTREPKLGQKRDEG >OMO56927 pep supercontig:CCACVL1_1.0:contig14460:29985:30713:-1 gene:CCACVL1_26140 transcript:OMO56927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFDFDNVKAEKVKAMRRYNRLRSLATVFRCFELILALLFVAWTFERLPLTVEISVKFLLGIGGVIASPLFVFLVCNIIIFALVAQSGIFSAVHNADSHLYEEIIKTAESRSKKESQDEIVFRDKEIISEVNMRSRVCEQMEPELESETETDSDADVDNPRVYRRSKSENLVVKEKQSEETLKKELRRSETEKCRSTQHADDDKLFPEDDLSNEEFQRTIEDFIAKQLRFRREESLSIVPA >OMO56936 pep supercontig:CCACVL1_1.0:contig14460:85075:88218:-1 gene:CCACVL1_26150 transcript:OMO56936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSRSSKASQQRRSGYEPSDTETEWHESPWHDHNNQKNNGTSNLHEAEKLDLDLPRKNLSPLKLNRRHNSSKVSPPRTTGPLPRRHSSKSPYKTTRRSDDVRNISPLPKPEHRRHVSPYKNHEKSRKTPTRDERPTIGQLLDAGRTNENPNYFRRSVTAPRQRGREKEQQKNHERAASPISSNVIRKQREVSNVKAPPSVGEINEMVANAKISKSPIYNAAIFESTESISPGDIFFSRDGVPMATQKNVLPNNGLPKPPMFAQNDSAAANQRMRSGNGKVDPKARGSSSSAAGLSRTSRQSSTSLNGFTCHKQEAQLLKQLVSDENCPNILFKGPSGSGKKALTMAFLREIYGDPCWNDKKPTQVTVPLASSAHHVELNVNLETNAKYALMGLVKEISTNYAIPPEVSTLNFKADYKVIVLYEVDKAPENIHHLIKWIMDCHSDSCKFVLCCEDDIDILESVKNRCKTIKLDAPVTHEIMEVLIQIARKEEFDLSMNFAAKIAAKSKQNLRKAIMALEACKSHSYPFADDQPIPFGWEEVLTEIATEILADPSQKRLYFVRPKLQKLLVDFVHPKLILQKLIEHFLKHVDAGLKRELYYWHAYYDKRLPTGTSALLKLEEFVAKFMGIYRKSSGNHQFA >OMO56943 pep supercontig:CCACVL1_1.0:contig14460:119216:124671:-1 gene:CCACVL1_26157 transcript:OMO56943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSLPSLESCNYNGIDMTVLERQQARLKWVQQQQQEQNYVVQNNSNPVELCASSVPQFQALFASSLGSRQLVEQNRPEVYLGSDEFPKFVNFSVAGHGPDFVVAAPKAAADYSISRTSSCQMTAFQSAGMKEDGDNVILDKMESTTCGESLNKRKAEAKTKCSTEVSRNSSKENSKASEVQKPDYIHVRARRGQATDSHSLAERARREKISKKMKCLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAALNPSVEFNFDNLPAKEFPAYVANFPAAAKLPAAMANLGCLQFNPLQKEAVSCTVDATMHPPQTTNEGIPSVPASIPEQAISSSCITILFLESNQAQDLERFPFPAKMATESSESEEEGKITGGNQHLIVEDDLREMGKKAAWSVSSCKSGNGVSSLRDDNLETYWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKTVELVKPTGWVYLSLSGNDPRETFVNTFMLQIAVLSNHLNGRDTHVRQIKVYGPRPNPIPHQPFQFTSMEFITYSTVR >OMO56925 pep supercontig:CCACVL1_1.0:contig14460:7243:10532:-1 gene:CCACVL1_26138 transcript:OMO56925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKGPIHVPHPVKIGNPIKLLKNDYKAVVIDKEPGICMIGLAMPMVSCNIEMEDGMVGKDMESKVRVMGRDKIMPKRPMISSKPQ >OMO56933 pep supercontig:CCACVL1_1.0:contig14460:72744:75080:-1 gene:CCACVL1_26146 transcript:OMO56933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGKAIYSVGFWIRETGQALDRLGCRLQGNYYFQEQLSRHRTLMNVFDKAPVVDREAFVAPSASIIGDVQVGRGSSIWYGCVLRGDVNSISIGSGTNIQDNSLVHVAKSNLSGKVLPTIIGSNVTVGHSAVLHGCTVEDEAFVGMGATLLDGAYVEKHAMVAAGALVRQNTRIPCGEVWGGNPAKFLRKLTEEEMAFISQSAVNYTNLAQVHAAENAKGFDEIEFEKVLRKKFARRDEDYDSLLGVVRETPPELILPDNVLPKVPKTA >OMO56932 pep supercontig:CCACVL1_1.0:contig14460:61162:67172:-1 gene:CCACVL1_26145 transcript:OMO56932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSHSRKPNRGSAQATLNKRTATCNGATNYSGRTMYDDVFGGPPRFGTGGPTLSPRPEDYTEIFGGFHASRGASIPVLDLPLVDDNDEVMFDVKNPRFNYTEVFGGFDGLEFASSYEELIRQANGGDDHDPDGGSSDEAWMQAETDSLSEGSDHSGKYQYFSNGDYYEPIDSSMEFNISYHKANLRSNRDMSNGVTHVAQLHADPEFAYVIETPLQRTGNQNPVLQVTDDIDLEFPGGVTKKKHLRKTVSHPSNWTADEQTFTNDSTQKEYRGNGSRANDMFVTISEINLRTIPSDVPPPSRPPPHADVQNGDYQNGQPAASGGRMGDSSPPYFDVEIDSSSAAAASAAAMKEAMEKAQARLKSAKELLEKKREGNKNSTKPSSRSDGKSKKERVSKAVDGSGDIKDERVQGMYGKEDGGMKISAKEDRQKAVKTQAPDSLEGEKLFNVPKRYIDEKHGKESQSMQEFDEVDGADEWQEATQFFELVRTDKARTGFEQINNEKVFVQSTKPHDLQHKAKKASIGALEQHVDSDKKVEAVREDHELEKVERAMKTAKESCERGESTGVSKAAKEARRHKGHEKKVKAAQEFSELQENGQAVTARKPLENMKKPTGADEVEKHEKWVSAQQRENVVEVVSAVKQKENVQLEKGASRSTENAKKVKECVERENEEKSWKARKYEQALQQAENEKMLREALEQEEKEKRLKEAHEREEKERSLKEAREREEKERRLREAREREEKERRLREAREREEKERRLREAREQEENEKRLREAREQEENEKRLREAREREENEKRLKEAREREEHEKRLKEAREREENEKRLKEARERDEKRLKEAREREEHEKRLKEAREREENERKEKEARELEESERIWRMALEQIENEKKLKLAREEEHERRQRKILDQDKMVNKQREAHGKEESKRRSNEVTEQGKYERQQKVAVEKVETNKGIKEACEKEATDKEIKEACKKEEAAKRLKEAHEIENTQKMLDETVEQNDYSNLVKEDRDAAEVKQKVVEQEENEEPQRANYVYQQTERGGNGKKLKTSEETHQRLKREDPMVSDEVDKLDNSKRCQEDQLVGNNDQNFDELEETEESILEENGKMETEFRESEKKPETLGKGDDIEKLNASGMAPSDLKFKVNQFRKDDVSGLFHQDVGVKKAGDVGIGVRQRNAEKINNAPVVDSDNDDQGLKFANEWRERARNTNEVHVPSNLEENKDKFVSTPAVKDSVETGRKLESAKSSVLEGKGSTQRTVQQVKLSHSIERKEKSIHESLTPEEKETERLKRERELEKERLRKLEEEREREREREKDRMAVDRAVLEARERGYTEARERAERAAVERATAEARQRAMAEARDRLEKACAEAREKSMDARLRAERAAVERATAEARERAVEKAMAERAAFEARERVERSMSDKFSTSSRNSGMRTSSSSSDLQDQHFQSSSSFGGSRHPYSSAYNGVEGESAQRCKARLERYRRTAERAAKALEEKNMRDLLAQREQAERNRLAETLDADVKRWSSGKEGNLRALLSTLQYILGPDSGWQPIPLTEVITSAAVKKAYRKATLCVHPDKLQQRGASIQQKYICEKVFDLLKEAWNKFNSEER >OMO56938 pep supercontig:CCACVL1_1.0:contig14460:92838:97056:1 gene:CCACVL1_26152 transcript:OMO56938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVSSNTLRFSSIITKKTPTEPFPTKFTRTHFLKLPQRPQNGTFLRASANPFNNSFLSISSSSFNSFVESNANERDLGWHKAPETVIDNGGNAEAFGSKDRVITVVLLGWLGAKKKHLKRYVEWYNARGIHAVTFVVEVKEVFWFDLGERVERRISELGNELARWVSEKEEDGRERYGSLLHSFQTRERLMEKIGGVIIDSGAGDPFNPKVWAAGFAAAMLKKRSSSINGLEGAVRDSNLQKEKPDMIEAVLLAALEKFFAFILNIPDLDRKVRTVVNATMEHPPPCPQLYLYSTADKVIPYESVESCIEQMRKKGIRMTILEEKKKDESTLVDSKLSPPDSYGAVVLGGTFDRLHDGHRLFLKSAVELAKDRIVVGVCDGPMLIKKQFAELIQPIEERTRNVENYIKSIKPKLVVQVEPITDPYGPSITDENLEAIVVSKETLPGGVSVNKKRAERGLSQLKIEVVDLVSDEESSGSKLSSTTLRKLEAEKAEKAKNQQQSGQELDTKLI >OMO56940 pep supercontig:CCACVL1_1.0:contig14460:103802:106644:-1 gene:CCACVL1_26154 transcript:OMO56940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MAMVSMPFSVRSLNSPNLRPTKRYSVMAFAASRKEAVSVGVKITTQGEGHLPKLVLTSDGGSEAEIYLFGGCITSWKVPSGRDLLFVRPDAVFDKTKPISGGIPHCFPQFGPGKMQQHGFARNMDWSLVESENVEGNPIVTLELKDGPYSRAMWDFSFLARYKVILSTKSISTELIVTNTDNKPFSFSSALHTYFRASISGVSVKGLKGCKTLNKDPDPKNPIKGREERDAIIFPGFVDCIYLDAPEELHLHNGLGDVISIENTNWSDAVLWNPHLQMEACYKDFVCVENAKIGTVQLEPKQSWRATQHLSIA >OMO56941 pep supercontig:CCACVL1_1.0:contig14460:113341:113409:-1 gene:CCACVL1_26155 transcript:OMO56941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPGQSRAREMRTNASTIEGG >OMO56935 pep supercontig:CCACVL1_1.0:contig14460:83365:84468:1 gene:CCACVL1_26149 transcript:OMO56935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrieval of early ER protein Rer1 MEGSEIDGGAVAKWKSDFSRTFQYYLDRSTPHTMQSFSVYGERYAITKAFVVAFLLTFFSVLDVPVFWPILLCYWIFLFFLTMKRQILHMIKYRYIPFDFGKQRYGGKKSASSSGMRSD >OMO56931 pep supercontig:CCACVL1_1.0:contig14460:54650:60278:-1 gene:CCACVL1_26144 transcript:OMO56931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLRAFSTRRGRHGYERLLEVEGEEQQQAPVANMGQLEAQLKRARSVPARVFGLSRSRKLGELALPETSQPKKPSSSNSKTKSHPLFSLFDGRRKKKTTAKPEFASSFSTQHRLHRYQRCRPRLGFLTAPSQSHGVLNRSRAMPTFTAIALDRLLEPGASKSVDKSGPNSKPPVPNPKPVANSKLERRNSSSATERKVNRPQISPALYATPEATPLPDSPSSFPPSPYIINHKRRGPRLSKSFSEDDVSSRKKALEEDEVNGNAKLAATKSVDLSKDGSVTFTIPEPNEEEHVNGIHLEPIKVQQANGVHGCSLQDEHMNGVCDGEFGSSNGEVRSSQRSNGLARDNAVPKLVPLKLDVIGDSEDFFDPNESMSVTSNTEGDNDTAAESAARLATPGVEFFDAWDELSSESGSQSLFRDVDAEIREIRLSLLTEIEKRKQAEEALNKMRCKWQRISEELAAVGLSMPVDPMDVTEDEMANPAEELRQQVGIARFVSLSMGRGIARAEMEMEMEAQIESKNFEIARLLDRLNYYEAVNREMSQRNQEAVELARRDRQRKKRRQRWVWGSIAAAITLGATALAWSYYPTGKGSSSTSSSQAPDHDDIA >OMO51320 pep supercontig:CCACVL1_1.0:contig15883:5474:10895:-1 gene:CCACVL1_29860 transcript:OMO51320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retinoblastoma-associated protein, B-box MEDTKPSATTSNNTDANGVEARFTVLCKNGLSLDEKTCTEAMKLFKETKHLLSSNVSAIGSRMPEEAERFFFSLVLYSLKRLSEKSGENAQQGSDGNGFTLCQILRTTKLNIVDFLKELHQFLIKAGPALSNMYGEDWESRLEAKELEANIEQLNHLSKSYKRRFRELFLTSDSNIEKQQNATCPPDCSSEYCRFGWLLFLALRVHAFSSFKDLVTCTNGFVSVLAILIIHVPIRFRNFKITESPRFVKKGDKGVDLLASLCNMYDASEDDLRKTMEMANKLIEDILKKKPCSASECKAETLENIDTDGLVYFEGLMEEQSLSSSLNILEMDYDDANKGELDERVFIKEEDSFLGLGSLSGGSGNVTGVKRKFDSIASPSKTISSPLSPYRSPASHANGVLGSPNARMAATPVSTAMTTAKWLRTVVCPLPAKPSAELQRFLSSCVKDVTNDVSRRAHIILEAIFPSNHECSVTGGLQSANLMDNIWMEQRRMEALKLYYRVLEAICTAEAQILHATNLTSLLTNERFHRCMLACSAELVLATHKTATMLFPTVLDRTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLIVAKPALSTEINRLGLLADPMPSLDAIAMHINFTGGMPTVPSLQKHETSPGNVGGSILGQNGDVRSPKRLCTDYRSVLVERNSFTSPVKDRLLAVKKAPLQSAFASPTRPNPGGGGETCAETGINIFFGKINKLAAVRINGMVERLQLSQQVRESVYHLFKEILSQRTSLFFNRHIDQIILCCFYVVAKISKFQISLTFREIVRNYSKQPQCKPQVFHNVFVDRSSSQLDARTMEDHVDIITFYNKVFIPAVKPVLAELGLAGTTTKTSRVPEANNSNDGPCPGSPKVSPFPSLPDMSPKKVSATHNVYVSPLRTSKMDALISHSSKSYYACVGESTRAFQSPSKDLTAINNHLNGTRKIRGALNFDDVDVGLVSDSMVAKSLYLQNGSSPPLKSEQPES >OMO51322 pep supercontig:CCACVL1_1.0:contig15883:26370:26564:-1 gene:CCACVL1_29862 transcript:OMO51322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRINHPVRKRTTTKTSSLASYALLPPNAHSHHRLAHLLKRVYLQTYVSDLDHIHSKKQCSPPSC >OMO51321 pep supercontig:CCACVL1_1.0:contig15883:22421:25272:1 gene:CCACVL1_29861 transcript:OMO51321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin thioesterase Otubain MQNQEGAVPDGEKESAISIPISEFDDWTNFADDDMMQQQSAIHAEEANKIHFVGDKEPLSMLAAEYESGSPILLEKIKVLDQQYAAIRRTRGDGNCFFRSFMFSYLEHILESQDRAEVDRIKGNVEECRKTLQSLGYADFTFEDFFALFLEQLDSVLQGNEDSISQDELIIKSRDQSISDYVVMFFRFVTSGEIRKRSDFFEPFILGLTNATVEQFCKSSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDSAGVSVNHHDFVPTLGDHSNATSGSTEPARPFITLLYRPGHYDILYPK >OMP06042 pep supercontig:CCACVL1_1.0:contig05078:7032:7121:1 gene:CCACVL1_01738 transcript:OMP06042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQEANIQGSEGHTPTPHYWHYSLVNVV >OMP06041 pep supercontig:CCACVL1_1.0:contig05078:547:5014:-1 gene:CCACVL1_01737 transcript:OMP06041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MGGSGDQNQSTMNRYALACSIVASVITIIFGYDTGVMSGAMVFIEEELKINDSQVEVLAGILNICALFGSLIAGKTSDYIGRRYTIVLASLIFLVGSVLMGYGPNYGILLAGRCTAGIGVGFALTVAPVYSSEIASAKTRGLLASLPELGISIGVLLGYVSNYFFGKLTLKLGWRLMLGIAAIPSLGLAFGILKMPESPRWLILQGRLREAREVLSDVCNTQEEADSRYRDITAAAGIDGKEINEDVVEAPSNKEEQAVWKQLLYRPTPTVRWILIVAVGIHFFEHATGIEAVILYGPRIFKKAGVESKSKRLLATIGIGLTKFTFIFISTFIMDKVGRRRLLLVSVGGMVIALAGLGFGLTVVEHAKEKLTWALVLCLVFTYLYVAIFNIGLAPVTWVYSAEIFPLKLRAQGYSIGVAVNRLMNAAISMSFISIYKAITIGGTFFMFAGISVVAWWFFYFLAPETKGKSLEEIEALFTRRSARAKDEGVEIQPEQGLTKFTLTSAILASMTSFLTGFPAGTVSDLIGRKYSLALSSFFFFLGSLVTSLAPNYVVFVTGALVAGTGTCFPLSVTHVYISELSPDPYRGILTTFPEVFLSIGNLLDTIFSDQISSKKIAIRRLEMGLGTIPLFLLALALLFVLPESPAWLVTRGKLSEARRVLIRTSLSEEEAKLRLGVIKSAVESNQRRGWASSWKKLLLHPPPPIRRALIAVVGLNFFRNSTGNEAICLILTAGLVMDKTGRRPLLLAGVTGMIVTLAGLGLGLTMSYHSDKKLTWAVDSSVVMAFLCMAFSSTGLGPITWVYSSEAFPLRLRAQGASVGAAVNLATRGLTSLAFISSYGVITIGGAFLLFAGMASMAWLFFY >OMO87676 pep supercontig:CCACVL1_1.0:contig09200:13607:14335:-1 gene:CCACVL1_08825 transcript:OMO87676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MAADSGDRRPARVPGNMASHPPPKLTDPLPCPRCDSTSTKFCYYNNYNLAQPRYFCKSCRRYWTQGGTLRNVPVGGGTRKASKRSRSSSVSSPSVATSSSSSVTNEAESMPLPTNPVSAMPAPGVKPEMGMADVNLNEAVDLPVNGSFTSFLSSHGEGYLTLGGYGFGAASGFDGVWGYPGNGYLGGFSGGGGGGAGGAVGGATGCNTWQPTSDVENGPGLVDGDCFGWPSLAISAPGKGLK >OMO87681 pep supercontig:CCACVL1_1.0:contig09200:33556:36912:1 gene:CCACVL1_08830 transcript:OMO87681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSRRPHFLNVFSSTSSANRLKIPVGFHKNLEGRVSGSVLLKGPSGYSWLVDLVQKNEDLFFDEGWADFVRDHSLECGDFLVFRYDGNLVFDVKVFDPSTCEKETAFHCKCSQVCSEYDGILGHKRDREDAGVSLDQDCEGMMKRSRESSSEFEENVSDQEHCSRELVLATKTCHGSISCGENNEGTIPKRTGKGEDLNLYDSGCTPMVAEFEEKKVAQSFNSRFPFFVRVMKRFNVSGSYTLNIPYQFAMKHLPNCKTEIILRNLKGACWTVNSVPTTRVHTSHTLCGGWLGFVRSNDIKVGDICIFEFVLKFEFRVHILRVGKDDSGKQSGKAAPNVLNSRCDATLPKKFAKNSSKVHSKSMKNVQVCDNKGSKMLDKKKYGNAAKKSASVALCSLSRSGSEKQETAIGGLRMTLALDEEKAARSFASGFPNFVRIMKKFNVSGSYTLKIPHKFSKAYLPYCKTEVVLRTLQGRCWTVNSVPDSKGRTVHTFCGGWMAFVRDNDIKMGDICIFELVNQYEMRVHISGPGRKGLDYQHAPL >OMO87680 pep supercontig:CCACVL1_1.0:contig09200:29132:31957:1 gene:CCACVL1_08829 transcript:OMO87680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFSLWKPISHCAALIAEKKTKRRNGSGLKEDAKRKPSMLRQLQENKLREALEEASEDGSLAKSQDIDSASLNQEGNIGRSRSLARLRAQNEFLNATSLVADRTFCSEDSIPDLHDAFSKFLTVYPKFQATEKIDHLRVEEYGHLSESSAKVCLDYCGFGLFSYNQTQEYWDTSTFTLSEITANLSNHALYGGAECGTVEHDIKTRILDHLNIPANEYGLVFTVSRGSAFKLLAEAYPFQTNKKLLTMFDHESQSVIWMAQCAKEKGAKVYNAWFKWPSLKLCSRELRKQISSKKKRKKGHAKGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRLFGYDPTGFGCLLIKKSVMASLQNHCGHTGTGMVKILPVYPQYLSDSIDGLDILAGLEDETADHNEESLPQTNGGLQMPAFSGVFSSNQVRDVFETEMDHDNSSDRDGASTIFEEAENLSVGDLIKSPIFSEDESSENSYWIDLGQSPLGSDNSGQLTRQKTDSTLPPSWFSGKRNIKRSSPKLSSKIAMSPIYDDRKMNSRLHEDPVLSFDAAVLSMSYESDRVKEIPEEEIDETDPASGDDTKYKDSHYFGEIQEEAGLRDELKLSNSMFSSKVNGFKLKNGVLENSLGAGIYQEKKESAIRRETEGEFRLLGRRETSRFGDGRFFGLEGEDRVTSMGRKVSFSMEDHCSENPSRLEPGEISLAPLADDESGSEEEYDDELEYRRKEPEIICRHLDHVNMLGLNKTTRRLRYLINWLVTSLLQLRISSSDESKGVHLVQIYGPKIKYERGAAVAFNIRDSKEGRLIDPEVVVQLAEKRGISLGIGILSHVRVVDNVKQQCRELELEDSALCQPMASGCKDGKSFFFRVKVITASLGFLTNFEDVYRTWAFVAKFLNPSFVETNDLSTISEGSET >OMO87675 pep supercontig:CCACVL1_1.0:contig09200:6994:10125:1 gene:CCACVL1_08824 transcript:OMO87675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MEERPETELISIPATPRVSTPEIQTPSGQRSPRPASKEAKSSAWTPTSFISPRFLSPIGTPMKRVLVNMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFSFLGWSWGTLSLTIAYCWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPLCSSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLVGAVTAITYSTMVWVLSVSQTRPPTISYEPISLPSSAATFFSVMNALGIIAFAFRGHNLVLEIQATMPSTFKHPAHVPMWRGAKVAYFFIALCLFPIAIGGFWAYGNLMPSGGMLSALYAFHSHDIPRGLLALAFLLVVFNCLSSFQIYSMPAFDSFEAGYTSRTNRPCSIWVRSGFRVFYGFVSFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKRPTKYSFNWYFHWILGWLGVAFSLAFSIGGIWSIVENGLKLKFFKPN >OMO87677 pep supercontig:CCACVL1_1.0:contig09200:15770:16105:-1 gene:CCACVL1_08826 transcript:OMO87677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLGLVRLDSYHYHIPTSADCCSTLEPHACLAIYSNKPLWSRHVSGSAVNLSKDPALFPAFLILDPCLQCFYGRQGKATTQSLLLTLPAPPQGRVRARLRPGQVSQDPAS >OMO87679 pep supercontig:CCACVL1_1.0:contig09200:21845:24213:-1 gene:CCACVL1_08828 transcript:OMO87679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSGCFLTLLLFHLLSSSFSYALSDFEIAYISRRQLLALPENGELSDDYESKVSLNLTFPNLRLRRAYIALQAWKHAIYSDPFNTTQNWEGSNVCDYNGVFCAQALDDPKSTVVAGIDLNHADIAGYIPVELGLLTDIALFHVNSNRFCGIIPKSFSKLTLLFELDVSNNRFVGPFPEVVISLPSLKFLDLRYNNFEGELPSELFEKELDALFLNNNRFVSNIPENFGSSPASVIVVANNKLTGCIPNSIGKMGNTLNEVNFENNNLTGCLPSEIGMLANVTVLDIASNTFSGMLAKTLKGLGKIEELDVSYNMLTGFVPDEICRLSSLHNFTFSNNYLNGVADSCKSSKDILLDDASNCLPDRPKQKSAKECHPVVSRPVDCGKSKCGGGGGGRGGGGSSPRPPAKKTTPPTSTPESPKEQQPQPKPSPKPQPPKVESPPSTPKQTPPEEQHPKPTPSPEPKPPKQSPPEQKSTSEPMPTPSPTPVSNPPNKESPPKEPEDDPHNRSPVTRERSPPPNSPPPVIQSPELPKPAAPAPKSENPHQESPNVSHHTPPPPVQSPPPPIYSPPPPPVSSPPPPAYSPPPLPVSSPPPTLKPSAPAPLDDPHKESPMTRLRSPPPVQSPPPVYSSPPQVPVRSPAPPVQSPPPPPVYSPPPMHPPSKPLTPEPLDDSHKESPNMRQTSPPPVQSSPPPIHSPPPPTPATVTSSCTISITSYLLPSTNTSKFSSTNAPSIKTFGPSTNR >OMO87678 pep supercontig:CCACVL1_1.0:contig09200:17258:19831:-1 gene:CCACVL1_08827 transcript:OMO87678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MGSTTLPTVPEVPGLPIIGNLLQLKEKKPHKTFTKWAETYGPIYSIKTGASSVIVINSPETAKEAMVTRYSSISTRKLSNALKILTFDKCMVATSDYDDFHKMAKRHLITNTLGATAQRRHRHHRDTMIENISSQLHALVKDVPQRAVNFRKIFESELFGLAMKEALGKDVESIYVEELGTTLSREELHKVLVLDMMEGAIDVDWRDFFPYLKWIPNKSFKMNIQKKHFRRTVVMNALIKDQMKRIDSGEAVNCYLDYLLSEAQTLRKEQIAMLLWETIIEAADTTLVTTEWAMYELAKDPTRQDRLYHELDKVCGSNKVKEENFSQLRYLDAVFHETLRKYSPAPLVPLRYVHEDTQIGGYYIPAGSEIAVNIYGCNMDKNHWETPEEWKPERFLDEKYDPLDLHKTMAFGAGKRACAGSLQAMLIACAAIGRLVQEFEWRLEDGEEEKVDTVGLTSQKLQPLFAIVKPRN >OMO87682 pep supercontig:CCACVL1_1.0:contig09200:38356:39194:1 gene:CCACVL1_08831 transcript:OMO87682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIIDCSDSEDGGEDSPAPPHEASSNVSLSRDQEFNSYAYSKKILRKAADSDTLGKGESSVPDETGTEPKPNRPRRRQPTGKTEKGHVILDVAIHRCQEVMDQTPTANQNVAFMTPD >OMO53933 pep supercontig:CCACVL1_1.0:contig15100:18663:24093:-1 gene:CCACVL1_28197 transcript:OMO53933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EFTs/EF1B MSFFRNAKRPLGILFYNTRLTSCGGHGYSTWATKGTSFAQSTDTKVSKTAYFYSVSRSLSSQPPSSAEQMSLIKQLRERTCAPIKDVKASLVECNWNIEAAQKDLRKRGKVLAMKKSSRTASEGLLALAQNEGKAAIIELNCETDFVARNEIFEYLALALAKQTLLVENSSQHVPGVFSFGPECLEDVKFNLDHPKISGETTVQNAVTEVAAMMGENVKLSRGFVMSPSSNGVVSSYLHRSPKPGLGRMAGILSLEVENGISNLDALQKVGSELAMHIVASKPLFLTKELVTSDALNNEREILKSQAESTGKSPMAIEKMVEGRLRKYFEEVVFMEQKYFLKDSLSIKTILDNLSKEVGSPVKIGNFFRMEVGEGIQRLEASSTDEPVAQAV >OMO53935 pep supercontig:CCACVL1_1.0:contig15100:30044:30552:1 gene:CCACVL1_28199 transcript:OMO53935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MEAALLEDDAIVNGSVDYQGCPARRYSFGGWRSASFIIVVEMAERFAYYGISSNLITYLTGAMGQSTATAAENVNAWIGTATLLPLLGAFVADAYLGRYRTIIISTLIYILVSLFFFSPSPIHRYCYSITIFSW >OMO53934 pep supercontig:CCACVL1_1.0:contig15100:24885:26813:1 gene:CCACVL1_28198 transcript:OMO53934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase, class-II MEENTVVIVGAGPAGIATSACLNRLSIPNIVFEREECSAPLWKKRCYDSLKLHLPKQFCQLPYMKFSPYAPTYLPKDSFVRYLDKYVSSFGVIPRYLHSVESADYDSNAQKWCIVVKNMANNDASQVFMARFLVVATGENSQELMPCVRGLDTFKGDFMHSSQYKNGDEFNGKNVLVVGWGSSGAEIAFDLLNCGAKPSIVARTPVHVLSKEIVHMGMVMLKYLPCKVVDNISVMLSKFKYGNVSKYGLKRPKRGPFYLKATNGQSPVIDIGTISKIKKGEIQVFPNMAAISDKTIVFDNGIRAQFDAIIFATGYKSTVRNWLKGSNRIFDENGMPQGSFPDHWKGENGLYCAGFDRRGLQGISFDAQNIARDISAALGLDGETS >OMO53936 pep supercontig:CCACVL1_1.0:contig15100:34970:35626:-1 gene:CCACVL1_28200 transcript:OMO53936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKDVESSGSKFVPIIVQSEGVFNIGITLDETNYDVWSQLMEMHIAEREKLSYIVGKSKRPLESEEGYQRWYANNQKVKRWLLMFMKPEIMKRYLRLSTAREIWSALSKAFYDGGDELRVFTLIQKAFSMKQGGKSLSEYYGELTEIFQELDHRDKVVMKDPDDVIAYQKSVERLRVHIFLAGLDGMFEQIRGEILRKEPVPDLEDCYAQIRREASH >OMO53931 pep supercontig:CCACVL1_1.0:contig15100:4016:5134:-1 gene:CCACVL1_28195 transcript:OMO53931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSPISRLPEDTLHQIFSSLSLRQIMICRSVCKNFNQLLTSPSFMHLISARSHLNLLALRPPHHHHNHHSRHSSLSTSLHVYDPDQTRWLRFNLDFLPFRSPHPVASSLGLVYLWADSPDLPDSNKSLIVCNPLTRQYRVLPQLGSAWSRHGSVLVDSRNRVMVLTELAALYFSFSQKNQQWLKFSSNLPSKPRSPIVVSNSVFALCDVGSPWRSKWKLFSCAVKNMLNLNLNVMNNNWECLERHEWGDIFDIMKRPRLIRGNGNKILMIGGLKSSYSLNQSCLTILILRLDLETMEWEEATRMPEEMHRWFQDSKFKVFGGGDRVCFSGKKVGRLALWDCCEWRWIDGVPGNGDELFRGFMFEARLTAVP >OMO53932 pep supercontig:CCACVL1_1.0:contig15100:16659:17704:1 gene:CCACVL1_28196 transcript:OMO53932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein, plant MATQALVSSSLSSSVETARQILGARPLQSPIGSSRKASFVVRAASTPPVKSGADRPLWFASKQSLSYLDGSLPGDYGFDPLGLSDPEGPGGFIEPRWLAYGEIINGRFAMLGAAGAIAPEVLGKAGLIPPETALPWFQTGVIPPAGTYSYWADPYTLFVFEMALMGFAEHRRFQDWAKPGSMGKQYFLGFEKYLGGSGDPAYPGGPIFNPLGFGKDEKSLKDLKLKEVKNGRLAMLAILGYFIQGLVTGVGPYQNLLDHLADPFNNNVLTNLKFH >OMO53939 pep supercontig:CCACVL1_1.0:contig15100:86838:87580:-1 gene:CCACVL1_28203 transcript:OMO53939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAIEKMDGAFDETEDKKDEDDSKDGVPLTKKIKIEKD >OMO53930 pep supercontig:CCACVL1_1.0:contig15100:3591:3713:1 gene:CCACVL1_28194 transcript:OMO53930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEMPPHSSQKNAQKGRLSDNKHKSTAPFQLMLCQLVLSCP >OMO53937 pep supercontig:CCACVL1_1.0:contig15100:46386:52246:-1 gene:CCACVL1_28201 transcript:OMO53937 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MESTPPASPLVTDLADEAIRRSKKKYKKRRAEEGPVDGLDKGEEELDAEIPAPKVPLESSNVSYRDRGTRSVPVQESTWEDWTEIDGGDDSIMFEDSVSDGEEGEDSDSWVRFSIEEKRELRKPWNNALIVKLLGKILGFKALSSRVQQLWRIEGKYRIIDLGNDYFCFKFQKRKDCKHVLDGGPWVISGHYLTVRQWTHNFKPNSDVIKSIVAWIRLPQLPLEYYTNMAIRRIASKVGRVIRLDKTAESVVRGGFARVCVELDLSVPLRSSVDIGTMVQAIEYEGLHLICFSCGQFGHRRDACSMTSGKSTTNAASSVPSHSDQTPSQVVETSTNASFDPWMIAQQRGRRPVQETVEKGKSTVRSDPGHDSRNRFNHFKNVKEDLVEKKKEKLVEEFSSVKNGPFKYDRKEWKPKKDYGINISSKSSGKEGFKAKSKGVASSSRSDADLPKAYSVFEPNKVIGPPPGFSFKAGDYRPVTFDAKRLEELVGSKLHGPVMDGVMEISTASFTTRSSTNEGASVVAMEFSKSDGGKKLTSDPSILPPQNPPSNESGVPAVSLEEKEVEGNEERLNGTDGFVVEASEEQMMIDVNTEVVKRVAKYLRFSDYFEVPTEGMAGGIVLFWNAATTDLTVHSFSTQIIHTSILYKGVQHMVSFAYVRPQTQFKDIFWRDLKDFSASISSSWIVLGDFNDFAALEERWDGKDDVSFLINRVLKFRNRWNDCNLLDAGSSGSKFTWRRRVGGRVVLQEKLDRVLWNLAALTELTDAKIMNLPCLCSDHHPILLDFNCIQKAPLKNRPVRFEAAWLTHPEFSQVFAAAWSRGEGSLSQAIEEVTKDVQAWKVDVFRNIFHRKRVFGKDPRSSKFRGFCYFYFSPEVGNSVTRGVVYEQNVLSSHVVSFYSELFGKKEKESLSSSFNQFAMCVLEEEKLELVKHPSFDEVRLATFSMKGLKAPGVDGIQPIFYQRNWVTVKESLLKFVDEAITSGKIDVNLLHAHMVLIPKGSNPTSVKDFRPITLLNTCYKILSKVLVNRMRPILQRIIGPFQNSFLAGRSTTDNILITQEIVHTLSNLKGRKGAMILKIDLQKAYDNVSWEFLHEVLNFFGFPTQLISLIMFCVTNIDLSIIWNGDALPSFKPQQGLRQGDPLSPYLFILAMERLSHMILERVDRKQWVPVKSCRSDFGKASGLEMNLLKSKLWVSPNISRQQAGRLSSLCGIPLGQDLGTYLGVPIIHTKVTRSTYSYVIDRVLKKLANWKGKVLSYAGRRTLIQSSLSSIPVYTMQTALLLVSVCEKLDQVSRNFLWGGDVENSHNHLVNWDRVCRPKVRSRKGLTTEIGCSLCEGDVEIIDHILRGCPFAEGVWSSLYHRYGLDARSDQEFRVWIRRIVPSADSIVAYAVKLAQDSAMAWNAGFAKSLSAPRVVKWKPHIPGILILNMDGSRRAHDGQASAGGLIRDSNGGWVKGFMLNIGTTDSLSAELWGIRQGLLMAKSMNIASLVIEMDAAVVVQFLKDQLDSSHPCYTLVRDCYEIIQGGWITKIRHIYREGNRCADLLATLAHDNPPVVSWC >OMO53938 pep supercontig:CCACVL1_1.0:contig15100:60019:60222:1 gene:CCACVL1_28202 transcript:OMO53938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MNAEENASRNDYDSLCNICGKAFKSFALVLKHQKEDHTKAETKYKCDLCKRGFPTRAARIEHRDYGH >OMO83063 pep supercontig:CCACVL1_1.0:contig09946:80459:84566:1 gene:CCACVL1_11587 transcript:OMO83063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNTSTYSVPPFNRFQHRRSTIAFISRPSSRLICYTGARIVSVHSQKPGSERSAIVSEAGDPSKSSSSSTASAIDFLTLCHSLKTTKRKGWINHGIKGPESIADHMYRMALMALIAGDLPAVNRERCIKIAIVHDIAEAIVGDITPSDGVPKEEKSRREQAALTEMCKILGGGMRAEEIQELWEEYENNASLEANLVKDFDKVEMILQALEYEMEHGKVLDEFFLSTAGKFQTEIGKSWAAEINSRRNARLAEKSN >OMO83060 pep supercontig:CCACVL1_1.0:contig09946:67854:69173:1 gene:CCACVL1_11584 transcript:OMO83060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINFSSSCTCPPSSSYFLGRLSNSRPPYWEFPLKPRTHLFKSLSSSSSTNAKFLYPPARRVDFRSGAFSGFDFGSVESVLEAVGVLTAIIVVHESGHFLAASLQGIHVSKFAVGFGPILAKFNSNNVEYSIRAFPLGGFVGFPDNDPDSDIPDDDEDLLKNRPILDRVIVISAGVVANIIFAYAIIFTQVLSVGLPVQEAFSGVLIPEVRAFSAASRDGLLPGDVILAIDGIELPKTGPGVVSQVVDIIKKSPRRNVFLKVERGNQDIKIRVTPDENLDGTGKIGVQLSPNVKIAKVRPNNLFEALNYSGKEFWGLSYNVLDSLKQTFMNFSQSASKVSGPVAIIAVGAEVARSTVDGLYEFAALLNLNLAVINLLPLPALDGGSLALILLEAVRGGKKLPLELEQRIMSSGIMFVILLGLFLIVRDTLNLEFIKDLL >OMO83057 pep supercontig:CCACVL1_1.0:contig09946:46443:57423:-1 gene:CCACVL1_11581 transcript:OMO83057 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MSSNKEEKTQAAAERIKAAALSAAKGLSRAQAERAATAAARNVNNYGQKEEGPSRWQEKREAKRQMYLMRHWTYECKNERVYMSRPSRTQQLKNPKLRMKLLSASRDLENPDIDNGKNEENSRTKKSKRKHRSHSDSGSDSEALVFETDSGGASSVTGSDYSSAESSTDYSSSSDSEEERRRRRKKKQKKGGVEEENGDSSDKVDDFIQLDDLSDQDIYVPSSDSDQAAAVSHLGVAPFAVSDSSEPLTDRGRKRRSNVKKKKKARSGPPLMWEVWEEEHEKWIDENLTADLDLDQQNAVINETSEASSELIIPLLRYQKEWLAWALKQEDSPTRGGILADEMGMGKTIQAIALVLAKRERIRSIGEPSGASLTPSSSTVLPMIRGTLVICPVVAVSQWVSEIDRFTSRGSNKVLVYHGNKRGKDIKQFLDYDFVITTYSTVEAEYRKYMMPPKEKCPYCGKSFHQKKLSIHLKYYCGPDAVKTEKQSKQERKKLKFTFKKVARKRKPKHKEEDNDVDLEFDEAFAGIEPNLPQGKSLLHSVKWERIILDEAHFVKDRRCNTAKAVLTLESLYKWALSGTPLQNRVGELYSLVRFLQIVPYSYYLCKDCDCRTLDYSSSTQCSNCPHNSVRHFCWWNKYVATPIQQYGNGELGKRAMILLKHKILKNIVLRRTKKGRAADLALPPRIVSLRRDTMDIKETDYYESLYSESQAQFNTYVQAGTIMNNYAHIFDLLTRLRQAVDHPYLVVYSSTAAQRAGSVVTGDKNNDEQLCGICHERTEDAVVTACEHAFCKACLIDFSASLGRVSCPSCSRLLTVDLTTNADLGGQSSKTTLKGFKSSSILNRIQLNDFQTSTKIEALREEIRFMVERDGSAKGIVFSQFTSFLDLINYSLHKSSINCVQLVGSMTMAARDAAIKRFTEDPDCKIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQCKPIRIVRFVIENTIEERILKLQEKKELVFEGTVGGSAEALGKLTEADMRFLFVT >OMO83052 pep supercontig:CCACVL1_1.0:contig09946:469:642:1 gene:CCACVL1_11576 transcript:OMO83052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSAMVTKKRELSVRGRGSHQNFARMGNQGLDTRTAYVLGQEREFQVSDVVRGRRT >OMO83054 pep supercontig:CCACVL1_1.0:contig09946:4157:22724:-1 gene:CCACVL1_11578 transcript:OMO83054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNVSSNGNGGGSYRFRRIPRHSLAHLKLDPLLDENLEQWPHLNELVQCYRSDWVKDDNKYGHYEPISPVSFQNQIFEGPDTDIETEMQLSSARQIKAEDATDDDVPSSSGRQFTNSDITKHFGQSPLPAYEPAFDWGNERSMIFGQRIPETPTTQHGSGLKISVKVLSLSFQAGLFEPFYGTICIYNRERREKLSEDFYFSVLPSEMQDAKEPSEPRGIFYLDAPSASICLLIQLEKPATEEGGVTPSVYSRKDPVHLSERERQKLQVWSRIMPYRESFAWAIVPLFDNSIGAASGGSASPSSPLAPSMSGSSSHEGVFEPIAKITSDGKLGYSSGSSVIVEISNLNKVKESYTEESLQDPKRKVHKPVKGVLKLEIEKHQTVQTELETLSESGSVTNDSLDPRDPMSDMMFPKSPGNGLDGSQSSNSKWISSDGKDVSGNGSNNRGNQDFYADDFQAFDFRTTMRNEPFSQLFHCLYVYPLTVSLSRKRNLFVRVELRKDDAEARRQPLEVLYLRERGSSLQKYSHTQVAVGARVACYHDEIKVSLPAVWTPLHHLLFTFYHVDLQTKLEAPKPVVIGYAALPLSTHAQLRSEISLPIMKELLPHYLQDSGKERLDYVEDGKSIFKLRLRLCSSLYPINERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGNGGETLQVAAFRAMVNILTRVQQESVDDAERNRYLVNYVDYVFDDFGGRQPPVYPGLSTVWGSLARSKVSLYLDKFSGVCQSVLHDCKLIFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQELFLTLDHDDLSQRAKAARTLVVLLCKHEFDARYQKPEDKLYIAQLYFPLIGQILDEMPVFYNLNAAEKREVLIIILQIIRNLDDASIVKAWQQSVARTRLFFKLMEECLVLFEHRKPADGMLIGSSSRNPVGDGPTSPKYSEKLSPAINNYLSEASRQEVRPHGTPDNGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENLSAAVSLQVLEISEKFSVMAASHSIATDYGKLDCLSSIIMSFFSRNQPLAFWKAFLPVFNHVFDLHGATLMARENDRFLKQVAFHLLRLAVFRNDNIRKRAVVGLQILVRSSFYFMQTARLRVMLTITLSELMSDMQVTQMKSDGTLEESGEARRLRNSLEEMADEVKSSDLLKECGLPENSLSVTPENFEANLWSWSEVKSLSSSLLLALDASLEHALLASVMSMDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQAIVARNDGVWSKDHVTALRKICPMVSSEITSEASAAEVEGYGASKLTVDSAVKYLQLANKLFSQAELYHFCASILELVIPVYKSRRAYGQLAKCHTLLTNIYESILEQESSPIPFTDATYYRVGFYGEKFGKLDRKEYVYREPRDVRLGDIMEKLSHIYESRMDGNHTLHIIPDSRQVKADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKCESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >OMO83055 pep supercontig:CCACVL1_1.0:contig09946:34049:40535:-1 gene:CCACVL1_11579 transcript:OMO83055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPLYQMFSENLPKSKRLTRNMQTVSCEVDVDQSLQVEIIGAFQKLPMVMPSVDIFQSALRKAKRVLPTKGIANIAKRERNRGAKQLDALMKELAVPLREYIENFPKRMYLHPYERSLIELTLGDGNYEEVLRKVDSLRKKVVSVGKEHASLCAKSLSKREAEERLTEGLQKLEEIFKCEGQAVDNLLYIAKTLRAMPVVDLEMPTLCLVGAPNVGKSSLVRMLSTGKPEVCNYPFTTRGILMGHITINLQNFQVMDTPGLLKRCDEDRNNLEKLTLAVLSHLPTAILFVHDLTGECGMSPSDQFMIYKEIKERFQNHLWLDVVSKCDLLQESPVVFITEDGEADHLELATYRKIGPEGAIRVSVKSDEGLNEVNPSNYNVASSTAPCSFLHFGFEWSDWLQVASGNRWPSVVVIHQVVQGNAWPNLAIFAEEDLAKVEVKTCSLLIQLWACGPCNFPKLY >OMO83056 pep supercontig:CCACVL1_1.0:contig09946:41509:45512:-1 gene:CCACVL1_11580 transcript:OMO83056 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-cell receptor-associated 31-like protein MAQVGLIMTLLFRTPFRKLHIMALDRLKRGRGPVVVKTVAATLIVVLASTLYSMLKIQRRAIDAALVNPTDQVLMSKHMLEASLMGFVLFLGLMIDRLHHYIRELWFLRKTMETEKNQSHGHEDKKYAEELKLLEKEIVILKTKIKNLKSEYETKSKEVNAAQAEAKAVSKQSEGLLLEYDRLLEDNQNLQNQLDSIGQCSS >OMO83053 pep supercontig:CCACVL1_1.0:contig09946:1335:2136:-1 gene:CCACVL1_11577 transcript:OMO83053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKLELGIGIGVGVGVGTGTGAGQGSGTGSGGGVGVGGKT >OMO83058 pep supercontig:CCACVL1_1.0:contig09946:60244:62823:1 gene:CCACVL1_11582 transcript:OMO83058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MVEARVCYFTLVNVNVIVIVMLLLVSCTCTKGEDPYRFYTWNVTYGDISPLGVKQQGILINGQFPGPQIECVTNDNLIINVFNTLDQPFLISWNGVQQRRNSWQDGVYGTNCGIPPGGNFTYSLQVKDQIGSYFYFPSLAFHKAAGGYGGFRILSRSVIPVPFPPPAADYTILAGDWYNKNHTDLKAILDSGGDLPFPDGLLINGGGANGYIFAVDHGKTYRFRISNVGLTTSINFRIQGHKLVLVEVEGTHTLENTYDSIDIHLGQSYSVLVTADQPAQDYYIVASTRFTSQVLTTTAIVHYSNSAAGTLAGPLPGGPTSTKIDWSVEQARSIRRNLTASGPRPNPQGSYHYGMINITRTIRLASSACIINGKQRYAVNGVSFIPADTPLKLADYFNISGVYSLGSIPDGPGPTGDHAYLQTSVMAADFRGYAEIVFENPEENVQSWHIDGHSFFVVGMDGGQWTPASRLAYNLRDTISRCTVQVYPKSWTAVYMPLDNVGMWNVRSENWARQYLGHQFYLRVFSPSNSWRDEYPIPTNALLCGRAVGRNHI >OMO83061 pep supercontig:CCACVL1_1.0:contig09946:71519:73791:1 gene:CCACVL1_11585 transcript:OMO83061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSNSGVESSDHIGHGLKKGPWTAAEDSILMEYVKRHGEGNWNAVQKNSGLMRCGKSCRLRWANHLRPNLKKGSFSPEEETIIIDLHAKLGNKWARMAAQIHVFDFLHMQLPGRTDNEIKNYWNTRMKRRQRAGLPIYPQDQDHQAVGDAGFLFQQRTHKEQEQGRKRPNYYYYNNTSSSNYHLLSSSQPKRQCDFTNFSLLDSMNLSSAGAGAGQNQAVSSFYSNLNHRQYLKLHHHDSNTNTADTDHLYNKENIITTAAQAQTPLFINSGNTFSSLLMGMGTTQTVDFVPPGPGLKPAELIASSYQTPPAPLVQPTATASSSGTGNPNTNKFLYKEHTSGLLDSLLAESRSLSFRNQRNQTNCEDFPHAPTLEEEEEDGDKCVDLGNQWDEFSSSHSSIGVKVGDESIEETNSMDDDLLSLLNNFPSSMPLPEWYNKTNRPSNGSSSSAYMSTVRSSLDVL >OMO83059 pep supercontig:CCACVL1_1.0:contig09946:64621:67455:-1 gene:CCACVL1_11583 transcript:OMO83059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dimeric alpha-beta barrel MVPALLSLPQAQTLRSLHIYSAISTNVMGSRRATPGAKGQISDQPKEDYTHAVFMRFRSKEDLTKFYENPFYLEVLKEHVEPYCHGLMNVDYESEVEDDILPIFRKGEAFNYGVEFVLLIAFVEDAISGPAENALTSLQELTMDFPSLIVQCTQGSNFNFSCEEYTHGMVIRFRSFEAFEIFLTSSKYRDVRNLKLLI >OMO83062 pep supercontig:CCACVL1_1.0:contig09946:77074:78504:-1 gene:CCACVL1_11586 transcript:OMO83062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKGLFRRYERWNPVHPTLGAFWGMGIGVGCGVGWGPGFGPEVIGYVGAGCGVGFSVGITLAGIGIGLPANFLFNLPYNAFLTSRTGALDLTRSSGLFSSKVTPGLGWDPFASHVSALQRESTRRLSSMLPLHASSIWKGFERFNSRFSHPPKGRTSLLRPDIFLVVCAMNFETDMSCFDMGDMDIM >OMP11044 pep supercontig:CCACVL1_1.0:contig01645:251:1276:-1 gene:CCACVL1_00706 transcript:OMP11044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MAEKDTDFSSHRFPSASQVVEELKELWSMALPITAMNWLVFVRAVVSVVFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAYGSKNWELLSLSLQRMIIILFLAIIPISVLWVNLESIMVFMGQDKDITAMAATYCMYSLPDLLTNTLLQPLRVFLRSQRVTKPMMWCSLVAVMFHVPLNYVVVMVMGLGVPGVAMASVVTNMNMVVLMVGYVWVSGRWEMRWTAGIGRVCGGVVPLLRLAVPSCLGICLEWWWYEIVTVMAGYLPNPTLAVAATGILIQTTSMMYTVPMALAGCVSARV >OMO84858 pep supercontig:CCACVL1_1.0:contig09686:24430:24495:1 gene:CCACVL1_10607 transcript:OMO84858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVISPKLFGYFSLAMNSLQK >OMO84857 pep supercontig:CCACVL1_1.0:contig09686:5616:22601:-1 gene:CCACVL1_10606 transcript:OMO84857 gene_biotype:protein_coding transcript_biotype:protein_coding description:sister chromatid cohesion protein DCC1 MIDGPKGKGKPTLKTLRQRSGEDIYDSGFLKAEMASLLVIKKTSPFIIAALNSRFPKRGKYTQDKLKAIEDECEELRKETALMIKQSAKTQIRYLKRIDNFRPTQTADARPPAVNACRAIARR >OMO78571 pep supercontig:CCACVL1_1.0:contig10565:24291:24863:1 gene:CCACVL1_14298 transcript:OMO78571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MARARHSRYPRARASFIEASCMTTRYPALCLKCLSRYANSSKIHNAQQLAQVALTVSLYRARYTRSYMLKVAKELEAMKAKEYPAVRDCLQQIEDSVIQLSQSIRELRRLEPRAGMTDDIFLHINNVETWVSAALTDASFCVDEFPGRRMSKMRATIKGKVLNVAQLTSNALALFHQYAARYRAAANKKP >OMO78569 pep supercontig:CCACVL1_1.0:contig10565:16822:19867:1 gene:CCACVL1_14296 transcript:OMO78569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MEKRQQSWDASSDSRDLEVVEPDNHSKDEMKRPDENSFTKDGSLDRYGKPALKGRTGGWRCAMFILVNQGLVTLAFAAVEVNLVLFSKSVLRQTNAEAANSFSRWMGTVYVFSLLGAFLSDTYLGRYLTCVTFQVIYLIGLIALSLVTQLFLLEPQGCGRLGQLCEPHSSAEIAMFYIAIYLIALGNGAPEPALAAFGADQFDEEDNEERRSKNSFYSYFYVALNLGSLVAETVLAYIQNIGHWVLGFWICATCATIAFSLLLCGTLRFLDRAAIITPTDILNKGETANPWYLCTITQVEEVKCILRLLPIWLCTVLSSVVFIQMLSLFVEQGAAMDTTISNFQIPPACMFAFDIISTSTFILLYDKLIVPLYIRLAKREPKPPSDLQRIGIGIAMAILSMIIAGIVEQQRLKHANHKQASGLSIFWQTPQFVIVGVSEALVYVGQMEFFASQTPDGLKSLGIGLSMYSSAMGSYICSMILTAVMAITTKNGQPGWVPPDLNAGHLDRYFFLLAALTAINLVLFIACAKRYNPVSFEKRALELKMEAIDQPNEA >OMO78566 pep supercontig:CCACVL1_1.0:contig10565:4816:8079:-1 gene:CCACVL1_14293 transcript:OMO78566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSLTLSQALLARAISNHGSTQSSDHRVSLSTLSLPTFSGLKSTVTRASTSRRRLPTRSNPSRQIRAAAVETVGTAAETSLVDKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFILSAGHGCMLQYALLHLAGYDSVLEEDLKNFRQWGSRTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDNEIIDHYTYVILGDGCNMEGIQNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTESVDQRYEALGWHVIWVKNGNTGYDEIRAAIKEAKAVKDKPTLIKVTTTIGYGSPNKANSYSVHGSALGAKEVDATRKNLGWPYEPFHVPEDVKEHWSRHTSQGASLEAEWNAKFAEYEKKYKEEAAELKAIISGELPAGWEKALPTYTPESPADATRNLSQQNLNALAKVLPGLLGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRAAMRISALCEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMLRPADGNETAGAYKVAILNRKRPSVLALSRQKLPNLPGTSIEGVEKGGYTISDNSSNNKPDVILIGTGSELEIAAKAADTLRSEGKAVRVVSFVSWELFDEQSDAYKESVLPSSVTARVSIEAGSTFGWGKIVGSQGKAIGIDKFGASAPAGKIYKEYGITAEAVVEAAKSLC >OMO78570 pep supercontig:CCACVL1_1.0:contig10565:21785:23050:1 gene:CCACVL1_14297 transcript:OMO78570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTGAKDNQLRDSNNQKVHPQPMEETNQNPEAVEAVISKIFTNISSLKSAYIQLQTAHTPYDPEKIQAADKLVISELKNLSELKHFYRENNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEIRQLQQQIEEANQKRLKLEKNIKLRGLSMKESEHSGEENGFFPVDLTPELFTSAVESAFKAIHDFSKPIINMLKAAGWDLDAAADSIEPNVVYAKRAHKKYAFESYICQRMFSGFQQEDFSIKLDNLTVTKESFFHQYLALREMDPLDMLGQNPDSVFGTFCRSKYLAVVHPKMEASFFGNLDQRNYVTGGGHPRTPFYQAFLKLAKAVWLLHRLAYSFDPNVKIFQVKRGNEFSEVYMESVVKNLIMDENDQKPKVGLMVMPGFWIGGSVIQSRVYLTGMKVNE >OMO78568 pep supercontig:CCACVL1_1.0:contig10565:12625:15867:-1 gene:CCACVL1_14295 transcript:OMO78568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLTLPSTVSRLKLVPKALVAIPLSLNLIKSLEQKRIRPSNSPLLSFPPSLGLNSLTAHFSTSRSAVTPDPFHDNLVVLGIETSCDDTAAAIVKGNGEILSQVVSSQADLLAQYGGVAPKMAEEAHSQVIDQVVQEALDKASLTEKDLSAVAVTIGPGLSLCLRVGVQKARRVAGNFNLPIISVHHMEAHAIVARLMEKELQFPFLALLISGGHNLLVLAHDLGQYTQLGTTIDDAIGEAFDKTAKWLGLDLRKSGGPAVEQLAREGDAESVKFSIPMKQHKDCNFSYAGLKTQVRLAIASRNIDAKIPISCASSQDRSSRADIAASFQRVAVLHLEERCERAIEWALKIEPSIKHLVVSGGVASNQYVRARLDHVVNKYSLRLVCPPPNLCTDNGVMIAWTGIENFRAGRYDPPPPANDPEDFMYDLRPRWPLGEEYAEGRSEARSLRTARVHPSLTSIVQASLKQQ >OMO78567 pep supercontig:CCACVL1_1.0:contig10565:9636:11900:-1 gene:CCACVL1_14294 transcript:OMO78567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICRLPISASQRGKLISAGYTSLSSLSSISASYLAGELKVTESEALGILNVASHSHCGGSEMSSGKSSIIDGAQSAWDMFHEENSLMHITTSSADLDNILGGGIHCKEVTEIGGVPGIGKTQLGIQIAVNVQIPRDFGGLGGKAIYIDTEGSFMVERALQIAEACIEDMSEYNRFLRKDLQACEVRMQPKDILENIFYFRICSYTEQIALIDYLKKFISEHKDVKVVVVDSVTFHFRHDYEDMASRTRVLSEMASKLTNLARTFSLAVVILNQVTTKQTEGSYQLTLALGDSWSHTCTNRIVLYWNGNERYAHVDKSPSLRSASAAYSVTPRGIRNSSSSSKRIKMM >OMO65641 pep supercontig:CCACVL1_1.0:contig12632:8986:9075:1 gene:CCACVL1_21460 transcript:OMO65641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTFIPSHIPVQENVVAAAAATMQGRLY >OMO62199 pep supercontig:CCACVL1_1.0:contig13319:2310:8138:1 gene:CCACVL1_22963 transcript:OMO62199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAESSSDYHRASWLSPVSEEIVSNPLQTPVK >OMO74476 pep supercontig:CCACVL1_1.0:contig11122:2450:4654:1 gene:CCACVL1_16667 transcript:OMO74476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MLPRSRTMPSRIHHGAVEERHDIRHYLQVEVQPKSQIESEAMNPEANYSKCFDDDGRLKRTGTFWTATSHIITAVIGSGVLSLAWAIAQLGWVAGPLVLVIFAFINLYTSNLLTECYRSGDPITGQRNYTYMEAVKAHLGGWKVKLCGWIQYLNLFGVAIGYTIAASVSMMAIKRSNCFHKSGGKDPCHMSSNGYMISFGIAEVIFSQIPDFSHVWWLSIVAAIMSFTYSSVGLGLGIGKVAEHGRFDGSLMGISIGTVTHAGTVTVMQKIWRTLQALGAIAFAYSYSIILIEIQDTVKSPPAEYKTMKKATLVSITVTTVFYLFCGCMGYAAFGDLAPGNLLTGFGFYNPYWLLDIANLAIVVHLVGAYQVFCQPLFAFVEKWCAKKWPKSDFVTAEYDIPLPFGGVYQLNLFRLVWRTIFVIITTVIAMLMPFFNDVVGFLGAMGFWPLTVYFPVEMYISHKKIGRGTTRWLALQIINVACFFVTVAAAVGSVAGVVLDLKTYKPFKTSY >OMO74493 pep supercontig:CCACVL1_1.0:contig11122:105150:106011:1 gene:CCACVL1_16684 transcript:OMO74493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTMSWAKGDHPFCLFRFANSKLQYNPPKLF >OMO74490 pep supercontig:CCACVL1_1.0:contig11122:94444:94605:-1 gene:CCACVL1_16681 transcript:OMO74490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAKRAWSLYKKKKAAFITWPLALWTRDSPPPVPLPRLLQKPEPQNHNSFFT >OMO74483 pep supercontig:CCACVL1_1.0:contig11122:26887:33593:-1 gene:CCACVL1_16674 transcript:OMO74483 gene_biotype:protein_coding transcript_biotype:protein_coding description:von Willebrand factor, type A MGERSAKAIKTWHSYLPTSTIATKPPIFFFFLVPTLEFCLERHFSLHRCFFSTLEFVAAMGSTEDEANTSKPISLYDSTLPTEPLLSKPTTSSNYLSHPIEEPAQPDPSEPDPTQFLQISFNYGPRPFKDLPFLILFLLLVICTFGFAIIGIIIWIIVANWHRIELTIRIIGVASDALSKNLGLFLVIPSLTVGLVIYYAPIVVFLVFSRYNGKIVAKESNGEYTCVWKQDSWVPAYFTLAILTMLWSLTTMVEAQVYVISGTIAQWYFCKDDSKPKRSIRSSLRNAFGPSSGTVCLSGLLICAVRVVRAAVDSARHEEDVPGMVQLVLRCCVNTLLSAIEFLNKFTINFAAITGEAYCTSARMTYELLRRNLLSAVFVETISTRLLAGVIFVLSAIYAIIVWAILRGASNLGDAAYIVAALAWLLLIVVLAFFVHVLDNVIDTVYVCYAIDRDRGEVYKQEVHEATMICVDNSEWMRNGDYTPSRFQAQADAVSLICGAKTQSNPENTVGILTMAGKGVRVLATPTSDLGKILSCMHGLEMGGEMNLAAGIQIAQLALKHRQNKNQQQRIIVFAGSPIKYEKKTLEMIGKKLKKNSVALDIVDFGEDEDGKPEKLEALLAAVNNNDTSHIVHVPAGQNALSDVLISTPVFTGDGEGGSGFAAAAAAAAAAGSSDFDFGVDPNIDPELALALRVSMEEERARQEAAAKRAAEEASKQEKGEEAQPQSDSQNATTTTTEKAADPMDEDDALLKQALALSMNIPGSDSSAADAEMSEATNDDQELALALQMSMQESSKDSSGQTDVSKVLGDQSFMSSILSSLPGVDPNDPDVKDLLASLPGQSEPISNQVKSSVSLNAKQTLEEFVETVPVD >OMO74479 pep supercontig:CCACVL1_1.0:contig11122:13993:16320:-1 gene:CCACVL1_16670 transcript:OMO74479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLSSFFPLLFLLFISSNFPISFSTDQTFKTFIFRVDSESKPSVFPTHYHWYTSEFVAEPTRILHVYDTVFHGFSASVTEAHAASLGNHPSILVAFEDRRRELHTTRSPQFLGLRNQRGLWSDSDYGSDVIIGVFDTGIWPERRSFSDTNLGPVPARWKGVCQTGPGFDAKSCNRVLSESLCMENSLDPNLVKGKIVICDRGSSPRVAKGLVVKQAGGVGMILANGASNGEGLVGDAHLLAACALGSDEGDAVKSYVSSTANPTATIDFKANSKHLVLGDTGAIFGSLSWIDGNKHVVRSPIVVTQFDPL >OMO74488 pep supercontig:CCACVL1_1.0:contig11122:68255:69909:-1 gene:CCACVL1_16679 transcript:OMO74488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MGDIRNSASRKGKTFMDLHSLSSSVLILSLIVFFVTPKGVTGASFTFVNRCGYTVWPGILANAGSPSLDSTGFELPKDSSRSFQAPTGWSGRFWARTGCTFDGSGAGTCLTGDCGSGLMECNGLGAAPPVTLAEFTLGTGGQDFYDVSLVDGYNIPMIVEGNGGSGLCASTGCTTDLNRQCPSELRVGEGDACKSACEAFGSPEYCCSGAYSTPATCKPSVYSEMFKAACPRSYSYAYDDATSTFTCTGADYTVTFCPSSPSQKSSRDTTPATETNPMTGSTSQGSGSEPGLTYSGNGYGYSGSGYSSNTGYGYTGTGYSGSGSSSETGSGQTMLTDGSWLAGLAMGDSPRTAASPFVLIASTIVCLLFSFLYL >OMO74485 pep supercontig:CCACVL1_1.0:contig11122:37263:38336:-1 gene:CCACVL1_16676 transcript:OMO74485 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein MYLYSTFDVRVLGTWVEEAVRRNVEELSLNFKFYGGGCVDLFDCLFACKTLVSLELAIHIFVDVPAKIHLPCLRVLKLKKVKYANDDTLRRLISSCPVLEDLTLERTAGDNIVALDINAASVKRITVSGCACDGEIDPRCKLLIKAPLLEWIDLNVKGYFDFLIEDASNLAEAKIEVGKWFYEHQFFKLLREIANVRFLCLVPSSIWSVCPPEAKFPMFHNLVRLDIQCCCVYRHGLMSLLEYSDNLEQLVYHNVELHQSRKCDQALVGSVPKCVSMRLKSINFRRLSFNDCAWTLPRYFLTNAKFLKQMKIGISSRLKKNRRLYLGNLLNYPRASVACEIGFFRESTMKEINLGSE >OMO74489 pep supercontig:CCACVL1_1.0:contig11122:86137:89232:-1 gene:CCACVL1_16680 transcript:OMO74489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MSATQEGVLGRAKAEWTPSRDAYLVELFIEQHNCGRTAYNEFKNEVIRSVTRDFNKKFGMNLEENQIKNRYNVMKKDYGVVKALLGHNGFGWDETRQMVVADDKIWDTYIAVRSEARPFRRKTFPLYKQMSIIFEGERTIAKCIANGVPMITEEGNSNTETVRSSDPTNLPTQVVEGTLDSDSIIRITDKQPKKRKSIVPRASAHKKRVCYDGGETIENAIYDMFSGIKFKALQRDSSNERTLYQKCLEELQQLEELDDAEFTKSIQVCHFYYHLPEFTEVNPASFKIINKCRHTIWPGFLSGANTAQLPTTGFVLNPGKSRTVTIPMHWSGRLWARTLCGHDPSGKFVCLSGDCGSGQIECNGSGAKPPATLAEWTLNGDGGLDFYDVSLVDGYNLPMLVVAKGGKGGNCSATGCLLDLNGACPTELRVARQGGGAVGCRSACEAFGDPRYCCSGAYGTPDVCGPSTYSLFFKRACPRAYSYAYDDGTSTYTCAGADYVIIFCPPPYTR >OMO74491 pep supercontig:CCACVL1_1.0:contig11122:96271:99662:1 gene:CCACVL1_16682 transcript:OMO74491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MATDKVVETVIVGNYVEMETEGKPRSMKSKISSLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLIMTTYTAWYLTIASLLHGQVEGVQHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLLATVYVLTLTLPSAAAVYWAFGDMLLNHSNAFSLLPRNPFRDMAVILMLIHQFITFGFACTPLYFVWEKAIGMHECKSLCKRAAARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHIFTFKSATARENAVEQPPKYFGRWVGAYTINIFVVVWVLIVGFGFGGWASVTNFVHQIDTFGLFTKCYQCPPPALPPSSTPHGLNATAAAPLHHPLNHTRHP >OMO74494 pep supercontig:CCACVL1_1.0:contig11122:107832:109838:-1 gene:CCACVL1_16685 transcript:OMO74494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAGIVKQILQTPIQLADQVTKSADEAQSFKQDCQELKSKTEKLATLLRQAARASNDLYERPTRRIIDDTEQVLEKALVLVIKCRANGLMKRLFTIIPAAAFRKTSMQLENSIGDVSWLLRVSAPSDDRDDEYLGLPPIAANEPILCLIWEQIAILHTGSLDERADAAASLVSLARDNDRYGRLIIEEGGVPPLLKLAKEGKMEGQENAARAIGLLGRDAESVEQIVNAGVCTVFAKILKEGHMHVQAVVAWAVSELAANYPKCQDHFSQNNIIRFLVSHIAFETVQEHSKYAIVSKQNLCIHSVVMASNNTNTPQQQTKREDHDKQQQPGTIAHPLGNQILPSQMQNVVANTMAMRTQTDDKITKPMIPKHNGPNPHHVSNGNQQNHKSHHQHQPHNQNHPHVSLSGTSIKGREFEDAATKAQMKAMAARALWQLCKGNLGICRSITESRALLCFAILLEKGAEDVQSYSAMALMEITAVGEANPDLRHSAFKPTSPAAKAVVDQILKVIQRADSDLLLPCIKAMGNLARTFRATETRIIGPLVKLLDEREAEISMEAAIALNKFATPDNFLHEIHSKAIIAAGGAKHLIQLVYFGEQMVQFPSLTLLCYISCNVPESETLAQEEVLIVLEWAAKQAHLCEVPLIENLLPEAKLKLELYQSRGSRGYH >OMO74486 pep supercontig:CCACVL1_1.0:contig11122:42554:43942:-1 gene:CCACVL1_16677 transcript:OMO74486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDQPPSKNRRISSDENGIDLISDLPDSVLGHIMSFLPTEDALTTSILSKRWVDLWAQVPAFVFDSPHRYPAIDRHNESSFVYFVCKVMLISQAQSISKFTFDRNFDLEFDVDYLRTWISNAVGRNVQDLSLNFRCPQPHINLPDCLFACKTLVSLKLENKIFVDVPATGVNFPCLKILQLMAVKYANDDSMRRVLSGCPVLEDLTVERLCGDNLFVLEVNVISLKRITVKRRSAHYIPWNDPPYKLLISAPMLERIVLKDENYRYFLLEDGYNLAEAVVEISNPLADGRNLCDLLEVFADVRSLSLFHNTIWFNFPSEVKFPVLTNLVQLDIECACKFWLRLLALLECSDNLKILVFRNVRVHSSRRKCDQALVETVPKCVSMSLVTLHFKQVYNCECDWKLVKYFLKNAKFLKQVKIGISFRLKKRRHLLRKLLKYPRASMACEIAFFFASTNEEIRL >OMO74484 pep supercontig:CCACVL1_1.0:contig11122:34074:36218:-1 gene:CCACVL1_16675 transcript:OMO74484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREISILVQPRCLLLFVVLSVFVIFALSTTKREEEKIVEEEHEITHRVFLDVDIDGQRVGRIVVGLYGEVVPKTVGEKGKGASGKALHYKGTPFHRIVPGFVAQGGDIIHGDGRGSESIYGGTFPDENFKIKHSHAGVVSMANTGPDSNGSQFFITTVKASWLDGEHVVFGKVIQGMDIVYMIEGGAGTYSGKPRKKVIIADSGEIPKSKWDEEK >OMO74477 pep supercontig:CCACVL1_1.0:contig11122:5005:6855:-1 gene:CCACVL1_16668 transcript:OMO74477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence/spartin-associated MSSKPSLYPQVDRSNPDATAASSSSSSTLYPSIDMKDLAENLFPEDETASQTHQDSGERVLLKVPGAIVHLIERETSVELACGELCIVSLLQGDNIVAVFARVGDEIQWPLAKDEPVVKLDTSHYFFTLRVPSNGSFEEGKDSKGTEEVLNYGLTIAAKGQEGLLKELDRVLETYSCFSVQEVKGVGNWNIVDTRNVAPEELEKKEKRELIVGSSMAYWTTLAPNVEDYSGSIARGIAAGSGYVIKGILWCGDVTVDRLNWGNEFLKKRMKPGQASEISPGALRRMKRVKKLTKMSEEVATGILSGVVKVSGFFTGSLINSKVGKKFCNLLPGEIVLASLDGFNKVCDAVEVAGRNVMSTTSVVTTGLVSQRYGEKAGQVTNEGLDAAGHAFGTAWAVFKIRKALNPKSVLKPTTLAKAAAEANAAEMKVKKK >OMO74480 pep supercontig:CCACVL1_1.0:contig11122:17788:19908:1 gene:CCACVL1_16671 transcript:OMO74480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQMRITCDWKWDYRRHENWAERERKLHVAILAYYKYNPL >OMO74482 pep supercontig:CCACVL1_1.0:contig11122:22146:23245:-1 gene:CCACVL1_16673 transcript:OMO74482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MAMAFKMATTGMWVTDECKNSFMEMKWKKVHRYIVFKIDEKSRLVTVDKVGGPGESYDDLAASLPTDDCRYAVFDFDFVTVDNCRKSKIFFIAWSPTASRIRAKMLYATSKDGLRRVLDGIHYEVQATDPTEMGMDVIKDKAN >OMO74492 pep supercontig:CCACVL1_1.0:contig11122:103610:104170:-1 gene:CCACVL1_16683 transcript:OMO74492 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MGDSRLTGKVKWFSDQKGFGFITPDEGGEDLFVHQSSIRAEGFRSLADGEEVEFVIESDAGRTKAVDVTGPNGAPVRGTTRSSRGGGGGGYGGGGGYGGGSGGYGGGGGYGGGGRRGGGGYGGGGGGGSGGGCFKCGESGHFARDCSQGGGGDGGRYGGGGGGGSGNCYNCGDPGHFARDCPNGGR >OMO74487 pep supercontig:CCACVL1_1.0:contig11122:52174:65786:1 gene:CCACVL1_16678 transcript:OMO74487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 10 MGVREVYSFSAWKWLGFVTAVWVQAISGNNYTFSNYSDAIKTLMNLTQVELNNLSVAKDVGKAFGLLAGLASDRLPTPIILLIGAIEGLIGYGVQWLVVSQKIQPLPYWQMSIFLCMGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTDLCSALFANDPAKFLIMLAVVPFAVCLTAIFFLREIPQSTSVAVEREETRYFTIFNAVAVVVAVYLLAYDFIASKSQVFSLVFTIILLILLASPLAVPIYGFVKSWRLIGFEDDMERQVPLLKEENTATAEEKKDIVTEEAEVAAVAAAAAADELAIVEKSRPELGEEHTIPEALMSFDFWVLFVSFLCGVGTGMSVMNNMAQIGLSLGYADVSIFVSLTSIWGFFGRIGSGSASEYFLKKAGTPRPFWNAASQILMAVGFLLMALAMPGSLYIGSIVVGICYGVRLAVTVPLASELFGLKYYGLIYNILILNLPIGSFLFSGLLAGYLYDAEATPTPGGGPFYDFTAYTECKAQPEEPLYQGGILKDHQPVMRRGIIGETATGFYTPAFVLNNLTHGSFYCFSTWVRIQGANSALIRASLKTENRTYSCIGTVLAKNGCWSFLKGGFVLDSPSNLSILLFQNSFDKDIDIAIASASLQPFTDEEWKINQQYIINTQRKRAVIIHVSDHEGNKLQGAEISIDQVSKDFPFGSAIASTILGNLPYQNWFVERFNAAVFENELKWYATEPDQGKTNYTIADQMLEFVRAHQIVARGHNIFWEDPKYTPAWVRNLTGSELQSAVNSRIQSLMSKYKEQFIHWDVSNEMLHFDFYEQRLGHDATLHFYETAHKADPLATLFMNEFNVVETCSDVKSTVDSFVERINDLKEGGMYMDGIGLESHFTVPNLPLMRAVLDKLATLKLPIWLTEVDISKSVGKELQGVYLEQVLREGFSHPSVNGIMLWTALHPKGCYEMCLTDEHFNNLPAGNVVDSLLKEWQTGEIKARTDENINKKSTKVTSGSGSVPSPVVLAQFSNFKGSSPTVLAKKGCWSFLKGGFLLDSPSNLASLLFHFRGHTMFWENPTQAPAWVRNLTAPDQLQSAVISRIQSLMTKYKQQFIHWDVNNEMLHYEFYKQQLGPNATLHFFETAHNLDPLATLVMKEWQTGEIKAQTDEYDRNVFPLALEGKNMNQYSFIFGGFLIFFLLLTAMLRNPDGPSNWWRGRFKVQPVQVVQPIDQSERRETFGGGRLYDYTAYTECKAQPEEALYQGGILKHQRNNITGSYTPAFELKNLTQGSFYCFSSWVKIQGANSSLIRASLETENTTYDCVGTVLAKSGCWSFLKGGFLLDSPSNLSILLFQNSDYKDINISIASASLQPFTNEEWKFNQQYIINTQRKRAVTIHVSDKKGKKLQGAKITIDQVSKDFPFGSVITNTILGNLPYQNWFVERFNAAAFGNELKWNATEFHRGKTNYTLADQMLQFVRAHQIVARGHTIFWENPKFEPAWVRNLTGPELQSAVNFRIQSLLSKYKGQFIHWDVSNEMLHFDFYEQRLGPNATLHFYETAHKIDPLATLFMNEFNVISTCSDVKSTVDSYIERLKLVKLQGRYMTGIGLQSHFTVPNPPLMRAVLDKLATLGLPIWLTEVDISKSIGKELQGFYLEQVLREGFSHPSVNGIMLWTDFDPVKGCYQTCLTDENFNNLPAGDVVDNLLKEWKTGEIKSQSDEYGSYSFYGFLGEYKVTVSYGNRIAKSTFSVSGGSHETKHFNIQL >OMO74478 pep supercontig:CCACVL1_1.0:contig11122:8603:9313:1 gene:CCACVL1_16669 transcript:OMO74478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFELCQQLHCCSLKAGFSSYRSVQNTLISAYSKCGNMDLAYLVFKDMGYLKTTVSWNAIINGYGINGEGETALALYHEMRKGMEDADSATYLSILNACSHAGLINDGLMIFNKMVEDDKIRPSQEHYGCIIDLLARAGCLTDASGFLSQLKIGPNAWRALLSGCALHGNVELAEFVAKKVFEMEPRESDHIVLLSNVYASVGRFKDAETLRLGMQKKAVIKNAGVSLLCKYDSG >OMO74481 pep supercontig:CCACVL1_1.0:contig11122:20316:20471:1 gene:CCACVL1_16672 transcript:OMO74481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKASSIWSRGRGGVVQSLRPNSFKSVVVLLGPGWSLTGTTNSTLSGSPT >OMP06214 pep supercontig:CCACVL1_1.0:contig05024:16156:25585:1 gene:CCACVL1_01677 transcript:OMP06214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSRQVVSFHLIQQALIAPAHGGNGK >OMP06212 pep supercontig:CCACVL1_1.0:contig05024:7254:9146:1 gene:CCACVL1_01675 transcript:OMP06212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAMRMKKRRKRNHHDHDEGIGAFEKHTRGIGMKLLEKMKYKGGGLGKYEQGIITPVLPKLRSKNQGLGFNDSNEETDTDCIFQNNPARRGENYITITMDDYFLLEKQLDCKVIDIMPMPELQHNLRLIIGQIEVDVERIDEELSNERDTAVGLQEEIDKLRIGVGRQKQELDESEQILGALFSLENEYNLLGLGKGKLTDHLGLDMLAKCFEKLQMQYPNYYKMYDLSCIASSYALPLFITMFQSWDPLQSPEYGREVVSLWKNLLQYDQNQYYYSQLVTQTVLLAVSNYSSTWDIVRQPEPMLRFLDLWENLLPSSILDIILDTIVVPKLSSAIDTWNPRYQRNYIDDPIHLWVHPWLPILGQKLHSKIWEKLSEFDPCDKFAITMLSPWKAVFDPVSWEHLMHRCVVPTLQLALQGFLINPAIANQKWDQFDWVMSWASVIPIHVMVDLLVKFFFTRWLLVLSDRLCSNCKLEAEEVINWYRGWKAHLAPELLANQSIRHQLSLGLHMMNKAAQLILKDGISSQGLKEKLSYDPTTSEQRLHKGQSGAAKPKMPLRQVIEAHAQRHNLPFKPKFGRMHDGQQIYAFGNISIKLDPVNDYVYALKDQAWSLASLSGLVKMHNHSLTR >OMP06213 pep supercontig:CCACVL1_1.0:contig05024:10136:10515:-1 gene:CCACVL1_01676 transcript:OMP06213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLMSKELIIKTLPKVFSQPGVI >OMP06215 pep supercontig:CCACVL1_1.0:contig05024:28361:28735:1 gene:CCACVL1_01678 transcript:OMP06215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAAPNSSSSSSSSPDFQDYLPLMANKLGGDGLIGELCNGFNLLMDREKGVITFESLKKNSALLGLQDLTDDDLRSMMKEGDFDGDGALNQMEFCVLMFRLSPELMEASQFLVEEAFEQEFNDFH >OMO70255 pep supercontig:CCACVL1_1.0:contig11849:5223:8956:-1 gene:CCACVL1_19035 transcript:OMO70255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAISVPNYHCCYPAILMGKSSKSSSPSPNKGPESAAPRITSNVKQSLQFLKSWKEYQKKKSSAPKPATSYRRKKVQKEKLPEDTELYRDPTTTLYYTNQGLDDAVPVLLVDGYNVCCYWAKLKKHFMNGRLDVARQKLIDELISFSLLREVKVVVVFDAMMSGLPTHKETFIGIDIVYSGESCADAWIEKEVVALREDGCPKVWVVTSDRCQQDAAHGAGAFVWSSKALVSEIKASQKEAEMMLEEQRSSSFQGRLLKHNLDSEVVDALKDLRRQLAEKESK >OMO70256 pep supercontig:CCACVL1_1.0:contig11849:11188:14137:1 gene:CCACVL1_19036 transcript:OMO70256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAIWLLLLVVFVQVSIIAAVTDAGDSAALKSLAADWKSAPPGWGSADPCGDGWVGIGCTGSRVTSIILPNMNLEGDLSGDISALSELQQLDLSYNKGLTGPLPASIGNLKKLTNLILVGCGFNGPIPGTIGSLGLLKFLSLNSNAFTGRIPPTVGNLSNLYWLDMADNQLEGPIPVSDGSTPGLDMLLNAGHFHFGKNKLSGTVPSQLFNSKMTLIHVLFESNQLTGPLPSTLGLVKTLEVVRFDSNLLNGRLPSNLNNLTSLHDLFLSNNKFTGPLPDLTGMSSLNTL >OMO54560 pep supercontig:CCACVL1_1.0:contig14954:3144:5947:-1 gene:CCACVL1_27743 transcript:OMO54560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase type 2/haloperoxidase MESIAVWQGVALCGIFSWIVISSYFNVTKKIRSLLQPWVSHHVVTGTPSILKIQKYQHKFCDALFSGLSCVVSVPFYTGFLPLLFWSGHGKLARQMTLLMAFCDYLGNCIKDVVSAPRPSCPPVRRITATKDEEENALEYGLPSSHTLNTVCLSGYLLYYALSYMQSEDACIKLAAVALACLFVGLIGIGRIYLGMHSVIDIIGGLVVGLGILAIWLAVNDYVDGFIVSGPNVTSFWAGLSFLLLFAYPTPELPTPSFEFHTAFTGVALGIVAGVQQTYHQFHHEAVPRIFTPQLTIPAFLGRVLVGIPTILIVKFCSKALAKWILPMVSNTLGIPIKSTSYIPMLNGSAAEKKLSETKQSSYIQKMLFFTRQDVFDVDTGIRFLQYAGLAWSVVDLVPSLFSYLRL >OMO75828 pep supercontig:CCACVL1_1.0:contig10966:25103:40161:-1 gene:CCACVL1_16025 transcript:OMO75828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRLILFVCFSESYPTSTTTNIINAAGTAIASAKCLCTATDVPAASTNVAPSLPAAPHSAPSDTATDCGAHEIYYQSLLADSITH >OMP03542 pep supercontig:CCACVL1_1.0:contig06046:12536:13028:-1 gene:CCACVL1_02374 transcript:OMP03542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTSSLDNSLSDMYRSPPRPLPYDADTRYFRLQRDSLVSRREKGSSHSQEESEPLRAEDDADSESLGTGDKWNACEECSKDQNSKSSHNLSSAKAPVGIGYIYSSAEEEDVCPTCLEGTNNSLAL >OMO58593 pep supercontig:CCACVL1_1.0:contig14202:4002:6931:-1 gene:CCACVL1_25430 transcript:OMO58593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEITCAILTVIVHHKSTILALHLSSSSWCLLDFDITNGLLFLSNRGEHGPVGSVRIPIEDAMGCIGGFG >OMP11633 pep supercontig:CCACVL1_1.0:contig01075:10041:10553:1 gene:CCACVL1_00379 transcript:OMP11633 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor TT2-like protein MAPSAGKSSTHVVRTRAIRCSHKVFIDYPLPLHPHAKKQIFDPNFDTKTSSEPSTNGDCLDQIPQLFDHEDQNNNNDDDSSDFMLDFNMGEFSLSDLLKSDFAELDNSINDETLSPSTSSDQQAAAEAAVPLVFSEEMFQDWSSSSQQNVASNLHSLAPFLDCGEEWFAE >OMP11632 pep supercontig:CCACVL1_1.0:contig01075:2372:2881:1 gene:CCACVL1_00378 transcript:OMP11632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTYKQHEVIRTKAVKCSKAVNVNVNIPFFSNDYNNLDHPKFENTNIASDHSSSSALNVVDDINSIDFLVDFDINELLAPDDSIPDFHIDQIHDQQVDENGTDDQNINGKCEINGFNFVDEVDDHQLHLNSKPVDDETRIDDFSQQATETMDLRSIASYLNLEDHEWID >OMO62331 pep supercontig:CCACVL1_1.0:contig13282:32060:34383:1 gene:CCACVL1_22899 transcript:OMO62331 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA-box binding protein MAEEGGLEGSQPVDLSKHPSGIVPTLQDVEVNIFGIIMCNIFFDVLDLVIEYLRNIVSTVNLDCKLDLKQIALQARNAEYNPKVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSVRDETYTAFENIYPVLTEFRKNQQW >OMO62323 pep supercontig:CCACVL1_1.0:contig13282:1075:4548:1 gene:CCACVL1_22891 transcript:OMO62323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATADRILSNFTPLEVADSLGNLSLDSQAKTSKVPEPLNKDVYGDNGSYMYQQTYGYMPYGAAYSVPSSPVPSMGHYGLHAIQEYHYPSPYYQPLLQTSQANASLVEFSNLGAADQALLSVETNKGNSTTIASAGGLSGNNGSGSLKSTFKGSSLNPNASYKRGGLPTGNLSQGYQDPRFSYDGVQSPIPWLDMPMYSNGQSEHATNNGSLHIRTIFHLAGITTFVPTLMSWGRGYGKENMDVLSELNKGPRVKGYKKQDGFAPSTLAVTDQNLPLTETNKENKVSLVPDMEHEDDVHKSIKYGVWASTSTGNKKLDAAFQEAKEKADGCPVFLLFSVNTSGQFVGLAEMVGPVDFNKTLEYWQQDKWTGCFPVKWHIVKDVPNSSLRHITLENNENKPVTNSRDTQEVNLDQGIQILKIFKEHSNKRSILDDFEFYEARQQIIEEKKAKHQLLQKPALNGTPNDVVATDNKQNALDTSEKSVESALTEEQKSNGDVKPVEENGSVAATEDGPKKSV >OMO62330 pep supercontig:CCACVL1_1.0:contig13282:29594:30778:1 gene:CCACVL1_22898 transcript:OMO62330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MANSSKDNLIPTSNLPLQDRVAIVTGASRGIGRAIAIHLASLGAKLVINYTSNKAQADLVAAEINSSFPSHTPRTLTVQADVSDPNQVKSLFDIAEQFFNSQPYILVNSAGVSTDRNINIADISVEEFDRILRGAFLCAKEAANRLKRGGGGRIILMSSSIVASLRPGQAPYAASKAAVDAMVKILAKELKGSGITANCVSPGPIATDMYFAGKTEEMVQRNIDECPLGRLGQGEDVAPVVGFLATDASEWVNGQVIRVNGGYV >OMO62325 pep supercontig:CCACVL1_1.0:contig13282:10141:11879:1 gene:CCACVL1_22893 transcript:OMO62325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLSFSLPKPNIIKASSSTSTATTTLLTPEALDEKFGRKGIKFLESNNVPFVELTVRNGSSLRLQIPNAHVTSYKPKVYWKDDGFEEILHTVPAGGADSSKAKGGIGLVLNDASDKGSKGSLLSDSDWTVKDADNDAIDALQVELSCTAGTLDISYVVSLYPLSIATAVIVKNNGRRDVTLTSAILSHLNFKKRGGTAINGLKGCSYCKHPPLSSPFELLSPSEAMKSESSGWFGSDNEEKPGVWTEQDVSITILKDKVSRVYAAPPSERLKAIYNTPPSKYETLDQGRELSFRFIKLGFEDIYLSSPGSLSEKFGKGYFICTGPASMLVPVVIKPGEKWRGAQVIEHDNL >OMO62332 pep supercontig:CCACVL1_1.0:contig13282:35423:39106:1 gene:CCACVL1_22900 transcript:OMO62332 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease MARFTPQGRLKLLFHGDGVEPKDPFHYKLVRNVFGGSGGLDSLQVHKNRLRFLGSSTTRQYFCLKKGRAFNVKARLDASDGGIYGEEDYDSEFETDDLACFRGLVLDISYRPINVVCWKRAICLEFMDKADVLEYYDQTVNSPSGSFYIPAVLRVPHLLQVVKRRRIRTTLSRKNVLFRDNFTCQYCSSSENLTIDHVIPVARGGEWKWENLVTACAKCNSKKGQKTPEEAHMKLSKVPKAPKDFDILAIPLTSSAISMLRKRNGTPEEWRQYLSPSTEP >OMO62327 pep supercontig:CCACVL1_1.0:contig13282:14267:21088:-1 gene:CCACVL1_22895 transcript:OMO62327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Survival motor neuron interacting protein 1 MAIARTGVYVDDYLEYASTLPAELQRLLNTIRELDERSQSMINQTRQQTKYCLGLAAKRGNGNSYMNNGHEDEETIEKMRKDIESSQESALSLCTEKVLLARQAYDLIDSHVKRLDEDLTYFADDLKQEGKIPPDEPAILPPLPIVPKIEKQRKYIYGTPQSKRLDYRDRDWDRERDRDFELMPPPGSHKKEFVAAPVEVEQPIDPNEPTYCVCHQVSFGDMIACDNENKERQGLWLASWRSECLKSEQKRGLLKGKMMGRNLSPILRRELANLDKDADSRKSAMKALKSYVRDLDSKAIPIFLAQVSETKETGCVSGEYTISLYEVLARVHGVKIVPQIDSIMSTIIKTLASSAGSFPLQQACSKVVPAIARYGIDPTTPDDKKRHIIHSLCKPLSESLLASQESLSSGAALCLKALVESDNWRFASDDMVNKVCQNVAGALEEKSTQTNAHMGLVMALAKHNALIVEAYARLLIKSGLRISDAGLAEGNSQKRFSAIQMINFLMKWLDPRSVYSEVELIIEEMEKCQSDQMAYVKGAAYEASQTAKKIAQEKGSKFENGCGSVTGSNFGRREHSRRRNSVTSGDRSPATASPESQTLDSFIEYDSFIESPVSMISRNMDYDRRSVNRKLWRGENGGVDVSLKDGLFSAVARGSSLCDSPSDLHDLTNHGSEYTEEFTGFFQRSPRNGIPRSATPSPQRSRSRINVDNLFTTPRKLIRSLQDPNDANSDYSEKQSRRYRSPTSEKFGWSPTTNHNGFRHGMIYEVRRNGNVYTNGEEYQGVSESVSSTDDSPADVDDVQESHDEVPMKKTETQEFPMTKTETQDFSKEKARKKTASKILFGLFLFIVVILTSLFWTEVQDEDFYVVPT >OMO62329 pep supercontig:CCACVL1_1.0:contig13282:27285:28763:1 gene:CCACVL1_22897 transcript:OMO62329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MSTSAAAATNPLPLKDRVAIVTGSSRGIGKVIATHLAELGAKVVINYSSSSEQADQVATQINSRYPGESPRAVTVKADVSNPAQVKFLFDSAEQAFGSTIHVLVNSAGVLDPKYPKLADTSLEDFDRIFSINTRGAFLCAKEAANRLKRGGGGRIIVLSSSMVAALRPGFGAYAASKAAIEAMIKIMAKELKGTGITANCVAPGPIATEMFFAGKSKEMVQKVIDECPHNRLGESEDVAPVVGFLATDASEWVNGQIIRVNGGYV >OMO62326 pep supercontig:CCACVL1_1.0:contig13282:12757:13940:1 gene:CCACVL1_22894 transcript:OMO62326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLENGSTATVMLPSGLITSYKAPMWHGGSVELLHTSVSEGDEGEAVIQGGVSLALNCEDDDEVSWSPSNWTLRDISGNSKDSIKVELISTDTENMVETRHILTLQEDVLSSEIVVSNSKSSPLKWTGSIISHLTVSSPDATYALGLEGSNFLSIPPFLSDFGIVPPDFDQENGSYIGQLWNQMGLDRLFNGNAREEEMEGEEDDGYKQLDEQMSRIYTSAPRFFTVIDRGRRNSVHVGRDGFDELYMFSPGSKHEIYGEYSYICVGQSAMLKPIILGPGQVWRGSQHLHNPNL >OMO62333 pep supercontig:CCACVL1_1.0:contig13282:44539:45692:1 gene:CCACVL1_22901 transcript:OMO62333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MDFCRNVSVSGEYQQEQVLSSPCSKLSTLDDLFPAQNTEVDVSMEWLSIFVEDCFSSTGNCIPVTAEATKTTTTTTNGQNKNKSTDETTKQAVSAAAKSLLQKPQQNDTLASLQKFVVPGKARSKRKRTTATLLSKTKSNPFSSWSKNLNPHNPNFQLASSDPPLLHQTYWLADSELMVPKKEEDNNSGISNIMGSSNSEEEESKKEEEIMVEGKMMMMNKESLGQQQQQPRRCSHCLAQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYLHSNSHKKVMEMRMSCLSSIPSEQ >OMO62324 pep supercontig:CCACVL1_1.0:contig13282:6053:9490:1 gene:CCACVL1_22892 transcript:OMO62324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MGFSMQPYGIQSMLKEGHKHLSGLDEAVIKNIDACKQLSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGELLQNAEELIRTGLHPSEIISGYTKAIKKTTEVLDELVEKDSESMDVRNKEQVVTRTKAAVASKQHGQEDILCNLIADACIQVCPKNPANFDVDNVRVAKLVGGGLHNCTVVRGMVLKGDAAGSIKHMEKAKVAVFAGGVDTSATDTKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGAAIGEMALHFCERYKLMVLKISSKFELRRFCRTTGTTALLKLSQPKPDELGFIDSISVEEIGGSRVTVVRSEEGGNRVATVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELARRLKEFSFKETGLDQYAIAKFGESFEMVPRTLAENAGLNAMDIISKLYENHASGNTKAGIDLRGGDSEDGVCKDASEMNIWDLYVTKFFALKYAADAACTVLRVDQIIMAKPAGGPRRPEQPAGMDED >OMO62328 pep supercontig:CCACVL1_1.0:contig13282:21841:26920:1 gene:CCACVL1_22896 transcript:OMO62328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MEENEGNFKEAGFSHSMENRGVKANHLTFLWLLEGCLSSGSLEDGMKLHGKILKMGFSKERVLSEKLIDFYIGLGDVDSAIHVFDDMPKRNVFYWNKMISGYVSKKMNYKVLELYSRMVAENVNPNERTFAGILKACTDSNILFDYVEHIHARIIRHGFGSSSFVCNPLINFYTKNGYIDSAKKVFETLYVKDNVSWVAMISGLSQNGYEEEAILLFLEMHISGMFPTPYVYSSVLSACTKMELFKLGEQLHNLVHKQGFSSETHICNALVTLYSRSGSLVSAEDIFSNMELRDGVTYNSLISGLAQCGYSERALELFEKMQHDFLKPDCVTVASLLGACASLGALYTGKQLHSYAIKAGFSMDIIVEGSLLDLYVKCSDIETAHEFFRTTETVNVVLWNVMLVAYGQLDNLTESFHIFRQMQIEGLVPNQSTYPRCSLPRLELSDHQLNVVFACAERSETSMEEASSSLTLKDRVAIVTGGTRGIGRAISIHLHSLGARVALIYASNSMQADLLVSELNASCPPDHPRAIAIKADVSDPEQVKLLFDKTEQEFGTKAHILISCAGVMDPKYPTLANTTEEDWDTIFNVNTKGSFLCCREAANRLTREGGGRIITISTSLVGSLLPGYAAYVASKAAVEAMTKILAKELKGTKITANCVAPGPVATELFFAGKTEETIKRFVDACPLSRLGEPKDITGIVGFLASDAGEWTNGQVIRVNGGVVI >OMO88022 pep supercontig:CCACVL1_1.0:contig09121:30780:37425:-1 gene:CCACVL1_08586 transcript:OMO88022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVELVFPAMAVVLVLLGLVVTVKAEIYIVTVEGEPIISYKGGENGFEATAVESDEKLDTTSELVTSYASHLEKKHDMLLGMLFERGSYKKLYSYKHLINGFSVHLSPEQAETLRHTPGVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFVDSGIYPHHPSFAAHHTDPYGPLPRYRGKCEIDPDTKRDFCNGKIIGAQHFAEAAKAAGAFNPAIDFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKALYRLFGGFVADVVAAIDQAVHDGVDILSLSVGPNSPPATTKTTFLNPFDATLLAAVKAGVFVAQAGGNGGPFPKTLVSYSPWIATVAAAIDDRRYKNHLILGNGKILAGLGLSPSTHANQTYTMVAANDVLLDSSVMKYSPSDCQRPEVLNKNLVEGNILLCGYSFNFVVGTASIKKVSETAKALGAVGFVLAVESVSPGTKFDPVPVGVPGIVITDVSKSMDLIDYYNVSTPRDWTGRVKSFKAIGSIGDGLMPILHKSAPQVALFSARGPNIKDFSFQDADLLKPDILAPGSLIWAAWSPNGTDEPNYCGEGFAMISGTSMAAPHIAGIAALLKQKHPHWSPAAIKSALMTTTTKLDRAGRPLQAQQYSETEALKLVTATPFDYGSGHVNPRAALDPGLIFDAGYEDYLGFLCTTPGIDIHEIRNYTNKPCNNTMGHPSNLNTPSITVSHLVGSQTVTRTVTNVAEEETYVITARMQPAIAIETNPSAMTLKPGASRKFSVTLTTRRVTGTYSFGEITMKGSRGHKVVIPVVAMGYWR >OMO67791 pep supercontig:CCACVL1_1.0:contig12390:13801:13932:1 gene:CCACVL1_20312 transcript:OMO67791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPTNPRGKLTAIGESPLTLTLTAGLRGESGANTDNGRSIRG >OMO67790 pep supercontig:CCACVL1_1.0:contig12390:6593:10845:-1 gene:CCACVL1_20311 transcript:OMO67790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQKRLDYGFNGYQVPATPRATRSARKRVPFRKRCDDNQISAFDLLATVAGKLLLDKEGTPASSNSLSDEEQSAALKTTVKEERQDGSKSLNVETCNQESIERKFFVSELVSETKNPNYSSRESLSPQNDAHHGFASAITIADCSERVDAQKLMKGKAENDLGSLPCKVETDSFACGTCSDDCIKPESGNKGQTYEEVGVDRTHKISVGEVADKFPLEDPVVVDGKPPSLVSSDSSGKAPSCEDHYPLSSFPPKRDAVNVVSRDDDENSSGCTHPRPIKKSFRPTPRIGDRRIRKILASKYWKVGPRLKDVTFSNSGENLKPAYCDRNSAYKRLRSERNYPFKKRKFLHCSSVSSSDEGFSNEGNSNSPEKSINGHTSSVYSKMHGVAGESSSLADQRKSFHSRDSHVKLRIKSFRVPELFIEIPESATVGSLKRTVMEAVTAILGGGLRVGVLLQGKKVRDDNKTLLQTGISRDNQMDALGFSLEPNPSQTSPSLCPGGSPLMLPCDTPLPLARYPATPGSVNQVTCDPSPEPHMPNLGNFVESDHDSAPSPTDMSLDKSTTDSKALVAIPAMSVEALTVLPAHRKSKRSEVVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSQQQLKP >OMO79772 pep supercontig:CCACVL1_1.0:contig10378:16546:18563:-1 gene:CCACVL1_13430 transcript:OMO79772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MVTNNLVSVLFFIISAILSHSEALRFPQELFNFTSNQISIADNPSRPPSSSASPAPLFSPTNPPSSSSPLVPALFIIGDSTVDCGNNNYLGTFARADRAPYGRDFDTHQPTGRFCNGRIPVDYLALHLGLPFVPSYLGQAGELEDMLHGVNYASAAAGIIFSSGSELGQRIAFTHQIQQFTDTYQQFVLSLGEDVANDLISSSVLYISIGINDYIHYYLRNVSNVQNLYLPWGFNKFLASTMKQEIMNLYNLNVRRMVIMGLPPIGCAPHYLWRFKSNNGECIEQINDMIMEFNFVMRYMIEELIHQLPDARIIFCDVFEGSMDILQNHERYGFNVTAEACCGLGKFRGWFMCMSPEMACRNASTYIWWDQFHPTDAVNAILADNVWNSRYTRMCYPMDLENMLVPQA >OMO79774 pep supercontig:CCACVL1_1.0:contig10378:28529:32384:1 gene:CCACVL1_13432 transcript:OMO79774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MVKPQRPPSGRTNLASCIVATIFLIFVVIIILIVYFTVFKPKDAKISVNAVQLPSFSVGNNSVSFTFSQYVTVKNPNKAAFSHYDSTVQLLYSGSQVGFMFIPAGKIEAGQTQYMAATFAVQSFPLSPPNEASAAMIPGPTTTTTTGPIGLIDGFGATNNGYRVGPTMEIESRMEMAGRVRVLHFFTHHVDAKSECRVTIAGRCRVRDHHHSPSTVVRALALAHMRAPALSLKFPPTGAQFFIRRKNRRHQRTPEQRRAIKRENDRRRRREHTMEFQRLQKSEAELLALLETQRGENRQLMEENERLNLGMSNLMNQMHQLRQQMEQQRHQQALQLDDSLLKFDNYQIPDHELANNEAISHQGSQVAVMYADELVEDFLKKLDARDKSNVDFSDFDGLKDELRTTGIDNLPTSLAVFDTSIKQTFGEIAADSTQSSCTAMPSHILFCAAIREMHRLQLGEIDERKILLWRDAINSALNINFKVDFAMMHLKRIAHAFFRLKAQNDQFNDPELKSIAGRIAQLMIEHHNLQEQFSQKVEERNSEVRKQCLHEAQYFSGKFLSTGLLP >OMO79775 pep supercontig:CCACVL1_1.0:contig10378:32976:40384:-1 gene:CCACVL1_13433 transcript:OMO79775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLISLVNKIQRACTALGDHGEASALPTLWDALPAIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHKSDEGSREYAEFLHLPRKRFTDFAAVRKEIQDETDRETGRTKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSYIEKPNCLILAISPANQDLATSDAIKISREVDPTGERTFGVLTKIDLMDKGTDAVDILEGKSYRMKFPWIGVVNRSQADINKNVDMIAARRREREYFANTPEYKHLAHRMGSEHLAKMLSKHLEVVIKSKIPGIQSLINKTIAELETELSRLGKPIAADAGGKLYTIMEICRLFDQNYREHLDGVRPGGDKVYNVFDNQLPAALKRLQFDKQLSMDNIKRLITEADGYQPHLIAPEQGYRRLIESTLVTIRGPAEASVDAVHSILKDLVHKAISETPELKQYPALRVEVTNAAIESLDRMKEQSKKATLQLVDMECSYLTVDFFRKLPADVEKGGNATQSIFDRYNDSYLRRIGTTVLSYVNMVCAGLRHSIPKSIVYCQVREAKRSLLDFFYTELGKLEQKRLSSLLNEDPAVMERRSALAKRLELYRSAQAEIDAVAWSKTNEHHRRSVTASLVAGVYILERDRQEKRQDSQALAPPWWEFFHFKLIRQLIDDADFCIFGAIYEYKPPSSHYNDSIDRSPRYVIAFRGTITKPDSFSRDFELDMHIIRNGLHQTSRFEIGMQAVRNMVASVGDSNVWLAGHSLGAAMVMLAGKTMAKQGNFLEAFLFNPPFLSAPIERIKDKKVKHGLRIAGSVITAGLALAANAKSNNLRSRSEDPFTVLSAWTPCLFVNPADHLCSEYVGYFEHRKKMEEIGAGAIERLATQHSFGGLFMSVVGRSAEVAEPLHLLPSAYLTVNLSPSQDFKQAHGLHQWWRPELHLKSNLYKYK >OMO79771 pep supercontig:CCACVL1_1.0:contig10378:11582:16196:1 gene:CCACVL1_13429 transcript:OMO79771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recoverin MRAFKACFCLNKIRQRPGYEDPTILASQTPFTVNEVEALYDLFKKLSSSIIDDGLIHKEEFQLALFKNSTKQNLFADRVFDLFDTKRNGVIEFGEFVRSLSVFHPNAPEADKIAFLFRLYDLRQTGYIEYVELKEMVLALLSESDLVVSNDVVESIVEKTMIDADLKGDGKIDEEEWREFVDKNPAIIKNMTLPYLNFRVTKQTTGIEHHMPICLGIKGNRLPCFNRLILLPHDTDTIISSLNSLCGWHCHGLKMKTQPFFLLKPAEEFQLGLFRNSNKQSLFADRIFQLFDINRDGFIEFEEFVKSLSIFHPEAPHSQKVAFAFQLYDIWETGFIEREEVKEMIWALLAESDLILPDDIVEAIIDKTFEDADSKRDGKIDLEEWKELVARNPTLLKNMTLPYLM >OMO79776 pep supercontig:CCACVL1_1.0:contig10378:42719:45981:-1 gene:CCACVL1_13434 transcript:OMO79776 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MSKSILVTGGAGYIGSHTVLQLLLGGYKTVVVDNLDNSSDVAIKRVKELAAEFGKNLSFHQVDLRDKPALKKIFAETKFDAVIHFAGLKAVGESVQKPLHYYDNNLIGTIVLLEVMAEHGCKNLVFSSSATVYGWPKEVPCTEEFPLAAVNPYGRTKLFIEEICRDIRASDSEWKIILLRYFNPVGAHPSGHIGEDPRGIPNNLMPFVQQVAVGRRPALTVFGNDYKTKDGTGVRDYIHVVDLADGHIAALRKLSDPKIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVMAGRRPGDAEVVYASTAKAERELNWKAKYGIEEMCRDQWNWASKNPYGYGSPDSTN >OMO79778 pep supercontig:CCACVL1_1.0:contig10378:55201:56160:1 gene:CCACVL1_13436 transcript:OMO79778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADKKRTKIRQFYSLGKGKFYKIYLPQVKAKRCFSSRGWIITIGRDWGMNMVNPLSHVQLKLPHMSTFKYLKDVDVYYMVSMFITKVVLSSSSNPCSTSSDCTVMAIYGCKRKLAFAKAGDDTWNTVETTNGVPCQYCDDLICYDNKFYGLTSLGEVIACDVEDPQQVKLISIVPKELLPRYEKQYLVESQGSLLVVSREGQQIRDSRGLVRQDFTEFFDILQLNAVDGSWTELDSLGDTALFLGDNSSFSIAAIDIPGIKPDHIYYTDDYYEAFLGTSEGGGRDTGIYSMKDDIADRCFNFGSYSHVSPPLWVEPSF >OMO79770 pep supercontig:CCACVL1_1.0:contig10378:8060:8197:-1 gene:CCACVL1_13428 transcript:OMO79770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPNPKSSRFPKSRNYHSAPFFIVITPPSRHTRKKMAVSPLYRS >OMO79773 pep supercontig:CCACVL1_1.0:contig10378:24162:26497:1 gene:CCACVL1_13431 transcript:OMO79773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVDVALSPVPPEAVQSAGYCNNHPGESILVYLSVAGSVIPMRVLESDSIASVKLRIQTCKGFVVKKQKLVFGGRELARNDSLVKDYGVKGGNVLHLVLKLSDLLHITVQTTCGKEFELHVDRYRNVGYLKQRIARKGKGFVDVDEQEIFCNGEKVDDQRLIDDLCKDRDAVIHLLVQKSAKVRAKPIEKDLELSVVAESDLGARRDSVGSEKNQSEGLQIVTKEPIVRDFWLEPVFVNPKVKLPSFMWDMLHGTFDGLEMGKQPVQSSEGTGGTYFMQDKLGLEYVSIFKPIDEEPMAVNNPQGLPVSTNGEGLKRGTKVGEGAVREVAAYILDHPKSGPRSLTGEMMGFAGVPPTCMVQCLHKGFNHPNGYECAPEDVKVGSLQMFMKNSESCEDMGPGGFPVEQVHKISVLDIRMANADRHAGNILIGKGEDGQTVLIPIDHGYCLPENFEDCTFDWLYWPQSRQPYSPYTIDYIKSLDAEQDIELLKYYGWDVPAESARTLRISTMLLKKGVERGLTPFAIGNIMCRETVNKESVIEQIVREAQDSLLPGMSEAAFIESVSQVMDSWLDKLTN >OMO79777 pep supercontig:CCACVL1_1.0:contig10378:49543:53940:-1 gene:CCACVL1_13435 transcript:OMO79777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNIASSAWLSRFSPSNFSDSSPNNNNNATLLSLFLRTNSLSSLKTNKPFKTRASIKETQPNGVVEEDETSLLNEELLGRVSGTKDAVEALEMIAQSQSESSERRNGGVVSVSDCRLIITAALDRNNADLALSVFYAMRSSFDTGVSENGPLIDRWKWSRPDVGIYTTLVQGLAASLRVSDALQMIDDICRVGVSPGEEVPFGKVVRCPICTIAVGVAQPQLGIQIVCCAKCCYKYELVSGNIVSVDSEEISMEIPAWKRGLKSLQILKQRFPAAVHSIVVQTPSGIARTHRFATETVDLPAQEGERVTIACAAPANVYREVGPLKFSPKAPNFYPGEPMCLTNHQDGRESQLLRAPTKDGNSFLLKPEFFIPLLSVLAAGDVATGIIDPSLPQLLSVAAVGSLAVGATLNAVIFPQLNQLPQRSVETTAIKQQLLSQYDVLQSRILELKEAAEKEVWMLARMCQLENKIFAVGEPSYRARRGRIKRVREGLENSLKGRIELIDSYARISSMIEIEVEMDSDVLAAEAASNAETIAEQIQQIMELENLEEKWKLQAEANDEAERLLSSQS >OMO79769 pep supercontig:CCACVL1_1.0:contig10378:179:7727:-1 gene:CCACVL1_13427 transcript:OMO79769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MDVDLVASGILEEDTVPVIGHHNDLSNFEGERCGICMDIIIDRGVLDCCQHWFCFACIDNWATITNLCPLCQSEFQLITCVPVYDTIGSNKVEDESFSRDDDWSIEGKSNTLSFPSYYIDENAVICLDGDGCKIRSRPTTVEGDPNLDTSIACDSCDIWYHAFCVGFDPEGTSEDTWLCPRCVANQAPKKSDVIPKEMNNNQHTPDIANGQCVRETALSGKLSVSVADVGETAIVVSMVGEDQVAQEPSKNFLSTLEVSDGVKIELSNSDGNSCDTEKPSCDKSTTPPILEGQELELSLSHNTFSTLLSNSLVKSELKTSKAAETIKQPSSLDGVGSSLGKSPNESYTTKRLSENESNMGLHLGLSIGSFLSVDDGMKSGESKDCVNAEFEHQSRMKEPSPPVEKIEPEPDNKENAGTITALKRKHGDFRSDVISSNGEETKCDIETEASEKKIRVEELVQVAPESQGNACVSDDILKCPTPKAVSRDGKLRNHPEKEDSVPSIMSIVQGTGRRTSSKGLGHRNPADEASKGENLAGLRVKKIMRRASEDNESSIVVQKLRKEIREAVRNKTSEEFGENLFDPKLLAAFRAAISGPKPETVNKLSPSAVKMKKSLLQKGKVRENLTKKIYGDSNGRRKRAWDRDCEVEFWKYRCVRSSKPEKIETLKSVLDLLRKNPDGTKRGSTSECQASNPILSRLYLADTSVFPRKDDIKPLSALKTSNSSEQGREQHVSVEKTPVLSPDIHTVKTTEVNKVSSKVGGLLTGLKGTKTSVLNSKGTATSSISEGSSISSSSNSKVKSQKEVVAKSEDAKIDKRKLALAVLARKKAAESKNGTQERQEDNALLKGNYPLLAQLPVEMRPSLAPSRHNKIPVSVRQTQLYRLTEHFLRKTNLSVMRRTAETELAVADAINIEREVADRSNSKVVYLNLCSQEILHRSDDNKGVGAKESDTSSPSEISIDGQDQGTGECSTDPAVEEALRNAGLLSDSPPNSPHHKAEVTGVEDDSSAKIKEEEPDNVFEMDSHLEEDIYGDFEYDLEDEDYIGVSAEKAPKLQPEEGVSKMKVVFSTLSTETSKPNDVADSVDNEKIENLVVPNDSSCFLKNNTDAVIKCSTVDDGTDRSCAVTESLPNEEAEDLSIAECEELYGPDKEPLINKFSEASQKIYGVVDTETLAENCTPEDRKVNASDPGIHSKKEGKAIDTFGHGSSDGASSADQIQTGENIKKKDKKSNTETDKQSDGANHVSKKVEAYIKEHIRPLCKSGVITVEQYRFAVAKTTEKVMKYHTNSKNANFLIKEGEKVKKLAEKYIETAQQKEKSDPL >OMP05195 pep supercontig:CCACVL1_1.0:contig05489:9151:13214:1 gene:CCACVL1_02016 transcript:OMP05195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKARKKKHRDSPEGNFKYKLDMCSRHGNLEEALRLYEEAGIIGVSLNQHHYNVLLYLCAREASGDGGKLNELKELGLKRGFEIFQKMIGDKVSPNEATFTSMARLAAAREDPDMAFELVKQMKGLGIPPRLRSYGPALFGFCQKGNAEKGYEVDTHMAESGVMLEEPELSALLKVSVDKKKDDKVYEMLHRLRATVRQVSESTLQIIEDWFKSEDAAKIGAEGWDGTKIKEGVVAGGGGWHGQGWLGSGRWNVVRTKMNENGVCQSCREKLVCIDIDPKETENFATSLTELACNREVKADFIRFQEWLQQHGPFDAVVDGANVGLINADTFNFRQLNNVVNKLRQMSPSKRSPLVILHQARVSGGPAGNPSNRKLLENWKKAGTLYATPAGSNDDWYWLYAAVSCKCLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRLSMTRCGLTLHMPPPYSIVIQESEKGSWHIPSNADDDLLNPRE >OMP05194 pep supercontig:CCACVL1_1.0:contig05489:5504:6854:-1 gene:CCACVL1_02015 transcript:OMP05194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNGGLMIVMMEIVIKRIPITLNGDEQLEDETERSSTKGSEMEGDNMRKDSQAGEGEQIGNAQNADIMVEQNVVMVVPSHVENAALQKSPGGDANENFNQGFPLSCPISSSADGLQQNNVPLKANADVEHPCAEQMSEHEDERINITLKKSLFLSSQCTPSQDPLGKSGMTEQNFCVKCNKKGKVLHIPSNSTVEEKETSIDKNRRRDAQEGSKEVWKLWWKYAMDQNFGF >OMO96635 pep supercontig:CCACVL1_1.0:contig07417:17699:17872:1 gene:CCACVL1_04850 transcript:OMO96635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISGTKAGTKLVVYLYWTLGKMVRIARYETNNLDLQSMFSNPTRPLTRWGPQVTG >OMO96636 pep supercontig:CCACVL1_1.0:contig07417:19579:19644:1 gene:CCACVL1_04851 transcript:OMO96636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKPKTIQNSTNGL >OMO51156 pep supercontig:CCACVL1_1.0:contig15952:35999:39446:1 gene:CCACVL1_29974 transcript:OMO51156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class V/Cysteine desulfurase MEGVIVKLPSFPFLNPSISSPSSSSSLPLRLGFRRFSASLSAVKEGSVSLGHLTRPDFPILHQEVNGSKLVYLDNAATSQKPTAVLNALHHYYEAYNSNVHRGIHYLSAKATDEYELARKKVATFINASDSAEIVFTRNATEAINLVAYTWGLSNLKPGDEIVTTIAEHHSAIVPWQIVAQKTGAVLKFVSLDENEVPDAKQLKEMISSRTELVVVHHVSNVLASVLPIEDIVIWAHEAGAKVLVDACQSVPHMVVDVQRLDADFLVASSHKMCGPTGIGFLFGKSNLLSAMPPFLGGGEMIADVFLDHSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLSQIGMQKIHDYEIELANYLYEKLCSVPNIRIYGPKPSDKVQRAALCSFNVENIHPTDLATFLDQQHGVAIRSGHHCAQPLHRHLGVNASARASLHFYNTEEDVDDFIQSLNDTVSFFNSFK >OMO51154 pep supercontig:CCACVL1_1.0:contig15952:26390:26805:-1 gene:CCACVL1_29972 transcript:OMO51154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I25, cystatin MATVGGITEGKGNANSLEIENLARFAVDEHNKKENAMLEFQKVVNVKQQVVSGTVYYITLEAKDGDKMKVYEAKVWEKPWMNFKELQDFKLIGDAPTADCSSA >OMO51155 pep supercontig:CCACVL1_1.0:contig15952:28023:33113:1 gene:CCACVL1_29973 transcript:OMO51155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear control of ATP synthase 2 METTPDGSESSRDIRTLISFYSNYLWNRLTTLFPSPPPNFLEKIANLSRQTALPISTKRRAGLPLPLPSSSFNSAPTTPESSRIYDVLNDIMDRSILNLHKIQTNLQFWLSRAEGSNARKVYFMIFERGPRAFANGAVQLLRESVTDSSAMQHLSHSATAYISERIAVLSSLRGSLAAFLAEFYFEVDKYGEELVKDPEKSFSSLMVTLSGLFSKLEASISHLNALRQRDSSVDGTYSFPLLFEKLPEINQEESQWTGCEINDAINLIYKNLQELDSYLGQMVAKHQKPSKITRYWIRYTCGAVGLSICSFWLLRHSRLMGSSDIDNWIREAKESTVSFFNDHVERPLLSIRDELLDTFKKRQKGVMDIEEVNLTSNSLHRMLLTFCEQTKGKELPENASDQEMLEIVMARYEKELMHPISNLLNGELARALLIQVQKLKLDTEMAMLELDQILRANEINFAILAALPAFFLSLGLIAAARAWFRQDTRVQGRGRKARIQRRLLIVEVEKTIMQYQNYIDQGLENDAQCMFGMLIYCLDCLYLAVRGHAETTSEWQCLKQDIFDLGKPGLQTAYKLILTARLERVYDCLLPSLKRQ >OMO51153 pep supercontig:CCACVL1_1.0:contig15952:22565:24217:1 gene:CCACVL1_29971 transcript:OMO51153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CBF/NF-Y/archaeal histone MKRKLDTRFPAARIKKIMQSDEDVGKIAMAVPLLVSKALELFLQDLCDRAYEITLTRGAKTLNSFHLKQCVEEFNVFDFLRETVGKVPNLRGSDAAGEDHSVPKRRKVVDHDDKSSDDELKRSRMQEAGCITSCGRGRGRGRGRGRGRGSRSGERQTTARCEKFEDDPDVSHSQEKHTLSLERLNEGVEPDELKKHNTAGKNIEAPVRNFDLNVDLDENGDSSTSVAPASPTTDNIPEKQEEYPDWPLSEIEKMVIDPIEFSNLNRVIDDEDYDDE >OMO51152 pep supercontig:CCACVL1_1.0:contig15952:6971:7129:1 gene:CCACVL1_29970 transcript:OMO51152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAHYWTSLRGSPSSSSTASRFKRQCCRCRVRAVVGLVSTGGAEEVAEANF >OMO51157 pep supercontig:CCACVL1_1.0:contig15952:40099:40486:-1 gene:CCACVL1_29975 transcript:OMO51157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QKVVLQVTMKCQKCRTQALKVAAKQEGVSFVGLEGEKKDKVVVIGDGVDFIKLTNNLRKKVGSTQIISLADQK >OMO91826 pep supercontig:CCACVL1_1.0:contig08298:103096:105944:1 gene:CCACVL1_07014 transcript:OMO91826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLSGLAFVTNCSSSSFTRIVPLPRPQRPPLSRRIPAVFVLKTSTRSVSESIEEDVLQMFFKDREVNGDFISKASDMLWQREFPKVVDADAGEPADTTQQAEEAMETDDGGGFLKLSRTQEWLLGDESAPMNKKARAKVLRDDSERRKKLNLLEYEALKRELLLLSVGIGTACSGYCLIVLSVQAAVSYAVGVLFSCLYLQLLSQHADNLSKKMVPQIFMQKKAKKIGIRSEDLQDFFERTIKGSGIALSSPRLVIPAAIYGVWILSHKFLPNDVFDFQLTPAMLGLFAYKAAALVQVYRDNEDLKFIFPENEEPSSD >OMO91827 pep supercontig:CCACVL1_1.0:contig08298:108034:114270:1 gene:CCACVL1_07015 transcript:OMO91827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicastrin MAASKKSGGHREMLESMYSVIALVFILVACVELCDAAAVVDVYRLIQYDMSGSPFGSRLASLNHHGASLHFPPGADLSRTVLIIPLRELNITFVREYIDQKKPLGGLLFLLPEVFKSENGGNKQIHEIEKLKSMLAELEKLLIHSNIPYPVYFAFENDDIDTVLADIKKNDAIGQPATATTGGYKLVIPTPEPNKVASPTITNIQGWLSGLKADGEANQLPTIAIVASYDTFGAAPALSVGSDSNGSGIVALLEIARLFSLLYSNPKTRGRYNLLFGLTSGGPYNYNGTQKWLRSFDHRLRESIDYAICLNSIGSWDNELWIHVSKPPENAYIKQIFEGFSNVADELDVKVGLKHKKINISNPRVAWEHEQFSRLRVTAATLSELSVAPEMLEGTGGLSDSRQFVNETAIIRGVKLVAESLARHIYGHQGKNVEIFADGSSLAVSPAYVRSWLDLLSRTPRVAPFLSKNDPFIMALKKELADHTDEVNVQNEVLEGLFTFYDSTSARLEIYQVASVTFDLLLLLVLGSYLIVLFSLLVITTRGLDDLISLFRRPPSRKVKTA >OMO91820 pep supercontig:CCACVL1_1.0:contig08298:69322:73920:-1 gene:CCACVL1_07008 transcript:OMO91820 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MDSVNWNAVRRADPKIVATAIKLRGQHNIIAEKIQEFLNGVVELHKSLDLEWLRNIPPDLAKYPILDSIQKYLWHRLCTLDQKKLYELHYQMITFGKVFCTKRNPNCNTCPMRTERKHFASAFASSRLALPGPSDKMDSAVRQAPTAIFNPLPLASPSEEDDDEIEYDSEGIPIIKLNLENLKTNLCSFNGDYSKALVALNSYAASIPRPKLKNVSRLRTEHQVNGRRVSTGTYFQTNEVFADYETSKLPLNVPRKWIGNLRTKTAYFGTSISAITRGLSMDEIQKCFWNGIVCVRGFERCIKAPRPLGNRFHCKLYKMGPSKEKSTKDKGMPKTGRKGSAKKP >OMO91821 pep supercontig:CCACVL1_1.0:contig08298:74608:76463:-1 gene:CCACVL1_07009 transcript:OMO91821 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase MARVKKRKVKKPRSGLKKKEKSFLNGYLLLMRACIEYKGIEVSENGRVRF >OMO91822 pep supercontig:CCACVL1_1.0:contig08298:77660:79660:-1 gene:CCACVL1_07010 transcript:OMO91822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYITYKRRRKRAKTVSALEGEESMKEQCCLIPGTPTKPPPGRPQTRKEKNFIGLNLTLTSSCSSEFSIDLNKPACGGEDNAEVHEIESTCLVSGVAIEGLDIFKSGELNKIDDRNPVSSLVPSMEFIDYGEPKSSQASADTKESESDHSEKLSSNLNFVQDEVMQVNCEEIHTKEPDHSEKLSSKPNFVQDDELQENPEEIQVKTPKKPKSKRYTTKVVIDDKLAPKPPPKKLPKSKEKKPRSCKTPKQKPQIPTTPKQPKEKKRKPSTSKKTKLDFQSQHLEEANLPVLEEANLPDLESQSQHSEAKDIQSQHFPVKPNIKGQRFQSM >OMO91815 pep supercontig:CCACVL1_1.0:contig08298:9718:9864:1 gene:CCACVL1_07001 transcript:OMO91815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVVELKSSIKLELEFYILDSVELEPSIELEILCRARARVASYSRPGST >OMO91825 pep supercontig:CCACVL1_1.0:contig08298:91442:91621:1 gene:CCACVL1_07013 transcript:OMO91825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDNGEEPQSLEYLSNQNRRILRFCDNVGIDVKQYLPHYTTQEEWKDRLAISGIASMR >OMO91828 pep supercontig:CCACVL1_1.0:contig08298:115445:116733:-1 gene:CCACVL1_07016 transcript:OMO91828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRLGGSFVKYLYYSSSSYSKNPGQLFFFRGFASTLFVKGLSFSTTEEGLANSFSEFGKVVEAKVMMDKVRNRSKGFGFVSFAEEKEASEALSAMNGKLLDGRVIFVDKVRPRHHRQSFIPPSRQTIRVPSEAADK >OMO91818 pep supercontig:CCACVL1_1.0:contig08298:44033:56589:1 gene:CCACVL1_07006 transcript:OMO91818 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MEIPSEKQQHCCISHEFYRAANENLEKIAVIHASSAEKLAYEAQIDRELINGGNPPVYKGDRCFTFANLLASVYCLSSRLRAVLDGAEDSNLIKPQNSGDKSNGKHSVPVQLSEASLKFIQGVGQHSELENMYIPKIVGLFMPPSVEYIISVLSVLKCGEAFLPLDPSWPRDRILSIINSSNAALVVACGSSFGESGCEPLDQSHWLLECCSCPVLPFSMEGSIEQNKSQSSFGWPCESERQRLFCYVMYTSGSTGKPKGVCGTEKGLLNRFLWMQELYPLHKEERLLFKTSISFVDHLQEFLAASLTACTLVVPPFTDLRRNVFSIIHYLKAYCINRLTAVPSLMRAILPTIQSQHDNLISSSLKVLVLSGELLPLSLWNMLSSLFPKTSILNLYGSTEVCGDCMYFDCKRLPQILEMEMLTSVPIGLPICKCSIELIGKTGNSNEGEIFVGGLCVSIGYLSENAIIPLNNAMLHQNSICKCSVEECGSQVYFRTGDFAHRLPSGDLVFLGRKDRTVKVNGQRVALEEIENSLRGYIEVVDAAVIYHNDHRRDSLIVAFILLREKEKCNEMLKKNIRNWVINKLPPAMVPSRFVFVESLPMSASGKVDYTILADSVSSEKHVQDEICTIEARNLMQVIKKAFSDALMVEDVSDDDDFFMIGGNSIAAAHVSHNLGIDMRLLYTFSTPAKLLVTLMEKKGSENTNFGINDDHKFIPLESETSNPLGLKLERALPQAPYEMNDDQADRSKRLKVNSNNYDVLEPFHSFNENPWNSSAIPKSCSFSRCNKVMLEGGYAVDDTLQVAQLVKVPRTGTTYMQELWKVHMESCVDASPLVVFKDSDIYVFIGSHSHRFFCVDAKSGSVQWETRLQGRVEGPAAIVGDFSQVVVGCYDCNLYFLDFSNGKICWTFQTSGEVKCQPIMDRHMGLIWCGSHDHNLYALDYTSQCCVYKLPCGGSIFGSPAIDEVHHALYVASTSGRVTAISIKELPFCTLWLHELDVPVFGSLSISLPTGYVICCLVDGYVVALDSSGSIIWKRRTGGPVFAGACISYALPSQVLICSRNGSVYSFETKQGELLWELNVGDPITASAYVDENLQLISNPNNSIERLVCVCSSSGNIILLRINLEKGEGNDQREYAVQEFARLKLEGDVFSSPVMIGGRIFVGCRDDYLHCISVQTNNWLTA >OMO91817 pep supercontig:CCACVL1_1.0:contig08298:33555:41086:-1 gene:CCACVL1_07003 transcript:OMO91817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVFANHNATSTLNGQWEGFNIWMSQLHSTTAEVARS >OMO91824 pep supercontig:CCACVL1_1.0:contig08298:84381:88474:-1 gene:CCACVL1_07012 transcript:OMO91824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMKIEEVQSTAKKQRIATHTHIKGLGLDASGNAIPLAAGFVGQGEAREAAGLVVDMIRQKKMAGRALLLAGPPGTGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEETESVTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDIMSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLAPIVIFATNRGICNVRGTDMNSPHGIPVDLLDRLVIIRTQIYGPAEMIQILAIRAQVEELVVDEESLAFLGEMGQSTSLRHAVQLLSPASIVAKMNGRDSICKADLEEVSKLYIDAKSSAKILQEQQEKFIS >OMO91823 pep supercontig:CCACVL1_1.0:contig08298:82178:83326:-1 gene:CCACVL1_07011 transcript:OMO91823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWSKNRIEIPDSVAMDILSKLPVKSLARFRCVAKPCCSYFQTSHFITKHYQNNLRNNNLNLLLKRCHGNTHDDIHYFSSLSTKKGENFLVKENIRLPFFEDCWYAPVVSGPCNGLLCLHDAGKVALWNPSTREFKTLPPSTVKRPPTVDSTRFGCLGFGFDSVIDDHKVVRFVTNYFDYNEEEGLLADWIHQVDLYSLKNDSWKEIPFPGVHPFASPLFNNYINGFYYWQATRNSEYLILSFDMINEKFSTLPLPNFGGSLAQYYLQLLDLNGLLGAIVYPREGTEKSFDLWVMNGSWSRQLSIESVPGVERPLGFWKNGELFLESSNRQVVLFDPSTQELKNLGIDAYQNTMQLVPYFESLVPINGSSEQEQHIIRQPM >OMO91830 pep supercontig:CCACVL1_1.0:contig08298:127461:130647:-1 gene:CCACVL1_07018 transcript:OMO91830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MTRILVQRGSTGNSSSNPSRSSSLPGQSSSRAEPQVSSHQVSSVVRDEEVSDEVQEQVATDEILECSGSSENKSVKGDDPLLENLHNGHNETSSDEFVEAEKANALDGTGPWEMMNDLGGLRISEKVAVEGEGSSGDPLPSGSGSPHPPPPPVPPPKPSATNSNSRRFVSGSSNPVRTGPSRRAVAWPVVSTRTSPSGSRPSSPRSHGENEGYNSADEQNPCYVSSYDDVERERQFEIDIRRAKGLEVKRMLEDGNCLFRAVADQVYGDSEAYDLIRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQAMSEMYNRPIHIYSYSTEPINIFHGSYNTDTPPVRLSYHHGNHYNSLVDPRRLTIGAGLGFSCLRGANVDKDEVKAAIKAQQDQQIDNALLAEGRFYSDLELTEKEIERMVMEASRAEYLANDKFNPQVGLKESSTSTAEPSSSGARPSGSETKLEGSKEQVLRDNVLSSSMQILLSMGFSYLQAIEAYSIFGDDVDSMVCYLLETGSSSRRKGKATE >OMO91816 pep supercontig:CCACVL1_1.0:contig08298:31273:32040:-1 gene:CCACVL1_07002 transcript:OMO91816 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/FBD/LRR-repeat protein MEVFVDSDASFKLQLQGRLVKTITSRINLCQCLREIQGFDQLVLLTHDKSVSIQSFRLVCMNNDDDHSIYKWINILAQRRVRQLHLEVASLTKTPFALPRYLITSHSLEVLGLDLNQGVLEIPSDVAFSRLKSLKLVDTQLSDQVLFQNFISCCPLLETLILQGCLFHDFEVLDISLRNLRKLVIDNGYCGGPFDQGLCKCDLKIACLNLVQFDLLGPLAKNIFWDKDPSFLQAAIIFAVSWEWNESDDEVLHEE >OMO91819 pep supercontig:CCACVL1_1.0:contig08298:66701:67596:1 gene:CCACVL1_07007 transcript:OMO91819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRNRPRRPYSALKEDPEALRHQ >OMO91829 pep supercontig:CCACVL1_1.0:contig08298:117832:121416:-1 gene:CCACVL1_07017 transcript:OMO91829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dcp1-like decapping MSQQQFGKLMPNLDQQSTKLLNLTVLRRIDPFVEEILITAAHVAFYEFNVDRSQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVENLLGDFEFEVQDKYLLYRKATQEINGIWFYDARELEEVANLFSRILTAYSKVPQKSKATATATATKGEFEELEAVSTMAIIDGPLEPPSSTASNAADVPDDPAFVNFFSAAMTIGKASNTGAPVQLYQPSAGMPVPSNPALIASPTVPALQLSPPLSSSTPLMSLLDAPDSSSNHTNLVKPSTFFVPPSSAQMLPPVSTSLPTASPLWVPLGSQRPYGAPLLQPFPPPTPSPSLTPAPLPIQNYGSTISREKVREALLALVEDNQFIDMVHRALLNAHQS >OMO69368 pep supercontig:CCACVL1_1.0:contig12055:16964:18190:-1 gene:CCACVL1_19540 transcript:OMO69368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRKLRPNSSRQQVRKRKYLESPPPQSSKRSKKTNQSPLPQEIIVEIFLNLPVRSLLRFRCLSKFCKSLVANPSFIKNHLEKAQNDPKFTRKRVLLYASNAQKQIGFKSCSLNAIYKDPFINSVEAVDPSTIKGYSLNNGIVVGSCNGLICLHDIEDDNFFLLNPTLRVCKRLPRLRSQKRPFSFYRVYGFGYDASVDDYKVVRILCDFCSIDTTIVWVYSLRTNCWRRIQGFSFGYLSSEAGKYVDGSLNWMQYVGGSSWNIVSFDLAHETYKEVPQPCCGDADGGCVKWLEVLDGCLCVHCSYRLRIDVWVMREYGNTQSWTKLLTIPYLSGPGFQVFPSSKSLSVSDEILLHSGAKLILYNPKEKTLRIPMLDEDAVSSISRAEIYAESLVSPKVINHPTIEYY >OMO69369 pep supercontig:CCACVL1_1.0:contig12055:19754:30170:1 gene:CCACVL1_19541 transcript:OMO69369 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MATLFLQPNCYSPLQFRRFRLNNFHLKVVPRFSRQFYGKKVRALSTLRGNVNGRGRDGVLSVSCFSKTNAEIEKVSSEEKEEERPPFDINLAVILAGFAFEAYTTPPENIGRREIDAADCMTVYLSESFVREIYDGQLFIKLKKGFSFPAMDPWGTSDPYVVIQLDGQVVKSKTKWGTREPTWNEDLTLNIKLPPSKYLQVAAWDANLVTPHKRMGNAGINLENLCDGNLHEVLVELEGMGGGGKLQLEVKYKSFDEIDQEKMWWKVPFVSELLRINGLDSALKKFVGAETVPAGQFVEYAFGQLKSFNNANFLKERLLNGNQNGAEGVGTSNDSAESEMSSHVESSLEASLSDKSTNNENKSEEFLLDNAGKADEKSSEPVQQVIEALHFDKYFWKNFADVINQNVFQKLGVPVPEKLKWDGFDFLNKIGLQSQKVAEAKYIESGLATPDDQAIQGNKEPESMSATPEDSEDDKDKATGPLNISSIQSSLPDIKKATQELLRQTDSVLGALMVLTAAVSQAKKEGQESETKEDLSAEVVESNVSTYGSAGKLPSSVNGSVLDEKKAEEMKELFASAESAMEAWAMLATSLGHPSFIKSEFEKICFLDNATTDTQVAIWRDSSRKRLVIAFRGTEQARWKDLRTDLMLAPAGLNPERIGGDFKQEVQVHSGFLSAYDSVRIRIISLIKASIGFVDETTEPQHRWQVYVTGHSLGGALATLLALELSSSQLAKRGAISVTMYNFGSPRVGNRRFAEVYNEKVKDSWRIVNHRDIIPTVPRLMGYCHVAQPVYLAAGELKDALESMELWKDGYQGDVIGEYTPDVLVTEFMKGERELIEQILQTEINIFRAIRDGSALMQHMEDFYYISLLESVRSNYQTAASSQNNKEGKHLNQPKNETSLG >OMO69370 pep supercontig:CCACVL1_1.0:contig12055:33363:34213:-1 gene:CCACVL1_19542 transcript:OMO69370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQPHQALNILNNVNKNSSSTYEVIDVNAYDSEVYSNSSRANENQLHWLNQVKNDVIYENPSINLAKHGSTSINASVNSGVRENSINANDGRIHSLPRGDNGGYYCPLLDCENLVFQTTQEFAAHASSTHHSAETSEERQRRDEARFGKRKLSLGLTKGGISVMPDKLNFKSIKLGKNQSNSQWENDGGRANIAVGGQDIKPRILPGININY >OMO81689 pep supercontig:CCACVL1_1.0:contig10125:65616:66608:-1 gene:CCACVL1_12297 transcript:OMO81689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALAPYPIPSWPLVNPIGCYEQKYMYEGSESIESLFLQLPPSQEEIHQVDDQSPSFNSCDPGRVRKLNHNASERDRRRKFNSLYSSLRALLPAAAQMKKLSFPATISHALKYIPELQEEVERLVEKKEKLLQRISQQGGLKLEEQKRKSRNGRNLGAAVSIEGLSESEVAIHITMAKAHKKSDQLSEILQYLEQDGMFLLLNATSFESFGGMVFYNIHLQVERIDNYKAESEVLSEKLLSLFD >OMO81685 pep supercontig:CCACVL1_1.0:contig10125:20524:21894:1 gene:CCACVL1_12293 transcript:OMO81685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin-like RbcX MVGALSVVGSSVMDSHTGPCLCLDALPTTNMSLKTGGDLVLQRNSMKRKHLARVGSTLELSSSFVDSWHDWRLSSKMMIPGIMVRSSRKQKRKDRKFKVLNNLGGQYEDSFNDVKTQILNYFTYKAVRTVLNQLYEMNPPQYTWFYQFVASNKPSDGKHFIRILAKERQELAERVMITRLHLYGKWVKKCDHAQIYKEISDENLELMRERLLETVVWPSDDTNTEKIG >OMO81683 pep supercontig:CCACVL1_1.0:contig10125:3083:3406:-1 gene:CCACVL1_12291 transcript:OMO81683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNSRSSKRTARKASKPRRTEHKKKKLVIKSKRKNIQKTAVSSSVLEDLPSNTSTISSDNVSKIDDDENNMISAAASSNSPCSTPKAQRFRIPKIETCPPAPKKQR >OMO81688 pep supercontig:CCACVL1_1.0:contig10125:54843:55657:-1 gene:CCACVL1_12296 transcript:OMO81688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALAPYPIPSWPLVNPIGCYEQKYMYEGSESIESLFLQLPPSQEEIHQVDDQSPSFNSCDPGRVRKLNHNASERDRRRKVNSLYSSLRALLPAAAQMKKLSFPATISHTLKYIPELQEEVERLVEKKEKLLQRISQQGGLKLEEQKRKSRNGRNLGAAVSIEGLSESEVAIHITMAKAHKKSDQLSEILQYLEQDGMFLLLNATSFESFGGMVFYNIHLQVSSLFNYSMIKSLLM >OMO81686 pep supercontig:CCACVL1_1.0:contig10125:28080:33430:-1 gene:CCACVL1_12294 transcript:OMO81686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MPSATTNSHRPSELLSRLASSEPEVKLRALREVKNQIIGNRTKKLSFLKLGAVPAVAGILADSADGVVGKNNCNNDINNILVQSAAALGSFACGFDAGVQAVLDAGAFPNLLRLLANSNEKVVDAGARALRMIYQSKLAPKYDFLQQKNMDFLISVLNSENENVSGLGASIITHSCQTSLEQKALFDAGILGKLVSLLEGGSLSQRDASLESLATIFRNNSEVVSKFVGPEIGRSLSSVIDLAKDRHPRTRLLACMCLIVIRNTSPQLLQNIGIKTKLIHILLELLDDPGQVGDEAPFALSSLIAQKEDLQKLALEANAIDKLQYHLQRGLLHPRRHEGILLALADMCSKLESCRSKFFSLQALNLVADALTNDSADIRTAACTCLKSVTRSIKNLSAGYFMNEMIVIPLVQLFLDPSTSVQVAALGALSNIVVDFTTRKSIFVQCGGIKQLVLLARSMEPAVRSNALWALKNFVFLADNRLKEGVFSELTASLLSSLICDPEPSVQEQALALVRNLVDGCMNSVEFVFADDGLILGAVGRQLQRASKPEIGIQGMYALCNVASGNEFHKEAVMHQLFPQMVDKNQSFMIKFLQSNESQLRTAAVWTIVNLTCPSSPGAYGRLVKLRNAGIISQIKKLENDPCVDVKLRAKAVQGHSEAFGVQPLLEHQTN >OMO81687 pep supercontig:CCACVL1_1.0:contig10125:39792:41780:1 gene:CCACVL1_12295 transcript:OMO81687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MGSLTGVIGDKCSFSVLLEYAADNDVEGFQRSIRDVSLLGEVGLWYGRLKFSRQIAVEYRTPLMIAARYGSVDVMKLILSLSEIDVNLSCDPDKSTALHCAASGGSIKAVEVVKLLLLAGADPCVIDANGHRPFDVIVAPWKMPQIKVALEELLNCDSPVCLEDLQESTVSWSPGSSSLSSSLDSCCSSLVSDAKISVDNHVSSAPERKEYLVDPSIDIKSGIYSTDDFRMFSFKIRHCSRAYSHDWTECPFAHPGENARRRDPRKFHYSAVPCPDFRKGDCKRGDLCDYAHGIFESWLHPAQYRTRLCKDGITCNRRVCFFAHKPEELRPLYVSGLGFQSPQSAASAAVPVAINFVPGSPSALSAMPISPFSPAISPSPHGICQSPMAWPQQNVPTLHLPGSNLHASRLRSSLNARDIPAVERSMSEDFVMHQQQHFNSLSCLSQPQLANKSSNLDGRFSTEASSPRCRDQVTPISSATHKSVLLNQLHQQQRVLSPIKPNIFSPKKDHPLKHSAFDDSFSEKSSPWDVEPLSPLNSHLSSFTNLDKQQQLFRSLSSREGDYKFSDDLESKGLVGSPVKSWSTWESPNGKLDWSVQEDELRHHGVKAAGSWAQLVKESPSVKTTTADSGRTLSVEDSRSNSGDHAILSAWHEQLQLDKIIS >OMO81684 pep supercontig:CCACVL1_1.0:contig10125:7895:8638:-1 gene:CCACVL1_12292 transcript:OMO81684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRLIDQGPSFYGTSPGSSFMYNAPPPPAPPPYSYVSQPPPFPVVRLRGLPFDCTEADVSAFFRGLDIVDVLFVHKYGKFTGEAFCVLGYPLQVDFALQRNRQNMGRRYVEVFRSQRQEYYKAISHEVADARGGSPRVSVPRAKSHDEAKDSAEHTGILRLRGLPFSAGKEDIIEFFSEFGLSEDDIHIILNADGRASGEAYVEFRSAEDSKAAMVKDRKVLGSRYIELFPSTPDEMDDAISRGR >OMP07714 pep supercontig:CCACVL1_1.0:contig04248:903:986:-1 gene:CCACVL1_01238 transcript:OMP07714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAAKKHKPERQYGLRPRLRNSKYPDLL >OMO62253 pep supercontig:CCACVL1_1.0:contig13291:8014:8079:-1 gene:CCACVL1_22935 transcript:OMO62253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQARAVSIGLEEYINGEVVK >OMO93055 pep supercontig:CCACVL1_1.0:contig08137:6246:7350:-1 gene:CCACVL1_06645 transcript:OMO93055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDISAYDSDYEERFEEYRRNILKEDNKDKFLYFLKEYYEPGLLPPDVVVIVTCDAEKCAKALLEEETGYIFDIHRSTDEDSDDYGSPLHLVSHPGLCRLLLSHGAQPNIRTTPKELPLNLVLGGLGYYVYGQGWPPTFDPADHIFRVIIKLCLPELRGRLEVVRMVLELTEEAEKEISGYATQGEVIQLAALLIVDREKVMPSVLKTLFPNDLDLEGSLAFRNFMLTLENQKVVPSESNFLPGFDDYNKKVSKLALMMPVFHLLGIFAKVGDRINVYLKQEQWKKVCSNTTLISLAS >OMO93060 pep supercontig:CCACVL1_1.0:contig08137:49378:50602:1 gene:CCACVL1_06651 transcript:OMO93060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee-like protein MFKFHHQTKVIAARADNAALKKVKSNMYPMTRFFICSSCRNPLVYYEDKLFMDADLGAIICKKAVNMRVDPEDKVLYWNLRPVVNAYCNRCNKIHGHQFLRAQTNSLTEQEGWFRLFLNKMLLWNGFEVVSADTIKPASKLVCFTVGPSK >OMO93059 pep supercontig:CCACVL1_1.0:contig08137:34149:37789:-1 gene:CCACVL1_06650 transcript:OMO93059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFHLFLISSLLFSISRAEPTGSVLFIDSSSHQFLRTQSTNNVAQSQSMSLPEVGAAVSVLLGFAPPVMLSAAGSSKLNEVLSPNPFERPRAVFLLEVTGVDDTLLLDSKDALFNNVLKSSVDLGSSKADIQVPDEEEVSVVSLDEPLGDYTEEEIDDFASWLGGSYATDATKPLQGVLTIPLANGDNVNLHMTKKVHREFALKLLALSHNIRKAMEMRKDLLQYKPAELIMGSFDGIKALLEQDGADGVEKKGISLVLATLSKIFDSLQRAYEGQIVGVVVFNGVAQPESKSLMNVMLTSRPSPRWLEETKGPKNITIAAQVLVRRTLAWITGLVLLISTLLGVYFLLNMPLTRDTLLYSNVKLD >OMO93061 pep supercontig:CCACVL1_1.0:contig08137:51327:52316:-1 gene:CCACVL1_06652 transcript:OMO93061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLMSLVIEQPTDTSNTQPYGVSSPFSLPPFDSLPPVNSPPYCVNPPNNPGTGGGGSGTPSTTLPPPMGTTLSPPSPFYYFPPVVPGQNPPPSSGGSIPSPPDFPVTPNPPEIVPNPPIILPGPPQSIPTPTIYIPSPPGPTLTPPYYEPSPPAIVLSPPIFNVPSPSGFNPSPPVLFLPPIVYPPPTVPPPPHVTPTMALWCVAKPSVPDPIIQEAMNYACGSGADCDSIQPSGSCFQPDTLYHHASYAFNSYWQRTKAAGGTCEFGGTALLVTVDPSNDGCHFEYH >OMO93056 pep supercontig:CCACVL1_1.0:contig08137:11006:17220:1 gene:CCACVL1_06646 transcript:OMO93056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMLVDGELSQTNEEEAHYDFDLFVIGAGSGGVRAARFSAQYGAKVGICELPFHPISSDVIGGVGGTCVIRGCVPKKILVYGAAFGGELEDARSYGWELNEKIDFDWKKLLHKKTDEILRLNGIYKRLLSNAGVKLFEGEGKIVGPNEVVVTQLDGTKLSYSAKHILIATGSRAQRPPIPGQELGITSDEALSLEDLPKRAVVLGGGYIAVEFASIWKGLGATVDLFFRKELPLRGFDDEMRAVVARNLEGRGINLHPRTNLTELIKTDEGIKVITDHGEELIADVVLFATGRIPNSKRLNLEAAGVELDSTGAVKVDEYSRTNIPSIWAVGDVTNRMNLTPVALMEGTCFAKTVFGGEPSKPDYSNIPCAVFSIPPLSIVGLSEEQAIEQANGDVLVFTSSFNPMRNTISGRQEKTVMKLVVDAETDKVLGASMCGPDAPEIMQGIAVALKCGATKAQFDSTVGIHPSAAEEFVTMRSVTRRVTASGKPKTNL >OMO93057 pep supercontig:CCACVL1_1.0:contig08137:27750:31321:-1 gene:CCACVL1_06648 transcript:OMO93057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLPLSFHPPLLHSNSYSFPSKSFPLSHSSPRLFLCFSSSSPNSSNFEREEIRWLREEQRWLREEQRWLREEQRWLNERESLLRQISELKLQVQALENRNSVQGASVPETISRIGALLQVLTEKNRIAENGESTREMVLEEVKEKEVIVEEGVRVLEERKEKGEEKKIERKALRVGSEGEQVREMQEALQKLGFYSGEEDMEYSSFSSGTERAVKTWQVSLGAREDGIMTVELLQRLFKEQQITSSSSSNIADQEIASATIPEKEGTNGAAIASLTEISEIQQKVVKEEGSTKTEVSQHRVFLLGENRWEEPSRIGGRDKQDKESKNKDTTTKCHACRGEGRLMCAECDGTGEPNVEPQFLEWVDEGANCPYCDGLGYTVCDVCQGKALV >OMO93054 pep supercontig:CCACVL1_1.0:contig08137:9:2085:1 gene:CCACVL1_06644 transcript:OMO93054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosylceramidase MRTLFVISVLMTKNESWRVVDLFAKMDTNLYKFTLFNELYFLVAGGTVWIDSSLPSTNVTSYQDSPTKEESIDVKVTQAEVNSRHNTVLELNSTSGSNGSTDVGLKDIHDSSIQNKGDSNHFPDQLKLLDQQDDSDDVGRFLYLEGVEYIMWCTYDVHFYASFALLELFPKIELNIQRDFAKAVLSEDGRKVKFLAEGNYGIRKARGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDMAFGVDVWPAVRAAMEYMEQFDRDDDSLIENDGFPDQTYDAWTVHGVSAYCGCLWLAALQAAAAMAQQLGDKFFAETCKTKFFSAKSAFEEKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYTASSGLAPLFDEFKIKSSLQKIYDFNVMKVKGGRMGAVNGMHPDGKVDETCMQSREIWTGVTYAVAANMILAGMEEEAFTTAEGIFLAGWSEDGYG >OMO93058 pep supercontig:CCACVL1_1.0:contig08137:31796:33154:-1 gene:CCACVL1_06649 transcript:OMO93058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQEGNSALLNGQEAAEDAVALDNNNNEEKPKATANAKIQDMGAKPVKVANLYALLDDLDDQEGDDISKIVEGLKLEAPKRKKKVDEAASKSDGAAASAAVDEKKEENPKPPQDMRAKRLILPKYVRRSFVVLKKEKKDEPPKPKPQPTSAKVAAPAILATHNNNEIAEAEGNNHPNPNNNNDYRHDGRRGRDGGGYHQGGRGRRFNGDAKEGEGEAVEFSQGRSGGGGRGRGKYYNNGVTASDEGGDQGGRGGRRDGSKYYNKNGDNNGAVVAKEGEEEAASSNGESEEMRKKREEERAEREMRRKKRQEEWEANAKLKTLKDYEASLLENRKPLEALKKEVRRVEEFGSMQLIGKKKEEEKKEEQDKKKFDERKKHATSATKTITIDWTKGYFQQRRRNFARQEPLNGGGGGEAHDGGNPKPAAEQDKPKEKAKEYIEDLNQFPSLGTA >OMO93062 pep supercontig:CCACVL1_1.0:contig08137:70472:77638:1 gene:CCACVL1_06653 transcript:OMO93062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLRIQILPQMSLGWMIKCQNETCPNDIRQLLSSSSTTNLHPFPTSPSSSQAATSSSTTHTSKTAKRQFQAAAHEVPSGPNPESNK >OMO79322 pep supercontig:CCACVL1_1.0:contig10444:42005:49448:-1 gene:CCACVL1_13748 transcript:OMO79322 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MDPLPPLNKAYSLVIQQERSVLTSMSNPLEITAMAVKGGPVPKKPFNNNSKRPVCSFCGKEGHTIDKCYKKHGYPANYSVRNSKKPSTHQGYANAVTMDDHDAALPSQDHAFAVPVPASQAIPYQFTKEQYEQLIQMIQGALSTHHQVNAVTMPSSSRASSFSGPFLHHCDINPHISLNVFNSSASTKNNEWVLDTGATDHIACTLEAFYSVRHVNDVYVHLPNKARVVVTHIGTVRLNESLILSDVLYVPHFTFNLVSAVSCNKEESFLRHNRLGHPSFERLKSLVPSVSSIDNCEEFNDPDYFNAKGIIHQTSCVKTPQQNSVVERKHQHILNVARALRFQAQLPIYFWDLPKGKVAIGCKWVYRIKRKADGSIERYKARLVAKGYTQQEGIDFLDTFSPVAKMTTVRLLLSLAAIHGWHLQQLDINNAFLHGDLEEEVYMKLPEGYSAEPNKVCRLIKSLYGLKQASRQWNMKLTTTLLSYGFHQSSADHSLFIKKTTTSFTALLVYVDDCIIASNNFEEVLSIKEFLHNEFTIKDLGEVKFFLGLEVARSDKGINLCQKKYTLDLLKEAWFLDAKPVPTPILPETRLSKEQGVPLEDSTQYRKLIGKLQYLTTTRPDISFVVQQLAQFLDKPTNEHLIAVHRVLRYLKGTIGQGLFFPTNSELKLTGYSDSDWGTCIDSRKSITRFCIFLGTSLISWKSKKQNTVSRSSSEAEYRALASTACEIQWINYLLADFHVQLTPSTTPIFCDNKSAIYLAQNPTFHERSKHIEIDCHIVREKIQSGLIILLPVSTKQQLADCFTKGLSSTNFATAFSKLEGNILEKMEKNYSFRKEDEQIELPPGFRFHPTDEELITHYLSQKVLNSCFCAIAIGEVDLNKCEPWDLPWKAKMGEKQWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIFKAKTLVGMKKTLVFYKGRAPKGEKTNWVMHEYRLEGKYSLYNLPKTAKNEWVICRVFQKSPGGKKIHIAGVTRLSSYGNNLPPLMDSSPHNSATRTGAGETSHVTCFSNPMEDQKAPDEMIDSFTSSFLASSSSSDISPASILSTKTTLPNSAYTSQIIPNIGNLQYSDSFWMQDQSILKMLIESPRMSLKQNPKAEFSQDSVVSNPEMIQGPSSSPGPADLGCLWSY >OMO79325 pep supercontig:CCACVL1_1.0:contig10444:83104:83584:-1 gene:CCACVL1_13753 transcript:OMO79325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQFCVQNFDFSFPIWRHSLQKGTFDIKSRTKSRLNPD >OMO79326 pep supercontig:CCACVL1_1.0:contig10444:85779:88643:-1 gene:CCACVL1_13754 transcript:OMO79326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHRNSYGKRPHSHSDYSENGSNKRRNTGDDREQFVINSDDTVYRYLCPVRKIGSIIGRGGEIVKQLRADTKSKIRIGETVPGSEERVVTIYSSKDETNALDDGEDFVTPAQDALFRVHDKVVAEDLRSDEESEGHQVSARLLVPSDQIGCIIGKGGQIVQNIRSESGAQIRILKDEHLPSCALSTDELVQISGEAAVVKKALHQIASRLHENPSRSQHLLASAISNAYPASGALLGPAAGAQIVGIAPLVGHYGGYKGDAGEWPRSLYSAPRDEMSSKEFSLRLVCPTANIGGVIGKGGAIINQIRQESGAVIKVDSSATEGDDCLITVSAKEFFEDTYSPTIEAAVRLQPRCSEKVERDSGIISFTTRLLVPTSRIGCLIGKGGAIVTEMRRLTKANIRILSKENLPKIASDDDEMVQIAGDLDVAKDALIQITTRLRANIFDREGAVSALVPVLPYLPVPTEGTDGLSYESRDSKRHGRGHAYSGGYGSSDLSASDSYGSYGGPQVGGSSSAYGAYGNYSSGRGGPSGLSSHNPVSRRKNYGY >OMO79323 pep supercontig:CCACVL1_1.0:contig10444:64631:65528:-1 gene:CCACVL1_13749 transcript:OMO79323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGAIELEEGVKSSTPRSTAVNKGVSVLDFIFRILALIGTLGSAIAMVTTNETLPFFTRFLRFRAEYDDLPSFTFFVVANAIVTGYLLLSLPFSIFHIVRSSAKNTRIVLIFFDMAMLALLTSGASAAAAIVYLAHKGNTKVNWLAICQQFNSFCERTSGALIGSFVGVVLLGVMIMLAGVALARRP >OMO79324 pep supercontig:CCACVL1_1.0:contig10444:67361:71116:-1 gene:CCACVL1_13750 transcript:OMO79324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARATRFTLVFNNILQTLSKSNPKSPLIKKVTVLHSLLSIEHSFSSVSDQIKEPQIDLSSIDCSGIAKSIILRCPQVFDKKAGYCKARRFEEARKIVCQMVNSGFIELSSLEDPLSKAFVVLGFDPYAVRLRRDNYVGFSMAEFFDDLGNGLYLDTDVDEYDKKVAEVLDDSTRPDFNSLIMNECSSGNFKNAVGFVDEMIQWGQQLPISVFSTFLKGLVNYDDLIKKFCFYGRLNKAADLLNIMLKQGNLPDSTSYDSVIQGLCTCNKLSRAMDFHNEMLDWDLMPSINTWNMLVCKIARDGRTAEAERFLISMVQLGQTPTRGMYTSVIDRYRSENNLKKASELMQMMQRSGYQPDFDTHWSLISNLSDSKDDSNSSQGFLSRLLSGSGFTWKNHSKTGQQ >OMO62823 pep supercontig:CCACVL1_1.0:contig13147:3154:6595:1 gene:CCACVL1_22622 transcript:OMO62823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MGKIDNYKPALAMIGVQFGLAAFNLLSRAALLQGMSSSVFVFYRQGVATLCLVIIAVMSGRGHIFRSCLGLKSFGWIFLASFVGIALMQNMYNEGLFLSSSTIASAMANLVPVVTFLIATILRFERINFKSLASFAKILGTIICVSGAISMSFLKGPKLLNTAMQSSPSIKYLFSLQGGDKRWLLGCLLLTGSNLAWALRAIMQVPIIESCPDNLQSTFWMCFLSTLQSGVFALFVERKFEAWNILNSNQELTYCFLTGIVLAVYYYVLTWCTSIRGPLYATMYNPLCTVIVAFFSALVLHEETYVGSLVGAFAVIIGLYVFLSGKAKELEEMKQETDPRLLKKQPNNLEEEYSDENNCRIDIEKPLLSAGTST >OMO73199 pep supercontig:CCACVL1_1.0:contig11288:6369:11125:-1 gene:CCACVL1_17400 transcript:OMO73199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRLQQIRFLHSKVPRKERLVSHDIPEGKGYDEDQS >OMO73200 pep supercontig:CCACVL1_1.0:contig11288:13829:14176:1 gene:CCACVL1_17401 transcript:OMO73200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRISATTICMLFLLAIFVQPGLSQFLLPPGSPIDVQKCFSSLMSIQGCMLEIFTSVFGGQFGKIGPQCCKTITDINDGCWPKLFPLLNPLTFLPMLKDSCGAGSASASAAPSPK >OMP11169 pep supercontig:CCACVL1_1.0:contig01507:722:787:-1 gene:CCACVL1_00645 transcript:OMP11169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNDNYLNTFVSPEKPKGQQ >OMO53855 pep supercontig:CCACVL1_1.0:contig15144:10570:10830:1 gene:CCACVL1_28287 transcript:OMO53855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFHQFQLCFLVIILLLFTPARIQAIRIRNLESVATSAKSPRNPTETTSQVFHPGSSKAPFTGQAGQFEEKRRVPTGSNPLHNRR >OMO53856 pep supercontig:CCACVL1_1.0:contig15144:13333:17063:-1 gene:CCACVL1_28288 transcript:OMO53856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLNAKPENVEIEVEMTGRSFEHSLLLTVLGQAHRSAESYGDGANVPLTDDGRRGGKAWQFANF >OMP06422 pep supercontig:CCACVL1_1.0:contig04939:288:956:1 gene:CCACVL1_01585 transcript:OMP06422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVSRKSNSMILGLAFMAMLATTGRAHDQLILPFPFLPIPGLLPFPADVQQCWSSLMSIQGCVMEIFTSLFRGQIGIIGPTCCRAIAGITNNCWPKMFPLTPFFAPLLRASCSSRLSGANMNDAIANKLTVPGLLPNVPGHEFKECWSPLTNVKGCVFEIFRSLRGNRIGPIGTGCCKAIVSVSDSCWTKLFPLNPFFPPLLKNSCIRNAHAPAVPAAPKP >OMO55729 pep supercontig:CCACVL1_1.0:contig14596:154:966:1 gene:CCACVL1_27054 transcript:OMO55729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQGGSGEEVEIELVAAGVEVSEATQFALLGKIVIDRDLNRKGVINVLRSIWNAKDLVDVRELGNNIYGISFANEKGMEFAMENGPWSVLGHSLVLRRWEDRVQKYGAWMRVGPARDKGRGDGVWKRWDEKGSPMLQADYEKEKIAEKAAWV >OMO82318 pep supercontig:CCACVL1_1.0:contig10052:13738:16899:-1 gene:CCACVL1_11987 transcript:OMO82318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLALEVFMALARHKSLWPPQDRNPDSATIIDVTLSYNQIKDIQEFDHTKNGVKGFKDLGKTSIPKFFIQPPEILSTLNAPSQTNTSNIIPVINLENINSQTHRPKIFEQIQEVAREWGFFQVINHEIPISVMEETIQAFISFHEQPGEVKAKYYDRENGSYSSNIDVYHAKTATWHDYLKFWMAPEEQAVKVEDIPEILGTNIWLTRAWLIIGVDLDLIMGTSMLPSHFLWGWANESDTVIDDVAKGWAIVQAIPRKADVDVSFSIPNMEKAEPFDPSWTNAQDLCGLKGSKVQGGVGPFGLLILSSRGLEEYTPIFFKLSRTQNSPRTSEMDDNVPYFEDDVRKMQFLRRFGADDQGGTPWYLPPQEGTRRAAVPQHAALLGRQMPRRAALGGRYMHFFNIFINK >OMO82317 pep supercontig:CCACVL1_1.0:contig10052:5744:10763:-1 gene:CCACVL1_11986 transcript:OMO82317 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MAATTTDVTSSYDRIKDIQEFDHTKNGVKGLKDSGITSIPKFFIQPPEILSTLSPTSQSNTSNNIPVIDLENINSQAHRPKIVEQIKEAAREWGFFQVINHEIPISVMEETIQAFISFHEQPGEVKAKYYSRENGSYSSNIDVYHAKAATWHDYLKFWMAPEEQAVKVEDIPEIVRKEAIAWDGFAKKLAEDVMELLCEGIGLESSRFKELSCSGNRLLVGNYYPYCPQPNLTLGLAPHTDAGTITVLISNQVSGLQIKHGEDWVDVKPLPGAVIFNIADLLQIISNGEYNSVQHRVRANSCEEARISITEFFNLSKWKEYGSFGPLPELVSAEKPALYLVFAKTTSINCLTGITPVTSGDALIDGNSIRSSVGMSNIRRIIGVCPQFDILWNALSGHEHLELFASIRGLPPATIKLVVEKSLAEVKLTEAGKVRAGSYSGGMRRRLSVAAALLGDPKLVILDEPTTGMDPITRRHVWDIIENAKKGRAIVLTTHSMEEADVLSDRIGIMAKGSLRCIGTSIRLKSRFASKCGAERREHIFSDFRTHNIWSITSGARFVTIPGTESGENPRGIMVEVYWEQDDYGALCISGHSAEIPVPPKMASIDLKSNTKISNFVKG >OMP06717 pep supercontig:CCACVL1_1.0:contig04830:61:911:-1 gene:CCACVL1_01457 transcript:OMP06717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLVRLPPFFYFFPISYPISKVLDWMLGKGHAVLLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALEMTEKTAKVAMTPISNAFSLDLDTVLDVETLNKVMTMGHSRVPVSYGNPTNIIGLVL >OMP04575 pep supercontig:CCACVL1_1.0:contig05710:1879:2560:1 gene:CCACVL1_02154 transcript:OMP04575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDKDREESYRDVRVSRLPNHPFQNCLELI >OMO58975 pep supercontig:CCACVL1_1.0:contig14074:103310:103405:-1 gene:CCACVL1_25204 transcript:OMO58975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGFLLLLVRGQGFQIERVGRGTGVSSRGASD >OMO58972 pep supercontig:CCACVL1_1.0:contig14074:58604:61593:1 gene:CCACVL1_25200 transcript:OMO58972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 3 GTP-binding protein MDGSISPVKEQLEKALCESLDTLFENPDGETWAEIRKVHESEVDNAFVELVAADPSCLELDLESLNKIAQELNDYGRKVVETRAREVAGKVLERMKKRYNSILCSALIKKKVKKTTALEACIECVKFLSVMVAIRLDNKEVVEIDSKLFSLFKEALGKCENKLSSSPHQGKRNQFMRKVLKPLRKATVKVEEKLISCEREKQEKEIEELLISSLLNEESSSSSRSISALGTWPKVPPRDTLISPAQCKSLWERFQADILPQTLAFTSEQLELLFYKSSNWKIGINFLIFLRYTEDVSVDDTVAGDADTAIDTTRYADTAVDAAADTARDATEDAIVDDAVDTAVANTDWR >OMO58970 pep supercontig:CCACVL1_1.0:contig14074:24425:27916:1 gene:CCACVL1_25197 transcript:OMO58970 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF hand associated, type-2 MGKALTPEGKFGVRVVVAGDQGTGKSSLIVTIATDDASPTNLPPLLPPTRLPENITIIDTSSNPEDRGKLEHELKQADVIVLTYACDEPETFNRLTTFWIPKLRQLESKIPVIIAGLIYPTNPLFDQKSETLTPRLVRALKRIFILCDQERDGVLSDAEFHDFHVKCLDSETPLGTSEIIGVKNMVKDKLPEGVDEEKNGLTLIGFLLLHALFIENGRIEATWNAIRKFGYNTDIKLSDDLIPRGIQKTLIFKREIPEDGVSKVLFGKDLLAACDIAIFVYDSSDESSWKAAAELLEDVAGHGEDTGYEMPCLIVATKSDLDQIPMSIQDSTRNPHLSIPETEDGRSRKENRLRKRSLMVVSIGAAAVAIVGLVHFARCPVLSCPKISKMKSQDALRKQVHLSDA >OMO58973 pep supercontig:CCACVL1_1.0:contig14074:71295:73077:-1 gene:CCACVL1_25201 transcript:OMO58973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDAIIDGPGIPQLRNAIGIISTVGNPAMVMMTAAGLSIQASDELGLAAELRLNRAACVSCRFQPAVPENNYECIFINLTPLRQFLEEEATEEDRLLIVHNPLPIVDDQREWLMVFLLNPAAANGRHVLLEAINDQLQVPSMMVDDTRYMVTGMTPSLRMRNIVQGLRYFFDGNGHRIDNLRPIGITLTALMIRIRLNLAQSRGNFCMIGAKLPLAFDLPNLEAFINATRMSENVLIHADPYRSPLLNCPFGNGLGNLFFFGNIIHSSIWWLSFYDFIIIN >OMO58969 pep supercontig:CCACVL1_1.0:contig14074:15070:16918:1 gene:CCACVL1_25196 transcript:OMO58969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 3 GTP-binding protein MERKNNRESNEILSQCRLKYEELLNEALCKQLDRIFANPNGETWAEIRRLLVSETQTAVGKLLLADISDLDLDDKERIKMAQDLAQYLSDYGRNVVKLKAKEGADKVLQRMKVRYSFILNDTLVRRKTNEKTAQQACIESLKLLAVMVAIRLDEKPVQIDNKVFSFVKKKMDHHESTHSSKHNKIFEFSKDAMGKVEEKLISSKKEKQDKVIENMLISSLMGRKSKSKDTLIGTTWPEVSPEDTLITPEQCKVLWEYFHAELLSQTQGFTSGLAAASQVHAAYVGAGIGAKATILAAAYGGGGGGGGA >OMO58968 pep supercontig:CCACVL1_1.0:contig14074:4613:11650:1 gene:CCACVL1_25195 transcript:OMO58968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 3 GTP-binding protein MENRTVQMIDGDGKFNPAEVEQFMQATGLSNCGNSYVVVSIMGPQSSGKSTLLNHLFQTKFREMDAFNGRGQTTHGVWVAKPKCAAEKPFMVAMDIEGADGGERGPDDTAFEKQSALFALAISDIVMINMWCHDVGREQGACKPLLRTVFEVMKRIFSARKITLLFVLRDRTKTPLEHLDQILRKDTEQIWKSVCQPTIHVNTPLSEYFNVRGGHCFTKLELQEELFKEQVAQLRQLLLNRTKHRDGEAIVPASEFCFSAQRIWEEIKDNKDLNLPSHKVLVATVHCEEIANQKLQQLQSDKHLLALQRDSQSGSISGFGSKLSSILDNYLSEYDKEVLNFDEGVRTEKRKQLISKALDFMHPAYLKLLNHLHSEAFESFKHQLEEMLNRGEGFSASVKSCSISAMSKFDKGSADAAIRQANWDTSDIREKLCNDISNEKLPQWKDFYKISPEDTLITPLGCRALWRGFKEGIDERFQEAKWVKFKNGAKKFLVGTFNVAQITLSAAATLNGLPIQPIPIPLPRGTQALQTAIDEVVEDIAADASGEGFSEEKD >OMO58974 pep supercontig:CCACVL1_1.0:contig14074:98451:102726:-1 gene:CCACVL1_25203 transcript:OMO58974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSSEKDMIRQILEFIDTNVKLETRMEGK >OMO58971 pep supercontig:CCACVL1_1.0:contig14074:42039:43944:1 gene:CCACVL1_25198 transcript:OMO58971 gene_biotype:protein_coding transcript_biotype:protein_coding description:EF hand associated, type-1 MEWQKRIVGHGDKSLLNDQACHKSDRQCASQMRRNFGACCHGKIVDWNDFCKNWNSSIPDEKIYEQSLLLEPKYPRGGSNRNRLSCSGSIRVSHRVVEKREFEQDRNCFVKKQIRTVENLIYIGYPNDPLSATRVTRRRSVDRKKKQSGRNVFQCFVFAPRRSGKSALINSFLGKPFSDSYFPTTDEKYDVNFVEHLGGIKKTLVLREIPEDGVSKVLFRKDSLAACDIAIFVYDSSDESSWKTTAELLKDVAGYEIPCLIVALKSDLDQIPMLIEDSTRVIRYMGIEEPIEISSKLGDFNDIFRRIVNAAQNPHLSILETEDERSRKENRLRKRSLMVVSIGAAAVAIVGLVTYCAWMGAKWIGCF >OMO75757 pep supercontig:CCACVL1_1.0:contig10986:18389:19678:-1 gene:CCACVL1_16052 transcript:OMO75757 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MESDKGSVCVTGGTGFIASWMIKLLLEQGYTVHTTMRPDPENKRDISFLTSLPGAEEKLKIFRADLSDPNSFDAAIEGCKGVIHAATPVDFADNEPEPVVTKRAIDGALGILKSCLKSKTVKKVVYTSSASAVQYSKWQDVETMDESFWTDTDFVRKIETKEFGSSYAISKTLTEKAALEFAAQNGLDLVTIVPSVVLGPFICPKFPGSVNSSLAPILPGIQNQNEWMIFLLNVSMIHVDDLSRAHIFLLENPSAQGRYICSSHTVTLERIVQILATKYPEFSTPTPESLANIKGRKLAGLSSKKLLDLGFKFKYGVEDMYDGAIKCCKEKGFLLLGSTNS >OMO96601 pep supercontig:CCACVL1_1.0:contig07428:3234:3314:1 gene:CCACVL1_04876 transcript:OMO96601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLGQKGLIDGRACANSDHGTTTNSY >OMO71243 pep supercontig:CCACVL1_1.0:contig11690:7123:8295:1 gene:CCACVL1_18338 transcript:OMO71243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEELTAVYGLKGKGFCVLLVYGYEIDDPDLGEVYKRVCSNVVVELHALRLGLLLAWEEVFCSVECEIDAKVVLDLIKEVDVIFHPLRMFIADHIRELLHRDKVCSIHHTLRKGNFSADKLSKLGCSLDEDYAVFRSPPQEVLDVLQAEVMGVAYPRGFKML >OMO71244 pep supercontig:CCACVL1_1.0:contig11690:19138:22413:1 gene:CCACVL1_18339 transcript:OMO71244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, TAZ-type MASPAIESAWLSSPSEPLGRSFNVCVEEANPADILPVLEAPMSSVSDNRNNPKPPPLPSKMQTRTKFPRRSQECSFVPKETKDAWDKLFKEGYGADVCIITQDKSCVLAHSNVLSIASPVLGNILRQLKVKHGMRYIKIPGVPHDAVFVFVRFLYSSCYEEEELQKFVLHLLVLSHSYSVPALKTLCICLLEQGWLNRENVIDVLQLARNCDAPRLALICVRMVVKDFKSISSTEGWKVMKRVNPALEQELVEAVVEADSRKQERQRKLEEKKVYLQLYEAMEALLHICKDGCRTIGPRDKVLKGSQVACNFPACKGLETLVRHFSNCKTRVPGGCVHCKRMWQLLELHSRMCNEPDVCKVPLCRHFKEKIQQQSKKDETKWKLLVSKVIAAKNAVGSFSSQ >OMO67844 pep supercontig:CCACVL1_1.0:contig12365:226:609:-1 gene:CCACVL1_20269 transcript:OMO67844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFIIIGPCRTLGFIKPFSNTSGFIIPCPTMGIGPCRTMDFIIIGPCRTLGFIKPFSNTSGFIIPCPTVGFIIGPYRTVNFIVIGLCRTLGFIKPFSNISSFIIPCPTVGFIIGPCRTMGFIIIGPC >OMO57928 pep supercontig:CCACVL1_1.0:contig14294:6123:6871:1 gene:CCACVL1_25651 transcript:OMO57928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTKLKEGSKMALQRKVADVDPSEFSQAEGNQLDFDSM >OMP06255 pep supercontig:CCACVL1_1.0:contig05003:14996:20722:1 gene:CCACVL1_01651 transcript:OMP06255 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Structural maintenance of chromosome 1 protein MWSSIANLKENLNKIALDVHDDDDDELEIYSSGNGDQSPFFDRRNSHRFAQSKPVSLSPVANGIDSPYNSEIERYRAEIKKLQESEAEIKALSVNYAALLKEKEEQISRLNQENGTLKQNLNVTNAALITARSESPKTSSNGTNALKGSGDQSPNRQPKSTLVKNRHAGNQMSNGHTSKHDGKEKELADLLEEKNRSLEAVQANHELQLKQYKIELEKERDKLMNVQLLLQEEHKRNESFQEELKLLKTDKEKSFMELSKIRNELNEKIIEIRRLQMELNRQEDKGADDTLENLKRVIATLEKENARLKMEKNELEAALETSRKSLTGKIDANKMDSLGSFPGKNEMELSLQKLEKDLKETCHQRDKALQELARLKQHLLEKESEESEKMDEDSKIIEELRESNEYQRAQIAHLEKALKQAMANQEEVKMTNNNEIQKSKEIIDDLNKKLANCIKTIDVKNVELLNLQTALGQYYAEIEAKEHLERDLALAKEESAKLSGVLKDADERAELSKREKEEIIAKLSQTERMLAEGKARVNKLEEDNGKLRRALEQSMTRLNRMSMDSDYLVDRRIVIKLLVTYFHRNHSKEVLDLMVRMLGFSDEDKQRIGAAQQGAGKGVVRGVLGLPGRLVGGILGGSSPEIHANMASDNQSIADLWVDFLLKETEEREKRESAEEATRSKEDPHGRSPNAAGSGPSSSLPDQRTTAGFGFSRSSFSPSQNSSPAPSQGNFRQFEHSDSEFSTVPLTSSESNSRLSKLLRKH >OMP06256 pep supercontig:CCACVL1_1.0:contig05003:21751:23365:-1 gene:CCACVL1_01652 transcript:OMP06256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MAEESEHKPSAVESMMDKISEKIHAHDSSSDSDSDHEKPASPSSVKAKIYRLFGREKPVHQVFGGGKPADVFLWRNKKISATVLGVATAIWVLFELIEYHLLTLLCHISILSLALMFLWSNAHTFIHKTPPRIPEVHLPEDPFLQVAASLTFEVNQALKLLRNIASGRDLKKFLGVIAAFWVLSIVGSWCNFLTLFYLTFVLLHTVPVLYEKYEDKVDPFAEKAMIELKKQYAVFDEKVLSKIPRGPLKAKKV >OMP06254 pep supercontig:CCACVL1_1.0:contig05003:10178:12719:1 gene:CCACVL1_01650 transcript:OMP06254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPGYFQPVPPPQWFPILPPEPPNTSAFWNTRNVRERLIELQDTLNLANAMQKELEILTMIKNGSTDPSVSEFLKYLEDRGIDLETQELLSTEAANSLMSKLRAQLEPFRYVMDEASPWEEKSATAQLANKINKSKRNKLWRKRKRRRIAELLAKEREQFDQADREADEWRAREIAKDIASRKVEKMKEIAKLKAKEEKKKLESELELVLMVEKLQELRSIRIQKLKKQGHFLPEEDDKFLDKVRAAVEEEERQALAAADTDAAKDAIATAEESRKATQIQRPLAGDLISDQLENKESKDQTAPNEDEKDSSTATDKESGINTSEGHGYRGAYDSLANLPIEFYHYYHGSNTDMGTLIEVRRTWDAYIRPGGSRIPGHWVQPPPPADEIWASYLVRPK >OMP06257 pep supercontig:CCACVL1_1.0:contig05003:24683:26095:-1 gene:CCACVL1_01653 transcript:OMP06257 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MPKLNGIGKSGTWRSVHRTIFILAACALLVSVATLSRSYPIRSLLVTDSFCSSHVNPENPSRNESESETTANIDSVIQKIRQEINELKNMSKESPKPSSEILLRRHSDFLADILNQIESKSKKAIHVHPLVKQSQQSEEPGDFFLTEEIRKYVKVKPNRLKKQNFMGANGTFTSIGHACFAMKKELEEYMDYDVGEICNDDWKLAQKLMVHGCDPLPRRRCFARAPRLYNQPFPITESMWKLPDDRNVRWGGYKCRNFTCLANNTTRKGFFKCADCFNLSSHEMPRWIKPAELDPETNSTAEFLIPEVLEIKPGEIRIGLDFSVGTGTFAARMREFNVTIVSATINFGAPFNEMIALRGLVPLYLTINQRLPFFDNTLDLIHTTRFLDGWIDFILLDFVLFDWDRVLRPGGLLWIDSFFCLKDDLDDYLEAFKALRYRKHKWVVVPKRDKDDDREVFFSAVLEKPARPFR >OMO53465 pep supercontig:CCACVL1_1.0:contig15197:15222:16994:-1 gene:CCACVL1_28619 transcript:OMO53465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MKNFISFVLLQIAFSFTFLPESHQLSTNLNLVETTCKKTPFYNLCLSTLQSDPRSSKADVAGLAHIGADKVKARATATLRQITVLLKGAKDPKLKTALTECLDLYNTIIKYDIPVAIDAVVKGNPKFGVESAADAANEANDCERRFIKSPISGSNKAVHDLSSMVASIVQLLLFSDDKLIQSTCKKTPFFDLCVSTLKADPKSSTADVAGLAHIAADGVNAKATPTLNQIKVLLESAKEPKLRKALEDCVSKYEAIVTADIPVAIEAIVKGNPKFAVTSATDAANEAQSCERGFTDPPKSPISVSNKLVHDLSLVLESIASLLL >OMO53464 pep supercontig:CCACVL1_1.0:contig15197:5576:13241:-1 gene:CCACVL1_28618 transcript:OMO53464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSNVPEAMQRRKRIQMPLHERMSPTSNANLRGKSGNPDQIVSGYSEEFEQKFHDLMKRSHRFSCVSATVVYNEFINDKDHVHMNSTQWATLTEFVKHLGRTGKCKVDQTEKGWFITYIDRDSETLFKEKMKNKRLKSDMVEEEKQEREIQKQIQIAEQLKAPLESEENEKKAVTKELNLESGVKIGFSLGGGNIRKEKKGESSSKTKTARLVFEEEENERKRKNEMKELMREEEKAKERSNRKDYWLCEGIIVKLMSKALAQKGYYKQKGVVRKAIDKYVGEIEMLESTHVLRVDQEELETVIPQIGGIVRIVNGAYCGSNARLLGVDTDKFCAKVQIEKGVYDGRVIQAIEYEDICKVAYFSKVSVSWTAADLIQQFPDDTLSETCSNSKIRESKITGTSLYSCDPCLLAVAEAERQFLEADYDEYAASNASGAAFCRSAALILMALLLLRHELTVPDSDGDDDVSTFFSEAAALAATQVAVVLQSGQCRGMQFTIASGPAMTIIFCPYSRLLVSPGSMVLHDAFFKYQAKLKLTTHGYFYHEGKESRVETMILIEVENLGKCLERKNRIVQTIKSVRPKKKKTNKSRILFALDGLDSTRIVHRDVKPQNIIFS >OMP11801 pep supercontig:CCACVL1_1.0:contig00843:696:767:-1 gene:CCACVL1_00254 transcript:OMP11801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ACLFFCSFEAGAGGEKRRSFLEI >OMP01165 pep supercontig:CCACVL1_1.0:contig06519:2976:3038:1 gene:CCACVL1_03149 transcript:OMP01165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGLGLRRPVGVLVEGAGIWV >OMO57018 pep supercontig:CCACVL1_1.0:contig14453:10284:10425:1 gene:CCACVL1_26065 transcript:OMO57018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGTWERWKKKKKKELG >OMO57019 pep supercontig:CCACVL1_1.0:contig14453:11531:19402:-1 gene:CCACVL1_26066 transcript:OMO57019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIHQPVSATCLPLFSSAKGESHASRELRADSGEGKSASVDGRSFGGNTWRRLSLYMPAWQEEGWVVCRAFKKRTTNGQSNNKNIEGWDSSYFYDETSNVSSVVDQIEFISRQTGQNINLPQNFLCKQETEADNLHFNIHSEQFVQLPQLESPSLPLIKRPSSISLVSENTINNNHEEEDHQQINRMCNNSSKKVTDWRALDKND >OMO57029 pep supercontig:CCACVL1_1.0:contig14453:68169:72732:-1 gene:CCACVL1_26076 transcript:OMO57029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase, class-I MNISGLTGSPSKCCLRLPDHSRRLVCRHGSAFLGAGKPKEKFGLLSLERSSRAISVRKWKTQNTHLIKCAMDASYGDMASESSGSAVFPRINVKDPYKRLGISREASEDEILAARNFLISRYGGHKPSVDAIEAAHDKIIMQKFYERKNPKIDIKKKVREVKQSRVVQAVTSRFQTPATKVIVKTSIAFIVLGVLTVLFPTEEGPTLQVAISLIATFYFINDRLKSKIRALLYGAGAFLFSWLVGTFLMVSVIPPIPILKGPRTFEVLTSLITYVLLKEACGEREIRAIYFQNSKMASASLLKTSPVVDKSEWVRGQPLRQPSVSVVRCNRVATSGLTVRASSYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVSAPGLGQYISGAILFEETLYQSTVDGKKMVDVLTEQNIVPGIKVDKGLVPLAGSNNESWCQGLDGLAPRSAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAKKVWAEVFFYLAQNNVLFEGILLKPSMVTPGAECKDRATPQQVADYTLSLLRQRIPPAVPGIMFLSGGQSEVEATLNLNAMNQAPNPWHVSFSYARALQNTCLKTWGGLPENVKAAQDALLVRAKANSLAQLGKYTGEGESEEAKQGMFVKGYVY >OMO57021 pep supercontig:CCACVL1_1.0:contig14453:34054:35399:1 gene:CCACVL1_26068 transcript:OMO57021 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-ATPase proteolipid subunit MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >OMO57024 pep supercontig:CCACVL1_1.0:contig14453:40901:44290:-1 gene:CCACVL1_26071 transcript:OMO57024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAFIFFLFLLLCLPFPSLSQKNQVEIQALTSFKLNLHDPLGALNGWDPSTPAAPCDWRGVACTNNRVTELRLPHLQLGGRLSDRISDLKFLSKLSLRSNSFNGTIPSSLSQCKLLRAVFLQYNSFSGPLPSEISNLTELSILNLSQNHLSGEITGYLPPSITYLDLSSNSFSGSIPRSIANLSQLQLINLSYNQFSGEIPASFGALQQLQYLWLDYNLLEGTIPSALANCSSLVHFSAEANTLGGVIPAAIGALPNLQVVSVSHNNLSGTVPVSLFCNVTVKPPSVRIVQLGSNLFKNVVGPASGNCYSVLQVLDLSGNQISGDFPLWLTRVTTLTMLDVSGNLLSGSVPVQIGNMSRLEELKMANNSFTGVVPVEIKQCGSLHVLDLEGNRLSGEIPEFLGGMTGLKVLSLGGNLFSGSVPESLRNLTGLETLNLGHNNLTGSLPEEIMGLSNLSTLDLSGNNFSGEIPAGIGNLSQVVVLNLSANGFSGKMPASLGNLFKLTTLDLSKQKLSGELPLELSGLPNLQVIALQENMLSGDVPEGFSSLMSLRYVNLSSNSFSGHIPETFGFLRSLVVLSLSNNHVSGEIPPELGNCTELEVLELGSNSLTGHIPADLSRIARLNVLDLGGNNLTGEIPEEISKCLSLSTLFLDDNQLTGGIPVSLSKLSNLTQLDLSSNNLSGEIPANLSLISGLVYFNVSRNDLEGEIPVTLGSRFTNPSAFAENQELCGKPLHKKCEDMAEKNRKKRLILLIVVVVCAAFFLSFCCCFYVFSLLRWRKKLKEAAGEKKRSPARASSGASGGRGSTDSGGGPKLVMFNNKITLAETIEATRQFAEENVLSRTRYGLVFKACYNDGMVLSVRRLPDGSLDENIYRKEAEFLGKVRHRNLTVLRGYYAGPPDLRLLVFDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHTSNMVHGDVKPQNVLFDADFEAHLSDFGLDRLTIATPGEASTSTTVGTLGYVSPEAVLTGETTKESDVYSFGIVLLELLTGKRPVMFTQDEDIVKWVKKQLQRGQITELLEPGLLELDPESSEWEEFLLGVKVGLLCTAPDPLDRPIMSDIVFMLEGCRVGPDIPSSADPTSQPSPA >OMO57027 pep supercontig:CCACVL1_1.0:contig14453:61019:63199:1 gene:CCACVL1_26074 transcript:OMO57027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MRTLCDSCESAAAIVFCAADEAALCRACDEKVHMCNKLASRHVRVGLATPSDVPRCDICENAPAFFYCEIDGSSLCLQCDMIVHVGGKRTHGRYLLFRQRVEFPGDKPGNIEDPASQPADPSETRRGQNQSAKPTMGENQQNHKLSPVQVVDANADGHVKMDTKMIDLNMKPHRTHGQASNNQPVGPAHTAQASRNKTIHGNGGDYEARSMEGLEFTYVSRSAAVQNYHPLGFSLN >OMO57020 pep supercontig:CCACVL1_1.0:contig14453:31594:33547:1 gene:CCACVL1_26067 transcript:OMO57020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIEILIDALTLRSMNAMKLFLIGLLRAAWIAGILPIVVASLPFSRLNSFHNLLLGFAKRGKILSSSSRKFTVPQSFFLHFYLVAVVWTTTLLMGTWYFAYNVAPLSVESLSYPAATSHLTDGSPVFSLHKFRFSSAKDRFNVWKSVFLLLLMEFQILRRLYETFYVFKYSSSARMHIMGYLTGFFFYIAAPLSLCTFCVLEVVNFVADQVAELNVEGQEMLSITELNLWGYVKPITSLGWCQWIGAAIFAWGWLHQYHCHSILGSLREKSDQTVEYVIPHGDWFDIVSSPHYLAEIIIYAGILVASGGTDFTIWLLLGFVVANLSFAAAETHRWYHQKFEDYPPNRRAILPFVY >OMO57025 pep supercontig:CCACVL1_1.0:contig14453:46704:51620:-1 gene:CCACVL1_26072 transcript:OMO57025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDGGEEQMQEAAGHLERIFVSVRLRPLNEKEIARNDVSDWECISDNTIIYRNSLSVSERSMYPSAYTFDRVFSPDCPNRLVYESGAKEVALSVVSGINSSVFAYGQTSSGKTYTMIGITEYAMADIYGYIERHKEREFIMKFSAMEIYNESVRDLLSSDSTPLRLLDDPERGTVVERLTEETLRDWNHFKELLSVCEAQRQIGETSLNETSSRSHQILRLTIESSAREFLGNDKSSTLAATVNFVDLAGSERASQTLSAGARLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSIGGNARTAIICTMSPARSHVEQSRNTLLFASCAKEVTTNAQVNVVMSDKALVKQLQRELARLENELRNAGTASISSDSAAMLREKDLEIEKLKKEVTLLTQQRDLALSEVQELRQMVNNESPGDETPQESPDNQYPKLRVRGSWEFENPTQAPVLPVAVRSYTPSDRQSCSSEESFLQLPDYKLNMPHPSSSPQFSPKFPNFVGSNQFQGENGEPVDENSEALCKEVRCIDSGRSSMHRHSDSSLSESSQTTYQNNNKSPPREIKDVSGQANFGIEDRSKQESRSLHLKNSNNLPDIAIPSPEKSHPWLLKKDISSCESLTLTRSRSCKASLMSSLTSSWIERAEKDESTPPIGNEKDFIGRPDSFQRKLSTLKYDLQNGTLSRNGSQSSSTSTADYEFNAQMSRSESLRSAAAAEHNAQDVSTSDDQNNTSTKGTEMPNLPYEKRLADHAVQVTEPILHGKTVKDVGLDPMPDNFESPSTWPSEFKRLQGEIIELWHACNVSLVHRTYFFLLFKGDPKDYIYMEVEHRRLSFLKNVYSRGNQMVEGGRILTLAASAKTLRRERHMLSQRMSKKLSQVERENLFVKHRIGLNTKHRRLQLAHCLWVHTKDMDHIAESAAIVAKLVGFVDPDKTFKEMFGLNLTPGQRTPKRQYSLKRSVMSIL >OMO57028 pep supercontig:CCACVL1_1.0:contig14453:64167:67551:1 gene:CCACVL1_26075 transcript:OMO57028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S28 MAPLAMRLSKAVVPVSLLFLVALSGFAHGFVASRTLFNRLSGNSNYLTTKELWFDQTLDHFSPYDHRQFKQRYYEYLDNFQAPDGPIFLKLCGESSCNGISNDYISVLSKKFGAAVVSLEHRYYGKSTPFKSHTTENLKYLSSKQSLFDLAVFRQWYQDSLNLKKNKGNAENPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSAVVLAVYNYTDFDKQVGESAGPECKAALQEITQLVDQKLTSNKKGLKEQFGASELEIDGDFLYFLADAAVVAFQYGNPDTLCNPIVEAKKAGEDLVAAYAKYVKDYYIDTFGVSVETYDQKHLKNTAVNEGDSDRLWWFQVCTEVAYFQVAPSNDSVRSSKVDTQYHLDLCKNVFGEGIYPDIDMTNIYYGGTKIAGSKIVFTNGSQDPWRHASKQTSSPEEEMPSYLITCHNCGHGTDMRGCPQSPLMIEGNAQNCSSPDAVHKVRQHIIEHIDLWLSQCKSTVGRSSM >OMO57017 pep supercontig:CCACVL1_1.0:contig14453:2232:2306:-1 gene:CCACVL1_26064 transcript:OMO57017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSIAYQRYPINVTPLGGNGPKQ >OMO57026 pep supercontig:CCACVL1_1.0:contig14453:57608:58382:1 gene:CCACVL1_26073 transcript:OMO57026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEVNNYEALPLLSLNHVSLLCRSVWDSARFYEEVLGFVLIKRPSSFKFNGAWLYNYGIGIHLIENPSIDDFDTIVEPRPINPKDNHISFQCTDVGLVKRRLQDMGMKYVTAVVEEEGNRVDQVFFHDPDGYMIELCNCENIPILPLSSCTFKPTTLNSFIKKAAPAKCGFMENVMMESLSMDMMNISF >OMO57022 pep supercontig:CCACVL1_1.0:contig14453:36791:38225:1 gene:CCACVL1_26069 transcript:OMO57022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRGKLRLELVENEKTRAATFKKRIIGLKKKAEELTILCNVRICMVIFGPKLKDKPLKVDVWPSDRAQVKSMIHDCKTSTSQKRIFTMSDYLNLRQKKVEDEIAQVRRANFKARFPTWDVRIDNLSSDQLELLRSELDSKLEAAKIKLTAMKGIDQNHHHQAPHPQYTSDHLISAANFPPKNLSDNYLQSHNFPLKTPSPFDHQIQAIPQNSLDYGLFMGQHSGNQVQSNHLPMKINLNPFDHHNIYGFPQKNLDYNGLIMGQQSGNFVQSHHVPLKTTRNQFDHIQSFPHNKNYGLFMDPQPPQAAGTCQILWATLLWAHLLVVTTMIIKDFQLLIIMIQCIIPCKTMMFKQLPKPYDAWFPPPSMQPDHQASNFDQLLYSESDPRLRSEFLGDFYRNMNHEDHDLANRAFKKQRL >OMO57023 pep supercontig:CCACVL1_1.0:contig14453:40064:40159:-1 gene:CCACVL1_26070 transcript:OMO57023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKWQRKKTKDSKIQGPTGTEEWENDRAGK >OMO57429 pep supercontig:CCACVL1_1.0:contig14377:7634:12127:1 gene:CCACVL1_25766 transcript:OMO57429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-hairpin glycosidase-like protein MEEGNEAWFMDSAKKLNINGSVNSPNVLEFEDVEQLKREKKGSISNGKAGNGTSTFHKASVDSTEDEAWELLRDSMVYYCGSPVGTIAANDPTTSNVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFLLHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKSSGDLSVQERVDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLVRALNNRLVALSFHIREYYWIDMRKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNKGGFLIGNLQPAHMDFRFFSLGNLWAVVSGLATTEQSHAILDLIEAKWDDLVADMPFKICYPALEGREWQIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRLDIAAKAVSIAEKRISRDKWPEYYDTKKARFIGKQSRLFQTWSIAGYLVAKLLLRDPSAAKNLTTEEDSELVNAFSCMISENPRRKRGPKGLKHTYIV >OMO57430 pep supercontig:CCACVL1_1.0:contig14377:17010:17693:1 gene:CCACVL1_25767 transcript:OMO57430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQCVDDLADDLDNLSFTSTATTTTTSTTVPETKRSTSSGSEATCTTSNLLSTSTKHHHAPPRDPCWHAIQRVKSDNNVLTLEDLRFIHRLGSGDIGSVYLVELKAAGGCFFAAKVMDKKELESRNKESRARIEREILEALDHPFLPTLYATLDCPRWSCLLTEFCPGGDLHVLRQRQPDRRFHEAAVRSA >OMO60753 pep supercontig:CCACVL1_1.0:contig13671:2683:5887:1 gene:CCACVL1_23896 transcript:OMO60753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MAASSSSGAAFFGIREEDQNNQMKQQQQPQHSSTTPTNSSSGPPPPPQAPPPQKKKRNQPDPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPPSLNPIANHLYGNSSLNLSQQVGSQISSIHHQDQNNKSAGDILRLGGAASRNTQFDHFLPPSAMGSSSTSSFRSHHHHQQSMIPSAAGFFINPDSNNNPSFPGLMQFQDHHNNSPSAANLFNLGFSNNNNNGTGNSDNSLSSSGLLMSDHFNSGNSHDIMGDHQIPSLFSSSVQNNNNMAVTQMSATALLQKAAQMGSTSSSNSASLLSSFGSSSSTTSTKPSNYGGILGDHSGGNNLHELINSIASGNSSIFGTAPGGVNTYSGAEKQQQNLNAASGGGGSDRLTRDFLGVGQILRSMSGGGGTVSPQQQQGMGSKRNNMTVPPPQPPPQQTEQQSFEGGGNFQ >OMP01059 pep supercontig:CCACVL1_1.0:contig06544:4225:4293:1 gene:CCACVL1_03177 transcript:OMP01059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLQQQQQETSSKPSTKKRA >OMP01061 pep supercontig:CCACVL1_1.0:contig06544:18810:21708:1 gene:CCACVL1_03179 transcript:OMP01061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiolase MAPTAETASDSIKPRDVCVVGVARTPMGGFLGSLSSLSATKLGSIAIEAALKRANVDPSLVQEVIFGNVLSANLGQAPARQAALGAGIPNSVICTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNAPKYLAEARKGSRLGHDTLVDGMMKDGLWDVYNDCGMGSCAELCAEKHVISREEQDNFAVQSFERGIAAQQGGAFAWEIVPVEVPGGRGKPNIVVDKDEGLGKFDAAKLRKLRPSFKETGGTVTAGNASSISDGAAALVLVSGEKALKLGLQVIAKITGYADAAQAPEFFTTAPALAIPKAISNAGLDASQIDYYEINEAFAVVALANQKLLGLNPEKVNVNGGAVSLGHPLGCSGARILVTLLGILKQKNGKYGVGGVCNGGGGASALVVELV >OMP01062 pep supercontig:CCACVL1_1.0:contig06544:26254:26343:1 gene:CCACVL1_03180 transcript:OMP01062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYVRAPSILAKVQLRLVASEEFDPGSA >OMP01060 pep supercontig:CCACVL1_1.0:contig06544:6830:16065:1 gene:CCACVL1_03178 transcript:OMP01060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVISHPEQTIIGLGSTLHSFGKRNSHGGSGFLVFSAIGDFCIRRNYTGAAPS >OMP01063 pep supercontig:CCACVL1_1.0:contig06544:31270:32989:1 gene:CCACVL1_03181 transcript:OMP01063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site MADKGPPLPKFGEWDVNDPASAEGFTVIFNKARNEKRTGGKIDSPGRNDTAYKQRAVLGKPQS >OMO71496 pep supercontig:CCACVL1_1.0:contig11614:28959:29258:-1 gene:CCACVL1_18200 transcript:OMO71496 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-coenzyme A synthetase ACSM4, mitochondrial-like protein MAAMITLPGRETKSISPFDFQLENILSEPPMSSLEQALSLSRTRPLSSFSSHLSFLSVKSSAIFVEVEKGKETEERNRFSSISLSARLDPPNQTHQNPR >OMO71495 pep supercontig:CCACVL1_1.0:contig11614:7509:9421:1 gene:CCACVL1_18199 transcript:OMO71495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGMAAIAGQSSPDVAGSCPNLRACRSGKECTRSREIRRGGGRNVSNFDEIITTLKIFVLHAGWLENHELTMDSLLYPMEPKFLRNQGYAKKLNKKDGWEVDGAFCSP >OMO71497 pep supercontig:CCACVL1_1.0:contig11614:32258:32629:1 gene:CCACVL1_18201 transcript:OMO71497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVEALAFENGTEEPASIKFLE >OMO86355 pep supercontig:CCACVL1_1.0:contig09499:13610:19639:1 gene:CCACVL1_09621 transcript:OMO86355 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSSENSLPPISCEEEAELHRSTKKIKENNSMSNQTQLPPRSVVSYKDSLLGANYGLFDQSHFLDHEDSEMGNNSDEDPDVDTRSTKLHSLWNLPQRFFTIDLGCDYFLVKFDSDEDYNSVLKGVWLRLPELPIEYYDLPILKRIGKRIGQVLRIDNHTLSSERGKYARLCVQVNLEKPLPTTVTMEGEKQAIIYESIGMLCFNCGKLGHRKSSCPDLPISETTQEKPVHHPSEKAPSPKDGDEYDPWLLVQRRRSKNKVPTTSILTADTSHNKKTIASRSNDRTADTQFQRKYVVKSHHPKSNKEKLPVAEALFQNPPPHIDTKSKSSSSLHIKEKSPKSSPSVTAKDTSPKPSQPRIYSNKNFTPSGIFFTPLASHEHSPMQDPISSIVLSPPKTPFYLARPIPLPLSFPRNPIYLLAPTALHHLSTMTNRWISSFPHRPSAPQTINHLPLRPRRLSSEMRRAILEGSSTLDLNGLPLRETGAEPAPVAPAPPTREIRIRDTRVTRDPHPSPLTPLLIIPPPVQISLIPNSSLLKTLSRANYSPPINPFLMETCIMNIHQFHLTNKPVELHLPSTQMSALKISICTQVHHLRLATRTLLTQDQEVQNEVTLTPWLTSPMERSRDPGWVMSEQIPSLNPISSTMLPFNKWKIPTLLATLEVSGCCGTQLLSPSILSALLNKRYIPPLRDVYDNIFHRKKRILARLAGVQKAIDVSLNQFLLDLQKSLTFDYQQILKDEWELWALKSILNWILEGERNSRFFHVTTLIRRRFNKIMGLSNDNGDWISDPLDLQQLVLSYFKNLFSTTNIAEHLSFDSFNEDWASLLPEDLAALNIPLTDVEIHKTLWTFKPDKAPGPDGLHPGFYQRNWATVKSKICSAIHDIFYSASMPPEFNGTLISLIPKCHGLTNLSQFRPISLCNTIYKLVTNILVLRLRPFLNKLICPLQSSFIPGRQGIDNVVIVQELIHTMKKTKGARGWMAIKIDLEKAFEKLEWGFIRKVLSSYNFPANWQKLIMSCITTTNTSILINGGKLESFTPSRGFPIHHDCPSKDDYLFILDKHRPSCSLASSCSHYSISTSPTGSDILCWNFSPNGNFTLSSAYRLALNLDPCPSFVSNWSWIWKLKCLPKLQYFVWECFHSILSTKLFLHSRKLTLDTSCHNCPGAIETIEHVLRSCQLAQTFWRSLPCPPALKHLSNAPFHDWVTGNLRSKLPFCRHNVPWSFIFIYAIWELWLLRNAAIFKNSSPNPKAAESAIFKDVEFYAMAGFKCKSLSPVFVPVKWHPPQIGWFKLNSDGSFIGNPGRGGVGAIIHNHEGNWVTGSHRSIGIVSSVEAELWALRDGLNWLNKKI >OMP11879 pep supercontig:CCACVL1_1.0:contig00745:427:531:1 gene:CCACVL1_00229 transcript:OMP11879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKDPNPRNVNRFVPMSELVVKHLNYSSGLARIKN >OMO94787 pep supercontig:CCACVL1_1.0:contig07800:22992:23612:-1 gene:CCACVL1_05815 transcript:OMO94787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSLVVTVTLVVVVMLGIFDAPILMGRGDDQMVSPTPSQCKEEKNLLVNACKAVILGSSPSPECCQRVRLSHVDCVCPLVTPQVAALIGVERTIKQIEACGRTVPHNFKCGSITTP >OMO94783 pep supercontig:CCACVL1_1.0:contig07800:9208:12644:-1 gene:CCACVL1_05811 transcript:OMO94783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate/phenylalanine/leucine/valine dehydrogenase MNALAATNRNFRLASRLLGLDSKLERSLLIPFREIKVECTIPKDDGTLVSYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCTPRDLSKSELERLTRVFTQKIHDLIGIHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVYATEALLAEYGKSIKGLTFVIQGFGNVGSWASRLIHERGGKVIAVSDITGAVKNPNGIDIPELIKHKETTGSLKSFNGGDAMDPNELLVHECDVLVPCALGGVLNRENAADVKAKFIIEAANHPTDPEADEILSKKGVIILPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNKELRRYMTQAFHNIKGMCQTHNCNLRMGAFTLGVNRVARATILRGWEA >OMO94798 pep supercontig:CCACVL1_1.0:contig07800:59717:61004:-1 gene:CCACVL1_05826 transcript:OMO94798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase VARRRFWSKTGSSSSGWVYCGSHNFSAVAWGRPISSSVGIKASGPDKANSLNASRLHVCNYELGIIFVFPPTETNRIANQSTTKLDDIALPFVVPAPKYGPRDRPATAQAMREALAELSKQETKSLAEVTISENMMEEVPDEDEEVVNEATNYVAEEKEEDRSYAERLWIQALFIGTLICLRKLSSNMPNLRTAIVLAQCSMIPTAINPIRFFGM >OMO94782 pep supercontig:CCACVL1_1.0:contig07800:7650:8599:-1 gene:CCACVL1_05810 transcript:OMO94782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MASISISASLQRACSSQHVRRKQKPIPKPTYSLGTKQVMKAVTLDMEAQKGYKTDTKEEPASEMNYKSKDPEERLKEDFETESSAPKFRDERWKNGTWDLNMFVRNGRMDWDSVIIAEARRRKFLEMHPEATTNEEPVKFRSSIIPWWAWLMRTYLPEAELLNGRAAMIGFFMAYIVDALTGLDVVGQTGNFICKAGLFVTVIGVLVLRKTQDFDNLKKLADEATYYDKQWQASWKDQNATSSSDRIGNT >OMO94784 pep supercontig:CCACVL1_1.0:contig07800:14295:14408:-1 gene:CCACVL1_05812 transcript:OMO94784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMWDEAIGRRLERRESNGLACRGVTYNSALQRQR >OMO94791 pep supercontig:CCACVL1_1.0:contig07800:34725:37367:1 gene:CCACVL1_05819 transcript:OMO94791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LSD1-type MLLVNCSNCHTPLQLPPGAKSIRCALCHAVTLIADPRSVHSPSASSSHHHHHYQQPPPSPYNHAPSGPPPQVHGRKRAVICGVSYKNTSYELKGCINDANCMKYLLVNRFKFPESSILTLTEEETDPYRRPTKHNIRMALFWLVQGCQPGESLVFHYSGHGSQQKNYTGDEVDGYDETLCPTDFESNGMIVDDEINATIVKPLPHGVKLHAIIDACHSGTVLDLPFLCRMDRKFPTKYEWEDHRPRSGMWKGTSGGEVISFSGCDDHQTAADTQSLARITSTGAMTYSFIQAIERGHATTYGNMLNAMRSTIRNTDEQAGGGFVTSLLTMLLTGGSLGGGLRQQEPQLTSNDPFDVYKKPFSL >OMO94785 pep supercontig:CCACVL1_1.0:contig07800:16211:18686:-1 gene:CCACVL1_05813 transcript:OMO94785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med31 MDSNKESDSASDTPSSPKNVYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEYIKFIMYPHCLYFLELLQNANFRNAMAHPGNKELLHRQQFFFWKNYRNNRLKFILPKPPPEPVATPAPPPPAAVPPQPNLPPVPATTIAMTTAPPAPVSALSPMPYGLPPGSSHAKNDMRNSGIDRRKRKYVYIVKVSQFVLNPLEKGRKLGRIA >OMO94795 pep supercontig:CCACVL1_1.0:contig07800:44782:47304:-1 gene:CCACVL1_05823 transcript:OMO94795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSASWKVLESDSDWYSPRRLHDSPTQYYFPGDRFVPSRSLMDLDKAHSLLTDRTKDSNTSKYNKLYRQKLIENLSLDSEGRPFRILVFRGSPKSSRKSIRFVDEMRREEAATFENERKQTQYRRLPKGEKKILDAPGLKNDYYVNIMSWGKNNILAVALGKELYLWNSKNETVHRLLTADGRNDCITSVNWSEDSKTLALGYMCSKLQLWDAESCKLIRDLQGHSGRISTTAWNAHILTSGSRDKSIINHDVRAENNITCWIMKHDDEVCGLRWSPEGNMLASGGNENMIYIWEASKMSSSKYLHQLSDHSAAVKALAWCPYQHNVLASGGGLTDGTIRIWNTQKGICINSIETKAQICGLEWNRHHKEILSGHGYSASSEDQNKLYLWKYPSMTKVGELGNHKSRIINLCQSPDGVTVISAGADETLRFWDVFGPPTAGQSMVTDLQDIQRMLENFYSLKLSGDIVL >OMO94781 pep supercontig:CCACVL1_1.0:contig07800:3120:6549:-1 gene:CCACVL1_05809 transcript:OMO94781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTYSKKPNSSARLLADSAEAERRLRDAEERLREAIEELQRRQRTAASGEHPPCDHADDSCVANAIGNLCQSFLLSYGVRVGIGILLRAFKLAKRQSYSSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCLLRKLRKKETPVNAILAGSIAGLSILALDDSNRRRTLALYLLARVAQCAYNSAKSKNKFHLWGSHWRHGDSLLFALACAQVMYAFVMRPESLPKSYQDFIQKTGPVAAPVYKAVRESCRGGPVDVASLSAYLYNRGKSNNVKLEEFPSIIPCSIIHPNTNSCLAHNTKAASATFRKTFPLYFSLTFVPFVVLHLQKFMDTPARTCWLAVKGAVRSTTFLSAFVGIFQGVICLHRKIASKDHKLVYWVAGAISALSVLLEKKARRSELALYVLPRAGESLWHILVNRHLLPDLKNAEVALFCACMGGIMYYLEYEPDTMAPFLRGLIRRFLASRISNPGPSSNRTRTASYSYLHTLDAMHKPELEDNREVETSTPKKYNLESIPGL >OMO94788 pep supercontig:CCACVL1_1.0:contig07800:25893:26330:-1 gene:CCACVL1_05816 transcript:OMO94788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSWGGSMFILVVILVGVLEVPMARGDMSPSQCKEEQRLIVNACKPVIFGLSPSAACCQRVRVMHAECVCPAVTPKLAALIGVQRTIKQIEGCGRTVPHNFKCGSITTP >OMO94789 pep supercontig:CCACVL1_1.0:contig07800:28243:29603:-1 gene:CCACVL1_05817 transcript:OMO94789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MTGKRIGNVKFEVGATICTILLLAPVVLSQTIPTSKSQLNSWFSSTIKPLSARKDSLDAELATAEAEPQVIKVKQDGSGEFDTLTKAIASVPPGNTKRVIISIGPGVYMEKIKIDRMKPYITLLGDAQAIPNITFDGTAKKYGTVDSATLIVESDYFVASNINIVNSAPKPDGVMVGAQAAALRISGSMAAFYNCKFIGYQDTLCDDRGYHFFKDCYIYGTVDFIFGSGTSIYLNSELYVEGDSGLTVITAQARESAAEKTGYIFVHCSITGTGNGTYLGRAWKTHPRVVLAYTDISEIVNPEGWSDKFHPERESTVFFGEYKCTGKGASSTGRVKFTKALTDDQVKPFLDLSFIDAMKWLLPPPNKV >OMO94796 pep supercontig:CCACVL1_1.0:contig07800:47884:51347:-1 gene:CCACVL1_05824 transcript:OMO94796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein MAAKPFVPFMKLNPPITIVASIKQEPLQLPPSLPPPEFIPPQPLAVFKAEPEQQPPQNQVEQEQHHQHEPRFLKSINDLAALSSAIHAFKCRFDELTKHIDFINQAIDSKLSEPVQEQCFQIETQPPPKSTQVDNRIDKKAPDTAPPPKSSRSEIQSLCERMCSKELRRYIVGNLSNVAKLREEVPAALKLAPKPAKLVLDCIGRFFLQGIKAYTKDSPMIPARQASVLVLEFFLLMMGSFRLEGEMKMASDVKAEAEQGAVAWRKRLINEGGLNKASEVDARGLLLFVACFGIPRAFRTEDLGNLLRLCNLRAISDALKGSSLLLARMPGIIEGMAKNGMYVEAVDVASIFGLEDKFSPKTILTSFLQESTKSFKRARQEATNSPVALKKANEKQLDALKSVVQYMEDRSSDVSKLLGSWQIEEKIVKLEEEIAELHKRIEDKKTTPKRKVDEMVSSRKVKSQELKRSRFASKGSTLTKSSYVNGLHEQRNATLADGIRSYDGLVANSLDSAISGNVSNHPAASSVPHGSNVGSLSENGVGQMVGISGVGSSSMGTAVGVLSANYYSGAYGYGDKGVDNAGQVMSSSGLPYGWQHGSAEQSASMRFSSLFGSSQSVEGFAGLPDLPSSGASDRTTTDLYRFADSVGEIESYSSTSHRTGTLPTVAPIHHSSYSYSYMYK >OMO94794 pep supercontig:CCACVL1_1.0:contig07800:42427:44445:1 gene:CCACVL1_05822 transcript:OMO94794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Manganese/iron superoxide dismutase MAASRRFSRKAGSNLITATFELKPPPYSLNALEPHMSRETLEYHWGKHHRAYVDNLNKQIVGTGLEGLSLEDTIIVTYNKGDMLPAFNNAAQAWNHEFFWESIKPGGGGKPSGELLDLIERDFGSFEQFIQEFKSAAATQFGSGWAWLAYKANRFDVENAVNPWPSDKDKKLVIVKSPNAVNPLVWDYFNRRPDYISMFMEKLVSWEAVSARLEKAKAQAAEREIEEERRRKEEEEEQTDDDDVQMYLDSDTDDSDSE >OMO94792 pep supercontig:CCACVL1_1.0:contig07800:37872:38837:-1 gene:CCACVL1_05820 transcript:OMO94792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAEHLHSEQNPQTTMTPSSTSTPMSSMPLQLSGFLSGDTIQVEGDTGNLQFSLQFNIHNKSLSKNDNNFHFPCFSSPDNNNISCQGSSFSSYLTPRSTQEIENENQNVDYSGPSMIQNHDENIEFERTAKPDNITNRRDMMTRLRSGAISQVKYFPRKECRDLRSCMSLTVKRKRKKREQGKIVLEKLLQKRCRPVKPCSSYVFFVMASWGSVKSSSFCEASKRLSQMWCKLPRKDKKIYEDMALKDSARYKRQLMLLNCKEQNPSPNQNSSIDAIMSAN >OMO94797 pep supercontig:CCACVL1_1.0:contig07800:52024:56332:-1 gene:CCACVL1_05825 transcript:OMO94797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin ClpA/B DTSSGSGKQEGSVVSSTDVPFSISTKRVFEAAVEYSRTMGYNFIAPEHIAIGLFTVDDGSAGRVLKRLGANVNHLAAAAVTRLQGELAKDGREPSVSSKKMSEKSNSGNAAALRSPDKARGKSALAQFCVDLTARASEGLIDPVIGRETEVERVIQILCRRTKNNPILLGESGVGKTAIAEGLAISIAQAETPIFLSNKKIMSLDIGLLMAGAKERGELEARVTALLSETVKSGDIILFIDEVHTLIGSGTTGRGNKGSGLDIANLLKPALGRGELQCIASTTIGEYRTQFEKDKALARRFQPVWINEPSQEDAVRILLGLREKYESHHHCKYTLEAINAAVYLSARYISDRYLPDKAIDLIDEAGSRARIEAFRRKREQETGILSKGPDDYWQEIRTVQAMHEVVMASRLKHDDGASNEDDSSELLESPLPSTSDNDEPIMVGPEEIAAVASVWSGIPVQQITADERMLLVGLEEQLKKRVIGQDEAVAAISRAVKRSRVGLKDPDRPIAAMIFCGPTGVGKTELTKALAACYFGSEDAMLRLDMSEYMERHTVSKLIGSPPGYVGYEEGGMLTEAIRRRPFTLLLLDEIEKAHPDIFNILLQLFEDGHLTDSQGRRVSFKNALVVMTSNVGSEAIAKGRRGFVGFLLEDNESTSYAGMKALVMEELKAYFRPELLNRIDEMVVFRSLEKPQMLEIVNLMLQEVKARLMSLGIGLEVSEAIKDLICEQGYDKTFGARPLRRAITSIVEDPLSEALLAGDYRPGETAVIDLDATGNPIVTSRSDRNISLSDTASIF >OMO94786 pep supercontig:CCACVL1_1.0:contig07800:19719:22039:-1 gene:CCACVL1_05814 transcript:OMO94786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHEEQEHEVYGGEIPDEEGEMDADIDMSGGPEDYEGIEQDLDQDPNSNSKDLEDMKKRLKEIEEEAGALREMQAKVEKEMGAVQDSSSASATQAEKEEVDSRSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVDAVQNALLLNESELHGRQLKVSAKRTNIPGMKQYRGRRPNPYFRSRRPYMPGPAFFPPYSYGRVPRFRRPMRYRPY >OMO94790 pep supercontig:CCACVL1_1.0:contig07800:30580:32083:1 gene:CCACVL1_05818 transcript:OMO94790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C14, caspase catalytic MLKIVKCSRCDAPTLQLRTRGAKASRCTMCKAVTFVTEVFRSSSSTISSPHRALPPPQVHSSKRAVLCGVSYKNSSYELDGCVHDARLMRAFLISEFKFPESSIILLSEEESDLNRRPTKYNMRTALRWLVLGCEAGDSLVFYFSGHGSQQKNHNFGEEKDGYDETLCPSDFETEGPIVDDEINWTIVRPLPHGVKLHALIDACHSGTALDLPFLCRFDNYNNSMFHPSHFEWTRNNLRKPAESNSVNGDENLFINNNGSRQEPQLTASEPFDVENRLFSL >OMO94793 pep supercontig:CCACVL1_1.0:contig07800:39781:41612:1 gene:CCACVL1_05821 transcript:OMO94793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator/pterin dehydratase MASTATNLSLTPLLPRLSSPRHHHRRHLATQSRPSALRIRAMTSDFANNFLGDFGARDPFPAEIESNFGDKVLGDFGTEHKILIPKAAAFSLAQQDCSPISPSQPPMSLEDAKALLMKVVGWRLLDEEGGLKLQCLWKLRDFKCGVELINRIYNVVEATGHFPSLHLEGPNQVRAELWTSSIGGLSMNDFIVAAKIDEIKTSDLAPRKRIWA >OMO50778 pep supercontig:CCACVL1_1.0:contig16056:12453:15642:-1 gene:CCACVL1_30263 transcript:OMO50778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fibronectin, type III MMEGEKRFSGFVLDPEKCSQLSLGEKIELVHEIAQWSEDAPQILSSFTRRELLEIICAEMGKDRKYSGYNKLKMIDHLLKLISLKSKKTDANQFGSLSEAKPEGGYKRKRQDGFPHEVLTDLNDIPPSQAKDERVKILVCQNAACRASLNPADAFCKRCSCCICHQFDDNKDPTLWLTCESDFDENESCGMSCHLKCALEDERAGIIKSSCCTKLDGSFYCLSCGKINGLMSTWRKQLVIAKEARRVDVLCLRISLAHKILLGTEKYKEVQKKMDSAIEKLTNEVGNLDLLCTKLARGIVNRLSCGTEVQKLCAASVEAYNSMVSEPCSNHANLKVPASCQISFEELSPNSVIIVLEYAVHLLEDFVGCRVWHRKSTERDYPDKPTFIVLNPEKRFRISNLNPSTEYFCKVSLFTNTGNLGLWEAKWVSPASSENYASALEPGKKKTRLTAQTYSQVKSTSYSKTNTGSGGYSAKLRSLEGINKSKNDGLCSPSFMETDSALSHASISPATPCKSNKTRDFYGSGFKRMVGESDYEYSLRVVKLLEYEGHIDEEFRVKFLMWFSRKATVQERRVVTVFVNTLIDDPQGLAEQLHHTFMDEIR >OMO50777 pep supercontig:CCACVL1_1.0:contig16056:10122:11379:1 gene:CCACVL1_30262 transcript:OMO50777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEAAQAEVPDNVETSAMPGRLSNPLSPTVQDDFSAPPRFDYYTDPMAAFAANKRRGKADNQSTQHNFTPSTTGGWPMAKVSPSHPRPGNNDVNPPLHHMQSHYSLDHRMYQQQGPNNNFAPRGSPIIRSPSDMHYGDSNALYGYQASATFPRAWNPSNAPRYGNSPRTGFSPGDSPYGRGQPQRFGNNPFPGPGQGGNFGAGRGRGRGYGGGFSHGMGRSGGRGWGYHGHSSPSNRTSEPKHFFKESMLEDPWQHLNPVLWRTREAGMGSLSTPNSSGSWLPDSIRKKPKVSEASNNFNTQTSLAEFLAASFNKAVEDTQTE >OMO50776 pep supercontig:CCACVL1_1.0:contig16056:1837:8932:-1 gene:CCACVL1_30261 transcript:OMO50776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein MPTKENFAGVSNCYVFKSRLQEYAQKVCIPTPVYETIKEGPSHEPSFRSAVIVNDVRYDSLPGFSNRKAAEQSAAEVALMELSKSGEVNESISQPVLKLLIEKSFCGCLRQHETGLCKNLLQEYAQKMNYAMPLYVCQKDNTAGRVPHFSCTVEIGGIRYIGAAAKTKKEAEIKAARTALLAIQSSDAEVSSKAVGNSQLTVIPSRKRVAETNSNEEVIGNVPKAKKPRFKKKMSKPKLSGNNDHSQDKPTGTSPVGMDNLVNPDWVQTGSSTMLSSETLPTEEMSNLQDSKPDYGLSEGEMPSEDVALAPQVAVNSENEWVQNESSTILSSGTLPTEKTGSLQDSKLDNGLSKREMPSEDVALAAQVAVSSVNGWVQTDSSTILSSETLPTEERSNFQDSEPVNGLSEREMPSEDVALAPQVAANSENEGVQTDSSTILSSETLPTEERSNLRDSKPVNGLSKREMPSEDVALAPQVAANSENEVVQTDSSTILSSENLPTEEMSNLQDSKPDNGLSDREMPLEDVALAPLVAVNSENERVQTDSSTILSSETLPTERISNLHDPKPDNGLSEREMPSEDVAPALQAAVNSENDQLTAVNSIHCNHEAPDVGTSSMVYAEATNLVKAANGSEAAPMQKRKARKNRGKAKMHGRPRKAAKPEDEAASAAKAQKLRALQEQFFSYHHNKIYTKEAVEISAKLLEINPESYTAWNYRKLAVEYHLSQPESNPDSVKSILDDELRVVESALRQNFKSYGAWHHRKWVLGKGHSSIDHELRLLDKFQKADSRNFHAWNYRRFVAQLMNRSEQDELKYTEDMIYTNFSNYSAWHNRSVLLSSLLEKKAEGFPSKEKVLPEEYEFIHQAIFTDPDDQSGWFYHLWLLDQTVKDDSPILVSSWPAHGSPFTTLHSDSGSLPIVLYFNQPVEGVSSCTVNVESGNGTEDLVWQPLSVSNSQAAQVWVAHLKISTSELHSSVKVSVGHSKGIVSSRGYQYRYPSTFSFKVRLQPVERDNSQGSVPESISWREEDFKVYGEQSQESIPIVSFDQLTIKNDHEPTASNWRAEALAKEIECFRELLSMMDCKIGKLTLARLLMAYDAMAFPCADKPVHLEEVLELYNDLMKLDPSHYRYYKDEHSSVLLKQVTSRKESLLKQCFLYKDSVSSAICNPVCLRLNNLSLSRMGAFEKLLWVQILDLSHNELQSIEGLEAMQLLSGLNLRNNKLRSFTALEPLRKLKSLTVLDISYNQIGEHSIDTTRYLCSSPLSHSAGSEWKKGGTGISDAALSNYWEAFFIFKELNLKQLDIVGNAVADEKFKSILVKVLPTLKRLDGELLD >OMP05750 pep supercontig:CCACVL1_1.0:contig05236:1820:4399:1 gene:CCACVL1_01836 transcript:OMP05750 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MTENLMPYGVRFCPDEKEVIFSHYLCPRIKGEPTPYAFKEIDIYKKEPWNLFEWNKDSPQTESFWVFTRLRRKGGSKTDRVAGCGSWNNKGKRNDVMDSKGRLLGYERYFIFTAKKDSLKWMMHEYSVQPEGSSNLVLCEIKYELVGKKRKSCDDLGESEEGSSSTVKKIGLDLDCQNKPVPVPIISQNKNMNNEVILASQNKNMNNEVILEELPVELNHEAIWEELPVELNHEAIWEELPVELNHAVTYELNPVVAVDDYGFPTDDPIYPGPESVDPGKPASFTWQRQLNSICKPPVAFGMSFQEIRQLTSIFDIFRKHFFTADHGIPLGGMGAGSIGRGFRGEFQRFKLFPKVCEKGPILANQFSAFVSRPNGQKYSTVLCARSPEVP >OMP05751 pep supercontig:CCACVL1_1.0:contig05236:5526:6101:-1 gene:CCACVL1_01837 transcript:OMP05751 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELNTEDLT >OMP00917 pep supercontig:CCACVL1_1.0:contig06587:153:227:1 gene:CCACVL1_03248 transcript:OMP00917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HYWAKSKTIQNSTNGPRTARKKAF >OMO62507 pep supercontig:CCACVL1_1.0:contig13255:20481:21229:-1 gene:CCACVL1_22784 transcript:OMO62507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLSHFLLLVVLPIFLLSHPVHSDDVEDHLLQGLNSFRTSGNLPAITKVKNAHCAAEKIANDLEDTPCTNPSTSQGKETKLSDYPKAISKCNIDPNTTNDVLVLPVCVPHLVPTLILTNFTRTHFSKYINDSTYTGIGLSTEDDWMVVVLASNTPSGSLANGAFSLVNAKGFGLGYYLVLFLLGFLVLGLVH >OMO62505 pep supercontig:CCACVL1_1.0:contig13255:2685:9325:1 gene:CCACVL1_22782 transcript:OMO62505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDLESLSEATSGAIGSLLSTTILYPLDTCKTKYQAEVQAHGQRKYRNLSDVLWEAISTRQVLSLYQGLGTKNLQSFIAQFVYFYGYSYFKRLYIEKSGSKSIGTKANLILAAAAGACTAIITQPLDTASSRMQTSAFGKSKGLWKTLTEGSLSDAFDGLGISLLLTSNPAIQYTVFDQLKQRALEQKLKEANKGSSPVVLSALTAFVLGAISKSIATFLTYPAIRCKVMIQAADPDEDDTKKKARPKSRKTVSGVVCAIWRREGILGFFKGLDAQITKTVLSSALLLMIKEKITATTWVLILAIRRVGRWAVSDPGHVAVKFTDSNLQTFPPSGAQGKISAVAQPPRDADDTFSRPSAGSDEPQGGGWFRAFSVAAYKPYFDVDTSDVMDRLKESLFPFRGTFTEKTATNPDLYGPFWICTTLIFVAASIGTFVTYIAHKLNNKEWAYDINLVTWSAGVFYGYVLVVPLALYVVLKYFSAPSGLVQLFCLYGYSLFIFIPALCLSVVPLEIFRWVIAGVAGFMSATFVALNLKAHINSAGERWFLIVASIFLLQLALAVVLKLYLFTVTV >OMO62506 pep supercontig:CCACVL1_1.0:contig13255:13735:18672:-1 gene:CCACVL1_22783 transcript:OMO62506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENDDEEGFGDFKFISSSSSHSINGPDFFTTNKINTVAAAVDDDDDWGDFVKPDNSHSRTESLPANRFHFDPFPSSSSPTQPDSAPSRVESGKNQWAKVSGALPLSFFGEEEKEEEEVSGAVDAGFNGGTVAFSFPKKDGNLKGKGSGLNDLIADLYKQSGNGKEGNGFGSGLDSKKGVDLNPKEESWNWNGSVLKVDGLDLSGNDSALVKEEEHLGSNGDAFGMETKQGNMGLSGLNLVSNGPAVGQSKLTLDSNGGNSDLVDEEEDDDGWEFKVAESKAEASGANFKSDQNEPKSNSNVSTFSWDPLGTNVSRLNSKVNGVNSNASELNSSLFNKNEEFGDDGWEFKTAESETHSGADSAKVERRDQENLKGVEFNFGFGTGVNGLSNFVGSSGELSNKPGEWDLGFSFAHSFGTQSKQNTEHGVIVSPIDQNFGSDEMSWAFKDPISENEPKSKEEPNVADTSSPGVEDISFNNHIQGNEEKVEKQKGALPLSIFGDAELEPDDSLRYEDVSVHQPTSARAVMKDTHSNISINDLISSLYSQAEKNASINHISNPSGNELHSSQTVVGSNLVNGDDDFDDDSWDFKGAVSGTREENQNSQLSSGDSYEKYSTKLGLNDYVDFYSKLTAELCSVSLIHLDKMKKDRSIAVPSGEDAEVNAIEKEFQDLYIELQKDGIISKEVTSENLQSRSIYLEGFADVLQENKFQMLESEYHLSEKLSLAEKDLKIAIELLKHAASTLKIVKLGSFEDQSNYVSTWSRILSVCVLELKHGALIWKQSSEKNIQSHLLSKLEGRQYILALGEIYRVVKIIEASLKLYKPWIMIGSEYPTNFLALVRESYTLWSSSGLEEALQTLSDATDLKYDVKALLGSIQSVHDLDAHELYKQVSSREELTCCLSGLSAGTVPGLKMVVWDGRHYFLTIANLWANLISHSPPNLPHLANEDALGR >OMO54783 pep supercontig:CCACVL1_1.0:contig14902:49454:49573:-1 gene:CCACVL1_27578 transcript:OMO54783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSVTTEVRRTYVSPRSGRGRGRERSAQDGMTDRLSPS >OMO54782 pep supercontig:CCACVL1_1.0:contig14902:44157:44483:-1 gene:CCACVL1_27577 transcript:OMO54782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLKARTTVPLTVLLFILISAQLGAAIRPWQGEQLFRKIVPNFESLQRGPVPPSGGSPCSNVPGGTGKCINGINAAGHLFRSPPPHPAFPAGIDVIKFAAAATSMGE >OMO54779 pep supercontig:CCACVL1_1.0:contig14902:27592:28797:-1 gene:CCACVL1_27574 transcript:OMO54779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPSISLIIVGGPRKGETVVFQPGSVVRIGRVMRGNNLPIKDAGISSKHLTIEFSSGKWIVRDLGSSNGTTVNDDTVNEETPVELHNGNMLKLGETTSILIKIDGGERAAEEVAVVVESQKGNPLRRGRALKSETESVNKEVENLEKKANVRVTRSRKNLDSVNHELVAPKAPEIQESNANRKRGRPPGRKRNQQEKKSEEKETDFIPKDEVVEQEEPNSSSRNEVKLGDAKNNEVEKSCNERDPEKKSEEKEAEPIERDEVNEQEELNSSPPDEEKVEDAKNEVEKSCNERDQEKKSGEKEAEPIRKDEVNEQEELNSSPPEEEKVEDANNEVKKSCDERVELEKMTLGEWFDYLEVHLPKQIIETTDEMIEGIRKKAERLQQYMVEQKKKGKARVAPG >OMO54776 pep supercontig:CCACVL1_1.0:contig14902:2226:7490:-1 gene:CCACVL1_27571 transcript:OMO54776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MENSLPLHVCHVPKFSIIINRSHTLVHSIAIAFLIYYRVSFFFQENTKNIPTLPWLLVFASELLLSFAWLLGEAYRWRPVSRTVFPERLSSDDKLPAIDVFICTADPDKEPTVGVMNTVISAMALDYPPEKLHVYLSDDGGSDITLYGMKEAWKFARAWLPFCRRFDIKASCPEVYFSGYEDYDHGNFINSSEFKAERQKIEEKYEKFKERVEEYYRKNQVEEVAATKNSRDHPSNIQIIQEYYSNEKIEDDKVKMPLLVYVAREKRPSHHHHFKAGALNALLRVSALLSNSPYILVLDCDMFCNDPTSARQAMCCHLDPQISPSLAFVQFPQTFRNISKDDIYDSEIRAPFKIQWQGFDGLRGPVVSGTNFYMKREALLGNSIQDGNVDLMALKKSFGPSNEFIKTLRRDFKPSFNINDGKSSNYFTGLNLHCKGWKSVYLTPPRPQFLGTSTTNLSDLLIQGSRWTSGLTDVAISKFCPLIYGPTKMSLLQSLCYAELAFWPLLYSLSLWGFALIPQLCLLNGIPLYPEVSDPYFNIFLFIFLSSLAKNLYEILMTGGGIRTWKNERRIWMIKSVTSFSYGFLDSIMNKLGLGEASFLPTNKVADDEVVKRYEMGIFDFQAPTMFLVPLVTIILVNIASFVGGLVRIMFMDSFGDWRKMVGQTSLSFYILMVNYAVIEGMVIRKDKASIPSSVTLLSAAISVIILSVGSFIMC >OMO54780 pep supercontig:CCACVL1_1.0:contig14902:30644:37347:1 gene:CCACVL1_27575 transcript:OMO54780 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein MAGVASEESGVGRSVEGISSGQRCQSGEALAEWRSSEQVENGIPSTSPPYWDSDDDDDGGPKPSELYGKYTWKIEKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVYDGFIESDTLIIKAQVQVIREKADRPFRCLDCQYRRELVRVYLTNVEQICRRFLDERRGKLGKLIDDKAKWSSFCDFWLGIDQNARRRMSREKTDVILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQSKGKKAKLKLLDTEEMPAPIVRLEKDMFVLVDDVLLLLERAALEPLPPKDEKGPQNRTKDGNSGEDFNKDSIERDERRLTELGRRTVEIFVLAHIFSNKIEVAYQEAVALKRQEELIREEAAWLAESEQKGKRGASEKEKKSKKKQAKQKKNNRKSKDKGREDKVSLAAEEKHEEDHGSDEKDASMIMEVQPVPEKSDVLGDVSDISDSVDGATEVPQPDSEDRDASPVNWDTDTSEIHPPTEASSSGICGLSFVQNGVADKRSTSIMDDSSSTCSTDSVPSVVMNGPYKGNSVSNNHSQKSPSRGRNQRSKTSSDSSSWTMETDNRASCPALDAGDPNDVSESSKAGESESEAAVSSLPDQTKWVEQEAVKKEVVLLQKKPITQDQVDLERPKEKTAAIPSSPRSPSRNLPPTAQFRSEYRSAGSVDSMPVRKASSNSLQQSDQPASSSASFQTTGISKSETQKAATPKPTEKPITPQLPVVSRPSSAPLIPGPRPTAPVVSMIQTAPFLARSVSAAGRLSPETSSAASYIPQSYRNAIMGNHVASTSAGYTHPNSPSSGVNPSPAYSQPPALVSAPVYIPQSSEMIEPTSIQLQSGFPYGMVTRDTLPSAPQWMESSQRDGSRNMHPDPSLLSEIQNLDLYKTMHNGSREHFSTEFPACASGRQNQGVLADEFPHLDIINELLDEEHNVAKVGPGFHNLGNGSQLLNRHYSFPSSLGISGEMGSSSSSCRFERARSYHEDGFQRGYSSTSGNHFDTLREFIPQASPLPYTNGQIDGLVPTQWPMASSDLSLLSMRSAEGDNYPYYSPEYSNLACGVNGYTVFRPSNGH >OMO54781 pep supercontig:CCACVL1_1.0:contig14902:39198:39503:1 gene:CCACVL1_27576 transcript:OMO54781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLSIALAALVLLFLLQIQTLNASRLLHEESKLVKKLDLLQSLQKGPVPPSEGSSCTNIPGSGGPGCPLNEMHYAGGAALPRAGTYPSLTVQFGVATGRK >OMO54777 pep supercontig:CCACVL1_1.0:contig14902:14565:16037:1 gene:CCACVL1_27572 transcript:OMO54777 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase I subunit RPA1-like protein MFDVRKSNLPSWRSFWDFPLEIVPDAKAANGYAVRTGDVISVNVGSRIARPYVMSNDGRILFWAKTGMGVLHVVRTDLNTSDENRHFSVMLEDYTDACLLACLSSLVLCMLMRHGDSLAGGLCNAPSSFSVRGIFETLPSDSIMEASFSSLSTFFFREILLWPEGCVMVFLRLQSEESSKLSHRTTSWKLLPPLYQPSSELLLLLGFFGMVPSANFRYFH >OMO54778 pep supercontig:CCACVL1_1.0:contig14902:18628:25781:-1 gene:CCACVL1_27573 transcript:OMO54778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MASSSSLPLHLCNVNKLAMIINRSHALLHSIAIVFLISYRASFLFQETKNRTVPTLPWLLISASELLLSISWLLGQAYRWRPVTRTVFPERLPGDDKLPAIDVFICTADPDREPTVDVMNTVISAMALDYPPEKLHVYLSDDGGSDRTLHGTKEAWKFAKSWLPFCRRFDIKTSCPEVYFSGFEDYDHGNFSKSSVFEAERQKIKEKYEKFKERIRRVAEEHRKVVEAGGATSNSRDHPSTIQVMQEYSNEEFEENGEVKMPQLVYVAREKRPSHHHNFKAGNLNVLLRVSAMISNSPYILVLDCDMYCNDPTSARQAMCCHLDPHISSSLAYVQFPQTFRNLSKHDIYDSAYRSIFKIQWHGVDGLRGPGMCGTNFYIKREALLGSFKQEAGLDLMELKRSFGPSNEFIKTLRQDYKPSFITDGKSSNILLEEAKVLASCSYEDQTTWGIKVGFLHFCVMEDIFTSFQLQCKGWKSAYLNPVRQQFLGTCTTNLGEVLIHGSRAASGLTQVAISPKFCPLIYAPPRMSFLQSMTYIDMAFWPLLYSLSLWGFALIPQLCLLNAIPLYPEVSDPYFSIFLFIFISSLAKNLYEILITGGEIRTWINERRIWMIQSVTSFASGSLDAFLNMLGLVFPERLPSDEKLPAIDVFICTTDPNKEPTIGVMNTLLSAMALDYPPDKLHVRYDIKTRCPEAYFSRNVDSEPSEFMEEKQKIKEKYELFKERLMRDRENSKLGDRGVYTARDHPSCIEIIQEYSTEGLEEDQIKMPLLVYVSRENSSSHVHHFKAGAVNVLLRVSAVLSNCPYILMLDCDMYCNDPTSARQAMCFHFDPQLSPSLAFVQFPQTFHDISKNDIYDSEVRSAYTGPVLSGTNFYIKREALCGHPIKKGIDLKELKNTYGPSDEIIKSFLQDYKPDINNNGELSNMLLEEAKVLASCSYEDHTKWGKEVGFLYDAVAEDFLTGFILQCKGWISAYVAPSRPQFLGTSTTNLNDLLVQGVRWGSGLVDVAISRFCPLLYGPTRTSFLHCMCYAELSLFPLLYSLPLWCLATIPQLCLLNGISLYPKVSNTYFGVFLFIFISSDE >OMO97298 pep supercontig:CCACVL1_1.0:contig07252:14731:17082:-1 gene:CCACVL1_04610 transcript:OMO97298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHSLSNPATTTQVIAQSRIKSKPSSSSSFLKPYSLQSPWLGIKLSVQSSKPRPRLPNHRQITATVSFSLPTAKPDRVASTEKVPKWSRRAIKSFAMSELEARKLRYPTTGTEAFPMGILVEGTSLASKFLRANGITLEKVREEAVKLLGKGDRFFFSPEHPPLTEAAQRALDWAVDQKLKSGDDGEITTTHLLLGVWSEVESPGHKILETLGFNDEKAKELISLSSEPGCVDG >OMO97296 pep supercontig:CCACVL1_1.0:contig07252:8811:9410:-1 gene:CCACVL1_04608 transcript:OMO97296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSNSFESCIRRLSQKARKVFLKLKFMSKRDPKVVIAGFDHCSSTPFTSMELSSQLKQVFKLIDTNGDGKISSLELSEVLMSLGQKRLEANKEADIMVRELDRNGDGYIDFEEFVDALGVNTAQMTLSDKEDELMGAFAIFDSDKNGFISANELQRILAGLGYEKCSLKECFKMIKGVDKDGDGLISFEEFKIMMTTYTA >OMO97297 pep supercontig:CCACVL1_1.0:contig07252:12757:14274:1 gene:CCACVL1_04609 transcript:OMO97297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MSATASSRLEENQAEKTFHSPSLSNPTKKWPANLMQMMLSELKIQRGIAVPLVAMNLTWFAKIAVTTAFLGRLGELQLAGGTLGFTFANVTGFSVLNGLCGAMEPICGQAFGAKNFRLLHKTLLMAILLLLLATLPISFLWLNVDKILTHFGQKEDISSVAKTYLFYLLPDLIVTAFLCPLKAYLSSQSITIPIMFSSALALAFHIPINIFLAKVKGLEGVSMAIWISDLIVAILLVLYVLMMENRKGGKWKEGGWWDQGVQDWLRLLKLSGPCCLTTCLEWWCYEILVLLTGRLPNAKQAVGVIAIVLNFDYLLYSVMLSLATCASTRVSNELGANQPQSAYQSAYVSLAISTISGCIGALAMVGSRGFWGPLFSHDKGIINSVKKMMLLMAVVEVVNFPLAVCGGIVRGTARPWLAMYANLGGFYLVALPLGIVLAFKAALGLSGLLLGFLVGMTACLALLLLMVARIKWHEEAAKAQILASNVAAVEDEDHKTIDRINNNEV >OMO97299 pep supercontig:CCACVL1_1.0:contig07252:23855:24388:1 gene:CCACVL1_04611 transcript:OMO97299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSGKHPMYRGIRCRSGKWVSEIREPRKTTRIWLGTYPTPEMAAAAYDVAALALKGSEAVVNFPDSVPTYPLPPSTSSADIRKAAAAAALLKKAQTGGDQINVGRVHQHQHHEGQSEEKNMTEEYVDEDVLLNLPNLLVDMAEGMLVSPPRISPHPSDDSPENSDGESLWSYNY >OMO92395 pep supercontig:CCACVL1_1.0:contig08224:308:7211:-1 gene:CCACVL1_06860 transcript:OMO92395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MSSTTSESMTWHADGRSNDGNLRHPADSLAWKDFYSRYPDFASEPRNVRLGLASDGFNPFKTMSSTYSTWPVVLIPYNQAPWISMKQSSFILSMIIPGEKGPGDDIDVYLQPLIEELKQLWDGILTYDATRKQNFHLRAALLWTVNDFPAYANLSGWSTKGRFACPCCAGKTCSNWLKHGKKFSYLGHRRWLEAGHPFRSQKRAFDNTMELREAPLITSRSDILEMLNGCEFSSNEASLWKKRSIFFDLIYWEHNLLRHNLDVLHIEKNVCDNILVTILDMDGKSKDDLNSRLDLVEMGIRPDLHPQLDHNGKRSIPLAVYAMSKKEKEIFCQVLKDVKVPDGFSSNISRCVNVSDRKLYNLKSHDCHVLMHDLLPIALRSSMSKRVTIVIIELCNIFKTLCAKVLKVDELDKLQDRAALALCNLEKVFPPSFFTIMVHLVIHLPLQAKLGGPVSPWWMYPVERFLGKLGAYVLNKRFPEGSIVEGYLAEECMTFCSRYHEGIETRFNRPSRNPGCSENDNGVLYLFESGGEKIGGLYLETYKRSLVINNRSRRPNQRDVDKIFTETFLDWFRQQVKQFESKGQTQNSGVVVTSSTTSYASSRDLNPLEGNLDYYGVLNDIIELYFDEKYRVVLFRCHRADVNSSRGVRKDEFGFTLVNFDRLIHTGDHVVDDPYVFSSQVKQVFYSQDPIERAWHVVVHNTPRDNFYMGGESSNEPRTEFFLSNNGTEPDIDLTTITDRMAVKKAVQCGNVEDRLIELIRNGKVEEVLEFAQKELAPRREENQSFLEELERTVALLAFEDASNCPVGELMDISQRLKTASEVNAAILSSQSLWNVISMMWLMFNSF >OMO50225 pep supercontig:CCACVL1_1.0:contig16253:3799:4787:-1 gene:CCACVL1_30565 transcript:OMO50225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAAREASGLQIAAARPSISSSHSRVKVGAGVNSKCLPSTKLTSAHYMMIAGGTESSTVTLEWAISELLKKPEIFAKATAEVDRVIGRDRWVEEKDIANLPCIYTLHPVAPMLVPRLAREYSQVASYLKLFKECLNKIRFPICNSYFE >OMO88895 pep supercontig:CCACVL1_1.0:contig08893:26436:27497:-1 gene:CCACVL1_08131 transcript:OMO88895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1 MNMAEAAAEAPQAVNPETVGKAVKALLKWKDAQSNIQKPQLLGDDELFYLIVTLKKIPQKPRVNPHKVPLPHPLLDPSSEPAELCLIIDDRSKSGLTKDAASKKIKSENIPISKVIKYSKLKTDYKAFEAKRKLCDSYDMFFADKRIIPLLPRLLGKQFFKKKKIPMPVDLKHHNWKEQIEKGCGSALLFLSTGTCSVVKVGKLSMGKEEIVENVIAAINGIADIVPSKWSNIRSFHLKLLDSLALPVYQAVPDLRLKIVAETDQPSSKKEKQGKEEQVVEEEEDASHQKKKKKSKGRIHEVQYMDDDSNETNSGAKRKDETKTDSALKKKSKTASKKLSTADKKKRKTLAGQ >OMO88896 pep supercontig:CCACVL1_1.0:contig08893:34504:34611:1 gene:CCACVL1_08132 transcript:OMO88896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDQGDSQAHKAQKVTKTMVKEVDIEATKQLSRK >OMO88897 pep supercontig:CCACVL1_1.0:contig08893:49907:50044:1 gene:CCACVL1_08133 transcript:OMO88897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKPALRFHADGCGNFDLAPKPSCVDFILNVLHGRNKKLILPLQ >OMO88891 pep supercontig:CCACVL1_1.0:contig08893:2000:3551:-1 gene:CCACVL1_08127 transcript:OMO88891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbP, oxygen evolving complex MASQTRLPLSNQSSFSYYHFHSSPKLPSFKPKAHKQSNNNPNRDTLPKPVSLSKRMLNFSALALFLNGFLPDISKALSDKELELESYTDADEGFTLLRPSSWIKVEKAGATVLFEEANKGSNNIGVVVNPVRLNSLGEFGTPQFVADKLIQAEKRKESTKAAEVIGVAERAGKGGLQVYEFEYKVDSTRGGMKRIFSAAFVASKKLYLLNIAHSDKPESPLDTHTRAVLEEILHSFDAAAAPST >OMO88894 pep supercontig:CCACVL1_1.0:contig08893:15191:24281:-1 gene:CCACVL1_08130 transcript:OMO88894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFHIVLERNMQLHPRHFGRNLREHLVSKLMKDVEGTCSGRHGFVVAITGIENIGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAAVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDCEVRLKIIGTRVDATEIHFSVAERKRVLNDDVFAGFWRRMTVIGESPEGEGEKGGATVLVGVKLDGESKELLTWALVKVAQPGDHIVALHILDSASESPASILSLVKTFDSILAVYEGFCHLKQVDLKLKVCRGSSAKKILVREAKAYEEAKLIVGTTKTNNPIRSSASVAKYCAKKLPKCFSVYAVENGKILFQREAIHTDLNLSQGKLNLDNGHKSGLLRQRTLKKNCAACASALKVPENSTAQLSGELPGNDSVDNSLALVPLQACEDNSILVRELPNSRPGWSLLRWVFLPKRHHSENSGAKKSMVRWVLKSPNQHSSAVVYPDQKQNHSNQEKNHSSDLDGESGALVPVGYESICPLSPCDFPKELQIFHEKYSSSCRLFSYQELLDATSNFRPENMVGKGGSSHVYKGFLPDGKELAVKIFKPTENAVKEFVQEIEIITGLSHKNLISLFGFCFEDNKLLLVYDFLSRGSLEENLHGNKKDSNAFGWNERYKVAVGVAEALDYLHNVCEQPVIHRDVKSSNILLSDDFEPQLSDFGLASQVSSSISHMTCTDVAGTFGYLAPEYFMHGKMSDKIDVYAFGVILLELLSGRKPIDNDSPKGQQSLVMWAKPILMDSNVSQLLDPQLGSDYDSDQIERMTLAATLCIRRAPITRPQISLILKLLQGDQEVTNWARQQVKASEEVDVVDGEVYPTDIKSHLNLALLDLEDDSLSVSSNEQSIPIEDYLQGRWSRSSSFA >OMO88893 pep supercontig:CCACVL1_1.0:contig08893:8677:13999:-1 gene:CCACVL1_08129 transcript:OMO88893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sirtuin family MAFSSLYLYILQLSHKFQRSVSQRRRVTFSQGSVKLIQTSCWISNPGVTIGETQAPPKYLKDKKVVPEADPPSIEDVNRLYQFFDQSNKLVVLTGAGISTECGIPDYRSPNGAYSSGFKPITHQEFVRSSRARRRYWARSYAGWRQFTAAQPSAAHVALASLEKAGRIHFMITQNVDRLHHRAGSNPLELHGTVYSVICLDCGFSVSRNLFQDELKALNLKWAAAIESLDYGSPGSDKSFGMKQRPDGDIEIDEKFWEEDFHIPTCQKCNGILKPDVVFFGDNLPKERANKAIEIARQSDAFLVLGSSLMTMSAYRLIRAAHEAGAATAIVNIGTTRADDIVPLKLNARLGEDLGTLLKLNKLLRSKDRGIGMANN >OMO88892 pep supercontig:CCACVL1_1.0:contig08893:4709:8163:-1 gene:CCACVL1_08128 transcript:OMO88892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MVSLLRRLNLIVSERAPRYLARRPNPSSNDAANCKAKTSALMRGYSFAANEVISRGQGREAHHTVKDQFNNQAKDLSPKANTGGTLNHQIGQNVSRKDKIKFLVTTLIDLKDSKEAVYGALDAWVAWETSFPIGALKNVILALEKKHQWHRVVQVIKWMLSKGQGNTMGTYMQLIRALDMDHRAEEAHQFWVKKVSTDLHSVSWQLCRQMISVYYRNNMLEDLVKLFKELEAFNRKPPDKAIVQRVADAYEMLGLLEEKERVLEKYKDIMNKTEKGHKNPKQEASMKRKNKSG >OMP03622 pep supercontig:CCACVL1_1.0:contig06024:5014:5088:1 gene:CCACVL1_02341 transcript:OMP03622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDEPAPTKKLWQTHNLRNGLRP >OMP10751 pep supercontig:CCACVL1_1.0:contig01973:179:421:1 gene:CCACVL1_00801 transcript:OMP10751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVQKDPPFFHQIFCFFLDTRVQIPMDLLFAYRLHIIRKTLVFSKPLVHISHQTVLFDNVYLVDSLLTQASIQISVLHSFVK >OMO55306 pep supercontig:CCACVL1_1.0:contig14729:10067:13203:-1 gene:CCACVL1_27317 transcript:OMO55306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSNAVQVNQRRSAGYLPTVWDPELIKSFSTPYTYESHGARLEELKQDAKCLFKSINQLEEKLGLINTVQRLGVRTYFVNEIKEVLNHANPNIANDLHTVALQFRLLRENGFFVNLDVFNKFTDNDGKFKDSLREDVAGLMSLYEASFLGLHGEEVLEEAKIFSTKHLKLVMGKLENDLREQVKQSLEVPLYWRFPRSEARNFIDIYQRDSKKNLVLLELAKLDFNLLQSIYLKEIKELAEWWKDLNINDKLPFARDRMVECYFWAMGSVPEPQFYKCRRNLTKFGSLATVLDDVYDVYGTMEELNAYTNAVNRWDLEAIKDLPEYMKVCYLAMYNHVNEMVQDALEDLGLDILPYVKDQWVSYVRSLHVEAGWFHGGYKPTLNEYFKNGWISIGVALGLAYAFFGVMEEYSSKENLPLEFLENWSDSELFYWPSLLTRLWDDLKTSKLEMERGETAKSIQCYMIQEGVCEEEARNYIKGLTNDSWKKFNKFIAQSSLPSGFADTALKMTRCVHRMYHYGDWFGIQSEANKDCVNSSLFNPI >OMP08590 pep supercontig:CCACVL1_1.0:contig03683:1870:6236:-1 gene:CCACVL1_01104 transcript:OMP08590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase superfamily MELQTFRHPSFAGNVMFLVRNNVVKWKSHRRFSLNTALLGRGCFCKPSIARKKAYSKGIKTSVSCSYSTEESNSLPSLKQLSDARVIYAVAPALGHNKEAHPECSARVPSIVTALEKMELTSKFRGSDILELQNFKLASVDDIASVHATAYVSGLEKAMDRASEQGLIVIEGSGPTYATPTTFRESLVAAGAGLALVDSVVAASKNRLDPPMGFALIRPPGHHAIPKGPMGFCVFGNVAIAARHAQRVHGLKKVFIIDFDVHHGNGTNDAFLDDPDIFFLSTHQDGSYPGTGRIDEIGHGAGEGATLNLPLPGGSGDIAMRTVFDEVIVPCAQRFKPDIILVSAGYDGHVLDPLASLQFTTGTYYMLASSIKQLAKDLCGGRCVFFLEGGYNLDSLSYSVADSFRAFLGEPSLAPEFDNPAILYEEPSTRVKKAIQR >OMO51971 pep supercontig:CCACVL1_1.0:contig15654:18907:20267:1 gene:CCACVL1_29468 transcript:OMO51971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKEQEALYSAIQGFVGNWWNGSDLYPDPCGWTPIQGVYCDLFDGFWHVTVLNFGEVFDNSLNCSQAAMFTDHLFELTHLRSLSFFNCFSSPRNSPIRIPSSNWERFSNTLETLEFRSNRGLVGTIPTSFGSLKQLQSLVLLENGLTGELPIEFGSLVNLKQLALSGNHFSGQIPASFGGLTKLLILDLSRNNLSGSLPLSFGANFTSLLKLDLSSNNLQGELPKGIGNLKKVSLLDLGRNKFSGGLIQSLEEMGSLKETVLSNNPLGGDLMGIQWGNLQNLEILDLSNLGLIGEIPESMTEMKRLRYLGLNDNNLSGNPSPKLATDLPNLGALYINGNNLTGKLEFSERFYKKMGRRFRAWNNSNLCYQPEMLTSSSLDVPVPDGVKAC >OMO51970 pep supercontig:CCACVL1_1.0:contig15654:4696:8701:1 gene:CCACVL1_29467 transcript:OMO51970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDSTSEQEQDDSDAEFVEIDPTGRYGRYKEVLGRGAFKKVYRAFDELEGIEVAWNQVKVTDLLRHSEDLERLYSEVHLLKTLKHKNIIKFYNSWVDTKNENINFITEIFTSGTLRQYRKKHKHVDLRALKKWSRQILEGLQYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRQARSAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTFEYPYIECTNAAQIYKKVTSGIKPASLAKVTDPGVKLFIEKCIAKVSERLSAKELLGDPFLRFDEDDSVGRSLRPKTPSSDNSSDQTDFRRSTLQFETSVDVKVHGQRKDLNTIFLKLRISDSTGHIRNIHFPFDIEADTATSVAGEMVEELDLTDQDVPTICEMIENEIRSHMPDWTAEVSPRDGFGEVGNSINCISEYKGDGSPSAHETNSSPGRLSLERLPSGRRYWSDSPKASGGISPPTAASSNLASQADLLAEHDEQAQESCESGGNINLGTSLERLENKFTVYSGRNDDSRWKDSNRVADMQLSAAGKNLHDGIGTCSSKELVNDTKGLKVIAERLESLLVKQQMELDELKKKHKLAISDLLKEFSPEIREEVLKRCKMKIPDYYIQNKTNP >OMO51973 pep supercontig:CCACVL1_1.0:contig15654:22274:27825:-1 gene:CCACVL1_29470 transcript:OMO51973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAEEKNAKSPSLEDSKKKRKRKRNRAKKSELQNPDSNIDIEEGEEFQPEKQEENEIEEREKQEEDEIEEREVEVEEKKKKKKKKKMKVKSDDEEEQEVEDNEEEEGEEGEVKEKVITGGSGIMSTDSFESLGLSEPTFKAIKEMGFQYMTQIQSRAIPPLMVGKDVLGAARTGSGKTLAFLVPAVELLYNVRFTPRNGTGVIVICPTRELAIQTHAVAKDLMKYHSQTLGLVIGGSARRGEAERIVKGVNLLVATPGRLLDHLQNTKRFIYKNLKCLMIDEADRILEANFEDEMRQIIKHLPKQNRQTALFSATQTKKVEDLARLSFQTTPIYIDVDDGRKKVTNEGLQQGYCVVHSSKRFVLLYSFLKRNLSKKVMVFFSSCNSVKFHAELLRYIHVDCFDIHGKQKQQKRTTTFFDFCKAEKGILLCTDVAARGLDIPAVDWILQYDPPDEPKEYIHRVGRTARGEGAKGNALLFLIPEELQFLRYLKAAKVPVKEYEFDQKKLANVQSHLEKLVANNYYLNKSAKDAYRSYILAYNSHSMKDIFNVHRLDLQAVAASFCFSCPPKVNLNIDSNASKFRKKMRKVERAKNNFSESNPYGRQKSEDDTRQFTRY >OMO51972 pep supercontig:CCACVL1_1.0:contig15654:20888:21557:1 gene:CCACVL1_29469 transcript:OMO51972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MASAFTKVFLVSVFLFSSMINFHIAIGAEYDVNGDDGWIVPKHSSDNQMYNKWASSNRFKVNDTIRFMYKKDSVLVVTEAEYDKCQAQSHPEFFSNNGDTVFRLDRPGLFYFMSGVTGHCQKGQKMIIKVLETESLPPQSPNQNNTNNSPDTNKNAAVEVPAVTSSTIVLLLLSAFVLASSTC >OMO94088 pep supercontig:CCACVL1_1.0:contig07980:343:1668:1 gene:CCACVL1_06177 transcript:OMO94088 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Disease resistance protein RPP13 MYQLPKLFRNGRVHRLAIVGNGSNCKVTEELESHDRNCKNLRSLVLYGVKEQELKYLLEHFRLLRVLDLVGIYDNTSDIVDKVSKKSSFRTKEETSLYFCWLEMEDLIHLRYLSFQDFQLKKGILELPASIKNFRYLQTLDLRAEVPRINIRFVKWRMLQAKVPPIKIPFVKWKMQQLRHLYLPYRYDIVGSGKLQLANLRNLQTLVNLRIGTSELQDLLELRDKIRKLVLIFGEEEEHLNEYPFSELAVETIDPIASGCCPKLYKLRIEMKIGELPSYRKFSNSITELILACCRLGEDPMPTLEKLPNLEILHLEWFAFIGREMACTRKGFSKLKSLILKQLKHLEEWKVEEDAMSCLCHLEIIDCFALKNIPIQLRFIATLKELKIENRNMPQEFIDGIRPREQILTRPMILHSM >OMO94089 pep supercontig:CCACVL1_1.0:contig07980:2771:6255:-1 gene:CCACVL1_06178 transcript:OMO94089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEPWEALDVDDSELSSLLRPCSHKPQNSPSPPNPEPFSLLAQNPPLLPSTPTLIPGPAGAVQAAMLRKLHNKNNPLCVGDEPLPTQEYIRRAVEDPCADDDDDFSRDPWLFALDFIHRQGLADDGRTIGTPLSWIKTELQMANRKVAQVVAIIKSCTPNGLGDLMVTLKDPTGTIDASIHHKVLVEGSFGKDISVGTVLILQKVSVFSPSQSARYLNITLSNVVKAIPKDRGPQSERNNPASTVTPSVHVVECSKQPALQQKVSTLSQERTEGIINSLRQTGYLRGRVHNDKVIEGDEAVESSCCINRRTRSQDAFVEKEHSVRQETISGTKKAALLAGNNKDEETVVLEMQPSPQNLARRDSQLESSQSSHAPNMVRIANNQENVAINGDLKQRQLPISTGPLPQWTDEQLDELFAFD >OMP12045 pep supercontig:CCACVL1_1.0:contig00521:5296:5790:1 gene:CCACVL1_00164 transcript:OMP12045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLDVEPKVYMRDKISNIYKILKYSTWDSAETQLKQLPIKWDSFTVNQVLKTHPPMKKAWLFFNWVGKVRGFKHDQFTYTTMLDIFGEAGRISSMKYLFQQMQEKELKIGAVTYTSILHWLSKSGDVDGAVEMWEEMRGKRCFPAVVSYTAYMKVLFDNNRVN >OMP12044 pep supercontig:CCACVL1_1.0:contig00521:2910:4076:1 gene:CCACVL1_00163 transcript:OMP12044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCQSSKTKGGSPEPSSQGRSDPQFEADLSSYEAACKADPALQHFDKTLHERTNHVISTLASGLGVPSLSFDSLKEVTGCLLETNDEVARIILESQRDIWDKDMFSLVEEYFENSTKTSDFCIALENCIRRARLNQLIIQSAVRKFEEEVVLQVGPDEMKFVKTLEELRKFKAAEEPFTKEFFTLFDAVRRQQESMLRKLLSRKRKLDKKLKSLKTWRRVSNVLFVATFVSVLIFSVVAAAIAAPPVVTALAGAMAVPIGSIGKWCNWLWKRYENELKGNRELITKMEIGSRFTLHDMENIRVVVSKLEITIDSMLHNADFALQEEDAVKLAIDEIKKNFEVFMETIELLGRQADTCSRNIRMARTMILQRMMRQSGTSSTGDSLWEF >OMO82651 pep supercontig:CCACVL1_1.0:contig09995:35730:38333:-1 gene:CCACVL1_11834 transcript:OMO82651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol/phospholipid:diacylglycerol acyltransferase MGDLQTHGAITDTALSVHHGVVSSTCHLLDFLIFPSAIDDIFTCLLTWTAYISRRFINFIENLVLQDVYRCSEDSVTSEQHPRSSHESIREGCQSSSASCAEASSSGICGRRSSDLREGFLSNMNSGIIRINHSRRGVLLIFRGSCRLMMLPLFGFQHAWSLAKASWRCALDYLRCTQEQIYSLKTRMQKTLLGSSDDIGWLQNTPGMPPVENGTARFLELLEAVRNGEHTLPNSFVYLLVPGLFSNHGPLYFVATKRFFSKMGLACHIAKIHSEASVEHNAWELKQYIEELYWGSGKHVMLLGHSKGGVDSAAALSIYRSELEDKVAGLALVQSPYGGTPIASDILREGQIADKETRRIMELIICKIIKGDIRALEDLTYEKRKEFIMNHKLPEGIPLISFHSEAKVAPGVLATLSHIAHAELPWIPLP >OMO82656 pep supercontig:CCACVL1_1.0:contig09995:77671:78387:1 gene:CCACVL1_11839 transcript:OMO82656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSKGNEDGYSEECRDFYLAALRLCSFKLEKISNETVKKLHELKTKYSFGEKEEAKSNEPKTPEKSNPAEEIRRLNSAKNWSETPKFRLSLSSNFPPEMPPVACLKGLIGNCSKPFEKQLTESDVKSNQCRLSLNKIDVQNAVNPLLKEEDDPTEGIPVKVYDSNGKEYPMTFITWCSKIHVLKEGWINFCNDHGLVAYQDFVTLWVFRNKKTGGLCFVITSRRLEVFEAIKRRKLN >OMO82652 pep supercontig:CCACVL1_1.0:contig09995:38358:49693:-1 gene:CCACVL1_11835 transcript:OMO82652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MVRNPLTVNASDVNTRDLVSNGVLKDGNSASFGGDFGNDGGIIKDSGESENSFASEGMDLDGTVRVAKDRNVDDDYVAENAEDLNEIAALEDVIRNQDNSSLEEIVEPGKVVSGDKLLGNDTIQKAEDIGQAKTTSQTSTLASPVVSSSEKESTGEVRDGFTLEQVVEHGQEVSTGKIVENKTRQSTKELGHEHSASQSPTLVLPVVSSLMNKTDLRNTTKNATMESDRVTSKHNSVMIAKPGKKKMKSEMPPKSVTTIDEMNRIFVHQRRSSRAMRPRRPSPRDQEIFAARSQIENAPAIVNDQELYAPLFRNVSMFKKSYELMERTLKVYVYKEGKKPIFHLPILKGLYASEGWFMRLMQGSKRFVVKDPQRAHLFYMPFSSRMLEYTLYVRNSHNRTNLREFLKDYTESIAAKYPYFNRTGGADHFLVACHDWAPYETRHHMERCIKALCNADVTVGFKIGRDVSLPETYVRSQRNPLRDLGGKRPSQRHILAFYAGSMHGYLRPILLEYWKDKDPDMKIFGPMPPGVASKMNYIQHMKSSKFCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPLFEVLDWSAFSIILAEKDIPNLKDILLSIPKERYLALQLGVRKAQRHFLWHAKPEKGESLFFITIGIHFGRFRD >OMO82653 pep supercontig:CCACVL1_1.0:contig09995:50497:53142:-1 gene:CCACVL1_11836 transcript:OMO82653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MDIYALVQRFFHAEIRKLVTIIGLAVSIIIVFQCFALPSPTSKGSIVWLVSNATILDNLKPSELIVVNVVANNANDSNSKEVARYRNETLQTNEDSDLVSDVNRYLDDAFHRLKDQNSHDLTLKQRKTQGKSLMTGYVTSANDGSARVKAAEVWHDHIGMVDNTKKSEKMTYDPEATNDNGIVPLISAVVPISVSKMNSLLLQSINSSRSLRARRSSAHDHELLSAKQEIEHAHISRKTPMLYASLYQNVSKFERSYEMMEKILKVYIYKEGEKPIFHQPKMRGKYASEGWFMKLIEGNKKFVVRDPRKAHLFYLPFSSNTLRTALNGQEFQHVEDLQKYLGDYVELIKGKYNFWNRTGGADHFLVACHDWAPKLTKHMRNCLRVLCSANAAKDFKIGKDTSLPATNIRSAKAPLENLGGKPPSERNILAFFAGGMNGYLRPILLQYWQNKEPDMKIFGPMPGDIEVIISDNYVPPFFEVLNWEAFAVFIQEKDIPNLRNILLSIPEEKYLEMHSRVKLVQQHFLWHKKPVKYDLFHMILHSAQPQTCCNSDKRKLRTNPKARNHKHSRNFQNGVVYPKLSITPTAQPNSRVPTKVPNLSFN >OMO82654 pep supercontig:CCACVL1_1.0:contig09995:57769:62395:-1 gene:CCACVL1_11837 transcript:OMO82654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MEIYTLVQRFFHAEIRKLVLIIGLAVSIIVVFQCFALPYGRIFSPSPTSKGSIVRLVSNATILNNLKPSELIVVNVVENDANDSNSKEVARYQNETPQTNEDSDLVSDVDEYLDESFHRLKDQNSHDLTLKQRITQGKSLMTGYVTSADDGSARVKAAEVWHDHIGMVENTKKSEKMTYDLEATNDNGIMPLISAVVTSKGLGNLDPDLGTSGSSFATNLSSVSNAQNFIETQHRNSKGWDEQPISVSKMNILFLQSIDSSRSLRARRSSARDRELLSAKQEIEHAHISRKTPMLLYQNVSKFERSYEMMEQMLKVYIYKEGVKPIFHQPKMRGIYASEGWFMKLIEGNKKFVVRDPRKAHLFYLPFSSYILRTALKGQEFQHVEDLQKYLGDYVELIKGKYKFWNRTGGADHFLVACHDWAPKLTKDLRNCLRVLCNANAAKDFKIGKDATLPVTNIRSAGAPLENLGGKPPSERNILAFFAGGMHGYLRTILLQYWQNKEPDMKIFGPMPHDIEVIISDNYVPPFFEVLNWEAFAVFIQEKDIPNLRNILLSIPEERYLEMHSRVKLVQQHFLWHKKPVKYDLFHMILHSVWYNRVLHIKSSPFCFHMGMLLVNGDEGSIGNSKNVIFRIKSSVNSAMVLNPLTVNASDVNTRDVVCNGVLKDGNSASFGGDFGNDGGIISDPGESENGQKPKDGEQAKATSQTPTLESLVVSSIEMESAGEVRDGFALEQVVEHGQEVTTDKILENRTSQITKELGHEHSACQSPTLVLPVASSLVNKTYLRNATTNATMESDRMTQNITL >OMO82658 pep supercontig:CCACVL1_1.0:contig09995:93382:94671:1 gene:CCACVL1_11841 transcript:OMO82658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MNQLRVISFSLVLFFILFHVEAQSQSPLSENNDPSSGDAISNFKPSLAVVIGILCVMFSLTCFLLLYAKFCHRAAAFNGDRIHGGGLLPRTRSRFSGIDKTVIESLPFFKFSSLKGSKQGLECSVCLSKFEDIEILRLLPKCQHAFHIDCIDQWLEKHSSCPLCRQKVNAEDPAIFTYSNSMRFLRNTSELLRDDSNIELYVQRQEDNHGSSSRFSNIGSSFRKILDKGSNIENEGLIQHDDDDDENGRIFHKFNHKIIVSDVVLKNRWSNVSSSDLMFLNSEMLNEISSNRFSSSLETDEGQSLKSKPIENEQILKIKEEMEIKRSFESKVSLININNPILGSTSNSEATSSHATTRVVSQSEKRSMSEITALSRNRTNESAALSESSTKEERRRRLWLPIARRTVQWFANRERRSQQSQNSIQNLNV >OMO82649 pep supercontig:CCACVL1_1.0:contig09995:1214:4386:1 gene:CCACVL1_11832 transcript:OMO82649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HYHLVIVYLAQLQYLYLSMHQAQLQHLYLPMHQDQMPTDRMLPIAAWHDEMKLSFETLRAASSG >OMO82650 pep supercontig:CCACVL1_1.0:contig09995:6158:27760:-1 gene:CCACVL1_11833 transcript:OMO82650 gene_biotype:protein_coding transcript_biotype:protein_coding description:dehydration-responsive element-binding protein 3-like protein MEFLSSSTSNSNSNNSTAATSSSSSASTSSSSNVDDVSTPEELSEIVELPSLGTSYESAESGSEFVYVDPADGWLFNPGGTPWYFEENCGYFGEESVITTGFGRGVCRKSHGDERKKWRGRRATAGGSVALGVESVFFRYGEEQSKYVALEDFENNQEELPKYPLGSIQDIITPATANTTSHGGGLVVVVQTPEFTQILANLL >OMO82655 pep supercontig:CCACVL1_1.0:contig09995:63242:66211:1 gene:CCACVL1_11838 transcript:OMO82655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLPISFPIFAPTVTNPYPNPGEPSHRPPTEIRFSRWNNANAEKFNQRRRTQKEIEDDIRRYRRFDSAKKIATTDDRSSATPPPEPAGTFKSLGSPSSPSRPSIPGKKSKYSKPPPDHPAFRTISRTATKPPDHPAFRAISRTATKPPDQPAFKTISRTATKPPDDLVFKTISRTATKPPDSPAFRTISRTAKLPPPTPLDKKPAKVALGDDGLSFVIDGAPFEFKYSYTETPKAKPIKLREPPYSPFGPTTMSRPWTGRTPLPSSKKKLKEFDSFVLPPPDKKGVKPIQKPGPYLPGTGPKYVQSREEILGEPLSPEEVKELVYSCMKTKRQLNMGRDGLTHNMLDNIHAHWKRRRVCKIKCKGVCTVDMNNVCEQLEERTGGKIIFRRGGVVFLFRGRNYNYKTRPRFPLMLWKPIPPVYPRLVQRAPKGLTLEEANEMRKKGRKLMPICKLSKNGVYVGLVKLVREAFEECELVRIDCQGVKGSDYKKIGAKLKELVPCVLISFENDFILMWRGPNWKSSLKPAFNSDVEKSNADGATSITGQLEGQEQSAAYVQTDGTGSQDINIEHGESYVENDRPNAAVDEKPDTAETIDTKVDSIDYANVESENTGNISGSATLFGDAKGADGESESMSKPYCPEPVLDNSGIANEEAVDMPLESDVMSGSAESIQLESSVVEASQDNRPASMNAPCTKKVLLLLKQAVESGSAVVLDDASLDADRIYERAVAFAQSAPPGPVFTRQPRKSAVEMNEKQEPGDLEVKEVAAVSNKRGNEKKASKPVKIKDLDERHLDVVPQGSLKIDELAKLLA >OMO82657 pep supercontig:CCACVL1_1.0:contig09995:80752:82761:1 gene:CCACVL1_11840 transcript:OMO82657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDNGLELSLGLSCGASSAKSKGKIGSSSDTRTEEGDRGIKIVDDFKNFLQAGAQKQDPSVGSQRSDSVKPSENFFNDLSKAAGDAEASVNLNGRGLWGTNNSRSAEIDEDKRSEAGSKRKMLFDEINNPKKLEREAHHTDLHEKPKTSHISITTEDGSTAENEDVAESEVEGSTSRLVPHHDDGSKRFIGVSGSSEMPKEVKLGNLTYGNPFPVQPVNVINVPYSLPMKDSNSVGPPSSSGLTLPGMIQIIPAGSSERPGTQPGNPGNLPVMFGYPSVQLPMLDKDNPWGMVSHPPQFHPSYASRVPSNPDKHNDGLKISQASMHNIARNSSEAAQYDGRTFERVKAEGKQHATEEGSSTQAEEDVKGSSVNLRANTASDRSTADGLSLDFSAIKPGIAADLKFGGSGSYPNLPWVSTTGTGPHGRTISGVTYRFSANQIKIVCACHGTHMSPEEFVRHASEECANPDNTNGLATFPNTNPAASAQS >OMO50576 pep supercontig:CCACVL1_1.0:contig16124:6773:6877:1 gene:CCACVL1_30370 transcript:OMO50576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKNVSSHGRTLKRVYQVYGCVVTSRDMVAHKDAS >OMO50577 pep supercontig:CCACVL1_1.0:contig16124:25969:28663:-1 gene:CCACVL1_30371 transcript:OMO50577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MNRKRLLSENQRISRENSARHGNPREDPSSQGNCIENTTHRENPSGSRPNPSGISPNNNNEDDLNFAISSQGDNSNNSDGLASDHHKTVVTIFDQNGERKMVKTTAKLLFVAAHPQGRVVVPGNVKGQPIGGRGKLLRRFLLEIPNRYVWMTFGKKWRNYKHDLKKLCFNRNDGENVTLKMRPSNVCPTQFVVLVSFWGRKKIGIDSRSKQKRGHAAGSKSFARLEDEMHEKGEDVGCVAMYEKTHTRKNGKPFNSETEAVVAQISEETIQGMDRQQVEERIMSDLLGRERNGWVRGDGMGKNPYRTQSGILSSAHQERRQEIARIEARHAAENAQFNSKLYRLMKFVELNLPGAALGADLGDQTPQSQVPKDGSDNADYGGDGGTHFVNTSISSAQSRCGK >OMO50578 pep supercontig:CCACVL1_1.0:contig16124:34858:38041:-1 gene:CCACVL1_30372 transcript:OMO50578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MVILKFWPLTFAYCLAFVYSLFFFSFSMGFDSLGGIFIPSIEEEFYLHRLWGSLSEVWLTYEDETLRDDVEGLLRDALNMHNRQPNDESNVGINEDLGDGAKHISVEEPTGDAAKFHKLLESMNEPLYEGFKYSKLAFSIRLFHLKCLSGMTSKAMDLVLKLLQDVFPFAAIPKSSCDSKRIIRDLGFVHEKIETLAVKKQPAKVLRYFPLIPRLQRIFMSNKTSESMTWHDDERTKDGSLRHPSNSLAWKAFDVRYPEFASDPRNVRLGLAADDASHPFRLQKRLFDNTVELHEAPSVTSGSNILEMLKDVNFSVGKDNQSSRKNANSSSKARKREHNLLRQNLDVMHIEKNVCENLVGTILNIDGKSKDNPNTRLDLVEMGIRRGLHPKSLSNGKTLIPPASYGMSKDENDVLPSFEEHQALRSSMSKQVTLAITELCNKALCPKVLKVDELDKL >OMO50575 pep supercontig:CCACVL1_1.0:contig16124:6408:6620:1 gene:CCACVL1_30369 transcript:OMO50575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-ketoglutarate reductase/saccharopine dehydrogenase FLMSFSRYLSLGYSTHFLSLGASYMYPSWAAAKAAVISVGEEIASQGLPSGICPLVFIFTGSGNGIGPSYR >OMO54674 pep supercontig:CCACVL1_1.0:contig14915:98:5679:-1 gene:CCACVL1_27674 transcript:OMO54674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acireductone dioxygenase ARD family MEAGVTTATTTTASFSAILDKPLSQLTEEDISQLTREDCRKFLREKGMRRPSWNKSQAIQQVISLKALLESNDDPGAGALRKILVSPPPPPPPSQNAAAQVASDSGDSVKEVAFGEEGSPYRRKDLPLKAATTAEIGCRGGDTDNKNLSPRSPCETNELGGQMTIFYCGKVNVYEGVPLDKARAIMHLAATPIDFPQDNLCSANAALRSILCHVQAAGDKNGLIAPTTLNSHTMQPEKMAEYQQQFREKGNITRDSDVDGQVNRKVSLQRYLEKRKDRGRLFKGRKNTGQTSSGLEMYLNHQKKNHNSNGQSSRSSTGSPPQSGLPNAFCSSADNQAKLANLSVDLNDERPSFGNFLFLMLGSSWLEAWFMDESKEDQRLPHQRNPNEPVSLDHLAELGVLYWHLNPKNYENDEELNKIREERGYNYMDMLDLCPGNIANFEEKLRNFYTEHIHADEEIRYCLEGSAYFDVRDKDDRWIRIWIKAGDLIILPAGIYHRFTLDTGNYIKLMRLFVGEPVWTAYNRPQEDHPARKGYIKSVTEKVGVPLAAH >OMO54675 pep supercontig:CCACVL1_1.0:contig14915:11940:12410:1 gene:CCACVL1_27675 transcript:OMO54675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSRHRHYHHNPTVAAFTFLLFASASVITLSQLGSGYQEREGSKRRSGLGVLDRFLAQKRLAGPGSSPPSCRSKCGSCSPCTAVHVPIQPGFSMPLEYYPEAWRCKCGNKLFMP >OMO54676 pep supercontig:CCACVL1_1.0:contig14915:16865:17975:-1 gene:CCACVL1_27676 transcript:OMO54676 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II, Rpb4 MKIKEANAGPLTNFEVLDFLRSRGASKDPNKIMTSIAPSESKVFDYLVDSAACNQTKEHINEFLEKCNNYRLAKAEILNIINLRPSTLVEIDPIIEKPDKRFGQDQLEELVNTVVEVLPEPPSQKDAEQGNNADEPKATNKKNMDENAAETQTTDQENMDEDDDELIDEGQIGQDEEIEQMEDQ >OMO54677 pep supercontig:CCACVL1_1.0:contig14915:19408:20817:-1 gene:CCACVL1_27677 transcript:OMO54677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyllase MSAVSFTTSATNVFGLGSYATMLQKVDSVSTSSLPVPPPKPLLIATPGEAEAGEFAVLIFLHGYLLYNSFYSQLIQHVASHGFIVIAPQLYTVAGADATEEIKSTAAITNWLSKGILQSLLPPNVKPNLTKLALSGHSRGGKVAFALALEKAMTTLKFSALIGVDPVDGMDKGKQTPPPVLTYVPNSFNLDGMAVMVIGSGLGEVKRNPLFPPCAPKGVNHEDFFKECRKPACYFVAKDFGHLDMLDDETKGIRGRSSYCLCKNGKAREPMRKFVGGVIVAFLKSYLYGDNTDLNGLRFGHETSPVELQTVEFLV >OMO96426 pep supercontig:CCACVL1_1.0:contig07471:343:597:1 gene:CCACVL1_04955 transcript:OMO96426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSCDNPNLSTRILKGSNILLSKYARDAQVVQAKFVKSSVRTNDCPSDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGK >OMO82387 pep supercontig:CCACVL1_1.0:contig10045:1920:2847:-1 gene:CCACVL1_11964 transcript:OMO82387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRRLITGNPKPSSLIPPSLLICRRGIASKLFVGGLSFHTNEKGLVDAFSQYGQVMEAKIVTDRVTDKSKGFGFVTFASEDEAETAMTEMNGKALNGRVIFVDYAKPTQNLGGGMPIARGPPEPATKNE >OMO82389 pep supercontig:CCACVL1_1.0:contig10045:9989:10870:-1 gene:CCACVL1_11966 transcript:OMO82389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSGDAAIDVPESSAVAKGKAPLIPPPKEQKSGMKKGLGIFDFLLRLAAIIAALAAAATMGTSDETLPFFTQFFQFEASYDDLPTFTFFVIAMALVAGYLVLSLPFSIVTIVRPNAVAPRILLFILDIVALTLTTAAGAAAAAIVYLAHNGNPNTNWLAICQQFGDFCQKVSGAVVASFVTAVVFMIMILLSGFALKKH >OMO82390 pep supercontig:CCACVL1_1.0:contig10045:14992:15516:1 gene:CCACVL1_11967 transcript:OMO82390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel, voltage gated MDVIPVIIIGIIGGLLGSLYNHVLHKVLRLYNLINQKGRMHKLLLALFVSLFTSVCQYCLPFLAQCRACDPSFPETCPTNDRSGNFKQFNCPPSHYNDLATLLLTTNDDAVRNIFSSNTSNEFQVLPF >OMO82388 pep supercontig:CCACVL1_1.0:contig10045:4629:7765:-1 gene:CCACVL1_11965 transcript:OMO82388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dcp1-like decapping MSQTGKLMPNLDQQSTKMLNLTVLQRIDPFIEEILITAAHVTFYEFNIDSNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTDNLVENLLGDFEYEVQVPYLLYRNAAQEVNGIWFYNPRECEDVANLFNRILNAYSKVPAKPKVSSTKSEFEELEAVPSMSVIEGPLEPPATASPANDAPEDSSFVNFFSAAMNLGTNAPNATNPVQPYHSILPTPLSSHAPPAVSTPAPAPSVPSLPLPTQPSLDSISSSNRVTNLVKPSAFFAPPSSSSSSLMMPPLSSTAPTASSIQPPLNLQRPYGTPLLQPFPPPTPPASLTPGGPPTLHDGPLISKDKVRDALLMLVQDDQFVDMFYQALQKVHHS >OMP10347 pep supercontig:CCACVL1_1.0:contig02593:855:1025:1 gene:CCACVL1_00987 transcript:OMP10347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14b/L23e THLNVADNSGARELMCIRVIGASNRRYAHIGDVIVAVIKEAVPNTPLERSEVIRAVI >OMO55115 pep supercontig:CCACVL1_1.0:contig14792:43772:52906:-1 gene:CCACVL1_27388 transcript:OMO55115 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA-like protein MSGGGFRVSSIPNSVRKTIQNIKEITGNHSEDEIYAMLKECSMDPNETAQKLLLQDPFREVKRKRDRKKESVNNKESAEPRWRSGAQGRGSRGGRGNFSLRPTAHEAGGAKSSGPGRDNGTNQVAEKGSGQSSSTSYDSKTKESTAVASPVPVTANGPTGVVAEASSAPPRNAANQPEENSSVGNNELGRAQSPIGVVNKPTIAFGIGDMSAQPGASSSGCSISTTPASSSAICFSSSDPVLVPSSDSRLPGTLGTIKREVGSNRASTETNAVIPNENKLASATEISSSFMPGKMPSKSSGVVKNPLSESSQASSTSTHGGSSMSRPSSNYSARSQQIIGPQKVGSNKEWKPKPVSSNAGQGSGNVGASEVPAVSLEANAQAQPVSNAIDSEEATSKLQKKLEDLHLPQRQHVIIPNHIHVPESERTKLSFGSFDASFGVTSNYVGTQESDKSSTPLSETSQDDETAEEQASSNQNALATAEDGDYNDRPQSPAHAPENLSAEGDISNSIPEYNENKQENALLSGGNQYPVVHTSPNYSFGIMPPILGPFENPESQAREVSRLSSFVVQQPFDPATYYAQFYRSTADNDGRVSPFPSPGVATKYNGNVAVLPQQTSQAPQEGGNSLVLTTASPTPLVTQAAGLMQSSIAVTQQPVPVYRSAAGVHLPHYPPNYIQYAPFYSPFYVPSPAIHQFINNGAFPQQPQAGTVYPSAPAAPTTGVKFSLPQYKPGTNTSNSTHIGMPSGYGPYGSSPAGYNPSSAVTTGNSTTIEDLSTSQFKENNVYITGQQSEGSTVWIAPPGRDISSMPASSFYNLPPQGQNVTFAPTQVAPGSFAGIYHPQAVTAAAVHPLLQQAQTMAGAVDMAGPAASVYQQTQHSQMNWPSNY >OMO55113 pep supercontig:CCACVL1_1.0:contig14792:37618:39722:-1 gene:CCACVL1_27386 transcript:OMO55113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MGHDQEEEETPPATPTTPASTAGKDQEEETWNLQKQTLILELAEKLINGDLQAKIEAARDIRKVVRKSSVKTRSKFAAAGVIQPLVFMLLSPNLDAREASLLALLNLASAKAQLHVGHSFYSTSPMRFLFSFHVHDFVRSKKSQANNVQHGSDSQEINKVNIVTAGAIPPLVELLKLQNASLRELATAAILTLSAAAPNKPTIAASGAAPLLVQILSSGSVQGKVDAVTALHNLSTCKENSIPILDAKAVSPLINLLKECKKYSKFAEKATALLEILSKSEEGRVAITDSDGGILTLVETVEDGSLVSTQHAVGALLSLCQSCREKYRELILKEGAIPGLLRLTVEGTSIAQERARTLLDLLRDTPQEKKLASSVLEKIVYDIATRVDGADKAAETAKRLLEDMVQRSMELSMNRIQHRAASCTPAKVPSG >OMO55112 pep supercontig:CCACVL1_1.0:contig14792:32202:33911:-1 gene:CCACVL1_27385 transcript:OMO55112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGRSGLSRTGSFRPENLGQNALHMIGNLCFTLFVVGVLVFTIIAATYQPEDPLFHPSTKITTFLTSKSNATFQSDNTVVKTGEDFMAANQSAFATFINVTDVPEFKEASVDETSSSECEGDSKGPLDCKDPEVFHLMMKEAIERFKDIHFYRFGKPAPGPEENTCDMAWRFRPKEGKTAAFYKDYRRFVINRSENCTLSVVSIGDYHSGVNARKRKNKHQKPGFEKTPDKQDKGGVTLPVVGEVVNDSLPVVESENAFRNGKYLIYVGGGDRCKSMNHYLWSFLCALGEAQYLNRTLVMDLTLCLSSIYTSSNQDEEGKDFRFYFDFEHLKEAASVLDQEQFWQDWNKWQKKNGLNLHLVEDIKVTPMKLAEVKDSLIMRKFGSVEPDNYWYRVCEGETESVVQRPWHLVWKSRRLMDIVSAIASKLNWDYDSVHIVRGEKARNRELWPNLAQDTSPDALISTLQDKIEDGRNVYIATNEPDTSFFDPLKDKYATHFLDDYKDLWDESSEWYSETTKLNNGVPVEFDGYMRVSVDTEVFLRGKKQIETFNDLTNDCKDGVNTCNSAAS >OMO55109 pep supercontig:CCACVL1_1.0:contig14792:4421:4492:1 gene:CCACVL1_27382 transcript:OMO55109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKQKGAIVGNLVPVMEPIRGD >OMO55111 pep supercontig:CCACVL1_1.0:contig14792:23326:31160:1 gene:CCACVL1_27384 transcript:OMO55111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate binding module family 20 MPCFTELHHPTSMDVLEAWPRPCPLFSPRTPSPLLRSFKFLSPFTGHHIPIGNVFRFALYAGNNNSLTRSPMMSMKKGFSTATLEGLCEVVWTVEADLEEGQLLYISGESVALGCWEPEMAILMSPTEDAKVWMAEVKIASGVNFKYNYFIKGEMQPLSDITWRSGPQFSLSVPPPKKQERKVIVRDSWMRSKTESFPPHGWGSWIEETNFSTRSSVSVQAEDEDKIVNHLECDLNEPEPFLNDLTAKDGIQPSDVGGICDAVEGLYSSTLISERDQPIEEPWFFHTPLLFPNDVEVDMSQNNGIVKDDIPRLEASNQHYQTTEKLLPEETSPIISKDSVSTVILINSSICTMQRIAVLEDGKLVELLLEPVKSHVQCDSVYLGVVTKLVPHMGGAFVNIGSSRHSLMDIKHNREPFIFPPFRQRTKKRIKGFVLGAPIEHSATNEIEPPLEDAAEDDSEDEEVQFMHNNHDGHDGDEDFDVSEVLKQSVNGSVVDYGEADADADFEDLLDGEHHLEEGSLPRSSSLKISKGSSVSHLLGAQDADENKWQHVRLGSKIIVQVVKEGLGTKGPTLTAYPKLRSRFWILLTRCDRIGVSKKITGVERTRLRVIAKTLLPEGFGLTVRTVAAGHSLEELQKDLEGLLSTWKSIVEHAKSAALAADEGVEGATPVLLHRAMGQTLSVVQDYFNDQVNKMVVDSPRTYHEVTNYLQDIAPDLCGRVELYDKRIPLFDAFNIEEEINNMLSKRVPLPKGGSLVIEQTEALVSIDVNGGHKMFGHGTSQEKATLDVNLAAAKQIARELRLRDIGGIIVVDFIDMEDDSNKRLVYEEVKKEVERDRSMVKVSELSKHGLMEITRKRVRPSVTFMISEPCTCCHGTGRVEALETSFSKIEQEICRSLAQMKKKAHPENPKSWPRFVLRVDQHMCNYLTSGKRTRLAVLSSSLKVWILLKVARGFTRGAFELKPFTDEKTDTIPHQVAISMLRTAEAGTSKSGKKLTLVPIKRAKSNRK >OMO55114 pep supercontig:CCACVL1_1.0:contig14792:41646:42836:-1 gene:CCACVL1_27387 transcript:OMO55114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLGLGLSFLNLPKLRPFSSHGRSKISARPEQEQEQEVNQEMSSSALKIVHAGGVVECYYMAMPAASIMKKYPSLILARPQVFRRPWDSLVRSREILTPGEKIYLVPPRTIKKLRKRITKPGQVAGSSIDVSKDGLTSKSFLQPKEVSDSSEKST >OMO55110 pep supercontig:CCACVL1_1.0:contig14792:18260:19144:1 gene:CCACVL1_27383 transcript:OMO55110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGLSSLPAPSEGVLCVLLVNTALSISIVKGIFRSILQVVGIHISSPPSSSDVVEDATESFDFRISSSDRYIEEFRSRTPAIRFDAICSCKRPEHDCSVCLTQFEPDSEINRLSCGHLFHKVSVVFMVSISEHASSSHFFLSH >OMP06576 pep supercontig:CCACVL1_1.0:contig04881:6326:6454:-1 gene:CCACVL1_01501 transcript:OMP06576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKLFYETADNVHRFSLNHTSPLPPNEPDVVYPSGSSHFMF >OMP06575 pep supercontig:CCACVL1_1.0:contig04881:2647:3589:1 gene:CCACVL1_01500 transcript:OMP06575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECCLFTQFKAALNVVIGFDLANTDIGVSVMEEKATGFPFRCM >OMO69315 pep supercontig:CCACVL1_1.0:contig12070:3078:13932:-1 gene:CCACVL1_19557 transcript:OMO69315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREFVVLGGNGPGEEVAGFVTRGGSGGLLLLCMIVFSISIISMIVFACGDDGNSGRWHIGFIRTQALIYSLRKDSEIDL >OMO69316 pep supercontig:CCACVL1_1.0:contig12070:16456:16515:-1 gene:CCACVL1_19558 transcript:OMO69316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARESLSRMVALEAFCCFA >OMO80591 pep supercontig:CCACVL1_1.0:contig10312:4524:5528:1 gene:CCACVL1_12871 transcript:OMO80591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MSLALHFILLTLFQFHFAFANTSNPLGLTIRAVIDDSPESPLYKIEKLTIAERVERLVNITNARINYLDSILDDQNPKFSNNFLIKIYRESLMYAVEFKIGSRQHQVKLLLDTGGGLIWTQCQPCRTCFNQTLPMYDPRLSSTYSRLPCSHPLCQGTGAIYQCVSGSYCSYDIQYGSGTSIKGVASNEVFEFPMADGSTQRLWGGIFGCSYEITKFPGQGYAISGIFGLSKSPDSMSSQYSDLIQSRFSYCLVPYSSALPRPLVLRFGEDIPQPPRLQTTLFMQVPSRPYYYYLQLLDITVANHRIGFHPNTFEIRPNGDGGYFYRLGSFIHFY >OMO91884 pep supercontig:CCACVL1_1.0:contig08292:37226:37294:-1 gene:CCACVL1_06976 transcript:OMO91884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARDKAAARSCVARDGAVDGS >OMO91881 pep supercontig:CCACVL1_1.0:contig08292:9010:9366:1 gene:CCACVL1_06973 transcript:OMO91881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGRGKGKAPITLESIVLEPNLLLYGENKKIYPHSSILRGSSSSSTFDGEMKTISPAVENSLLPDSSPKLQDAGDAADGWMQKVSRTFDLNQPADYAFDLNKFPEEIMSYSVDKEGR >OMO91883 pep supercontig:CCACVL1_1.0:contig08292:17992:29692:-1 gene:CCACVL1_06975 transcript:OMO91883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLHFSAISGRYVSVAADTDAIVESRFRCGKYRIGATGKQI >OMO91880 pep supercontig:CCACVL1_1.0:contig08292:7842:8596:1 gene:CCACVL1_06972 transcript:OMO91880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCSSSVATDQADCHRRNRREKERHGGREAATKLQNPGETEIGSRKGRF >OMO91882 pep supercontig:CCACVL1_1.0:contig08292:12513:16888:1 gene:CCACVL1_06974 transcript:OMO91882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDLNQMLLWDGFQVVFADTLEPFPLLIPTPGEPTMLANNSSASFLDNNSHLIDSTFIWRNASDVLEEIKVKQTQRNENNKTRR >OMO91885 pep supercontig:CCACVL1_1.0:contig08292:67510:70401:-1 gene:CCACVL1_06978 transcript:OMO91885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEFATSAVGGIVADEVSNSMLVRVKRHASYILNRRKNLEDFKKKVEDLNDEREKVERAVQAAERNEEEIDARVKRWLQRVDEMLNDNAEEVKALEDQAKARCFVCCPNVKSYHQLGKKAQEHAAVVAQLLQRAHLFDRVSYPRDPELIARVPANYYDFHSRSNLLGEIMYALTNQNLKVVGLHGLPGVGKTMLVNVIAKKAWEARLFDEVVIAPVSHSPNIRDIQGDIAEQLGLRFDNVEKESRRAKLLSQRLSGGKKVLVILDDIWEKIELDDIGISLKDDKALNEKQGSIIENSGGSPIDISTGRIIILLTSRNYNVLDQMNAEMKFECRVLSQGEAMALFANIVGHASVNDPAYKPIANQLVEKCAGLPVAVSTIANALKSTSLDVWENALTQLKRSNPANIDKMDWVYSIIELSYRLLKSEEAKSLFKLCALGPASNICLPFLVRYGLGMHLFEDVLTLEQARARVHALVHKLKASSLLLSSNNVHIVKMHDLVHDVCRSIVTKETKMIVIEDDNHMRDLMRKGKFHNCTAISLPYTDVHQLPCVLESPKLKLLLLFSKVKFQLQAPEMLFEKMNDLQVLHLIGMHCPSLPSSFHSLTNLRTLCLDHCKLGKIASISNLKKLDILSFQSSEIMQLPNEIGEMTELRLLDLSDCSNLEVIPANILSKLSRLEELYMGNSFDRWDVEGNASITELRYLNHLTTLHVHVRDAQLLPEDVFPETLRRFRIFIGDIHWDWLNPQKCSRTLKLKVSTRINLDCGIRTMLRKAEELYVDELNGFQSLLYELDNTSFPDLKNLHVKSNSEIQYIINSSHGISSEAFPLVESLLLHDLVNLKKIFHGQIYSGCFSRLRRIEVRNCDSLKNLFSFSTATHFLHQLQGIEVSDCNAIVHILCADREMANQEATGAFVLGELQSITLQSLPNLVSFCFGEEKHSTSHHGQIGNTLSSVPLFAEKVFIYVIV >OMO67493 pep supercontig:CCACVL1_1.0:contig12423:11993:25313:1 gene:CCACVL1_20494 transcript:OMO67493 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-3-methylbut-2-en-1-yl diphosphate synthase, bacterial-type MGTEEKSMADHRHDHHDAGGSAATQATVTSPSQQDEDSIVITEESNHAQAQNWKRRNLFLEIPSRTRSMEESSSQESVAIKMPPTPSMTPRKVNFLLTPSPSDARANCGSPGPSSSKGKSTLKSLIPKLSFKHRTISADIEKAANLAPESSSSTSVRSEKPSISRTLSLTKIFTPRINRTSSLPVTHIPHSNSESSIPGILCGSMNSSRKGNTLQISRSFSVPVNNKEGSLRRMDSFFRVVPSTPRVKEGEITSNASLGPDDENSDPDGEDIPEEEAVCRICMVELCEGGETLKMECSCKGELALAHKDCAVKWFTIKGNKTCDVCKQEVQNLPVTLLRIQSIRARNGGSSSLEADARGYRVWQEVPILVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLSSMTSSTMVNRRFVWVYASIQFALVVFFAHIFYSLVKVQAILSILLATFSGFGVAMSGSSIIVEILRWRRRWQAYSEQQQHSSQAILTRPPVQSPREAAVYSSQRGVHKLGFVPFLQQKRRFQVCLSQKMASNVETCKVGAESKMGLVHSATELYADEAIEALKAGKVIAVPTDTLYGFACDACSSEAVNRIYEIKGRKYTSPLAICVGDVPDIKRFADTDHLPHALLESLLPGPVTVILGRGESSILEKSLNPGLDSVGVRVPDCDFIRIIARGAESALALTSANLSGQPSSVSIKDFENLWEHCAYVYDGGVLPAGRAGSTVVDLTKPGKYKILRPGRIGWWFDQLSFVSLDSEGGRVCVVSKWRLELYQLLFQRIKSGRTRISVIRSQNPSPDIAEMQPASEGSPLLVPRQKYCESIHKTVRRKTRTVMVGNVALGSEHPIRIQTMTTSDTKDVAATVEEVMRIADKGADIVRITVQGKREADACFEIKNTLVQKNYNIPLVADIHFAPAVALRVADCFDKIRVNPGNFADRRAQFEQIEYTDEEYQKELEHIEEVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDYHNFVFSMKASNPVVMVQAYRLLVAEMYVHGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRRLANLGTRAAQLQQGVAPFEEKHRHYFDFQRRSGHLPTQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYRSLAAKLVVGMPFKDLATVDSIILKELPPVDDSDARLALKRLVDISMGVITPLSEQLTKPLPNAMALVNLKELSTGAYKLLPEGTRLVVSVRGDEPYEELEILKETDATMLLHNIPYDEDKIGRVHAARRLFEYLSENALDFPVIHHIQFPSGIHRDDLVINAGTNAGALLVDGLGDGILLEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRGIAMEHATDALIQLIKDHGRWVEPPAEE >OMO67495 pep supercontig:CCACVL1_1.0:contig12423:38197:38443:1 gene:CCACVL1_20496 transcript:OMO67495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGADFGYVGGAPGKIDLYVDKTVVKRGIATEHATDALIRLS >OMO67494 pep supercontig:CCACVL1_1.0:contig12423:29017:35954:-1 gene:CCACVL1_20495 transcript:OMO67494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat receptor-like protein kinase MISKKIGEISFEDLKSYTNDFSEDNFIGNFQFGKGFRGKIEDFDIIVKVWTENTAPCGCFLVGMDVFVFGVILVGLIAKKAFIVEYYVVESEVSDGATFLDDRASKGYKRRKSKSGDTISLVHLNLLMDMKLQHWRYNG >OMO67492 pep supercontig:CCACVL1_1.0:contig12423:1900:8131:1 gene:CCACVL1_20493 transcript:OMO67492 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MEIQLEEGDDWSLTLTRFMVVGKIIANRVLNRRGVVSILRGIWSEEVAPCIREIKENMYSISFKSEKEVEKVLMDGPWVEDPISRGGLGRGFLRLRVGLEVSKPLVEGFWVPRQNREKVWAEVKYERLADFCYTCGRIGHVSRKCGEESEAMDENGKPRFGPHMRAAPARDVSWNRGNVGSSSYKIGSRREATKFDQAAVARESLNASENEDNKENLVARNARKGKGVAHEEGCCQLKQKNNVNNVVLTQFAGANMQQWMGQSQVMEIQRKEAYCGGISMHAVLDKHDTVLVDRNILVDKPMLEHTATTHSLPFNIPLDVQSEAFNFDNRPPGNMMLEEELNSSFANPVVLSQTLALHNNTADVPPEFSPPNQTVGPLPSLNTNNNLNNLPSISIHLASPASEQSVLPIDEPGVPELPYDESGVHGLLYHEHGVPELPYVEPGDPELPIAESDIPELFSRFYVFDRNLNSYVPVQQSEFNIADIGVNNEGNVVGSRLDAFIHSRRQMRITDRDNEEDHSSGLRRLELKRGLDEEGEGSTAMKRMRVSTQNVWSTTNDSLGIGVENISGGCSKGKKVSRKTSGARRGRPRRVVWSRKQVEELCLFEVPVQESSKIGVREVSISMDGGFAFAEGGGDTEVVNPDGSKYRISWIYGAPVFNDRKVVWDRLKRKSLSIEGPWMCVGDMNDIVADSEKEGGPPKDRRYIQNFKTMIEFCQLMEVPTNGQSFTWSGVRDGMVIKERLDRCLVNLDWFELSERSKKKFKYEATWNESEDCKRIIREGWELAVDGNPTYKVVCKLKRCRSLLKNWCKEKDKHKKSKENLIKAIADINDKGDSLEDRDLVKDLESRLRNIWQEEETYWHQRARVNWLKCGDSNTKYFHQTTLKRRQFNKILKLKKGDEWIEKEDEIMGEFLRYYEGLFTTRGDRDWDRVLQCVPEVVTREMNESLLVSITDEEVKQAVFQMGELKSLGPDGFNGQFFQTHWEVVQEDICNMVKNFFRSGKILKEINCTEIVLIPKVKSPESVTQFRPISLCNYIYKIISKLMVNRMKQFMGSLVTEEQSAFVEGRQIHDNVLVAQEVFHYLRVKKRGGSYDVAIKIDMSKAYDRVEWDFLYALLLKLGFLSCPELSHLLFADDSLFFMKADPDNCGKLFDILQEYSAASGQEINLEKSNLIFSSNTPQEHESERKIHWCSWDAMTLPKTEGGMGFKDLEVFNRALLAKQAWRAMNNSNALWVKVLKGIYFPNSDFMKAKKGARASWSWHSLLDGRDFLNDHIQWQVGNGAQIHIWDDKWVPDIGRLTASGNFSSQKPDKVAEIINHNQRVWDIEPVKQWITKDEQDAICRIPLGVFEREDKRVWPHNTSGQYSVKSGYFILKNNMSSSQNPNRASSSHNVDHTIWKFIWKLTCPNKIKTFLWRCCRNALPTAMGLFKRNCRDSGLCSICGQGEETIEHILLTCDWTRGVWLCVCGLIIDMQGLSTFDKWLDQLRKMLTDSEDGGRQMLTKIAFVCWIIWKVRCEVVIAEEKLQHVQVVYRIQKAIGDFELANFIADKRQVIKNDQQVVQRWSKPELGWLKYNCDGSFCKDTKNFGNGVVVRNHSGHILEGIGMTVDGSSALCAEALALREAVQLAVKSGVQNAVFEIDSAELFTNVQQRIVSKQDWHISHIIQDIQSMLPCLDKSMVRKVSRSANLAADWFAKQSRRKMSCSGWRQFPPSSLVGIWNKDGVSAPP >OMO86382 pep supercontig:CCACVL1_1.0:contig09494:9850:16654:-1 gene:CCACVL1_09609 transcript:OMO86382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSHLIIMILTLILICLRANTVVEAQAEAPFPPSSEMEALHEIAEEIGKKDWNFNENPCNNKSSWFTPSPPPNGAMAINNSTVTCNCSFPNGECHIDGIYLRGQDLAGVLPRSLAKLLFLKTIDLHRNYLNGSIPREWASMKLEFVSVSKNRLSGSIPAYLGNITTLLYLSLENNLFSGAIPPELGKLVNLENLILSANFLSGEFPSALSNLSKLTELRISSNNFTGKLPNIFQSWKQLQKLEIQAAGFEGPIPPSLAVLSNLTELRISDLRGEGSKFPNLQNMTKLNRLMLRSCNISGPIPEYIWELSQLQILDLSFNKLEDKISDSNSLTTTSYMYLTSNLLTGPIPEWLNTRDNRYQIDLSYNNFSESSEPVSCRENLNLFKSSSGGKNLGLDSCLKNFPCSKANGNYTVTLHFAEIVIRDNRSFQSLGRRIFDVYVQEKHELKDFNIKNEAKGVDKVVIKRFKTVVRDKTLTIRFHWAGKGTTASPKRGTYGPLISAISVDSDFKPPVPNDWKRKMKFVVASAVSVPCLVLVILGILWWKGCFGGKPSREQVLRGLDLQTGFFTFRQMKAATNNFDPANILGEGGFGAVYKGELLDGTIIAVKQLSAKSRQGDREFLNELSLIAGLQHPNLVRLYGCCVEGTQLLLVYEYLENNSLSRALFGPKESRLKLDWPTRKKICLGIARGLAFLHEESTLKIVHRDIKTSNVLLDADLNPKISDFGLAKFEEEEKTHISTRIAGTIGYMAPEYALWGYLTYKADVYSFGIVALEIVAGKNNTRYRPDDDYVCLQDWALVLQQKGNLMELVDPTLGDEFNKEEAIRMIKVALLCTNSSPTLRPVMSEVVKMLKGQTHVPELVMDPSIFGDESRFGALRDQFNQMQPRKVSETSSTILTQQTSEELNGSSTMSV >OMO51996 pep supercontig:CCACVL1_1.0:contig15645:9231:9490:1 gene:CCACVL1_29447 transcript:OMO51996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYDSQCRRSKSDFEYIFIRIDAKEAVDGRESKQGDGYWNGKTKFPSSS >OMO51997 pep supercontig:CCACVL1_1.0:contig15645:10571:14172:1 gene:CCACVL1_29448 transcript:OMO51997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCDSLISPEFDYVENEDALVVKSDREEGKQQSAQSGHAQKEGKVCQRNILLEMGPNENADGFDKTSTDPQFFAPVARDIYMNPRASEVPCVSKVAKKKETSTCTKDNVSLEETMSTWDSLISPEFDYVENEDVLVVKSDREEGKQQSAQSGHAQKEGVAIGKRLIVVNLAIQYLVAYGWYRQQLQLLGVNACMMIAFKYVERYLQCSSSGRVLCKDEILQMESAVLNYLEL >OMO51998 pep supercontig:CCACVL1_1.0:contig15645:14634:15353:-1 gene:CCACVL1_29449 transcript:OMO51998 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MNRRAGCGWWDGRSKPNEIKDFNGNLLGRCRYFTYKRDVDPSVIDWVMHEYTLPEAQGSAAAICEVQWKPSKKRPRDEETQSPQMMKKPRSDECASSSSPPNVEEEIQLQSQVRPESCFEQDDDDDRITVDEFLQIIREPSPPRHDSEVSLGQVADEIVTNHPTNETDERNVNWSELPVIEAVAMDDHSDECNLVVYEDPDYDFIPSGFNDFDWVQDQSYGCQTFFDQSIDHVIIPSSC >OMP11776 pep supercontig:CCACVL1_1.0:contig00893:6920:8161:1 gene:CCACVL1_00273 transcript:OMP11776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MEEQNPQHGQVVEISVDITSGERSVGGSKICGDAPCGFSDASTNSKDAEERSANMRKLFIAVALCIIFMSVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEANPRQSYGFFRVEILGALVSIQLIWLLAGILVYEAIVRLINDTGEVNGFLMFLVAAFGLVVNVIMALLLGHDHGHGHGHGHSHSHGDHGHGHSHSHGVTITTHHHHEGHSAGECHHHHHEEDSQTEHHHHHDDEHTKDEHHHAHEDQSQPLLNKPKKRRNINVHSAYLHVLGDSIQSIGVMIGGGLIWYKPEWKIVDLICTLIFSVVVLGTTIRMLRNILEVLMESTPREIDATKLERGLLEMGDVVAIHELHIWAITVGKVLLACHVKIRPEADADAVLDNVIGYIRREYNISHVTIQIER >OMP11777 pep supercontig:CCACVL1_1.0:contig00893:10915:13537:1 gene:CCACVL1_00274 transcript:OMP11777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVQQKMKFLGEHLEVECLEQGFVNSESLRFGEEEEGEESNFLSLEEKMPFLQMLQTVDSPQLFSFKEPNFQTLLRMQHLKNNNNSQTFIPEMETQIQALELESCVTHEILDLHSPVKSETKDLNKTNPHSSSCFEVVSSESNQDHQPKSAKADNCSSRQANSGSSPPKNLTKSIPIARERRKRKRTRPAKNKEEVESQRMTHIAVERNRRRQMNDYLNSLRALMPPSYIQRGDQASIIGGAIDFVKELEQLLQSLEAQKRMRRIEENGNSIMEIPQLESGIGSEDGNCREERLKAESKSSGGGTEIEVNVNVIHNHVNLKIQCPRRGGQLLQAIVTLESLRLTVLHLNITSSQASVLYSFNLKMEDDCKLRSADEIAAAVHQIFS >OMP11775 pep supercontig:CCACVL1_1.0:contig00893:4106:4183:-1 gene:CCACVL1_00272 transcript:OMP11775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLMRDEMTHSNDNGGKIKKPRKN >OMO89299 pep supercontig:CCACVL1_1.0:contig08786:19420:19485:-1 gene:CCACVL1_07928 transcript:OMO89299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVAPRIGTRIDAQLKRGLG >OMO79157 pep supercontig:CCACVL1_1.0:contig10478:15239:16475:1 gene:CCACVL1_13876 transcript:OMO79157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mads box protein MPKSLTLNPLSLISYNNRIHCRRPCCQLILSYFLFLRFSSSFLLLPCPILLSASPWLGPDPTTSRDSSAKSEPESAQRSATGAEPSLFDVFSPQSWYQEVTKLKSKYEALQRTQRFVISDF >OMO79156 pep supercontig:CCACVL1_1.0:contig10478:226:6154:-1 gene:CCACVL1_13875 transcript:OMO79156 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MASSIAQKPHAICIPSPGQGHINPMMNLAKIFHHKGFYVTFVNTECNNKRLLSSMGPSSLDGFPDFRFETIPDGVPLDITQDITSLLDTLTENVVDPLRRLIHKFNDFESSIPPVTCIVAYAAVPFLEAITEEFGILGVRLGTAGASTFERYTRVRLLVEQGLIPVTTLFKVKQLVLHLDSVNSQPKFRGSSAHGRCDDDDGGNEGRTTTTDTQGGDGQSGAEDASRKGRRAMRELTDEFNSMSLTTASSSFGYGGHFESNSSYGTRSGANEFESSVSSNMYPEYPLEQQTYNEHPVQ >OMO59521 pep supercontig:CCACVL1_1.0:contig13926:56720:58437:-1 gene:CCACVL1_24767 transcript:OMO59521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I9 MAESGDSTKSSSSSGSASAVHIVYTERPQDEEPEAYHIRTLASVLGSEEAAKEALIYSYKTAASGFSAKLTPEQVAEISKQPGVLQVVPSRTLQLHTGPATLH >OMO59525 pep supercontig:CCACVL1_1.0:contig13926:101010:104194:-1 gene:CCACVL1_24771 transcript:OMO59525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCADESHTEKAKEIWKYKLLIVNYHPMCGCADESHKKETKEIRKYKLPSKHFGKKRRARTAYAFRMSPYVPNVTFDGNTFARRNAANLAPNITPMSQCVPNVIQCAPKVTFDGNPLGRRGTLELPMCSECPLKGHIQSDIFKVFFKTTLKISPDVIPMISPMSSQ >OMO59520 pep supercontig:CCACVL1_1.0:contig13926:9863:9931:1 gene:CCACVL1_24766 transcript:OMO59520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VICVANGMSWQSELDQSESSSS >OMO59524 pep supercontig:CCACVL1_1.0:contig13926:94187:95534:1 gene:CCACVL1_24770 transcript:OMO59524 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP12, ATPase F1F0-assembly protein MVFFSCDLSILCKSDKSGKPVSSNGTDAAIIASFGGSTSLSANGLPLGQNDFLLSRLPPLKVFVLVYFFQIGIDAFAAAGHSLVIALGIFRRKLQIEEAIEFIRLEEDLQVDKWGMVEGVHDVDIADLKVQISSATVFLALSRRNSFSD >OMO59526 pep supercontig:CCACVL1_1.0:contig13926:109601:109669:-1 gene:CCACVL1_24772 transcript:OMO59526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKVFPGAAERFQTTAALYRG >OMO59523 pep supercontig:CCACVL1_1.0:contig13926:92590:92688:1 gene:CCACVL1_24769 transcript:OMO59523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCIRASKDFELGLEITSQLRQNICTKIPLP >OMO59522 pep supercontig:CCACVL1_1.0:contig13926:63430:63729:-1 gene:CCACVL1_24768 transcript:OMO59522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDEKFGGISRVSSAGAIGDMVAEVDNKGPRANES >OMO64194 pep supercontig:CCACVL1_1.0:contig12851:1718:3989:1 gene:CCACVL1_21958 transcript:OMO64194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQRQFQMVGGNNPGLYNDTTFTKIFVGGLAWETQRDTMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFKDPEAAMRACQNPSPVIDGRRANCNLASLGAQKIRPPAPQHGVGRFRAAPGLMAPPAYHGSSSTYIQQPSGQYPIPYSAYGYTGYSQDSIYPLNYYSLYGGQQISPYYATGSSVSPGMFHNIYPFYAQYAQNSQAHGFGVQYPQMVQYPYLPQQYSSTGILSLPSSMPMAATTAESIR >OMO64195 pep supercontig:CCACVL1_1.0:contig12851:5534:6162:1 gene:CCACVL1_21959 transcript:OMO64195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein 60S MASVGEAACSYAAMILHDDGIPITAEKIAALCKAANVTVESYWPSLFAKLFEKCNIEDLITNVGAGGGGGAAPVAAAVGGGGAAAPAAAEEKKEEKKAEPEEESDDDMGFSLFN >OMP01683 pep supercontig:CCACVL1_1.0:contig06390:78162:78239:1 gene:CCACVL1_03033 transcript:OMP01683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAAKIRPKGVVDLGTVSSKDSVQ >OMP01682 pep supercontig:CCACVL1_1.0:contig06390:37581:39035:-1 gene:CCACVL1_03032 transcript:OMP01682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSASSSWSSESSDDSASSSIVKSSSVVHESQTISNFPECSSSVAEVIEVESNVLSETRTDVVDLWVNTFSIESFEHKILSEPTPVGSSNYISWGEPDICLSSVPEKWVERIRDFYRFPASYKLTPNSWRLVIGFLVLLFGRFAPGNSILDILPHEFQFWDVMPLQPNDTVKTLTWQCHTRQTNSTHVALPHWTKLLMWQCHVAFLCHVALPCQRLDGAVRLRG >OMP01684 pep supercontig:CCACVL1_1.0:contig06390:93103:93476:-1 gene:CCACVL1_03035 transcript:OMP01684 gene_biotype:protein_coding transcript_biotype:protein_coding description:protease Do-like 2 MEKGANRFNCSILLQLKLLLLKRVELGHVSNCFHSYNASKVLVRGVDCDATLLSVERNSGKELSHSA >OMP11343 pep supercontig:CCACVL1_1.0:contig01376:4676:7111:-1 gene:CCACVL1_00569 transcript:OMP11343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLPHPWSWTLSALSTIETHIHLESLPRLYTRFLSSSSLGVPSDDHIVHLILDQKSAEAALETFLWASKLPHFTHSQSTYRALIHKLCAFRRFHTVNQLLDEMPNALGMPPDEDILVTLVRGLGRARMIPDVIKVLDLASTFHKTPSLKIFNSILDVLVKEDIDLARHFYRRKMMSTGVQELMEESRGGSRGNISPYNSVLYGLYKENRLEEALEFLSKMENLFPRAVDRSLTILGFCEEGRLEDAKRVYDQMTREGGISSVIVYDCLIRGFCVKGCVQEAVEVMNEMVVYGYFPVTSTVNAVLGGFCSQGKVGSALKLMEDMVGRGCIPDEGSYSLLINGFCRNGDVQKAEKKGSGVVGRQTRRIDGVWV >OMP11342 pep supercontig:CCACVL1_1.0:contig01376:2867:4171:-1 gene:CCACVL1_00568 transcript:OMP11342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MGLDKEASSSSHVLNVPAGALPREDTGTPLLGLGHIPRQQLSSQPKTFANIFIAIVGAGVLGLPYTFKKTGWLMGSLMLFSVALLTYHCMMLLVFTRRKLESLHGFSKINSLGDLGFVVCGPLGRFAVDAMLLLAQAGFCVSYLIFIANTLANLVNHSNTRTILGMTPKTLYLWACFPFQLGLNSIPTLTHLAPLSIFADVVDLAAVWVVMVEDVLFFLENRPPLKAFGGFSVFFYGLGVAVYSFEGVGMILPLELEAKYKDKFGQVLGFCMAFITLLYGAFGILGYFAFGEETKDMITANLGAGLLSTLVQLGLCINLFFTFPLMMNPVYEVLERRFCQSTYCLWLRWAVVLGVSLVALMVPNFADFLSLVGSSVCCALGFVLPASFHFMAFKEELGWNGFLLDAAIVVLGVIMAISGTWSSLLQIFASTSMA >OMP11344 pep supercontig:CCACVL1_1.0:contig01376:7654:8121:-1 gene:CCACVL1_00570 transcript:OMP11344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 MSTLKEILTRRPVAATIRLTVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPDTPMAVTITAFKDNTFEFTVKSPSVTWYLKKAAGIESGSGRPGHVIATTLSVRHIYEIAKIKQSDPYCQYMSLESICKSIIGTANTMGIKVVRDLD >OMP00568 pep supercontig:CCACVL1_1.0:contig06658:1065:1160:-1 gene:CCACVL1_03333 transcript:OMP00568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALRFVISKTIQNSTNAPRKARNNKCSE >OMO96253 pep supercontig:CCACVL1_1.0:contig07502:23675:23914:-1 gene:CCACVL1_05026 transcript:OMO96253 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase kinase kinase SskB MDSLLSTPTSHCTSTLFTTKPHSSSACATTVSFSLQSPPQPPDPNIRRSKSTKPKTPPNPLKNLSATTTAAKTPPHVSP >OMO96252 pep supercontig:CCACVL1_1.0:contig07502:12705:23004:-1 gene:CCACVL1_05025 transcript:OMO96252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLSTPTSHCTSTLFTTKPHSSSACATTVSFSLQPPPQPPDPNIRRSKSTKPKTPPNPLKNLSATTTAAKTPPHVSAPTETNSLASKLRLSSKLFPPPPPPPPIQDIQLEAQSSEPEEAPSPEPVNPEKYRQDGKIFVGNLPNWIKKHEVAEFFRQFGPIKDVILIKAHNDINRNAGFGFVIYGGPPPLAEKSAMKAVEFDGVEFHGRVVTVKLDDGKRLKEKAEERARWVEGHQVQDGHSKSKWHQEREGSRMLFRKILESEPENWQKVITAFERISKPSRREFGLMVNYYARRGDMHRARETFERMRARGIEPTSHVYTNLIHAYAVGRDMEEALSCIRKMKEEGIEMTLVTYSILVGGFAKIGNSELYPLRYQLVSHHEACTRAADYWFKEAKERHTPLNAIIYGNIIYAHCQICNMERAEALVREMEEEGIDAPIDIYHTMMDGYTMIGNEEKCLIVFERLKECGFTPSVISYGCLINLYSKIGKVSKALKVSKMMESDGIKHNMKTYSMLINGFLKLKDWANAFAVFEDLVEDGLKPDVVLYNNIIKAFCGMGNMDRAIQTVKEMQKERHRPTTRTFMPIIHGFARAGEMRRALQVFDMMRRSGCIPTVHTFNALILGLTEKRQMEKAVEILDEMSLAGLSPNEHTYTTIMHGYASLGDTGKAFEYFTKLRNEGLELDVFAYEALLKACCKSGRMQSALAVTKEMSAQKIPRNTFVYNILIDGWARRGDVWEAADLMQQMKQEGVQPDIHTYTSFINACCKAGDMLRAMKAIQEMNTIGVKPNVKTYTTLIHGWARASLPEKALKCFEEMKQAGLKPDKAVYHCLMTSLLSRATVAEASMYSGLLSVCKEMFESGLTVDMGTAVHWSRCLRKIERTGGELTEALQKTFPPDWSSYHTTGADSDSETDDELESDDDDNEVYFSSVTEGEDEADEEMNRLWL >OMO85845 pep supercontig:CCACVL1_1.0:contig09569:8120:11870:-1 gene:CCACVL1_09968 transcript:OMO85845 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide binding protein MLPSKAWNNLSPDLMMNPFMSVCGNMPGFAVYYRYPNSQRVTYGQFIKCRNDAPISASSMLGEVSRLLKSGGIYMLLCRFSLKRCSVLLWHPDVATQLVVESTVLSADPIPQKSDGLEESADPSFDDVVQRAPVVAHVITDQYLKMSCSPYLKDYSGYKIVNWLSERNICINFAVRLQFVSVMVNNDLMSLVNTRPLKFLKETLALSLVNNSLICLNVLSVAVVSLLVLLMKVVLVAMGRVWNVRNELLL >OMO58930 pep supercontig:CCACVL1_1.0:contig14086:14606:15037:-1 gene:CCACVL1_25233 transcript:OMO58930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGWCRHGRDSQGQTASSQPQSQHPPRHGGRMLELESLENSMAQLIPAHKYHKGMGLLDEDGICPVCLSQFEEGEELRTLPECLHSYHAPCIDMWLYSHSSCPMCRSDATPSPSPQINPVHQPDSMPAHQDSGMLHNIVQSRTM >OMO58929 pep supercontig:CCACVL1_1.0:contig14086:12563:13168:1 gene:CCACVL1_25232 transcript:OMO58929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKARKVFEMIKEDCAPNIISYNSMINGYCKPKRLDLPVEIFHEISQKGPAPNTVTYNTLMHGMWRQRRVSMAEELFKQMRASGLAPNLTTFSVLLDGLCKHGKIKEAIQQIQEMQKSGVEPYIVHYNILIDGLCKAGFTKVAAELFHGLPIKGLKPDVVTYTIMISELCAEGLSDEAYKLFRTMEDNDCLSNSCSYNVMI >OMO58928 pep supercontig:CCACVL1_1.0:contig14086:5051:9926:-1 gene:CCACVL1_25231 transcript:OMO58928 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MKTSELGFDQNTKVVDLGVIFPTATHLPQTELWIKSYGQNTERSPNLKTIGEAARRSDTRWHAWCARSHAPTPPGRPPGCTERPGRLLQAPGRQHPCGCWAASPTLAGRPCRKLGAPPGLSQDPCAPVLVRHLTCLLALKLNFAPLLRTLENQSYDDKIDSSSSSITNILAPFFIQIVVDSRHADKVSRAGRKRGSGSAGSNSNDGQGAIKRAEGAVCATAKVCTVSSHPEDSWRITGFYGRPEASLRSESWGLLRLLKSRSSLPWLCASDFNEVTSIAEKQGVSEPLMTWSRRINGNMVSERLDRGLVTDDWFQKFGASVERHLISTASDHLLLLISDAEVFEQTKREFEDLSAQEETLWRQRSKALWLRDGDKNTRFFHNVASFRHRRNEISGLQDSLGILDRRVSLDMNASLNAMFTPLDMNASLDAMFTPDEVKKAVFQMQGDTAPGPDGMSPLCFQNCWNIIEDDLCKMVLAFLNDGHPLPEINHTNIALVPKVSSLKTAKDFRPISLCNVIYKIISKMLANRLQLVLPNIISQNQSAFVPDRMIFDNAIIAFETTHFMSNKRTGRKSHMALKLDLSKAYDRVEWKFLETSMIALGFSSRWVALVMECVSSVSYSVLVNGRQCEKFFPTRGIRQGDPLSPYLFLFCMEALSKMISTADEQGLIQGIAIARQAPRVSHLFFADDSLLFLRASIADCDMVLQILRDFEAASGQQINIDKSSIMFSANVPTQDKLAIMNHLGVQRIIDRNKYLGLPVMIGEPPFAPRPREGLVPEPLCVLELIDFEQRTWRLEKLEELFEEDDICRILCLPIPREPSPDRLIWNGSSLGIFSVRFAYMVARIVLDRMVQPMGSRAHFCKIIWSSHVAPKLDWVLWFLWSIWSNMNRRLHSSTCASSAALAIQANRFLSVMKINSDASFCAARKEVGLGVVIRDSGDGIVASASRLLYFVSDSLYVEVHALLFAFELALEFDITNCIIESDSLVAVNEISKSGLS >OMO58936 pep supercontig:CCACVL1_1.0:contig14086:43445:46074:1 gene:CCACVL1_25239 transcript:OMO58936 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MSTNGNHNSASKKPPSPSPLRFSKFFQSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTENYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRKEPLTVQLPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAEAVKELINPEVQISLVENTPDDPRQRKPDITKAKELLGWEPKVKLREGLPLMEEDFRQRLGVPRKK >OMO58938 pep supercontig:CCACVL1_1.0:contig14086:53929:54240:1 gene:CCACVL1_25241 transcript:OMO58938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OMO58933 pep supercontig:CCACVL1_1.0:contig14086:29786:31057:1 gene:CCACVL1_25236 transcript:OMO58933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee-like protein MADNAENVNPNMVVRFERAPHTRFYMCRSCKNHLLSLQNQLFRDQGLNAIICQNAVNVSVENEDSSWTLNHHPVVNVLCNQCNTTQGQKFLRTPLPTRAVQEGRFLLYLNKMLYWDGNQVVYADTLQPAAED >OMO58935 pep supercontig:CCACVL1_1.0:contig14086:38355:42779:-1 gene:CCACVL1_25238 transcript:OMO58935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligosaccaryltransferase MAVMTWQYVLLCRTTVPSIRRQVILAQRSACWLVALALLASPRSVKMIDDQQLGFLANFLGIFIFALVIAYHYVAADPKYEGN >OMO58939 pep supercontig:CCACVL1_1.0:contig14086:56067:58783:1 gene:CCACVL1_25242 transcript:OMO58939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPNDDVVLIQLPNRPSEPTVVTVNCPDKPGLGCDLCRTILEFGLSITRADFSTDGRWCYIVFWLVPNVSNFDWESLKNRLCSLCPSCLIPFYYLNQHGGYGNGNGNGNSTRSSPVYLLKLCCLDRKGLLHDVTKILSELEFTIQRVKVMTTPDGRVVDLFFITDGMELLHTKKRRDDTTEHLNAALGKYCINCELQLAGPEYESLNVLPSLPPTVAEELFTYELADKEPSSKEMKATVTVDNQLSPAHTLLQIKCVDQKGLFYDILRTSKDCDFQIAYGRFSSSEKGYRNMDLFIRQADGKKIADPKHQAALCSRLKEEMLHPFRLLIVNRGPDTELLVANPVELSGKGRPRVFYDVTLALKQLGICIFGAEIGRHSSLDRQWEVYRFLLDDSREFPLASSRARNKIVDRVRILAASQS >OMO58937 pep supercontig:CCACVL1_1.0:contig14086:48258:53060:-1 gene:CCACVL1_25240 transcript:OMO58937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding protein MLCTCSSNNSSFQSGEKADSFVVTTPLYYVNAPPHMGSAYTTIAADAIARFQRLLGKRVIFITGTDEHGEKIATAASAQGSTPSEHCDVISQAYKMLWKDLDIAYDKFLRTTDPKHEAIVKEFYSRVLANDDIYRADYEGLYCVNCEEYKDEKELLENNCCPTHLKPCISRREDNYFFALSKYQKSLEETLAQNPEFVQPSYRLNEVQGWVKSGLKDFSISRASVDWGIPVPNDNKQTIYVWFDALLGYMSALLEDKEQPSLQNAVSSGWPPSLHLIGKDILRFHAVYWPAMLMSAGLPLPKKVFGHGFLTKDGMKMGKSLGNTIEPNELVHKFGPDAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCESTLVVDSTIAVEGQDFKDTVEKLVEKARIHYENLSLSSACEAVQEIGNAGNAYMDKRQPWSLFKQGGDASEAAAKDLVIILETMRIIAIALSPIAPSLCRRIYAQLGYSEDEFNNLNWSETKWGGLKGGQVMAQPKPVFARIEQAKETENAGEAAKKVVKKKEKKPQVQKVVEA >OMO58931 pep supercontig:CCACVL1_1.0:contig14086:17791:19314:1 gene:CCACVL1_25234 transcript:OMO58931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALLTTLSMENYHPSTLLSMDSGSFQPDELEREMSRPMLLSRPPDINLPLSSEPSPPPLAWNDSCDILDVSLAPQIYVAEAVVSVPKVAKKCIKRNDSVWGAWFFFTFYFKPVLNGKTKTKLVRDSNGISGYDKSDLQLDAFLVQHDMENMYMWVFKERPENALGKMQLRSFMNGHSRQGERPFPFSVDKGFVRSHRMQRKHYRGLSNPQCLHGIEVVPSPNLSNLDDEEKRRWKELTGRDINFSIPPEASDFGAWRNLTSTEFELERPPAPLKNNLNSHPRKLLNGTGLNLSTQPLEHSNGDGMDISLVCNKRKKDYFRHGNDEYPCLPDNLHSNRALDMKIHPIEPTWLSEFSGVMKSVYGPVTAAKTIYEDDEGFLIIVSLPFSDFQRVKVTWRNTPSHGIVKISYVSTACKPVIKRQDRTFKLTDPTPEHCPAGEYIREIPLPNRIPEDAKLEAYRDETGTMLEIIVPKHRVGTEEHEVRVCLRPSPWSERAFMDLQESIV >OMO58934 pep supercontig:CCACVL1_1.0:contig14086:35963:36998:1 gene:CCACVL1_25237 transcript:OMO58934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPQPLRNGETPSPHHRIPTPHFHSTVTLRKLRRFNSLILVFRLAAFVFSLASSVFMVTNSRGSDSPHWFDFDAFRFVFAANAIVALYSLFEMGVSVWEISTGATLFPEILQVWFDFGHDQVFAYLLLSANSAGTALAKTLKGTATCTTSNAFCVQSDISVALGFAGFLFLGLSSLLSGFRVVCYIINGSRFHL >OMO58940 pep supercontig:CCACVL1_1.0:contig14086:60328:69071:-1 gene:CCACVL1_25244 transcript:OMO58940 gene_biotype:protein_coding transcript_biotype:protein_coding description:IKI3 family protein MSTAIHPNYHFIPAPTPLSRRFRRRHHLPILLRQPNNHLPLTYTQCSSSSGSSGRFTCKATQVSSVSEEEGSSASGGGGENWVPVVPLAALPKGERRVIIQDGETILLLWYKDQVFAIENRSPAEGAYTEGLLNAKLTQDGCIVCPTTDSTFDLRTGAIKEWYPKNPVLRVLTPALRSLFVYPVKLDEENIYISIRGGIKSDAAAEIVFSGKAQPGVTASDVNVDEVKMVVDEGSEGFGFTGKNEIINGKAAIIGFLLLLDFELLTEGEVLLFSAYDIERNRFFFASSDNLIYTLHLSSFQNERAWSKGSLQAEIDTLDLEPEDVITSFDYLMEKEALIVGTSSGLLLLHTVDGKETEVVGRVEGGVKCISPSPDGDLLGVTTGFGQLLVMTHDWDLLYETALEDHPEGELDFPSRDFGSPISWRGDGKYFATLSEESNSSVKKRLKVWERDAGALHATSEPKELMGATLEWMPSGAKIAAVCERKAEKKGPSIVFYERNGLERSSFNINEPMDATVELLKWNCSSDLLAAIVRSVNYDSVKIWSFSNNHWYLKHDIKYLRKNGVRFMWDPTKPQQLICWTLGGQVTVYKFIWVTAVMEDSTALVIDDSKILVTPLSLSLMPPPMHLFSLNFPIPVREMAFHSIKGKTRLAAFLSNGCLCVAELPAPDTWEELEGKEFNVEHCLSESLGSFVHLTWLDSNLLLAVSHYGFNHSNCSSQSSSSEDMIGFYLQEIELACSEDNVPGLVTGSGWHARVSYQNLLEGLVLGIVPNPAKRCSAFVQFDGGEVLEYSSKLGIARRDLNHGETSFSSSCPWMNAVLVGASELSKPLLFGLDDLGRLHVGRRILCSNCSSFSFYSNLADNVITHLILATKQDLLFIVDISDILHGELELTYENFIHVGSKRKQEENINFINIWERGAKIVGVLHGDEDAVILQTNRGNLECIYPRKLVLASIFNALNQRRFRDALLMVRRHRIDFNVIVDYSGLQVFLQLASEFVRQVNNLSYITEFVCAIKNENITETLYKKFLSLPYCKEQKDVQASDFNASLETNKVSSVLLAIRRALEEQVPESPARELCILTTLARSDPPALEEALERVKVIREMELLGSDDPRRKNRPSAEEALKHLLWLSDSEAVFEAALGLYDLNLAAIVALNSQRDPKEFLPFLQELERMPALLMRYNIDLRLHRFEKALKHIVSAGDTHFADCMNLMKKNPQLYPLGLQLITDPPKRGQVLEAWGDHLSDEKCFEDAAATYLCCSSLQKALKAYRECGNWSGVLTVAGLIKLERDEVMQLAHELCEELQALGKPGEAAKIALDYCGDVNAGINLLISAREWEEALRVAFLHRREDLVSEVENASLDCASSLIDEYKEGLEKVGKYLARYLAVRQRRLLLAAKLRSEERSINDLDDDTASEASSTFSGMSVYTTGSRKSSAASTGSTVASRARDARRQRSRGKIRPGSPGEEMALVEHLKGMSLTAGAKHELKSLLISLVMLGKEETARKLQQVAENFQLSHIAAVRLAEDTVSSDSINEHAHTLERYLQKVKTEVPDADTFSWRCRVFLSP >OMO58932 pep supercontig:CCACVL1_1.0:contig14086:20387:22580:-1 gene:CCACVL1_25235 transcript:OMO58932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDIKLWPFKVIPGAGDKPMIVVNYKGEEKQFAAEEISSMVLIKMREIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDEKIGSKLSPDDKKKIEDAIDGAINWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGAGGPDMGGAGMDDDVPPSAGSGAGPKIEEVD >OMO86947 pep supercontig:CCACVL1_1.0:contig09381:31025:31201:1 gene:CCACVL1_09373 transcript:OMO86947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEINEREKTIMQSNKKPPPELCIVTTPAASSPDPLLPTIITSSLAIFLNTLVTIYD >OMO86945 pep supercontig:CCACVL1_1.0:contig09381:2130:16598:1 gene:CCACVL1_09371 transcript:OMO86945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps52/Sac2 MADVAANNVSHQTDTPKNVFDFGAFVGDLIVEDDAGSDDISLEGLQQELEECKNDDVVANILSKGIKLREHTKGVENNLRQVELDSIQDYIKESDNLVSLHDQIRDCDSILSQMETLLSGFQAEIGSISSDIKVLQEKSMDMGLKLKNRKVAESKLAKFVEDIIVPPRMVDIIVDGEVNDEYMRTLDILSKKLKFVEVDPMVNASKALKDVQPELEKLRQKAVSKVFDFIVQKLQALRKPKTNIQILQQNVLLKYKYVICFLKEHSKEVYSEVRAAYIDTMNKVLSAQFRAYIQALEKLQLDIATSNDLIGVETRSTSLFSRGREPLKNRSAVFALGERLNVLKEIDQPALIPHIAEASSLKYPYEVLFRSLHKLLMDTATSEYLFCDEFLGEESIFYEIFAGPFAVIDEHFNSILPNCYDAIGLMLMIRIIHQHQVNISLWPRFKMVFDMHLSSLRNANVKLLWEDDIHPHYVMRRYAEFTASLIHLNVEYGDGQLDLPLERLRMAVDDLIMKLAKMFSKPKSQIVFLINNYDMTIAVLKEAGPEGGKIQLHFEELLKSNTGLFVGVTRTQILVKTMAYSFIYKLHMEELLVEHFSDLIKFVKTRASEDPNATSERPITVAEVEPLVKDFASRWKAAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDCIKKINGGTALNKDLVSISSIMYEIRKYSRTF >OMO86946 pep supercontig:CCACVL1_1.0:contig09381:23879:29913:1 gene:CCACVL1_09372 transcript:OMO86946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSDVGLEQEVQVVRNWSGPVHERNGSHQSLPPIKYLHLHECDSFSIGIFCMPPSSIIPLHNHPGMTVLSRLIYGSMHVKSYDWIDPTEPEDPLQVAMMFIINRLFVARPAKLVKDTDMTAPSPTTVLYPTSGGNIHCFRALTPCAIFDILSPPYSSEHGRHCTYFRRSPRRDLPGEVEVDRVMQSEVTCPPLACGVDGKIYVFGGAPYVDDGPFIGEVYDPGSPSLYAYNVERGSWDIFDKKFGWDPEFPFSPLHDGMWPTNAVVDNFLYCYSARGGLFAYDLEGKKWQHTHLEASLKADHACLSLISVLGISVIVLFMPSVQYKKWVFSHMNLRLLLQSIPPAKMFKACILDGDKLIPKIVPNAFKSVEYIQGEGGPGSIKKVTFGEESQFNYMKQKVEALDEEKFTYSYSVIEGDALMNKLEKITYETKLEACPAAGSICKTSSKYYTIGDFEISEEGIKAGKEKALGIFKAVEAYLLANPNAY >OMO66089 pep supercontig:CCACVL1_1.0:contig12590:14444:14530:-1 gene:CCACVL1_21306 transcript:OMO66089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWTWELRMVKMRSNRALLLDLNQSMK >OMO66088 pep supercontig:CCACVL1_1.0:contig12590:6011:10113:1 gene:CCACVL1_21305 transcript:OMO66088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MSMDLFRHHRRLLKVMGRRSPIPLIPFGYDRINLSCMLLSLPHQKPLFLLSRLLQHVVPHGRPWFKPLPIAIVDDDDLVLNVLKGVGSEFREIVTVIRVKETPISFEQLNDLLTTHEIVQRWNQDSGTTKNWCMDSGATNHVTTNLQNLSLVSNYDGPDEVIVGDGKGLSITHNGIVDFRCKNKSFKLEDVLCVPAMKQDLISVSKFCKENNVFIEFYDDYFLVKDVQTRQRLILFVCFSESYTTSTTTSIINAAGTAIASAKCLCTAIGKFISSFSCVSSSPVFPAVIDSPDFPAASTDVARSLPVAPHSAPSDTATDCGNSSMVGSAENIVFTSSTAGTVKRQNSWKLFQLDINNAFLHGHLTEDVYIRQPPGFVDSTHPHHICKLKKAIYGLKQAPRAWYQELRCFLISYGFRQSQSDSSLFLYKQGGVQFYFLVYVDEIILTGSDESVLRQFVTALSAKFSLKDLVLLNYFLGIETSFTKKGIFLSQRKYIRDLLHKTNMLDSKPVSMPMPSTVSYTIDDGEPLSNATQFRNIVGALQYLSFTRPDLAFAVNKQAQFMDKPLTVDWHANKWVLQFLKGTIDHGILIHPVVSTSFPLLAYKDADWAGDKVARSSTEAEHRAIANAAAEITWIQNLLQELGVRISQVPTIYCDNIGAMFVSVNPMLHSKMKHVSIDFHFVRGKVNAGHLLFHHVSSKDQLADLLTKPLSRQQFLSLTSKIGLSSGDCILRGHITY >OMO81232 pep supercontig:CCACVL1_1.0:contig10225:68419:81443:-1 gene:CCACVL1_12538 transcript:OMO81232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MATLGNSTNTPAISNLPISINATAQLPLKLTSKNFISWRAQFDALLQGFDLGGYVDGTTKAPAKEIQKDGRTVTNPDYTFWLRQDKLILHAIIASTSEAVLPYVASSSTFHDAWQKLLKLYANKTRSRIMDLKSSLQSTKRNGQSVTEYLQKMKSIVDELHLTGTMIDEDDQILFILNGLGPEFREIATAIRARESSISLEELHDKLLSFESLLKQEEENYSVQVPTANYVKRGFNKSFNNNNRRFPKPYSGGNNVSTRKLVFSSRPMANFANASPATKPWCLDSGASHHVTVDLNNLSISSEYDGTESIKIGDGTGLQITHTGETELFSSNHSFKLDEVLCVPTIAQNLVSVSKFCKANKVSVEFDANKFLVKDLQTQAVLTQGLNVDDVYQFSSSQIKPIAFTAIRTSAQHWHQRLGHPSKLILHRVLKQFSLPQISNDFQSCNSCHCNKSHKLPFGVSSLSSSKPLELVYTDIWGPAPIPSVSGHKYYLKSSSNKEFESNAKSFFTPNLIVLNDNSSADILATSTSISETPHTTGNALNQSLASLQPNASSSGTTNEPQNGETPLSDPPLPNSHSMLTRSKNNIVKPNPRFFLAIKHSPTEIEPTCFSKAVKDVNWRDAMNEEVNALIKMLTQLDVNNAFLHGKISEDVFMKQPPGFVDSSHPDYVCKLEKAIYGLKQAPRAWYNELSTFLVDFGFNQSRSDSSLFIYINKDIITYFLVYVDDIIVTGNSQGFISEFVNSLSRKFSLKDPAPLSYFLGIETNFTATGLFLSQQKYVKDLLQRTNMTDCKPVQTPMATSASRLLHGGNLLADATEYRSIIGTLQYLLLTRPDISFAINKLAQFMHQPTDLHWQALKRVLRYLKGTLSNGLVIKKSPAQIHSLIAYADADWAGDEKYKAIAGASAELAWIQNLLAELKVTIPHVPTIFSDNIGATYVSANPALHSKMKHIAIDFHFVRDKASFRSLHRLNHCLIVYEEGDSVSFIVKESRADFNHLIGDHPRHVEELRALVPTLPPPSMAANGCMEKSVMAIQITVFPMAGISLGIGFCHSAADGTKKSELITGEKGIDVAARAIGRQVMELEEKGPLNEAEKWLSRTGEIIKSGGLVTAVTSSPKLGAYKIDFGWGRPKKTEVANIGSNIHARPPPRATGRPKLPHRISSTSGSHRIPPLSSQVPHPCQVEDIFSVEQMDGSVEWEKLQRWAATCVAMYGAYTSAIISFLLRLNGVGRTISQNGSFERRAFMKRLTMMDEEEGSASDSRILSNAIAREVDRFPILGSGTEPHFSVDTGADIVLAGCILHNYLMGVDPDESLIKENGWLQAEEEDYGDVQQDSGDDNDRNDKKNLTWTNDMDDYLLSLLVNQMHKGQKIGTAFTKAAYAYIVSEICKQYDITCTREHVRNRMKTLKKTHSTVCRMLKESGFGYNASTHMLEAETSVWLKYIKAHPKDASLRYKMIRNYDKLHLIFAKDHATGSLARGPKERQLRWAMEKGSKEGSETEVEDDPADFEIEHDQSHEESNSCPKPNKKRRTTDLISDELKMIKSGMEAVAAALEQGNPKSYTEEKLYEEIMTVGGMCGECEMKIYQALSKDVNTARAFLACPKLKRKLWLLVHFGTAFFD >OMO81227 pep supercontig:CCACVL1_1.0:contig10225:1439:3508:1 gene:CCACVL1_12533 transcript:OMO81227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKTSIISIEADLVYKGNGHFRAASSRTI >OMO81229 pep supercontig:CCACVL1_1.0:contig10225:36887:41948:-1 gene:CCACVL1_12535 transcript:OMO81229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MDSRDLSSSSAPNRDGTSGDDDGVLSVAAALAKDAALYFQSKKFAECVDILNQLKPKKEDDPKVLHNIAITEFFRDGCSDPKKLLEVLNTVKKRSEELAQASGEQVESGSKGSKGSGTTTFPASNSSSIIYTDEFDTSVAALNIAVIWFHLHEYAKALSVLEPLYQNIEPIDETTALHICLLLLDVLLACHDASKSADVLNYLEKAFGVGNVSQGDNGNVAAQPSINLVGKASSVPSSLVSDTSSSDLAANVNASENPLSRTLSEDPLDEMFSTLDIGGQNLARPAGLTSANDLPRTTVDRSISGVDLKLKLQLYKVRFLLLTRNVKLAKREVKHAMNIARGRDSSMALFLKAQLEYARGNPRKAIKLLMASSNRTDAAISSMFNNNLGCIYYQLGKYHTSAVFFSKALSNCSSLQKEKPLKLLTFSQDKSLLITYNCGLQYLACGKPILAARCFQKASLIFYKRPLLWLRLAECCLMAVEKGLVNGSRDPSDRSEIRVSVIGKGRWRQLLIEDGISRNGLVDSDDKDDRALGSDGQPKLSLTLARQCLYNALHLLNCSEWSNSNSVLPSNTSMEETESSEKNSNHKSLPGIDYKASTMSVGLVNSNGDVKESRGGTNQEVIQNSISYYEDICRRESQMMKQALLANLAYMELELENPLKALSAALSLLELPGCSRIYIFLGRVYVAEALCLLNKPKEAAEHLSVYLSGGNNVELPFGQEDIEQWRVEKPVDCEEPNAGAAAAKNPSQEGLQDCVFLKPGEARGTVFANLAAVYAIQGELERAHHFVTQALSLVPNSREATMTAIYVDLMLGKSQDALSKLKHCGNVRFLPSSLQLNKSS >OMO81231 pep supercontig:CCACVL1_1.0:contig10225:56600:59882:-1 gene:CCACVL1_12537 transcript:OMO81231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDPQSLSLAPHAHEVTGAKIKANAEAPNCDLLGFSTSFDLVDRKPFRQCRI >OMO81230 pep supercontig:CCACVL1_1.0:contig10225:43440:50013:-1 gene:CCACVL1_12536 transcript:OMO81230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type MSNLTDQIEEVKTLLSSNSKTNKSFGYSTLLHFQEQSSDCPPSIQALCQSSRSLIDLIIADIHDEDEEIAAQALKCLGFMIYHPSIVAKIPAENAKLVLESLAKLITVTKMKSICNLGVWCISIQQFDAALLAACFDKLLCAIVHALDNPIGSLSTTFEAMQAVTKLAAQLTEKMRQSSHLWVPPIYRRLLSVDKRERNMSERCLLKIRSNILPPSVSLSKAIIQDMKQKLLAGMKERLEKGMKVQTLQAWGWFIAFLGSDAFKNRHLLNDMLKVPEQTFSDHNSQVQIASLVAWEGLIDALVHPQILGYMKNSIFKNEIQRLQTSVGESSELQLNGFSKSLKLIMTPLIGIILSKCDLSVHLSCLNTWCYLLHKLDKSINSPSVIKLAFDPMFEAVLKIGPGSRNIWLWNLCLDLLDDCISAKCSNLNSNLEEQVTRGLSARTSIPGPCMPGGYSWKQYPIKWLPWDLTRLDFYLKMIAIIITHGMAATAAPESRKSARDAAVRIFRSVLKGVQMEFRNPLNNYDNIKFSLNSILKFIKGIAEDASSEGAGLSDMYATLHHFIEAVIEELEPSIMGSPLYMVALDIKYFGILDSVDFKHAKIMHQRSIAYMDMVSPMVYLTVLYISLVVQAKMNTPEMELVLQRHMDFNYIEIWMALVRGLNGYIDGMKNLYLLERDSDNSVYKAICHLLSYPFILFSCPQKDLAPLKTSNSLKESFVSLERKLEQAFEAWKSLYGSVSAADSNSSARNTFCGDLCAMLDWCFDENGSMFEYKSELGFCYKGLEPSCVSFFGKVVVCILETKLTTDASENECGGDFSMSSVINNILKVASRFMKVLHINLGTEQSASLVSSRLFSALAHFVSSLHLKQDILSYFEIISCPLLQWLSHQEIQDESVNDQLGVLWAESLKCLQRSQPPITFDSLFLKLQACLLEKSLGHANALISDPTIIFWNSTYGRQINLDYPQNLLHVLDKLSRNGRIKLYNRSKSFLERCSRVDNNTSPQSCKVTATQNRSSKRVELTEDMIAQLNQTDKPPLHSKRKRLELELTEHQKEVRRAQQGKERDCSGHGPGIQTYTSLDFSQGNGDSQESQDNWDSEAILETLRRVA >OMO81228 pep supercontig:CCACVL1_1.0:contig10225:26457:27149:1 gene:CCACVL1_12534 transcript:OMO81228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNKISALLLICMLFISAATPILGCGTCGKPKHKGKGKSPKGPIVKPPIKVPPVTVPPIKPPVELPPVTVPPVTVPKLPVPPVTVPKLPVPPVTVPKLPVPPVTVPPVTVPKLPVPPVTVPPVTVPPVTKPPSGKPCPPPPAKETCPIDTLKLGACVDLLGGLVHIGLGDPVVNTCCPVLAGLVELEAAVCLCTTLKLKLLNLKIYVPLALQLLVTCGKTPPPGYTCSL >OMO89191 pep supercontig:CCACVL1_1.0:contig08833:20791:20880:1 gene:CCACVL1_07990 transcript:OMO89191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFWQSDERGLGQRRKGRREEENRGREE >OMO65861 pep supercontig:CCACVL1_1.0:contig12613:3307:4176:1 gene:CCACVL1_21365 transcript:OMO65861 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MNNRKRSKISNEKEGSIRRWEDLDQNILKIIFKHVTCKIKIFNFTLSNSSEELLCNVSKVCRSWQLACFNLLFWSDPNTLDFSDFTSSLGFANKQIWSREDEDVLRRQWRRVVRIVLMEGNDGNGLPLEQWRRSITKIFIPACLGRILQDQDLLFIAQRTPGVVSLIVSESSGITRPGFAKAMRYWKNVTHLGLGETARNIDFILEIGKSCPQLVTLEFSSKSFFEIDTAVEIWLAEGLN >OMO65862 pep supercontig:CCACVL1_1.0:contig12613:7712:8795:-1 gene:CCACVL1_21366 transcript:OMO65862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDNLNIRIRLLHALAQMRIAPRKHFMSLSSCRLRLQVIESMKEVAKRLNFLGEDEEVLAHAIVLYDRYIGVRGVLAHKI >OMO73362 pep supercontig:CCACVL1_1.0:contig11249:15290:15430:1 gene:CCACVL1_17310 transcript:OMO73362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLNPMDAVTKEPHHTCKLRTCKYDPNHFWTLSSKLVTSVDMAL >OMP06205 pep supercontig:CCACVL1_1.0:contig05027:2642:3207:-1 gene:CCACVL1_01681 transcript:OMP06205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase VTGGNIGAGSSTTTQSSSIFAIARQLVIAMVVLDTYQYFIHRFLYHNKFLYRYIHAQHHQLVVPYTYGALYSHPLEAFLGETIGAFVSFAISGMSPRTAIFFFSFSTIKNVDDHCGILLPGNPFHLLFRNNTAYHDIHHQLYGGKYNYAQPFFVMWDKILGTHMPYSIEKRVDGGFEARPPKVYYKED >OMO89183 pep supercontig:CCACVL1_1.0:contig08835:711:8212:-1 gene:CCACVL1_07991 transcript:OMO89183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMRGGNWQFNPRECLPVM >OMP03923 pep supercontig:CCACVL1_1.0:contig05910:20:1229:1 gene:CCACVL1_02227 transcript:OMP03923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAFSGRSNEGVGRSLGEVTKQW >OMO59451 pep supercontig:CCACVL1_1.0:contig13963:89224:93907:-1 gene:CCACVL1_24826 transcript:OMO59451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSWLRPESGSRNPDYEREGRRTSPDLRLSVERLVVGQVALKKKREV >OMO59449 pep supercontig:CCACVL1_1.0:contig13963:6080:12097:-1 gene:CCACVL1_24824 transcript:OMO59449 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAF1 complex component MATCIVIITGEQEYRTLPLKHINATGFQRNSSERNRRHLDTHSNKNKQSQCVWALQILIQQAKGIKGKIRINKGSAIKVEYQFQKEQKEAFRFSQQVEFNNIEEALSMAAGQ >OMO59450 pep supercontig:CCACVL1_1.0:contig13963:64793:67687:1 gene:CCACVL1_24825 transcript:OMO59450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase, class-I MACASFAKLNAASSQWIGGHQSFSQRPRLATRRVSLPAIRAGAYTDELIKTAKTIASPGRGILAIDESNATCGRRLSSIGLDNTEPNRQAYRQLLLTTPGLGEYISGAILFEETLYQSTTDSKKFVDVLCDQKIVPGIKVDKGLVPLPGSNNESWCQGLDGLSSRSAEYYQQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHPIERTLEVAEKVWAEVFYYLAENNVIFEGILLKPSMVTPGAEHKEKASPDTIAKYTLTMLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTVLKTWQGRPENVEAAQKALLVRAKANSLAQLGKYSAEGESEEAKKGMFVKGYTY >OMO59448 pep supercontig:CCACVL1_1.0:contig13963:106:4815:-1 gene:CCACVL1_24823 transcript:OMO59448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ia MSFFKSLAANSSVFTPREATMAMTQSSPYRVLLQRTGSSLRKTPSGNLFYFRESSSVQVFSLLKIAHYSNYSGEEFCSSSKRRSRGPVMAAKKASQGQKEEEGRYKHTVDLPKTTFGMRANALVREPEIQKLWDDHQVFKRVVNKNDRGKFVLHDGPPYANGDLHMGHALNKILKDIINRYKLLQNYKVQFVPGWDCHGLPIELKVLQSLDQDTRKDLTPLKLRAKAAKFAKATVKAQMSSFQRYGVWADWSNPYLTLDPEYEAAQIEVFGEMALKGYIYRGRKPVHWSPSSRTALAEAELE >OMO59679 pep supercontig:CCACVL1_1.0:contig13907:13751:15464:-1 gene:CCACVL1_24672 transcript:OMO59679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLAIFFLLFLSSLHACNARRLISFVAEDNINGNQVDFLAKDTNKLNMNELVGLKNSITKEFQEVGRVDGTRTQESWIGASAMKQTLLSSFLKAKEAFAKVISGLENNYAISSGVVEHLEDMEKVQGSFKRVTRSMLGNSASDTKEAVDSKEKENVGDVDVMDYAQPHRKPPIHNEKS >OMO59677 pep supercontig:CCACVL1_1.0:contig13907:6674:8061:-1 gene:CCACVL1_24669 transcript:OMO59677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKSPSGSSLGPGGLDLSQAFFKPIQSTAPPSATKRNTKISVIGVGNVGMAIAQTILTQDLADEIALVDAKEDKLRGEMLDLQHAAAFLPRTKINASVDYSVTAGSDLCIVTAGARQNPGESRLNLLQRNVALFSKIIPPLVQYSPDTILLIVSNPVDVLTYVAWKLSGFPSNRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAYIIGEHGDSSVALWSSISIGGVPVLSFLKNQQIVYEKETLENIHKEVVNSAYEVISLKGYTSWAIGYSAANLARSILRDQRKIHPVSVLAKGFYGINGGDVFLSLPALLGRGGVLGVTNVHFTKEEEQRLRKSAETILEVQSQLGL >OMO59680 pep supercontig:CCACVL1_1.0:contig13907:18788:19964:-1 gene:CCACVL1_24673 transcript:OMO59680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein Atg8 ubiquitin-like protein MAKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYEEKKDEDGFLYVTYSGENTFGDQISQ >OMO59678 pep supercontig:CCACVL1_1.0:contig13907:9702:12679:1 gene:CCACVL1_24671 transcript:OMO59678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAWEELERVQTRILERLSKLELSHLPHNAETFPSSSPLTNGESDANADSVEVRLSNILRSNGVNDFSFKRVPSDYYDSPLESRRDVLSAASVHHLCKSIVLVNTQAPSNVIDCSDRNNSKYYVVVVQYTARFNAETVKNFLYTLNDGKISKKKFNLRLAPEETSIKLTGYEHNAVTCIGMQTDIPVILDEAIVKLSPDFFWLGGGETDLKLGIRTSEFINFVKPFIVSCSGN >OMP06669 pep supercontig:CCACVL1_1.0:contig04862:8020:8118:-1 gene:CCACVL1_01475 transcript:OMP06669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKKFQLLKPSHYFLRAPRVSLKSIIDGDHPK >OMO57595 pep supercontig:CCACVL1_1.0:contig14352:20615:22942:1 gene:CCACVL1_25739 transcript:OMO57595 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MQQANVDFSLKATSPNIGGGRVSGSEKLTSSFDLVEQMHFLYVRLVRVRNLPGITNPFVEVKIGNYKGTTKYFEKKLDPEWNQVFAFAKDRIQAVSVDVIVKNKETENDQVIGKLAFDVPDIPSRVPPDSPLAPQWYSLEDQDGRKGGKGELMLAIWMGTQADEVFPDAWHSDAASVSGESISNTRSKVYISPRLWYLRVNIIQAQDLVPTNKNRNPEVYVKAMLGNMVLRSRFSPDNNINPTWNEDLMFVVAEPFDDPLILSVVDKLDLNKEDVLGRCTVHLSKVGKRLLPTPLAANWYNLERVAFAQPRDNHQNDSDQVKFASRLHLRICLEGGYHVLDESIYYSSDFRATSKALWPPTIGVLELGILNASGLLPMKSKDGRGTTDAYCVAKYGPKWVRTRTIVDSFAPKWNEQYTWEVYDPYTVITIGVFDNSQLQGSNNTGGSKDPRIGKVRIRLSTLNIDKIYTHSYPLIVLQPNGVKKMGEIQLAVRFTCSSTLDLFQSYTQPLFPQIHYLLPLTVYQIESLRHQATHTLSRRLSRAEPPLRREVVEYMLDVGSNVWSLRRGRANLERLVATFNLLVEAWKWFDYICKWKNPISTMVAHLVFLILALFPRLVLPLAFFMCIVNGASQFKNRPRHPPHMDTTLSLIDSTHSDELDEEFDTFPSSKNGEVLKRRYDRLRSVAGRMMTIVGDLATQTERFHSMVSWRDPRATPMFLAFCLLATIVFYFIPFRIFVLGVGFFAMRHPRFRISISPSLPQNFFRRLPARTDSMI >OMO57594 pep supercontig:CCACVL1_1.0:contig14352:923:1954:1 gene:CCACVL1_25738 transcript:OMO57594 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor MINLPHCLMLSWRSVLLLIMIILIVKGVKTDKLEDPGGLNICKHPASFGQMCILCGESLDEESGVTFGYIHKGLRLGNDEIVRLRSKNLLQNKKLYLVLDLDHTLLNSIELMHLTTEEEYLKGQSDDDISNGSLFKLDFMHRMTKLRPFVRTFLEEASKMFEMYIYTMGDSAYALEMAKLLDPEGNYFSGRVISRDDGTRRHEKSLDVVLGQESAVVILDDTQDVWKKHKDNLIVIKRYHFFASSCRQFGHNCKSDETEVDGVLASVLRVVRRIHHIMFFDGGNLDFGSRDVRQVLNAVRKEVLHGCKIVFSRVFPTSKFQAGRDSSPVEDGRAIRSYLFKRN >OMP00836 pep supercontig:CCACVL1_1.0:contig06607:2795:4528:1 gene:CCACVL1_03284 transcript:OMP00836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRHVGKNECALGPIESAIAGAPSDRPPFVVRIPLLCRLRSRQIEIQTRSNRLSIRKFSTFFGRLKTNLDEKRGFGLIRDLRPLQVFFFGD >OMP00835 pep supercontig:CCACVL1_1.0:contig06607:76:1120:1 gene:CCACVL1_03283 transcript:OMP00835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHSIRTIGSEVLAAKAANELEVGLGIGNSTEGKRRKPFVVIVYGFY >OMP00837 pep supercontig:CCACVL1_1.0:contig06607:5870:6046:1 gene:CCACVL1_03285 transcript:OMP00837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGKHISLRSSCTERKHYHFRLIADDRDRKVYTNREGKIREEIPFKNGTESKFRFS >OMP00838 pep supercontig:CCACVL1_1.0:contig06607:7431:10243:1 gene:CCACVL1_03286 transcript:OMP00838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSYSLNQLRYLAGIGSDPIALVESTVNAEAKERRIPKRNKVSARHNTPYGVLPSQCPVKQGKADARADWGPSMLSDDANILEEFSTANETVGGLIKIKKASTQKTASSSQDLATLKLN >OMO60607 pep supercontig:CCACVL1_1.0:contig13704:22826:24199:1 gene:CCACVL1_24016 transcript:OMO60607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MARGKSTTNASFFLLKLLLLCFLPDHSISAAIYPKEALPAKSGYLPVDPATNSAIFYAFYEAQSPTSSLSKTPLLIWLQGGPGCSSMIGNLFELGPWRVVPSQKQNVEHLSLEPNPGPWNRLFGLLFLDNPIGTGFSIAATPEEIPRDQEGVAKHLFAAITSFISLDPSFKSRPIYVTGESYAGKYVPAIGYYILKKNPKLPASQRLNLKGLAIGDGLTDPIIQVATHADNAYFSGLVNERQKGELEELQRKAVELVKMGNWSAATSARSKVLSTLSNMTGLATLYDFTKKKPYQTDYATEFLNIAEVKKALGVNESMEFEECSDVVGDALHEDVMKSVKYMVEFLVKKSKVLLYQGLYDLRDGVVSTEAWVKTMKWEGIEKFLMADREIWKVNGEVAGYVQKWGSLTHVVVLGAGHLLPADQALNSQAMIEDWVLENGQFGRHENGCSSSNFRGAL >OMO60605 pep supercontig:CCACVL1_1.0:contig13704:14614:17893:1 gene:CCACVL1_24014 transcript:OMO60605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIFEHTSDATRILREIKLLRLLRHPDIVQIKHIMLPPCRREFKDIFVVFELMESDLHRVIKLNNDLSPAHHQFFLYQLLRGLKYIHTAHVFHRDLKPKNILANADCKLKLCDFGLARVSFTDVPSAIFWTDYVATRWYRAPELCGSFYSKYTPAVDIWSVGCIFAELLTGKPLFAGKNVVEQLDLVTDLLGTPSDETIARIRNEKARNYLNSMRKKKPIPFSKKFPNVDPLALNLLQRLIAFDPNDRPSAEEALADPYFHGLANVDIEPSMKPVSKLEFEFERRKLTEDDVRELIYREILEYHPQMLQEYLQGKDQISFMYPSGVDQFRRQFACLEDCGKNERGMLLQRKYTSLPRERVCTEDDQIDQSKKRTVASATRATVQSPTKLQGSKELENGNQNVPETESQKSSGKPARSARRLMKSDSISASRCVGVFRKSCEIHNEARKVVA >OMO60604 pep supercontig:CCACVL1_1.0:contig13704:6951:10168:1 gene:CCACVL1_24013 transcript:OMO60604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1/H5 MVESVASVDKPISPGAEQMQSSICSINNSDKEARKSSRLKEAIIAKLAAVSNPSMPLSADLVQLRLAQFFPNFETPSHPPYASMIQKAILELKEEGGSTEEAISRFMEKEYESLPWAHASFLSHHLKKLSRNREILCVNNDLYMLPGNGGVVADGEEIHHGLKIEDRVGKEDQTLVLANGREAEVADGWSGVKGDQTEESADRFKSKRQTVKVNQNVKAFLQRIMSCEEELEGKQESVGEIREQSQNLSRQIEVVEEIDQEKAKLAEVLKDDIPRPVMGEEKKVTEEQQQQIKGASEVIESVVVMTGEQEKPQQGKMISEECSRQDQQIDIRTKVQVFSCDGQDGKNLKWKRRKSRKRRKGIRKPQLTPLWDCSIMNPLKESKKEALQHEWEEQRKPHYREKELIIVCALPSQQQPQSRTCIYEQAYKLQRDLISASLESTETSQILGQKPKFSSPQRPVELQVTTYDSFTPTKQKPSKTETQKSRPKVKTSFGMAKISEELEEKRDQEFQKAKNLLKFKIKTKSIFSGEEAAVSLPIDLKDSKNVPLGLEQHGREHQNKQIKVYVRRKVRTCQVKKSEASNILPTSSGYV >OMO60606 pep supercontig:CCACVL1_1.0:contig13704:19643:21107:-1 gene:CCACVL1_24015 transcript:OMO60606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLYNLVDGFKEILKIQKFRRIVSYTGFYCFVAVLSYAYTSNTTRAGYSRADQFYASYPAGTELLTDTAKLYKAALGNCFESEEWGPIEFCIMAKHFERQGKSPYAYHAQYMAHLLSQGQLDGSG >OMO60608 pep supercontig:CCACVL1_1.0:contig13704:25854:36389:1 gene:CCACVL1_24017 transcript:OMO60608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVSIMHSCGMVTYVRFNSFLLDKVSKICSRSKHKFPEKLLEEVHKYDTASISDRSKLLNKVSVFMGYNGLDDLIKNERPDEQPDRNLKDTTDDIDLSLACKKFPSITLGSSPPVELYDETTSSSRIRGLLAAQSITYSMEEKWVDPNGMCETWPSLYQPLSETASSILVEESTDNLHQSSSTTTFESEGKSDNLFVQESTDKLLQSSCSTTLEGKSDHLVIEEDSSSKVEVVPQSDAATLDLFLDRSISCIPGLSKRHSRQLEECGFYTLRKLLHHFPRTYADLQNAQIRIDDGQYLIFVGKIMSSRGIRASYSFSFLEVIVGCEVANDEPASEHICNDGNTGGVKTIHLHLKKFFRGTRFTYQPFLRSLEGKHKVGEFVCVSGKVRAMSTKDHYEMREYSIDVLKDENDSSFLTKGRPYPIYPSKGGLNANILRDIIARALKALPINIDPLPEEIIQEFGLLCLHDAYIGIHQPKNIEEADLARKRLIFDEFFYLQLGRLFQMLEGLGTKIEKDGLLNKYRKPEANAVYIEEWSSLTKKFLKAFPYPLTPGQLSAISEIIWDLKRPVPMNRLLQGDVGCGKTVVAFLACMEVIASGYQAAFMVPTELLAIQHYEHFINLLENMDEVECKPTVALLTGSTPLKQSRLIRKDLQDGNISLVIGTHSLIAEKVEFSSLRIAVVDEQHRFGVIQRGKFNSKVLYTSTSSKMQVADMDVSSEHETYMAPHILALSATPIPRTLALALYGDMSLTHITDLPPGRIPVETHIIEGTDNGFKNIYAMMLEELEAGGRVYLVYPVIEQSEQLPQLRAASADLETIANQFCDYKCGLLHGRMKGDEKEEALRRFRSGETDILLSTQVIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGSRKSKCILVASTASSLNRLKVLEKSSDGFHLASVDLLLRGPGDLLGKKQSGHLPEFPIARLEVDGNILQEAHVAALKILSDSHDLDRFPALKAELSMRQPLCLLGD >OMO60603 pep supercontig:CCACVL1_1.0:contig13704:2520:5848:1 gene:CCACVL1_24012 transcript:OMO60603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLPSGYRPNVGVCLINSDNQVFVASRLNVPGAWQMPQGGIEDGEEPKAAAIRELREETGVVSAEFVAEVPNWLTYDFPPPVKAKVNRLWGGEWHGQAQKWFLMRFTKDESEINLANGEADPEFAEWKWASPEEVVEQAVDYKRPTYEEVMKTFSPYFSDNSKAAKCKSTKW >OMO60609 pep supercontig:CCACVL1_1.0:contig13704:44524:48118:1 gene:CCACVL1_24018 transcript:OMO60609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLPTNCSSNLELTISVAGFSSSPSLPSSGDHGVCTVRDLDINQVPSGGAEDDQWITASMEDEEESCNNINGVPPRKKLRLTKEQSRLLEESFRQNHTLNPKQKEALAMQLKLRPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNRRLQREVEELRAMKVGPPTVISPHSCEPLPASTLTMCPRCERVTTTALDKGPTKMTAATTTATALSSKVGTSALQSRPSSAAC >OMO54877 pep supercontig:CCACVL1_1.0:contig14876:27709:32574:-1 gene:CCACVL1_27502 transcript:OMO54877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSSYLGNTRRGGGWAQSLLPSSSGTVKSTPKGAHATRKSRKRAALINFLFTNFFTIALSLSLLFFLLTLLLFGIPKPISSHFRPRSTTRKPSIRKPVNRKLPNSNPNHDGALVDITTKELYDKIEFQDKDGGAWTQGWKVTYKGDEWDTEKLKVFVVPHSHNDPGWKFTVEEYYERQTKHILNTIVDTLSKDSRRKFIWEEMSYLERWWRDASEDKKESFTNLVKNGQLEIVGGGWVMNDEANSHYFAIIEQITEGNMWLNETIGFVPKNSWAIDPFGYSPTMAYLLRRMGFENMLIQRTHYEVKKELAWNKNLEFIWRQSWDAEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARTHGFFYELCPWGTSPVETTQENVQERALKLLDQYRKKSTLYRSNTVLVPLGDDFRYVSIDEAEAQFRNYQMIFDYINSNPSLNAEAKFGTLDDYFQTLREEAERINYSLPREIGSGQVGGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEQTLRASEMLMAFLLGYCQRAQCEKLPTGYAYKLTAARRNLALFQHHDGVTGTAKDHVVLDYGTRMHTSLQDLQIFMSKAIEVLLGIRQEKSDQTPAQFDPEQVRSKYDALPLHRSISAREGTAQSVVLFNPLEQTREEVVMVVVNRPDVTVLDSNWTCVQSQISPELQHDKKKFFTGRHRIHWKASVPAMGLQTYYIANGFVGCEKAKPVKLKFFSELSSIQCPTPYACSNIEGDVVEIKNQHQTLTFDVKHGLLQNVIQTNGLQSVVAEEIGLYSSAGGAYLFLPDGDAQPIIQSGGHLVISEGPLMQEVYSYPKTSWEKTPISHSTRIYNGGNTIPEFLIEKEYHVDLLGKDFNDRELIVRYKTDTDNKRIFYSDLNGFQMSRRETYDKIPLQGNYYPMPSLAFMQGSNGQRFSVHSRQSLGAASLKEGWLEIMLDRRLVRDDGRGLGQGVMDNRVMNVVFHLLIESNISSTSNPVSNPLPLSPSLLSHCIGAHLNYPLHAFIAKKPQEISVQTHTRSFAPLATSLPCDLHIVNFKVPRPSKYSLQQLGEPRFVLMLHRRNWDSSYCRKARSQCTSVADEPVNLFNMFKGLAVLNAKATSLNILHEDTEMLGYNEHFGDVAQDGHVTIPPMEIQAYKLELRPHQ >OMO54875 pep supercontig:CCACVL1_1.0:contig14876:13980:16924:1 gene:CCACVL1_27499 transcript:OMO54875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWPSARGGGLGVAALTYVAVDYLRNVSPTWHERLQPFLWSLLALIAISRVPFYRHWNAEFRAALPFLASIVFLLSALLFEALSVRFVTAVLGLDWHSDTPPLPDTGQWLLLALNEKLPEVVVEILRAHIIGLHHYLMLFIMLGFSVLFDSVKAPGLGLGARYIFTMGIGRLLRAITFVSTILPSARPWCASARFMVPGHPHPWAQKYYVPYASDANAIRQVIRKDIAYAEIGKYPGDYRPDWGSMSFLIDFLRPTSSEGASWYSLLKKAGGGCNDLLYSGHMLVAVLTAMAWTEAYGGFSSALIWVLVMHSAQREIRERHHYTVDCVVAIYVGILLWKMTGFLWSAKDGTRNSRLTKLDKIQGRLLQAAKDSDMDEVRELLKDVELGSQESQNKGPSRIMWLFACGTVFFSLTIVILAFTHTSDG >OMO54876 pep supercontig:CCACVL1_1.0:contig14876:18186:23402:1 gene:CCACVL1_27501 transcript:OMO54876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MAISVSASTTLPSLNRQSSSTRLSASYYSSKLSFSSSLQFLPIRWRSVSSSPVSSRYRSLVVEAKKQTFNSFDDLLANSDKPVLVDFYATWCGPCQFMVPILEQVSATLNDKIQVVKIDTEKYPKIADKYNIQALPTFILFKDGKPFDRFVTYRCGFSGLALAAVAGEGSGFQALRYCGTAALILAELSGNLAARICSEVKTPKKRNSAEILLQRVPRAHEQDLKKAIGDVMEVKGVCRIQNLHAWNFTNTDVVGTIHLHVSAEMDKAATKAQVSDILHDAGINDLTLQVECVEH >OMO54874 pep supercontig:CCACVL1_1.0:contig14876:7314:12736:-1 gene:CCACVL1_27498 transcript:OMO54874 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MMEWKNQKLCRSNSVLFGSGSLNYPPIMMTSKIGAVVAGVIGQVLEVDEQLGNFIRVRVKMDVTRPILDETTVTSPFGDYEVEFRYEDLPDICRVCGLFDHTSENDCLLATEMRKTQGSVTKKYTARIKPESPWFKSARFGDGDGSFRLGCMGSRQSSPLSISSGVPMPPGREGRSTQQSPSVGSQGRRFRNHVDSMLLRGRSVARPLFPGEVSCEVISRLPENNGGPVAGEVERSVERMVESVTQRGGLVESSADELYGDLNLSARIGEATKVVQQPQPKFGGDFFITESSSNSAARSVQQRRKGKGKQRISWASSTDSIGSSFGSIPGIGRMRRGQGRLGPDLMHLVSGLAAGPSAGPNLINQVNAAGLGENSNAYDPNSPFVFSAGVSIGARKIRTWKKHARASDKYTFEALSKSTAVKVGDKRNSVQPVRTRGVYIADTDAAAGGGGVTGVRGGGVSSSNADGNNGAKAGAGDFNEILSNDEKIGGAMRPQRQMNLFREVVDACGFHDIPVKGSLMTWSRRMGDEIVFERLDRYFATESWLEKFQFSFVQSLLTSVSDHLPLLVHVLERPELECRSRGQFRFEAMWLLHKDIDKVVEEGWNGVVSSDVQQRIRNCGKMLEDWNRRVFGNVKEEVMWRQRAKMSWLKEGDRNSRFFHSVATHRKRRNTIVSIQDEMGHWQTDCVSVENVIVKYYKDIFSSLHPTIHQIHQVTGLVDRRVSTSMSAMLDREFTREEVRVAVFDMEPNKSAGPDGMSPLFYQWFWNIVGEEVLANRLKEVLPHIIGPTQSAFIPGRMIFDSALIAFENVHYMNNKRSGSDYHMALKLDLSKAYDRAEWDFLEGIMRQMGFSDRWIGLVMVSVRTVTYSILINGIQSEKVVPTRRVRQGDSLSPYLFLLCMEGLSSMLQHAERVGDIRGISIARNALRVSHLFFADDSLLFVRTSLAECDAIMRILKVYELASGKFINIDKSAVLFSKNTPEDLRKAIQHHLGVQKILSRDKYLGMPIMIGKSKRAELEVIKDRLWKRIQSWSGRLLSIASKAVMIQAVAQVIPTYLMSCFKFPKSFLHELNMLIARFWWGSTDTKRKIHWKAWDDLCVSKLDGGLGFRDFEAFNLALLAKQCWRLIRNENSLCYRILWAKYFRNNTFMKAKLGHNPSFVWRSLLAGREVLRGGSRWRVGNGYEIDVWSDNWLNKPPTFKPQAQIGTICHATTISSLMDYPGHWDLDLLQELVVPEDVTRILCIPLSILPYRDTLIWNDTANGIYTIKSGYHVARRMLGKEVSSVDGRLSRWPIVWGASVLPKIKFFMWRLLHNILPRKDQLIRSVWELSCPWLMGYLYRWYGREDFWDCLLEKAAQLGSMEIVLTTMWRLWSNRNKSLHENVCSLPSTLCTSVARLLSEVGTAQRRFVEPRQQEGPSTWVPPCLANFKINTDAAYYFISQEAGLGVVIRDVDGIVLFTATARINSVPNALFAEVYAIRFGLILAYSYGLLSAEVESDSLQAVVELNRTDSSLWE >OMO54878 pep supercontig:CCACVL1_1.0:contig14876:34649:37999:1 gene:CCACVL1_27503 transcript:OMO54878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLNGIDVFMGIGAASAIPLLRNSAEILLQRVPSAHEQDLKNAIGDVMEIKG >OMP09846 pep supercontig:CCACVL1_1.0:contig02936:932:991:1 gene:CCACVL1_01029 transcript:OMP09846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSQIRGRRIFLFNNFSKY >OMO89494 pep supercontig:CCACVL1_1.0:contig08700:9778:16500:-1 gene:CCACVL1_07798 transcript:OMO89494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFKRKPFGLAEPPEDLDPNDLVYQVRFTKEIFRDYQEYLNRINLYRQRVWMCKCTGKTNMTFEEALVSEKHASRKVQDLPKEVVEPALRMIQFSMLSLKDLADVIAKKLQKDLFVGAQLYGRKEGGLYPCKVLKVVENGVKETEYEVAWVDKNREMTENAELHGEDLVWKKTPFSRRILKSFIREATCRSIPWVLHENLAQKHGITTDLPLELRSRYFFRDGQLVNRIKKRKNENGKNEELVKYPIDDLLVQPGPDDPLFTDRPSPSRDFSVPMDCVGDLLMVWEFCSSFSRLLHLWPFSLEDFENALCHKDSNLVLIAETHSALLRLLMKDGGEYSLALKNKKRKPKITLITWTEYICDFLEMINITKLSSYLTTIKRGHYGLLDINAKLGVLHELVGHALETDLIRGKLDELIEQRQELGAIRRGEALEFARKRREEKELLKGESGANGLMKENSPESTGSNPHIAETGNPIIETADMVKETSSSRESNDFNNRMQFDCPSKNTENKQMDSKVHAANVTNSYEKDAQRQLTGDKKDAEEKKSKEKREQRRDYFEREMEKRSIRTNPLGKDRDYNRYWWFRRDGRIFVESSDTKQWGYYSTKEEVDALIGSLNCKGERERALHKQLEKLYSKICMELQKRSKDLAHKIALEEAVLRRSTRVRAPPRENPANAFLRRVGNFKLIYVYFYGMYGGLDSGHWLKKDLEALGWSCFDPFILFVTSNR >OMO89493 pep supercontig:CCACVL1_1.0:contig08700:1551:2640:1 gene:CCACVL1_07797 transcript:OMO89493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant MYKNLFNIEDGVAVDAEEVAEDNSEKEDVDWDENLKAYLVRTKAFTEEDHDPEGCLAREKIYESVRRGSRHKALVSREEEGEDDVDADPLEEIFRNIRERELTTTNDEVVEVQRGYESDNYLSDEARSLVNSDGDLDHDDATSRRSRYPRFNFNAAIPEFAKTMVFIDHEQFRYAIKKYSLASKKELRFVKNEPRRLRVKCKVTDSCPWRIYAAWNEEIRAVQNQFKPLKSNGASSGSSHGAGLFKPTAKSSVTHGTKRNSLLQTQLAPKNRLRSKDECAWPHLL >OMO89492 pep supercontig:CCACVL1_1.0:contig08700:115:1194:-1 gene:CCACVL1_07796 transcript:OMO89492 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide repeat-containing protein MNDVIDRAFSKMQTAVTVYSSELKAAVKDCSEACTAIMEDLAEMEDQPESTENVKLIDAGISPKKTNFLTPLPALPPPMEPFKGFLLGGQKISTSVFVNSDSTIKVIPKSSKKCLAFTGRVDAVASFLELPQVWTKLPLDVFIRFRPGGLSSYEHDNIKSSQILYDLFDEIPTPNGVSTMCLALICFPSEPIIYVGDRVDESLLSTSNIAFFNTATTRFVASALSGIGIFILCGCLWLFEAREVCFRMTENLGIAWDAVLMGYIHHNLYIIFQNFVGIRLDEFREISINCGYAPVGLFQFVKLVQGSVLKSLGYIKLSLTEKDQCFLWFFQQEGILLQKETMLVGLILAELEDEVLAIL >OMP11541 pep supercontig:CCACVL1_1.0:contig01183:1679:1759:1 gene:CCACVL1_00455 transcript:OMP11541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCGLPSPSATSLLNLTPSATSILT >OMP11542 pep supercontig:CCACVL1_1.0:contig01183:5170:6908:-1 gene:CCACVL1_00456 transcript:OMP11542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDLAPISIDKTWWVSTLPAVVETSNLLDGFVLLSIFMAVLLAGILTWALSPGGFAWKNGRNQVGQVPIPGPRGPPFFGSLFSLTHGLAHRTLSCMASSQAATKLMAFSLGSTPAVITSDPQIAREILTSPHFANRPVKTSAKMLMFSRAIGFAPNGAYWRLLRRISSTHLFAPKRIAAHESGRQLDCAAMLCAIAEEQSSNGIVMLRKHLQAAALNNIMGTVFGKRYDLKGNNDEAKELHEIVREGFDILGAFNWSDYLPWLSFFYDPCRINERCSVLVPRVKKLVNQIIEEHHYNQSRNIVADTSDFVDVLLSLDGDEKLNEEDMVAVLWEMIFRGTDTTALLTEWIMAELVLNPDIQTKLHQELVDAVGDKSVTDADVAKLPYLQAVVKETLRLHPPGPLLSWARMSTSDVHLSNGMVVPADTTAMVNMWAITHDPNVWEDPLIFKPERFVKSLGGVEVDVRGGDLRLAPFGAGRRVCPGKNLGLVTVGLWVAKLVQHFQWVQDVANPVDLTEVLKLSCKMKNPLCTVAIPRDCV >OMO49977 pep supercontig:CCACVL1_1.0:contig16331:13297:17141:1 gene:CCACVL1_30731 transcript:OMO49977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRATLSGTVGYASVHGHLGRTVSRRDDIESLAYTLAHIPWQGYQGDNKSFLVCKKKMATSPEMLYCFCPPPRKQFLEIVWISVYNARLPMKQRYHCNVADARLAQHVEKGMADGTSCVASCTSLWALIMDAGTGFTSQVYELSQSFLHKWEKNYYISSIAGSTSGSSLVVMSKERGFMSPLWQLLEAGEVLLCLAMQVVELDFLYPSEGIHRCWDSGYRITSTAATSDQAALILSIFKHKPGDETQETLRTSQFPSTHIKDKWAKICLSMLQKDCILPV >OMO95913 pep supercontig:CCACVL1_1.0:contig07576:29113:33146:-1 gene:CCACVL1_05180 transcript:OMO95913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETQTPISINATNQLPLKLTSKNYSSWRAQFDALLYGFDLAGYVDGTIKAPAKKIQKDGITIANPKYSFWLRQDKLILHAIIASSSEAVLPYVASSATSHDAWQKLLKLFANKTRSRIMDLKSSLTSTKRNASSVAEYFQKMKQIIDELHLTGTVIEEDDQVLYILNGLGPEFREISTAIRARETPISLEELHDKLVSFESLLRQEADSYNVQISTANLARRGPNRNFQQNNRRFNKPSNGGNYQRGTGSSYPNKITCQICDKLGHSARQCRQGGSFFSSRPSANYASIASFGKAWCLDSGASDHVTADLKNLSISTEYDGTEAIQIGDGSGFADMSTNAAETPPHTSEHNGFAERRHRHVIETGLTLLHQASLPLEFWSYAFQTAVYLINRMPSPNLKFQSAFQGMEKSFTPTPAPDLEVTRAPLNTSLEAQTNDTSAETIPEPVVQEEIKRNPDNSISKYKARLVAKGFHQRPGIDFSETFSPVVKPTTIRIVLSLAIQFNWELLQLDVNNAFLHGTLSEDVFMKQPLGFIDSTHPDYVCELQKSIYGLKQALRTWYTALSSFLIKKKYMKDLLERSKMLESKPVTTPMATSAASLTLEMGNKLSDASEYRSIIGALQYLSLTRPDISFSVNKLAQFMHQPTEVHWQTLKCILRYLKGTLDTGLLLSNSDSNSKHFMDLRAYADADWAGDTSDRKSTSAFLVYLNGNLISWKCNKQKSVARSSKEAEYKAIASAAAELAWIENLLSELKVKISTSPTIYSDNIGATYVSANPALHSKMKHIAIDFHFVRDKVQAGALQVQHVSTHDQLADLLTKPLSKQKFQLLASKIGVSSSSTPS >OMO95912 pep supercontig:CCACVL1_1.0:contig07576:11104:20862:1 gene:CCACVL1_05179 transcript:OMO95912 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing protein MELADLESLELFSRHDFETNHPPHGFFMLSNWMVNYAKGIPLALKVLGSALQKHPSRYWERVKRKLLKQVSYTNIENVLKSSFEGLDAEQKSIFVDITCFFNRDDRNHIEEILAPTYLSIENGIEDLINKSLITHSDKRLKMHDLLQEMGRNIVRNEFVKPGKRSRLWTPEDVYHVLINNSPSYLYWEEYPSRYLPSNFNPKKLVEFSMPRSNLEEFLDGSMDLVNLKKINLSYSKISHTINIFPGTPWLRELDLSGTPIQEIPISVGCLHKLVDLSLNHCTVLNKLPSSIYLRSFPKILEKMESLQVLSLEETGIRSIPWPVTNLINLKYLGLRGVQSIQRSSVLNAIQKSFRVNSAARDCSDALNAFVKVSLRDCPALTRCVDKYEALSPNFYQWERAMQMALLSKNKLDFVDGTIPVPASTAPLFLAWKRCNNLVISWLVHSVSASIAQSILWLDSAPAIWKDLKARFGQTNSLCICDLQTEIHSCVQGSLTVHDYFTKLKILWDEFQLLRPIPSCACQPICSCGLSKIREYFDNDQVMVFIKGLNESYSTVKSQILLMDPLPTLNKDARSEIVVNAHRTIQLIAAATSVEILLLFPSRKSLKGAKALFSFPTTEIPAWFSYQSIGSSVSVQLPPGWQNSQFLGFEFCVVVESPEEYVSDHRYTELFCVMGKRLLSSYKVHFWAPTFKWCINIANLVDISTRPAETVSCPQQAALACSGLIWARYSTVITPKNWNLFSVSIAMVATASYQLSRKLQHEFSTTKSTVAKEY >OMO70661 pep supercontig:CCACVL1_1.0:contig11799:43781:45365:-1 gene:CCACVL1_18717 transcript:OMO70661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase MLIFFRCCGSSKGIGEKERTLGIIKPDGFSGNYTDRIKQMVVEYGFNINREMVIQLDEELAAKFYAEHSSKSFFSSLIKYMTSGPVLVMILEKENAVAHWRDLIGPTDAGKAKLTHPQSIRAMCGVDLEKNCLHGSDSHQSAEREIAFFFKETPSDEAVEKHDEL >OMO70668 pep supercontig:CCACVL1_1.0:contig11799:96173:96652:1 gene:CCACVL1_18724 transcript:OMO70668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATIISDPMVVSAPAPETQATSAKLVAQSEVEFAKCDCCGLTEECTPGYIERVRERYQGKWICGLCAEAIKDEIIRSERLISTEEATARHMNFCKKFVSSEPPPDPTIHLISAMRSILRRSLDSPRSTPTSPTRSKVGEIRGPALTRSESCFPTLSGS >OMO70659 pep supercontig:CCACVL1_1.0:contig11799:27317:32083:-1 gene:CCACVL1_18715 transcript:OMO70659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFGWMQNKLNGKQGSCKSNTISSSSASHQKKQEPREEFSDWPHGLLAIGTFGNNDLKEKPPQDDESDIQEEEPSSSDDLHEFTAEEVGKLQKELTKLLSRKPDVEKELANLPLDRFLNCPSSLEVDRRISNALCSDSGDRDEDIDRTISVILGRCKDICAAENKKKAIGKKSISFLLKKMFVCRSGFSPAPSLRDTLQESRMEKLLRVMLHKKIYNQNPSRASSMKKYLEDKQSSKRQNNNNDNQDETQEIRKSEDGYKWVKTDSECPEDIYLSTSLASYLDKKLLVLLRDGRKLMGTLRSFDQFANAVLEGACERVIVGDLYCDIPLGLYVIRGENVVLIGELDLEREELPPHMTRVSAAEIKRVSFEPFLFFGIYDCMVASTP >OMO70672 pep supercontig:CCACVL1_1.0:contig11799:115782:118118:1 gene:CCACVL1_18728 transcript:OMO70672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISFFFFLSLLLIPFSSSSSSDRPENFIVHVSKSHKPALFSSHHHWYSSIIHSLPPSCHPAKLLYTYERAINGFSARLTAAQAEKLEELPGILSVTPDQVRQIHTTRTPHFLGLSDGVGLWQNSYYGDGVIVGVLDTGIWPERPSFSDSELSPVPDTWKGICETGPDFPASSCNKKIIGARAYYKGYEASGNSIDETNDSKSPRDTEGHGTHTASTAAGSVVSNASLFEFAYGEARGMATKARIAVYKICWSFGCYDSDILAAMDQAIYDGVNVISLSVGATGYAPQYYRDSIAIGAFGAMRHGIVVSCSAGNSGPDPYTAVNIAPWILTVGASTIDREFPADAILGDGSIYSGVSLYSGEPLGDSQLPLVYAGNAGNKYCYMGSLSPSKVQGKIVFCDRGGNGRVEKGGAVKTAGGIGMILANLADSGEELIADAHLVPSTMVGEKNGNKIRDYIKTSQNATATIVSRGTVIGTSPPSPQVAAFSSRGPNIRTPEILKPDVIAPGVNILAGWTGYIGPASLDIDTRRVDFNIISGTSMSCPHVSGLAALLIKAYPNWSPAAIKSALMTTAYSFDNSGNTINDLATGEESSPFVFGAGHVDPNKALNPGLVYDIDENEYISFLCAIGYDSDKIAVFVKDSTSSDLCKDKLDSPGNLNYPSFSVVFDSNDHVVKYKRTVKNVGNLADAVYEVKVNAPTGVEISVSPSKLEFSEENQTLSYEITFSSDALATTISKDGLALYAAAASSQAFGSIEWSDGVHLVRSPIAVRWLQGLRDSI >OMO70658 pep supercontig:CCACVL1_1.0:contig11799:24036:25774:1 gene:CCACVL1_18714 transcript:OMO70658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNDNKLAQLYEAALSGSVPTLTSLIGNDPQILERVSLSPNPETPLHISALAGHVEFTKALLTRKPELASRLDSLKCSPLHLASAEGHAEIVKALLQVDKNVCLVADAEGRIPLHLAAMRGNVDVIQQLVKAQPKSIREKVNGNYTVLHLCVQYNQLEALKLLVTLVKGNHGHLFDFRDHGGNTILHLAVMLRQSETIRYLVSILETKAEVNTLRNNIGMSALDVLDYGARDFKYLEIRDILPTAVGVGENNIIITKNSTSGENQMEQEGGAGGGGADGFMSKLRRKLSFGYRYLFKRLDDDWVKNMQKSLMVVATLTATMSFQVATNPPGGVWQEHYNSTTMEVCKLGKNNICHAGTAVFGYTHPDDYQLLMNCATLSFVTSLVVVLLAISGIPLKNKLCTWLWILAMVVSISSTIATYFLAMVMLAPAPVNDYKILKAWTRPFARPEGKGFRTWFVIVGVALVYAAIRLLLWLHSKRDYILSCFRSNNTSPSRPKLPISNI >OMO70666 pep supercontig:CCACVL1_1.0:contig11799:81651:81716:-1 gene:CCACVL1_18722 transcript:OMO70666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGQEGKGRQKRTKKIKMKK >OMO70665 pep supercontig:CCACVL1_1.0:contig11799:72024:77239:-1 gene:CCACVL1_18721 transcript:OMO70665 gene_biotype:protein_coding transcript_biotype:protein_coding description:von Willebrand factor, type A MAGDFSTSVSCGLMLSKRIYYGKGASPAPAVMSRTLSSTESYLPTALMSYAVVPEPAAVDNPDVPSYQPYVHGRCEPPALIPLHMHDISMEIDCCMDTAFITVTGAWRVHCITAGRRCDCRFAIPIGEQGSLLGVEVDIPERSYNSKWVTLSDSKDTEKIAKAGDGYYLTPQIYTFKVPQVDGGSYVSIKVSWSQKLSYRDGQFCLNVPFTFPAYVNPVGKKILKKEKIQLNVNSGIQTELLIKCTSHPLKELTREVRKLSFIYEADVKAWSTSDLNFAYTVSPSDILGGVLLQSPTLGDFDEKEMFCFYLFPGNNQNRKVFRREVVFVIDISQSMQGHPIENVKSALLASLSNLNPQDSFNIIAFNSEIQLFSSNMVLATHGSIENATQWLTNLTAAGGTNIMLPLKQAMKLLSDAGDSIPLIFLITDGSVEDEREICNVMKGYMTSGKSVSPRICTFGIGLYCNHYFLQMLAQIGRGYYDCSYDADNIELRLERLLTTASSVVLANISLEIPGNLDSLELFPSPIPDLTLGSPLIMSGRYKGDFPDNIKVKGLLANMTTFAADLKVQNAKDVPFDRILTKRQIDIVTCHAWLSESKELEEKVAKISLQTSFPSEYTCLTLLQTDSGKKVPETILVQEIFNKINLSRKEDPNSQKIVFVGCLGIGFGNLTATAKNIAPGTEEPKAPEGAEILVKAASNCCSMLLDRFCCMCFIQACSRINNQCSIVFTQLCTGLACCECLNCCYELCACF >OMO70657 pep supercontig:CCACVL1_1.0:contig11799:8284:10322:1 gene:CCACVL1_18713 transcript:OMO70657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTRLDEEELVMSCNDNKLAQLYEAALSGSVPTLTSLIGNDPQILERVSLSPNPETPLHISALAGHVEFTKALLTRKPELASRLDSLKCSPLHLASAEGHAEIVKALLQVDKNVCLVADAEGRIPLHLAAMRGNVDVIQQLVKAQPWSIHEKVNGNHTVLHLCVQYNQLEALRILVTLVKGKRLFDFKDHKGNTILHLAVMLRQPETVRYLVSILETKEEVNSLNSIRMSALDMLDYAARDFKSLEIREILLRAALHVGGEQEQEEGAGGCTVGYIGKKIKEGLGYLFKHQDDDWVKSMQKTLMVVAALTATMSFQVVTNPPGGVWQQDYNNTEIPGVCNKTSDGICHAGKAVLGYKEPSDYLLLMYFATLSFVFSLGVVLLGVSGIPLKNKFFTWLFILAMLASISFTILTFVDALVMLVPRPPVDKPGFNTLSRFIDAWIGVLGVVLIFATIRLLLWFKKYIPGWFGCNKSPNRRSIGI >OMO70663 pep supercontig:CCACVL1_1.0:contig11799:53514:55764:1 gene:CCACVL1_18719 transcript:OMO70663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTIASGVVFTEISSRLTNRSRSLSDNDKDFWNTFELRALVVLSLSMHVILIGLGYGKNKKGKAWIHNLTWISYHVGDWVATVSLTTLLKRQKKEINAVEVFWAPFLLLHLGSAETLAAHFVHEGELWLRYFVGLLIQSGIAFYVYWTLHTGSATFNYIANLIFIAGIIKCAERIWILRSSSFNQLRNSVLSASPPKISASVTDDDKSYCKNMPRPKKLQEYLSDKNIIQEGRYLHEAYLSFKMFRPLFWDLKLGIYKRLSYIFQLDQSMTAKEAFKMIATELGFLYDVLYTKTTLCTSVTGLILRSIFLLFSLTAFIAFSLIISKIRYWYTDIIITYLLLLGAIFADSLALSSHIFSKWTVRRLTTTSNTRLQKCFNKTVAYWLKYRKGRKGIKCMAQHSLLTHCLKTKFNAGLQIIGVSKILDFFQFDKRVKVDLELKEFIFCHIKKKREQYEKQSFELDSLARLMDNGAYELLKSRKLNEELGWSIKEIEFTHTLLLWHIATEILYYNHRRKYPFGHLNQHCRLSKVLSDYMMHLLVKRPFMLHIGIGELRYRDTFSEAMKFLQQEMRIQSAELAATTLLGLDVQCRVFLLQMRGEGKSVFFDGCRLAKELQSLVREHRWDYEEMWEMIKVVWVEMLISAAYNCNWKEHAKQLGHGIELLTHVTLLMAHLGLSKHIRMTELPQELEQIEGYNPPWDWEKLNQLAYYLA >OMO70664 pep supercontig:CCACVL1_1.0:contig11799:69487:71373:1 gene:CCACVL1_18720 transcript:OMO70664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNFSVASSLAYTEISTRFRSRSSHSAEEKQFCNTFELRSLIVLSLTMHAILIGIGYGKNKKGKTWVHHLTWISYNVGDWVATVSLTSLLKRQKSEVSAVEVLWAPCVLLHLGSAETLAAQFVDEAQLWIRYFVGLLIQCGVAFYVYWKLHSGTATFSYIADLIFIAGVIKCGERIWILRSSSFNQLRKSVLSASPPKSPASVTDDDFRYYSNTRRPLKLDDYLFHKNIIREGSCLHGAYLSFKMFLPLFSDLKLSIYKRLSYLFNLESMSTQEAFKMIATELGFLYDVLYTKTTLLSSVHGLILRSIFLLLSISAFTAFSVVVSKHKYSCTDTTITFFLLLGAVFADVLALTAHICSKWTVRRLTINSNAKLPKCFNKAVTSWLKYRKGRKGIKNMAQYSLLEICLKTKVNKFTAAVKILGIENVLELENFRFYKRVKVDLELKKFIFAHVKKKREQYEAENFESTSLSRLMDNGAYELLQSKRVDAELGWSIKELEFTHSLLLWHIATDILYYNHRRNHPDGHVDLPCRISKLLSDYMMHLLVNRPFMLRRGIGELRYRDTFSEAVKFLQKEMRIQSTNSAAATLLGLNVQCRVLFFQMGGEGKSGFCSFRWEVKESQCSLVDVG >OMO70671 pep supercontig:CCACVL1_1.0:contig11799:112606:113443:1 gene:CCACVL1_18727 transcript:OMO70671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSESSFGAPAVSPRSPPGSLDFYGKRTQMVKVQALEREIGLLQDELKSVDGLQPASAYCKEVDDFVGATQDPLVIMYMP >OMO70667 pep supercontig:CCACVL1_1.0:contig11799:85419:89130:1 gene:CCACVL1_18723 transcript:OMO70667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MATATMATAAGAAALLYYTMNRKLQVSRSGEDDNENGSDLSSNVPLGIERVSHRLVQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGINFLLKRQGHLHVASVFGGKDSVELKGPEITAELKYLLHLLTLCWHFSKKPFPLFLEETGYAEEDVLLQEPKAGILKPAFTVLIDHKTKCFLLLIRGTHSIKDTLTAATGAVVPFHHSVVQEGGVSELVLGYAHCGMVAAARWIAKLATPCLIKALGQYPTYKVKIVGHSLGGGTGALLTYVLREQKELSTTTCVTFAPAACMTWELAESGTGFITSVINGADLVPTFSAASVDDLRAEVTASAWLNDLRNQIERTRILSTVYRSASALGSRLPSIASAKAKVAGAGAILRPVSNGTQVVMRRAQSMAQAALKRPGINLSSWSCIGPRHRGTAARSNSKEEGSSQESSPNKEAASEALLTSPQRHSSSSTIEAIELPVSSSQVEWTSEIVECSYSDDPHHDGDADLDDVEDLISHHSHEDRMNEVELWQQLEHELYDRTEGEEADVANQIREEEAAAIAEVGEGQSDSSVPETKEVHRFFPAGKIMHIVTHQPDPVESEGNSPTSRDSDNSQRTTEAKIGIFLTPRSLYSKLRLSQTMISDHFMPIYRRQIEKLIKELEEEQALNDQES >OMO70673 pep supercontig:CCACVL1_1.0:contig11799:120978:121388:1 gene:CCACVL1_18729 transcript:OMO70673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDQQNTPKTPFKTHLSTAPQSHLTRRRLIFLRRRNKLPTVRLGGKKPRRGLFIVKVLKKIRLKWLKLQYTCMLRNLRNYYRNLIKDIIEAGATVEAMQQRMFMESTFAVPVMGVSFASFPSASDRGRTRPVLFF >OMO70670 pep supercontig:CCACVL1_1.0:contig11799:108766:111119:1 gene:CCACVL1_18726 transcript:OMO70670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger membrane region MAKQGTRKFEPEKGTSADFFCCSLEKLSQLLRLPPTVAGVALLPLGNGAPDVFASIAAFLGTDTGEVGLNSVLGGAVFVTCVVLGTVSLCVAEKGVQIDRRCFIRDICFFLFTLLSLLMILVIGKVSVGGAIAFVLIYVVYAFSVAANEILRKHARRLKLDAVTPLLPVRGSPFLQASEEEVMVYNSLLDMDTEDDPPQLPSSLPQWMWASNVAIYSNHFMKLSAVDEERPPWGWTEEGMETNDSSFSCSKLISLLELPLIVPRRLTIPLVEQDSWSKPYAVASASLAPVLLAFLWNTQGDVGTPSCVIAWVIGIAVGCTLGVLAYQHTISDYPPRRSHVQHTCWSGYLNAARSGFKESFLLLGSTGQQLVLYHGVSHVRANVGTYRFASERHAA >OMO70674 pep supercontig:CCACVL1_1.0:contig11799:126271:126330:-1 gene:CCACVL1_18730 transcript:OMO70674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCAVRSTISTVNIGPPA >OMO70660 pep supercontig:CCACVL1_1.0:contig11799:40309:41891:1 gene:CCACVL1_18716 transcript:OMO70660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSQGLGQAEQDIDLIIYKELSGIFSLDESMTAKEAFKMVDAELDFLFDILYTKTMILQIQFGFILRFIYFLSSTGALVAFSYANTCQQNHSKLEVSITYLLLLEASFADSIAAVSHLLSNWTMQWLTTPTTWQHLPKFLRKQIAWCLNLKMPMQGQGVKFMGQHSFLNYCLKAKVNKFNAAINNNMVTTRRILKVFWNIQQHAWVDVNPSWAQVDPDLKDLILSRLQQKCKKYQQQGFKFSLLPHLAKKGASDVLKDKGKLFLQTEVRPRLCTTTSALLRAHITEPKYGPGIPDVINIHDELQWSINNGISKLRYKDTCFEAMELFAREMETNSKDLTEVATTLLTLDVEARTFLFEEHGQAGKSVFFKGCELAKQLQSFVVNARWDAEELWEMINCVWTEMLFSAAYDCDWKEHAFQLGQGGECSVMWPSSWHILA >OMO70669 pep supercontig:CCACVL1_1.0:contig11799:102337:106757:-1 gene:CCACVL1_18725 transcript:OMO70669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFARKDYEFLSEIGLSSSNLGCYVNGSWKGSGPVVSTVNPANNQKIAEVTEASLQDYEEGMQACNEAAKIWMQIPAPKRGDIVRQIGDALRTKLHQLGRLVSLEMGKILPEGIGEVQEIIDMCDFAVGLSRQLNGSVIPSERPNHMMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKLVAGVLEKNNLPGAIFTSFCGGAEIGEAIAKDKRIPLVSFTGSSKVGLKVQQTVNERFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLLLHESIYERVLDQLLEVYKQVKIGDPLEKGNLLGPLHTSTSRKNFEKGIEIIKSQGGKILTGGGLIESEGNFVQPTIVEISPTADVVKEELFAPVLYVMKFMTLKEAIEINNSVPQGLSSSIFTRKPEVIFKWIGPQGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGSELPLAQGINFG >OMO70662 pep supercontig:CCACVL1_1.0:contig11799:48445:51033:1 gene:CCACVL1_18718 transcript:OMO70662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTNRLELAFSNIFGGKKVGIHQLGIDLTWIGHKLEIKKYLSMLLSIASGVFFTEVSKWAADRSGLPGPSKEFWNKVELCSLVLLSLFLHCVLIWFGGYGSNRKGNRSIIFLAWLAYFLGDWVATASLTTLLKRQLQKLNAIEVLWAPFILLHLGKAETMAANYFEESTLWLRYLIGLLIQLGIAIYIYWRFPTKHFGFTFLATLIYIAGIIKCGERIWILSFSSFEKYRKSMLRAPAPEIPHSSEGKFDIPKHELLVAYLQRKGLSQEAEHLHQAYLSFKMFVPLFSGLKLRIYKKLENIFNLQESLSAEEAFKLVDIELEFLYDMLYTKTVILNSVTGLILLSISLFSCVLGLIAFTGVIARKSYNNLDVAITYLLLLGAVSADTYALLTQLLSKWTMRRCTKPGSKKRPKLINKVINHWLEYRKKGRGIKSMPQSSLLKYCLKSKAITISAVAKKLHLGNTVIESFLHQLDMTVQRAAWFTWINVDLELKDFIFGYLKEKRRQYKEKQFEFKSLTYLINAGAYELLRRKQIHEEVGWSLKDLEFTHSLILWHIATDILYYDQKRRYPNGSFSTHCRISKHLSDYMMHLLLKAPFMLRKGIGEIRYRDTCMEAVKFFNQEMKLQGVRLAATTLLAIDAECRGFLFQMKGQGKSVFFAASALATSLRKLSRDENWRMDEEEVWEIISCVWVELLVSAANHCDWKDHKMQLRHGKELLTHVALLMAHLGLSKHIRMTDPPPQLEAEDGYNPPWNWAELNRLAYYLA >OMO63322 pep supercontig:CCACVL1_1.0:contig12994:15441:21340:1 gene:CCACVL1_22420 transcript:OMO63322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrogenase, E1 component MALSPLSLKKKLSPLSENLASLYKGRESKKRLATSEFSSIFQLIEEIPHAVGVAYSLKMDERDACVLTYFGDGGTSELHMI >OMO63321 pep supercontig:CCACVL1_1.0:contig12994:14071:14145:1 gene:CCACVL1_22419 transcript:OMO63321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRSCHRELKLKSLDMAKSEAKA >OMO63323 pep supercontig:CCACVL1_1.0:contig12994:36427:42272:1 gene:CCACVL1_22421 transcript:OMO63323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSLVNNRSSIIVVATVLANSGNLLTAPPTP >OMO53311 pep supercontig:CCACVL1_1.0:contig15231:14965:15399:-1 gene:CCACVL1_28725 transcript:OMO53311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type METTVKEIASLKAVDMIEEIDKERLDDMEAFLAWTRALETDRDLETTLMEVKYEVAVELAKILSQTIHPALGGTNAVQIINEKEDHEEEICGICQEEMVKGEQVRGMIDCSHEFHLTCLFKWVDLKTICPLCRCPMNTRHTYHF >OMO53317 pep supercontig:CCACVL1_1.0:contig15231:32988:33513:-1 gene:CCACVL1_28731 transcript:OMO53317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMKQAKIGFLMRWVKSDNSKRQEKRGNRATKKRKPPPPPLKGKQSQKEYFVYLNKAVPA >OMO53316 pep supercontig:CCACVL1_1.0:contig15231:32179:32241:1 gene:CCACVL1_28730 transcript:OMO53316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSRRRKGQGGGDLGFRKN >OMO53313 pep supercontig:CCACVL1_1.0:contig15231:21915:25797:-1 gene:CCACVL1_28727 transcript:OMO53313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLSPPTPLQFLRDFVSQNKPCIISNAISHWPALSLWLNPSYLSTVLSSSLVSLHLTPHGNADALVPHPLHPSSLCFVSAHVQPTPFPQALDLICQPPDHLVAYLQQQNDCFRTEYGELESDCDDHIPWASDALGCLPEAVNLWIGNHLSETSFHKDHYENLYAVVSGEKHFLLLPPTDVHRMYIREYPAARYSYSEESEEFCLELEEPQRYVPWCSVNPYPPAGTEKQERSKFPLYFNGPKPFEVTVKAGEILYLPSMWFHYVRQSPGEGGCTIAVNYWYDMQFDIKYAYFNFLQSLPYPSTDSPKMPESECEVSGSHASPNHESAAKDVEFHITPEPNKLQIQLPLRIPKQSSKPTPTPTPSPAPPPPATSPIPKTPSSSATISDVLRLMDSLSLPIPPDIYASLIKECTLTRHSRTALHLHSHIKKSHIKPSLPLLNRLLLMHVACGHLDIARHLFDQMLPRDFNSWAIMIVACVHAGEFEQAIDYFVLMKRHNVMLKFPAWIIVCLLKSCVLVKNMELGKQLHGQLLKLGVSNDLSLSGSLIDFYGHFKCLDDANFVFSQLSRHNTVTWTAKMVNSCREDQFNKVFEDFNEMGRRGIRKNGFTLSSVLKACARMDDNGMSGRQVHANAIKLGFESDVFVQCGLIHLYGKCGMVRDAEKAFELVGDKRNVACWNALLVGYVHNQLCVKAIKLLYRMKEAGIKVEESLVKDVRIACARRNMTDKLF >OMO53318 pep supercontig:CCACVL1_1.0:contig15231:34512:35072:1 gene:CCACVL1_28732 transcript:OMO53318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin biosynthesis MoaE MNSEEKTLVEIIDENKQIDLAKYINYVSAPQAGAIATFSGTTRDTFEGKTVVELRYEAYVPMAIRNLKSTCSSARSSWDLHSIAVAHRLGTVPVGETSVFVAVSATHRADALDACKFLIDELKASVPIWKKEVYSNGEVWKENAEFLQRRLELGKDGGCCRKKMETEAHEQKGCCKPKVKVEDATD >OMO53310 pep supercontig:CCACVL1_1.0:contig15231:5622:5747:1 gene:CCACVL1_28723 transcript:OMO53310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRLGAVEAVAMLFVISRLPVPRLVRDSKDRPTSWEGDRGSP >OMO53319 pep supercontig:CCACVL1_1.0:contig15231:35476:37886:-1 gene:CCACVL1_28733 transcript:OMO53319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVIVPQQGNNGEAKQKNLIVEGRNRRVLQDIGNFVNERAAHGKKPITEGVAAIALAGKGGAGGGVTKVVGAQRKVVDKPNPENVIVISSDEETEQRRPVSRREGCSRKDVKTLTAILSARSKAACGLANKPKDSIEDIDGADAGNELAVTEYVDDIYNFYKLTENDGRLHDYMGLQPDINAKMRSILVDWLIEVHRKFELMPETLYLTMNIVDRYLSMRVVPRKELQLVGISAMLIACKYEEIWAPEVNDFVFISDNAYARQHILVMEKAILEKLEWYLTVPTPYVFLVRYIKASTPFDDKMENLVFFLAELSLVQYPTVVMYCPSMLAAAAVYAARCTLGMSPLWSGTLKHHTGYSEDQLKNCAKLLVKFHSTAAESKLKAVHRKFSSQDRNAVALLPPARNLLPVPDGELF >OMO53314 pep supercontig:CCACVL1_1.0:contig15231:30481:30543:1 gene:CCACVL1_28728 transcript:OMO53314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASALHGHRATSYPVLTC >OMO53315 pep supercontig:CCACVL1_1.0:contig15231:31051:31194:-1 gene:CCACVL1_28729 transcript:OMO53315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQNQIPNNIDLFDAYFRKADLDGNGKINGTKAIAFSQGSNLPKHI >OMO53312 pep supercontig:CCACVL1_1.0:contig15231:15880:16356:-1 gene:CCACVL1_28726 transcript:OMO53312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MDIVKEIAGMKAEILDRLSNWGRYAELDPSSSNYNEEEETNKCEAMTKELAMSRARESNLDYETTLLQVKLEVGIELANILSRNLHPVFTTTSAVMIEEDGQVCAICLENMEKGKEARAMENCRHKFHLHCIFEWVNKKANCPLCRGVMETQSHARND >OMO71189 pep supercontig:CCACVL1_1.0:contig11710:13325:15669:-1 gene:CCACVL1_18379 transcript:OMO71189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRSQLSRNLKELRILFCQKSPSSAPTRSFVESNYKELKTLNPKLPILIRECSGIEPQIWARYDMGIERGIRLEGLTEPQISKALEDLVEAGASLKGASGKKA >OMO71188 pep supercontig:CCACVL1_1.0:contig11710:10368:11054:-1 gene:CCACVL1_18378 transcript:OMO71188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MGKSAADEKILSYNDVVLRRSDLEILSGPYYLNDRIIEFYFSFLSSTHASQDILLVPPSIAFWMTNCPDVGGLKDFVEPLKLPDKKLVIFPVNDNDDVSVAEGGSHWSLLAYYRSAYVFVHHDSSRQMNKSNAMRLFKSVAGFMGDPSSACNAKYLECIDTPQQVNGYDCGLYVTATARTICCWHESSQNKDATDLWFSAVKEQVNPSVVSEMRKEILGLIKDLMAKE >OMO71187 pep supercontig:CCACVL1_1.0:contig11710:4024:6838:-1 gene:CCACVL1_18377 transcript:OMO71187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate dehydratase MATATSLVRSPTTSLSGKFTLSDQKPPTPPGQLPQYPTQRRRFTPLFASFHDDSSSNSGSKKNAPAVELEKLLDDAPYDVVSKDSPLHPRPLSSTQFSNSVSDGSRLRVAYQGVRGAYSEAAAEKAYPNCEAVPCDQFDAAFEAVEKWIVDRAVLPIENSLGGSIHRNYDLLLRHSLHIVGEVKLAVRHCLLANHGVKVEDLQRVLSHPQALAQCENTLTKLGLSREALDDTALSAKYVASKKLKDTGAVASSSAALIYGLNILAQDIQDDCDNITRFLILAREPIIPGIEKPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRNQPLRASDDCNNGSKYFDYLFYVDFEASMADQRAQNALRHLKEFATFLRVLGSYPVDTTMI >OMO62636 pep supercontig:CCACVL1_1.0:contig13229:29805:30515:1 gene:CCACVL1_22711 transcript:OMO62636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSEHKIQPLETSKQIMSATSTSPTSSSSSSCKKKKFKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPDAAARAYDAALLCLKGSSANLNFPITSSHYIPDTIMSPKSIQRVAAAAANSFVDNATINTTTSASAATPPISPPPPSTSSSYSSSVSSPSMSSSPISDFQVDDDVSLIQSSFDEPSIPMMEPWYSSFDSLQSPKYVDQMFNVASFDPPTLIDDFYEEGDIRLWSFC >OMO62635 pep supercontig:CCACVL1_1.0:contig13229:16680:19967:1 gene:CCACVL1_22710 transcript:OMO62635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20 MPILRSLFFFLFLFFSVHSEEDTPIARFRRYLQFNTAHPNPNYADPVSFLISQADSIGLQARTFEFIPSKPVLLLTWPGSDPSLPSVLFNSHLDSVPAEPDKWSHPPFSATLTLDGKIYARGAQDDKCIAIQYLEAIRNLKARGFVPLRTIHISYVPDEEIGGFDGSAKFVPSKEFEDLNVGFVLDEGQASTGDEFRVFYADRSPWNLKIKASGAPGHGSNMYDNSAMENLMRSIEVITKFRDSQFDVVKAGEAMNSEVISVNPVYLKAGITTPTGYAMNVQPSEAEAGFDLRLPPTVEPDLIKKRIAEEWAPARRNMTYEYYMISFGIGSYHPLMIIEKGPLRDYMGRPLMTLTNDSNPWWPVFKQAIEAAGGKLTRPEILASTTDARFMRERGIPTLGFSPMTNTPILLHDHNEFLKDTVYLRGISVYESIISSLSSFKGESHKMHSTS >OMO62370 pep supercontig:CCACVL1_1.0:contig13276:7190:23751:1 gene:CCACVL1_22869 transcript:OMO62370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7e MDPILAGAAANLSSEAAKGIFEEIKRHIRYVIIYKKNVEKFEERHKMLIAKRTSVQQEIDVAQRNVEKIKADVEFWCERVDKKMEEKEKKVKELEDKAKNKCFIGLCPNIKSRYQLSRKAEEDATAFDELIRQCQFNGSVGYRDVPEAMVGAYSKDFEAFGSRQKVFDDVMEALKDDTISMIGVYGTGGVGKTTLVNEVARQVEQLKLFDWVVMVALPQKPDIQKIQDEIAELLGLDLREKSTVVRARRLCERLMLEKQKGKKILIVLDNIWKKLDLEEVGIPNEDLLLNETNMQMNVDQDVPSSNVTTAAKHKWCKILLTSRDHDVLSAEMEVKTTFPVGILKEDEAWDLFKKKAGDGVESPELRHVAIKVAQKCAGLPVAIATVARALRNKPLFAWEDALNKLQTPSSTNFTGISAEVYSAIELSYNHLESDELKQTFLLCGLLGSDATFEYLLRYSMGLGLFHGVNTVENTRNRLLTMVSKLKASSLLNDSYLNEGVNMHDLVCDVALAIASRDNHVFALGPGDVLEDWPDAETMKMCHKISLRWARINKFPSGDELNYPQLSFFYMGSNESSVELPTNFFQKMENLKVLDLTAMHFSSLPSINLLTKLQTLCLDHCLSLGDISFVGEIGSLEILSLVNSNIEMLPEKIGQLVKLKILDLSGCSKLKIIPPGFFSGLSKLEVLYMGNSFVEWEAEEDANQGSNASLSEFKNLSCLTTLHVEIPSPKLIPKDLFFKNLQRYKICIGEAWDGFDKNEHSTALKLKLNAGIDSLADGVRILLKKAEALYLDDVKDVKFVLSSKLGDRGKFPHLKNLYIQNGLEIQYILDLDSDHAADKVEFLHLKTLTLQYLPKLISFCPRRKWGSSTLIPQVEQCPLLNEQIVFPCLEELQLISMNIAKIWHDQISDTSYFCTQKIKRLIIKDCGNLEHLFSSSMARSLVNLAELEVTGCKSLREIISTKDIKEESNVRISFRLLFTLRLIDLPHLIRFCSENHRIEFPYLILLEIDGCPKLMRFMNQSDSEETQCFPTQALLDEKVVCPDLRILRLNSMNIQRIWHDQVPEISACAGNLNRLYVKGCHNLRCLFPSSMLESLTQLERVRVSDCWNMEEVISTEEGNNRQLIFPKLDLLYLNELPKLARFGNGSYLEFPLLRWVRLENCPTLETFISDSTRASETHVCHEKEGNSSQINFPPFFNEKVAFPQLEELMIIHIGNCRKIWHEELAIDSFCNLNRLWIFECDRLLNVFPLHMRERLQNLKQLIIRDCHSLQEIFEPRVLNFQELDASTTTQMIVEETTVHFVFPKLTYVELSRLPNLMNFYSGRHTTELPSLEQISVYRCHKVEIFASGSLNFGEATNGQPMFCVNEDTFLNLEELYLLRNDIMTKVWHGQLKAEVFHKLRVLKLIGFTDESAVLPHCFIQSLPNLENLVVMDASFSQIFHLENFTTAESHAPALTSLTELKLRLPELTHIWKEEHDPGQAFCNLRALELQECDKLKTLVPSSVSFKNLMTLEVYRCQGFVNLIACSTAKSLVQLSTISITDCANIEEIIAGLGDETEGGAVFTRLKHLELTCLPNLGSFCSGDYSFEFPALEKVVVRGCPKMKLFSQGYLSTPMLRSVQYIHSEDEAYWDSDLNTTIQLLFSEKVGNCGLHDLILSEASNKLMELWVRNPQGVIDFKSLKLLEVNDCSTLKYLFTLSMALELVQLAVIKVKNCIAMEHIIADAETEDIFGDKTILPQIEFISLENCALLTSFCQISLVREFPSLLRIDVTSCPRMLAFLEESRIETVDDGGNEEVTNVFTTRFLNKVVYPNLKELRLSSMNIQSIWHDQLPQMSSCAQNLTSLHVKGCHNLRCLFSSSMVQSFMQLQNVNIIDCRNIEEVIFTEGLATEDNIRSYVLPNLQLLALVDLPELLRFCHGSYFEFPLLQKLKIDNCPKLETFMSDSTIAKTQIYQKEEGNNSEIDFHPLFNEKHVKNHLYSHRIDASFDIIKLTAQVAFPRLEELRIMRMENCRKLWHDQLVADSFCKLKVLVVYLCGSLVKLFPFNMRGRLLNLEEFLVTSCFLLEEIFEPRVLNASELHAQTNTQSIVEETCANFVFPKLTNLELFKLPRLKSFYSRIHTTEWPSLKKMWVYGCHKIEIFASDNVSFGELTSPKPLFLVNEATFPNLEELKLQYNENMRRIWHGQLRVEVYFCKLRVVELITFLDKSAVFPHSFIQSLPNLEKLVVSLAVFSEIFNLEGFGGDEIDNLALTSLKELSLSKLYHLTHLWKEEYNCRAFCKLESLEVLQCTKLRNLVPSSVSFENLTTLEVSKCHGLLSLIECSTAKSLVQLTRMSISDCDMIEEIIAVEGYEVKGGIVLTNLKYLQLRCLPCLAGFYLGNHSLDFPALEKVTVIGCPKMKIFSQGDLSTPLLQSLQFIQSEEEVCWEGNLNTTIQWLFTEKVGNCGIGNLILSESSNKLMEIWRRNPRGILDFKGLKSLEVYGCSALRSIFTLSMALDLAQLCEMKVKDCTKMEHIIVDEGVLDEEVTNKIAFPLLKSITLESCADLESFYQGSKLLECPSLEEVNVVNCPQMLAFTSREQTEETVNDAGNMIRLSKRVATPFLNNANLRSLTVEGCHNLRYLFSSSVMKLFTHLRRLVIQDCRNVEEVIFIEEGLEAEDAMSLIFPELRLLWLIDLPKLMNFCHGSFLEFPLLRQLGMKNCPTFKTFISDYIMTNGTHICQNSEGNSSDFEFYPIFNEKVAFPQLEQLRIFSMENCRKIWQEQPTSDSFCKLNLLWISSCERLLNVFPYNMSERLQNLEELRIKGCDSLEELFEPQVLNANKFHQVTATESIVEEASVNFVFHKLNFLDLHTLPKFKSFYSGIHTTEWPSLKKMWVSRCDKMKIFASESLSIRESTNEQPLFRVNEVTFPNLEELKLEQNEIMNEIWRGQLRPDKFFCKLKVLELICFTDKLAMFPHCFIQSLPELQKLVISKASVSQVFHLEGYDGEKNHALAITSLNELTLSELPELTHLWKEEYHHSIPAFCELRNLEVRDCGKLKTLVPSSVSFGNLSTLEVSRCHGLVNLISCSTAKSLVQLTRLSLTDCEMIEEIIACDCDEVKGGIVFGQLKFLQLSCLPSLASFCSGDYILEFPTLEKMIVKECPKMKMFCQGDLSTPQLSKVLFSDDGDEEKGRWEVDLKTTIKRLFEEMLATRRIFRPPKKGSAVQRPRTHTLTVVHEAMLEDIVHLAVVHEAMLEDIVHLAEIVGKRTINQIDESKIMKVFLDPKEKNNNEFNLATFAGVCKMLTGIDVAFEYPVTEA >OMO62371 pep supercontig:CCACVL1_1.0:contig13276:24255:24320:-1 gene:CCACVL1_22870 transcript:OMO62371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EFLNALSQANSETYQDFEFES >OMO89247 pep supercontig:CCACVL1_1.0:contig08812:3956:6284:1 gene:CCACVL1_07967 transcript:OMO89247 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Protein FRIGIDA MTLKKKSKELQAKAKEAETCVLIAEREAVVATKEQDFFDRVQELKDTAVAAIAEARRKELEI >OMO89246 pep supercontig:CCACVL1_1.0:contig08812:2341:3061:1 gene:CCACVL1_07966 transcript:OMO89246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETSTGPNEHASSDQNGVLTTITAKGLKKRESSYKGTNDYTKPSAFSGSK >OMP00632 pep supercontig:CCACVL1_1.0:contig06647:813:899:1 gene:CCACVL1_03324 transcript:OMP00632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKSLCGSSYRRRYKTDTNAPRKRTSK >OMO87968 pep supercontig:CCACVL1_1.0:contig09145:1955:5262:-1 gene:CCACVL1_08630 transcript:OMO87968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase, 2,3-bisphosphoglycerate-independent MFGDVMLIRVPFLGPLTFFWFIKGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDQALASGKIYEGEGFKYISECFQNATLHLIGLLSDGGVHSRLDQLQLLLKGVSERGAKRIRVHVLTDGRDVLDGSSVGFVETLENDLAKLREKGVDAKIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFTNALEAVKKLRENANDQYLPPFVIVDENDKAVGPIVDGDAVVTFNFRADRMVMLAKALEYPDFDKFDRVRFPKIRYAGMLQYDGELKLPSKYLVSPPEIDRTSGEYLVKNGIRTFACSETVKFGHVTFFWNGNRSGYFNSELEEYVEIPSDVGITFNVKPNMKALEIGEKARDAILSRKFDQVRVNIPNGDMVGHTGDIQATVVACKAADEAVKMILDAIEQVGGIYVVTADHGNAEDMVKRNKSGQPLKHKNGELQILTSHTCLPVPIAIGGPGLAPGVRFRKDVPTGGLANVAATVMNLHGFVAPSDYETTLIEVADN >OMP09622 pep supercontig:CCACVL1_1.0:contig03114:112:219:-1 gene:CCACVL1_01053 transcript:OMP09622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGIILGPMVFKNHNSSLITMSNESVKTLGTIASFGY >OMO62237 pep supercontig:CCACVL1_1.0:contig13299:1535:1633:1 gene:CCACVL1_22945 transcript:OMO62237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIGDRKQQSPKARNHTRLEEPPRIFTPEALML >OMP00535 pep supercontig:CCACVL1_1.0:contig06662:252:341:1 gene:CCACVL1_03336 transcript:OMP00535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPERPEITSVLNELKTSQWTNLTKIDYS >OMO53480 pep supercontig:CCACVL1_1.0:contig15192:4743:5514:-1 gene:CCACVL1_28608 transcript:OMO53480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKTGKASIKTESESEAFAAKIQICWQDDIVAIQGFKKEWDRVLSKLSLYPSPNVANI >OMO53482 pep supercontig:CCACVL1_1.0:contig15192:8337:8999:1 gene:CCACVL1_28610 transcript:OMO53482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVITNPVTNTNSDRSKRKKKKKSMIKENQQSQNQSHTKWKSETQQQIYSSKLLQALSQVSLNSPSPSAPRGGRAVREAADRALAVAAKGRSRWSRAILTNRLKLKFRKQKRHRGSAAAVAAVTGSNRPSKKPRFTVSKLKGKSLPSVQRKVKVLGRLVPGCRKQPLPVILEEATDYIAALEMQVRAMSALAELLSGSGASSSSSAPPPPPHSPPPTSQ >OMO53485 pep supercontig:CCACVL1_1.0:contig15192:38821:49410:-1 gene:CCACVL1_28613 transcript:OMO53485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDENITVVSHFDPNPDKFRTFTVTFFSDPITTTVTATPSVVRSWLYRVLRLHRFRRNRLVVGLGVQWKPNRFAGHPHPQPATLQLCIGRQCLIFQLIHATNVPLSLRRFLADPSNTFVGVWNYNDELMLSSSEHRLSISRIVDARDVAAEREGLSKQISMETMADILLGAPEIKKPRSVGASNWNAYWLSPEQVQYACVDAYVSFELGKLDRHRGDRYGHSSSNNNNNNNHDSYYNSNNNRHSRGPSRFSDAPPLNRYASDNSNHHKSNSNFKDKFDNEDSYHHRRRSPTNFRGSGGSRLFDSPPRQHHTDGGGTAAGGGGFRPMGGGSGGGFRPVGGGFERNYPSPPLHPQQVHSGQKRAFPFSGRGGNSPNRERLGSSGGNFAKLFVGSVPRTATEDDIRPLFEEHGHVIEVALIKDKKTGQPQGLNDLCDVMVLFTFFELMLHFTTGCCFIKYATLEEADRAIRALHNQHTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQACERDVQDSHSNCHTHIFFSQFGRVEDVYLMRDELKQSRGCGFVKYCDREMALAAIDALNGIYTMRGCDQPLTVRFADPKRPRSGVADSRGGAPAFGGPGFGPRIQAPIPRPAPNFGDTMGDKVPPNPWHPMSPQNTGPSNPSIRSMGSHLLPRSGDLAIPLNPGFNQHSSQVPTAGQQISPLQKPLQSPQGLPPPFQLHPLAPVSYSQTQAVHSGQLLSNPLTPFSQALPPQHSVGLRGQLPASRPPVQQNTSSAAALQNPSGVNLPPNLPPNSVPTTANQQHLPAPMQPVQQSPSQLAQMLSQQTQTLQASFQSSQQAFSQLQQQLQQMQPSNQSMTLHQNSQASRQQSQWAGLTPQTVGNPPTTPGTDVASSASAPTAPVMAPTVAPVECHWTEHTSPDGFKYYHNIVTQESKWEKPEELTLFEQQTRQQQKPPMQLPQTQSYPPQASQAQQVQLQTQLQAQIRHPPPQLQQPFFPSSYPASGIRNQQNTQELGYGQQPVAPSPNDPARFQQGMQTVQDLAWKNKP >OMO53479 pep supercontig:CCACVL1_1.0:contig15192:1555:2417:1 gene:CCACVL1_28607 transcript:OMO53479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAQKSLIGSFASPVKDVLSEISEESCNFSSISDADVNDEMVEIWFTYTHIIFLKNIVLVPYSSTSASQETFTVSELTPCSKISTVDGDEQVDFSKTGSVEVEIVVDFLKQARKQALSSVDMDKKSMKVLDALISFIIDEFYTLPQERDKLPQVVLGNAQVGYLCFWLWIVPILLFAFYFFYCSTVYRHFTGLPPT >OMO53483 pep supercontig:CCACVL1_1.0:contig15192:19431:21753:1 gene:CCACVL1_28611 transcript:OMO53483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin subunit MAAASSSSTPVEKTTERRGIPGAQFVEDVETYLSQTGLDVNSALAFLQERLQQYKLVEMKLLAQQRDLQAKIPDIEKCLDVVATLQAKKGTGEALIADFEVSEGIYSRARIEDSDSVCLWLGANVMLEYSCEEATTLLKKNLENAKASLEVLIADLQFLRDQVTVTQVTIARVYNWDVHQRRIRQAAAAASAKDS >OMO53481 pep supercontig:CCACVL1_1.0:contig15192:7694:7933:1 gene:CCACVL1_28609 transcript:OMO53481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEILLRKGDFVIENIRGKLSMKGSEPNPVERGDFRTHSPVSRTRAQRDRPSPFHHTKAKGLTHFTKSKTPYSLTQKQ >OMO53484 pep supercontig:CCACVL1_1.0:contig15192:32119:33688:1 gene:CCACVL1_28612 transcript:OMO53484 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEKKKEEEKKEINSMANLRFSDENPTAASSFGGGSIFDMAAGACDVSGGDKWGSLGFMDLLGIHQDFSAPSLFDSFHHPPILSPPPPPPLPPLSSASELLPSENIDTKQVLLQQTSLPSPASTVPESSEVLNNPATPNSSSISSSSNEAANDDQTKLAGDDEEQDQDKSKKLQLKPKKKNPKRQREPRFAFMTKSEVDHLDDGYRWRKYGQKAVKNSPYPRSYYRCTSAGCGVKKRVERSSDDSTIVVTTYEGQHTHPCPITPRGTIGIAHDPSSFGAPSFVVPQPQYLHQQQQPYIYTSSPSMNITTTTTTTSTSTTSSSFINSSFPAFLQERRFMTTPSAASLLRDDGLLQDIVPSQMRKLED >OMO90774 pep supercontig:CCACVL1_1.0:contig08429:6770:8129:-1 gene:CCACVL1_07299 transcript:OMO90774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICFSIEEELEQRRHHQHHSLSKRSPEMEDSVERQSPTVLPLTAKDVKDLRKNPGYSNVDIFTYEETRLATKQFRPDYILGEGGFGVVYKGVIDENVRPGYKSTAVAVKELNPEGFQGDREWLDSDLGLELKI >OMO90776 pep supercontig:CCACVL1_1.0:contig08429:18009:18976:-1 gene:CCACVL1_07301 transcript:OMO90776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEIANSKPPGELLNWDDIQKMKYSWNVAQEVLRLAPPLQGAFREAINDFMFNGFSIPKGWKLYWSANSTHRNPECFPEPEKFDPSRFEGNGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHNLVTRFKWEKVLPQETIVVNPMPIPAKGLPVRLYPHKKSN >OMO90773 pep supercontig:CCACVL1_1.0:contig08429:2715:3390:1 gene:CCACVL1_07298 transcript:OMO90773 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin-2-like protein MASVQPLLESGLLSASILGGSYRSKSLGGSLLLLPFAALLLRVSSCL >OMO90775 pep supercontig:CCACVL1_1.0:contig08429:8334:13837:1 gene:CCACVL1_07300 transcript:OMO90775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGREMHRYELANQTETKTTSKAQQQPPKTPSKAGPHVSLTEITFLPDEF >OMO90772 pep supercontig:CCACVL1_1.0:contig08429:1659:2387:1 gene:CCACVL1_07297 transcript:OMO90772 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MASSENLASIEPWVFRPTFTDSWISEAFARDTETLTRALQKSISSSLSNPDSFSPLLSLINPNTATETSTPIPTPTPTPSVSNVSGSDHETGPPKRARNNLTATGKVSKRKTRASKRSQTTFITADPANFRQMVQQVTGVRFGNVQMSMNPILKPEPQRLGGGGRLPNGAVGAGVGAGCLPTLDTSAFLLDNQPPCSGGVAGSSLLPFEASEGLVASAGTTMDSSEPFASFPTLESGKFSFV >OMO85160 pep supercontig:CCACVL1_1.0:contig09658:45442:50619:-1 gene:CCACVL1_10376 transcript:OMO85160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MADKLCNENILEQIRHGIAKFELVSSPVSSISTPSVSQTLSTAFLGDNNSRFFARIGPSLGGGSPATKKAEHFSVHKVTGDGRCLFRALVKGMAFNKGVALSPREERDDADDLRLAVKEVLCDSSKERQQYEEALVAITVEESLKRYCQRIQRPDFWGGESELLVLSRLCSQPIIVYIPEHEHRKGGWGSGFIPIAEYGAEFRKAMEGPSNGGMLYHEVQESKLCAVHCVNTVLQGPFFSEFDLAALASDLDSKERQMMLQGTAAAAAATASSAGDFLSEESHNVSLGGDFSIQVLQKALEVWDLQVIPLDCPVAEPAQVDPELENAFICHLHDHWFCIRKVNGEWYNFDSLYAAPQHFSKFYLSAYLDSLKGSGWSIFLVRGNFPKECPMSSSEASNGYGQWLSPEDAERITKSCNLTQAPQRNNMPQQHSDRSLLLDEADMQMLSEMEDEDLKAAIAASLMDSSPATASVEASNPKTENKDGQEKTA >OMO85157 pep supercontig:CCACVL1_1.0:contig09658:7000:9969:1 gene:CCACVL1_10373 transcript:OMO85157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate carrier 2 MVADQKGKSDISLAGTFASSAFAACFAEICTIPLDTAKVRLQLQKKAVAGDAASLPKYRGMLGTVGTIAREEGFAALWKGVIPGLHRQCLFGGLRIGMYEPVKNFYVGKDHVGEVPLTKKILAALTTGALGITVANPTDLVKVRLQAEGRLPPGVPRRYSGALNAYSTIARQEGVTALWTGLGPNIARNAIINAAELASYDQIKQSILKIPGFSDNVVTHLLSGLGAGFFAVCIGSPVDVVKSRMMGDSTYKSTLDCFVKTLKNDNWKSIFSTSSPSPEVLAETFLAVVRLRNLSKTLSLLELKT >OMO85163 pep supercontig:CCACVL1_1.0:contig09658:64663:65983:-1 gene:CCACVL1_10379 transcript:OMO85163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRKLINRLKNRGGHDEEQRTLPKLPEHIFIEILSKIPPKILRDTFMLVCKSWYRLIRSFEFIEKNAVYHKPGVIVNFLVAPYYFREFPYCKAKFLQIDEKEFDIKVTNLGKTRRMGYIRSSCNGLILVIEPRPRTKSSAISVKNMLTGSVLTDLPSCPSGCRHSYDACGAGLGFNPVTKQYKVVHIYSDRYGFEIFTIGSDKEWRKIPTPFEESYERPYDMDFTWEEPVWSKKHRIIAVSTNFLTSLGSSAMVEEEEGNGDGGEISENRDEEKKKDKLPDFLKFFVVATLRNGEVIVFRRFRNPGNNDPIYLYDMKTGEMRKFKMKMKDGAKILPHRSSLVSWTTEMDLSANISNLSL >OMO85159 pep supercontig:CCACVL1_1.0:contig09658:43217:44503:-1 gene:CCACVL1_10375 transcript:OMO85159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MGSSNRLFNRQKTVHEILGGGLVADVMLWRRGNLTLGILLVTLAAWVLFEKSGYTLLSLVSSVLLLLIVILFLWAKSAAILNRPAPPLPELYLSEEMVNEVGVFIRAHVNDFLSASQDIALGKDARLFVKVAAYLLVISIIGSLTDFLTLGYISLLLVLTVPALYERYEDYIDSYVITGCRKMQQLYMKFDAKFVNRIRKWILERQKLS >OMO85162 pep supercontig:CCACVL1_1.0:contig09658:58768:60698:-1 gene:CCACVL1_10378 transcript:OMO85162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRKLKLINKLKNREEQRTLPELPDHIILEILSKIPPEFLQDTFRFVCKAWYRLIRSFEFIEKNAVHHKPGIIVNFLVAPNYYIEFPYCKAKFLQIDEKDQFDIKLTNFGPSTRMGYIRSSCNGLILITEPRARKSSVLCVKNMLTRSVLTLPSCPSGCKHSVEECGIGLGFNPVTKEYKVVHIYSDGYGFEIFTIGSDKEWRKIPGPFEESYERPYEMEYFKWEDPVIINGHVFHWFVDSDEYFISMDIRDEKLRKTKLPELMMGNDYGFVEMAGKLSFVYTVSSSQIDVWVLTDFGKQKDKLPDFLNLIAVATLRNGEVIVLMKERNKGHNDPIYLYDMKNGEMRKLKMKMKDGTRFIPHRSSLPPESLPHGAINMDQPQFPSSPIRQAQPPRVGANHVTAVGLVSDGKSNTLANPFALPIRPKLFQPKINPFDVSLSFPLLQQNNHLPSSPE >OMO85161 pep supercontig:CCACVL1_1.0:contig09658:52780:56948:1 gene:CCACVL1_10377 transcript:OMO85161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-CoA carboxylase, alpha subunit MASISYSPNAFTGTSASDLLRSSSNGMSGLPLKTLVKTRFSMPRRNATVTAKLRKVKKREYPWPADPDPNVKGGVLTHLSSFKPLKEKEKPKPVTLDFEKPLVDLEKKIVDVRRMANETGLDFTDQIMSLEKKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHVFNITDKFVELHGDRSGYDDPAVVTGIGTIDGRSYMFMGHQKGRTTKENIQRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRTMFGLKVPIISIVIGEGGSGGALAIGCGNKLLMLENAVFYVASPEASAAILWKSAKAAPQAAERLKITATELSKLQICDGIIPEPLGGAHADPAWTSQQIKAAINEAMDELSAMDTETLLKHRHHKFRKLGGFGFEEVRPVDPKKMVNMKKREDKTVRKREDKTVRRTSNAELEGGAKKLKLKAKESSTKRPELALNDMIKKLRKEVDHEYSEAVKAMGLKDRLEMLRQEVSKVNSKDQLTDPVIMDKIEKLKQEFNQGLAAAPNYTTLKYKLDMLKEFSKAKSLSGAATLKQQVNKKFNEVMARPEINEKLEALKAEVQSSGASAKSLSGAATLKQQLNEKFNEVMARPEINEKLEALEAEVQSSGASSFADLDQGLKEKLLNMKKDIQLEAINALKSSGLDVKIVESNAEDLGEQTSFSDFIDKMDDLDDEIDEKIENVVNSSELKSMIESLKLVMEKAGKQPDAESKIKIDALQQQIKQRLSEAISSSELKEKHEELKAEISEATQSSDGSLQKETKLETKLEEPSFA >OMO85158 pep supercontig:CCACVL1_1.0:contig09658:40634:42554:1 gene:CCACVL1_10374 transcript:OMO85158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIRLSKGPSADAGGSVKPLPVETVTVACPDHLVLADLPVAKSIGAPTSSSLVKTVGRRSRRQLGERVHFCVRCDFPIAIYGRLSPCEHAFCLDCARSESICYLCDERIQKIQTIKMMEGIFICAAPHCLKSFLKRTDFESHIHESHGDLLQPNAEKEDGKESEVQSAKQPTGSDSTVRGPPRSVISPGSNPSLYDTEDRARRQQPREQLPPRPMMQPKGPPVFGQVQSYPSESQPDSNYPPGFDRPGPHNHYQQGFDRQGTPQPESSQFSDKQPGLSSENQFSEYPPMHPMQPPNFVVPMNSNPMLTPYGVPPFPTDGSQPFYGAPYEMARPSSAPDVGSEQGSLLGFPAGPIGGMNYPPGYPQPWNAGQPGVPFEAPPGGHMMGDAFPNYQGDYGRNPGNLPMIPPPTSANKGMEAVQGSNAIDPRDGKGILAPQPMQIPPPPPPLPHHMSQHKRGKFPSDMGRDGQGFGWQHENRDGFGSGQD >OMO73700 pep supercontig:CCACVL1_1.0:contig11189:6772:7437:-1 gene:CCACVL1_17176 transcript:OMO73700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EATGFMLVGKILADKPINRRGAVGVLSNMWHGKDAPTIRKFGGNIFGFAFKSEKAMNLALDNSPWTVMGNCLSLKKWEVEEVISDIHFEEVQYWIQIHGLPLEMQTDENVKRVGSRLGIVICADKVEWGGMIWRSFLRVRVAIDTSKPLLPGFWVPRDGKDMLRVRLKYERLGDFCYGCGKIGHTQKSYETNGDDSGFKTFGPWMRAAPVKNVPMSNSEKAA >OMO74639 pep supercontig:CCACVL1_1.0:contig11103:3219:5436:-1 gene:CCACVL1_16561 transcript:OMO74639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferroporti-1 MDSQPLLEQQQQQRAEQGPPSSLFNYLYTAHFLARWGTRMWEFSVGIYMISVWPDSLLFAAIYGVVESASTALFGPLIGRWIDRLTYVKVLQYWLITQNLSFIIAGGSVMALLVLSSLKSTHFAAFISLVILINISGAIGVLSTLAGTILVEREWVVVISEGHPPSVLTEMNSVIRRIDLTSKLVAPVVTGFIISFVSLKASALALALWTSICVWVEYWLFMSVYKGIPALSERSLKRISKASSSDVEESISINEGENSAAARKNFATKIFEWVSNVPYVDSWRVYLQQDVVLPGVALALLYFTVLRFGTLLTAALEWEGIPAYVIGIARGIGASIGIAATITYPMLQSRIQTLRTGLWSIWSQWIWLLLCVASIWVKNSYLSAYMLMAGVSISRLGLWMFDLSVIQQMQDHVPESDRFIVGGVQNSLQSTLDLMAYIMGIIISNPEDFWKLNLMSFGGVTLAAFLYTYHLYRIRKHIFHFDKLSSLLHCF >OMO74640 pep supercontig:CCACVL1_1.0:contig11103:7845:14059:1 gene:CCACVL1_16562 transcript:OMO74640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MVCCRQNITRSRVFSTKAPEALLTGVGQSTAPVLDLKKELRSPISLANLFETVADDLQTLNQNLRSIVGAENPVLMSAAEQIFGAGGKRMRPALVFLVSRATAELVGLKDLTTKHRRLAEIIEMIHTASLIHDDVLDESDMRRGKETVHQLYGTRVAVLAGDFMFAQSSWYLANLENIEVIKLISQVIKDFASGEIKQASSLFDCDLELEEYLLKSYFKTASLIAASTKGAAIFSGVDRSVTEEMYEFGKNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALENEPKLREIIESEFCETGSLDEAIELVKKCGGIERAQELAKEKADLAIKSLQCLPQSMFRPAGRRQRRGCRFELPTSHFSVFETKKRRRKKKMQWISIAKEAISRASSVVKFLCLVHVTGSYVVMGPSMLPTLNLTGDIVLAEHLSHRIERLGSGDVVVVRSPLEPRKILTKRIVAMEGDKVTFLPDPSRSYTSRSVVVPKGHVWIQGDNMYASTDSRHYGPVPYGLITGKVFLRDGCVACRVQVPMVEDGSCLSLEVRSVRS >OMO74641 pep supercontig:CCACVL1_1.0:contig11103:14861:20696:-1 gene:CCACVL1_16563 transcript:OMO74641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEMEVALLVIRVFVSLLVSGTLLIWGWRILNWVWLEPKRLEKWLRQQGLAGNSYRFLYGDMKENFSMVRQTRSKPMPLSDDIVPYATPFLHQTLKNYGKNSFIWIGPRPRVTITEPEQVKEVFTKFNDFQKPRTNPLVSLLAAGLASLEGDKWAKHRKILNHAFLQDKLKNMLPAFYQSCIDTINKWEKMVSMEGRSELDVWPYVVNLSQDVISRAAFGGSYEEGMRIFQLLEEQIGLVGKVIQSVYIPGWRFLPTQTNRKMKAIDKDMKDSLREMIKKREKQIKGGEECPFNSITLGNSAVQSIIAGLFGGNKEGEEGQPPTYKPSQISEYGSSPLKDLLNMEKARAKHKYGKNMILDQIGKDPSRFLPKSIGRSIDG >OMO74642 pep supercontig:CCACVL1_1.0:contig11103:32292:33648:-1 gene:CCACVL1_16564 transcript:OMO74642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEMEVFIRVLVYSVVSGALLIWGWRILNWVWLKPKKMEKWLRQQGLPGNSYRFLSGDIEETFSMIKQARSKPMPLSDDNIVPYVSPFLHQTVNKYYGKNSFMWIGPRPSVIVTEPEEIKENMLSAFYQSCIEVIISDWEKKVSTEGSSEVDVWPYIVNLTGDAISRAAFGSSYKEGTRIFQLLEEQVDLTMGMAQSGHIIPGWR >OMO50747 pep supercontig:CCACVL1_1.0:contig16063:32022:32321:-1 gene:CCACVL1_30284 transcript:OMO50747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQHLSLMSILIHKLRAAEVALTNEQQVQAVSSSLLDSWEHIKAKMTHDESVKTFEVILRHLKMENECLKAVKPATDGVANVAESNSRKASGPKRKRNG >OMO50746 pep supercontig:CCACVL1_1.0:contig16063:4515:12195:-1 gene:CCACVL1_30283 transcript:OMO50746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MPMKSGLDVFDFKEEDEITELAAEKYLTKRKNPNFDDNATLKYQFLECVADGPAVQRKQMDNVPCVDVDAIDGDTLAAPMGSGEKDFVPKEGNHQPDLSPESKTMHSEQLADFGKHSHEPRSTFSELELRDSYAEAPSPGKSQLKHSFSNSPLSDEPVDLASDANESISEMSPSSPASDVAEDDVSLNGNVSDDCFGNILVDNMNRTVVLCSDYVLYQDHHYAGASVIFSPNGIKIKGSTVIEHQGTFSFERGIDDIINIDCQWFERVGYVTVDLKVVSKVAVEAENAHGASVVEELQVIITDPRWSEKQEAITSLNVKYLAMWNTVFDSMTELDGDDSLVQKSYFPNFDEPFEEVIYPKGDIDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIPQEERQRFHFFNSFFFRKLADLDKDPSSISDGRAAFLRVQKWTRKLDMFAKDFIFIPVNFNLHWSLIVICHPGEVASFKDEDLDKSSKVPCILHMDSIKGSHAGLKNLVQSYLWEEWKERHKETFEDISSKFLNLRFVSLELPQQENSFDCGLFLLHYLERFLADAPPNFNPFKITKFSNFLNLDWFLPNEASLKRTLIQKLIFELLETRSQEISSSDCSDEHHSPKFREKIENETGVELISESFSPEVACHGNLGSQAGQGIEITLLSSSSMRNMESVNDSGLVLREFFEPVVATGSLLGQFQSFDQQSSYYNLNGAISPREQGVQTGQQFVYSSGENGFPQYDGIIPCEDPYSSRGFAMGNPWNHGISMQGGHESLETSSASDDDDEDVGIIENHPMGDNAESSEKKETDLEQSQLVENVEHLSKGFNPACSEMLEASGCEVSAASEDPDKIHNNTEDAHLPSKENPSLLLYQNTGVMLDQNSELLEKNDKIVETKEAGVDDEQKVGDDNNSAVLSHPIPGMMLNQLDGDSKHNENNTEMVENEVTDGDDVQMIDDVKMMGDGLLSKDDSAVVSRENPEMGANQVDRDSILVENKAIGEHGRMTGNDLPCQDDSTVASHQDPNTLVNQLARDFVPVGNKEAVVDDSQITGDNAAGSAELADQPAAKRIRLTPCDEEKVDS >OMO50745 pep supercontig:CCACVL1_1.0:contig16063:2925:3779:1 gene:CCACVL1_30282 transcript:OMO50745 gene_biotype:protein_coding transcript_biotype:protein_coding description:forkhead box protein C2 MADQGAGQQGQDSNPTQGDLRAEFEKKLSIAQEAAKKAIEQIEKCIRIETALAGVSRQVANQIQAYEDEVKARDDLKLGG >OMO77687 pep supercontig:CCACVL1_1.0:contig10720:22995:26406:1 gene:CCACVL1_14879 transcript:OMO77687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome recycling factor MAAPFSSTTSVRSIFRLHPNTTKSFLSPSDCFQKGFNCVKCHSSNVNVYSWSSAANYGSLRHVTVKLSGKPVVVKRVGIVRCATIEEIEAEKSSIEKDARARMEKTIETVRSNFNSIRTGRANPAILDKVEVEYYGTPVSLKSIAQISTPDASSLLIQPFDKSSLKVIEKALVTSDLGMTPNNDGEVIRMSLPQLTTERRKELSKVVAKQAEEGKVAVRNIRRDALKAYEKLEKEKKLSEDNVKDLSSDLQKLTDEYMKKIDTLFKQKEKELLKV >OMO77688 pep supercontig:CCACVL1_1.0:contig10720:31354:37606:1 gene:CCACVL1_14880 transcript:OMO77688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQQETPGGSRHSRNFNDRDASSVNICCQSIRDRPILRRLLNAGCQEIEILSTKRTQNKKPEDGEMEMQETARLRERGSKRERERDLLNRSKRKRADKVVLQGSNNREEGDESWEESSGEEEDYETEQPSNRKVSPPARVSRQGPPMKPTDKMISFPVPRKARSASVKRSHENWVAGNGGYMEEPNHRQASVSPVRRSVESDRVSTSSSNGSVRKKMKTNGPKTRLPKTTKSSTSSAQEDIEFEIAEVLYGLMRQSQSSKKEDSLPKLESEDANVFFETNPSVSTKIENSAQSQSQTPVLPDSLVDSASKKKVEAEDSATPMKAENEQRAKLDMFSQKQGQIPELNAVISESNLDKTAAKTASVSMESSENVVMIKQGDSKPSVEEPNSVVGAVTRKKSVPTEKESAILDVDFQDSTVTKANSTMSKVESHREEKFKIDLMAPPPMVSSPERDGSVDISLDPKHQVSQMESKTETMVKDEPKLLKKEIKAEDSKDKKMDTIKVKRDSLSFDLEKPHQDSGSDGCKFEHSQKHQLSKPGIAKLEKTAQPSSMPVPITLAGWPNGLPPLGYMPPFQTIAPMDGSTKSSTLLQPPHSLLSQPRPKRCAMHHYIARNIHLHQQYTKMNQFWPSAPGSASLCGAKPNNLNVAPPAENLILGNQLQGSFPVVNLNPTDEKGKVTSSFPGLTRKDKSSDCSNFMDTTQRKPVVVQQASQPPPASNLMHGPAFIFPLSQHQSTANQSAPSKVATSTNTPSLTNNSTPGISTSSTALPGVAAVSFSYPNLGANEAPYLTILPNNGYPFAISAPVGNPSAIRGGTPTQAMPFFNGSFYSSQMFHPHLQKQQAHSQPVVQPAYQNTITSSGSSSSQKQAESHQPRGGQVSNHNFLSSTSVPSQQVQKYHMIPSGQSRKMDPDMNGENTASNTQKSVHGQNPFPPHQPLNFALLPSATVGGGNVNGNHSEKQLSQQKNLKGGVEAVPPQAFAMSFASFTGNSLGSNLNFSSSMVQNPTLFHSLPEMARQGYQVAPVPQAAQQKNHQISDGKNGAAASNNPDDGKKASSGKSHTTNGQTYVFDNSARSLNFVSSPVPITGNWPPRSIISTTATTNPPIVANLSNSQQQQLLQLQKQQMVQQQSATASRSKAQAQAANTLPATSIAAKFSSNAAMFTQTVPQSNNNSAQSSQWKNSARTTTSQIPCTSVTAANISAVKSLPQQQSRPSQGQTQISFGISTNSGSSSQEIRTSSQSMIVGSPPNTNSDNLRTSSTGSKVGSSVPTLQSQKGENSSGGNGQKSSPVCGRNVPSILSTCPSHLSELKY >OMO77691 pep supercontig:CCACVL1_1.0:contig10720:58108:61337:-1 gene:CCACVL1_14883 transcript:OMO77691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIRRFCCNDLLRFSSVNLDHLTETFNMSFYMTYLARWPDYFHVAEGPGKRIMGYIMGKVEGQGESWHGHVTAVTVAPEYRRQQLAKKLMNLLEDISDKIDKAYFVDLFVRASNTPAIKMYEKLGYVIYRRVLRYYSGEEDGLDMRKALSRDVEKKSIIPLKRPVTPDELEYD >OMO77693 pep supercontig:CCACVL1_1.0:contig10720:64608:65234:1 gene:CCACVL1_14885 transcript:OMO77693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaD MATQAGLFTPTITAPKTLAPWKPTSSFSFATPKSFNFSVSHRASIRAEAAEETAAAAATKEEAPVGFTPPELDPSTPSPIFAGSTGGLLRKAQVEEFYVITWDSPKEQIFEMPTGGAAIMRQGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGQNFRSIGKNVSPIEVKFTGKQPYDL >OMO77692 pep supercontig:CCACVL1_1.0:contig10720:61739:64090:1 gene:CCACVL1_14884 transcript:OMO77692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLKEELLKKRQKLAQETGGKRYFKRSEIEQREIQKLREQEKRELEAKSRRQSTTSSSTANATSDNSNPAASSTASATATSTAGSSKSLTDEQNIDNLDLPRQEVIRRLRFLKQPITLFGEDDVARLDRLKYVLKAGLFEVDSDMTEGQTNDFLRDIVELRKRQKSGMLSERKRKGMEEGGGGEDGEGGGEEQLSGDGGSSGIDMDKDLKRMKANFNELCDEDKILVFFKRLLNEWNQELDEMSEAEKRTAKGKGMVATFKQCARYLNPLFKFCRKKVLPDDIRQALLLMVECCMMRDYLAAMDHYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLMTFCQRRYPTLPSKAVEFNSLANGSDLQSLLAQENSGGSSSEEMLRLMPPKES >OMO77698 pep supercontig:CCACVL1_1.0:contig10720:90671:92413:-1 gene:CCACVL1_14890 transcript:OMO77698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPSSTIEIPERRRQYTSESDESPNYRRRRRSPSYEAYERDHQSRNGNQQSRNRSRSPVHRNSRRRSPVERTDRFDREIGRHASDSDDSTDYHRRRRSPVYEVYDRDQPRNRRRSESPDGRNPRRRSPVHDRSLNSLPKKFGKNRNYLDRDDRNGKDSESDEELKGLNFEEYRRLKRQKMRKAMSFCIWKNTPSPPRNEDDDLEDKADEISEKYGGEENGDEKSDSDKEKEKKSNIKLKAKSESEKSGSSESESESESSDKSSDSGVDAKCKAKADDAVMDEVSAEALMFKEMIEAQKKPALDNEPMVGPAPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEISKFESLGFVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLQRLVQRHIGQDVGPTHNPFAGKDGADA >OMO77700 pep supercontig:CCACVL1_1.0:contig10720:104152:104253:-1 gene:CCACVL1_14892 transcript:OMO77700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEGAGGGGGGHHNGDHQVTEITGGRVPEQCM >OMO77695 pep supercontig:CCACVL1_1.0:contig10720:72992:76108:1 gene:CCACVL1_14887 transcript:OMO77695 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MVFVSTPNSKTISLNLNPKITTLLSLQQSIQLHTQIPVSHQNFRFSPNPQSLLLSSQNPDSVLLSQLNITPYSTLFLHVPLRGGTQTGPGGAAPPKPRLDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAAAAAAIGGAAPASGLGRGRGKPGEDDDEDEGDEKGYDENQKFDEFEGNDVGLFASAEYDEDDKEADAVWEAIDQRMDSRRKDRREARLKEEIEKYRASNPKITEQFADLKRKLHTLSAQEWESIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWGQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKIQAARQLIQRGCEECPKNEDVWLEACRLASPDEAKAVIARGVKSIPNSVKLWMQAAKLEHDDENKSRVLRRGLEHIPDSVRLWKAVVELANEENAVVLLERAVECCPLHVELWLALARLKDYEKAKKVLNRAREKLPKEPAIWITAAKLEEANGNNAMVGKIIERCIRALQREGLEIDREAWMKEAEGAERAGSVETCKAIIRNTIGVGVEEEDRKRTWVADAEECKKWGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNTEEERRLLDEGLKRFPSFFKLWLMLGQLEERLGNLEKAKEVYESALKHCPSCIPLWVSLAILEEKTNGIAKARAVLTLARKKNPRQPELWLAAIRAESRHGYKKEADNLMAKALQEKECPNSGILWAAAIEMAPRPQRKTKSTDALKKCDHDPHVIAAVAKLFWHDRKVDKARVWLNRAVTLAPDIGDFWALYYKFELQHGSEDNQKDVMKRCIAAEPKHGEKWQAVSKAVENSHQPTEAILKKVVVALGKEESATENNSKP >OMO77685 pep supercontig:CCACVL1_1.0:contig10720:10942:13473:-1 gene:CCACVL1_14877 transcript:OMO77685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MAPFAKSAIIVLVSMAFCGVSMGVVHQVGDLAGWTIVTPIDYQKWAASKTFRVGDVLVFRYRNLFHNVLRVTHHNFNSCNTSFPNTVYSSGSDYIKLRRPGHFFFICGLPGHCRSGQKVHIEVLSPSGGVDHRRKPLSVSPNNSKVAPPPFIIMELPAAPTRAPTSSATTLMFNYVGVGDDSGWHPMFNYRKWAASKRFFVGDTIRFEYNAIFHNVLEVTKRNYRACNAAARPIGKYYSGNDTFVLTRPGHRYFLCSFPNHCRNGQRVEIYVHKVSRPRQTPPTPIPTPAAPGSISLPPLPSAMSPAGAPTGARARSSSSLLEPSYIHIIGLSFLALAFFV >OMO77684 pep supercontig:CCACVL1_1.0:contig10720:6175:7542:-1 gene:CCACVL1_14876 transcript:OMO77684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin/Phospholipase A2-related protein MELSKVTLEIFTKLEQKWLSHCETTKKIRILSIDGGGTTGIVAGASLIHLEDQIRLKTGDPHARIADFFDMIAGTGVGAILASMLTADDGTGHPLFTAREAVEFITQHNSELFKLNKLAGVLHRRKRFSGKSMDKVLKELFKREDGTVLTLKDTCKPLLVPCFDLKSSAPFVFSRADASQSPSFNFELWKVCRATSATPSLFNPFAFTSIDGKTSCSAVDGGLVMNNPTSAAVTHVLHNKRDFPSVNGVEDLLVLSLGNGPSCGRSKVRSNGECSTSSVVDIALDGVSETVDQMLGNAFCWNRTDYVRIQANGLGSERMVGPRMEEVVLKEIAVESLPFGGKRLLTETNGQRIESFVQRLVATGKTSLPPSPCKESAVSPLANGR >OMO77683 pep supercontig:CCACVL1_1.0:contig10720:3304:3381:-1 gene:CCACVL1_14875 transcript:OMO77683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLFDVDTAASRPLALAADLYAAF >OMO77699 pep supercontig:CCACVL1_1.0:contig10720:95006:101300:1 gene:CCACVL1_14891 transcript:OMO77699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MGGGLGSGLAWPKPEQDKVSLSQNIEETEGRDLKQTGFDLNAEPLVSSLKEVEIEEKEGIEECREDGELGDQRVGELNENGMKRGKVEDSEDNKEDNSVKEKVKKESFEGKEQFPTRVLRSKFAVKNGSEKEDDKSGDCNGCEKKLVESVKEEDVQSDDEGKGKPERMPEVKCSDEDERKADSVDKGQSNHSDGVNVGNIRKRKRGRPPKNQGNNGYEKMAVELQTGEHDHSDGVVRKEPKRKPGRPPKVPRNGGFEKKAVKTESGQTDHFDCGINNQPKRKPGRPPKGQGNCGFEKTAVMIEAGESDLSHGEGSMESKHKRGRPSNSERNHERQPGLPSKDGFEQAVKVVIGEGDHFDCELSKEMKPKRGRPPKVQGSDGLGKKREGRHHNNTNTKGRKGAIGRRGRPPKLQFRNEALKGKLINGRKKLGGLNRGRKKLSGTLKFNVPANTSYSEKRLIGKESNSKGLVSAIKGRYECMENNDGMTSLMLRSKAVNGKSKQKRAANSRQSDEGEQKRSEAKQAVRDRIVKLLLDAGWTIEYRPRSTKEYNDAVYVNPEGKTHWSVTLAYRVLKAYYESDDGASKVSSNGFIFTPIPEEELSILKRVTTKKRRGKKNPVDECDDIMDDGGVEKKMNKQKKKRKGDGDNEGGRKKKKQKLPNEEDNSDGIFQKGTQASGRKRKLQQTQKGKRYALLVRSSMEGAESENDGYVLYDGKRTVLSWMIDLGTVPQDGKVEYMIQRRTRATRESKSGRITKDGIQCNCCSDVFTVAEFETHAGSRLRRPFENICLETGTPLLQCLLDAWKKHQSKYKGFHYVDFAGEDPNDDTCGICGDGDHQKCTQTMDAFDDGSNSASFCEKKCKELFERLQMLVGVKHELPEGFSWTLVHRFDISPDVRLSEAYQKIESNSKLAVALAVMDECFLPLVDHRSGINLIHNIVYNFGSNFTRLNYSGFFTAILERGDEITCAASIRIHGNQLAEMPYIGTRYAHRRQGMCRRLLSAVESALRSLNVEKLVIPAISDLRETWTSVFGFQPLETASKQKMRNMNLLVFPGVDMLQKPLLMHVKEDRMMNEESNKSGVKRSVMFDLNVSAESPVPQTDERSSAPPAVESTLLLPDGTLKDTSDLMVETVNLSESATGSSSCILASGEQKLGFDPQLSTACEVKIDESIAKQSLDCKHEGSVKQSNDIVPAGNEVAVLVHVSKVDSQNVVADSSDGTFQMSEDAKDIKHQENELQAVECFSDFDKMVQTEDLEDNHAIAKDAANQTSASALPSVQDVANGIYDVSSYDGNSRPCQSDRICASKEVSAPPYVNSRPCDDTSKKENLQLCPGMCPEVVSGGSKVDCSGIHDLKETTAMHSDFSDGNIVTNMGDKNTKSPEQPVSIPQSDPSHPAPNSSSTVALHCASAGGESRGPEVIVLSNQAS >OMO77689 pep supercontig:CCACVL1_1.0:contig10720:38964:41098:-1 gene:CCACVL1_14881 transcript:OMO77689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone isomerase MVSLRFPFLFSQPPNLPRTPKKNHRNASSRHFSATFAAAAACGAAAAAGVAVVSQNHKHPFLQNAVNLLFPNHVSPLWGSVSLADGSAPVVESKTGVSFPPVLGNSLQLLGVGLRKKSVLGLKNIDVYAFGVYANGDDLKKSLSEKYGNLSATDLMEADISMTVRLQIVYSKLSIRSVRSAFEDSVGSRLQKFGGSDNKELLQRFTAQFKDEYKIPRGSVIDLSRERGYVLKTTIDGKEVGSIQSKLLCRSILDLYIGEDPFDRRAKEEVEANAASILHK >OMO77696 pep supercontig:CCACVL1_1.0:contig10720:78215:79275:1 gene:CCACVL1_14888 transcript:OMO77696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGSQQPTSAYKPYRHVKSLTAHQRAVSCVKFSNDGTLLASASLDKTLIIWSASSLSLLHRLVGHSDGISDLAWSSDSHYICSASDDRTLRIWDARAPFECLKVLKGHADFVFCVNFNPQSNLIVSGSFDETIRIWEVKTGRCLRVIRAHSMPVTSVHFNRDGSLIVSGSHDGSCKIWDANEGTCLKTLIDDKDPAVSFTKFSPNGKFILVATLDSTLKLWNYSTGKFLKIYQGHTNRAYCITSTFSVTSGKYIVSGSEDKCVYLWDLQSKAMLQKLEGHSDTVISVTCHPSVNKIASAALEGDRTIRIWMQDP >OMO77702 pep supercontig:CCACVL1_1.0:contig10720:109464:110439:-1 gene:CCACVL1_14894 transcript:OMO77702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed maturation protein MSQEQPRRPQLVDDQSPKKEPIKFGDVFNVTGELASKPIGPQDAAAMQSAENLVLGQTRKSGPAAVMQSASAVNEREGLVSHDQADVAGDQGVSLTMSEAGGEVWVTEAVGGQVVGQYIQPEIPAATSPGLLTSDPITVGEALETVAISAADKPIEQSDAAAIQAAEMRATERNATLPGGIGSEAQSAATKNTRTMRFEDQTTLSDVLSDATLMLPKDKAVTREDADKVVAAELRNNPDMATTPGGVGAAMAAAARLNQYSPT >OMO77703 pep supercontig:CCACVL1_1.0:contig10720:111531:111647:-1 gene:CCACVL1_14895 transcript:OMO77703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEHSRRDQVGHDQHFSLQEPITIGKAARVNQHDGVT >OMO77686 pep supercontig:CCACVL1_1.0:contig10720:18585:19894:-1 gene:CCACVL1_14878 transcript:OMO77686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MASSKKTMSFVFVMLALVGLSVGAVHKVGDSTGWTSMGNIDYAKWASTRTFHVGDSLHFEYNSQFHNVMQVTHPDFQSCKGTSAIASYTSGSDSVTLKRPGHFYFLCGVPGHCQAGQKVDILVVPSSVDPSQSPSPSAINSLAANPPSESAPAPGPAQSGALSLVSSSPYKFLLALSLIAHVYVFGVIAF >OMO77690 pep supercontig:CCACVL1_1.0:contig10720:47625:54658:1 gene:CCACVL1_14882 transcript:OMO77690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNLFLTSFSPTAALNISSSSFSSSSISFNINHNPIPFAGNWPLWGKDKGENFDIRPLCSAISKPRTQEYAGVFQNGLPVIKWKEIVDDDIEQGEEEVFEWNTIKERIDTIKAMLGSMEDGEISSSAYDTAWVALIEDVNGSGNPQFPSSLEWIANNQLPDGSWGDRRIFMAHDRLLNTLACVIALKKWDVHIDKCQKGVCFFNENISKLEKENAEHMPIGFEVAFPSLLQVARSLNIEVPYDSPVFQDIYERRNLKLTRIPKEIMHKVPTTLLHSLEGMPGLDWEKLLKLQCKDGSFLFSPSSTAFALMQTKDENCLSYLNKTVQRFNGGVPNVYPVDMFEHIWSVDRLQRLGISRYFQPEIKECLDYVYRYWTEDGICWARNTRVHDIDDTAMGFRILRLHGYEVSADVFRHFEKGGEFFCFVGQSNQAITGIFNLFRASQVMFPGDKILEDANRFSSSFLREKQASGELFDKWIITKDLPGEVGFALKIPWYASLPRVETRFYIEQYGGEDDVWIGKTLYRMEYVNNNVYLELAKLDYNNCQALHQREWDNMQKKLDANRTIQKLTDILLRTLNHLSLDALVAHGRDISSSIRRAWEKWMMMWVEEGDRHKGVAELVVQTINLSCGRCSLEELLSHPKYQRLSNLTNSVSHQLSHYQKQKVQENGCYNADTDNIRTQIIDSDMKQLVQLVLESSSSDDGISSEMKQTFLTVARSFYYAAHCDLDTITFHIAKVLFEKVR >OMO77704 pep supercontig:CCACVL1_1.0:contig10720:112435:113485:-1 gene:CCACVL1_14896 transcript:OMO77704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed maturation protein MSQSQPRRPQADQASESDQDIAIRYGDVFDVTGGLESKPIAPRDADTMRNTENQVLGLTPKEGAGVVMQAAANLNESAGVVRHNQGNEMVDREGVAVSTSRDARGRIVVTEAIADNLVEQYTIPSSNSQEIAHSPSPAPIGWDLGWATTPSPTTTPSVIDTSNVTIGEALEAAAITVGDKPVDQGDAAAIRTAEAKATGTNVSQLPGGGLGAAAQAAATFNDRVAYDYNKITISDVLTDAATKLPRDKGVTMEDAEGVRGAELNKKPDSMTTPGGVADTMATAARVNQDDRP >OMO77697 pep supercontig:CCACVL1_1.0:contig10720:82509:83432:-1 gene:CCACVL1_14889 transcript:OMO77697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIMRDFPSCFGENGVQVADSSSSSSSSAAKAAQNLVTCIYQCKLHGRSCLITVTWTKNLMGQGLSVAIDDSANQCLCKVDIKPWLFSKRKGSKNLELDSGKIDICWDLSNARFGSGPEPVEGFYLAVAFDQEMALLLGDLKKEAYKKIDTSVPLNSDVTFVAKREHIFGKKFYGAKAQFCDKGQMHDVIIECDTVDLKDPCLVIRIDSKTVMQVKRLKWKFRGNHTILVDGLPVEVFWDVHNWLFGNAMGNAVFMFQTCLSAEKLWASQSIFDPSVLTWSYSQKFRDHQVPGLGFSLILYAWKHE >OMO77701 pep supercontig:CCACVL1_1.0:contig10720:104639:104989:-1 gene:CCACVL1_14893 transcript:OMO77701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTLAKNLNLCFTKIKIPLTSEPSYSQLLPSDDHSRPIDPTSAATTSSLFKSYNSLYDPAFDDSASASKSLTQSSSLSSEPDPPEPDYSDSEPDFATVFASQRFFFFSGQLQLHH >OMO77694 pep supercontig:CCACVL1_1.0:contig10720:67271:70928:1 gene:CCACVL1_14886 transcript:OMO77694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLLHPPPPPPTTASMAKRPCPSSSQNPRLPPHFSQMDHLLHSFLSLADSSPQISLDLSFDRLLESSPSDADQSLLIDRAHKLGSLLLRAANRSARKRASLHNSIAWALPPDLTIKVFSMLDSQSLCYAAAACSMFNKCAMDPLCYANIDLTTVVPKVNNAVVSTMIQRAGKSLQSLKLGIVPGPTASPGSCQPLVYTIRNSDVSSFSWNDKKTRQGKESSILTRTCLYPLSGDNGAAGTLLRRLHLYNIERMDNTSLCVALAACPSLLDLEIVGLHVELRQTLVSVSMNCHLIERLFFESSKTGRDDSLKSPTCVELVNNCPNLSSLSLRGFKLHDYKVRILVKGFRKLKYADFSTSYSITGTFLRNLGSGGGGNPLEVLILRDCMHLKEVEVARFLTAVLAGDFKSLRHFDISNREGLASEGDWYQRSYSSSIIPLKQVLEVRPNICLLAEFPSEGSFIDLDQMIDSDVNSEVSMPSQLSSHTSDGSLLMSSSESSYNSDQGSGNEEYQESGFVIYEESSDEVDFLVV >OMO68852 pep supercontig:CCACVL1_1.0:contig12167:19075:28796:-1 gene:CCACVL1_19801 transcript:OMO68852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEKEIGLIERMIHGRLLASSKPDRDDAAAYARWLVSQNTWGILNTISSELDGAPFGNVVSFSDGTPDKSTGIPYFYLTTLDPTARNALKDQRSSLAISEFPLGTCGNADPESPVCAKITLTGKLVLLDANSKEAEFAQTALFTKHPEMKGWPKSHNFQIYKLEIEDIFMINWYGGPKPLTVDQYLKYKISVTPPGNKTLPQSAIQRIADKLRSLGFSETQNAEPKSKPGSGPGSDSPGEIFVPLPHKLPKYRVGHTIDTSWSTPENPVPDPGSGPGNLMVRFKEMKRERKRLGRRVTEEDRAVPSLAELTLSKAELRRLQTLGIAEERKLKVGKAGITEGIVNGIHERWRRNEVVRIVCEDICKMNMKRTHEVLERKTGGLVVWRSGSKIILYRGANYKYPYFSADKIATDDTSSSASPVTDMDNEELHETESCSSESTAAKSATPNATDKTTKPMIVQGVGSPNRVRFQMPGEAELVEEADRLLDGLGPRFNDWWGYEPLPVDGDLLPAVIPGYRRPFRLLPYGVKPILTNDEMTTLRRLARPLPCHFVLGRNRKHQGLAASIVKLWEKCEIAKIAVKRGVQNTNSLLMAQELKWLTGGTLLARDKDFIVLYRGKDFLPSAVSSAIEERRKHGTAGCSESREAAQEVNENATSGYKNESKGSKDERSNTFGGPKNRKSAEATIIKTHTKLSMALEKKEKAEKLLAELEQAEIPQQSDIDKEGITEEERYMLRKVGLRMKPFLLLGRRGVFDGTVENMHLHWKYRELVKIISKDINFEAVYQVARMLEAESGGILVTVERVSKGYAIIVYRGKNYERPTSLRPKTLLTKRQAMKRSLEEQRRKSLKLHILKLTRNIDELKQQLFVDKGTNNMQTVEQSRLPMDQEELEILQSVKDATSDIQCPASPTGHVEATDKGKSKSVSIENDKSVAAISICQPSELEPTEPYSIHDAIENHKTESEASSESVTIKRDAPELRMLHTEVEIVDSTSFPDNVGEEIDYSGAVNAEDCISNNGSMESLIESANDKLHVSNSSTDENMSDKMASTAKFLSNKDRLLLRKQALKMKKRPLLAFGRSNIVTGLAKAIKAHFQKHPLVIVNVKGRAKGTSVQEVVLKLQEATGAILVSQEPSKVILYRGWGAGDEVGRGHKKNTKDASIQNRPAVSPELIAAIKLECGLLQNQ >OMO68851 pep supercontig:CCACVL1_1.0:contig12167:5072:14022:-1 gene:CCACVL1_19800 transcript:OMO68851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQATSCNFAGISSLLCPPKTSRHRFVIRAKVEPSEKSVEIMRKFSEQYARRSGTYFCMDKGVTSVVIKGLAEHKDTLGAPLCPCRHYDDKAAEVGQGFWNCPCVPMRERKECHCMLFLTPDNDFAGSDQAITMEEIKETTANISSQILMAIPRVTITLGGSSKVVKSRDNVMVDHRRMSGNKRFIQDKPWSHDSGDKNFMFMNKRHRGDATGWRSGSSRVQGSRITGNDLRLKLMRKRRSHHFHNVSREYRKWNSEKISHDQPPQNLGIHPTRPGPNEISNLNQFTNNGITDGMHLCSFQTMDGPRGFVEIPQIVPTAPARADFFPSNGFFDTSRTTGMVPITEKVITARPVTYVAPLSSIMQRRPHVDEEPFTVATFLNSLGLGKYAILFRAEEVDMTALREMGDRDLKEMGIPMGPRKKLLLALRPPSRRHLSHIRH >OMO68850 pep supercontig:CCACVL1_1.0:contig12167:4021:4704:1 gene:CCACVL1_19799 transcript:OMO68850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, AN1-type MSGGTEAFPDLGKHCQNSDCHQLDFLPFKCDGCNKVFCLEHRSYKSHECPKSDHKSRKVIVCEICSTSIEITGKEEEEKKVLERHEKSGDCDPRKKKKPTCPVRRCKETLTFSNTSVCKTCQLKVCLKHRFPADHACSQQKASAAPAAAAKGAWNARFLSAFPSRNGKDCSNNERRPSSSTTSPSVKAY >OMP08334 pep supercontig:CCACVL1_1.0:contig03852:1018:1107:1 gene:CCACVL1_01121 transcript:OMP08334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFDLNPNPSVGKRRVRMPKFNASSPKYI >OMP08285 pep supercontig:CCACVL1_1.0:contig03897:1291:1359:1 gene:CCACVL1_01134 transcript:OMP08285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRSVSNEYGLALKKLGDII >OMO85421 pep supercontig:CCACVL1_1.0:contig09620:4036:5513:-1 gene:CCACVL1_10189 transcript:OMO85421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MDPKGSKQQPPQEVANFLSLPPQPQHPQPPQQQPPPPQNMSENKPAEIKDFQIVVADNKEEGKKQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGSGTIPASALAAAGGSVSQQGASLSAGLHQKMEDLGPGGSSIGSGSSRTSWAMVGGNLGRPHHMATGLWPPVSGYGFQSSSSGPSTTNLGTESSNYLQKIGFPGFDLPAANMGQMSFTSILGGASHQQLPGLELGLSQDGHIGVLNPQALSQIYQQMGQARMHQQQQQQHHQHQQQHHQQPPVKDDSQESGQSEERWILCLSEFYRDWMDFVLPPSSFCTESPKSPLQRWSFAQPRFLGTSCLIKRCVDYDVRKIFIVFFFSRTLDGVEIGLFVKLNIEFYGHTFPVAS >OMO85422 pep supercontig:CCACVL1_1.0:contig09620:13534:18379:-1 gene:CCACVL1_10191 transcript:OMO85422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MGTEITEPLILDAKNADGGPVVSERVPEWKEQITIRGLAVSAILGTLFCIITHKLNLTVGIIPSLNVGAGLLGFFFVKSWTGFLNKLGFQVSPFTKQENTVIQTCVVACYGLAFSGGFGSYLIAMNEKTYKLIGPDYPGNRAEDIKNPGLGWMTGFLFVVSFLGLFSLVPLRKIMVLKYKLTYPSGTATAMLINSFHTNTGAELAGKQVKCLGKYLSLSLVWSCFKWFFSGIGDSCGFDNFPSFGLTLYKNTFYFDFSPTYVGCGLICPHIVNCSVLLGAIISWGFLWPFVSAHAGDWYPSGLDSNDFKGLYGYKVFIAIALVLGDGLYNLIKIIYVTAKEMWNKSTKESKLPVVSELIDDESSKALAEQRKRDEIFLKDKLPTWFAASAYVALAAISTATIPILFPPLKWYLVLVTYIIAPALAFCNSYGTGLTDWSLTSTYGKIVLFIIASMVGSNGGVIAGLGACGVMMSIVSTAADLMQDFKTGYLTLSSAKSMFVSQLIGTAMGCVIAPLTFWLFWTAFDVGSPDGPYKAPYAVIFREMAILGIEGFAELPKHCLALCCGFFVGAIVVNILRDVAPKRISQFIPIPMAMAVPFYVGAYFAIDMFVGTVILFIWEQLNRKDAEDYAGAVASGLICGDGIWTIPSAILSIFRIDPPICMYFGPSASS >OMO85423 pep supercontig:CCACVL1_1.0:contig09620:23805:26917:1 gene:CCACVL1_10192 transcript:OMO85423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLGWMQHKLRQSNIEPFKDFTIGNYCTCLSAHSSRNDQDSHPISASGYGYASRYSTESQQESENSFTEFEAKGLEDNFEDEASTVISGLFHGFLTIGTLGSETIISEPVTPTFTMSLENTNEEKTEVTENDLKLINDELEKFLEAEAEEHGSNESSRRNSQVSTITLSGKPMQEGNAQECGKTNVCPLQGYLFGSSIELPETIFEVKKEKASLAELFYRTKIAEESPMGKCGKEEMQTKQTNKPVKPLLKRILKKLQTSSGVATSTCSPKATNSVSTKKKLQKVIKLFHRKIHPESSIAEKESKQLHMNKMNDAPHYNGDYSEDGKQIHHNKDNRLFPLGSRAKEGIQNYKTISKLPLYQLNGFTATANGEHWIKTDADSGK >OMO85424 pep supercontig:CCACVL1_1.0:contig09620:27625:31114:-1 gene:CCACVL1_10193 transcript:OMO85424 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MAASVFSASSLFGSRITEPLTLISSKPRSSLNFKRTPYIRISCDYSCLEVKDVYYRPPGTQINLLNGVSFSLPEKSFGLIFGQSGSGKTTLLQLLAGLSKPTSGSIYIQKYSNEGKPNQSPESLIPERVGIVFQFPERYFVADTVLDEITFGWPKQKGGLQLKEQLALNLQRAFNWVGLNGISLDKDPHSLSGGYKRRLALAIQLAQVPDLLILDEPLAGLDWKARADVVKLLKHLKKELTILVVSHDLKELEDLVDRSWRMEMGGVLTEECLPSTGLV >OMO85425 pep supercontig:CCACVL1_1.0:contig09620:35150:37997:1 gene:CCACVL1_10194 transcript:OMO85425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MENQAKKVLITSNGDEISVNIALHLAKPGCRLVLMGNECCLRSARQKIMDSTNNVVPIEVVGLDMEEERQAAFDEAVDKAWEVFGHLDALVHCSAYEGKMQDHLQLAEEEFRKMVKINFMAAWYLLTAVGRRMRDNKSGGSIVFLTTMQGSERGLYQGAAAYGSCMAGVQQLARLSALEIGKHKIRVNAIARGLHLEDEYPMSVGKEKAEKLVKEAMPLHRWLDVKNDLASTVIYLISDGSRYLTGTTIFVDGAQSLVRPRYSLKGGNWEMGDESDFSLDVTLKL >OMO70313 pep supercontig:CCACVL1_1.0:contig11840:9112:14639:-1 gene:CCACVL1_18995 transcript:OMO70313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEVINPALEGLYILSAPLTKWFDYTRNVEKLCKKLHDNMEQLNSRETDIKMEVQRGAMYPRKKLRSEVELWLKQVEKVTTQVSDIENEISRKGCFPNCHSRYKLGKLLSKTNEEVNDLQGKGAFPGGLFVDLLPYSGKSIPTTRLIGKTTPVKAFHKIWECLMDVNISKIGIYGMGGVGKTTIMMHVNNLLNEAQIFEDVIWVTVSKTFNLGKLQGEVAKAVDLDLSDDESVIRRASIPFEHLSERRKFVIILDDLWYKFPLEAVGIPQPTKGNGCKLVIITRLMDVCRGMETDKEIKLDVLSEEEAWDLFIDKAGKDSMHYSPEVEAIAKNIPEECGRLPLAIITVGRSMRKTDDARVWKNALEELKTSRAEIEGMEEDVFTSLKFSHDHLKNDKVRACLLYCALYPDHYKIDVDELVEYWMAEGLIDEVGDRENEVNKGYAVIQELKDAWLGLKTLPKEWMEDVEKVSLMDNNITVLPENPVSTNLTTLLLQRNPLLERIPDLFFKNMPKLRVLDLSGTSIESLPDSISCLENLRVLLLGFSELKNLPSLEMLKELRVLDLSDTLIEVLPQNIECLTRLRRLDLSYTEELNTFPTREISKLSCLENLSMFKSGQRWSFKSGELDQGVDFSHISSSLHLTNLGLSFVDPCSFNIYVRSGHWQALKSYHIGIGLLASFSPISRESCSVELQGCNLISGENYIELPYNTRHLALQGCPDIDILSNMSTLCHLEECYVSSCSALEYITVADHDHSFPSLKRLVLRKLPNLKAVCNGVGIVNVLPMLKILHLHNCNRLTSLFSLGLLQCLQNLEEIEVWNSRSIQEIIEGEERSGISGGAITIPRLHRLYLSSLPELKRICSREINFSSLKFIDVWDCGNLTKLPFSVESFPLSIKHVRGSRKWWDGLEWDEPNTKSLLQPFFKEDSKCVETECACFLLANVCAGYRHPFKEINVMCKSANFRIVFRKNIHIQGHFFSQAQRNSPNPAESSTNVANLGHTQYASSLPSKRKRDLDQNWTSDTSAGIDALNRNFMASPVTTVVEEDSLAPTIETISSSEEGTLREGNSKPLTPSYSIGVTEVAMFADALHQEEVQSDLQGPPLVTVNGYRVKEESAPILRKIIEKRGDIAVNCMARFVGFRCYYLEKICDIVQRLQTTNLSQITKIEVKEMLTCVSDLWEYNLNVGWLQKRLEEVLDAIDLIQRASQCKQKMEESKKALKSHEASILEYEAKLHNFKEKASLEKEKINETEDEYISIKQGVAKLPLQHFVKGSLLPDL >OMO70312 pep supercontig:CCACVL1_1.0:contig11840:5626:8340:1 gene:CCACVL1_18994 transcript:OMO70312 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-dependent dehydrogenase MDITNVTEYEAIAKEKLPKMVYDYYASGAEDQWTLKENRNAFSRILFRPRILVDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKTDDSGLASYVAGQVDRSLSWKDVQWLQTITSLPILVKGVLTAEDARLAVQAGAAGIIVSNHGARQLDYVPATIMALEEVVKASQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAADGEAGVRKVLQMLRDEFELTMALSGCRSLKEITRNHIVTDWDSPRVVPRL >OMO51850 pep supercontig:CCACVL1_1.0:contig15691:17270:19764:1 gene:CCACVL1_29551 transcript:OMO51850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Male sterility, NAD-binding protein MNGQGSCNPIKITGVSSVLRERSKRVNVDRGATVADAAGSLVIDSPNGNSQADIAVKDLVPYGGSTTSLVELQEGIGIVKFLRGKDFFITGSTGFLAKVLIEKILRTVPDVGRIFVLIKAKNREAAMERLKSEIINAELFKYDVAIDINTRGACHLMGFAKKCQKLKLFLQVSTAYVNGQRQGRIIEKPFDIGDSIARENLISETTPRSIPELDVEDELLLAINSKKDFDESEVAQKMKELGLERARKYGWQDTYVFTKAMGEMMINNMRGDIPLVIIRPSVIESTFKEPFPGWMEGNRMMDPIVLCYGKGQLSGFLVDPNGVLDVVPADMVVNATLAAIARHGMSPKPDINIYHIASSVVNPLVFQDLARLLYEHYNSSPFLDSKGRPIHVSSMKLFNSMEDFSAHLWRDAIQRTGLTAMGSWSGKLSQKLETICRKSVEQAKYLANIYEPYTFYGGRFDNSNTERLMEMMSEEEKRNFGFDVESIDWKDYIKNVHIPGLRRHVMKGRGMCT >OMO51849 pep supercontig:CCACVL1_1.0:contig15691:9514:10467:-1 gene:CCACVL1_29550 transcript:OMO51849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MQPDSHACSRKRKRRGNRHSMEAAPPLSPSVILFYNHRSGKVSCSVYALNTAAVLEDNGDDTKPLPPPLFRFEKKKFPILPGYAALGSKIYIFGGMNSAEACKDNPALEKYSSDTYVFDTTQHLPPPSDDPKAFLLKGPSLNAPKYHPISVVFKGKIYVFPSRFSCQAMLSKTPMLGPKCELLDHGLWTALPDPPLLDQHVQGRLDIQSPVSLYKDAEFHHFVLRLITTF >OMO56745 pep supercontig:CCACVL1_1.0:contig14484:7701:10077:-1 gene:CCACVL1_26314 transcript:OMO56745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRADLTVGPAMDMPIMHDSDRYDFVRDIGSGNFGVARLMRDKVSKELVAVKYIERGDKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICAAGRFNEDEARFFFQQLISGVSYCHAMQVCHRDLKLENSLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRQEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKDFRKTIQRILTVQYSIPDFVQISPECLHLISRIFVADPTARITIPEIRNHEWFLKNLPADLMNENTMGNHFEEPDQPMQSTDTIMQIIAEATIPAAGAQGLNHYMLDPLDDEDMDDLDSESELDIDSSGEIVYAM >OMO56747 pep supercontig:CCACVL1_1.0:contig14484:20062:20187:1 gene:CCACVL1_26316 transcript:OMO56747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEATIISAVDGQLMREIMAWNTMSGASTFLDRTLPLKGC >OMO56746 pep supercontig:CCACVL1_1.0:contig14484:15796:18749:1 gene:CCACVL1_26315 transcript:OMO56746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDSELIARLREFLTESDLNTTSTASVRRRLEEDFGIDLSEKKKFIREQIDIYLQSQFENAEEEEQEEEDENDAEDDQSAKIKSEESDGSDSNGEEEEEDIKKPRNKRAPAKKRSRKVNNEVKKRGGGGGFNKVCSLSPQLQEFLGVPALARTEVVRQVWAYIREKKLQDPANKKNIICDESLHALFDVHSIDMFQMNKALSKHILLPLDSDEVASVKPTEKEREKEKQRKHEREDGNDDPDEPKRKEKRQKGFLAPLQLSDALVKFIGTGESVLTRADVIKRMWDYIKENNLQDPSDKRKVICDEKLKELFDVDNFTGFTVSKLLAAHFVKNAPASC >OMO56743 pep supercontig:CCACVL1_1.0:contig14484:270:755:1 gene:CCACVL1_26312 transcript:OMO56743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMELFLGTAIQELLNVIEREREADFRFESILRNLKSILDIAFPRISQICELRKSNGLSNDQLHKLVEQMKEAEDVLDKFSRVACWNYCEKHKYKKELRRLNDSIRDFFSITMQIEQYENTSRIQVQLKGLAQRLDRLITRRRNASLSEQESERNSLLTKK >OMO56744 pep supercontig:CCACVL1_1.0:contig14484:4240:7077:1 gene:CCACVL1_26313 transcript:OMO56744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MPVDVAGAALGGPFAELLRLVIEGSKRVAMFSGQLKEIKSTLSSLEPKIKEIDSLNRELDKEQDTKDLKEIITKGQKLVTESLKISNLNFYKRAMYSKKLGGLNKELLRHCTIVMQTQQSTDILNIRTEVRTMSLEIKKLSLREPLKSLSGAYSQVGVVGSCKVPKPRGEVLGFDVHLRELKPRLLKDGVSVIVVSAPGGSGKTTLVQELCQDKVVKEKFKDNIFYIIVSKAPNMEVILQKLLQLEDYAMPKFQSEVDALNQVEQNFREMGPNPILLILDDVWPGSESLVDKLKFSLPDYKILVTSRVNFHSFDFNYRLKPLNDDDAMKLFRHFAFKPDEKHDIPNDLVDKVMKSCKGLPLALDVVGKSLCGQPVPKWKKRVKDKSKGLPLFQPNDELFSCLQSSLDSLDDIQTAGIKDCFLDLGSFPEDHRIPATALVDMWAEQYKLDEDDAIVNLVELYKRNLVDLVVIRKDASEADGYYNEYFVMQHDLLREFAIDQSSLEPVEKRKRLIAELSSNNFPDWWSEGNKQSLSAGLLSISTDETFSKFNWGNIQAPEVEVLVLNFRTKNYTLPTFMDKMHKLKVLIVTNNGLHAAELSNFVVLSSSSNLKRIRLEKVSIPSFGLSSFQLKNLRKMSLVMCNISQAFKNGSSKMSDVFPNLLEIDIDYCDDLKELTDGLCDLVQLMKLSITNCHKLSALPEGIGKLINLQVLRLSSCTDLKALPETIESLSQLNILDISDCFRIRKLPIQIGELHNLRKLYMRGCNCDLPSTIRRLQNLRDVICDEETADQWDSFKSILTDLNVTVRKEDINLKWLES >OMO64525 pep supercontig:CCACVL1_1.0:contig12814:48683:49030:1 gene:CCACVL1_21694 transcript:OMO64525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMPKGCSSLLASISTISSFGFSAIPQAAWNI >OMO64527 pep supercontig:CCACVL1_1.0:contig12814:54199:58829:-1 gene:CCACVL1_21696 transcript:OMO64527 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc phosphodiesterase ELAC protein 2-like protein MVKTKEFKFNEARADGGDKTCEPKKNFQPKRHIGGSGNTSAYVQILGIGMDTHDTTPSVLLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHICFSRVCSETAGGLPGLLLTLAGMGDGVSVHMWGPSNLNLLVDAMKCFIPRDAMVHTHLISQTGPLQTDNPSAEGSNFKDVKLSVILLQPNGVEESAVNHSDVVVVYVCELHEIKGKFHKEKADALGVKVKTKFKELTEGKSVKSDYLDITVHPSDVIGPPVPGPIVIIIDCPTDSHAQELFCTQSLNEYYWDSGNQPQATKIVNCVIHLGPASVVNSIAYNKWMKNFGSAQHVIAGHVMKQVENPILKSSARMAARLNYLCPQLFPPLSSWPTQDHSIAAPGLISSTEVFSECKSISAENLLKFTLRPHTHLGLDRSNVPSSLVASEVIDELLLESPDIVDAAQDVKQLWQKATEKKVCTISMQDSKIKVEELILDEDSLPRCLENIQRDDLEIIFLGTGSSQPSKYRNVTAIYLNLFSRGSLLLDCGEGTLAQLRRRYGMEGSNNAVKNLAFVWISHIHADHHAGLARILALRRDLLKGVPHEPLIVIGPSQLERFLDAYQRLEDLDMQFFDNKTTTIVPWEAFELDNESNNNQLVPENNEGIKHFDLASKFSESLQGCSKRRKLSVPVDNVATFPLLKRLKKVLNKAGLERLVSFPVVHCPEAFGVILKAADRINSFGKVIPGWKVVYSGDTRPCLEMIEASQAATILIHEAMAAACFPCIKSFSLKATFEDGMDGEAIAKNHSTTKEAIEVGDSAAAYRIILTHFSQRYPKVPALDEISMQKTCIAFDFMSINIADLPVLPKVIPYLKLLFKSETMIDNFNDVETDA >OMO64526 pep supercontig:CCACVL1_1.0:contig12814:51495:53894:1 gene:CCACVL1_21695 transcript:OMO64526 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MALKVLSALDVAKIQLYHFKAIIVAGMGLFTDAYDLFCIPPIIKLIGRIYYDDKSAPTAVVSNLVAIALLGTVIGQLVFGRLGDQIGRRRVYGLSLSIMVLSSIACGFSICRTRNCVLVSLGFFRFLLGVGIGGDYPLSATIMSEFANKKTRGAFIAAVFSMQGFGILASSVVTMVVSTIFDATSDAPEGHTPDEADISWRLILMLGGVPAALTYYWRMMMPETARYTALVEQNVVQAAMDMKKVLDVPMSQIAEDSPLPSSPPPTYPLLSKRFFRRHGRDLFSCAASWFLVDIVFYSSNLFQSQIYHRFIKDHDPNKNGYQLAFRVARFQAILAICSTIPGYWVTVYFIDRIGRVRIQMIGFFFMAMVYFAIGIPYKFYWHNHINDGFLVLYGLTFFFSNFGPNTTTFIVPAELFPARFRSTCHGISGAVGKVGAIIGTVGFIWASKNQKKDEKPNPERMTVALVLLGVVCLVGLSVTYFFTRETMGRSLEDNENEDDD >OMO64523 pep supercontig:CCACVL1_1.0:contig12814:6265:7017:-1 gene:CCACVL1_21692 transcript:OMO64523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVKLFKTWSTPFGLRITWALQLKGISYEAIDEDLSNKSALLLQYNPVYKKVPVLVHNGKPISESIVILEYIDETWKQNPILPEDPLERANARFWAKFSDEKLIPSVWSAFTKEGKEREEAMDASLENLKFVEEELKGKKFFGGEKLGLADIVFGWLGNLLPIFEEVSGLKLLDDRFPSLLAWTKELSELPVVKYNWPPHDKMIIKYQALYEKFHPAK >OMO64524 pep supercontig:CCACVL1_1.0:contig12814:8156:31222:-1 gene:CCACVL1_21693 transcript:OMO64524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLTRATQNRVPSSYAFFKPLRVKPSRVVTTTRPKSSLQVKASSLNGSYVQNLKRSVRTIPNRLSLAAVSRSIAASQAPFKFHPIDAIGLLTFTGCFHDKTTAVVPDNYKLLRPYFHSLLLEYQGQSLHQFILKIQIQTQDTDPNPTF >OMO64522 pep supercontig:CCACVL1_1.0:contig12814:748:5065:1 gene:CCACVL1_21691 transcript:OMO64522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTALNEATNQRLYCSISKALSSASNSKQLLKVHSLIITLGFQKSVFFSGKLISKYAQFKDPSSSLSVFHQVLPTTNLYQWNSIIRALTHNGLFSKALGFYTRMQELEILPDKYTFPSIVNSCAALVDVKMGKFVHKNVLEMGLASDLYIGNALVDMYARFGCLDVALKVFDGMPERDIVSWNSLISGYSANGYWEEALKVYHMAKMAGIMPDSFTVSSVLPACGGLVDIKEGEVVHCLVEKVGLHGDVVVSNGLLSMYFKFNMLVDAQRIFDEMVVRDTVSWNTLICGYSQMELFRESIELFIHMVKKFKPDLLTITSILRACGHLQELKFGKFVHQYMKKSGYQGDVIADNILIDMYSKCGDLLASREVFDRMTCKDTVSWNSMINGYIQYGNYDEALKLSKFMKIDLKVDSITCVMLLSISTQLTDKDLGKQIHCDIVKWGFDTDLIVSNAMVDMYAKCGQINDSLNVFDNMKTHDRVTWNTIITACVQSGDFTLAPRMINQMRKEGLRPDVATILGILPMCFFLAAKRQGKEIHGCIFRFGFETDVPIGNALIEMYSKCGSLKNSLQVFDRMKVRDVVTWTAMISAYGMYGEGRKALRAFADMKATGVIPDHVAFVAIIYACSHSGLVEDGLACFDQMKKDYNLEPRIEHYACVVDLLSRSGLICKAEEFICSMPLKPDVGIWGSLLSGCRLSGNIEVAERVSERILELKSNDTGYYVLVSNVYAILGNWDQVRTIRKTIKARGLKKYPGCSWIEIERRLYVFGTGDKFFEQYEEVNKLLGTISGLMAKEGYVADLRYALHDVEEDEKRDLLCGHSERLAIAFGILNTKPGTPLQVMKNLRVCGDCHTVTKGSLSVEIRPGNYGFVLFGGMKLMFQGLYLFYPIFERENVVDDFRAGKTWVLIATDVIAHGLSGRAGRTRAAITFYTGDDVPYLRNIANIMGASGCEVPSWIMGLNKLRWKKHRPKRSCILTKPSDEAED >OMO50958 pep supercontig:CCACVL1_1.0:contig16025:2795:5696:-1 gene:CCACVL1_30106 transcript:OMO50958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTQFMTYALISQLLCLLSAIAIASGYNYLELASIRFRSSFFVSCYSIVDYIEFIHRVSTPLMVNKIPDITAQESENLVKKTAENVDEAVRELPDANMILDSGEKIGLQHFKPVKPLGSGDTGSVHLVELCETGLYFAMKAMDKGVMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKAHICLIMDYCPGGELFLLLDRQPMKVMKEDAVRFYVAEVIVALEYLHIKPVLLSQLWCLFNAIVIASGYNSLELASN >OMO81095 pep supercontig:CCACVL1_1.0:contig10240:5582:8580:1 gene:CCACVL1_12609 transcript:OMO81095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFENSPIFCISFTPRFPTEAAELLQKLTLDSQAKPLENPEPTKKPSVYQYGSVDSGNTGNTQIPSFDRSVIPDFMDPTMCYVPNGYPSAYYCFDGSTGNDWDEYSRYVNQDVVDATSDNGSLMYHHGYGYAPYGPYSPATSPVPTVGNDGQLYGLQHYQYPPYFQPLTPTSGPFTPNPAAPAQGELSTSAAADQKPLPVETANANTKGVANGGSVKGNNGSAAMKPSYQNSFNSNTNSYGRGALPGGIPASGYQDPRYAIPWDMFSDGQHRPVNGTGINSSFSKGNNAPSSRNQNYRSNSHYTGLQHGLYSGMGTAHGYINRMYPNKIYGQYGNIYRPGMGFGSNGFDLRTNGRGWLAADNKYKPRGRGNGYFGPGNDNMDGLNELNRGPRAKGSKNQNGAAAPITADVKGQNIASDGTNGEEQEKTCVVPDREQYNREEFPVDYTDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAAYQEAQQKPGGCPVYLFFSVNTSGQFVGVAEMVGPVDFQKNVEYWQQDKWTGCFPVKWHIVKDVPNNSLKHITLENNENKPVTNSRDTQEIKLEQGLKLIKIFKEHSSKTCILDDFEFYEVRQKAIQDKKAKQQFQKQVWEGKPVDEKKDVANGTQNCLEAGSDLVKEPSLTDNSNGDLKLSENGSANPIVVPDKKVLSNGIANGC >OMO81094 pep supercontig:CCACVL1_1.0:contig10240:1160:1651:1 gene:CCACVL1_12608 transcript:OMO81094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8, subtilisin-related protein MDPGLIYDMKTSDYILFLCNIGYSQERIKRIVLPSPGVDTNCNHVFQTNANVNYPSISISNLKSALTIKRTVRNVGWGKTAIYFGTAKEPDGVEVVIWPRVLFFTPLKQEISYYVTLKPLKKSQARYDFGEIVWSDGFHSVRSPLVVLVNTVAADDFTLRSTI >OMO81096 pep supercontig:CCACVL1_1.0:contig10240:9942:21099:1 gene:CCACVL1_12610 transcript:OMO81096 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase, ATP-dependent, RecQ type MGTSLRSIGRRVGGLPLCWRRPFSTAVCDVENQQTAASAKSFNLYSAINQALHIALETDPRAFVFGEDVGFGGVFRCTTGLADRFGKSRVFNTPLCEQGIVGFGIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRAPYGAVGHGGHYHSQSPEAFFCHVPGIKVVIPRSPRQAKGLLLSCIRDPNPVVFFEPKWLYRLAVEEVPEHDYMLPLSEAEVIRKGSDITLVGWGAQLSIMEQACIDAEKDGISCELIDLKTLIPWDKETVEASVSKTGRLLISHEAPVTGGFGAEISASIMERCFLRLEAPVARVCGLDTPFPLVFEPFYMPTKNKETALTFRLLHIIGADVYYKWYQIRALLEKQERLYERQSELKTLLEACSEDVSVQATTATPVEDWSGQFEWDSRADDVKFNVFGISSYRPNQREIINAVMSGRDVLVIMAAGGGKSLCYQLPAILREGIALVVSPLLSLIQDQVMGLTASGIPAFMLTSTTSKEDEKFIYKALERGEGDLRLLYVTPEKISKSKRFMSKLEKCHNAGRLSLISIDEAHCCSQWGHDFRPDYKNLGILKIQFPNVPVVALTATATLKVQNDLMEMLHIPRCVKFVSSVKRPNLFYMVRDKSSVGKVVIDEIAEYIKESYPNKESGIV >OMO51946 pep supercontig:CCACVL1_1.0:contig15666:4589:4738:-1 gene:CCACVL1_29481 transcript:OMO51946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKSAVAFATVFGAHMDNVCKDLKACHPFVIDLFGIRTMLNRIINGIRWE >OMO59614 pep supercontig:CCACVL1_1.0:contig13913:32233:33096:-1 gene:CCACVL1_24720 transcript:OMO59614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSMGKNPQLVKRAMALWLMLEEINYHELIRMIHSKDDNTIDIFFNQALECLEFIQPNATPPTSQQDETQVFLGLIDEPMNFRFFYYHREFMYKRHVHILETVCDKIFGENGAIEVDESGLKPVARPLEDGSSTSSHSSSDHQALPKLSNLNPGADEFHPGQTPEDTRTMFLTFSKGYPLSREEIVHFFTSNWGEVVQDVVIDSSHHPGQDPQFGRIVFTSSLVIPRVLNGQSKAKFMVNRKHLWARIYVPRHRGRRG >OMO59615 pep supercontig:CCACVL1_1.0:contig13913:33847:39114:-1 gene:CCACVL1_24721 transcript:OMO59615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDYHAEERRKAEFDVEEMKIVWAGSRHAYEVSDRVARIVASDPVFQKDNRTMLSRKDLFKDTLKKAAHAWKRIIELRLSEEEATELRFFVDQPAYTDLHWGMFVPAIKGQGTEEQQKKWLPMAYKMQIIGCYAQTELGHGSNVQGLETTATFDPQTDEFIIHSPTLTSSKWWPGGLGKVSTHAVVYARLITDGQDYGVHGFIVQLRSLDDHLPLPGITVGDIGMKFGSGAYNSMDNGLLRFDHVQIPRDQMLMRLSQVTREGKYMPSDVPRQLVYGTMVYVRQTIVSDASSALSKAVCIATRYSAIRRQFGSQNGGPETQVINYKTQQNRLFPLLASAYAFRFVGEWLKWLYTDVTERLQANDFSTLPEAHACTAGLKSITTTATADAIEECRKLCGGHGYLSSSGLPELFAVYVPACTYEGDNVVLLLQVARFLMKTVSQLGSGKKPVGTTAYMGRAEHLMQCSCDVQRAEDWLNPSVIVEAFEARALRMSVACAQSLSKFSNPEEGFAELSPNLVEAAVAHCQLIVVSKFIEKLQQDIPGKGVKRQLEILCNVYALYLVHKHLGDFVSTGSITPKQGALANEQLRSLYSQVRPNAIALVDAFNYTDHFLSSILGRYDGNVYAKLYEAAWKDPLNDSVVPDGYHDYVKPLLRQQLITARL >OMO59617 pep supercontig:CCACVL1_1.0:contig13913:43896:44387:-1 gene:CCACVL1_24723 transcript:OMO59617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDDLFSSSHPQAEPQSNDQLPVPPPLDEEDESMDSNNSNDGETVPPKPESSQPCYPVVYPAYFPPFFPFSFPYWMGCNTEPTKKDTHEVVKPTAVHSKSPINVDELVGMSKLSLGDSIGDSGPSSLSLKLQDGSSRQSAFHANPASGSSSMNSSGSPIHAV >OMO59616 pep supercontig:CCACVL1_1.0:contig13913:40561:42609:-1 gene:CCACVL1_24722 transcript:OMO59616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLNRTITTPKLWNKKKSLQQQQSRRSPFFFFSLVLLSLLFFFFLTYTSIPKSLFSTSSQTVNVAISTIHPRCRTRIPGEKFLWFSPHSGFSNQLSEFKNAIVMAGILNRTLIVPPILDHHAVALGSCPKFRVQSPKEIRLSVWDHVIELIRSGRYVSMADIIDISSLLSSSLVRAIDFRVFVSLWCGLDMTLACSNELDANQSMVDSLKQCGSLLSGLDGNIDRCLFAVDEDCRTTVWMYQNDEVDGALDSFQPDEQLKKKKKISFVRTRKDVYKTLGPGSEADTATVLAFGSLFTAPYKGSELYIDIQKAPGDPRIKSLLEKIEFLPFVPEIINSGKQFSVQTIKAPFLCAQLRLLDGQFKNHWKATFSSLKQKLDSLRQASSLPIHIFVMTDLPQGNWTGTYLGDLAKDSTNFKLYFLREEDLLVKETEKKLALAGHGLRFGSLPGSKDAVANLEKHCAPNKLPDVLLFLEEIVCSCASIGFVGTAGSTIAETIEVIRKFGSCSSQTQAAL >OMO59611 pep supercontig:CCACVL1_1.0:contig13913:3514:4007:1 gene:CCACVL1_24717 transcript:OMO59611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIILHGESRAESALCTASSPEREKGVLMEDFNSETLDSSCSFPSEPPDIRNWFSSYKYESFALDTCENLGGIVSEETEINRDEFEIGEIN >OMO59612 pep supercontig:CCACVL1_1.0:contig13913:14013:20819:1 gene:CCACVL1_24718 transcript:OMO59612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNLVNHIESTVVNVEKKMVRLQKNLARIPDLDLGHSVQAPIVIP >OMO59613 pep supercontig:CCACVL1_1.0:contig13913:24061:31109:1 gene:CCACVL1_24719 transcript:OMO59613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNLRDRKSLNVTSGSYVEPDFDEDETEFERPPAARKPNQTNNAGTLSSSVGKQSGKGSIEEMGHKKRKGKKKMVYQEEVAKKSIRTWTKTLTVTEVKASQEDELGRLIFWSNLTRINYSGFYIVVLEKNDEVVSAATVRVHDKNLAEMPYIATSEEYRGLMSDDILIHEGSQDNSKCGGEELDMNSPTTLFCGNIESVSKYRNLGLKLKMFVAISGDVVDDMVDLVQILRRLQVEKVVIPAVEELAGMWINKFGFSPVGDEQSKQELTRYNTVTFYSSVVRLQKNAWPGFLISTWFFLFFFFLCGQKSEW >OMP06245 pep supercontig:CCACVL1_1.0:contig05010:6423:7033:-1 gene:CCACVL1_01657 transcript:OMP06245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVIAGNGGSQPSKCESNSPTLNETKLVVN >OMP06246 pep supercontig:CCACVL1_1.0:contig05010:8505:10166:-1 gene:CCACVL1_01658 transcript:OMP06246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MASNSMKPHFVLKPFMSQGDLIPRIDIASQAVKAFGQIDILVNNAAEQYKASSAEEIDEQRLERVFRTNIFSYFFMTRATMPTNSSILSACCFSFLFQLPLVQ >OMO71739 pep supercontig:CCACVL1_1.0:contig11569:32532:34043:1 gene:CCACVL1_18083 transcript:OMO71739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYIRTNSLKRLFSLKRRTSFEEDVVNQNGVFEEEEVDNSKESFKVVSVSEHSQRPSWRCFSFEEIFVATNGFSSENLVGKGGYAEVYKGVLKDGEEIAVKRLTKASTDERKEKDFLTEIGTIGHVCHPNVLSLLGCCIDNGLYLIFQFSSRGSVASLLHDANSPAMDWKTRYKIAVGTARGLLYLHKGCQRRIIHRDIKSSNILLTADFEPQISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYFMHGIVDEKTDVFAFGVFLLEIISGRKPVDASHQSLHCWAKPLLNREEIEKLVDPRLQGNFDVSQLKRLAFAASLCIRASSAWRPTMNE >OMO72736 pep supercontig:CCACVL1_1.0:contig11407:19276:25076:1 gene:CCACVL1_17626 transcript:OMO72736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MTSYASSRDVQPIEGEVNYYGVLIDIVELNYPCFRKIVLFRCDWIDLDRGCKKEKFGFTLVNFSHLTHNGSNLVDEPFILASQAQKVFYIKDEWDNGWVVVKHAKLRDTFDMGDREGSTVSNQKAVEMQGTEVDELNASGQERRRFKNSCFGEILKDLDKEDSMVKNVQDLPVGDVACKSTRFMGTIVRNSDSAPINYISWSQMPVDKKEEMWKTIKEKFVFQKLGSDEFVDEEEMEHIKAWALEDMSSKWRAWKNELKSKYFDEEKTSVMDQSAKNRENRSKSDQPHFVGTKSFPRLIQTMTEEANGIHPSRADVYIHSQTRKDGNIVNEKAAQVVDRIQKIRIETNDDSLEASWDKDVYFKANGPEKKVQQNQEVEGLRTEVHGLKNVAPDAMSAPEISPIRNNRSLEITHQPSQHQDDILNHELGHDQLTLVDDGVLNLVRL >OMO83510 pep supercontig:CCACVL1_1.0:contig09879:13171:17624:1 gene:CCACVL1_11368 transcript:OMO83510 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/Surp MDLEVVGRHALLFDDDPMASFVNSAAALVDWNSLSIDRYDVRHLLSGPPPPRKRRRHPSSPSQAADDTLESELDRERYLDLPPSSPSQSDQQDEDNDAEPATAGGVYNAVPLTYGNTGESNEKKDTEVASCFQPPFPVPENLLQSLPPTEKVHQIMARTAMFVSTHGGQSEIVLRVKQGDNPTFGFLMPDHPLHPYFRFLVDNQELLSKNSVGEENKADNALDQAVSVKGGGALSLLGTVYDSGEDEEGATEHTTEVDRKDSVDAGDNINKTSTGATEQKESSVRANEKDESATKHSAPLKEKVSLIKRNRSITTVKAGSATGLKKESDVSTTEKSRASSLPATSKVELPVVEPPSDLKRVVDKIVEFILKNGRQFEAVLVEQDVKHGRFPFLLPTNLYHPYYLQVLQNAEKPKLPGKGFISQKRDSSSLGADKKAAAARESDSMSVASDIPFDSDRKEKFKMVISKSKKDGQEPSSKATQPEVGVRVDVAAAAAILQAATRGLKKANLEILSKTTVNGSSQAPSSESGHAPSVGSHLSSQPGQKGEPSVSGPIANAIAKTAAIAAASEADSSEACLTKEQKLKAERLKRAKMFAAMIKNGAAPLKSEFSRGLSVEPPESGLSGPGVEGERPLEKEREDSSIPLDANTSDKTGKHENIYSGSDHNERRSKRKYRSRSSRNDEEEAREEEEEGEEEEKDRDHKNSGKKRRSHHSSHHSRNRHKHRRKRSSSKDRHSRHCHKHHSSSDDERGHKSDHSDSDHHHSRHRRSRHGYEHDSSDDEHRHSRHHHKHDYSSEDEDRRSHRRHKHRRSSDDEHLHRRKRSHSQREAELEEGEIYAKSDQSKLSEGNGVSREASVDVSKPDAERRAACLPSETTAVRDDLRAKVRAMLMATL >OMO83508 pep supercontig:CCACVL1_1.0:contig09879:1659:1718:1 gene:CCACVL1_11365 transcript:OMO83508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKDEIWKQVISEIGSEV >OMO83509 pep supercontig:CCACVL1_1.0:contig09879:3206:3697:-1 gene:CCACVL1_11366 transcript:OMO83509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific protein Stig1 MEFTKIIVTIAITFAITITLAMRNIGVTEEKSSPFNLHMDGSSSSMDQALHVVPSKRVSRFLQERGHARNPNAADPLCHKDNELCYHVEDGILYNKTTCCNNKCVNLAEDKQNCGACKNKCKFTQACCRGECVYLSLDKRHCGRCNNQCPTGEFCVYGMCDYA >OMO54158 pep supercontig:CCACVL1_1.0:contig15047:3173:6002:-1 gene:CCACVL1_28005 transcript:OMO54158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyltransferase MEESIGKGLSSLRQGSFKSSLSGRSTPRSSPTYRRLNSSRTPRREARSSAGGIQWFRSNRLVYWLLLITLWAYLGFYVQSRWAHGHNKEEFLGFNGNPRNELVDAEQNARRDLLADDSLVAVNNGTNKTQVHGDRTFDVILAKKENNVSSKKKRSRRSRRAGRNLRKMRVKPKATMNNENGDTEGQEQEILQNNSTYGFLVGPFGSVEERILDWSPEKRSGTCNRKGDFARLVWSRRLVLIFHELSMTGAPISMMELATELLSCGATVSAVVLSKKGGLMSELARRRIKVIEDRADMSFKTAMKADLVIAGSAVCASWIDQYIAHFPAGGSQIAWWIMENRREYFDRSKLVLHRVKMLIFLSELQSKQWLTWCQEEKIKLRSEPALVPLAVNDELAFVAGSPCSLNTPSASPEKMLEKRQLLRDAVRKEMGLTDNDMLVMSLSSINAGKGQLLIVESAGLVIGQNPLQTISEVKKPLNIRKDRATLSAKHHLRGLLEKRRKMLSNSKGTPEQALKILIGSVGSKSNKIPYVKEILRFLSQNAKLSESVLWTPATTRVASLYSAADVYVMNSQ >OMO54159 pep supercontig:CCACVL1_1.0:contig15047:10104:15049:-1 gene:CCACVL1_28006 transcript:OMO54159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGEEDVEYESDPEEVKRSLASRRRVASDDEEGEREEDNNTDDRVDRRAVIHSDESDGQGGAADYDDEDEELDLEEDDYDEEEEEDEEIDEEEIEEEGKGGMHGNVERSRENMTEAVVGDGDGNVEDGVEINNNNNNNHVGEEDEEEKKENEPFAVPTAGAFYMHDDRFRDNAAGRHRRTHGGRKLWESKDDRKWGHDKFEEMTMQDKHYEEGRRSSRGRYRARSKNQGSDRGYPRGSRPKAFGKNNNQNQAPKGVRGRGPRRYEPTMKNSSQAPPAQNKTSGKPLDKTSQANSSRAFAPATNADPAPVPARKQVFASSLSSASPPFYPSGSSNKEMTLNQKKDVQAGSLSRNVRPSATDENFSMSQSNSLRGKNVLDSLSMAKLYIDDSSASASGKSMTNVQMLPSGSSLGNTSQPSQSRVQGRGAGIPNQKAYQPAQHQNQVNRASPPAQVNAVQRSPVQGRVQSSVQAAAQQLGQHSGIGSQASSPPKTAMSVNSYESGEVESSEASKSKGALVSKGKSGVQGAGRGSFIYGGAQIMGATGNMAVSHGDQNFPAFLPVMQFGGQHPGGLSVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLTGAAGPLGATHFPPYIAVDGSYHARPSGQASSTGSSRYSEMSFSK >OMO54161 pep supercontig:CCACVL1_1.0:contig15047:24533:24679:1 gene:CCACVL1_28008 transcript:OMO54161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFCFKEPHRLRELLLKTLEKPIVSRNAPRKNIHRLIFVTESVAKDA >OMO54162 pep supercontig:CCACVL1_1.0:contig15047:25917:25994:-1 gene:CCACVL1_28009 transcript:OMO54162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRQLQRHDKNASTSACPAARICSPH >OMO54160 pep supercontig:CCACVL1_1.0:contig15047:19028:23839:1 gene:CCACVL1_28007 transcript:OMO54160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MTSMALTDNPAVNGVVLTGANDSLNSVSWKNSLNNIRKKKQKKEEKSQKKMSPRVKEYEKNEKIIRALLKLPANRRCINCDTQGPLYACTNFWTFICATCSGIHREFTHRVKSVSMAKFTSEEVIGLQGGGNENARKVYFKEWDWNRQYLPCDSNIEGLRRFIKHVYVDRRYTGEKTVDSPRTPMEVDRENSLTPRSGRHNLPYLDRENSFTPRNGRHNLPYLDIFERRGIESSGSSGGGDGERDSRNNSDEKRNPENGTESQSESQRRDNRRRIAAFFEGVDESFSDHVSETTKSETYWHASRLHNMDRRPSNHHKALDSSKPREVRPLREILDKIPSLRKSGTFKEDISDRPPSSPFQMQAQQSPKSTGQSSPKPTDSPNSMVKIDCPPEGNQSKAPSNAKKLDSVVPAAKAMGNVWTKPSTSGVLKVEIPNTHSMPSSSGVSPAAPLSNVLISSPASSSPNPKASPVSAISALTAHANNSSSEVNQVKREEINLQPQCSRFPNTAIQSTSYMPSGSPKLQPQQIHQASSEVVLHSLPPAQTFSYKKEFPEVHGTGPVSHSGPSEQISPSGRKELPQHLFTAAYSSAPVVSAGWQRVEAHAHAHAMGYGSQYPSSMQVPTVYQPGTSANAFNLNNMTHLQQSPMVSQMASWNGAHPNLMAPTNSLQSNNFSSPSTQWMQLQSSAYGAMLFQPLSSSSGTPLSSYMGQQLPNNLPSTGYQGVGIPGDNVGVYSTYTSQQPGGRFPNPTIQTSYSSVGGNPFA >OMO54157 pep supercontig:CCACVL1_1.0:contig15047:417:2716:-1 gene:CCACVL1_28004 transcript:OMO54157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHPGTKVLTENLRYLLKNPAARKQMGMEGRKKVERKYLKRHMYKRFVEAYIYIWTGSFSFLWSKESDRRARTSGGVSIQT >OMO80913 pep supercontig:CCACVL1_1.0:contig10258:8333:9868:-1 gene:CCACVL1_12696 transcript:OMO80913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-ketoacyl-CoA synthase MAEIKPEQQPLNPSSSRNLPDFKKSVKLKYVKLGYHYLITHGMYLFLTPLVVIIAAQLSTFSIKDLYDLWEHLQYNLISVIICSTLLVFLSTLYFLTRPRPVYLVNFACYKPDEARKCTKRIFMDQSRMTGTFTEENLEFQRKILERSGLGDSTYLPEAVLNIPPNPSMQEARKEAEAVMFGAIDELLAKTGVNPKDIGVLVVNCSLFNPTPSLSAMVINHYKLRGNIQSYNLGGMGCSAGLISIDLAKHLLQVHPNSYALVISMENITLNWYFGNDRSKLVSNCLFRMGGAAILLSNRRSDRRRSKYQLVHTVRTHKGADDKCFACVTQEEDSTGKIGVTLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVGKKLFKMKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSEWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWKALRTINPAKEKNPWMDEIHKFPVDVPRISAI >OMO80912 pep supercontig:CCACVL1_1.0:contig10258:5520:7259:1 gene:CCACVL1_12695 transcript:OMO80912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A MSGKGAKGLIMGKSSAAANKDKDKKKPISRSSRAGLQFPVGRIHRLLKSRTTAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKD >OMO80911 pep supercontig:CCACVL1_1.0:contig10258:1092:4544:1 gene:CCACVL1_12694 transcript:OMO80911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRIAVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSVCLDTNALRAASGSADFTAKVWDALTGDELHSFEHKHIVRACSFSEDTHLLLTGGIEKVLRIYDLNRPDAPPREVDKSPGSIRTVAWMHSDQTILSSCTDMGGVRLWDVRSGKIVQTLETKSSVTSAEVSQDGRYITTADGSTVKFWDANHFGLVQSYNMPCTVESASLEPKYGNKFAAGGEDMWVRVFDFHTGEEIACNKGHHGPVHCVRFSPGGESYASGSEDGTIRIWQTGPLTHDDTESVAANGTVGKVKVSAEDVSRKIEGFHIAEEGKTKEKESE >OMO80914 pep supercontig:CCACVL1_1.0:contig10258:10835:11059:1 gene:CCACVL1_12697 transcript:OMO80914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFLEEAAPSGICRRFDRVPLLVSELTVSKTLSFVISDGSYWFSLPLQHDQLLLASFFVCWPQLLLSLPSSDF >OMO80915 pep supercontig:CCACVL1_1.0:contig10258:14561:14977:-1 gene:CCACVL1_12698 transcript:OMO80915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKNFFKLVCVIVAVVATMVVIMVPRASAAAGPDTDYMDQAEYVFCKNLKKQLFPCIDQFLRGLYDYKPTELCCKKIAKVRWLERENLSKGYRLCKCLMPKYTSKSLDGWLPTIPAKCNINYNITFSTSPDCVVAQ >OMO63190 pep supercontig:CCACVL1_1.0:contig13012:72796:73095:-1 gene:CCACVL1_22431 transcript:OMO63190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFPGGTLRQGYEAYPYEWDVLRCGFVGDGCLFAVSIFKGGVDLFAKQIVWSANRNNPVKAGALLQLTAANLSMVDGSGALVTGLVPHPQHTRQVWKI >OMO63191 pep supercontig:CCACVL1_1.0:contig13012:87318:90273:-1 gene:CCACVL1_22432 transcript:OMO63191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S49 MWREIKLLAASKPVIASMSDVAASGGYYMAMAAETIVAENLTLTGSIGVVTGKFNLGKLYEKIGFNKEVISRGRYAELLAAEQRPFRPDEAELFARSAQNAYQQFRDKAAFSRSMPVEKMEEVAQGRVWAGKDAASRGLVDAIGGFSRAIAIAKHRANIPQDRKVTLVELSRPTPSLPEILSGIGSSIVGVDRTLKELLQDLTFSDGVQARMDGIVFQRFEGFSNATPLFSLIKDYLSSL >OMO63186 pep supercontig:CCACVL1_1.0:contig13012:52396:59466:-1 gene:CCACVL1_22427 transcript:OMO63186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTGLYCRLGFRVQLCTWRNKEKASETNRNKATIQARPSKEEADFPETLQVIDGGR >OMO63187 pep supercontig:CCACVL1_1.0:contig13012:61854:61940:-1 gene:CCACVL1_22428 transcript:OMO63187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTNLPPSFAAAIGRGVEGLLKLLPHR >OMO63188 pep supercontig:CCACVL1_1.0:contig13012:65027:65567:1 gene:CCACVL1_22429 transcript:OMO63188 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 MVKSGMVIDPETISNLPQLSELRIDVRPADKRWDDSVEAVVKEWILHNWSDGHCVKLLKNCYKAIPDDGKVIVLDAVLPVIPEPNGFVRAASVMDFQMLAQIPGGKERTKQEFEALATQAGFSGIRYECFVCNLCLMEFFK >OMO63189 pep supercontig:CCACVL1_1.0:contig13012:67744:71183:1 gene:CCACVL1_22430 transcript:OMO63189 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEERLNATDLTESIPSTPEECSFSSDKSKRVEVEDDKINLASEICEVDGDEDEPKAKRWNCTNNIENKDLISCMKENTVARRIVRAKGVAIRTRNELLDDGYIWRKYGQKCIMGSQYPRNYYKCITLRCPAKKWVEPDTSDKSFSFVTYQGIHIHVRQPYVNELNNCLSQVCHDHGADNMENAAEGAKAVMNFTKEYPGFPEASIGDESQGSEDKSLLEVSREAEGQQPDGKAETMRTSSSTMPTPSIFSSNYLTISPTSKPRPAKFSDPSPQMNTSNVLASMTMTTGEFVVQSLDQKSDSSNSQQSISQKDNKSTDVFHLPQPSPSTSTSTSAITQLSNAKVSTPSGGGKVGIGGPMVKKWKSTKPKVITLSNPGDFREMVQRMTGSRGKTKNDRKTYKEEVEDEKGQGVVESGHFIPFRIGGPQT >OMO67841 pep supercontig:CCACVL1_1.0:contig12367:66754:67289:1 gene:CCACVL1_20281 transcript:OMO67841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFLGFSYLLLSCAAVPSTRSLKSNKELPSSSSVQDLLVQDVMKLGEAEGLFGESGNGAISREDRMLMESTDYPGTGANKNHDPKTPGRA >OMO67840 pep supercontig:CCACVL1_1.0:contig12367:42802:52550:1 gene:CCACVL1_20280 transcript:OMO67840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIB MVYCSSCSRNVRGERIGDGRLCCAFCGKVLEEDFLSSEPQFVKDSSGQSRLSGNFVRSVQESDSRRRTLDRAYDEMRLMKNALSIDDYSDDVVETAGRFYEIALERNFTRGRRSELVQAACLYLACRQKKKPFLLIDFSSYLNTNVYELGSVYLQLCYVLYLAETKDLQKLIDPSIFIHKFTNTLIPEGNDEVVKTARDILASMKRDWMQTGRKPSGLCGAALYISALSHGLKCSKSEIIRVVHICEATLTKRLVEFENTDSGNLTMEEFMEKEKELRTSSITKKQPNIGSKEASGQELLCKHMKKKAFAYGLCEECYEEFMKVSGGLDGGSDPPAFQRAEKERLAKMSIEENSNGASGSPLASGLKNHESIGLPEGATNKAATDEGDNGKFPEVDGSGVESDNFSDIDDVEVDGYLHNEEEKQFKKIIWEEMNREYLEEQAAKEAAAAAAKEAYKAKFDNCPEDLQAAQELAAAAAAVVEKSRKERQQKRAAELKSSGPPQTAAEATRQMLAKKRLSSKINYDALAKLFDESAAAEKPKKQKNESHSEEKEDEEFKLYSLNIPGPLISVLSTKIFSRDLPPSAPAAVSKKSEASNPPPQAGITKPLKSFSKSSLFIAKLNPSILSSNPVAKTL >OMO67835 pep supercontig:CCACVL1_1.0:contig12367:14514:16446:1 gene:CCACVL1_20273 transcript:OMO67835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTVKISSSVIFPINSTPKLNNYNRISYGFGSNGSNSICKIRAVCSNGSVPSSASHGAESRAVGDAHRRRSSLESLFCYDKPIPEERIEEPVGLSIAEKIVGDNARCIDCQAKGAVLCTTCSGSGLYVDSIMESQGIIVKVRCLGCGGTGNIMCSECGGRGHLGPK >OMO67838 pep supercontig:CCACVL1_1.0:contig12367:32682:33419:1 gene:CCACVL1_20278 transcript:OMO67838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isoprenylcysteine carboxyl methyltransferase MFLGLSRASLSKTATSFARVIDSRWFDPTVGTQIMMCNPISTTGFAVVVWKFFARRIPYEEYFLRQFFGPEYEEYARRVPSGVPFVK >OMO67839 pep supercontig:CCACVL1_1.0:contig12367:35402:38431:-1 gene:CCACVL1_20279 transcript:OMO67839 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAEICTRKLIVEICNAKNLMPKDGQGTASAYAIVDFDGQRRRTKTKFRDLNPVWDEKLEFLVHDIDSMVTEMLEINLYNDKKTGKRSTFLGKVKLTGGSFVQAGEESLIYYPLEKRSVFSQIKGELGVKVFYVDEPAPPAPPADAAAEQKAEPVDEKPPENPKPEEDKKEEKTEEKKEEEEKPKEEAPKEEEKANPPPAENSAPPDAAAPAAATPAPATTPPPPAEVENLAIAQKAEPTKAEKDKAETKSSTEKLVINELELRSLSGDHGRIAYDLVDRMPFLYVRVVKAKRASKEPACPLYAKLAIGTHSIKTKTQIDKDWDQVFAFDKDGLNSSSLEVSVWTQEEKKEEGKEGAEATTSVVENCLGTVSFDLQEVPKRVPPDSPLAPQWYSLESEKSPGNDVMVAVWVGTQADEAFQEAWQSDSGGLIPETRAKVYLSPKLWYLRLTVIQTQDLQLGSASEAKVRSPELYVKAQLGAQLFKTSRTQVGSAWNEDLVFVAAEPFEPFLVVTVEDVSNGQSVGQAKIHVPTIERRTDDKMEPKSRWFNLVGGENKPYAGRIHVRACLEGGYHVLDEAAHVTSDVRASAKQLAKAPIGLLEVGIRGASNLLPVKTKDGTRGTTDAYVVAKYGPKWVRTRTILDRFNPRWNEQYTWDVYDPCTVLTIGVFDNGRYKRDEAGKPGRDVRVGKIRVRLSTLDTNRVYLNTYYLTVLLPNGAKKMGEIEIAVRFSCSSWLSLIQAYGSPMLPRMHYVRPLGPAQQDILRHTAMRIVTARLARSEPPLGQEVVQYMLDSDTHVWSMRRSKANWFRVVGCLSHAATLARWLDGIRTWAHPPTTVLVHVLLVAVVLCPHLVLPTVFMYAFLILALRFRYRLRIPHNVDPRLSYVDAVGPDELDEEFDGFPTTRSPDIVRIRYDRLRALAGRAQTLLGDVAAQGERLEALFNWKDPRATGIFVVFCLFASLLFYVVPFKVFVLGSGFYYIRHPRFRDDMPSVSMNFFRRLPSLSDQIM >OMO67834 pep supercontig:CCACVL1_1.0:contig12367:5866:6828:1 gene:CCACVL1_20272 transcript:OMO67834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGGPRKSADHTDHTDLTMASTNAA >OMO67837 pep supercontig:CCACVL1_1.0:contig12367:22991:26037:1 gene:CCACVL1_20275 transcript:OMO67837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MSTLNIVQKSNKTYPVTFAYLISASRGDTIKLKRAVRALYHPGNKYLIHLDFDALASEHREIAEFVSNDPVFSLVGNVYIVGKPNLVTYRGPTMLATTLHAMSMLLRCCKWDWFINLSASDYPLVTQDDLIHAFSDLPKDLNFIQHSSHLGWKLNKRGRPIIIDPGLYSLNKSEIWWVIKQRALPTAFKLYTGSAWTVISRSFAEYSIVGWDNLPRTLLLYYTNFVSSPEGYFQTLICNSEDYKNTTVNHDLHYITWDTPPKQHPRSLGLKDYRKMVLSSRPFARKFKKNDPVLDKIDRDLLKRRNGKFSFGGWCSENGKKKQRACSDFQGENYGVLKPGTGSRRLKTLLTKLLSAKNFTKRQCRL >OMO67836 pep supercontig:CCACVL1_1.0:contig12367:17080:18718:-1 gene:CCACVL1_20274 transcript:OMO67836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline transporter-like protein MERSRELHMQNFNMQTQDQRIPSTSIIKIAEPSIKIEATVAGKFLRRLFQIIFFTQLSLISILVIVLTIRGLIYAGNTHRFHPKKWYPPILVSTASAGILSFIWQWISFKNPSKALKAAFCLSPLLTCAIGVLHVLIGSPLSLAAGTIAVVSGVIQSLYACWVSPRFEYAIKILSVSTSFPPDKTPTFVTLSIITCVIYCSFLLTGIGGATATGTGLDIVFIIVILLTFTWSMQVIKNMLSVTISRVRYMNFACGVDMSTQIAFRDTVKHLVGSVCIGSALVPVFGTIRGSARAMNMVAEDRDEFLFSCANCYSGVASTLTTYGNRWGFVHLGVYNKGFVQASADTWEMFIRAELIPLIDSDLTGVFCFLSGVAVGSICTLAGGTWALTVHKGYATEVSIYAFLIGYFMCRIALAWQQACVSAYYVAYAENPLSPRFDATIPIRVEELQRNLRRHEV >OMO49598 pep supercontig:CCACVL1_1.0:contig16454:35355:38575:-1 gene:CCACVL1_30908 transcript:OMO49598 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MAWQIQCTASAPLISPPPSLKPKCLPPHSLVFTLPVARSSRFRSTHTPSPRPVAASLSTVESPTSADGLDDSSKTPLLEVKGLTAVIAETKQEILKGVNLVIHQGEIHAIMGKNGSGKSTFSKVLVGHPDYEVTGGSVVFKGENLLDMEPEERSLAGLFMSFQAPVEIPGVSNIDFLNMAYNARRKKLGEPELGPLEFYAYIYPKLDLVNMKTDFLNRNVNEGFSGGERKRNEILQLAVLGAELAILDEIDSGLDVDALRDVAKAVNGLLTPKNSVLMITHYRRLLEVIKPTCIHIMEDGRIIKTGDSSLAEVLEEKGYTAISAA >OMO49596 pep supercontig:CCACVL1_1.0:contig16454:16122:19245:-1 gene:CCACVL1_30906 transcript:OMO49596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERATTLTAHSNHHLSFATASPSSYAASHGPESLSFLELNISRRPQRRKSVFSLVLKSDEVVKVSRAIRDVEDEESRSFFSGMSPWQLLPAQLERSFSTGLLFRGLEPKETTPSVMFARGTYMAARTKDLQSVWGLLCVWVGVRLRFQALVKGTTPVYFSSLYEMNV >OMO49597 pep supercontig:CCACVL1_1.0:contig16454:20870:34413:1 gene:CCACVL1_30907 transcript:OMO49597 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAG family molecular chaperone regulator 5, mitochondrial-like protein MVRCKSQRLFPLQTRCLLPWKQRINRSCNSEANCRLHQEREVVEEMEEQLCRERSGPEMERFCTEYLGFRCLQRFLRFPFNSLQFHLRIGLAIATVKERVNGRAKRETLTGWAWWEAVMEAMAKVGAAARVEGFGHLIPKTLIHVEANSQQGD >OMO70592 pep supercontig:CCACVL1_1.0:contig11806:976:3415:-1 gene:CCACVL1_18773 transcript:OMO70592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASLFRPFLLLSSFFILFPFTSPLSDSEALLNFKKSLTNAAALDSWVPGSAPCNNWNGVLCSKQAVSVLRLEGMGLSGKMDVDSLVELKNLRSFSVMNNSFTGTLPDMNRLGSLRALFLSGNQFSGEIPAEFFAKMGSLKKIWLSNNKFTGNIPFSLGELPSLFELHLENNQFSGHIPAFKHPSLKYINMSNNKLLGEIPSSLSKFKADSFSGNPDLCGEQVGAACKKKVDDGSSEEATPNAPIDPHDHSRHLNESNKKIIAAFVTLGVMLLSVVLFFAIRWRRKKKQEDANRLGRLNSAESAVEVQVSLPTRVPRPREMEAGRNKNNSSSNRSRGGSNNGRGHGNVPELVMVNDEKGVFGLPDLMKASAEVLGNGGLGSCYKAIMANKATVVAKRMREMNALGKEPFDAEVKRIGKFKHPNVLTPLAYHYRKDEKLFVYEYLPKGNLLFLLHGDHGTTSRVELDWPSRLKIVQGIARGLDYIHTELASHDVPHGNLKSSNVLLGPDNHPFLLDYGFWPLLNPEGAKTLFAYKTPEAIQHGIVSHKSDIFCLGIIILEILTGKFPSQYLNDGNGGTDLVQWVAYGFSQGTQAEFLDPEIARRENSLGNMDKLLHIGLLCTQSDPEQRLDMKEALRMIEEVQVEGGLESQSQVRTIEVLPSLPDGYADGTPNINSQSTNSRYGNNNGEQIHESEISEDRSGRPDGVP >OMP11846 pep supercontig:CCACVL1_1.0:contig00775:1165:3394:-1 gene:CCACVL1_00237 transcript:OMP11846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MVFNKFGYPLAFAFIAVICSQAVAYDPLDPNGNITIKWDIMSWTPDGYVAVVTMNNFQMYRHIMSPGWTLGWVWAKKEVIWSMVGAQATEQGDCSKFRGNIPHSCKKNPTVVDLLPGVPYNQQIANCCKGGVLASWGQDPSASVSSFQVSVGRAGTTNKTVRLPLNFTLMGPGLGYTCSQAKKVPSTVFLTPDGRRKTQAMMTWNVTCTYSQILASRYPSCCVSMSSFYNSTITPCPKCSCGCQNKNTCVPSDAEIKTVVAMNTSKNHNTNAIKCTNHMCPIRVHWHVKLNYKEYWRVKMTVTNFNYQMNYTQWTLVAQHPNLNNITQVFSFDYKPLIPYQSTNDTGMFYGLKFYNDVLMEAGPDGNVQSELILKKDMNTFTFTQGWAFPRKIYFNGDECMMPPPDQYPYLPNSANSNLISSSTMASLLLLVLLVFW >OMO54668 pep supercontig:CCACVL1_1.0:contig14918:7865:11238:1 gene:CCACVL1_27682 transcript:OMO54668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MNTLYSVEQRRQNRQKVKVEGAMFAKKEKPKTKKVQFEKKNKGKKVADGEATKKEKPSPCPHCKKTSHTPKYCYFRPDRTCRACKEKGHIEKVCKNKNKALVQGSSAQAQVDEEKEEEIEEQLFVAVCCVSKAENNNVWLIDSGCSNHMVADESLFGYLDKSYTTRIKIGDGTYLRAVGRSCIIYEPEGRELITLPMVNKTFYLKLDEDVAYNSQNEETELWHKRLGHVNYKSLQEMKKKNLVENLPMISQCSSICEVCEYGKQSRLPFPKHSWRATKKLQLVHSDVCGPFRTASLNGKSGIQHQLTVAYTPQQNGVSERKNRTVLEMARCLLFEKGLPKKLWAKAVNTAVYILNRLTAKAMQQTTPFEAWYGFRPSVKHLKVFGCICYSHVPSVRRDKLDKRSEMGVLVGYSESAKGYRIYNPVTNKVIVSRDVKFDELAAWKWPENEEIQSLNDKEIGFDQINDEEELDVDHTPIRGTRLLSEIYEKCSLAIAEPAHDEEALSHPGWRAAMVEEMKMIEKNGTWELMDRPDDQKPIGVRWVYRTELNSDGSVNKLKARLVVKGYAQKEGVDFSETFASVARHDTIRLLLALAAQNSWRIYQLDVKSAFLNGMLKERIFVEQPVGFEVKDKKDKVYLLKKALYGLKQAPRAWYERLHQHFEVCGLQRSVSEPTLYVKMKNGDVLIVSVYVDDILVTGDSEEMIKGFKANMLKVFEMTDLEWNTANQPLGLKLSKEDGANKVDEKIFRKLVGSLLYLAATQPDIMFAVSMLSRFMHYPSELHYVAAKRILRYLKGTFDYGVLFKSVENVELHCYIDSDWGGSVDDAKSTSGYVFSLGSGVFNWLSKKQEVVAQSTAEAEYVAASCAVNQAIWIMKILTDLHQTSSKPVKIFCDNQSAIAIAKNPVQHGRTKHFKIKFHFIREAQENGEVELIHCRTEEQIADILTKPLPNNRFEDLREKLGVCSFATKGEIDGVGYKTR >OMO49673 pep supercontig:CCACVL1_1.0:contig16440:14450:14879:1 gene:CCACVL1_30856 transcript:OMO49673 gene_biotype:protein_coding transcript_biotype:protein_coding description:epidermal growth factor receptor substrate 15-like 1-like protein MAYTLLELEENLARIKAKASHLEMQRSKMEAMINFVRDQFIFLPEDIYKSMAMMKAQYAQFTAEESQLEAMLNFARQNYQSSLEKSDANMTKMRNQMKRTKVWH >OMO49675 pep supercontig:CCACVL1_1.0:contig16440:59623:64743:-1 gene:CCACVL1_30858 transcript:OMO49675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNEKARRYLTSMRKKQPVPFEQKFPNADPLALRLLQRLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYMNGTERTTFLYPSAVDQFRKQFAHLEENGGKSGPVIPLERKHVSLPRSTIVHSNTVPPKEQPNINFFKDRQNSEEAYSRSSRETEAAAPVHLSRTLQAQQRMPLAKPGNVVGPVVPYENGTIMKDAYDPRTFIRSTVLPPQAVHPAYSYHKSSTGKQEKSTMDSERELASQTKQVPQCGMAAKFGPDIAINIDSNPFFMTRVNKVEPTDDRITIDTNLLQTKAHYGGIGVAATTATAHSHRKVGTVQYGMTRMY >OMO49674 pep supercontig:CCACVL1_1.0:contig16440:56314:56823:-1 gene:CCACVL1_30857 transcript:OMO49674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWRGEGAWFSLIGKFLSKKQPSVEGMRMGLGLALKLVAAVTVARGGGSRRGGGQLSRAQSVTGVGGILGLAGKAVSKSKELVSEGGGVNQGSYAEGEVNHCKERVNFSILRICMLLKILMGRLLVGLLGLLEGSSESPQAESLGHNLFNFTLSIDLLDLASISRISSL >OMO88353 pep supercontig:CCACVL1_1.0:contig09032:79443:82908:1 gene:CCACVL1_08454 transcript:OMO88353 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MFLDWEDHEIFGVLSLILWTLTIIPLLKYAVFVLGADDNGEGGTFALYSLLCRRSRMGFLNTSDVADEHMSADKSEEFIEDTPSSLVIKHFFEKHKSSKVVLLLVVLLGTSMVIGDGILTPTMSVLSAVSGLQIKITHLHENHIVFLACIILVGLFALQHYGTHRVGFLFAPILIAWLICISGVGIYNIFYWNPRVLYAVSPYYIYNFFKKAGKDGWCSLGGIVLCITGTEAMFADLGHFSQLSVRIGFTAVVYPCLVVAYMGEAAYLSKHTMDLQSSFYKAIPKAVFWPVFIIATLATVVGSQAIISATFSLISQCRALSCFPRVKIIHTSKQIHGQIYIPEVNWMLMLLCLAVVIGFRDTDMIGNAYGLAVITVMFVTTCLMFLIITTVWNQSVFLALLFVLTFGSVELLYFSACLAKVHKGGWLPLLVSAVIMSLMLIWHYGTSKKQSFELQNKVSLDSFAALGPGLGITRIPGIGLVYSNVSSGIPPMFAHFIASFPAFHQILIFVTLQNVMVPKVAAENRFVISRFGPAEFCFYRCTVRFGYKDVGDSYDFETQLIEKVSEFLKCEPLSISSEDFASMGQSQFPAAAASTIGDYNQIIAGGTGQRAESSNEIHELMEAKESGMAYMMANTHIIANSTSSFIKKIVINIIYGFLRRNSRRPAVALGIPHISLIEIGMLYYV >OMO88357 pep supercontig:CCACVL1_1.0:contig09032:129923:131971:-1 gene:CCACVL1_08458 transcript:OMO88357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHSITIPESKSNVAPKEEIKSPGDIIITIPESKSNDPKEEKKSHHGASTKIKATAIDISKRPEWYYQIWKTLEHDKDVNDMGVSIFRVPDNVAAVKREAYVPQLIGLGHYHHFDSELHDMENHKLAAVKRVTAAMFGVGDRPVFEEFQKIVQKISKLKCAPVCDAYRRDLENHNHPEMAYIMAIDGLFLADLFQTNKGSGTPPQPQRLQPQPQPQRQPDPHAILKDVLKLENQIPMSVLKAINSKVEEDKKRPDHLKFRPDHSKFRGMLVKFCNDVAPIDLDWESTLPRGHHLGKSFERRHLLDLLYHYIAGKDEEDEDDDHQHKGGPKPDPHDPSKKEDTTNCQPISNVLTKLSEFAKLGSLVKHLQQLTASMLFFIPTSSLESWKEKKVLIPSVSELHSVGVEFKPIPIEHGGGTQKVEFDKKTKTFYLPVVVLKPTTEVALRNLVAYETLANSNVNSSNFKRYTELMSAIVDTVDDVKILIKAQVLVFRNKKHAVKVPQEEEANDQASKDKEEANDQASKEEEANQGSKEEEAANQASSVQKDTADASASKSSKGKKDYYLSEVEIADMFNGMTKTMESKDRVIDKAIRKANKCYDNTKKVKAYRMMMRYVFSSWKMFTLIASLLLLLLNVVQTFCDLYDCPAMLRSQGQGITSTQLTTGRDLLLSFADSNSHLSQS >OMO88356 pep supercontig:CCACVL1_1.0:contig09032:110641:113307:1 gene:CCACVL1_08457 transcript:OMO88356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPKRPEDVATVNVHTAKDLLGSGHLYLDVRTPEEFNKSRIDNALNVPYMFITQEGRVENPEFLAQVSSVLKKDDRIVVGCNSGGRGLRACVTLLNAVNMADQSSNPSIMEPKQPHPLHQIAETPTHRLLLKQWLKEEELILNRISLKETQIDSVRKEITQLYIFFFLFHSISLLILFNSSTKEPLAAGCRRSWIPSLCSLLCSMGITWAVRYKTDVEGHLEKLLEREKEDGKLLGKCVEELKRKGVEFDLLKEVDALRRAKSLRVEAKAVRKWSARDFVTLFFFTVSCLVLGLTRVILCS >OMO88348 pep supercontig:CCACVL1_1.0:contig09032:46863:48132:-1 gene:CCACVL1_08449 transcript:OMO88348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPQLYSNYSFSNNDLSHQFPNPLLISQENYNITSSAGATALINPCSTWGDQEINFPMFLDNAGLDVFQQDCNVPAALFPEFIGISSDMAVPSLAPDNNNVAGFCGIGTFQNFGGRYQDVCEFGDECSGFVRQDFKLPVDPTLPENWGIQGNRMQPAMEDSNLKVGRYSVEERKDRILRYLKKRNQRNFNKTIKYACRKTLADRRVRVRGRFARNTELSEEEMVMKKEDDNSPNDDKCCWDSVQIKQDDDEWLQEAMANLMYLPYISGN >OMO88347 pep supercontig:CCACVL1_1.0:contig09032:38628:39656:1 gene:CCACVL1_08448 transcript:OMO88347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPNSKILFRHQLQRFYAHMETLCSFSSKGRSHDNAALVHLSIQRFASLHNNE >OMO88354 pep supercontig:CCACVL1_1.0:contig09032:90103:95364:1 gene:CCACVL1_08455 transcript:OMO88354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKKASRNVKKLQYADKIRVRPNVAKPWVYVAFDEFGRFSLPNPILLREIRAKAFLLGQNLAVAINKEE >OMO88355 pep supercontig:CCACVL1_1.0:contig09032:103865:108828:-1 gene:CCACVL1_08456 transcript:OMO88355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MPRRKCFTDFPSPGVSLTRKVGAEFVGTFMLIFAAAAGPIVNQKYDGVETLIGNAACSGLAVMIIVLSIGHISGAHLNPSVTVAFAACRHFPWAHVPAYIAAQVSASICASFVLKGVFHPFMSGGVTLPSVSYGQAFALEVLITFNLMFVITAVATDTRAVGEMAGIAIGGTVMLNILVAGPTSGASMNPVRTLGPAVAARNYKALWIYFIAPTIGALVGSCTYSLVKLQDEEVEPPRQV >OMO88346 pep supercontig:CCACVL1_1.0:contig09032:33497:36911:1 gene:CCACVL1_08447 transcript:OMO88346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESGRKHGTLSPCAACKLLRRRCAQDCVFAPYFPADEPQKFASVHKVFGASNVNKMLQCREKYPPISTCLLQGREKKQIMSDKELPEHQRSDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDSLQTQLAIAQAEVVHMRMRQFVSTSPNNNNNNPDSSSPQNLPHGSASSKFMPSQAKSFYCMDMVDQPNMAESLWSY >OMO88351 pep supercontig:CCACVL1_1.0:contig09032:73648:74220:-1 gene:CCACVL1_08452 transcript:OMO88351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MTSFKASMLVALPLYHLLILLLVLTSFQHATKLVLADEKLIEQQCHNAEVPATCIQCVNSDPTAIKADKVGIAAIVINCLSDNVETLAKNMSSMASTVQDKSLKSMFNECTKGFLEARRDLSTAMKELKKKNYDQTNLRVRKAVTPELDCKNNVVDKIKLAVLQLPDDVLYTMRVYEELTNAAMRIIDRF >OMO88345 pep supercontig:CCACVL1_1.0:contig09032:4522:11900:-1 gene:CCACVL1_08446 transcript:OMO88345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor aIF-2, bacterial-like protein MPSSSLASLVNLGTLNATFINYCSEPISSSYYSCIRRVSLSKRSFSGKCKCKYSVAATDFVAEATNASSSSYKDNNDAEIVLKPAPKPVLKSEGVKNNNNLSWKSGENEDEEEKENERNKVRESLGEVLEKAEKLETANVNVNANVNKAKASVGSNSGGAGGGGKKTKTLKSVWRKGDSVGTVQKVVKESPKVNNNNNRGGGGGGGGEGKVVSQVGTGGAPLRPPQPPLRPQPKLQAKPSVAPPPKVKKPVILKDVGAARKPAVADEADSDGKSTERKPILIDKFSSKKPVVDPLIAQAVLAPTKPVKGPAPGKFKDDYRKKNVSAGGPRRRYDKDIEIPDEETSELNVAIPGAAKKGRKWSKARRKAARLQAAKDAAPVKVEILEVEEKGMSVEDLAYNLAISEGEIIGYLYSKGIKLDGVQTLDKDIVKMVCKEYEVEVIDVDPVQVEEMAKKKEILDEDDLDKLQDRPPVLTIMGHVDHGKTTLLDVIRKSKVAASEAGGITQGIGAYKVLVPVDGKSQPCVFLDTPGHEAFGAMRARGARVTDIVIIVVAADDGIRPQTKEAIAHAKAAGVPIVIAINKIDKDGANPERVMQELSSIGLMPEDWGGDTPMVQISALKRQNIDDLLETVMLVAELQELKANPDRNAKGTVIEAGLHKSKGSVATFIVQNGTLKRGDVVVCGEAFGKVRALFDDNGNRVDEAGPSIPVQVIGLNNVPIAGDEFEVVASLDVAREKAESRAELLRNERMSAKAGDGKVTLSSLASAISAGKLSGIDLHQLNIILKVDLQGSIEAVRQALQVLPQDNVTLKFLLEATGDVSTSDIDLAFASKAIILGFNVKAPGSVKSYAENKGVEIRLYRVIYELIDDVRNAMEGLLDPVEEQVPIGSAEVRAVFSSGSGRVAGCMVTEGKVVKGCGIRVIRNGRTVHDGVLESLRRVKEIVKEVSTGLECGMGMEDYDEFQEGDILEAFDTVQKKRTLEEASASMAAALEGVGI >OMO88350 pep supercontig:CCACVL1_1.0:contig09032:69394:72388:-1 gene:CCACVL1_08451 transcript:OMO88350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MATATAASFNKIERAHQMYREGRYEEALSYYTEALAVAKTKPQKIALHSNRAACYLKLHHFKKAAEECTSVLELDHKHTGALMLRAQTLVTLKEYNSALFDVNQLIELNPNSEVYHNLHARLKTQVALAPIPESDAELEEEEEDEEDEEEHGQYYTMENEEERVEESEVGPAVRNDLNAGSNEDSVNAEKIYPNERDVKGSPEHDRDSKNVPERNPEADPQEPNDKDSKGSQMIPKPNGHSDQKNVPQKTSPPVPPVKSIKDSNGWQAIPKPKGHSALDYARWDRVEDDSSEEEEDDDDEESQPQYRFRVRTVGVRPVK >OMO88352 pep supercontig:CCACVL1_1.0:contig09032:76142:77793:1 gene:CCACVL1_08453 transcript:OMO88352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVFAVRAQPRVKQKEHGCCDSYGEVLRSTMHSDDQVSSRM >OMO88349 pep supercontig:CCACVL1_1.0:contig09032:61763:65003:-1 gene:CCACVL1_08450 transcript:OMO88349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGGGGGGGMEFNLAEEILAVIPTDPYEQLDLARKITSMAIASRVSNMETEMGRMRAKLFEKDRIIYDLEEKLSRLQQANLDVESRLKITLDENIKLARERDTLAMTAKKLSRDLSKLETFKRQLMQSLSDDNASQAETVDIGTCDQSVPKAYPDMDDGVNGYTSVRSSIGSTDMGSTIDEASRHSGQRFSITPYITPRLTPTGTPKIISTSGSPRGYSAAGSPQRTSAATSPTRSQYDGRSSLSSWYPSSQQSSAANSPPRGRSLPGRTPRIDGKEFFRQARSRLSYEQFSAFLANIKELNAQKQTREETLRKAEEIFGTDNKDLFLSFQGLLTRNIH >OMO54888 pep supercontig:CCACVL1_1.0:contig14872:27638:28159:-1 gene:CCACVL1_27488 transcript:OMO54888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASEVKDAAKWRRLRRHHLAASGVKTPPFSGVLILRRLHLAASLD >OMO95841 pep supercontig:CCACVL1_1.0:contig07595:4871:7371:-1 gene:CCACVL1_05219 transcript:OMO95841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tify MQLSFSNKVSSGPQFLSFKAGQEDRPRKTAHDPIVSSGFMAIPTADGNQKPYSDSTQKQREKNHYTVTTYGLQQFDGHQLHRPQEVRLFPVSSQPNQTITVSMSTPFQSPLGSTGQNIIGNPINQQPFTGVPIMAAPVSVVPPSSSIIGTTDLRNAAKPSGAPSQLTIFYNGSVCVYDDVSPEKAQAIMLLAGNGSSAAQTKAAPVAQAPAAIRQPCTTDGIVGNNGYTMSTCSGLPGHVSITSRVCLQPGGGSSGTNELTPATRIGTLTTSCSQPEPPKVVNSVGSAATTLVPAVAVPQARKASLARFLEKRKERVTNTSPYSISKKSEGIRFSVTSAVSSPLPSQ >OMO69410 pep supercontig:CCACVL1_1.0:contig12041:15771:29814:-1 gene:CCACVL1_19520 transcript:OMO69410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCDKDEIPMLSDTHQMSENNQDSRYESFSSRTRSASLSIPMNSLESYQSNNLVGHTGPLRNERKIPFTQMSGPLYISRKPENFSYPNQSIVDRKMVESKPEKFPSFNGVDEKDWSENNYAGKNEHLLRSGQLGMCNDPYCTTCPTYYNYKAEKVSKASGIFDAKFHNALYGDAKGWLRRLISFLRHYVPGVMNPHAKVVQQWNKFFVISCLMAVFIDPLFFFLLSVQKDKKCIVINPAATQTFVALRSITDLIYLLNMLLQFRLAYIAPESRVVGAGELVDHPRKIALNYLSRYFFIDLFLVLPLPQIMILLVLPKHLGTSGANSAKNLLRTAILIQYLPRLYRFLPFLAGQSPSGFIFESAWANFVINLLTFMLSGHVVGSCWYLFGLQRVNQCLRNACHDSGFTNCSELIDCGYGDTSNPSGLAGWKDNSNASACFTEDGFPYGIYIQTVNLTREQSIITRYSYSLFWGFQQISTLAGNQTPSYFVWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGKRRLEMSLRRRDVEQWMSHRRLPEELRRKVREAERYNWSATRGVNEEMLFENLPEDLQRDIRRHLFKFVKKVRIFALMDDPILDAICERLRQKTYIKGSNVLSRGCLIEKMVFIVRGKMESIGENGIKDPLSEGDVCGEELLTWCLEHSSVNRDGKKIRIPAQRLLSNRIVRCLTNVEAFSLRAADLEEVTSLFSRFLRNPRVQGAIRYESPYWRSVAATRIQVAWRYRKKCLNRANTSQSAARSSG >OMO51825 pep supercontig:CCACVL1_1.0:contig15705:7534:7602:1 gene:CCACVL1_29568 transcript:OMO51825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQKQLKFALPSLNRKNLIST >OMO59378 pep supercontig:CCACVL1_1.0:contig13998:61467:64621:1 gene:CCACVL1_24874 transcript:OMO59378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKFGMEDCKPMNTPMATGTKLNSDEKGKVVDQKLYKGIISSLLYLTTSRPDILFSVCLCARFQSCPKESHIIAVKRIFGTTDLGLWYPKGSLLELAGYSNVDFAGGKTDRKSTSGISSKKRKNPEAPSSVPPKQAKKNMNFAEEEEEIPLMQFETLPSDMMPIFRNDPDFGGLEVWFEKTNLMGLANYSEELVSPNLVREFYANIAHDITELNIYADPALESEPREDFDQDEAWKIISGREKSCPSNAFNRFIRRPDVKMLHQFVSRTIRKLSKGLTKFCKGSAGDKEEAHKIANEIKTFWEGVLEAATLAKKAMDINEYEETDKEKDNELKKMLALSDDEATSKEKDMQARALKQLKKELIEKQKQAEMAKRKQGDVVELVQPTTYTQKTAETKVIHSSFTDAQRFAEEDPKFAKTLKKIVEDFAELINTDSPRGGRKYKRAASRQGWKPMTRSQKDSDNPSHVVSPTKSPKQKSLAAKKKHMVDIDEEDEAIPDVEGRKKRKLKRNLHQGEVPFRSHPMNQRMEKNDA >OMO59376 pep supercontig:CCACVL1_1.0:contig13998:12090:12257:-1 gene:CCACVL1_24872 transcript:OMO59376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIAASPKAEGLLRFKVVRGFGVKTLIKTVAGLGTKLHHSTPFDLYFKPHGSIS >OMO59377 pep supercontig:CCACVL1_1.0:contig13998:35010:35132:1 gene:CCACVL1_24873 transcript:OMO59377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKSVEDFWSLEASGSNIEMNRAKSRYEWKGREPSLDL >OMO59375 pep supercontig:CCACVL1_1.0:contig13998:9070:9237:-1 gene:CCACVL1_24871 transcript:OMO59375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVAASLKAGGLLGFKVVRGFRVKTLIKTVAGLGTKLHHSTPFDLYFKPHGSIS >OMO51271 pep supercontig:CCACVL1_1.0:contig15901:16950:17021:1 gene:CCACVL1_29893 transcript:OMO51271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKREKIRAKKARRFRPRLTT >OMO82637 pep supercontig:CCACVL1_1.0:contig09996:6274:7641:-1 gene:CCACVL1_11843 transcript:OMO82637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MNPPVVRCISECFVKPHSVSEESKHPYYLTPWDLAMISVEYVQKGLLFAKPRDKDQEDLMKNILERLKQSLSLALVHFYPLTGRLVTKKEENQNPPCYYVFVDCNNSPGAKLVHATAVDMTVSDVVFPTYVPLVVRYFFDLDGAINHGSNPLLSIQVTELVDGVFIGCSMNHAIVDGTSFGHFFNALSEIFQGDENNVRKISRLPMLKKWFPNGHVPLISLPFTHQDDQSITKSEAPQLLERIFHFSEESIAKIEAKANWESNTTKISSFQSLSAFLWRSITKARGFHSDRITNCKLAIDNRSRLEPTLSKDFFGNLYQTVKAVATAGELLEHNLGWAAWKLHQAEVNHTDKSVHEFVNDWVRSHFVYQLGQLFNSSSVMIGNSPRFNNYGNEFGLGKALTLRSGSGNKLDGKVTLYQGRESEGSMDLEVCLPAHSMHDLESDEEFMSFVSSSIA >OMO82641 pep supercontig:CCACVL1_1.0:contig09996:34133:40062:-1 gene:CCACVL1_11847 transcript:OMO82641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVYSRACIGEICVPRDARIKEPQSTRPNAAEIAVFSPASSNEDDETRDQIHSQLSLGRAGDPELGITRLSRVSAQFLPPDGSRTVKIPSGNFELKYSFLSQRGYYPDALDKANQDSFCIHTPFGTNPDDHFFGVFDGHGEFGAQCSQFVKRKLCENLLRNSKFHVDAIEACHAAYLTTNTQLHADNLDDSMSGTTAITVLVRGRTIYVANSGDSRAVIAEKRGKDIVAVDLSIDQTPFRVDELERVKLCGARVLTLDQIEGLKNPDVQCWGTEEGDDGDPPRLWVPNGMYPGTAFTRSIGDSIAETIGVVANPEIVVLELTEDHPFFVLASDGVFEFLSSQAVVDMVAKHKDPRDACAAIVAESYRLWLQYETRTDDITVIVVHVNGLVGTAEGESAKPATILRPPAPQVLDATGSESPSTFGWSSRNHRARHDLSRARLRAIESSLENGQVWVPPPPAHRKTWEEEAHIERALHDHFLFRKLTNSQCHVLLDCMQRVEVQPGDIVVKQGGEGDCFYVVGSGEFEVLATQEEKNGEVPRVLQRYTAEKLSSFGELALMYNKPLQASVRAVTNGTLWALKREDFRGILMSEFSNLSSLKLLRSVDLLSRLTILQLSHVADSLSEVSYCNGQAIFNTNEGLSALYIIQKGQVRINFDGDLLNNPNVCSLKSDNPKEDDDQQTGKDLSIEKTEGSYFGEWTLLGEQMGSLTAVAVGDVTCSVLTKEKFDSVVGPLTMLSQDDLKSRAFSPDVPKDSVKKIDISMLAKVSLSQLEWRTCLYSTDCSEIGLVLLKDSENVLSLKRFSKQKVKKLGKEAQVLKEKDLMKSMSSAACVPEVLCTCADQMHAGILLNTCLACPLASILHTPLDEQSARFCAASVITALEDLHENGVLYRGVSPDVLMLDRTGHLQLVDFRFGKKLFSERTFTICGMADSLAPEIVQGKGHGLPADWWAVGVLIYFLLQGEMPFGSWRESELDTFAKIAKGQFILSQHLSPEAVDLITKLLEVDESIRLGRHGPASVKSHPWFAGVDWNGIRDWSFPVPHEITSRITQHLELRSEDCPVAVAVGSPPQDIAELNVPEWLDEW >OMO82640 pep supercontig:CCACVL1_1.0:contig09996:30384:32971:1 gene:CCACVL1_11846 transcript:OMO82640 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine-rich repeat protein 2 MGETSESDADQEEEDGLATNKLIVGMGDKQLNGERALAERSWLEWSTIIHAAKSNAQMGISTSDNMEFQIWRPLRASAEEAPQPITMILAYENEAEVSFDLNVKNVKDGVDKTMTPWKRVLAYFDKGISSSAPAALWGVLLWEACLMLESAFDDTFLAGDGGQCCEPRDGEECHGYWGYRTVVAVTVLLVWLLF >OMO82642 pep supercontig:CCACVL1_1.0:contig09996:48284:55047:1 gene:CCACVL1_11849 transcript:OMO82642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTIRPSLSSFRFSGGVSSTPYGVSLSPFNLTRRLIFSHLGSNYGVDKYDIGTGFGHFGIAVEDVAKTVELIKAKGGKVTREPGAVKGGKTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLDRSINFYEKAFGMELLRTRDNPEYKYTIAMMGYGPEDKSAVLELTYNYGVTDYDKGNGYAQIAIGTDDVYKTAEAVKLFGGKITREPGPLPGINTKITACLDPDGWKTIGPNHEAEDPRVKVLKKEWFEGKDCLDIGCNSGVITIQIAKKFNCKSILGLDIDSGLIEDAFWYLRKFKKTESAGKKQAKDTSVKAVQEGNSSEQSTTALSNEGPNESLSHHSSGERDLSDIVTFRRENFVQSHRPHEKCYDTILCLSVSKWIHLNWGDDGLITSFSKIWKLLHPGGIFILEPQPWESYEKNRKVSETASNNYRSIMFRPEHFQDILLDKIGFRKVEDITSGLSGTRAGFDRPIFAFYK >OMO82644 pep supercontig:CCACVL1_1.0:contig09996:65104:65451:1 gene:CCACVL1_11851 transcript:OMO82644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGAILWDQSLKSTPPTRNPPHKLHQKLLNSISNWLTLLLFWQYSLPFFINLSASATFFAILSQAPISLAVPVTNATTFAATAVFGILLGEETRVGHALLGTGFIVLGVWLCIT >OMO82643 pep supercontig:CCACVL1_1.0:contig09996:57183:60601:-1 gene:CCACVL1_11850 transcript:OMO82643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVVGGKYKLGRKIGSGSFGEIYLATHIDTFEIVAIKIENNKTKHPQLLYEAKLYHILQGGSGIPTIKWSGVDGEDNALVLDLLGPSLEDLFVYCGRRFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFAREGYEFDFIFDWTIIKYQQAQKSRSQPRLSPVPGGGSSHPLPVEVDNHQVGYNATYSNDVTERIRSSNVSGPGVRMQFKPAPGKNLISDTTIDHTCVIDFMFDFGRLLSLIHPHLHLHLLAPLKEMVRNQCCPQKLAILAVDMETKLDPPVVGFHHCSAFHLPNDENGNSSSHRSVLVKLDYSNAINAGILVSLTKYPAYTFSYDSGQGDSSDTSMESATFGGSCYAFSPCISLRAPSDAFMERPANMPANMKLCINSPTLVFS >OMO82645 pep supercontig:CCACVL1_1.0:contig09996:68979:71160:-1 gene:CCACVL1_11852 transcript:OMO82645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTVWRGLFLLPLLIVAGNCNVPLLDEISNPSHGLLNFFGGHNDAPTNDGGDTGGDGGAFNLFKTDDKGDDKGDDTATPHHEVKNDKEEKKVGGGDVKSTNFKGNWELFTENSGVSAMHLVILPKIDQALMFDATIWSISKINLPPPCRKLDAGKEDCFAHSVLMDIETAELRPLRIEHDTWCSSGALDINGQLVSTGGYNNGSDTVRYLLNSQTAEWEEFPAALGRGRWYSTQVTLGDGRFMVFGGRNMPNYEFVPAKGQKNTLQQVIDFPFLAQTNDKNENNLYPHIYLSTDGNLFIFANDRSILLNPQNHQTVFEFPLLPGGARNYPASGSSCLLPIKLKPDENRRIIPAEVLICGGAQKESFTLTDFKRPKEFPEANKDCARIDITKRNGKWKIQNMPTPRVMGDSVLLPNGEHVLIVNGAKLGTSGWDDARNPNLTPVLYKIRGGQGSKKFKELRHSDIPRMYHSSFALLPDAKVMIAGSNTNPGYFDNALFPTETRIEKFSPHYFDPNLDKYRVQIQAENSPNQIKYGQKFTVQVTVQEEKMNEADTKVTIYYPAFTTHGISMNQRLIELGLYQVKESGSETFDIDVQAPMNGNIAPPGYYMLFVNFKAVPCRRAIWVQLLQ >OMO82647 pep supercontig:CCACVL1_1.0:contig09996:89219:93381:1 gene:CCACVL1_11854 transcript:OMO82647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVIPCSTSNITLIPGVPCTVRKNSCLTRCSSSRKQTRYALPAQRFILPLSTCATLFPQYRTGYALHRKPGIHISATGTDVAVEESDSSVTEASSGGSETQSEAVETSEQSTSKSDSSPAPTQSRQTRPVRKSEMPPVKNEELLPGAMFTGKVRSIQPFGAFIDFGAFTEGLVHVSRLSDNFVKDVASVVSVGQEVKVRVVEVNTESGRISLSMRENDDASKLRPRKDGPAATDRARPARKNTSKPNQRKEAKSSKFVKGQDLEGTVKNLTRSGAFISLPEGEEGFLPHSEESDDGFVSMMGNSSLQVGQEVNVRVLRISRGQVTLTMKKEEDDDKLDSQLSQGVVHTATNPFVLAFRQNKEIAAFLDEREQPEKKEIQPVSSDGETTVSTATDEIVQKETDTVAGTVNKDEETTVKEKEESVGVLSPEGSAETPVDVVESDETTEPSGGIVDQVLTSTDSVVDESSTAKDEVQLETPQADDKAPAALVQDENVGAIPDENGSIQPNDPVVNDAEDTVENSISSDPSQESPDDQIKSSGSEAVEETENKVEVTKDEVQIEAPASEDEIPSAPQVEEAENQVEVTKDEAQVEAPASEAEIPSASQVEEAENQVEITKDEVQIEAPASEAEIPSTSQVKEAEAAPQKNDEARDSNGSTPMENVTKAATISPALVKQLREESGAGMMDCKKALAETGGDIVKAQEFLRKKGLASAEKKASRVTAEGRIGSYIHDGRIGVLVEVNCETDFVSRGEIFKELVDDLAMQVAACPQVQYLVPEDVPEETVNKERELEMQREDLLSKPEQIRSKIVEGRIRKRLEEFALLEQPYIKNDKLVVKDWVKQTIATIGENIKVKRFVRLNLGEGLEKKSQDFAAEVAAQTAAKPVSTSGKEQTASVEAKETDQKPTVAVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQSVSIEDLPESLVSKEKEIEMQREDLASKPENIREKIVEGRITKRLGELALLEQPYIKDDKLLVKDLVKQTVAALGENIKVRRFVRFTLGETA >OMO82638 pep supercontig:CCACVL1_1.0:contig09996:13970:17241:-1 gene:CCACVL1_11844 transcript:OMO82638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGNAKKRNGPDVYIKPWITMNAMMYVGDQSAPWWQPTV >OMO82646 pep supercontig:CCACVL1_1.0:contig09996:77865:79365:-1 gene:CCACVL1_11853 transcript:OMO82646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSYFGEPNMGSERSGSSSSSSRKGKKSNSDKPKQPQRGLGVAQLEKIRLHGQMGTCNYHHHPSLHSPNYPPANFNQEDMRVQTPYPISMPSSSSFPYSSTSSPSSASSYGFQASMMMGLGEYDQRPNIRYGDSQPSTTASWNPSSGILEAQYFAQPANMTRQLLHVEDSQPIRSKKHRSSSLGSSSQNSESSDTQELDLELRLSL >OMO82636 pep supercontig:CCACVL1_1.0:contig09996:773:4338:1 gene:CCACVL1_11842 transcript:OMO82636 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MDEMVIDLGYVDDGSGGTDDWIVVGKLIVDRNLNKAGVMAILRNIWPEKEAPAIGEVGMNTYSISFASKEIMIHNLPRELLTKHNGEKIGKTLGEIIEVEEPRGRFGLNRGFLRLCIVIDSEKPLNPGFWFPSKDGEQRWADIKYEKLPDFCFDCGRLGHGCKHCKFESKGAEKEGKFGPHMRTNSLRRLEYGEEVNVQKEKQPENWRRGMVVSRATTRAEPMRKTRDEQLAAEDSIPNSSEGAKVAAQCQRAEKGKSPIKSVLSSWVSKPASLLGLMSPSKSPIGSPDCNIEKGQREESPLKGNELVTSSFSPTKTLKTVLNLSSVFRRLNLKRFETEELENQRAIKNARSSVIIEEVVEEQGQILTVPNAFDGGWSSEVPRSRLGRRSMVKRRSCRAKRELIELNEMNLTEGAGSALTGRELHDHIRNYDPDIVFLMETKNQVETIEKLSKTCKFEKKFYVNPDGLSGGLLFGERSLWSEGVRFTWVARRDHVLIRERLDRALANMEWLELNPNAKVQNLPAVGSDHSPILVLSDLKDKKAPKEFRFESMWAEHKDCADVIRKGWQPDYDGSQGYQLVKKLKNSRRALIEWSKNTFPNNRKKIDDLMHKMALIQDAEQVTTEMMKEAENCVKELNETWANEEKADHLDEPAQMLECLPHIISHEMNEDMTKPISNEEVKMAAFDLGLHKAPGPDGYNGLFFQKFWSIVGDSVTRAVQSFFHRGHMLRELNQTNIVLIPKVKSPELVSQFRPISLCNYAYKVISKILALRLKQYMNLIISQHQSAFVEGRLIQDNILVANEIFHEFKIRKRGKNFDAAVKLDLNKAYDRVDWNLLAAIMKKFGFCDKWVDWILQCISTVSFKLVINGKSSEMFIPSRGIRQGDPLSPFLFLFIAEVFSRNVSQAIQNGSLKGSVK >OMO82639 pep supercontig:CCACVL1_1.0:contig09996:23566:23904:1 gene:CCACVL1_11845 transcript:OMO82639 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACC deaminase MCVVFSMISDPRGGARGCRAAEEDHACVETGATEAADLGSGFGLPERKYGEEEANKGQGEGEGEGWGC >OMO60611 pep supercontig:CCACVL1_1.0:contig13703:7109:8849:-1 gene:CCACVL1_24006 transcript:OMO60611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEQREECVVRDRISELPDEILFTILSFLTVKEVARICFLSKRLKSLWPNIVNLNFDDSDTLCELRRDGNLRKKKRSWFINWVNHILELHNGSTINEFRVRFDLDWTCRHDIDSWFHFAISKRVQKLELDFEEVAEKTRPPGLRSYSLTKSCYDYIRTPHGLSCIGSLTSLSLRFVKVSGEVLEHFLSHCPLLEKLVVEWSKNLATLKVASPSPLRLRYLEIRSCLALESLEISAPNLLSFKYYGQKIALCIENAPLLVDVLIGGNVDDEPAFAFCPLSSYLCQLETLTLEISAYNMTFPNFPQLTNLKHLTVSVYGVYDDDLLVLTSLIDASPSLNRLSLELRIWRSSTYNHAHSASNVTGKAIPSLKEVEVVGFRGFKIDVDFVTYLLEHGKMIEKITINCCHPSWIGQIWAFESIKEREKARNHALQLKSKAPPTADFVVV >OMO60615 pep supercontig:CCACVL1_1.0:contig13703:31583:35973:-1 gene:CCACVL1_24010 transcript:OMO60615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase/3-isopropylmalate dehydratase large subunit, alpha/beta/alpha MVLTTANYAKISPNLTLKMHLQLTSNLYTSEKEHLSVHDGSAVKFWRQNWIWNCTIKEFIPGKTMPKMPRLPISWMKMPRRLPISPMVIDRRGGGAPLLIGMTLQIWQESETNSVLWPLHVYHQKHPVNLVILGMFPVSLSFTVGLSCSNTDGRIVLESLILTAEKNSSYSIDYGKCPVTGEPLTMEDIVPVKTGKIVKPRSSLTAASIPGMLGMFRNQWDALMLSSFALEKLIHTARQELSHALYQHDAACRVIARLKKERDEARSLLAQAERQAPLPAVRANVSALSNGKRASENEKMGPGGKRMRPGISDGIVAELTECNAALSQQHKKRQIPPTLASIDALERYTQLSSHPLHKTNKPGITSLDINLSKDMVATRGVDSNAVVFDLTSGEISSSLSGHPKKITSVKFVAEHHVVLSGSADKLCIWQGSGDGKYDCRHILKDHTAEVLAVTVCATNHYFVIASLDTTWCFYDLSSGMRLTQGLLLYPSLILSLLQPSTPDNLALARGCKDIKIDGAYMGSCSTGGKTEDFLAAARVLASGKEVNSYVFNAKDKLLWDVSHQVETH >OMO60614 pep supercontig:CCACVL1_1.0:contig13703:23784:24914:-1 gene:CCACVL1_24009 transcript:OMO60614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVFPDWGNIPCDVLCCIASKTHPSVQDFVRMGAVCRSWQNSLKHLKPKFPICLMLTETSEDDNDNRRCFVTASEEKVMEFGLSEIRNRRCWGTPFGWIATYGLDDQIGLFNPLTKDYLSLPPAGHNLKTDDSQSGLDFIYKVFLSSTPTSPDCIVMVIYSETNKLAFAKPGDQQWTSIESPEYISDVTYFNRQFFVVKFTGDLLICQGLEGSSPKAIEFASRPTQLNPLNPKYIVDLGGHLCMISRYIREYEYCNEDGELEIESLYQTDQFKMAKLDMHTRNWEIVLSLGDRSLFLGTCCTFSVLAADYLACTSNCIYFTEERSDLYNEIYGGGFDTGIFCCDNKEILRLPDGDDELYLSKITPPLWIHPTNSH >OMO60616 pep supercontig:CCACVL1_1.0:contig13703:38052:50700:-1 gene:CCACVL1_24011 transcript:OMO60616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase MDDEVVQRVFQEGGRDYFQQQPSTSTSSPSILQSLPLHVSFDHGYYVLVKSIQELREKKEGLVTVGIGGPSGSGKTSLAEKVASVIGCTVIPMENYRDGVDDGNDLDSIDFAALVQNLEDLTKGKDTMIPVFDFQQKKRVGSKAVKSASTSVVIVDGTYALHAKLRSLLDIRVAVVGGVYFSLLSKVRYHIGDSCSLDYLIDSIFPLYRKHIEPDLHHAQIRINNSFTSSFREAIYKIKCRSESPDGHSTFFLEENKAQTDNFIEMYLRPPSASEEARINDWIKVRQSGIRYYLSLGDERIVDKNFIIRPKAEFEVGRMTLGGLLALGYNVVVSYKRASTSVSIGSLSLSFETIDTLGETFLVLRGTDRKTVGAEALRMGIVGPWLTKSYLEMILERKGVPRLNTPPLVPSTPVPSNQEKVIAAPKPIRTTPNLVTRLEDLSQPWTRSPTKSKMEPVLATWRFVTSDPSHGDSVIDSSAFRDTMKLAPMHDSYDLDRGLLLAVQAIQALLENKGVPIIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYFKSEQVKDFKYDDFNSLDLPLLSKNIGDIKNGRRTKIPEFDLETGSRSGFKELEVPEDCGVIIFEGVYALHPEIRKSLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNEIMMTVFPIFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKHVPYQDILKILDSTKFCSSVQNFTDIYLRLPGTPTNGQLAESDCIRVRICEGRFALLIREPIREGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASAVIYQDGKILIEVDRLRDAPSPYLQIKGVNKEAVAAAGSALKLDGSYTTKSYLQIILEELALVERSYSGIHTHQAARLQELVEYIQSQVGSSTPSESAQSREASPMEGIIEDMQSRIRRLERWHTINTVLWTFLMSALVGKLT >OMO60613 pep supercontig:CCACVL1_1.0:contig13703:14240:19718:-1 gene:CCACVL1_24008 transcript:OMO60613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKQERLKRKKEAGPFYCKLCDNGRPFDIKDGLTAHFNNLHKRHGAVSKRFSSGETKMTKMRRMPISWILIVIDHRRAPLFTGRVVTVLFDRTFGGQKIKGINKVAVAAAGSALKLDGSYTTKVWP >OMO60612 pep supercontig:CCACVL1_1.0:contig13703:9806:13846:1 gene:CCACVL1_24007 transcript:OMO60612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSNSSDTAADLQKYGVPFYGAGWVPYNYIRSKLASKEKNEEEEDDKGPTQSEDDEISASRNYVVLAGGGGEGRSGIPNAFVVSHVDLASNSLSDQPVFKLKTDSDLPYRMTVHPRGDGVICALQQSCRLFEWEEREVDEVHKLGVKASEKVLTELEDVGQQLALRFDSEGSILAAGGEDGNLRVFKWPSMEIILNEAQAHSSVKDLDFSCDGKFLVSLGGGLCRIWDVTSLKVVASLAKGNDEVFAFSRFSQTNDKNSVLYVAAVTDNGGSIITWNTTTWKRMRSNRVVREAISAFNVSADGKFLAVGTVGGDLFIINSSNMRVQMMVKKAHLGLVTALTFSNGSRALISASLDSSARVTLIKDQKKSAFSFRH >OMO60610 pep supercontig:CCACVL1_1.0:contig13703:2243:6570:1 gene:CCACVL1_24005 transcript:OMO60610 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate anion transporter MEPNVSTMQQHQHPPSCLEIAMEVHRVVPPPHETTLHKLKNRLKETFFPDDPLRQFKGQSTRKKWVLAAQYIFPILQWGPNYSFNLFKSDIVSGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLILGSMLRQEVSPTNDPVLFLQLAFTSTFFAGLFQASLGFLRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKALLGITHFTKQMGLVPVLSSVFHHTKEWSWQTILMGFCFLVFLLVARHISIRRPKLFWVSAGAPLVCVILSTLLVFAFKAQHHGISVIGKLQQGLNPPSWNMLQFHGSHLGLSIKTGLVTGIISLTEGIAVGRTFAALKNYKVDGNKEMMAIGLMNMVGSSTSCYVTTGAFSRSAVNHNAGAKTAVSNIVMSITVMVTLLFLMPLFQYTPNVVLGAIIVTAVIGLIDIPAAYQIWKIDKFDFLVLLCAFFGVIFISVQDGLAIAVGISIFKILMQITRPKTVMLGNIPGSDIFRDLHHYKEAMKIPGFLVLSIEAPINFANSTYLNERILRWIEDYEAEEDLKKQSSLRFVILEMSAVSAIDTSGVSLLKELKKAMEKKDVELVFVNPLGEVMTKLQKSDEGGDLIRPDSLFLTVGEAVATLSSTIKGQQLNHAV >OMP05954 pep supercontig:CCACVL1_1.0:contig05114:2609:6025:1 gene:CCACVL1_01775 transcript:OMP05954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AILYIEEKTKFDKSSMLIEEHLDLMAADFGG >OMP12391 pep supercontig:CCACVL1_1.0:contig00026:375:434:-1 gene:CCACVL1_00012 transcript:OMP12391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSQIRGRRIFLFNNFSKY >OMO50410 pep supercontig:CCACVL1_1.0:contig16192:3823:6078:-1 gene:CCACVL1_30456 transcript:OMO50410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPRPSTPLSPASAKQKPPPPPQTPPISSKNPKAHQKWVPLNVSQSELSLPLTFPTGQTFRWKQTGLLQYSGTIGPHLVSLKHLQNGDVSYLIHSTPSESAAKLALLDFLNVGISLAKLWEVFSENDPRFAELAKHLKGARVLRQDPVECLVQFLCSSNNNIGRITKMVDFVSSLGTYLGSVGGFEFHEFPSLERLSVVSEEELRQAGFGYRAKYITGTVDLLRSKPDGGAQWLLSLRKLDLAEVIDALCTLPGVGPKVAACIALFSLDQHHAIPVDTHVWQIATRYLLPELGGARLTPKLCNRVAEAFVSKYGEYAGWAQTLLFIAELPSQKALLPSHFQGIQEKRNSKRKDRNKCTSDDSYN >OMO50409 pep supercontig:CCACVL1_1.0:contig16192:368:2796:1 gene:CCACVL1_30455 transcript:OMO50409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AHVFRGESGECAAFLANNDNTTTSAKVHFQNSSFNLPRMSISILPDCNNVAFNTAKVSTQQNTRSMSVKHKFDSSENWEEYREPIPTFSNTSLKAGELLGHMTTTRDTSDYLWYTVRYQHDSDAKAGLYAVSEAHVLHLFVNGAYIGYAYGGKRYFKLEETVHLNNGTNEIALLSVMLGFPDSGAYLEHRTLGIKKVTIQSQMLNDYQWGYQVGLTGESLRIFTQHGSKKVEWTSFHGKRHRLVWYKTQFDAPQGNDPLALNLGSMGKGEVWVNGQSIGRYWVSIHTPENRPSQTWYNVPRAFLKSKRNLLVILEEEYGDPVKITLDTVSISNVCGHVSESHLPPVPQTLTLTDVNYNNMEHINKVHLHCPPHTNISSILFASFGAPSGDCDNYATGNCHLSDSKAIVERACLGKKKCSVSLSDPDFGIDPCPGIPKSLLIDAQCT >OMO81682 pep supercontig:CCACVL1_1.0:contig10126:9547:9651:-1 gene:CCACVL1_12298 transcript:OMO81682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKLNHNASERDRRKKINNLYSSLRSLLPAAAQT >OMP11244 pep supercontig:CCACVL1_1.0:contig01447:679:996:-1 gene:CCACVL1_00602 transcript:OMP11244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTVFSRARGCTNWCSCGNPLIDLPAIKSPAAAGIQNIPTSHSCVPASCRKVDGVAAWLMNGVAAAFFISLERCSCIHIDTKDDADDVQLLAPNSRRLESSTK >OMP11166 pep supercontig:CCACVL1_1.0:contig01510:633:707:-1 gene:CCACVL1_00646 transcript:OMP11166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETEKNSSMATSHNLKYAKIHHWLMS >OMO49400 pep supercontig:CCACVL1_1.0:contig16515:8588:10124:1 gene:CCACVL1_31020 transcript:OMO49400 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Disease resistance protein RPS2 MLRRRVAQSIASKDVEFKIRSKAGRVKRVAKEMTDLKQLCKGRPLALSGFLKSIHCNKMQQFDHCSSRGFLYMDRLEEIRVKDCDQMELVFHNPTILVSSREAVDENSTLVLCLSKLGTPSQSDDGPEPNSEYLKVGNFEEIFQVAGGCLFSKLERRLIIKDLSNKLKVIWKDPTQILTFQNLTHFELANCEELRNVFSLVLPRNLPQLRHLKVEGCDNNNQIPSSSKAHSGDIYFPII >OMO49403 pep supercontig:CCACVL1_1.0:contig16515:20324:20521:-1 gene:CCACVL1_31023 transcript:OMO49403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQQGSPADPRQTKLVASRSSTNKARCQQTSNDEQTGIGITSRELIDKRSHLWKFAPITVRPSKV >OMO49404 pep supercontig:CCACVL1_1.0:contig16515:21579:22139:1 gene:CCACVL1_31024 transcript:OMO49404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFVSSLGSSDSSKFEALPHHLCFWADPPST >OMO49402 pep supercontig:CCACVL1_1.0:contig16515:14717:15557:-1 gene:CCACVL1_31022 transcript:OMO49402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKPSTGIPVETQCYLKNNTDVYVTLDSQSYWHGYGQPPRSIQSQNEGHLKHIADDAGSIGGISYVLGNQIKWIVAWSNAEDQSNKVYTNILKASDVIDWADIKANLGKSGNQSSVNNINGFTSEVVIDATSPTPKLTAKLRQAI >OMO49405 pep supercontig:CCACVL1_1.0:contig16515:24472:28668:1 gene:CCACVL1_31025 transcript:OMO49405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTFMNDTNIYESMSDTDKKEVAYLLIELDKVASSASPELLVWCRHCLQMKKSTFVLAWGSLTFECHPDGRVMNYFVAMAVKIAKGSSFPLAQFFIGNLYHCLDLLVNDEMEGCNSKVVYSTLNVYFLQMFLWERFPRYADKGVSLSALRSRFDGCSEKFLNYGPSEFPTVCNWFGKNFTGKKAFRGVMDMEEEFCWGPYNKVRSGNVKFVRVLALPSLAEGKCEEKEIPAAAMDNFTTCFVANGLRYWSFVKHCFCTILYQPQRVRRQFGLDQRIPFSIATEMPWDRCILRFTKKTAIKIFSEGRLCIVGKSLLPSMTSSMVRYWSTCMRYLNEGPTASLVSAGALFFSGRFQELNKYPYAFAFTESSFIFRTRPMPIDESKKRDEAHELATDVAPKGALRRTNRIKRDKEINNTEIMDMTESVPENTQESKEFVENEDSSQAINVPIRRDLSKKLFLTKTKTKVDIDQFVNAGETSIPEEQVNVKPLFNAGKTPSAEEQVTAGHPLDADKTPSVEEQVSARHPLDAGETPFAKEQVAAMLVNP >OMO49399 pep supercontig:CCACVL1_1.0:contig16515:7576:8273:1 gene:CCACVL1_31019 transcript:OMO49399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIWKAALVINSAVPSSGISLFSPPGSVKAAVNVNVAISVAITDIVCAIALRLESLSISSENSAFSETSAGLIALLFQEFFKAFKKMLLNHCIEVNGRRWDSWERIRKNQTVDDHLSIDLQIVGNGAKGSQKHFNQLADFLLSLPCCVVNTAKVLKQRKTETLAEDGMEKKLGETPQKNQKLFLSPSEGLQ >OMO49406 pep supercontig:CCACVL1_1.0:contig16515:56501:56602:1 gene:CCACVL1_31027 transcript:OMO49406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRPKFNFSSKPAETFRYGRHTSETSDSKTSTK >OMO49401 pep supercontig:CCACVL1_1.0:contig16515:12986:13144:-1 gene:CCACVL1_31021 transcript:OMO49401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQHKSVATLQQEAPMMKVAQANTMPSEHLDPSTWQADLDQLATQVTSSTPT >OMO75749 pep supercontig:CCACVL1_1.0:contig10988:47188:49122:-1 gene:CCACVL1_16057 transcript:OMO75749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVILIYNVVGAANPGCRRRSIGFVYDYHPHLMVVTKTRVSRAHAKDTMKHFGYCHAQALDPIGYLGGEWLLWNCNNADVDLAMKKLSMLELVVYHPCNYGSRPSTSVPVGVYEGMFVLVVCVSSWLVCLCFETLESVLDTIQNPWINPSMNKPRIPPSLAWNNLVAVEKNLKLSRNLNRLVPPESFFVVGSLYKGRMIEELVENELKDAKACAIREA >OMO75748 pep supercontig:CCACVL1_1.0:contig10988:7800:12727:1 gene:CCACVL1_16056 transcript:OMO75748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMMLHVAEDMITSIKQKQGTRKRREDKEDFWEEGF >OMP11569 pep supercontig:CCACVL1_1.0:contig01144:7534:7968:1 gene:CCACVL1_00436 transcript:OMP11569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MKQLIRRLSRVADASSQYSLLRSDSTTPATRHRNATRRAESFRVAVSSLKKHSRRSVPEGHVPVYVGEEMERFVVSAELLNHPVFIGLLNKSAQEYGYEQKGVLHIPCHVLVFERVMEALRLGVESRELQDLLTSFSDDCFLEC >OMO50086 pep supercontig:CCACVL1_1.0:contig16322:27460:34743:1 gene:CCACVL1_30642 transcript:OMO50086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVSLKSLIEVWNGWEIRVLALASLKLQVILIILGSRRKVTKNICVNILVWSAYMAADWIATVALGILAEGEYSSIGTNNNSPTPNHLLQSFWAPFLLLHLGGPDTITAYSLEDNELWLRHLLGLVVQTGVASYVLLKSAANGSNLSLIAIPVFLAGLIKYGERTWVLRSSSTKNIRDLLLSNPDPGPDYIKALQDANFVPRKVEAHPQRYSGSLEDYISQAYYLFNRLKYLFADLILGYYERKDCHSMITNKSSKQAFELVEGELGFLYDVLYTKATAIYSRYGFLLRCACISSSVFALVAFAVFIDQDSYSPIDILITYLLLIGAVILEVYAFILVGLSDWTRLWLANFLTQLRIGKRWSRSIAKYNLFRFCLRKEAIMWIRVQKLLGIQEILEKHLNVEHRGVDDELQELIFQQLVERSENINNLFDVNSCKELVNYRGDCVLGLSTGSQFQPKVWNLQGRQITGLNEGKLPFLRIRSYPKNRIRCNFVQTNQVLSSNEEHEKKHPSVKMCGITSAKDAAMAAEAGADFIGMILWPNSKRSISLSVAKEISKVAREYGAKPVGVFVDDDLETILRASDASDLEFVQLHGDGSRATFPKLVQENRIIYVLHANQDGHLQNQISHEDCSLVDWILVDSATGGSGKGFNWAQFKLPSIESKHGWLLAGGINPDNVCEAINTLRPHGVDISNCLSTGSQFQPKVWNLNRRQNIGLDGGKLSFVRIRSNPRNGIRCNFEQTKQVLSTNEDHEKKQHPLLKMCGITSARDAAMAAEAGADYIGIVFSPKSKRCVSVLSVAKEISKVAREYGAKPVGVFIDEDLDTILRASDAADLEFLQLHGDGSRASFPKLVQENRIIYALHANQDGDLLNQIADEDCSLVDWIIVDSAIGGSGKTFNWAQFKLPSIKSKHGWLLAGGLNPDNVCEAINTLKPHGVDTSSGICSSDGISKDRSRIVSFMSAVRSANINRSVEGRLSAPDMDWFHGSTFTM >OMO50085 pep supercontig:CCACVL1_1.0:contig16322:12753:14801:1 gene:CCACVL1_30641 transcript:OMO50085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MCSGSKSELAPSGFIMEGELNERKAKALRECSVLLELAASDDLLAFKTEVEEKGLDLNEASFWYGRKMGSRKMGYEERTPLIIAAMFGSLDVLNYIIETGKVDVNRACGSDGVTALHCAVAGAANSSVEIVKLLLDASADANCVDANGKKPIDLIVPGLKSLSNSKRKVIELLLKGDDAVGVSGHVDEEAEKIALPQQGSEKKEYPVDVSLPDINNGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYPYSCVPCPEFRKGACPKNDGCEYAHGVFESWLHPAQYRTRLCKDEIGCTRKVCFFAHKPEELRPVYASTGSAMPSPRSAAVNAVDMSTLSPLALGSSSLPLPTASTPPMSPLAASSSPKAGGLWQNKLNLTPPALQLPGSRLKTAFSARDFDLEMELFGLENHANQLQQQQQLMDEISSLSSPSCWSKEYSRLGDLKPTNLDDAFGSLDPSLLSPLKGLSVKSATPSQLQSPTGLQIRQNMNPLRASYPTNVSSSPVRKHSPPGFGFDSSAAVAAAVMNSRSSAFAKRSQSFIDRGAVTSRAGLTPPANSASMMSDWGSPDGKLDWGIQGDELNKLRKSASFGFRNNNPNATTTTGMMMPSDVDEPDVSWVHSLVKDVTPMGGGLQQQQQQQYSLGKGVRETLPPWVEQMYIEQQEQMVA >OMO50087 pep supercontig:CCACVL1_1.0:contig16322:35416:37551:-1 gene:CCACVL1_30643 transcript:OMO50087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MASWVLSECGLRPLPRIRIYPKPRNALASNSTNNVFKIRTLPGSKANSNSFGSSFKVSTFSRDRKWGVLNVSAPLKVAITSEDDKDKETINGVEDDGEFNPAAPPPFKLADIRAAIPKHCWVKDPWRSMSYVVRDVVVVFGLAAVAAYFNNWVVWPLYWIAQGTMFWALFVLGHDCGHGSFSNNPALNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKIYRSLDTATKLLRFTLPFPMLAYPFYLWSRSPGKKGSHYNPDSDLFTPSERNDIITSTTCWTAMVGLLAYLSFAMGPIQVLKLYGIPYWIFVMWLDLVTYLHHHGHDDKLPWYRGQEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYNLIEATEAAKPVLGKYYREPKKSGPIPFYLLGVLIKSMKKDHYVSDVGDVVFYQTDPNLYGSSKSD >OMO54733 pep supercontig:CCACVL1_1.0:contig14907:25840:28705:-1 gene:CCACVL1_27611 transcript:OMO54733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Sec23/Sec24-type MDFNELEAIEGMRWSWHSWPTTKPDAASLTIPLSIMCTPLTEFSELPILPYEPLTCSKCGAVLNPYARVDYTSRIWFCPFCFFKNPFPRTYSSSIGESNLPAELFPNYSSVEYAKSVHSVSTPIGPSLSSSSLSSMTSSKSTSLAGEVGVRGPAFVFVVDGCLEVEELRAVKSELLRVVEQLPESALVALVTFDAMVNVYGLGFSECLRVVVFHGDRELSSDQIQKFLGIGGTKLQQLGKTPPVQKQSFLLPISECEFNITSAIEELRSSVKVTPGHRPQRSTGAAISTALGLLEGCSINTGSRIMVFTSGPATLGPGIVVSSDLSNAIRNHRDLINGQAPYYQKSCSFYKRLSQRLCDSSVVLDLFACALDQVGAAELRVPVESSGGFMILGESFESDEFRKCMRHIFSRDEEGNLKMYFDATIEIVTTKDVKICGALGPCVSLHRRNNLVSDNETGEGGTYMWKLGTLTNKTCIAFFFQVNDEHRPQGGSAFLIQFITRYRHGNMGIRKRVTTAARRWVAKQSPEIPAGFDQEAAASVMARLAIHRAETCNARDVIRWLDDALIHFASKFGDYVQEDPSSFRLSSNFSLYPQFMFYLRRSQFLDVFNSSPDETAFFRLMLNREGVLDSIVMIQPTLLQYSFDGPPVPVLLDVRSISPDVILLFDSYFYVVIHYGSKIAQWKKLGYDKDPNHENLRKLLEAPEIDAAQLVAERVPPPKLVKCNQHSSQARFLLAKLNPSVTQDSTYTDGSDIIFTDDLSLQVFIDHLQALAVQA >OMO54749 pep supercontig:CCACVL1_1.0:contig14907:135887:143660:-1 gene:CCACVL1_27627 transcript:OMO54749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRDYNGRGSFAKVHEATSLDDCNNVVAIKIIDKTKTDAAMEPRIVREVSAMRRLQHHPNILKIHEVMATKTKIYLVMELASGGELFNKVLRRGRLPEKLARSYFTQLVSALNFCHQNGVAHRDLKPQNLLLDQNGNLKVSDFGLSALPEQLNNGLLHTACGTPAYTAPEVVRRKGLGFVRAF >OMO54738 pep supercontig:CCACVL1_1.0:contig14907:58591:58695:-1 gene:CCACVL1_27616 transcript:OMO54738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGKLKLSVAIAATLRQAAMGIGGQGWDKLSRP >OMO54744 pep supercontig:CCACVL1_1.0:contig14907:91450:98396:-1 gene:CCACVL1_27622 transcript:OMO54744 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASTOR/POLLUX/SYM8 ion channel MFQGSFPHLLQTLGATNLPFACISNSLNKPTPLKLDVSLPSIQDIQWNFARILYLFNIQLEKNVATFLVVLIVACFSFVVLGGFLFFKFRGNTQSLEDCVWEAWACLCSSSTHLKQRTRIERVIGFILAVWGILFYSRLLSTMTEQFRNNMQRLREGAQMQVLETDHIIICGVNSRLAFILKQLNKYHEFAVRLGTATARKQRILLMSDLPRKQMDKLADNFAKDLNHIDILTKSCSLSLTKSFERAAANKARAIIILPTKGDKYEVDTDAFLSVLALQPIPEMESVPTIVEVSNSNTCELLKSISGVKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYRKNVFNLCHFPSLTGLTYRQVRQGFREAVVCGLYRMGKIYFHPRDDEILQQTDKILLIAPINQTAKQQLTLSDVVKDETNTLQSLEVVKDNADTPTHALELRRLSNIVKRPNKRGSKASDWNLGPQECILLLGWRPDVVQMIEEYDNYLGPGSVLEILSDVPLEERKKAISMSGLGKLKNVQVSHRIGNPMNYDTLEETIVNMHNSVKKDSKNIPLSIVVISDREWLVGEPSRADKQSAYSLLLAENICNKLGVMVQNLVAEIGDSKLGKQITRIKPSLTYIAAEEVMSLVTAQVAEHSELNEVWKDILDAEGDEIYVKDISLYMKEGENPSFSELSERACLRQEVAIGYIKDNKQVINPTPKSEPISLSMTDHLIVISELEGEQPIVV >OMO54736 pep supercontig:CCACVL1_1.0:contig14907:37580:39613:-1 gene:CCACVL1_27614 transcript:OMO54736 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MEVSRKMANMLGTERDLNFKETELCLGLPGGGGGGGGNGGGANEQVETPKATGKRGFSETVDLKLNLQSKETGMDLNKNLENGSKDKNLLPTAAKDPAKPPAKAQVVGWPPVRSYRKNIMATQKNSSEETSEKASSGGSAAFVKVCMDGAPYLRKVDLKMYKSYQELSDALAKMFSSFTMGNYGSQGMIDFMNESKLMDLLNSSDYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAMEKCKSRA >OMO54748 pep supercontig:CCACVL1_1.0:contig14907:132252:134828:1 gene:CCACVL1_27626 transcript:OMO54748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFANLQLDSGCFNILCSTKTSPPNITGVSVSPLEKLEFINRNKQHRLLETPNGGGTKKFNGVRKQSLDNQPEPSNQLLEKTPDRERREKTRESCKFVNKGSNFGGSNGKFPVENMQTKCSTKWASYGGCIPAILGALDSVKDLDEALKPWAEKLSNKERSIILKEQSSWERALEIFEWFKEKQCYELNVIHYNIMFRILGKAHKWGFVEKLWNEMTFRGIEPINSTYGTLMDVCSKGGLKPQALCWLGKMNKQGIKPDEKMEEVQCLPDTRTYNILISLHAKQDDIKMAAGYFAKMKEARLEPDLVSYRTLLYAYSIRHMVSEAEDLIQEMDERQLEIDEYTQSALTRMYIESGMLEKSWLWFMRFHNAGKMSSEGYSANIDAFGERRHVLEAEKVFFCCQERKKLTVLVFNVMIKAYGMGKSHDKACWLFDSMQSHGVVPDKCSYNSLIQNLASADLPHVAKGILKRMQEAGFVSDCIPYCAVISSFIKLGELEMAEGLYNEMIQYKVEPDIVVYGVLINAFADLGSVKEATSYLNAMKSAGLPGNAVIYNSLIKLYTKVGYLKEAQEVYQLLQLSGFNPDVYSSNCMIDLYSERSMVSQAEAIFENLKEKGDANEFTYAMMLCMYKRNGRFEEASHIARQMRELGLLTDLLSYNNVLGLYAMDGRFREAVRTFKEMISACIQPDDSTFKSLGSVLVKCGVPKRAVNRLQVTRKKDPQSGLQAWMSTLSSVVGTDEENDDTDGHDYA >OMO54737 pep supercontig:CCACVL1_1.0:contig14907:47147:54639:-1 gene:CCACVL1_27615 transcript:OMO54737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETLETDRRRAQDFHDFFTKPFFFQAPARTHERVAMAMGYGLWLTQSREGPRFLIRRALKV >OMO54742 pep supercontig:CCACVL1_1.0:contig14907:80308:84585:1 gene:CCACVL1_27620 transcript:OMO54742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVPLLHAGDDVIEAVETLIILNKGTETRERVPDSGKHQKRVKAEKPPLPGDDEIEAAETLMMLKDVVPASFAKIRTSERVAESGKHQKRVKVEKPPLPVQQVLPLSEQAHQKKYQKRVKAEKPPLHVQQVLPLPDSSPEQAVLPLSCLTKINFLPHEPTGYDVIKAAETLMILNKDGTETRERVPESGKHQKKHQKGQSREASTACVASFAFA >OMO54731 pep supercontig:CCACVL1_1.0:contig14907:8905:9450:1 gene:CCACVL1_27609 transcript:OMO54731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MSTLISSLKETAQSLTAIRRPWRDFLDITAVDLPSSFSDATTRIAQNLTHFRLNYTIILLLIFFIALIYHPLSVLTFLVILLAWFFLYFARDREEPVVIFGFTIDDRLVIAALFVLTVAGLVLTGVWLNVLVAALIGVSFVVLHAALRSTDDLVMDDLESPYGHVLGDEELDSPRGDYSGI >OMO54732 pep supercontig:CCACVL1_1.0:contig14907:14575:23774:-1 gene:CCACVL1_27610 transcript:OMO54732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAHVVPADPQAVVTVKKKTQPSRSWVLLDCTGQSTVLDVDKYAIMHRVNIHARDLRILDPLLSYPSTILGRDRAIVLNLEHIKAIITSEEVLLRDPSDEYVVPVIQELQRRLPPVNSFRQGQEYAGGQNDVDAGDEEESPFEFRALEVALESICSFLAARTLELETAAYPALDELTSQISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASPVSGSGAANWYPASPTIGSKISRASRASMATVRGDENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSVYSLVAAIFGMNIPYTWNDNHGYVFKWVVIVSGILSARTSYRVENDVIQSTGHIAKEDVLQFIVIRMRISIGNGKKEEEKTEEKRRCSSRISRCLVLELKKTMMKETTGLEVSKVDREKLVACMTCPLCNKLFKDATTISECLHTFCRKCIYEKLTKEHLGNCPVCNIDLGCAPLEKLRADNNWQDIRTKIFPNSKRKQSNEPAESAFLVALPAARRREKSLSSLGVTAPEEVSAKSYLTGRRSKLTARKTTGNRDSLYLSEQHFSKPDNRLQQVIIHMPAAHSFCCFNLNKLQNVSVGESSKQHLPDRSTTKSVANAEALEEKTDLWKPLNRLVEAATTNKTKPNKVNSQEANLPTIIKECGNGSNVPGKQNDLTPEPSTSARPSKESDSTVIPEPSTSVRPRRSRRRKGSLPESCNIPAQVVVDASKKFDGRFNPIWFTLVASKSREGYAPLPQISSCYLRVKDGNLPVSSIKKYIVTKLGLSSETELEISLRGQPVLSTVQLHDLVDWWVQTTPESERIQSYVGSSAKDFVMLDEKTYLDALVKRHSRDSELMIAELEAVVDAFEDVDGASDDGSLIINKNAELWLWIEATINLFNENKHGTDQEDKANL >OMO54741 pep supercontig:CCACVL1_1.0:contig14907:76553:76897:1 gene:CCACVL1_27619 transcript:OMO54741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAWIVKMGNQVSSNLKHALLLEFFPKKKKNTQSNPTPKKYETVGIHLHKSLSETEISKLKFEIIRDVAAGVRFAMLLAFGRWKRTVKELMKMEEDSEEVLNRRRRKIRRRR >OMO54747 pep supercontig:CCACVL1_1.0:contig14907:111853:131308:1 gene:CCACVL1_27625 transcript:OMO54747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSKTAPVKEFPLGIDETTEDDYASLSKLLQEFINVSSIDKAWVFKSGNGIVSQAMFSLSQPNLLANKKRKFMLPASISKEGANNLNFQWGPFPIEMTGVSTIVPSPSGSKLLVVRNPENESPVQFELWNSSQLEKEYQIPQSVHGSVYADGWFEGISWNADESLIAYVAEEPTPSKPSFDYQGYKKGATKEKDCSSWKGQGDWEEDWGECYAGKRQPALFVININSGKVQAVKGITKSLSVGQVVWAPQVEGIDQYLVFVGWSADPRKLGIKYCYNRPCALYAVKAPLYKSDAAEFDLKSIEESTLVNLTQNISSAFFPQFSPDGKFLVFRSAKASVDSGAHSATESLHRIDWPTDGKICSSTKIIDVIPIVNCAEDDQFPGLYGSGFLSKPWLSDGRTMILSSYWHSYQVILSVNVLSGEVLRISPVDSGFSWNVLTLDGDNVIAVSSSLVDIPQIKYGCLVDEATNSTQWHWLDVASPIFSYSEKVMALLSSRQFSILQIPVKDVSDCLTKGAAKPYEAIFVSSKKSSEPDPLIVILHGGPHSVYTSSFSKSLAFLSSIGYSLLLVNYRGSLGFGEEALQSLPGKIGSQDVNDVLTAIDHVIEKGLANPSKITVLGGSHGGFLTTHLIGQAPDKFVAAAARNPVCNISSMVGITDIPDWCYMETYGSNGKRIFTDAPTDEHLSNFYSKSPISHISKVKTPTLMLLGAQDLRVPVSNGLQYSRALKERGVETKVIVFPNDIHGIDRPQSDFESFLNIGVWFNNLSILIFFIRKKLPAVIPMDSSKTAPVREFPVGIDETTEEDYASLSKLLQEFTNISSIDRAWVFKSENGKLSQAMFSLSQPNLLANKKRQFMLPASISKDGANNLNFQWGPFPIEMTGVSTIVPSPSGSKLLVVRNPENESPVQFEIWNSSQLEKEYRIPQSVHGSVYADGWFEGISWNADESLIAYVAEEPSPCKPSFDYHGYKKGATKEQDCSSWKGQGDWEEEWGECYAGKRQPALFVININSGKVQAVEGVTKSLSVGQVVWAPQVEGTDQYLVFVGWSADPRKLGIKYCYNRPCALYAVKAPLYKSDAAEFDLKSMEELSLVRLTHSISSAFFPRFSPDGKFLVFLSAKASVDSGAHSATDSLHRIDWPTDGKICSSTKVIDVDVNDVLTAIDHVIEKGLVKPSKITVLGGSHGGFLTTHLIGQAPDKFVAAASRNPACNISSMVGITDIPDWCYVETYGSNGKSIFTEAPTAEHLSNFYRKSPISHISKVKAPTLFLLGAQDLRVPVSNGLQYSRALKERGVETKVIMFPNDIHGIDRPQSDFESFLNIGVWFNNKKLPAIMAMDAPKTAPVKVFPVGIDETTEEDYASLSKLLQEFTNISSIDKAWVFKSENGIVSQAMFSLSQPNLLANKKRKFMLPASISKEGANNLNFRWGPFPIEMTGVSMIVPSPSGSKLLVVRNPENESPVQFEIWNSSQLEKEYQIPQSVHGSVYDDGWFEGISWNADESLIAYVAEEPSPCKPSFDNHGYKKGATKEKDCSSWKGQGDWEEEWGERYAGKRQPALFVININSGKVQAVKGVTKSLSAGQVVWAPEVEGTDQYLVFVGWSADPRKLGIKYCCNRPCALYAVKAPLYKSDAAEFDLKSMEESSLVNLTHSISSAFFPRFSPDGKLLVFLSAKASVDSGAHSATDSLHRIDWPTDGKICSSTKIIDVIPIVNCAEDGQFPGLYCPSFLSKPWLSDGCTMILSSYWHSYQVILSVNVLSGEVLRISPVDSGFSWDVLTLDGDNVIAVSSSPVDIPQIKYGSLVDKATNSTKWHWLDVASPILSCSEKVMALLSSRQFSILQIPVKDVSDCLTKGAAKPYEAIFVSSKKKSEHDPLIVVLHGGPHSVSLSSFSESLAFLSSIGYSLLIVNYRGSLGFGEEALQSLPGKVGSQDVNDVLTAIDHVIEKGLVNPSKITVVGISHGGFLTTHLIGQAPDKFVAAVARNPVCNLSSMVGITDIPDWCYVTSHGSNGKNIFTEAPTAEHLSHFYSKSPISHISKVKAPTLILLGAQDLRVPISNGLQYSRALKERGVETKVIMFPNDIHPIN >OMO54730 pep supercontig:CCACVL1_1.0:contig14907:5467:7649:-1 gene:CCACVL1_27608 transcript:OMO54730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSSKNMISSQFSEDDSQVEAQADQPVESITYMDHDLYTAAEQGNISAFNDFQGSQLESLRTPNGNTVLHVFLVAATQNFGSFDFRLQKGTWKSTNNFIGQILNKCPSLLLQPNAKGQTPLHIAARYGHSALVKFIVKAKAPRGDLEKQEGIEAVREMLRKTDLVSNTALHEATRGGHLQVVKELLEFEDPSFSYPPNLNKETPLYIAARRGFHHLVAAILDKYCNSTEYGDGPYGRTALHAAVMAGDEETTRVILEKKGDLNLTKHTDENGRTPLHYAAHFGYCSIVKLLLEWDASAAYIADKETGMTALLMAARQGNGEIVTDIISSCPDCCEMVDRKTGWNLLHFVAIRHSPRELHLFLDGEIVESELNASIRDLRDEKDIHGNTPLEVYVATKEHFYVAEHEDHFYYYAKAIISSLTKSSSPLLFSRSNKKELEFYSKNLSTKKREQILEMVEEVIINGEVAGVAVKYVVKLSSILTVFDEARDSHLVVATLVATVAFAAAIAVPGGYNSEIGDKQQGTAILINNLAFKVFVISNALAMVFSLVAVVIHFNMALPRPRAQESFESANLAVAFTHYSILAMMVAFSTGTYAILKPSSGIAIASCCIGLSFFFFLAMRSALKMIDRALETLLVRMEMHQIKKKTKHFLTRKK >OMO54739 pep supercontig:CCACVL1_1.0:contig14907:59008:59804:1 gene:CCACVL1_27617 transcript:OMO54739 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MEGSVAYENDLNLKATELRLGLPGTDEREEQPVRNNKRPLQEADEKCGANGKSDNETAPPAKAQIVGWPPIRSYRKNSFQPKKSESEGPGIYVKVSMDGAPYLRKIDLKVYTGYPELLQALENMFKFTIGEYSEREGYKGSDYVPTYEDKDGDWMLVGDVPWEMFITSCKRLRIMKGSEAKGLGCGV >OMO54740 pep supercontig:CCACVL1_1.0:contig14907:64086:72637:-1 gene:CCACVL1_27618 transcript:OMO54740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 47 MNAVNLILPFLLFLLLFFLHKAVAEGVTPEEAKQLRDEVREMFYHAFDGYMEHAFPLDELRPLSCEGEDTLGGYALTLIDSLDTLALLGDRERFTASVEWIGKNLQFDINKTVSVFETTIRVLGGLLSAHLIASDYATGMRIPSYDNQLLYLAEDLARRLLPAFDTPTGIPFGSVNLKYGVDEHESKITSTAGGGTLTLEFGVLSRLTNNPIFEQVTKNAVRGLWARRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLFIFQEAYTAAMHYLYNDPWYVEVNMDSAAIVWPLFNSLQAFWPGLQVLAGDIDPAIRTHTAFFSVWKRYGFTPEGFNLATLSVQHGQKSYPLRPELIESTYWLYKATRDPRYLDAGRDMVASLQYGARCPCGYCHISDVEFHKKEDHMESFFLAETVKYLWLLFDLAVGPKNLVENGPYKYIFSTEGHLLPATPQISLVQEHCSYFGAYCNRDGFEQEPHASDTSADSQETNGSRVFKSRVHTGFQLQSSHLEASPVSGLIKGLCPGLTHGQKYGISYLATVDTPREDNSAKQKDNVVQSHAVIVVSDQSANQSPSGDSSHDNVKETSEREAERVDYYGEVIILDCLKALACKLLEGDIENQLCREAICVQALESKVRSLKSLKSIHARLLIDGSLASSDLVLNKLLRLYARFGTIQYAHALFDQMPQPNVFLWTALIHGYVENRTYPKVLSLFSQMCKESILPLNFTLASVLKSLARLMRVKDGEAVYGLGLKCGLGFDLIVQNAVIDLFMRCGEVDLARRVFDGMEERDLVSWNSMISGYGSSGRVDLARELFDEMSERNVISWTSMIQGYVKAGDMEYARVLFDRMPSKDLAAWNVMISGYMDVGDLDSARYIFEAMPVQETGAWNLIISGHCKAGKMEVAKSFFDKMPCKSIASWTIMIDGYVKAGDVSSGRRLFDRMPEKNLVSWSTMIGGYARNGQPRDALQLYKHFQKEGIKPDETFVLGIISACSQLGILDAAESIINDFTGQLMFSSLRIVTSLIDMYAKCGCIDRALQVFKMAHQKDLHCYSAMIAAFANHGMAQDAISLFEDMQRTNIRPDGVAFLGVLTACNHGGLVSEGRRYFKQMLEGYRIRPSEKHYACIVDLLGRAGFLEEAHNIIRNMPISPTAVVWGALLAACRACCNVELAEIAADELFKIEPVNSGNYILLSGIYASARRWDDVARMRAMIRKNQVRKNRASSWIELGSVVHEFVMGDALHIDSERIYFILQLISEDMRLLGFVRDSEKDEVPCFLAF >OMO54745 pep supercontig:CCACVL1_1.0:contig14907:105053:107926:-1 gene:CCACVL1_27623 transcript:OMO54745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKLHYVSFSCLLLFFLFHHSQAQLPPNITGYTCDANQTTYPCHTYVHYRATAPNFLDLASIGDLFNLSRLMISEPSNISSPSSPLIPDQSLFIPITCSCNKNSNSSVGSLSAANFTHTIQSGDTFYVVSTTHFQNLTTYQSVEVFNPTFVPTQLEVGDKIIFPIFCKCPNETQVQNGVNFLVTYVFQPSDSISSVASRFGVQTQDITNLNGNNINASDTIFIPVSQLPVLSQPEVAPAAPEKTERKGVIIGLSIGLGICGLLLVLLFVVLLYREVLSKRREIERDAEKDKLQFNRPGIGMKGMEVNLMADVSDCLDKYRMFKIEELREATDNFSERCLIQGSVYKGSIDGDVYAIKKMKWNACEELKILQKVNHGNLVKLEGFCIDPEDTNCYLVYEFIENGCLYSWLHENQNENLNWKTRLRTAVDVANGLQYIHEHTRPRVVHKDIKSSNILLDSNMRAKIANFGLAKSGCNAITVHIVGTQGYIAPEYLADGVVSTRMDVFSFGVVLLELISGREAIDQEGKLLWASVDGILDGNEERKVKKVSEFMDRRLLEESCSMESVMSVMSVAVACLNKDLSKRPSMVDIVYALSKSDDLFYDVSEDGLAAPPLVAR >OMO54746 pep supercontig:CCACVL1_1.0:contig14907:109628:110779:-1 gene:CCACVL1_27624 transcript:OMO54746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVHGPVSVVSINSVPKISSKKVENRVRVLNQRDVSSVHFFKLVGSHGISRSRLTRLNAAGLSEIEPDLNEDPVDRWATNGVSSEDFEYGYYDGHHTYHEGDEKGTFWGAIADDIAAVGPPTGFQGLMSWLFLPAIAAGMFFNVPGEYLYIGAAVFTVIFCIIEMDKPDQPHHFEPQIYNMERGARDKLINDYNTMSIWDFNEKYEDLWDFTVTVKKDDIMKR >OMO54735 pep supercontig:CCACVL1_1.0:contig14907:32189:34535:-1 gene:CCACVL1_27613 transcript:OMO54735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MAIPIPNMVFHFNGCEQKTFLQRHHVPTLFAGQARKGSILIKQLTNRVLARKLSQHLLSETATVRVKEDPQEMKTEEETEVKLADTWRKIHGEDDWEGMLDPFHPLLRSELTRYGEMAQACYDAFDFDHYSIHCGSCKFRPCQFFQSLGLTHHGYDVTSYLYATGNINFPKFIKRSLSSESWSQTANWIGYVAVSNDETSAHLGRRDIMIAWRGTATKLEWLADFMYFLKPIKVRMIPCPDARVKVETGFLHLYTDNNESCPFAKHSARDQVLAEVKRLMDHYKGEKLSITMTGHSLGSALAILNAYDIAETGANIMDDGQAAHLSVFSFSGPRVGNIRFKERLDELGVKVLRVRNVHDKVPLAPGMLFNERVPAVLQQLAERFPWWYTHVGVELPLNHRDSPFLKETNDLACFHNMEAHLHLIAGYHGRGRKFILANGRDVALVNKAADFLKDHYLIPPNWLQRENKGLVQDQKGNWIQLEREDLKEHLKKYTPTSRYWE >OMO54734 pep supercontig:CCACVL1_1.0:contig14907:29720:31633:1 gene:CCACVL1_27612 transcript:OMO54734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGISYGELFLLIGATAALVGPKDLPKIGRTAGRLAGRAIGYVQLARGQFDNVMQQTQARQVHKELQDTMAQLDAIRYEIRSLSLMNPGPMTRRLMDSPPEPASDSNGTGMFHPEKHEEEKNLADAIKKSQDYTFKSLASTDLHSRATAYARLAESEALKASSASCSLEEENVNHEFGDITVLPVSAESAGFIPERKDTVKGSDIVLEAVLEAEVARNAKDFFSLPQNQLK >OMO54743 pep supercontig:CCACVL1_1.0:contig14907:87813:90474:-1 gene:CCACVL1_27621 transcript:OMO54743 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA-processing protein EFG1 MAHGGYGKRRVAEGKRVGRRSKGPGVDKKPKPKAVSLKNQIRSIERMLRKDLPPEVREAQQTKLEGLKKQQEIHTRLAVERKIFLRDRKIKFFERRKIERRIRRLEKLQRTSSGQAQDAEIAEQLSKLKEDLEYVRFFPKTEKYVSLFTGGEDSDVVDRRNRLRKQIKANLVAATASGKDLEETGSEDDGLLDLSDDDFFLSGTSSDEADADDEWTDKSTREQASSASGKAASGMSSDERNQRQVSARALMPPPRPSTKSYSNSVRGKSRFGASSSRNSSIQRAEMSTSSNTSNSRGGSSFKAGGSSNSKTGNSSNLSSNSDARKPRRKRRPKKRKQQMFLSLISVPSPTLCMKWSNGFGFGGSHDPRQSKMGFGDGIISGAMGAAPSLKVGTFL >OMP01866 pep supercontig:CCACVL1_1.0:contig06361:11237:14567:1 gene:CCACVL1_02990 transcript:OMP01866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA reductase, class I/II MDVRRRPPKPPSPAEAPTHPHQHCQKSSASDSAAPPKASDALPLPLYLTNAIFFTLFFSVAYYLLLRWRDKIRNSTPLHLVTFSELAAIVTLMASFIYLLGFFGIDFVQSFIARASNDAWDLDDEVSLEVDAPQHSLLTCTPSTPDPLTPAISSAEDEEIVECVVSGTIPSYKLEERLGDCKRAVCIRREALQRITGRSLQDLPLDGFNYNSILKQCCEEPVGYVQIPVGIAGPLLLDGFEYSVPMATTEGCLVASANRGCKAIYASGGATSTIFRDGMTRAPVVSFPSATRACHLKFFLENPSNFQTLANEFNKSSNFARLQSIQCSIVGKNLYMRFSCSTGDAMGMNMVSKGVENVLKYLRSIYEDMEVIGISGNFCSDKKPAAINWIEGRGKSVVCEAIIKGEVLKDVLKTSVAALVELNMLKNLAGSAVAGALGGYNAHASNLVSAIFIATGQDPAQNVESSHCITMMEAVNDGKDLHASVTMPCIEVGTVGGGTQLASQSACLNLLGLKGASKGLPGSNSRRLATIVAGSVLAGELSLMAAIANNDLVKSHMKFNRSSKDVSKAAL >OMP01867 pep supercontig:CCACVL1_1.0:contig06361:15456:15932:1 gene:CCACVL1_02991 transcript:OMP01867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGKVRTFISNSMGGVRGRTNLAAWVVAGTLAYFLWIKPSQDLKKLQQEKAALAASDPYRYVEKRKPIPDPQETGLIYGKKKKSDNTTED >OMP01868 pep supercontig:CCACVL1_1.0:contig06361:18094:25370:-1 gene:CCACVL1_02992 transcript:OMP01868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTEMSEQQLPEASQVNLVDADVKQEKSLHPSEDQNSTETRHSPNSLTADDLPTDVNMSGNPALPDKPDNKSSTDANTKASNAAPAELLEKKSNGDAAPLPLAVSSTPKSGRESVEKSKNWLLSPEMGEADEDGTPDERAAFMKELESFYKERSLDFKPPKFYGEPLNCLKLWRAVIRLGGYDVVTASKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYERYKRENGEIQLPASSLPNASGEKEASGYQAAGSGRARRDAAARAMQGWHAQRSVGGYGEVTEPIVKDKSLSSTPKREKHLKSIGFQKHKTPITMELAERSAHEPDKQLITEVVDVGPPADWVKVNVRETKDCFEVYALVPGLLREEVRVQSDPAGRLVITGQPEQVDNPWGITPFKKVVTLPARIDPLQTSAVVSLHGRLYVRVPFEQGSV >OMO65052 pep supercontig:CCACVL1_1.0:contig12699:47285:48885:-1 gene:CCACVL1_21583 transcript:OMO65052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCSGNNFTIGLCVALAILVVSMRAYAARKSRQSPPGSVADLVRRGQLRSDRRGISRPLKYDDPFNNPLVKVGKSNSTVEMCGKLYRLAPVTLTEEQQAIHQKRRSRAYQWKRPTVFLKEGDSVPPDVDPDTVRWIPANHPFATTASDIDEDLAQNNVYQKHGVPFRIQAEHEALQKKLEALQSEEKLNNLFIDSRNAKDFQRPFKLNARPDELVEEGPLNNHTLESKPPKPERASNSIESNLSSEETQKP >OMO65051 pep supercontig:CCACVL1_1.0:contig12699:42475:46338:1 gene:CCACVL1_21582 transcript:OMO65051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MRGAALVAIAATIGNFLQGWDNATIAGAIVYIKKDLNLGTSLEGLVVAMSLIGATAITTCSGAISDWLGRRPMLIISSMLYFVSGLVMLWSPNVYVLFIARLLDGFGIGLAVTLVPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMDSPSWRLMLGILSIPSLLYFALTVFYLPESPRWLVSKGKMLEAKQVLQRLRGREDVSGEMALLVEGLGIGGETSIEEYIIGPADELADGQEPTADKDKIRLYGPEAGLSWVAKPVTGQSMLGIASRQGSLVNQSVPLMDPLVTLFGSVHEKLPETGSMRSMLFPNFGSMFSTAEPHAKNEHWDEESLQREGEDYASDAGGDSDDNLHSPLISRQTTSMEKDMVPPASHGSILSMRRHSTLVQDGGEPVGSTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHEEGVPGSRRGSLVSLPGNDIPAEGEFIQAAALVSQPALYSKELMDQRPVGPAMVHPSETASKGPIWAALLDPGVKRALIVGVGIQILQQFSGINGVLYYTPQILEEAGVEVLLANLGLSSDSASFLISAFTTLLMLPCIGVAMKLMDVSGRRRLLLTTIPVLIVSLIILVFSELVDLGTVVNAAISTACVIIYFCCFVMGYGPIPNILCSEIFPTRVRGLCIAICALVYWIGDIIVTYTLPVMLSSIGLAGIFGIYAVVCLVSWIFVFLKVPETKGMPLEVITEFFAVGARQAAATKNE >OMO65050 pep supercontig:CCACVL1_1.0:contig12699:19036:23534:-1 gene:CCACVL1_21581 transcript:OMO65050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEESLQLHPNKRHPEAEVAFKLLPES >OMO63775 pep supercontig:CCACVL1_1.0:contig12895:2805:3359:-1 gene:CCACVL1_22291 transcript:OMO63775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, CBFA/NFYB, DNA topoisomerase MSDKIGINFDREDHKHNFAPGAGAGAGVSGEDGIIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDICWALATLGFDNYSEQLKRYLHRYREQEGERANNNQSRAPAAGNFNEEKEETSNYRGELPRRSAVPTPLKFNGAVDGRSNTSLF >OMO60143 pep supercontig:CCACVL1_1.0:contig13775:11115:13720:1 gene:CCACVL1_24367 transcript:OMO60143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MTIEEVGEDRQGMENKEESVEIEEAFKNIEVPSWRNQITIRAIVTSFLLSIIFNFMVCKLNLTTGVIPSFNIAAGLLGFAALKLWTSLLARLGFLKHPFTRQENTVIQTCVVASSGIAFSSGTASYLLGMSSRVAAQAEEGNTPFNVKKLSIGWMIGFLFAVSFVGLFSILPLRKIMIKKYRLTYPSGTATAHLINGLHTPNGSKLAKKQVVQLFKSACISFAFAFFQWFFASGDGCGFSNFPTFGLKAYQQRFYFDFSLTYIGVGMICDYMVNISLLIGAIISWGIMWPLIENQKGNWYDANVSASSLHGIQGYRVFIAIAMILGDGAFHIIYMLGKTIWSLIRAQNQNPSSSAAAAANANSVSSAQSYDEKRRSEFFSKDQIPTYLAVLGYISLAAISIIALPFIFHQLKWYHILVAYIIAPLLAFCNAYGCGLTDWSLASNYGKLAIMIFSSWVGLEHGGIVAGLAACGVMMSIVSTAADLMQDFKTGYLTLASPRSMFLSQVFGTAMGCVISPLVFWIFYKAYPIGDPGSSYPAPYGFLYRGIALLGVEGTSALPKHCLQLAIIFFVAAILINIIRELLSHFETKYNIYRFIPNPMCMAIPFYLGGYFTISMCIGSLVLLIWNMRNKQNARYFAPVVASGLICGESLWGIPAAILSLAGVGAPICMKFLSASTNNRVDQFLTAH >OMO60145 pep supercontig:CCACVL1_1.0:contig13775:24630:26921:-1 gene:CCACVL1_24369 transcript:OMO60145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLFNKFQDAMRTLAKSPTFARDPRKLQFEADINRLFLYTSYNRLGNDAVEADAEEIIDMASKAPLDEQQKQVQGNIHHQIKNFCTAMDEILLPDISQPQESQSNIPTPRSGLSFAVGRTSPPTNHPAIPETRPLKHTELSQRLKDSIGYTLDVKDSQIPHDEAGKGLFLNGEANVGAVIAMYPGIIYTPAYYQYIPGYPRVDAQNTYLITRYDGTVINAQPWGYGGETRQLWDGSTTADVKPKTEVTEQGSDRLWKMLSKPLDGSQVGSGGEILERRNPLALAHFANHPGKEMVPNVMVCPYDFPLTEKYMRVYIPNISFGNVEEVNMRRFGSFWFKSWGSRKTGPDVPVLKTLVLVATTPLCNEEVLLNYRLSNSNRRPSWYIPVDEEEDRRRWS >OMO60146 pep supercontig:CCACVL1_1.0:contig13775:29400:29627:-1 gene:CCACVL1_24370 transcript:OMO60146 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phospholipase C MEGMVPQEPSGWMGGDIRRRSDVGHSAWRGPSSPGLRSRSVPFSLHPFSRCQHLAIFTFLAFKSAASASAAMSIT >OMO60147 pep supercontig:CCACVL1_1.0:contig13775:32872:32946:-1 gene:CCACVL1_24371 transcript:OMO60147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKDTSVYFDPTSCWPNPKPTNL >OMO60144 pep supercontig:CCACVL1_1.0:contig13775:22433:24123:1 gene:CCACVL1_24368 transcript:OMO60144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWKLVAKEFCKHSTKDFGSECHIGRFHNIYGPFGTWKVIRKCIMEESETSKELNPSSL >OMO60142 pep supercontig:CCACVL1_1.0:contig13775:7597:10452:1 gene:CCACVL1_24366 transcript:OMO60142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MVRSKAQGEEAEWEIASNEADVVSKTEKIFVEDAFRQTEVPSWKKQITLRAMVTSLILSLVFNFIVCKLNLTTGVIPSLNVAAGLLGFALVKGWTKTLSKVGLLKQPFTRQENTVIQTCVVASSGIAFSSGTASYMLGMSPFVASQADSGNMPENVKKLSVGWMMGFLFVVSFVGLFSIVPLRKIMILKYKLTYPSGTATAYLINSFHTPKGAKLAKKQVAVLFKSFVFSFLFACFQWFFTAVDGCGFSQFPTFGIQAYDNRFYFDFSSTYVGVGMICPYMVNVSLLIGAIISWGIMWPMIESKKGIWYSADVSASSLHGIQGYRVFIAIAMMLGDGLYHVLFMLTTTIRSLIAKSSSKKDSSVVTPDITDQDAQIANYDEQRRTEYFLKDQIPNKVAISCYIALAVISIITVPFLFHQLKWYHILVAYIIAPVLAFCNAYGCGLTDWSLASNYGKFCIIIFSSWVGLEHGGVIAGLASCGVMMSIVSTASDLMQDFKTGYLTLSSPRSMFFSQVAGTAMGCFLTPLVFWFFYKAYPIGDPEGTYPAPYGLLYRGIALLGVEGINSLPKNCLSLVIGFFIAGILINLIQELLKRFETRFGIYRFIPSPMCMAIPFYLGGYFAIDMCVGSLILFLWERKNKQRATDFAPAVASGLICGESLWSVPAAILALVNVNPPICMKFLSASVNAKVDKFLEG >OMO71794 pep supercontig:CCACVL1_1.0:contig11551:4105:11358:-1 gene:CCACVL1_18066 transcript:OMO71794 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MAPRRSTEQGPPSDDLGWKWGEAVDGQRSRVRCSFCDRVITGGITRFKEHLAAKKGNVAAYEKVSSHVRKEVAENLKTSKETKVTKQKVRDELEKRIRLGDDGDYEANDFDEDDDPGMSEAVYASLRSQAEWEERKHRRALNPSQHASYEVGGSSFGPSRAKLRRSSSVHTTGVGSGRRWNNPNTAATRLANMDPIIYTIRLMRMRTRDGYLKTYSMTVMMKRFTKEVKDKYYGLGHRPAHHL >OMO71793 pep supercontig:CCACVL1_1.0:contig11551:3646:3741:-1 gene:CCACVL1_18065 transcript:OMO71793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMKTVIYNTPPAAAASSALLQLSAFGFHY >OMO71795 pep supercontig:CCACVL1_1.0:contig11551:20462:22797:1 gene:CCACVL1_18067 transcript:OMO71795 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase MHGSLDDRKKTEHLLALDGANERLHLFKVEMLDEGSFDSAVEGCMGVFHTASPSYLDVKDPQAEMIDLAVKGTLNVLRSCAKVPSIKKILFSVRNKSFGMCSKTLAEKAAWKFAEENGAQTFQNSTFRWIDVTDVSNAHILAFENPLACGRYCIAGKPLQFSEILKNLRQLYPSLNLPEKCLDEEQSMPAFPTFQVSQERVKSLGVTFTPLEVSLKDTIERLKERNFF >OMO57065 pep supercontig:CCACVL1_1.0:contig14450:125778:126998:1 gene:CCACVL1_26047 transcript:OMO57065 gene_biotype:protein_coding transcript_biotype:protein_coding description:YEATS family protein MPHTAAETQAEDGGSGSKPQRIVKLGKSPDDSDKKSATKRLKDIDICVPIAYGTIAFYLGRKASESQSHKWTVYVRGATNEDIGVVVKHVVFQLHPSFNNPTRIVDSPPFELSECGWGEFEIGISLVFHSDVCDKQLDLFHHLKLYPEDESGPQSTKKPVVVESYNEIVFPDPSQCFFARVQNHPAVLVPRLPAGFSLPAPVPVDQMNEKKRGDTKDHPLSQWFLNFSEADELLKLAAARQQV >OMO57069 pep supercontig:CCACVL1_1.0:contig14450:140334:141591:1 gene:CCACVL1_26051 transcript:OMO57069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 MGRVIRAQRKGAGSVFKAHTHHRKGPARFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVVFRHPFRYKKQKELFVAAEGMYTGQFVYCGKKATLVVGNVLPVRSIPEGAVVCNVEHHVGDRGVFARASGDYAIIISHNPDNDTTRIKLPSGIKKVIPSGCRAMIGQVAGGGRTEKPLLKAGNAFHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKA >OMO57060 pep supercontig:CCACVL1_1.0:contig14450:92194:94800:1 gene:CCACVL1_26042 transcript:OMO57060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLNVLATFTIIRSTFNDFIPKEIREYLWSFIRRFSSDFTLVIEETHDGSSNHLFKAAMAYLGSHVLSASSASGSPKRLTVGKNENVRKFTFGLDRHSEIVDFFHGVPMKWKYNSDVNTTNNNQFNSESRWYELSFQKKHAEMVKSKYLPHVVSMAKKLKNQNRMVKFHTVRRERWSSSPVNLDHPMTFSTLAMDGDLKKTIVEDLDSFINGKEYYKKIGKVWKRGYLLYGPPGTGKSSLIAAMANHLNFDIYNLNLSAVNSDSSLEFLLLHVSNRSILVIEDIDCTVKLQNREAGDEVGGFPHVQVTLSGLLNSIDGLLSCCGDERIIVFTTNYKDKIDAALLRAGRMDKHICLSYCTPSIFKQLAANYLGISNHDLFCRIEKIIEEIHVSPAEVAGELMKCKDPDKSLENLIQFLENKASEGTSDNTEGERGGNPKQEKGSKSKNSTKSDVTNNSITFLALGSGSKTAGEYTVKAELAPILRGVLLKHGDILANCLLNSMQCRSSFLEIVCGIIQKLQAAKLEDLTEVELQSMVASVCDLESVKLELDWLHKRLDEIIDALELVKHSSKLKEDKKRILQEIEQMEKELETNCHTEKPETQNKSLQVQEMKAETEKLSETISNTEAKVSHFQGSLVDGLL >OMO57050 pep supercontig:CCACVL1_1.0:contig14450:44344:45331:1 gene:CCACVL1_26032 transcript:OMO57050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin-regulated protein MAISRNIAAASLVLFSLLLLHLTQAEELMSFSAAPSPSPLPQPIGILLWTRRR >OMO57066 pep supercontig:CCACVL1_1.0:contig14450:129792:131411:-1 gene:CCACVL1_26048 transcript:OMO57066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRDIIGKTDIIFVLSDFVKLKKQISGKDHGKQTVAQLGLARVVRAEARYG >OMO57068 pep supercontig:CCACVL1_1.0:contig14450:134530:138430:-1 gene:CCACVL1_26050 transcript:OMO57068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRGWPWKKKSSEKAGTDKQTVVVDGTSASLSSLASLGDQEKCKKVNYVQISMESYLHLTGLEDEVKKLKDQVKLSEEEVKDLKEKLSAAQSEINAKDGLVSQHAKVAEEAVSGWEKADAEVVALKRQLENVTLLKLSVEDRAAHLDGALKECMRQVRSVKEESEQKLQDVALAKTIQFDKIRLDLEGKIAELDQGLLRAAAENQALSRSLKERSNMIVQIEVEKSKAEAQIELMKENIMSCEKEISSLKYELHVTSKELEIRNEEKNISVKSAEAANKQHLEGVRKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVDNFGRDFSGPQSRKNVVKTSPTSLSPQMDFPADNLQQSHKEIEFLSMRLLEMEEETQMLKEALASRNTELQAARDMCAKTNVRVKNLEAQIQALNNQSSFGPADGPSSQYASNAPSMTSLSEDGIDEGHSAESLVLASSDISHLRMGKNSHAVNKQENAKCLGLMDDFLEMEKACSSNDIDGANSVSRNPDNAGNHEAEGRKLSASKCCELFSEEKLDLSPSSKQGSSSVRSSTTVIGQDAENLPFLRLQSRISTIFETQTKDMPLEKVVEEIKCALLEIQGSLSQHSFNHSCEGVQICHAPSEQNEESSTLLEQESMIKQNLASAVSQIHQVVLSLGREVMRVQDTHADGHGLSKILDDFSVFVNNLMLNEKGLTDFILKLSHVLANANELNFGILGFRGYDGKVNCDDYIDKVALLENDAQENSSKKACTEGCHHICRPCNDSEVFEDGILNPSIRADVTSCSCLVKELEQLKLDNENMAVNLARSTEDLDKTKLLLQETEKSLTELKLQLASTQNLFSLAETQLKCMTESYKSLEVHANDLEAQVNLLQEKSAKLDDELLEEKRGHQDALARCKDLEDKMQRNTMSLVCSSSGIEDSDMKIKQEREIVSATEKLAACQETIYLLGKQLQALRPQTENQQSQYSNKHKPLRESPVKGKLNLTGSKAEDIHCLEDFDHIETDSVASTDVQSVSEDSLRYSHSTSSPSDVEPNLPLKSSVNSSHLNHRRTMSMSSASPLEQEKHSHTFSRFFTSKGKNGR >OMO57044 pep supercontig:CCACVL1_1.0:contig14450:9455:12397:-1 gene:CCACVL1_26026 transcript:OMO57044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exodeoxyribonuclease III xth MKIVTYNVNGLRQRISQFGSLLKLLNSFDADIICIQETKLRRQELTADLAIADGYESFFSCTRTSDKGRTGYSGVATFCRVKSAFSSVEAALPIAAEEGFTGLLGCSRKDEEAEVAEGLEEFSREELLKVDSEGRCIITDHGHFVLFNLYGPRAASDDSDRIQFKHSFYKILEKRWESLLRRGRRIFVVGDLNIAPCAIDRCDAGPDFEKNEFRTWFRSMLVESGGHFFDVFRAKNPNRREAFTCWPSNTGAEQFNYGTRIDHILCAGSCLHEEDDLEGHDFVTCHVKECDILTEYKRWKPGNAPRWNGGWNIKLEGSDHAPVYTSLAEIPDVSEHSTPSLAARYLPMIHGLQQTLVSVLMRRQAAKQVKSHVDEKVMLEGCSNSVKRSITNCDVPGTNISNCSLDQDSENSILKVDKHSEDLTEEAACTTKIALNREYVSSMPNKEPVKRARKNQQLSLKSFFQKTPNLGNVVDSSCTDISTNQTGVLDSENQSQEAPAMDDRECSPKQNDMNASASSQGQVEQDGSPCLEKEKTNVALLEWQRIQLLMQNSIPLCKGHKEPCVSRVVKKPGPTFGHRFYVCARAEGPASNPEANCGYFRWASLKSRPK >OMO57046 pep supercontig:CCACVL1_1.0:contig14450:20739:23017:1 gene:CCACVL1_26028 transcript:OMO57046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQWKPNDLSKPLAADLGPSNPESRQRQEMEGKDPRKVQKADREKLRRDRLNEHFLELGNTLDPDRPKNDKATILMDTVQILKDLSAEVNRLKAECSSLTEESRELTQEKNELREEKASLKAEIDNLNVQYQQRLRVMFPWTGIDPSVVMAPPYTYPVPLPVPAGPIAMHPAMQPYTFFGNHNTSPIANPCSTFMPYSTATNPPIEQSSSQHASSSHISSKRDSKSKSMEDQGGSNRDRFDGSNDVGTELELKMPGSSTNKDFPAGEKKGSQSQKERNMANGSPSSWYSSSQGFQDSSSNSVDDVSKTND >OMO57051 pep supercontig:CCACVL1_1.0:contig14450:46401:47713:1 gene:CCACVL1_26033 transcript:OMO57051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSRRVTRSQTSAALNNSFSVSKGKNEEESEKSVSKTRTRNGKQQQQERSALIDITNDSPIVGLAMETPSSAIAKQKSIRAKIMMTPGSGEALLRGQVKTLLQKVEEEAELSKVSMEARPFVQFQGCVNSPMAFLAPTPANTPQISNLSEETGGNINNKELGSIVMGLPVVEEHLRISEVVSGLFDGKQEMSLESQKSLIKTLLLDFSEKSESSSDSTEESSSVITGEEGSATKEKASLDDDSSSIWSLQVNASTHDGEEDDEVETIEELGDDYFEEEDVEEEEEEEDDDGGFVDELCEGFSKMNMEEMFAGKHTRFVYNSDDEIEEESILRLKGLPTPKGKHLRFPIEEQDD >OMO57064 pep supercontig:CCACVL1_1.0:contig14450:123120:124710:1 gene:CCACVL1_26046 transcript:OMO57064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADDLDLSTLQSQLSETHEMWKQEMEKRQGQVDALQAKIMEVKACIQGSEEESKKELDVLWRRVKTTATLLTYLKSKARVMAVPDLAHKSCGIKVLEGVGLVDKEGTPLSGWSRSIDLSPFDSSDEEESWIGISRQQGSLDEQDGAYIGELLKSVQMVTDVMEVLVKRVIMAESETATEKEKVTLGQEEIKKKAVQIENMSLKLEEMERFALGTNGILNEMRQRVEDLVEETSRQRLRAAENEQELSRVKRDFESLKSYVSSLISVRETLLSSEKQFQTIERLFERLVAKTTQLEGEKMQKEAEVQKLMEENVRLTALLDKKEAQLLAMNEQCKVMALSASNI >OMO57071 pep supercontig:CCACVL1_1.0:contig14450:148001:148273:1 gene:CCACVL1_26053 transcript:OMO57071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, PpiC-type MSSSGSGNQVRASHILIKHEGSRRKASWKDPEGRVISATTRDAAVSQLKALREDIVSGKAKFVEVASRYSDCSSAKRGGDLGKFVPPTFS >OMO57063 pep supercontig:CCACVL1_1.0:contig14450:118753:121322:1 gene:CCACVL1_26045 transcript:OMO57063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MGGKEAEASKSGGLFWRLLVSLIAALVIATVVLTILRDLHYFKKHRAPTHPINKKYADALQIALQFFDVQKSGKLVDNRISWRGDSGLEDGSEANLDLSKGMYDAGDSMKFGFPMAFTATILSWAILEYGDQMNAVKQLEYAHNSLRWITDFLLNAHPSENVLYIQVGDPEIDHKCWERPETMSEKRPLIQVNTTFPGTEVAAETAAAMASASLVFKQIDPAYSNSLLMHARQLFAFADTFRGCYSISIPQVQNFYNSSGYGDELLWAASWLFHATKDESYLNYVTQQNGKIFGNWDSPTWFSWDDKLAGTQVLLSRLMFFGSIEMSTVENLYLQMYRETAEILMCTLLPDSPTATSERTPGGLIWVTEWNPLQHPVASAFLAILYSDYMLTSRTETLYCSGNSYKPDDLRNFAISQADYVLGTNPMKLSYLVGYGSSYPQHVHHRGSSIPVDADTGCKDGFKWLYSKNPNPNVAVGALVGGPSFNESYSDERSNVKQSEPSTYNTALIVALLSGLLTTSSVVQSYT >OMO57052 pep supercontig:CCACVL1_1.0:contig14450:48941:67246:-1 gene:CCACVL1_26034 transcript:OMO57052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEVRDSLEIAHTAEYLNFLKCYFRAFSVVLLQITKPQFTDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVALQVLTTDNEENGLICIRIIFDLLRNFRPSLEAEVQPFLDFVCKIYQNFRMTVSHFFDNPAVGMEVDVKPMDTSSSLSDPGITSSGYTGNGQLNPSTRSFKIVTESPLVVMFLFQLYSRLVQTNIPHLLPLMVAAISVPGPEKVPPHLKTQFLELKGAQVKTVSFLTYLLKSFADYIRPHEESICKSIVNLLVTCSDSVTIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACYETLRPLAYSLLAEIVHHVRADLSLSQLSRIIYLFSSNMHDASLSLGIHTTCARLMLNLVEPIFEKGVDQPSMDEARVLLGRILDAFVGKFSTFKRTIPQLLEEGEEGKDRPTLRSKLELPVQAVLNLQVPVEHSKEVSDCKNLIKTLVVGMKTIIWSITHAHLPRSQVSSSTHGTHPQVLVSPTSNLPASQAIKGLREDEVWKASGVLKSGVHCLALYKEKDEEREMLQLFSQILAIMEPRDLMDMFSLCMPELFECMISNNQLVHIFSTLLQTAKVYRPFADVLVNFLVSNKLDALKHPDTPAAKLVLHLFRFIFGAVAKAPADFERILQPHVPVIMEVCMKNATEVEKPLGYLQLLRTMFRALAGCKFELLLRDLIPMLQPCLNMLLTMLEGPTAEDMRDLLLELCLTLPARLSSLLPHLPRLMKPLVLCLKGSDDLVSLGLRTLEFWVDSLNPDFLEPSMANVMSEVILALWSHLRPAPYPWGGKALQLLGKLGGRNRRFLKEPLALECKENPEHGLRLILTFEPSTPFLVPLDRCINLAVAAVMHKDAGMDSFYRKQALKFLRVCLSSQLNLPGNVTDEGYTQKHLLTFLVSSVDLSWRRSETTDAKSDLGVKTKTQLLAEKSVFKILLMTIIAASAEPDLSDPKDDFVVNICRHFALTFHIDQASTNASSASSSLGGPMLSSNVNSSSRSKSSSSSNLKELDPLIFLDALVDVLADENRLHAKAALSALNTFAETLLFLARSKHADMLMSRGGPGTPMIVSSPSMNPVYSPPPSVRIPVFEQLLPRLLHCCYGSTWQAQMGGVMGLGALVGKVTVETLCLFQVKIVRGLVYVLKRLPIYASKEQEETSQVLTQVLRVVNNVDEANNEQRRQSFQGVVEFLASELFNPSASIIVRKNVQSCLALLASRTGSEVSELLEPLHQPLLQPLIIRPLRAKTVDQQVGTVTALNFCLALRPPLLKLTPELINFLQEALQIAEADETVWVVKFMNPKVATSLNKLRTACIELLCTTMAWADFKTQAHSELRAKIIAMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSNWFNVTLGGKLLEHLKKWLEPDKLAQSQKSWKAGEEPKIAAAIIELFHLLPHAASKFLDELVTLTIDLEGALPPGQVYSEINSPYRLPLTKFLNRYATLAVDYFLARLSEPKYFRRFMYIIRSDAGQPLRDELAKSPQKILANAFPEFVPKSEPAMTPGSSTMGDEGHVTSQADSSNLPSMTSGATSDAYFQGLVLIKTLVKLIPGWLQSNRLVFDTLVHVWKSPARISRLQNEQELNLVQVKESKWLVKCFLNYLRHDKNEINVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKRALLLHFLNLFQSKQLGHEHLVVVMQMLILPMLAHAFQNGQSWDVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQSDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVGWERQRQNEMKVVSEGDAPSQIGDGFNSASASAEPKRPVDSSAFPEDPGKRVKVEPGLQSICVMSPGAASSIPNIETPGSAGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEATAMYKQALDLLSQALEVWPNANVKFNYLEKLLSSIQPSQSKDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKYKLLDAGKSLCSLLKMVFVAFPLDVGTTPQDVKVLYQKVEELIQKHISTVTAPQASGEENSANSISFVLLVIKTLTEVQKSFIDPLILVRILQRLARDMGSSTGSNMRQGQRTDPDSSVTSSRQGADTGAVIANLKSVLKLISERVMLVPDCKRSVTQILNALLSEKGTDASVLLCILDVIKSWIEDDFSKPGTSVTSNPFYTPKEIVSFLQKLSQVDKQNFQPSALDEWDRKYLQLLYGICADSNKFPIGLRQEVFQKVERQFMLGLRAKDPEFRMKFFSLYHESLGKTLFTRLQYIIQIQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVLPVVAPASVSDSSGMQHQVTEVPEGSEEAPLTLDSLVLKHAQFLNEMSKLKVADLVIPLRELAHTDANVAYHLWVLVFPIVWVTLNKEEQVALAKPMITLLSKDYHKKQQGSRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNDTKCSESLAELYRLLNEEDMRCGLWKKRSVTAETKAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWIYCAGQLSQWDALVDFGKTIENYEILLDSLWKLPDWAYMKDHIIPKAQVEETPKLRLIQAFFALHDRNANGVADAENIVGKGVDLALEQWWQLPEMSVHARVPLLQQFQQLVEVQESARILVDIANGNKGSGNSMVGVHGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNAVIDAFKEFSTTNPQLHHLGYRDKAWNVNKLARIARKQGLHDVCVAILEKMYGHSTMEVQEAFVKIREQAKAFLEMKGELTSGLSLINSTNLEYFATKHKAEIYRLKGDFLLKLNDSEGANVAYSNSITLFKNLPKGWISWGNYCDMAYKDSHDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTPSEPVGRSFDKYLDQIPHWVWLSWIPQLLLSLQRSEAAHCKLVLLKIATVYPQALYYWLRTYLLERRDVANKSELGRMAMAQQRMQQNISGSNSGSLGLADGSARVQGHTGGNLVPDNQVHPGTQSGAGIGSHDGGNSHGQEPERSTVTESSVHTGNDQPLQQSSSSISDGGQGAMRRNGALGLVASAASAFDAAKDIMEALRSKHANLAGELEVLLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPESTATFPATLSELTERLKHWKNILQSNVEDRFPAVLKLEDESRVLRDFHVVDVEIPGQYFSDLEIAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAISGQISPEAVVDLRLHAYNEITKNLVTDGIFSQYMYKTLPSGNHMWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFSEPVPFRLTRNMQAFFSHFGVEGLIVSAMCAAAQAVVSPKQNQHLWYQLAMFFRDELLSWSWRRPLGMPLAPAAGGGSMNPVDFKHKVTTNVENVIGRISGIAPQCYSEEEENVMDPPQSVQRGVTDLVDAALLPRNLCMMDPTWHPWF >OMO57049 pep supercontig:CCACVL1_1.0:contig14450:39689:41306:-1 gene:CCACVL1_26031 transcript:OMO57049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MAPPPSTLTALAQEKTLEASFVRDEDERPKVAYNQFSNEIPVISLAGIDDVGGKRAEICQKIVEACEDWGIFQVVDHGVDTKLVSDMTRLAREFFALPAEDKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPTKNRDYSRWPDKPEGWIETTKDYSEKLMGLACKLLEVLSEAMGLEKEALTKACVDMDQKVVVNYYPKCPQPDLTLGLKRHTDPGTITLLLQDQVGGLQATRDNGKTWITVQPVEGAFVVNLGDHGHYLSNGRFRNADHQAVVNSDCSRLSIATFQNPAPDATVYPLKIREGEKSIMEEPITFAEMYRRKMSKDLELARLKKLAKEQQQLQEMDKSKLELESKPLEKILA >OMO57070 pep supercontig:CCACVL1_1.0:contig14450:142617:144346:1 gene:CCACVL1_26052 transcript:OMO57070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase MsrA MALAERNLLLNLLTLASLTILCADKAFSIRVPERVSSTTKEVISEQSLKTAVFALGSFWRSEAVFGCLNGVVRTTSGYAGGSKINPEYRSLGDHAESVLVEYDPNEINFRQLLEVFWSSHDSRQVFGQGPDVGNQYRSIIFTNGTEEARLAAMSKEREQTKSRSSIVTTQIQQLGSFYPAEPEHQKFELKRHPFLLQLIGNLPEDELERSNLAAKLNGYAAELCSPRVQKHIDGKINEIIRKGWPVLRDV >OMO57055 pep supercontig:CCACVL1_1.0:contig14450:71597:75211:1 gene:CCACVL1_26037 transcript:OMO57055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLDRFREAVFRLIMLSALTKASHHQTGSTTAPRRYYPADAHHSEAVADCIEFIKKKAYTDENRDSSASSSNLDATEVAMPSGRISSMDFHRTSNYLVTASEDESIRLYDVSSATCLKTINSKKYGVDLVCFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRYFKGHHDRVVSLSLCSRNECFISGSLDRTVLLWDQRADKCQGLLRAQGRPAIAYDDQGLVFAVASGGYIRMFDARKFEKGPFEIFSVGGDVSDANVVKFSNDGRLMLLTTMSGHIHVLDSFRGTLLSTYSVKPVSSNSTLEASFSPEGMFVISGSGDGRAYAWSVRSGKEVASWMMSSEPSVLNWNWVNTETEPPVIKWAPGSLMFVTGSTELSFWVPDLSKLAAYVGRK >OMO57045 pep supercontig:CCACVL1_1.0:contig14450:12849:13970:1 gene:CCACVL1_26027 transcript:OMO57045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MAPPIFRTLISVSLNRSTRFQKPISCYHISIAFFSSSTTAPSIDFADHFIKKHKFSPEVALKVASSLNHLKKPDKCDEILSFLKENGFSVSQIEHTVKRMPTLLCGSLDKTIKPKFKIFKDFGFSTHDIADILPANPWVLTKSRHIPSISILKDVLGSNAAVVKVLKNASWFLTTNLENTVVPNIEFLVSCGVGLPQIVKYLITFPRLFLRKLDNFKHLVKRADEMGFDRKSNRFISAVRTLGSMSEETWEHKLKLFRKLGFSEDDIRAAFWRSPQAFAVSERKIKEITEFLVSKKGIDMSFFVRNPEVLICSVEQKLKPRLMVVEVLESKDLLRKELRLHRLCLISAKQFQEKYVLPYLKELQEASVVTVGL >OMO57047 pep supercontig:CCACVL1_1.0:contig14450:23325:23843:-1 gene:CCACVL1_26029 transcript:OMO57047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAKVGCKVKVYEEKADNALLSPPGGLINKLRFQLRYQLCIKVQDVEHMLDDITAPEFIHYFTIPWAFVSPSPSLEMSVNHVSNMLISLNVEPKVREFVTPKIAQFAVDLSKRYDSSVLPSFMTVAYIFITKVDYIREAEFARIYKSIQQEGIGCSKGLNNQNVETDNEQK >OMO57048 pep supercontig:CCACVL1_1.0:contig14450:26791:31339:-1 gene:CCACVL1_26030 transcript:OMO57048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACLSTTKVSGSSSNAAHHRKESSTTAKTSNEKKESQKPNNQQGQQQQQEQQAKNSQALKVRGKPNTKKQSGIIPCGKRTDFGYHKDFDKRYTIGKLLGHGQFGYTYVATDKANGDRVAVKKIEKNKMVLPIAVEDVKREVKILEALKGHENVVQFYNAFEDDSYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSSKEDSSLKATDFGLSDFIRPGKRFQDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGKRPFWDKTEDGIIKEVLKNKPDFRRKPWPTISNSAKDFVKKLLVKDPRARLTAAQALSHPWVREGGNASEIPVDISVLNNLRQFVKYSRLKQFALRALASTLNEEEIADLRDQFDAIDVDKNGSISLEEMRQALAKDLPWKLKDSRVLEILQAIDSNTDGLVDFTEFVAAALHVNQMEEHDSDKWQQRSQAAFEKFDVDRDGYITPEELRMHTGLRGSIDPLLEEADIDKDGRISLSEFRRLLRTASIGSRNVPSNTRKL >OMO57054 pep supercontig:CCACVL1_1.0:contig14450:69070:70057:1 gene:CCACVL1_26036 transcript:OMO57054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREEGGVTVVGRAEIDTRAPFRSVKEAVMLFGEKVLVGEIYANKIKEMKAEAGESGQGQSKIAVLTAELEETKQSLERAKEEGNLMSYRIKTLREELELTKRELHQLKARDFQMQKRQSFDPDVEDFKFIENATKLDIMTQNEEPEEFQKKRYVKFASPPSLTKVIVNKSEEMESPGSVKKGRRKSVIPIIGWLFSKKKGSHENYQSLRTNEVQMNCC >OMO57057 pep supercontig:CCACVL1_1.0:contig14450:83187:85991:-1 gene:CCACVL1_26039 transcript:OMO57057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MNDLERVKTDDPFLVQYQPSELRIASEFLTRWLPFLSRDLCGRCTKTLSKRIRSLDPEIEGDAELDNSDANAIPSTPSKLESQLNESCHDNCDANSIGSSKDEDTNSLGSWKDEANGLSEPVGESSTSGGFASGSPSFQTPAPRLSWADMSHEDELEEVEEEEQQQSVSSKRVVNLSASTGELRVSKVVEKPKLPRDQREYIRFMNVKRKKDFICFERVRGRLVNIVEGLELHTGIFSAAEQKRIVDHIYELQEMGEKGKLKERTYTAPQKWMRGKGRITIQFGCCYNYSQDKNGNPPGILQNEAVDRIPDLFKVIIRRLVRWHVLPPTCVPDSCIVNIYEEGDCIPPHIDNHDFVRPFCTVSFLSECSIVFGSNLKVVGAGEFEGPFPISLPVGSVLVLNGNGADVAKHCVPSVPTKRISITFRRMDESKRPTGYAPEPDLQGIEPLSYDAEKPKRLNSPKSDHHVKRQPFRREGKKEARGFTDSNGQSERRSSNRPRRTPANKQRGTGNQDS >OMO57053 pep supercontig:CCACVL1_1.0:contig14450:67778:67942:-1 gene:CCACVL1_26035 transcript:OMO57053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDQAFEAQQAPESDSSRARPTPTLTRECREN >OMO57067 pep supercontig:CCACVL1_1.0:contig14450:133370:133441:-1 gene:CCACVL1_26049 transcript:OMO57067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFFSPFDNRGNSSDKMALPT >OMO57062 pep supercontig:CCACVL1_1.0:contig14450:103775:104240:-1 gene:CCACVL1_26044 transcript:OMO57062 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytoplasmic dynein 2 heavy chain 1-like protein MPVPCRFYFGTTRHIADIYHKTHIKEHIDLCLLFPLLFYCGTYINDIGEEIIDYNEQLNKARDEAAAARNIAPPVPPNDALPPNANANGRQQL >OMO57058 pep supercontig:CCACVL1_1.0:contig14450:86550:87149:1 gene:CCACVL1_26040 transcript:OMO57058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLQQRKTEKESKQMESSILQSLVSNSPLSANHVLTNPSASATTHVQVCLLHRRRLVKICCTNGSTNNSSGSSTSSSEAPPPVPPPITPPDAVKIRFKRGSRRRRQLQEDGFEDKQRVQANTAADPAPKKWEEMSIAEKAIELYMGEKGLLFWLNKFAYASIFIVIGAWILFRFVGPALNLYELDSAPLSPSSMFKGS >OMO57061 pep supercontig:CCACVL1_1.0:contig14450:95418:96268:-1 gene:CCACVL1_26043 transcript:OMO57061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MRKPTKTKLICSICYEDLKPAVENLQSISVCGHVFHENCLQRWIFSSSSKSSSYNCPLCRKRCAKAQATRLYFQSVEDEEDQRDYEEKEITAEEANRWLDEKLKELEVKTTTTKINHGSQVLASNRKYEALGSSSRREGTTQPGIAVGGYVQPSRVNVNDKRNSKRARMLEKRARMLKIDPLLLNSNIALQIERTNGAQTNDPFFF >OMO57056 pep supercontig:CCACVL1_1.0:contig14450:77615:78933:-1 gene:CCACVL1_26038 transcript:OMO57056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQQQHVNEDSSGSGKGSFLCRQSSTRWTPTTDQIRILKDLYYNNGVRSPTAEQIQKISARLRQYGKIEGKNVFYWFQNHKARERQKKRFTTTTTTDVPMQRPTTVSNNAPSCWKPDDHNSIHNNNKYPNLNPGYSPASPNCSTGVITSGQMGNYGYGSMTMEKSFRNCSISCGSSTNASEVPLSHNFGWVGFDPSYASSYTVFEKKKFIDGSIILEEEDEEEDQETVAPHIQTLPLFPMRDMKPEPDSCYSGWYRTSDHHDGYVSARASLELSLNSCTGNYSQGSF >OMO57059 pep supercontig:CCACVL1_1.0:contig14450:87897:89400:-1 gene:CCACVL1_26041 transcript:OMO57059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MPAIAMPGASFPTYSHYFARPPGAMEQKVNVGLVGSAPPQQPLPLSSNWSVGRSVNYGLQSPSTWPLAGNQTAGVPYVNPGNRPLSVPHGWQNGDWICNCGYHNYSSRSQCKNCNASIAPALGTKRLASEEFAHVWDNKRLNSGHGNGQLQPHPGFDQMGAATGPRPGAYPTYTLLNPGVASNWRALIPLSHQATTPTLIGKGAIQWRSGDWMCTKCNNHNYASRAQCNRCKTERDHVTQTVNAA >OMO98839 pep supercontig:CCACVL1_1.0:contig07007:11624:11683:1 gene:CCACVL1_04034 transcript:OMO98839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCDYSKPRRFSTRAGIRA >OMO52799 pep supercontig:CCACVL1_1.0:contig15432:2936:3551:1 gene:CCACVL1_29082 transcript:OMO52799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFNFQLIGGTTRLDALSFSLNFDRFNLGKNSINHKSRTKSRNGPWATTLL >OMO91899 pep supercontig:CCACVL1_1.0:contig08288:16598:22358:1 gene:CCACVL1_06968 transcript:OMO91899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 43 MALLSANKRKVVIEGPVCDSSQVIGWHLRKMNNQTDAEPKPPIHISSFGFNSSILWDPERWGRPTLEQGTSQALRFGEEAAASSSFPLWFNRNKKLNTVKRNVNREEEEEEGSVRTRKTLSLQDVTSAIFEYDRLKETEKKDEENGVLTVEHGRTNRRDKNDARSKGRFNERGRSSSRPMVDISSKECYYCHEMGHIKAYCKKLKEDLVDFMKSKEKNKGGVNVAAAADSEEYSDEETVVLMVQEEKKDTRDMWVFDSACSEHICTNREWFSKLEKCDKAVYMANNGEEKIEGIGSVKLRLHDGSVKMLGNVRYVPKFARNLISLGKLDSLGYGYSCRGGGLKISKGSMIVMKGVKNSKNLYELIGSTIRGDGSVSSHQIEKKDVDFPTKKKVTFADLVKGWSN >OMO91898 pep supercontig:CCACVL1_1.0:contig08288:12979:14296:1 gene:CCACVL1_06967 transcript:OMO91898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MLLKSASTPVLGSLLSSITESPNYHESSTPNRHFPSNSLFHHNNHSSRLSFHPSPGSLHVSCGSSPVSPSFAFDHFERKGFRRAQSEGNLEGLVVHDSYYSSKSNDEFHYDQKQINPARHNRLMLQTIPSFSFYNSRARCEEEDDDQSDVEEEEQVLGRSDQMPGGIGNEYYMKLLNEQEVKVGFGVGEQELMFLGADGGGISGGSSGGGGGGEFINPSGSGADGGNGVEEYYKRMVEENPGNPLFLGNYAQFLYQSKGDLEGAEEYYGRAILADPKDGGTLSQYAKLVWDLHHDHERASTYFERAVQASPQDSHVHAAYASFLWETEEDHEDECMVPSDIDSKPPRLHQGALATAS >OMO90210 pep supercontig:CCACVL1_1.0:contig08506:12733:13821:-1 gene:CCACVL1_07466 transcript:OMO90210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSCIDESTTSDSLSISISPSNLPPAVTKSPDSLCRMGSGTSVIVDSEAGVEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAAKAYDIAAQRFRGRDAVTNFKESEEDDIEMAFLNSHSKAEIVDMLRKHTYNDELEQSRRSYGFDGNGKRVVRTDSGFGSFGLDLKAREQLFEKAVTPSDVGKLNRLVIPKQHAEKYFPLQSGTASAKGLLLNFEDVTGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLKAGDIVSFQRSTGPEKQLYIDWKTRTGSGMGSVLENPVGPVQMVRLFGVNIFKIPCSTTSENVVGLGGCNGNGKRTREMELLELECSKKQKLIDAL >OMO90212 pep supercontig:CCACVL1_1.0:contig08506:32004:35647:1 gene:CCACVL1_07468 transcript:OMO90212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVASGGANIALISPCQTQQRLKHPSPSPSPFLGNKLKLKLKLKLSSKTDPKIPGILSLKAQLIDAVRDLFVGVGVGLPCTVMECGDIIYRSTLPKSNGLTLTVPGAMLALGALSYLWATPGVAPGFFDMFVLAFVERLFRPTFKKDDFVLGKKLGEGAFGVVYRVSMADNPKRDGELVVKRATEYGAVEIWMNERVRRACANSCADFVYGFLEGSSKKGGEYWLVWRFEGEATLSDLMQSKDFPYNVETMILGEVQNLPKGLERENKIIQTIMRQILFALDGLHSTGIVHRDIKPQNIIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQMNLPDRFDIYSAGLIFLQMVFPSLRSDSALIQFNRQLKRCDYDLVAWRKTVEPRASPDLRKGFQLLDLDNGIGWELLTSMVRYKARQRLSAKAALAHPYFDREGLLALSFIQNLKLQFFRATQQDYGEAANWIINLMAKSGTEKEGGFTEAQLQELRDIQPKKKASPQRNALASALRLQRKIVRTLNESMDELSRRGKSIWWSRWIPRSEE >OMO90208 pep supercontig:CCACVL1_1.0:contig08506:3128:6904:-1 gene:CCACVL1_07464 transcript:OMO90208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSVSVSHAHGLSSSSHGQNFSSSISSKSSSFMGFPLTFSVSTLSGKQCCSQHKLVVYGKRVSGLEEAMRIRRERELQGTTKFRRRPPLRRGKVSPRLPVPDHIPKPPYFGSNILPEISSDYQIHDAEGLAKMRAACELAARVLNHAGTLVRPSVTTDEIDKAVHEMIIEAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQNGDIINIDVTVYLNGYHGDTSKTFLCGDVAGPLERLVKVTEECMEKGIAVCKDGASLKKIGKRISEHAEKYGYGVVERFVGHGVGTIFHSEPIIMHHRNESPGVMLEGQTFTIEPILTMGSIECITWPDNWTTVSADGSPAAQFEHTILITRTGAEILTKC >OMO90209 pep supercontig:CCACVL1_1.0:contig08506:7803:9242:1 gene:CCACVL1_07465 transcript:OMO90209 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEKQGIKPHVAVLPSVGMGHLIPLLELGKRLALHHGFRVTLLLITTNTPPSAAQHQLLCSPDIPSDLHILKLPPVDVDQVTSHDMLIMTRLCVITEHSLIGSLKSVLVALGGLGQTRALVTDFFSTQAFDICNELSIPAYLFCTTCIAFSAFALYLPKLDSDVVEGEFIDLPEPIEVPGCSPVRTEDLLGQVRNRKSDEYKWFYFHVSRMPLASGILVNSWEELEPVSLKAIKENPYFKHIPTPPVYPVGPLIKQQETLSRVDVECLEWLDKQPPDSVLFVALGSGGTLSLEQQNELAMGLELSQQRFIWVVRHPTDVTASGTFFVDSVDMDDPRGYLPEGFLSRTQGVGLVVPSWGSQVAILGHPSTGGFLSHCGWNSSLESIAHGVPIITWPLYAEQRMNATMLVDDIGVALKLEKGAGGQEIARVVRMVMEGEQGQVIRNRAKQLKQSAAKAVDIMNGSSHHSLSFVSNLWKTTYI >OMO90211 pep supercontig:CCACVL1_1.0:contig08506:24177:29223:-1 gene:CCACVL1_07467 transcript:OMO90211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQLLFLLLSLSFLLSPSSPLPYPYNTSFHIDCGGLTPSTDSYNISWLPDKFFTGGSTAVVSEPLHFHLQHEKTLRFFPLSFGKKNCYNIPLPPGRYYVRTFTVYDNYDGKSHSPSFDASVEGTLVFSWRSPWAEDLARDGAYSDLFAFVKDGQLDFCFYSIATDPPVIGSLQVVQIDPLSYNSPQTGDSYILVNYGRISPGSSQWGPGFSSDPDPFGRSWQSDSNYRTANSESARIITTKEKIKGAEQAPNYFPMKLYQSAVTNDGALEYGLEVDAKLDYLVWFHFAEIDSTVNKAGERVFDVLVNHKNVSRVDIFKQVGSFAAYTLNYTEKNLSNSVLNVKLVPVVGAPLISGLENYAMVPADLSTLPEQVIAMKALKDSLRVPDRMGWNGDPCAPTDWDAWEGVTCHANKNGTGLFITQIDLGSQGLKGYISEQISLLSNLINLNLSSNSLEGTLPTGLGQKSLAKLDLSNNQFSGSIPESLTSSNLQLVRLNNNLLEGRVPEELYSVGVHGGTIDLSGNKGLCGVPPLPDCPLFWENGHLSKGGKIAIGLSCFIFVSLLLLVIYIFCIRRGKNDYDFGLPSDLMSLAAKRNRYQRQKSLMLLEMESQHAKGLPSLPLNPH >OMO90207 pep supercontig:CCACVL1_1.0:contig08506:1224:2085:-1 gene:CCACVL1_07463 transcript:OMO90207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase MASLQPISSRSPSLLGSNYSHPGYLSHGRLNNIYTTSVFNFKSRSSSKSLTTSAFFNIFKDCRSAETSNPSSATAKVQQVFVYEMNERDRNSPAVLKLSQKEVNSLGDLVPFTNKLYSGDLRKRLGITAGLCVLIQHLPDQKGDRYEAIYSFYFGDYGHISVQGPYLTYQDSYLAVTGGSGIFEGVYGQVKLQQIVFPFKLFYTFYLKGIPDLPADLLGNPVPPSPTVEPSAAAKATEPQGTIPNFTN >OMP02184 pep supercontig:CCACVL1_1.0:contig06299:1189:2972:1 gene:CCACVL1_02889 transcript:OMP02184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAVFSTSEIGPMLSNITSSIHDFVRSFFTLVGLFVIFWYTWEFIKSRKGKPLSPPGPRGLPLVGSLPFLKPDLHCYFAELARTYGPVVKLQLGSKIGILVTSPSAAREVLKDQDIVFANRDVPAVAMLATGGRDIGFNSYGPEWRMLRKAGPAVNIGEQMFLTTLNVVTNMLWGGTLEGEVRESIGAEFRHAISEFTEILGLPNISDFFPALAPFDLQGLMKRMRKPVEKLNGIIDKMIDQRLKLDNRESGSTAAGEFKDFLQFLIQLKDGEDSQPPMTMNHIKALLQNPLVAIPTPRLPNPALYE >OMP02186 pep supercontig:CCACVL1_1.0:contig06299:17246:20165:-1 gene:CCACVL1_02891 transcript:OMP02186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MESKSFFRFTLFSVAIAFLLLFTWSHLPYPPPSQVTTLLDCASNSPWCTSKNRFQSKQPTTITKTPAATTTATKRRRHESAVPHHPLDPLTIQELNKVRSILSSHALFKSSKSYALHSVVLEEPDKDLVLEWVKGQPLFPRMASVIARVNGESHVLTVNLETSQVNVLNSAPSSGYPTMTIEDMTSATWAPFSNAEFNQTITQRGVNLDDVACLPISLGWFGPKEENRRLIKVQCYSMKDTANFYMRPIEGLTVLLDMDTKEVVEISDSGRTIPIPKATNTDYRYSEQNQQLNLINPISIEQPKGPSFVIEDEHLVKWANWEFHLKADPRAGVVVSRAKVRDPDSGIMRDVMYKGFTSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDGVFAAGDGVPYVRSNMICVFESYAGDIGWRHSESPITGMDIKEVRPKVTLVVRMAASVANYDYIVDWEFQTDGLIRIKVGLSGILMVKGTTYENMNQMNGQENLYGTLLSENVIGVIHDHYITFHLDMDIDGSDNSFVKVNLKRQETSQGESPRKSYLKAVRDVAKTEKDAQVQIKLYDPSEFHMINPTKKTRVGNPVGYKLVPGGTAASLLDHDDPPQKRGAFTNNQIWVTPYNRSEQWAGGLFVYQSQGEDTLAVWSDRDRSIENKDIVLWYTLGFHHIPCQEDFPIMPTVSSSFDLKPVNFFESNPILRIPPNVEKDLPVCKPAASG >OMP02185 pep supercontig:CCACVL1_1.0:contig06299:5619:6635:-1 gene:CCACVL1_02890 transcript:OMP02185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MLSLVPMSFAMTTQNLFDKPDMFTQIIMIPVVVTLLLAVCLFQFKKLSSRSGNNAKQLPGPSGLPLVGYLPFLGRNLHETLMELAKKYGPIYKLSLGQRQCVIISSPHLVKEVVRDQDVTFANRNPNIAALAFSFGGKDIAFSPYGPEWRMLRRIFVQEMQSKANLDAFYGLRKNEVKKSVRDVYAKKGNPIDVGEMAFSTVINMITSMFWGGTILGDRATASSRNGDFRVAVSELLAIWGRPNISDFIPCLARFDLQGVDKDMKRASKWIEVIFDSVIDQRMKEEKESCQDTKKKDSKDFLDFLLEFKDEETGISLSRAQIKAFLAVILSPFNSFFF >OMO81290 pep supercontig:CCACVL1_1.0:contig10213:29984:30145:-1 gene:CCACVL1_12493 transcript:OMO81290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQLTDKQNKIRARIRCSDHHNDKNINHKIKASPELKQTGGSKRKDPSISQN >OMO81289 pep supercontig:CCACVL1_1.0:contig10213:22843:24333:1 gene:CCACVL1_12492 transcript:OMO81289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase/Amb allergen MAISWCFSLSLFTAILLAIPSSGLAKMNMNVIDKCWRRNPRWRHHRQQLATCSVGFTGKMTNNIGKGTTRYKVTDASDDPINPKPGTLRYGATMIKGKIWITFAKSMEITLQRPLLIGSFTTIDGRGANVHITGVGCLFIFEATDIIIHGLRIHNCKSNPQSTVMGPDAKVIRMVQADGDAIRLVNARKVWIDHNTLNDCQDGLLDVTFGSTDVTISNNWFKNQDKVMLLGHDDGYLVDRNMKVTVIFNHFGPNCNQRMPRVRHGYAHVANNLYQGWHQYAIGGSMNPSVKSQANFFIASGNKEVTWRQGTQVASWKFVSLGDIFSKGASFGPQIGIGGAKPYYNRQQRFKVVDARSVKRLTSSSGALRCSKRLRC >OMO51095 pep supercontig:CCACVL1_1.0:contig15974:27844:30334:-1 gene:CCACVL1_30008 transcript:OMO51095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMNLLFIFFLVGAIFSPILADPVEDKQALLDFLGQLHHSHSLNWREEISVCNSWVGVVCDSDHSRVIALHLPAMGIRGPIPPNTLSRLSAVQVLSLRSNGISGSFPSDFAQLQNLTILYLQSNHFSGPLPDFSVWNNLTILDLSNNGFNGSIPPSVSKLTHLTAFNLSNNSLSGDIPDLNIPSLQELDLANNNLTGIVPKSLERFPSWAFAGNNLSSDIALPPALPGEPPHAQPSKKVKKLGEPALLGIIIGGCVLLFVLGALFMICCYSRRQKEQGLPAKSQKKDSSSKKMASENHADKKNRLVFFEGCNLAFDLEDLLRASAEVLGKGTFGVTYKAALEDATTVAVKRLKEVICPKRDFEQQMEVVGHIRHENVSALRAYYYSKDEKLVVHDYYDHGSVSALLHGKRGEGRTPLDWETRVRIAIGAARGIAHIHSQSSGKLVHGNIKASNIFLNSEGYGCVSDIGLAAVMSPMPQSILRAAGYRAPEVTDTRKATQASDVYSFGVLLLELLTGKSPIHATGGEEIVHLVRWVHSVVREEWTAEVFDVELLRYSNIEEEMVEMLQIGMSCVVRMPEQRPKMSDLVKMVEEIRRSNQSSSGTKPETSPSTPIPNASEIGSSSSVPPMI >OMO51094 pep supercontig:CCACVL1_1.0:contig15974:20273:26008:-1 gene:CCACVL1_30007 transcript:OMO51094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYDIMKKVRVCSFINENDGTGKTKRREEEEREEAE >OMO95086 pep supercontig:CCACVL1_1.0:contig07737:56946:60147:1 gene:CCACVL1_05587 transcript:OMO95086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAENAGAKIGSSGQNLENTVVSSDSNAVNDQDKSKTWTDSVTAAAKATKEADFQQEKPAAAINGNGQMQNGFNEKNQKEMVAKTAGFNGFSNVENGGDGEMFKKEMRDLADILSKLNPMAEEFVPPSLAHHHHNLMDIHDQNKLFFENGFGYNTDNFVLQADSGNAKGHNNRRKRNNFGQGKRRLNNRTSMAQREDAIRKTVYVSDIDLQVTEEHLAGLFLSCGPVVDCRICGDPNSVLRFAFVEFYKEEDARAALNLSGTMLGYYPVRVLPSKTAIAPVNPTYLPKSEDEREMCTRTIYCTNIDKKVTQAEVKLFFESVCGEVHRLRLLGDYHHATRIAFVEFAMAESAIAALNCSGAVLGSQPIRVSPSKTPVRPRAIRSPMQSPMH >OMO95074 pep supercontig:CCACVL1_1.0:contig07737:600:689:1 gene:CCACVL1_05575 transcript:OMO95074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKFDHDFQSSRRHRMEEDLAAFHSRVSGK >OMO95083 pep supercontig:CCACVL1_1.0:contig07737:39045:43460:-1 gene:CCACVL1_05584 transcript:OMO95083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRFSRTIYVGNLPSDIREWEVEDLFYKYGRILDIELKIPPRPPCYSFVEFENSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGQSSSDRRGGYGGGGGAAKFGASRHSEYRVIVRGLPSSASWQDLKDHMRKAGDVCFAEISRDADGTFGIVDYTNYDDMKYAIRKLDDTEFRNPWARAYIRVKRYEVSPSRSRSRSRSRSRSVRRERSKSRERPASKSPVKSKSASPVKSPRARSRSRSRSRSRSRSASPEKCTASILDSTPALLEAKENPRALDLLLEILGTAMAGELACTYASLILHDDGIPITAEKIATLVKAANVSVESYWPSLFAKLLEKRSCDDLIMNVGSGGGAAPAAVAAPAGAGGAAAAAPAVEEKKEEPKEESDDDMGFSLFD >OMO95090 pep supercontig:CCACVL1_1.0:contig07737:76640:78578:1 gene:CCACVL1_05591 transcript:OMO95090 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGKIIGGNSWLSAVKKAFRSPSKENAKIRSSRSREDNEQEEEHQKKRGKRRWIFKKPSHQETNIQSEERTITINANNAKAPANSEAAEAEQRHAIAVAIATTAAAQAAVATAQAAVEVVRLTRPSIFVREHFAAIVIQTSFRGYLARRALRALKGLVKLQALVRGHNVRKRANMTLRCMEAMVRVQARVRDQRKRHSFAYEGRSTDSVYSEKYSISREEEGNNVDAWIRWDDDPKTLDEIAILLQTTKEAALKREKALAHAFSHQIWRSDRVDTVESEGELDVKTSRWVDRWRTPRKPWESSNGRMSCDNINIDPVIKTVEIDTYSQKPNGGQYHYQQQPRPSSYFVAASPSPLHKANGGVSIRSITPSPSNAKNFQMYSTSPRYFKEEKIISHHPSPHTPPYSGGATATRPNYMAATASAKARIRSQSAPKQRPLSSTPEREKGVGSGARKRLSFLPIPRDDQCNDQVYDYNYNSKSPSYKSSHGGAYGMEQSQRSNISSCYADSLGEEIFPPSTNDLRKWLR >OMO95095 pep supercontig:CCACVL1_1.0:contig07737:93871:95528:1 gene:CCACVL1_05596 transcript:OMO95095 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRF1-interacting factor 3 MQQSPQLMNITTEQIQKYLDENKQLIMAILENQNQGKFTESASFQAQLQQNLMYLAKIADAQPQASASSQITPQSAVQQEQYAQSAQAAMATQHPGFSAPSVPLQLNDQQQQQQQPIYLQQQQLNQPQVGLRSAAPTGTYQGFHTGLGNNFMNIQGNNQDCSED >OMO95088 pep supercontig:CCACVL1_1.0:contig07737:67301:67783:1 gene:CCACVL1_05589 transcript:OMO95088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWAVLKPSELNAKHEKILQVVKLDGKILEFKAPLLVKDVLVEFSGSGIGLSRSLQKPLPLNHELKLGKVYYILPSVDPAGTSSPESLASTMADTRQTGAGGVKRIKVVITKQELQKLLTKQISVEEVLAGLEKRNGDFVTSPRNWKPKLESISEENE >OMO95094 pep supercontig:CCACVL1_1.0:contig07737:92118:93436:-1 gene:CCACVL1_05595 transcript:OMO95094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVFRVRLASFFSGAATASFLGLYILYKDYKVAHESITQQVRSLHESLDRRISAVENLKRAETSEHVEATE >OMO95091 pep supercontig:CCACVL1_1.0:contig07737:79887:82110:-1 gene:CCACVL1_05592 transcript:OMO95091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 8 MSGFSVSDKAAKERSSIEGVDDLGLGVNNNNKVQSSKLLVQPWKKMMMVHHENHHNRPFPPYAIGYGDVDADGPTSTGNNNNRPKTSNIYDVFPSSVSGSAAAVPSGGAVAVRIMQPFDISPTTITTISSSNTLLQTAFKSPAGGMAASLEFPFTSAQWKELHRQAMIFKYMKDSVPVPPHLLFPITGTPSNSALGGVLNLRYSGRGDLEPGRCRRTDGKKWRCSRDVAPDQKYCERHMHRGRPRSRKPVELSSNKKTRHDNTHSLPSSSSAVLAKNIDASQSQFVAHPFHQNQTTCFLGKESEKAATFWDLASVSSYHKETRNADWIMNEELIPLASSDQQWHHLMQNSVFNQNYSNKESLNLNSYANFNAAEDQRSNHCPLFLNSEIVQKSPEVAGRGFIDAWSQNANSGAETSVSSNGKLSLSSSLSLSMGVNSIRDKEMGLIHMGLGVNESDQNHEYASKSHLSTWSAPASASTPGGPLAEVLRLSKIATATAAADGSSNLSSPVTGSGSPTVTAVSSPSGVLQRTLASWSDSSGSSSPTIPSSGAKPEISFMWLKEN >OMO95087 pep supercontig:CCACVL1_1.0:contig07737:61711:63042:-1 gene:CCACVL1_05588 transcript:OMO95087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPGPNKNMAGDYNYNNVTAGFGDTTYTKIFVGGLAWETKRDALKRYFEQFGEILEAVVINDKTTGRSKGYGFVTFKDADSAMRACHNPFPVIDGRRANCNLAAFGAQKNRIPTQHGMEKLSPPSTRVMAPTITGTPAAFYRQLIPQFAFPYSAYGYPGYTQDMYSMNHYNVYGGQQFPSGSSPGVYLSYFPLYLQQGQSSPTPYPKITHYPYNNNSSQQYRAFGSLTLPPPPSPTPLATAAGGTPATADIVATGSPGTAASAQNSSV >OMO95092 pep supercontig:CCACVL1_1.0:contig07737:83688:83774:-1 gene:CCACVL1_05593 transcript:OMO95092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTHHSPTHHHHRPTLQNPLASLASES >OMO95076 pep supercontig:CCACVL1_1.0:contig07737:4096:4734:-1 gene:CCACVL1_05577 transcript:OMO95076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MSQVFSKSPKHCAKQQGLTIEKSYKKLFFACSAVFTSVLAFIFLIWVILHPSKPQFSLKEADIYQLNLSGPHLLNSSIQLTLLSKNPNKRVGIYYDELQAYASYKGQQITVDTSLPPFYQGHEESNLLTASLEGTGLPVAPSFGYEVGRDQTTGRIILNLKVNGKLRWKVGTWVSGKYRFNVNCISVMAFGPNNIPTAPLSSYQGTQCSTAV >OMO95084 pep supercontig:CCACVL1_1.0:contig07737:44882:51851:1 gene:CCACVL1_05585 transcript:OMO95084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFQAATLVASPSHPNSVAWSDENLIAVASGHLVTILNPALPFGPRGLITVPKSEPYRIGVVKEEDLLSGTLLPTTLSREPRVCVRSISWSNLGMAPNAGCLLAMCTTEGRVKLYRPPFCDFSAEWIEVCDITDRLYDYLESISFEEQDIRPSEISHVPGPDHGSLDGPLDSVLEKGHKRKRVNTSGIRNFGSETSCDQLSCYKKGKDVNAGPSGSSNVEGQYAKRIPENSSLPLITADQYASRSAMLSSLVVAWSPLLKLSPETCPVPENNSSNQFSLLAVGAKSGRISCWRIHAPQCYTIEQSKVSNAVEFIGILQAHKSWVTTISLALLSSSDSSNPQVLLASGSSDGSVRIWIGHGQELLKSSEVNNAPFYLLEEIINMNAVPVSVLSLMPSLSLQKMLLAVGKGSGAFELWIGDTSAKKFKRGGSYDAHDQVVTGLAWAFDGYFLYSCSQDNFARCWSLHGNSLTEVPIPSSSPGLRSFSDLPDVFISCLGLVVSPSNLAVAMVRSFDVNQLDHMYEARLQKAALEFFWIGGQQKDILSNTSPAFNIEAFPGFSEKDLVNWESNILWSLKLYENLDKPLVLWDITAALLAFKRSAPHYVDHILVKWLSFSFADSQVEHAIEKVLPHVCKCVNKASSRQLHILNIICRRVILSELKADEINSNLLDLGGLDEADCAENSQLNLWIELLSSSERELRERLVSFSFSAYKILGSNSATYSSKPGYWHPVGLAQMKQWVAQSRHVREQLRVLAPEIKTCKRINNIGVAKKRINNIELNEEEKCSHCSAPVPFDSPESAICKGTQSNGVYEQRHKLPRCAVSMQFVIPFKTSIKTTMPLLRHIAAETAARISSLPTPGVIIYGYYIRQLKQSEEHDYHLLNIMKFV >OMO95082 pep supercontig:CCACVL1_1.0:contig07737:34861:35174:-1 gene:CCACVL1_05583 transcript:OMO95082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGTIYATIPAVVAAAVGIYSFDRQSGSGAKKFGDGIGSSMGSMQAKLKTAPLPKVAPQFDGLNCFETLVG >OMO95089 pep supercontig:CCACVL1_1.0:contig07737:73443:74355:-1 gene:CCACVL1_05590 transcript:OMO95089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLSCSSSPLVSNRARLCSTKAIMPCSVSFPTKNSSRNRLSFVRAQAVGDNKDTSVDVHVNKDHNSHQQQGTAVEKRPKRLAMDISPFGLLDPLSPMRSMRQMLDTMDRIFDDAMTFPGRSQTGNGVRAPWDIKDDEHEIKMRFDMPGLAKEDVKVSVEDDILVIKGEHKKEEGGDDSWTNRSYSSYDTRLQLPDHCEKDKIKAELKNGVLFISIPKTKVERKVFDVNIE >OMO95078 pep supercontig:CCACVL1_1.0:contig07737:16234:18405:1 gene:CCACVL1_05579 transcript:OMO95078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S30Ae/sigma 54 modulation protein MATTLLASSQTSFRHPISASASSSPCPSSSASSVSLFNSLKPRIPSLFSYKSSFLNPVGNSFKYIETEPRKSRTPSLTVRMSWDGPLSSVKLIIQGKNLELTDSVKLHVEEKVGKAVQKHSHLVREVDVRLSVRGGELGKGPRIRRCEVTLFTKKHGVVRAEEDAETVYASIDLVSSILQRKLRKIKEKVSDHGRHMKGFNRLKVREPVVVPVDDDIEPVPEQEDDDYIDEIVRTKYFEMPPLTVSEAVEQMELVDHDFYAFRNEETGEINILYKRKAGGYGIIIPKGNGKAEKLEPVVLEKEHSFVE >OMO95081 pep supercontig:CCACVL1_1.0:contig07737:31372:33940:1 gene:CCACVL1_05582 transcript:OMO95081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVYMGLLWIAFLVGILVGWGWKPKWASRGTQKLSCVVSKSLELSLPPTPSSSSSSSPPSTLPTSPLKGFGSAPCLKSLKKPSEASEQSRVISNGVPQKPPSVPSSAYDNFSSYGAPKLNEGLSSVVTEEDLKHLWQLVEMKDGGPSWIEMMDRSTPNMKYQAWRRDPKTGPPQYRSSSIFEDANPKIVRDLFWDDKFRPKWDDMLAYSATIEECPKTGTMVVQWIRKFPFFCSDREYIIGRRIWEVDDSYYCVTKGVSWPSIPRKNKPRRVDVYYSSWYIRAVESERCNGQRTACEVLLFHHEEMGIPWEIAKLGVRQGMWGMVKKIEPGLRAYQKERASTTAPLSRHAYMAQVNTKISTEYLRSLESDEHLSKSEIAPTSAKPLAKNLSRALIIGGVIVLACSLDGGLLSKAFIFGMGKKLANRGKQSSLNAVRTN >OMO95085 pep supercontig:CCACVL1_1.0:contig07737:55298:55366:1 gene:CCACVL1_05586 transcript:OMO95085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRFVDKLQPNQNFDVCHRSG >OMO95096 pep supercontig:CCACVL1_1.0:contig07737:96400:104494:-1 gene:CCACVL1_05597 transcript:OMO95096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLDPTRVAYLIMVDREGGESWSSVGHFDSMEAAASVAANRSGSLPMPSPSRKEWRAVSDHHAVRSPGDELDLERSKLGQSDERTIYEVQHGREPADVDFCSITVDGSLDDDILQQRIHNVARQREELQHVEVELRAQAIARSRILEMQSSCDAKIQAHANAVVKLEEQLHESDQAIHELERKVEEKDRELHAIKVEKEEAWAKEDLLREQNKELATFRRERDHSEAERAQHIKKIHDLQEHVQDKERQLIELQEQYRVAQETILYKDEQLREAQTWISRVQEMDALQSSTNHSLQAELRDRTEQYNQLWHGYQRQFAEFERLHLHTIHQLQMELAELREKNGSYTDESHISQANSKDLSQYGQNNGNQVDANGSSAKNANAGVNSNGTSDNVQSFALAGNATTQNQNDNVPNVQIAPSSLLGMTGYLPPGQVTALHSFVMHHQQGVPHSVASHVGHYSMPAMSSMSIQQWQNQQSASEGFQLSGQNQLPPSQTDESLRRSDVKYEYEISVNGQAIRPDYLDHISQGPEPDSAISSSAAKAQVLDSINAGYLVNPQPEPNLQQVSSQFHDALRLGTLEQSSESKEQNILNMNNHALEDQVLTGEEASSAASPSPPDTSVHPVNFRETTTNNGTDAILPEKSVAAGQSNILISAKTSETALLDERQLLACIVRTIPTGGRIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDDFVASHPELFVIEGDYIQLREGAQEMIAATAAVAKVAAAAAASSPYSSFMPSVAVTPMAQPNRLKKVLPSIDSNHVKNENAILGMQNQQHPNGMSFGGAGGLSNVKILSKSKDPTEVNGANFERSSFESKGSGHGRSNSNFVGKQQGRATGAALSSRRYSFTGNSNFQINGVAMQLWNMDHGDCKRIGI >OMO95093 pep supercontig:CCACVL1_1.0:contig07737:87585:90662:1 gene:CCACVL1_05594 transcript:OMO95093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFMFLYHVTVSLIIFPVSSASLSSSPATYLLQFLDSLPKHSQLLLPWNQNQSNSPNSHCQWAGISCYSKKNFQVKALNLSGFGLSGILNNSISYLCLHKDMQSLDLSGNSFSGIIPPILGNCSQLNTILLNDNGFEGSIPHQIFMSNWLQRLDLGYNSLSGEIPPEVSLCMNLEYIGLYNNFLDGNIPSEMFSLPNLKFVYLNTNNLTGSLPAFPPSCGILDFWIHENKVLGSLPLTPGNCYNLTTFIASSNKFEGFIPPESFKGLLQLQVLYLDGNNLEGEIPETLWSLENLQELVLSGNKLNGTLSEKIGQSSQLITIALSGNKLVGSIPQSIGNLTGLTNLFIFNNMLTGLLPPEIGNCSSLVELRLQHNFIGGSIPIEICNLGNLEVLFLFNNHIEGHIPQEIGRLSNLVQLALYNNSLSGEIPSEIVHLKKLRFLSLAHNDLIGQVQFDLRENFPALVRLDLSGNRLNGSIPSRICAGANFSVLALGNNRFSGNFPTDIGKCSSLRRVVLSNNLLQGHIPADLEENSGIFFLDVHGNLLEGKIPPVFGHWTNLSMLDLSRNRLSGALPPELGKLENLQILKVSSNGLTGSIPSELGQCKKMIKLELSNNDLSGSIPSEIISLPKLQNLLLQENKLNGPIPDSFSSVQSLLELQLGGNILQGPIPCSLSNLHHFSSVLNLSHNRLSGEIPACLSKLDKLQILDISSNSLTGELPVDMNNMISLYFVNISFNHLKGKLPSAWMRIVASYPGSFLGNPELCLLSDGTGHCQELRKGNNNGKLLAGIVISVIVSLALLCAMVYVLVVRRLQKKNSFDQTILHERQSRTEDFLPENLKIEDIIQATEGWNDKYVIGRGKHGTVYRTESSDSRNHWAVKKVNLSDTNFRLEMRTLGLIRHRNILRMAGYFIRDGYGFIVTEFMPGGTLFDVLHHSQPRLVLNWETRYRFAFGIAHGLSYLHHDCVPQIIHRDIKSDNILLDSEFEPKIGDFGTAKLVSDPDSSSTRSVIVGTLGYIAPGWLHFVF >OMO95097 pep supercontig:CCACVL1_1.0:contig07737:106988:111556:1 gene:CCACVL1_05598 transcript:OMO95097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHCCSKNVSVVNNDGASTVNHSQPRPLPAPSTPSVETNSYAVSPFTSPLPAGIPPSPSPARTPGRKFRWPLPPPSPAKPIMAAIMRRRGSTKATAVEGTIPEDGEGAELDKNFGYSKNLGAKFELGKEVGRGHFGHTCWAKGKKGELKGQSVAIKVISKAKVCRFCCMVFILFYFSLFFPFTLYWGFGGWEKLIDVPYRNKREESHPWLRDDDRAVPLDILIYKLVKLYIRATPFKRAAQKALSKALPEEALVYLRAQFRLLEPKDGCVSLSNFKAALTRNMTDAMLESRVLDIINVMEPLYYKKMDFEEFCAAAISIYQLEAHEQWESIASTAFEYFEEEGNKVISVEELALELNVGPSAHSLLNDWIRKSDGKLSFHGYMKFLHGVSNRSSNTRRR >OMO95077 pep supercontig:CCACVL1_1.0:contig07737:7977:11960:-1 gene:CCACVL1_05578 transcript:OMO95077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVVVSSEEVEEVRTESETEKDNGDFEENKEEKRKKKKQPGNSASVEVVKWEKFLPRMALRVLLVEADDSTRQIIAALLRKCSYRVATVPDGLKAWEMLKGNPHNVDLILTEVDLPSISGFALLTLIMEHEICKSIPVIMMSSQDSVSTVYKCMLRGAADYLVKPIRRNELRNLWQHVWRRQSSIVGGNSPQDESVGQKKVQATSENNAASNHSSGCMPDVQRNKEQTEKGSDAQSSCTKPEMEAESAHMENMQEISRLIEGKSLPSESRKHEACPNINQKPLLHETKAGVDVCKDAYTTTLYKGVEHESQRRDANILVEAGDAIVDSPREAIDFMGTFNRNCNSPSVNSTSKFDSSPHLDLSLRRCNASVFENHITQERPTLWHPNSSAFTRYTSRLSQPLHSTLTSVSDQKKESGTNSEKLCNVISEFNSDTPSTTPTSQRSMIHLTTGTSGQWKQTEVAASSTQHRVFPVPVPVKGIRLKNLCDGYNSAIPPIFCSQSSSSPVPSPSSGNQQEPTFRVNLFRHSSFETNNSGQLYDRLASNTNQSTNQPLHKLDRFDSIEDRGHISPTTDQSASSSFCNGSLSQLNSIGYGSTGASNGNLDQVSVVRAPPESKNDDNVLSPSGNSHRSIQREAALTKFRLKRKDRCYEKKVRYESRKKLAEQRPRVKGQFVRQVQADPTHTEGECQYGNSSNG >OMO95075 pep supercontig:CCACVL1_1.0:contig07737:926:1771:1 gene:CCACVL1_05576 transcript:OMO95075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPPQSLNNNSQNSNNKSSNFYLNKPTATLPDIFLTAISLLFLFSSPKPNLTFLPRFLSFPPNQRRFLKIPTMSHSRTPFATPQALSDWLRPRLPSDSFASWGVKPGTKNVHNLWLEVSEGETSIIDSSPPLRTVNVVTVRVLGKDNRTLVESRQELSDGCFRDRFRPLSEKMKPYETPEEAATRALKEELGVIDSGVVRIVPGSYQKKVEERNSASYPGLPARYVLHSVDAWVEGLPEEDFATEEHEEYEDSDGTKDLDKAVSVRKHYWQWVSSDSVRT >OMO95080 pep supercontig:CCACVL1_1.0:contig07737:26828:29629:-1 gene:CCACVL1_05581 transcript:OMO95080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSIPTGKSGSNWLDRLRSSKGFPTGDNLDLDHFLTNSNPSDSPLTNASNSPNSNAESTHSNDKQLQNPEPPPPEVISGEPAGDKEWFGIMSNVLSELFNMGDGAQSSRFSKKKTSRKQTNPRICIIKTPTANSSEEQRSSSGSVRRDKNVPASTTSLNSSQEAKRESKEEGDNSNVAEDEDEEEGKEKGEKELLGFSRSEVTVIDTSCQVWKADKLIFRRKNIWKVKDKKGKSRSFGRKKRKVPPPTSDDNNGGFCNKKQKISSSELRSLTEPRGRECGSPMNHGQKAPGDKEEQACNETAEDLTQVLRKRFPVSRLPRKSGKESTSVVLIKTIPTGKKSGAKNRPKETHKA >OMO95079 pep supercontig:CCACVL1_1.0:contig07737:19637:25836:-1 gene:CCACVL1_05580 transcript:OMO95079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSFFREIGLSEKETDSLLDKNPTLRSTSLDRLRARVLALQSVGINDFALNRLVIKYPIVLIAEEIDSVISFVRDDLEGKVKPSQLVRLFSTTETRFWLGFDEKVNLLLHHEILREKIAHVLNNVNLIRAICGKSVEEIERTIAFLKSYHNGIEVIVRRPAILNYYLDSQLMPKIQFLEELSGGDKEATGTLLRKLPAILSYRLEHMEGHVEFLRSYAGLSDPEIFKIFLVFPNVISTSKERKLRPRVEFLKQCGLNSSDMFKFLTRAPLFLALSFEDNLVYKLGFLVKIGYEYRTKELAVALGAVTRTSCENMQKVIGLFLSYGLSCEDIFAMSKKHPQILQYNPRSLEEKVEYLIEGMGREVSELLIFPAFLGYKLDDRIKHRYEVKKKTIGEGMNLNKLLSVSANRFSTKRKPKKVYIVYMGKRQHPDVELLTRTHHQMLATVLGSEETSKDYMVYSYKHGFSGFAAKMTEAQAHQLSKLPGVVHVTRNRFYKPQTTRSWDYLGLSFNGSPSNLLHTSKRGNGVIIGLLDTGEKEVSGTLIYPEVSDLMVSRNCESLSSNDDWMAGKVVLCFASEYNRSMLDDAIESVKDAGAKGVIVARSARDYLYSYATHFPCVQVSYETGTQILYYIRSTSIDC >OMO95098 pep supercontig:CCACVL1_1.0:contig07737:119958:120926:-1 gene:CCACVL1_05599 transcript:OMO95098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFIPTLAFLESAIDFATISFIAALLLLSVFSICFIFHLRFKSRSSRHLQNFNSLWTVRFLFVFLITLWTLTEFLRLPLFRRRYLYPLLPKLTQPQEANICKSYIVLSLGFFQPGFLVTLLFLLDVSVKKTTPRSFFSVFFVLVLCLPILLLQIYVVFSSGPNNIHAPLILQRSWFVPWNINGGDTTVLCAYPLLSVILFGVFGAVFSLSFMVSFWRVVSHVINKALRVRICALAVTVIVTLPLQILFLGMSVFWTPDKIAFDGVTLLMLISAVTCAIVGEGILVIKPIADSLAADGGGGGGSSHSESTTTSSVRPVEDGMP >OMO92485 pep supercontig:CCACVL1_1.0:contig08212:1239:8988:-1 gene:CCACVL1_06833 transcript:OMO92485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MTCYFKWVEKLGLKTIPHPTPYKLSWLKDESDLKVTYKCQVAFSIGKYYSDEVECDVVPMDACHLLLGSPWLYDRHVIYDGHGHSYTLKKDGRKITLTPLKPKATLTPKVENLLMSSRGLVPYVHGGTSNFFQPGENDTGVSHMSHEADSGEEDEFSKASTTPCKHIALMTCHFKWDQGSHVGWKLAPLQVDAYVEASISHFMTHVNDPPFSIALVVREIIMSQQSVDGGRVAGLDTIEGLRALVESLQNRLHIVEERQRHREPSEGSSHTRRGVRGDGTSSSSSERLHPDDVGAYLRRQQQQQRGNNRHEEVGDNNKQIQQVRDAQANYLNTFVPKVQIPEFDGRGQPDDFLEWLHTVERIFEYQDVPENKQVKLVAIKLRKHASLWWENLRMQRERNGKEKIRTWDKMVREFKKKFLPEDYKQDVFLKLQNLKQGSMNVLDYTAEFDALMIKANINEPEEQTIARYLAGLKISIANIVVLQPYRTLNDVIKLALRVEKQVRNKSVAKLDEKEPSEETTPKAPFVPKCNIDVNNKDKGKRATDDSGKQRSDDDNEKNELEAEQAHEEEVLVHADQGESFLVQRVFNITQASPGEDWKRKNVFHTRCTCQGKICMVIIDSGSFENHASTEMVQKLGLKTIPHPTPYKLSWLKDESDLKVTYKCQVAFSIGKYYSDEVECDVVPMDACHLLLGSPWLYDRHVIYDGHGDTYTLKKDGRKITLTPLKPKATPTPKVEHLLMSSRGLVPYVHGGTSNFFQPGENDTGVSHMRSCMPKTRSSGIEGLECNPEPERTLFQKKKKTQSRLEEEESSNSSSQPSSPRSAVSTSSEDMAEEEQPKTLRELAAPNVNAKREDPHRYLTDFQIACSSTSIQGIPEDQFLLRTFPFTLMDRAKDWLYLLPTSSITSWTSLKKLFLEKYFPAHNASSIRKEICGIKQRHGETMHQYWERFKTLCASCPNHQINEQLLIQYFYEGLLPFHRSSIDSASGGAFIDKTPAEAWTLVENMAANTQQFGSREDFSREGPTRRINEVSTYSTSLEQQLQETNQQIAILTNLSQANFSSLPRVCAIEMQQIKEAMEMMRKQICQLASDLSDLKTQGQQRIPSQPKVPPRENVSAISLRTGKELKDPYPTLAAHQQDKGESSYTKEDLQLPAEIKIEDEHNDKRAAPIEGSNKEEAATQPKGKVEVNIPLLDAIQQIPNYAKFLKQLCTNKKRLQSKVSAGTNVSAVLQKSLPPKCKDPGTFSITCSIGRSIIENAMLDLGASLTVMPYSFYKSLNLGFLKNTDVILQLANGSLVYPKGRPFLKISHTKIDVFNGSLTMEFDGEVIHPRISSQVPLKSNNFVYVISSKFVKKGAIEDSSSTSPAQISKGRQRIAAFKQQKDENFYQAWDRFKMLCANCPDHGISQQLLISYFYEGLGVDDQILVESIDNIPLFDRTPDAA >OMO89416 pep supercontig:CCACVL1_1.0:contig08724:33662:34837:-1 gene:CCACVL1_07849 transcript:OMO89416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLDVITKASANTERLSAQAEHPIVLNPDDIFLSLKPEIENPNPTSLVSPLTGWEISPSDAKIIDLSKKLYTKLNRKLKDIHNFDKQEFFGILKPLLEKITVEGGINIGVDSTDSGYDFVLIERVGFLMGRDVLSLVLEACISLEVWELLEGLIVNGLVDHSCYSNLILNLAAKKRSDLLCLCVKHAHHLGSSELLCILKYFLCPPKDGYDTMVNVGKEWESQASLAIDKARLAKKSRLAKEASILLMVAHDGFSDAERCLHYLLASNNVDEVVLSSSLGKLSGKEMMNLIHYLGKWLKKYERFPQAIPCPKASSSLGLKACDWVPKLEDVMKCLGFVLDENFSSLMMHPEFHEELKSLEGVVSSLAFEARFCSLMVNVIDKLRAGDVQS >OMO89412 pep supercontig:CCACVL1_1.0:contig08724:246:1419:1 gene:CCACVL1_07845 transcript:OMO89412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQFMVDVSDAATIAFPPPDEDENTPDAESYVSKFLKDAEFRVKFLTSSEFRIFINCLVVAGKIGIGLYKFLKEKKAEENKPEEKKLPPVLHLPRRTSGLTALGGTGPRPGSDPGKIITSVQRPYHPSAYTMVDELKVSETQDHGLSRSSLLSKSPSTIWRRPWESEHKGSSHQLTRTMTIPTEIEPNDSPLQTESSRRGKGPADPPGELGSPDPYIGTPKARQAKGVSNDQTPNLGGQGQTPTFEPPTPHRRLERITEPATDTLSPRMRVVNPVQPGNGDVHIPPRVLGQ >OMO89414 pep supercontig:CCACVL1_1.0:contig08724:7999:11888:-1 gene:CCACVL1_07847 transcript:OMO89414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCADGWYGRCTNVIIFPGSDPGLGPVPPSAVNPDVRLGKCSTGGTNNCPPGVRGVIQWPPERLKYMKSRGGLVSPRVSVVFGTVTVHGPPPLVRSLGINRLPFPFSRSSDLSSKSWCKKCVDFLATIETANPGGPAHPVDLSDTDSSSDYGLTEMTDIEEIPSLVLPSEFGLRVPSVSMSESEEVSISLPSEETAMNDSSGGSAEAESESGSTESGGFVPRRQGLPLAEVGSALPLAGPVQALPTEEFIDFREMATNVMPTLTVEIAPKVMVRVPEAPGSGANVAGGSGGPIATVGSNEERSSSGSLASSANVVEEDRFVRYNAEAARHFPIPAETSSMYIQGPNTPGAQLSRRAALAAACRFGLGPESGFRFFYPREEDRIFHIRRNFRRVFLYRAMFEAGFRLPGHPFYWEVLKSYGLAVSQITPNGWLLIVGEVVLASRLVHAGLGPHPYPARLLRPTDLALELCSPLPIYEMVEDEGQMREVERFIIVNVRGRPPTYIPSPNLNRSFEVVEYDPYVWAGTEWTDGVAPSTPQPASRRGAGKDMMTLRALELARVRHQGDRSSSTSDWPDGPGTSSQAMNPQKLAEAQRRAAETRQRQIQVGGTPVRQAGTTYRSTAPASSPILPPRVIPRVPSAIVVPEGSRVGQPSAPAGAPFAVLVGHLLWGGFGDWVHRDQDATPNSLLDLLANFFCHGMSFGSPSDYDAVRGLGNLFAARVNFAEYEENFRSVAPSLARLIDERMPAQNVNQAQAFARERDNALQEVSDLGDKLKELKAKYKETDRTLRRERDDHRSELQRREAEERTLKVLILSLGFVVLSILPLTDVSILSQIRIAELEHENAGCYVQIVYEKEKSRDSALHMIYKYKGFSDFAGIPFDNEEAPDNYPSPEPAPPLVLPEFGDSSQAAQAPLSTDAAADGDEFEEYHRVLSKRKSGRDVDRHARGSKRRKEK >OMO89413 pep supercontig:CCACVL1_1.0:contig08724:1682:7772:1 gene:CCACVL1_07846 transcript:OMO89413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MAHERAAQLEQSQMNNGEDRPAKHGRTNVWTKENPGRLRLVIEVAKSREKVDESRTPPGDQRGQERRVKAKTRRGDDGDRGSERADVYNETDICMAYAGGHRPEATIGEGTRATTTNVRRSPPRGNRSPQRNRDRRGTNPHYDDRNRFTKYNNYTPLTDSRTAILHAVSQSRDADKVKWPFWKGSSQRQKQSGNRFDFHQSHGHSTEECSHLKDMLEGLVRQGYLKSYVRNDVPQPRQDAKDDQPAKAVHDRIEYPKDPQKRPIPKGHVLTIIGATEHWASSSNRMKAHMREVMSLGLQAPAPTDVKPKWTITFDSNEDIETNEGNDLIVVTAMVNNFTTDRILVDSGSAVDIIMYDAYKQHGLSDDYLEPSKPIYGFNLTPIPTKGTAYLSVCIGDGEHILNFMHTFVVVDLPSSYNCLIGRPLMKKTKMVDAVYCLTVKFPTPSGIGYMRSDLSMARRCHVTSLRLPTRERTKNQLREAMSGGQPTRHARSSGVTDMEVCTLALLTPEQSSGLPSISSMLGPDEQLDLTEFLQDNADVFAWTAAEMLGIDPRVASHRLNVDPTFKPISSGRYSTQSGCRTSSWLRSRIGNGECALTSPTSTRRARKTASPYPQSIGWLMPHQATNSLASWTPSLGITRFPWTQGIRRRRPWSEEHRGNISKAGKQSLQRDDQEIDGSIRRRHAHKKCNDGRSPATLSRVLSPFENVPYEAEPRQVPNEGETMYMYLAASNETVATVLVKDTDKGQTPIYYVSRILQGGEVNYSKLEKLAFALVIASRRLRQYFHSHKVVVLTDQPLKDVQQKVDLSGRLLKWNVELSEFGIEYQPRRAIKAQPLADFVAECTFTDGPALRERPANAEQTEPQTDAPDEPTVSPDGPPMPLPPEGCWELFVDDSSVKDGAGAGVLLISLDSQEFQYCLTFGYSLSNNAAEYEALIAGLEMAVRLGVEELKAFTDSQLIANQILGLFEIEEPSLIAYQKMVQNLWPRFVKASIEQVPRSLNTKADALSKLASSNTAAARGTVFMEYMAKPRTPAQAQTMMINGVGPCWMDEIVQYLKGTSPPADNKTMAAWQQRSSRYILIDDVLYKKSFTTPLLRCLSPPEAREVLKDIHQGSCGNHTGGRSLAQKALKQGYYWPTMKNDAADFVRRCDKCQKFAKIPRAAAEELNVIAAPWPFAMWGLDLIGPFPLATCKRKFAIVACDYFTKWVEAKPLASITRFEVEKFLWQNILCRFGVPQIIVSDNGLQLRAKHIQTFCRKNKIALVASSVVHPQTNGQVEAANGKILTALKKKLGEAKGKWAEALPAVLWGIRTTSHTGSGETPFNLALGTEAVISAEACIHTFRTSHFYLQSNEDELRTNLDLLEEARLTAQLRQANRSMQVSRYYNKRVRQRQFQAGDLVLRNCEASVPTSERKKLSPNLEGRYQVERVISRGAYKLRDLDGRQIPRTWNAIHLKKRHPQTAEHLDWCPRIIPSVVEHPSRRHPQMAEHLDWGSHITPSMVERPSRRHPQTAEHLDLCPRVTPNEVERPSRRHQQTAGHLDWCPRITPSVVERPSRRHPQMAGHLDWCPRITSNSVECPNRRFRGP >OMO89415 pep supercontig:CCACVL1_1.0:contig08724:28921:32734:1 gene:CCACVL1_07848 transcript:OMO89415 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear ribonuclease Z isoform 1 MDQRTQKSKNPESGKEENNEKKKKKGIQIQGYQVEGLSIGGHETCIIFPSLNLAFDIGRCPQRALSQDFLFISHGHMDHIGGLPMYVATRGLYGMKPPTIIVPTAIKEDVEKLFEVHRNMDHSELKHNLIGLDVGDEFFLRRDLKVRAFRTYHVIPSQGYVVYSVKQKLKEDYLDFSGNEIKNLKSSGVEITYTITSPEVTFTGDTMSDFIVDAANSDVMRARILVVESTFVDNSVSVEHARDYGHIHLSEIVNHADKFENKAILLIHFSARYAIEVCPYGPEYAIYLIYKSCNNFKTIQEAVSALPSPLAGRVYALTEGF >OMO89417 pep supercontig:CCACVL1_1.0:contig08724:35426:38480:1 gene:CCACVL1_07850 transcript:OMO89417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLAGKLRHFDLAWHLIDSMKAKNVNISFETFSILIRRYVKAGLFAEAEHAFNRMEDYGFGRQKEPKPKSKL >OMO73124 pep supercontig:CCACVL1_1.0:contig11299:6697:7296:1 gene:CCACVL1_17457 transcript:OMO73124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MAKVIFLILASEIILLLSILSSSGLIQCFGEEDDHGFVRTLDPKLLGLSARKEKLSHFQVYWHDIVSGRNATSVRVVQPTNLTATGFGLISMIDDPLTEGPKLSSKMVGRAQGFYALSSQEEVGLLMSMNFAFTQGKYNGSTITILGRNTVFSKVREMPVIGGSGLFRFARGYVQARTHTFNQKTGDAIIQYTCYVFHY >OMO58821 pep supercontig:CCACVL1_1.0:contig14123:47999:51123:1 gene:CCACVL1_25339 transcript:OMO58821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MEEAHQLTKWEGYIDWRNRPAMRGQHGGMLAASFVLAFLLALLGGFLADAFFTTYCLYLTSAAIEFMGLLILTVQAHIPSLKPPTCAIASSEISCQKVDGQKAAMLFTGLYLVALGVGGLKGSLPPHGAEQFDETTPQGRKQRSSFFNYYVFCLSCGALIAVTFLVWIEDNKGWQWGFGIATATILISIPVFLLGSPIYKTRIPAGSPITTMFKVLAAAIYSNCRSKNPSNAVMGMETSPSYTTETSEGEESDTKVKVPSPALTEDLKFLNKPIIEGPVNPMLQCTVKQVEEVKVVLKIIPIFMCTIMLNCCLAQLSTFSVQQAATMNTKIGSLKVPPASLPVFPVIFMIILAPTYNHVIIPFARKVTKTEMGITHLQRIGAGLVLSIIAMAVAALVEIKRKKVAFVSGLLNSADPLPISFLWIALQYLFLGSADLFTLAGMMEFFFTEAPTSMRSLATSLSWASLAMGYYFSTVLISIVNNITSKFRHTPWLSGSNLNHYHLERFYWLMCILSSLNFFHYLFWATRYKYRKPIPHD >OMO58820 pep supercontig:CCACVL1_1.0:contig14123:146:1059:-1 gene:CCACVL1_25338 transcript:OMO58820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEDVNRANRGSRSFFRALDQEENGDEDLDEYFHHPEKKRRLTVDQVQFLEKSFEVENKLEPERKIQLAKEVGLQPRQVAIWFQNRRARWKTKQLEKDYDALQASFNSLKADYDNLLKEKDKLKQEVVQLTDKLLVKEKEKGNSEEPQQKLVVAEQESKVSMAVGCKQEDMSSAKSDIFDSESPHYTDGVVHSSLLEAADSSYAFEPEQSDLSQDEEDNLSKGLLHPPPIPYIFPKLEDDGDYSDPPATSCNFGFPLEDHAFWSWAY >OMO89525 pep supercontig:CCACVL1_1.0:contig08688:13192:21894:-1 gene:CCACVL1_07783 transcript:OMO89525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESHLDSLYEQVMAMEEYSENDLQRCFDINSPEVLLTPTYLAIVMEYAAGRDLFKRIYMQ >OMO89526 pep supercontig:CCACVL1_1.0:contig08688:29044:29250:-1 gene:CCACVL1_07784 transcript:OMO89526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRTLKEAPFHLKSQDPNLKLLILNKTDVIRNMKTFNLMRATAKPPKTYFFHPQPEADEIRAQNGSF >OMP01640 pep supercontig:CCACVL1_1.0:contig06401:9935:10000:-1 gene:CCACVL1_03045 transcript:OMP01640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGEVSAEEASARSPAVAVA >OMP01639 pep supercontig:CCACVL1_1.0:contig06401:6494:8358:-1 gene:CCACVL1_03044 transcript:OMP01639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQKIPPMVLIMLMLGSQHLMLMKVLGEANVSGLSQNVFSNLKPTCHPLHTHATALLLSNLNAVKKKSK >OMO98837 pep supercontig:CCACVL1_1.0:contig07009:8124:9785:-1 gene:CCACVL1_04035 transcript:OMO98837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHQAQASDGETKFRLGRFGNVNLDDDESSADDTKDPNRKQKNAEVSLVHPSLIFNKNNRSFSYLLTAESDDF >OMO93526 pep supercontig:CCACVL1_1.0:contig08095:26032:28283:-1 gene:CCACVL1_06456 transcript:OMO93526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reversibly glycosylated polypeptide family MATPSVKPTPLSPPLKDELDIVIPTIRNLDFLEMWRPFFEQYHLIIVQDGDPSKTIRVPDGFDYELYNRNDINRILGPKASCISFKDSACRCFGYLISKKKYIFTIDDDCFVAKDPSGNDINALQQHIKNLLTPSTPHFFNTLYDPYREGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPLERNTRFVDAVMTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCMKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEKAIPFFQSVSLPKDCTTVQQCYLTLANEVKAKLGELDPYFVKLADAMVTWIEAWDEVNSPGKKPAKLPNGTSK >OMO93527 pep supercontig:CCACVL1_1.0:contig08095:31233:31680:1 gene:CCACVL1_06457 transcript:OMO93527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDAGGFEHFIFKKKFLTE >OMO93523 pep supercontig:CCACVL1_1.0:contig08095:5782:9009:1 gene:CCACVL1_06453 transcript:OMO93523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MDLPVDSYARSRKALIGGWDLKPSTDFEAVESMELMDFGFADMNKRPFYGNTSMGIFGAEFGNDSAKRVVSPTCMFTSSSYYGDEESGSKHSSSLMESNSQESSLIDLKLGRLADYRDAHDGNFLKETSVVSSVRPALMAKKPRSSYSHTPCCQVYGCNKDLSSSKDYHKRHKVCEVHSKTAKVIVNGIEQRFCQQCSRFHVLAEFDDGKRSCRKRLAGHNERRRKLHFNSLSGKRHKMLHSYQGTKFLGNSIPKRMPFVIPNMFQGDFVYEERNEQANQFQLVKSEKKPICSPQSAIPITNGQLGAKSFFHMHGSGKQCVPGTFSSPTEGFNAPNAASTVKDSSGVSRSGCALSLLSAQSQDLSSHATGIQMTRPLINQAGRVYHSFEKSAGVSSLEKANGVYTCEMNPMGVVQAGTFMVSDAGYSSNFEVQADGYFQDSDLLSARYCLSPENGTTVDLLQLSTHLQRVERQRNSVVKQENEDLCYFLAT >OMO93524 pep supercontig:CCACVL1_1.0:contig08095:12434:13952:-1 gene:CCACVL1_06454 transcript:OMO93524 gene_biotype:protein_coding transcript_biotype:protein_coding description:YABBY protein MNLEEKATAGMDLVPQTEHLCYVRCNFCNTVLAVGIPCKRLLDTVTVKCGHCSNLSFLSTRPPLQGQCLDPQTSLTLQSFCGDSKKTGAQSSSPSSSTSSEPSSPKAPFVVKPPEKKHRLPSAYNRFMKEEIQRIKAANPEIPHREAFSAAAKNWARYIPNSPAASVSGSSSNVFILGFESDFAGIKEE >OMO93525 pep supercontig:CCACVL1_1.0:contig08095:18132:24796:1 gene:CCACVL1_06455 transcript:OMO93525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKEGEESAKSDGLEIISIGSLYIGPWDKKYWSSSRGKDRYPYPVGYQAVRAHNGSTYKMEIDEGPKGPLFMVSCDGQSCSGQTPDIAWDKFQKTGCSNLKIWHGKRFSCKMDGVEFFGFKNPLVQRLLRELVANVNGTAEQSLLSLSYCDEASRMEQDNRSPSICSPPDVLPHLARPQVRKKRSTRCDQNKSVNGTGLKRLRSKDLTYDAEASNLAQGNQGKPKHGFPMTHIASREANNKLSGASALHSKSVQEENYSSAKDSFPSKSVEFFDHQGEKEAESKFVSSQDAKSTGVANHTSKEVRLLDRSRDSELEGFSVPIKTEDRPGDSQVPNDSLDINDVHLCAPDTLDFEDSEKSDFESVGQEMAKLMMTVLLPQAIPLLKESSKKKRETISPSKVFPSVVNSEDIDDVCMKLYESSANVENNFVNKNPKNALNGTGVVDTNDSDLRGILSPVQLSGKDIGAETASTNSTYQAQNKVYTRRKVSKQDYSTRKYTGPLSESIICRDSGYDCATNKSAVTEASLVSTSCQSSEPKPCNRDVFGATAMLEGQSDGLSSEKTTTNCKPEMTVLSNQNQKFVCASEAEDVSCLLDPCVSLERGFQENCGKERLEDRNGCFASCQNQVTSFCDKNRSKGREDQGGLDVNHYRDIELNSDLGGIVNLVGGYFHPLPISSVLMGSQGNQIHICVSCGLLVDKDRTLFIYKVAIEEPRTGCPTFVGYTSVTFPFSEIDLERCGLQFTPDGQFLVLLDSIKTPYCREGKIDCICPICSSGCSNENAVKIVQVNPGYVSLVAKMKTVENLQCILVCKNNYLVAGGKSGRLHLWVMNSTWSAWLEDFIIPAGECIPSCVVELKIIPKCAHLVIGRNDFGEFFVWDILERVIISRFSACGDPVKQFIPISLLSWKPFFSNAAMNGHVDEIIAATKNSFSEENDCSHLPLEGNIAVWLLVSTVTDSDAQHKHSSSHCQENPPSWWRLALLVKDRVILGSTLDPRAAAIGASIDRGIIGRDDGLVSMWDLATGTRLGVLHNFKGGSRVSCIATDDLRPDVVAIAADNGQLLVYLHNHEKFANKQEK >OMP07090 pep supercontig:CCACVL1_1.0:contig04679:360:458:1 gene:CCACVL1_01378 transcript:OMP07090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDMPYPPGVEAPVVEPVGEIGSPDEIESER >OMO87202 pep supercontig:CCACVL1_1.0:contig09284:3889:3990:-1 gene:CCACVL1_09207 transcript:OMO87202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AELMDEGCFDSIVEGCQGVFHTASPVFLSTADPQ >OMO79978 pep supercontig:CCACVL1_1.0:contig10357:15818:17812:-1 gene:CCACVL1_13249 transcript:OMO79978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase FMO MAMERQVAIVGAGVSGLIACKYVSSKSFLPIVFETEGSIGGVWNKMVEPIIDFEGISEEEMQYWSLWNGNGEPFSNKGKWKVIVEDLKTQSSEIYQVDFVILCVGQFSGVPNIPDYPPEKGPDVFSGKVVHAMDLAAMDDKEAAEFIKDKKIIIVGFQTSALDIAMECAAANGVEKPCTVVYRSAHWKVPRSYPWFVSLAFTRFAELMAHKPGEGLFLSLLATILTPLVSDKFYDRVDEGKIKLKKAPNFSFCSNGVLVDGETTPIGADLVILATGYRGENKLRDIFVSHFFQKFIAGTPDAAMPIYRECIQPRIPQLAVIGFSEGYSSIWTSEMRCRWVAELLDGTFKVPSIKEMEKDAIEWDEKLKLYCGSYYRTKCIGALHIWYNDQLCKDMGWNPKRKKGFFAELFENYGPLDYASPS >OMO79981 pep supercontig:CCACVL1_1.0:contig10357:30931:32979:-1 gene:CCACVL1_13252 transcript:OMO79981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MGKSSFLRNKYWVLRHGKSIPNEKGLIVSSLENGIRSEYQLASEGVEQARLAGELFLKELKENNIPLENVRICYSPFARTRHTAEVAASVLNIPFEGPQCQVIDDLRERYFGPTFELLSHDKYPEIWAMDENNPFTKPEGGESVDDVASRLTSAMATIESEYQGSVILVVSHGDPLQILQTILNAASEQTESSCSDLALKIKAVRTPSILSQHRKFALLTGELRAVL >OMO79987 pep supercontig:CCACVL1_1.0:contig10357:56955:57920:1 gene:CCACVL1_13258 transcript:OMO79987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MNQNIDSDLGFRFPSSPTQPNTPDLPSSSSIPVNYDPNPRKKRSKLTKNDAGQFATSSSTGSRPKYTKKPDPSAPKITRPCTECGKKFWSWKALFGHMRCHPERQWRGINPPPNLRRPVSPVRQTNNFEALMTEEDHDIAASLLMLANGVAAAAAVASESECGTSYELLGVQETDHALGSNSRFECSSCKKVFGSHQALGGHRASHKNVKGCFAITRSDGFEVEGDDYGLVKENVEDNSKMMMVLGHKCSICLRVFSSGQALGGHKRCHWEKADETSLNQGLNLLATRGDCGLDLNLPAAAAAPVENDSSSGLALDLRLGL >OMO79983 pep supercontig:CCACVL1_1.0:contig10357:37064:38935:1 gene:CCACVL1_13254 transcript:OMO79983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate and isopropylmalate dehydrogenases family MYTSRRSVHLLKTLAQKSQARTVTYMPRPGDGAPRPVTLIPGDGIGPLVTNAVEQVMEAMHAPVYFEKYEVHGDMNRVPPEVIDSIKKNKVCLKGGLRTPMGGGVSSLNVQLRKELDLYASLVNCCSFQGLPTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPSIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGKEKLVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGKHHTKDLGGSSTTQEVVDAVIAKLD >OMO79976 pep supercontig:CCACVL1_1.0:contig10357:10350:12300:-1 gene:CCACVL1_13247 transcript:OMO79976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase FMO MAMERQVAIIGAGISGLLACKYALSKGFHPIVFESQNGVGGVWTKTLQTTKLQTPKPVYQFSDFPWPDSVTEVFPDQHQVFDYIESYAKHFDLLKHIKFNTKVSGIEYEGPPDAEMQSWSLWGGNGEPFSAKGKWKVMVEDLKTLSTEIYQVDFVILCVGRFSGLPNIPEFPPKKGPEVFQGLEKPCTVFYRTAHWNVPDYLPWGFSLAHMYLSRFSELMVHKPGEGFLLGLLATILAPLRWAYSKFVEADIKRKLRLEKHGMVPEHSFLHEISSCLISTVPENFYDKAEEGKIKLKKAPSFSFCQNGVLVEGETTPIEADLVILATGFQSEKKLRDIFVSQTFQKHIAGSPDAAIPLYRECIQPRIPQLAVLGFSESISNLYTSEMRCRWLAELLDGTFKLPSIKEMEKDVAKWDEYLKTYSGKYYKRKCIGALHIWYNDQLCKDMGWNPKRKKGFFADLFEPYGPLDYATS >OMO79984 pep supercontig:CCACVL1_1.0:contig10357:43504:45976:1 gene:CCACVL1_13255 transcript:OMO79984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MERPIVMDSSSSNNGDASTEAIVGELMEVIGTVGSYGGFRKTQRKECLNLVRRLKLLVPLLEEIKELDESVSGLALNSLSNLKKALLGAKKLLKNCNYGSKIYLAMESEAVMCRFHAVYDKLNQALDDMPYDELGISVEVKEQVELMRMQLKRAKRRTDTQDMELAMDMMVVFSKEDDRNADIAILERLATKLELHTIADLKAETAAIRKLVKQRGGQNDTIKQILDLLGKFKQIAGIDESVSLDGPISTRTLQRCQSSLIPHEFLCPISLEIMTDPVIVATGQTYERESIQKWLNSNHRTCPKTGQILDHLSLAPNFALRNLILQWCEKNNFELPKKDSYASFENYSAELMEEISTLVQNLSASQPDVRRDAIVKIRMLSKENPENRIFIANNGGIPRLVQLLSYPDSKIQEHTVTALLNLSIDETNKRLIAREGAIPAIIEILQNGTDEARENSAAALFSLSMLDENKVLVGKFNGIPPLVDLLQNGTIRGKKDAATALFNLSLNQANKSRAIKAGIIPPLLHLLEDKNLDMIDEALSILLLLVSHPEGRNQIGRMSFIKTLVEIIRTGTPKNKECAVI >OMO79989 pep supercontig:CCACVL1_1.0:contig10357:74162:77149:-1 gene:CCACVL1_13260 transcript:OMO79989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTKLTQALLKNSKNPKLAWQLFKRIQSSPTNPSFLPSVPTIARILITAKMLPEIDHLHRLLLSSQPQQGYQLFVEQLLVGGQLSEAKLVFEAALNRSFYLGGFLYKDLIEKLCKDEKLEEASEILHKMIKRGYKFDPASFMPVVDELGKRGHKHEADELAEKMMEMASDGRKGDKIYPNARESIRRKGTTVGADDWHTIVHRDDGSGIALKALKRVQKGWGQRSVSHLQPHKNEFLDHWESGG >OMO79975 pep supercontig:CCACVL1_1.0:contig10357:5358:6740:-1 gene:CCACVL1_13246 transcript:OMO79975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLSGLIVGVYLWKLGSSAHKILKGICEKHKHAPAYKLTDDIWNLIFQRLPLVDRINAGAVSKQWFSITSKIPCNQPVWILLPPDKDLGPGEISFFDLCDGTFGKMKLPDGCHPLGTCRGWFATISFGTNWKSNKIQLFDPVSRIQIPLPPLSTITEQIPSWELGTDFLASAVDMSSNDASESVVAACFAQSKILALCRPKDKKWTVFTGLGIDNLVHERYRYTIMKFCNGILYALIAPGNEDDTLQFQTYSMKLPGDDRDVVLKLINLSWFITNIHPVLLELPWIQEQEDDDDVLVLRNASINYGLVESNGEILVVDKIEDAIMKIPEHVDHDDEPEDPYFRIARFEVSKLEASDDALWLTKLSNLNDQTLFNDAVNLVSMPATGRNFGKNCVYFLDNPVSYMQQGWEPAAFSRESGVFYLKDGRIERPFPSLEDPKNSRYYWFFPNIKHQLKFSTTD >OMO79986 pep supercontig:CCACVL1_1.0:contig10357:54509:55922:1 gene:CCACVL1_13257 transcript:OMO79986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQTIDSKFSEYGLRNPENNSPTCDKQPLVGAKKTPLRDLQNGNRIVPNYIGSSPFSKERGPIIDPIMVSGNKRPSPESPLRNSTANGHLVYVRRKSEAELGRSSAFDGTSISNCQQVRQAGQQEDINQEKTQIKEPKLPCFPAFAPLPMTSYTSSSAKPSVPLPLGKSAMRLASAESNQHPVVSAAPLFDSPKEYKKLHWEERYYQLQILLKKLDQSNLEDYNQILRSLSAVELSRHAIELEKRSIQLSLEEAKEVQRVGMLNVLGKTMKTAKAPSSQPDQSCK >OMO79982 pep supercontig:CCACVL1_1.0:contig10357:33611:35822:1 gene:CCACVL1_13253 transcript:OMO79982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1-like protein MALNLRQRQTECIIRMLNLNQPVNPTGTANEEVYKILIYDKFCQNILSPLIHVKDLRKHGVTLYFLIDKDRKPVHDVPAVYFVQPSQSNIQRIVADASRSLYDSFHLNFSSSIPRPLLEDLASGALNSDSIHRISKIHDQYLEFVTLEDSLFSLSQKSTYVQLNDPSAGDKEIEDIIERVVSGLFCVLSTLAVVPIIRCPRGGPAEMVASALDQKLRDHLLSKNNLFSEGGSFVTSFQRPILCIFDRNFELSAAIQHDFRYRPLVHDILGLKLNRLSVPGEKGGMKSYELDSSDPFWMANGSLEFPEVAVEIETQLNKYKKDVDEVNRRTGGDVGAEIDGTDLIGNTKHLMNAVNSLPELTERKQVIDKHTNIATVLLGEIKERSLDSYAKKENDMMVRGGIDRNELIGVLKGKGSKIDKLRFAIMYIISSETINPSEAEAVEAALRESEVDTSAFQYVKKIKSLNVSLASANSASRNNIVDWAEKLYGQSISAVTAGVKNLLSSDRQLALTRTVEALMEGKPNPEIDSYLVFDPRAPKSSSGSSGSHLKGPFKEAIVFMIGGGNYVEYGSLQELAQHQQPVKHVIYGTTEILTGMDFVEQLALLGQKMGLGSTAATSSATH >OMO79974 pep supercontig:CCACVL1_1.0:contig10357:875:5121:1 gene:CCACVL1_13245 transcript:OMO79974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MTITRFKGPTVSSGLTNRKREWNNGKKSTPCHSSLNQTEFCKFRKEIGCADGARNCHLSAVHRNSLLSPLVAHRSSRFPGFRNQVSSSFDVEDVEEETLSLNEEEDTPLLQSTENVDASEVKGASVDVKRELIMLSLPAIAGQAIDPLALLMETAYIGRLSSVALASAGVSISIFNIVSKLFNMPLLSIATSFVAEDISKNAIQNLSAAERKQLSSVSTALVLAVTIGILEALVLSLGSGPFLNLMGVPSTSDMYAPAKHFLSLRALGAPAVVISLALQGIFRGFKDTKTPVFCLGVGNLSSIVFFPLLMYGLGMGVTGAALSTVLSQYIVAFLMIKYLNQRVLLLPPKMGALQFGSYLKSGGFVIGRTVAVLITMTLGTSMAARQGSLPMAAHQICMQVWLAVSLLTDALAASAQALIASYVSKGEYKSVKEVTNFVLKIGFFTGVLLAGILGVSFGSLATLFTQDAEVLEIVRTGVLFVSASQPINALAFIFDGLHYGVSDFPYTAYSMMVVGVLSSAFLIYAPRVLGLRGVWLGLTLFMGLRMMAGFIRILSKTGPWWFLHKDLERAELSVCS >OMO79977 pep supercontig:CCACVL1_1.0:contig10357:12897:15421:1 gene:CCACVL1_13248 transcript:OMO79977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVWAIGQAAKGASARNCSKTLRKRTFGELIPPTAR >OMO79988 pep supercontig:CCACVL1_1.0:contig10357:68275:72208:1 gene:CCACVL1_13259 transcript:OMO79988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MDLDFMDELLFEGCWLEASDGFNFMQSGPSTATGLNDPSQCLPISGGSNTAPFGVNSHHQMPEGETEKKVFSNPSGSQIGDFSKSQSQNWAVGTSLSSPGNFIVEDTEMGSRWWIGPEAGSGSASSVKERLMQAIGYLKECTKERDVLIQIWVPVKKEGKNVLTTEGQPYSLNTNCKSLEFFRNASKSYSFPAEEDSKAVGLPGRVYLGKLPEWTPDVRFFKKNEYPRVDFAHQYNVGGSLALPVFERGSGTCLGVVEIVTTSQKTNYHPELEHVRKALEAVDLRSSHYFTQPSVKAYSELNHATLPEIAEVLRSACKTYNLPLALTWAPCVSHGKSGCRHSDENIYRCVSTVDSACFVADENCHDFLEACSEHHLFRDQGIVGRAFTNNKQCFATDITSFSKTNYPLSHHARMFELRGAVAIPLQSIFTGSTEFVLELFLPKDCHDSEAQKHMLNSLSSFMQQACQSLHVVMDKELEEEVILPVKEMAVCSDGRSDREEIQFGTSSSKESSPEESSWIAHMMEAQQKGKGVSVSWEYQKEEPKEEFKMTSHWEDTQLELYNKQVLSDFEHLHQNAGTKISIEGGGADSSSSGGRRISSGKRSGEKRRTKMEKTISLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLRKLQLVIDSVQGAEGAIQIGSFYSSFPELSSPNFSGNGPSSSLMISDHSKPSEPKLENGLFSQGAAAPKSPSSSCSQSSGSSTCCSTGAKQLSTSINAVGSADGLPVEDPGGALKRALSDVDLHALNVEAPKLLARSQSHKTFGEHPNFETLLPPLPKTGGQNLRAGGTMRVKAMFGEVKIRFSLQPSWGFRELQQEIAKRYNIEDVNRFDLKYLDDDNEWVLLTCDADLEECIDIYKSSPSHTIKISIHQASNPNLGSSFGSSAPL >OMO79985 pep supercontig:CCACVL1_1.0:contig10357:46810:51265:1 gene:CCACVL1_13256 transcript:OMO79985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEGDSSEPRLGGNGGGEDEGVMINIRCSNGTKFTVRSSLESTVGSFKALLAQNCDIPADQQRLIYKGRILKDDQTLQSYGLQADHTVHMVRGFAPSSSTPPAATTNVGTPNTTPGVTRGVGSNDAAGLGASLFPGLNPLGGGAGGGGGGGGGFGLFGAGLPEFEQVQQQLTQNPNMMREIMNTPAIQSLMNNPELMRSLIMSNPQMREIIDRNPELGHILNDPSILRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNATTMGGNTGNAPGSNPFAALLGNQGGSQARDSPNNSTTTGSEGQASPNTNPLPNPWSNAAAGGGGGTQTNTTARSNPAGDARTPGLGGLAGLGFPDMPSMLNGMPDASQLTQLLQNPAVSQMMQSVATNPQYMNQIMNLNPQLRAMFDLNPQLRDMMQNPEVLRQMFSPEIMQQMLALQQSLLSQLNPQQSTQDSAQGGATPGTVPPEELYATQLSQLQEMGFFDTQENIRALRATAGNVHAAVERLLGNSGQ >OMO79979 pep supercontig:CCACVL1_1.0:contig10357:19370:21420:-1 gene:CCACVL1_13250 transcript:OMO79979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase FMO MAMDRQVAIVGAGVSGLIACKYVLSKGFRPIVFETEGSIGGVWNKMVETTTLQTPKDLYVFSDFPWPSEVKEPFPARDKVVDYIESYAKHFDLLKHIKFNTKVVSVDFEGTSEEEMQYWSLWNGNGEPFSNKGKWKVIVEDLKTQSSEIYQVDFVILCVGQFSGVANIPDFPPKKGPEVFNGKVVHAMDLAAMDDKEAAEFIKDKKIIIVGFQKSALDIAMECAAANGVEKPCTVMYRTAHWKVPRNYPWFVSLAFTRYAELMAHKPGEGFFLSLLATILTPLRWFYAKRIENGIKKKLNLEKFGMVPNYSLLQDVSSCMIATLPDKFYDKVDEGKIKLKKAPNFSFCPNGILVDGETTPVEADVVIFATGYRGENKLRNIFVSQTFQKLIAGTPDAAMPIYRECIQPRIPQLAVIGFSEGFSTIWTSEMRCRWLAELLDGTFKVPSIKEMEKDVAEWDEKLKLYCGSYYRKKCIGGLQIWHNDQLCKDMGWNPKRKKGFFAELFENYGPLDYASPS >OMO79980 pep supercontig:CCACVL1_1.0:contig10357:26769:30161:1 gene:CCACVL1_13251 transcript:OMO79980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic solute transporter Ost-alpha MYLIFEHLSSYKNPEEQKFLIGVILMVPCYSVESFVSLVDPSISVDCSILRDCYESFAMYCFGRYLVACLGGEERTIQFMERLGRASTKTPLLGLDCEKGTVKHPFPMNYILRPWKLGQWFYQVVKFGIVQYMLIKLLTAFLAVILEAFGVYCEGEFNWGCGYPYMAVVLNFSQSWALYCLVQFYTVTHEELAHIKPLAKFLTFKSIVFLTWWQGVAIALLDALGLFRSAIAEGLQLKTSVQDFIICIEMGIASVVHLYVFPSKPYELMGDRNIPGSVAVLGDYASVDCPLDPDEVRDSERPTKLRLPQPDLEARSGMTIKESVKDVFIGGGEFIVNDVKFTVNQAVEPVEKGITKFNEKLHKISQNIKKHDKEKRKTKDDSCIATSARRVIRGIDDPLLNGSFSDSGVARGKKHRRKSGYTSAESGGESSSDQSYSGFQIRGRRWVTKD >OMO94419 pep supercontig:CCACVL1_1.0:contig07890:19995:20063:-1 gene:CCACVL1_06012 transcript:OMO94419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSYRYRCSNRRRTRSIPNFNTP >OMO87760 pep supercontig:CCACVL1_1.0:contig09180:5044:8107:-1 gene:CCACVL1_08779 transcript:OMO87760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MKIWLFGALKAPKHRFSTLSSAAKHVDSKMESGSKKWGFQVKQGMKGASTPTVRGVLYMLMDSLNKEDNRAVIPLAHGDPSHFPSFRTAAVAEDAVIHSLRSAKYNCYAPTIGLPAARRAVAEYLNHDLPYKLSPDDVYLTSGCHQAIETALAVLGRPGANILLPRPGYPYYEACASYNGLEIRHFDLLPDKGWAVDLNAIEALADDNTVAMVIINPGNPCGNVFSYEHLKKVAETARELGIVVIGDEAYDKLAFGSTPYVPMRVFGPTVPILTLGSISKRWIVPGWRIGWLVTNDPNGILRNSGITESITKILEISSDPTTFVQAAIPEILENTKEDFFSKNICLLREAADICYTRVNEIPCITCPKKPEGSMAVMVKLNPSMLENIDDDMEFCVKLAKEESVLILPGVVLGLKNWLRITFAVEPSCLEEGLARIKAFCQRHAKKH >OMO87761 pep supercontig:CCACVL1_1.0:contig09180:20705:23150:1 gene:CCACVL1_08780 transcript:OMO87761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNRVSKSLNRIRLQSVLLSLNSRLSLLSRSLTHPRNRNRKCPTKSKSKPRHLDALLNVCDSILGSRLLLLISGFRLLLFILQIFELDVSTSITQSLADFQAILRQQQQ >OMO87762 pep supercontig:CCACVL1_1.0:contig09180:33999:34085:1 gene:CCACVL1_08781 transcript:OMO87762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNDPAPMKNGPKEVRVSDPKDDGGDD >OMO71696 pep supercontig:CCACVL1_1.0:contig11579:24772:25703:-1 gene:CCACVL1_18104 transcript:OMO71696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34Ae MVQRLTYRTRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLPRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKLASKS >OMO71693 pep supercontig:CCACVL1_1.0:contig11579:3113:6987:1 gene:CCACVL1_18101 transcript:OMO71693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILLAALVTLTASQSCLPGGTSCASTNKPCCTAKETQRLIEVSSV >OMO71695 pep supercontig:CCACVL1_1.0:contig11579:16055:16255:1 gene:CCACVL1_18103 transcript:OMO71695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMELMKRKVLLFLFVAMAIVLAAMVTPTASQCIPRSTVCNGLTSPPCCSPYSCKLIGVNRVCAL >OMO71694 pep supercontig:CCACVL1_1.0:contig11579:8818:12350:1 gene:CCACVL1_18102 transcript:OMO71694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVEEVETVPEIVEKVATVAKKVSAQVAENLPDDSKLKKAAMVVEHVSEISTQDAHATTEFIHWKIIFKGYFCGKWYLLSSVLLVFLLMSDLHYPIDWNSSFLEVVFAAEEESQSYNLGWSLDAVGDSDSGGSASWPSMSWCYHAHCYVERDVPTDVYRSLDVVWDSEVAEDATVSLAPFASGITLPSNWEDAERWICSPVLGYGVCKNANNQFQWRPKSISGLIVPPGIAFYSYGAKYFTNLYGANQPTLSWKQRDHICIGVVLGLHYLHTGATQGIIHRDVKTTNFLLDDSLCCPCMQILKGRVSTAVKGCFKYLDPNTSGC >OMO53513 pep supercontig:CCACVL1_1.0:contig15188:3216:3657:1 gene:CCACVL1_28582 transcript:OMO53513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin MNSSSIIKVEAAGTRSIAGKSWDEKGHSKIKEIYISYEDNMINSLQFQYVDENGSLNLSELHGKSTGQRFNIIELNYPTEYITGVSGWRHDSNPSRIISLSIITNKATYGPFA >OMO53515 pep supercontig:CCACVL1_1.0:contig15188:13610:14704:1 gene:CCACVL1_28584 transcript:OMO53515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich receptor-like protein kinase MDSKPVLKTLYSMEAEASQIYTRKLFRMFQDELVHTQEYVADRVNVDGDSKTDGDSKTNGDSKTYKVHEINKEKPVYLKRVFSLPQRYILSRWTRNAKTNGTVDLLRDTLVKNTSESSTLWFNSVMLHSLALSEKATRSSKHYDHAICGIKNLCAELDSLAIDKKVDEDIADSKALDTKDEGNTSCNIITLRDPAHVVTKGRPPSVRKKGVLEKINKKSKTCSICKRKGHTKVTCAKHQVSNNQSDPHTINATEPQSSNQSTDVMPDAYKTT >OMO53516 pep supercontig:CCACVL1_1.0:contig15188:15379:16642:-1 gene:CCACVL1_28585 transcript:OMO53516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Caleosin MAAPNVDGTCGHKHRNMSVLQQHAAFFDQDDDGIIYPWETLRG >OMO53517 pep supercontig:CCACVL1_1.0:contig15188:18183:18521:1 gene:CCACVL1_28586 transcript:OMO53517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MPLYISKPVDASVEMEDLMTVAYTQGTPKTELCTNCQTSGRCAFGKRCKFAHGRERLRLVIHNNRYKSRLGISYDILSEIVDIGEIYQLSILSFSSPFAGLQHRFNPNKMGW >OMO53519 pep supercontig:CCACVL1_1.0:contig15188:27664:37218:-1 gene:CCACVL1_28588 transcript:OMO53519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESKRKIHRKARQIKRKRQTNRNGDGCLKEDTQKHRGSNPGVRLEHILRIDGNFSGD >OMO53514 pep supercontig:CCACVL1_1.0:contig15188:6677:9838:-1 gene:CCACVL1_28583 transcript:OMO53514 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MRLFSSSPSTTSPKPNFTLFSPSQNPNKFNFKTVSPFKTRLPSSSLLSVPPCSSKSSSSSPVLDKEAEKEDSVEAQKEDTFEKVLIVRRPVMDSSGEDGRENEESEAEAENDATKSSSIDAGLEEFAKKMPIFEPERMESGAAQEKPLSVNLDLALYKARVLARKYQYEEAEKILQKCIYYWPEDGRSYVTLGKILIKQSKKAEARVVYERGCQATQGENPYIWQCWAVLENKVGNIRRARELFDAATVADKRHIAAWHGWAVLELKQGNVKKARHLLAKGLKFCGGNEYIYQTLAMLEAKANRYEQARYLFRQATKCNPKSCASWLAWAQLEVQQENIRSARLLFEKAVQASPKNRFAWHVWGVFEANIGNTDMGRKLLKIGHAVNPRDPVLLQSLALLEYKHSTANLARVLFRRASELDPRHQPVWIAWGWMEWKEGNISSARELYQRALSIDSTTESAARCLQAWGVLEQRAGNLSAARRLFRSSLNINSQSYVTWMTWAALEEDQGNSMRAEEIRDLYFQQRTEVVDDASWVMGFLDVIDPALDSIKRLLSFDQDRSNKSEEPPKESGDDENSAQEPLLSPSSGQNMGIDIESGSGFDLDVFIKDKLSLDPSNLDSVMENPEKRAPTRMKPPRRVWRSQKRTTMTFPQPSISI >OMO53521 pep supercontig:CCACVL1_1.0:contig15188:40851:46694:1 gene:CCACVL1_28590 transcript:OMO53521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNEGFSHILKIDMTEVQKSSSSSITDLSKFEIEVQAKFIIMQKYGDVEDDMFAEEGRFYSHVMYEFSREYLIGDGYGAVLDMLKSHLRVPIEPAIVEKLAARVANLATTAPDGGDRVSGMKVEIVAVLSSLPDFTNNDDDSDEEDEGLMTEEEEEDINVADEEDEGLMTEVVEAAGKDCSICLGELTGEGHHGQLDRICEIPDEVLVSILSLIPMKEAARTSVLSRRWEKLWTLCSRLHFDGSKRLPYLLTPHWEIEEMVIEHNLKAMSKRERLNIWEKVWEQVRHMERVDYINWVNHISWNPVMIYKLLMNSKFGLISLMIHIEMTSMDGSCLHLKGKLRDLNCPCQSIYTKTITVLLRSFPSPQITCISHLTSLILKHVDIPDQVLENFISNCPFLECLCVVNSESLIHPRVLADSGSSSPSSLKYVEITYCPNLESLELNSVNLLSLKYEGPKIEISFDNIPNLVELYMKEELPYFTRTTLPLLSTSNNFSQLQRSLHSIKQLELHATVYSTDNSLLGYTALIKACPSLIRLALELTSLNCKKREKFHSKFATFGMAKFARKLTPCSFNKQKGELHLHDADHEDRISELPDEVLVAILSLIPMEEAVRSSVLSRRWEKLWTLCSRLDLDASKRLLNLLKQLKAIEEDMFIEREIMKNEKIWVRICEKLRHKRVDYINWVNHILESCSTPNNLQAIDEFRVGFDLDDEYRDDIDGWVRFAFEKEVKRFELSLSEDIDESYYCNKENSYRLLLQSFPSPQITCISHLTSLKLKHVNIRDQVLENLISHCPFLECLCVVASESLIHPRVLADSSSPSSSSSLKYVEITNCSNVQSLQLNAVNLLSLKYEGPKIEISFDNIPNLVELYIGKGELPYFVRKTLPLLSTSNNFSQLQKLAVGISLFVETKYSAQCTDDSLLGYTALIKACPSLIRLALEEEKRPKNEPHHSLKLVQVTGFVGQTIDIEFCRYLIKNAIMLDKIIINPRYLLRKGTEDEDSYAEKVKAARERAKLFGSKYCLGDKLVIV >OMO53520 pep supercontig:CCACVL1_1.0:contig15188:37648:40343:1 gene:CCACVL1_28589 transcript:OMO53520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNFICNSAIPPRVKVTFGSKKSLQDVKTLIKAIGIPSKSCSPHESLQKGNWVKLICGASFEDVVDIRNLSLVYTLAGVDCIDCAADQSVINAVNEGIETAREIVPIRRPWVMISVNDDEDLHFRKAEFDAENCPSDCSRPCEIVCPANAISIKREKSTVEVLSGTNPTGQLKGGVLTERCYGCGRCFPTCPYNKIREVTYVRDAMATAELLKRNDVDAVEIHTSGRQTHLFRELWDDLGDSVQYLRLVAVSLPETGDETISSMNQMYDIMEPHLSCFNLWQLDGRPMSGDIGRGATRESIAFAVRLTASTERPPGFLQLAGGTNAHTVDGLKKRGLFQTQNTSAESKHLHSLIGGIAYGGYARKIVGRVLSSMQCENGPAGIEHHSQHLLEALKQALDLVGTVKSYTTSNPLVTQP >OMO53512 pep supercontig:CCACVL1_1.0:contig15188:472:2730:1 gene:CCACVL1_28581 transcript:OMO53512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDNSIEDKVLVREFDDCRDIQVVGKLEKNCEIGGSNNNNNKGASIFTNMLGHPLCRIRFFPLHLMLVAELRENGELVGVIRGCIKHVGTKFGEKHVKLGCILGLRVSPRHRRMGIGLKLVRAMEEWLVSNGADYTFLATEKNNVASTNLFTAKCNYRNLSSLVIFVQPISFAMEGNDLSHQDIKVEKLNIEQAISLYNNKLRGNKDIYLTDIDEILKEKLSLGTWVSYFKQDEWLGLHNNNEDTNISTTSTPSSWIMFSIWNSCETYKIHIKKSHPLKFFHETLSHARDKIFPCLKIPLFGSLEKPFGFLFLYGLHGEGERLGELMKSTWSFASRLAENVKDCKVIITELGVSDPLIEHVPHETSMSRIDDLWYLKKVVNRSSSTMDEEINDLAVMGELGNVVVDPRDF >OMO53518 pep supercontig:CCACVL1_1.0:contig15188:20511:26299:-1 gene:CCACVL1_28587 transcript:OMO53518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA polyadenylation factor Fip1 MATMDDDFGELYADVEIQASSALGVLCNEKEDDHPIDGAKSTSADEKFVIDSVSEDSDSDDDLNIVLNDDDCQKFPVSGAKSHAGGNEEDENGDFGVKDSRSDKTSRRLEPVGDEPEFNSSANGVDRGTGAKIGVHSQFSHFKYMRPHPSNMRVNGCTGVSSFSSMSGRGDLEDDFYSQKRGGSLVQVANRRANTSSHAYQFGYGFSLPWYRTIFGVNMDAFEEKPWRNPGVDITDFFNFGFNEDSWKQYCNSLEEFQKQSCRSTRIPVYYYPKLEQAHEAEAGRKAATEEAMSGGVAELESSFKCADGEEMSLKLPKGRAIHVEDSIIERQPSMDLRCPRFQDSDVIIQITVQDSAVDSSISAKEELGHGGKASESRKLDAEYDRSDDLSKRVRNVSTSSSSGRSVQHRTASNQTSLETNNHGNDKLSETDRGCHPNVDACFSEAKAIAEAMETKNKELEVPCRNTDQSDSCIVETEPSLEDQSHFSPMISFSECYSEESSEDSVYAASIEVASPSRRQSLAYDTGLQKSVASYNKSSRSDGPKTKSDDGEGYSVHMTTIPDKQKHKSWRQRHSVKQRMFLESGDDTSPKSDAEDDKKRYPRCRVPIEEGRKHHCGRPNGITDSKIHPKACNDTSLWSNALELYDNDYSSDYCGRQKGCPQDRASHDREDSSYYRERGPCADIHLRSVGTKDHLSLKEDSDQTVRKSWTRKEFYHESRVGIDKEDDTDRFWYCGRRLPAQHGLGPHPYRESGRLISRYSSASKQRGIQWRRGNDRLKLRKKIGHNYCLLGYKHEDEWLRENYGRSIPFAHCERDTFEPYERFLPPIEREVKVSGRKGRYVDAAYFNWDRSWPMESDDEYQRDMCSRALASDREPSTPNRRRWSNTVASRKEAYDSELIETYNRHERIVCHNEDRDSGWFGYYDCNDDGEDDILQNDSQVRSWRRGHSQRSRLLDLKENTLLVSDRLFAQEVSFSCKNNSRHEIIHARDGSLRDEMHINDLMLVNNGNKMTSKRSNANCHKRNAIARYRGEHGQMVLKDRDPADLIIGEGKSYGRHSDGRRLLCNGRLEKIGFKFPMERNSSRTWNDTCGGKAFKTDNPNTDSRRNNEKQLDTFPATECNQDPDIEEGQIISEEQSIGGVNLKKENASETMMQRGRGKRRTVHDNNASANRAVVEYDNKRILETLAKMEKRRERFKDPIITEREPDKTCELQVELVVETKEIKHQRPARKRRWGVS >OMO96624 pep supercontig:CCACVL1_1.0:contig07422:2217:8842:-1 gene:CCACVL1_04856 transcript:OMO96624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MATATASSTFHLLSPPRARALAHAIIFSKATLFQPNSLVNSNFSSFSPSPAPIPTTSFTSPFSLKAQAATPGERQPMVPPYNVLITGSSKGIGYALAKEFLRAGDNVVICSRSAERVESAVKSLTEEYGEQRVWGTQCDVREAEDVKNLVLFAQKNLGYIDIWINNAGSNAYSYKPLAEASDEDLIEVVSTNTLGLMICCREAIKMMLQQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELRMQDVKNVVVHNLSPGMVTTDLLMSGATTKQAKFFINVLAEPAEVVAEYLVPNIRSIPGNGSWKPTYIRFLTGLKAYSQIFSVKK >OMO96625 pep supercontig:CCACVL1_1.0:contig07422:9210:10432:1 gene:CCACVL1_04857 transcript:OMO96625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSLRVGTYTPTAVFRHLLLPLTSIRFLSSTSVKLPKLQPSEEADSLSQILLTQHNPFHAMESSIQLHGISLSPPLLEQILLRLQHSSKIALSFFLYSKSLPTPSPLLSTTSYNLIIDILGKVRQFDVVWQLILEMDQTDNSPDSSTFMILIRRLIAAGLTRQAIRAYDDMGCFVTADLESSDSESVTQNSSFCFCYLLDTLCKYGYVKVAVEIFNKRKSGFRVDSKMYTILISGWCKIGRIDMAERFFNEMMEKGMEPNVVTYNVILNGICRRASLHPDERFDRTIRNAEKLFDEMRQRGIEPDVTSYSIILHVYSRAHKPDLTLDKLKIMKEKGICLNVTTYTSVIKCLCSCGRLEEAEKLLSEMVSDGEDERG >OMO96626 pep supercontig:CCACVL1_1.0:contig07422:12436:21147:-1 gene:CCACVL1_04858 transcript:OMO96626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97/Spc98 MEEFRRRKLPRQGKSSIVVEDHSIEDSSSNGVNHDIIPNAGVETVTSSFGSVNLEMKVPEKQADENPYVLLDENKETTPENREAPPGTQETVCDHGDGAGSRSCSNVARLFGFFVSLGRKGAAISCDEWDLRDAFVAVKPRRNPQPILRLRDIALKEETKINNSNGGTILTLLGLTSAEYLLQIVNEAVPRAYFEPTSCISSAEISVHILDHLYIKLDEACLVQGGEGDIYQMVIHIFVGSLLPYIEGLDSWLFEGTLDDPFEEMFFYANRAISVDEAEFWEKSYLQRMAQTFKLKLDPSAPTHTHNYGPATTNKKETAEKEFVSTSSSIKAKEQNDSGLLCPLFIKDMVKSIISAGKSLQLIRHVPMTSKAPSSKNNDRVDDGFESCNDDYDINEMNPWHGRAGVTLSEIFCVSLAGLLGHCDHISRYFCQGDKYKAEIISSLSSCRKEHIMEYGTAEPLPTSTFSNKIWYNFLVDSLLKKKVLYAEPGNEDLSCSPNDKADNVVLGGEDKFLLQRSFSPENPVLTVCQSILDKNSTSWKALNLSEKFYLPPLNDEYLRKAVFGEKSEEVSGCHDGTNYTLGFQFGESEYLRAQHDTKLLEVLFPFPTLLPSLQDGIRVSELLSFQNNSTLSSRVLCWIQTVQPRTTPLPVVIMQECLTVYIKQQVDYIGSLILSKLMNGWRLMDELVVLRAIFLLGSGDLLQHFLTVIFNKLDRGETWDDDFELNTILQESIRNSADGSLLSAPDSLVVSISKTHGSDGDEQTNTAPVASAPRKTRPHSYGIDGLDSLKFTYKVSWPLELIANSEAIKKYNQVMAFLLKVKRAKFALDKARRWMWKDKGSVRNNRKRHWLVEQKLLHFVDAFHQYVMDRVYHSAWRELCEGMAAAGSLDEVIEVHEAYLSSIHRQCFVAPDKLWALIASRINSILGLALDFYSIQQTLSSGGAVSAIKARCEMEVERIEKQFDDCISFLLRVLSFKLNVGHFPHLADLVTRINYNNFYMSDGGHVMTASSSDSANARQGKAFGS >OMO96627 pep supercontig:CCACVL1_1.0:contig07422:24497:25126:1 gene:CCACVL1_04859 transcript:OMO96627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRQCGGAMLRGSGGCNNDFWPENEKLGRWVIRMGNAMTVTLNPLSHRKSQ >OMO59442 pep supercontig:CCACVL1_1.0:contig13965:6566:8423:-1 gene:CCACVL1_24828 transcript:OMO59442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MAESNEQVVRNKQVVLKKYVNVGLPKESDMEIRESSIQLKVPEGCKDSVLLKNLYLSCDPYMRSRMKKLETPSYVDSFQTGSPMNGYGVGKVVDSTHPDYKKGDLVWGFTGWEEYTITAPFLLFKIEHTDVPLTYYTGLLGMPGMTAYAGFFEVCKPKKGEYVYVSAASGAVGQLVGQFAKLLGCYVVGSAGSKEKVELLTNKLGFDAAFNYKEEQDLDAALKRYFPEGIDIYFENVGGKMLDAVLLNMRIHGRIAVCGMISQYNNERPDPVHNLMYIVGKRIRMEGFIVSDFYHLYPKFLELVIPSLKEGKITYVEDTAEGLESAPAALLGLFTGRNVGKQLVVISHD >OMO59443 pep supercontig:CCACVL1_1.0:contig13965:10541:12716:-1 gene:CCACVL1_24829 transcript:OMO59443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MAESNEQVVRNKQVVLKNYVNEGLPKESDMEIRESSIQLKVPEGCKDSILLKNLYLSCDPYMRNRMKKLETSSYVDSFQTGSPINGYGVAKVVDSTHPNYKKGDLVWGITGWEEYSLIKAAAALFKIEHTDVPLTYYTGLLGMAGMTAYTGFFEVCKPKKGDYVYVSAASGAVGQLVGQFAKLLGCYVVGSAGSKDKVELLTNKFGFDAAFNYKEEQDLGAALKRYFPEGIDIYFENVGGKMLDAVLLNMRIHGRIAACGMISQYNNERPDPVHNLMYIVGKRIRVEGFIVSDSYHLYPKFLELVIPSLKEGKITYVEDTAEGLESAPAALIGLFTGRNIGKQLVVISHD >OMO59441 pep supercontig:CCACVL1_1.0:contig13965:3104:5147:-1 gene:CCACVL1_24827 transcript:OMO59441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISMYMAKMVALAESVFNPLAPVKAFEAKFHMVCGGSAGYRGRN >OMO59444 pep supercontig:CCACVL1_1.0:contig13965:15499:16815:-1 gene:CCACVL1_24830 transcript:OMO59444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCGEDDKNDKGKEKINLDSDKDEEPRPNYENWFNDFERDDEEDNEEDDVESDCEEEGDEEGFEEASEEYDKEAEVHLDSDDGNEELTTSRVTTKTSIAEKKKLRSLN >OMP03536 pep supercontig:CCACVL1_1.0:contig06047:2292:3527:1 gene:CCACVL1_02375 transcript:OMP03536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRSKNKDWAWLPEIPLELILVKLVSLKDFACFGAACKRWNSIANDTRKKLCVLNESRPQPPFLLIPEYGSRYDRLRLYSVTERKILHSQFQNPYPNSYPDLGWVGSSRGWLLVADQSLKITLFNPFSYKAIILPPIPGAAESFSKLRVHFKWFITKAILSADPVLHPHDFEVSLIYGYYFGRRSCRLGVYKSSEKAWTSRLVEDTKFYDIIYHKGKIHLVDDNIGIAQLLVATDHLSRLFTTLVPVIRAPAITTHSRRSRRYLYLVENGDGDLLVIERSFQEKYPNLTRKFEFKKFDPNPEGVPQLVKIKDIGDNAVFLGRHESIVVPTCHFPGLRRNCIYFSTDDLPRERGGDAGIFNLDDGSIERYNNNLPLPLCDDVVWIMPTLTCHDNIKWQTKLSSMIHEELDS >OMP03537 pep supercontig:CCACVL1_1.0:contig06047:7146:16465:1 gene:CCACVL1_02376 transcript:OMP03537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MQSSNVVQPEAILEWLQKEMGYRPLGPYNSSSNKSNLPSIDSLRKICRGNMLPIWHFLLTRVKSEKTVQNIRKNITVHGGTASGGSSGGGGSSESVGNLGKDEGRSKGGGRRKEKVVGSGGAGAGGEGSGAAEIREAAIREREAAAKEVERLRNIVRRQRKDLKARMLEVSREEAERKRMLDERANYRHKQVMLEAYDQQCDEAAKIFAEYHKRLHQYVNQARDAQRSSGDSSVEVINNFSSNGEKEAVYSTVKGTKAADDVILIETTRERNIRKACEYLAERMIEKVRISFPAYEGTGIHLSPQSEAAKLGFDFDGEIPDEVRTVIVNFLRSPPQLLQAITTYTSRLKTMVSREIEKVDVRADAETLRYKYENNTVMDVSSPDVSSPLNYQLYGNGKIGKDVSSRGTQNQLLERQKAHVQQFVATEDALNKAAEARDLCQKLIKRLQGGNDVVPSHSLVGAATQNVGSLRQFELEVWAKEREAAGLKASLNTLMSEIQRLNKLCAERKEAEDSLKKKWKKIEEFDSRRAELETIYTALLKANMVSFSEETKVEKAGHDATAFWNQQPLAAREYASSTIIPACNVVADVSNSAKDFIDKEVSAFYRSPDNSLYMLPSSPQALLESMGANGSTGPEAVAAAEKNAALLTARAGARDPSAIPSICRVSAALQYPAGLEGSDAGLASVLESLEFCLKLRGSEASVLEELAKAINLVHIRQDLVESGHALLNHAYRAQQEYARTTNYCLNLAAEQEKIVTEKWLPELESAILNAQKCLEECKYVRGLLDEWWQQPASTVVDWVTVDGQNVAAWHTHVKQLLAFYDKEGISNIGKMKMSGFSLILLLILMAINSNLSEASHNHKLHSAVVVGTVYCDTCFQSDFPKATHFISGASVAVECKDENSKLSFKQEVKTNEHGEFKVDLPFSVSKHVKKIKRCSVKLISSSEPYCAVASTATSSSLHLKSRKEGIHIFSAGFFSFKPLNQPNLCNQKPSVENSKQANTPNSLGFGGQPNFPAFPPPIQDPETPPPLLPSLPPLPELPQLPPLLPRLPPLPQLPIPPIPARH >OMP03538 pep supercontig:CCACVL1_1.0:contig06047:18126:19390:1 gene:CCACVL1_02377 transcript:OMP03538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MSWVFFLILLLSFIVSSFSEGSHDQKQSAVVVGTVYCETCFQSDFSRNSHFISGASVAVECKDGDQSRPSFRKEVKTDKHGKFKVQLPFSVSKHVKKIKGCSVELIRSSEPYCAVASTATSSSLHLKSRKHGTHIFSAGFFTFKPLNQPIVCNQKPSVHNHNPKLPISGQSVHPNQFLPPNPFQPPLLPPNPLLPPPPPLIPNPFQPPPAPLIPNPFQPPPAPLIPNPFQPPPAPPAPIIPNPFQPPPAPPAPLIPNPFQPPPAPPAPLIPNPFQPPPAPPSPLIPNPFQPPPAPPSRPRSPIFPFPPIPGLTPSPPPPSPPPPEFPFPLPPIFPLPPFPPFPGSPPASTSPKRSSP >OMP03539 pep supercontig:CCACVL1_1.0:contig06047:20104:20646:1 gene:CCACVL1_02378 transcript:OMP03539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKAKLRWKIAVPPIAFYANKSSMLQACIAACLVDTFNAKKATEDLGYFMAVLTMDNIEDGKFRNDGAVVFPVDFTALTFKIFREEILKGVVHKVFKYGVLLKTGPVDKIYLSRCQMQDYHYLSGDNPKFVKNDDEHSKIEKDVVVRFVLIGTRWIAEDREFQALASLEGDFLGPVPSP >OMP07797 pep supercontig:CCACVL1_1.0:contig04184:11731:13702:1 gene:CCACVL1_01223 transcript:OMP07797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPVKYGGHHHLQQQQLMDDDGSSSSSSPVFSISNPHQQQQQSLFHHHQNLFQQQHSSPIPVTHQLFQHPHQFHPFQQQSEPSVHHNHVHQQQQQQQPFLAVDFKLGLNENSVKKDATFLHGNHQNVPENRQPQHQQHSLLNMHMPHCWHPQEDSPIKEPFWKPLNRREDRQCSGEVAREIQGNKYNKVLQQQPGQCTTQRSKNLDNNKYRHFGELEAIYGLAKGGGETAQAGSGSALTGQSINLPARAIEPFNEFRPLKVDNNGRWPRAEVEALIQVRCNLEAKFKEPGTKGAVWEDVSSSMASLGYQRSAKRCKEKWENINKYFRKSKENGKKRSLQSKTCTYFDQLDQLYSRIPIACPTSPSPLTNSDFEMQQQSDSDFLEAYVPERDIIGTTAQVKASGSLKLKVSEIMKSSKLDFDGAVGDNEEVHEESNGKDNESHDNSYLEKEGEQEEDDNDTDMGE >OMO55946 pep supercontig:CCACVL1_1.0:contig14571:5019:6713:-1 gene:CCACVL1_26869 transcript:OMO55946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDLGLVSKDTTWWVFTLPAFLGSKNLLDAYVLFSLFIAFLSLALISWAFAVGGIAWKNGRNNKGTVPIPGPRGLPIFGTLFTLSRGLAHRSLAAMAWTRSNTQLMAFSLGSTPVVISSDPHTAKEILTSPNFADRPIKQSAKSLMFSRAIGFAPNGTYWRLLRRIASSHLFAPKRIVAHEAGRQLDCTIMLRNIANEQKLQGVVSLRKHLQFAALNNIMGSVFGKRYDENEDIDELEELKGMVREGFELLGAFNWSDYLPWLSYFYDPFRINERCLKLVPRVRKLVKNIIEQHRKQNDESKKLSDNGDFVDVLLSLDGDEKLKEDDMVAVLWEMIFRGTDTTALLTEWVMAELVLHPDVQAKLQLEIDGAVAGKALTDADVAKLPYLQAVVKETLRIHPPGPLLSWARLSTSDVQLSNGMVVPANTTAMVNMWAITHDPHVWEDPLQFKPERFLEADVDVRGGDLRLAPFGAGRRVCPGKNLGLVTVNLWVAKLVQHFGWVQDSDRHPVDLSEVLKLSCEMKFPLHALAVEREGNLLP >OMO55945 pep supercontig:CCACVL1_1.0:contig14571:1716:1790:-1 gene:CCACVL1_26868 transcript:OMO55945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIYCLQPGAHLSLSSESDDIKQ >OMP11745 pep supercontig:CCACVL1_1.0:contig00928:394:689:1 gene:CCACVL1_00296 transcript:OMP11745 gene_biotype:protein_coding transcript_biotype:protein_coding description:patatin group A-3-like protein MAPEGKLTTILSIDGGGVRGLIPAAILAFLESQLQ >OMO51891 pep supercontig:CCACVL1_1.0:contig15683:23109:28444:1 gene:CCACVL1_29512 transcript:OMO51891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQNLTKPNNSLTSKPPPPLCTFLFFIILCILGFYSVHYPTRNPKNALLFHQIFLSSATNSTIASYLRSLTSHPHLAGTKPSLETIRYVKTHFENLGLETHTVAFKTLLSYPLHASVSMHFNNGSVFSLPLNEMGTSSYASSGVVQPYHAYSPSGTAQGKVVFVNHGTEDDYRALGLMGVNVNGCIVLVRKGGGLYRGAVVEMARKKGALGVLMYTEGDVSRGSFGFGAERGTVMKEVGDPLTPGWAGVEDGERLELEDNKVLERFPGIPSLPLSFESAQVILESLGGPLAPQEWRDSGPSRLSRVGPGPVMVNFTYQGEKKLATIHNVFAVIRGLEEPDRYVLLGNHRDAWTYGAVDPNSGTATLLDIARRYALLMRKGWNPRRTIIFCSWDAEEFGMIGSTEWVEQNLLNLRAKAVAYLNVDCAVQGPGFFAGATPQLDNLIFEVTKKVNGPDSEAATLYGEWTTMNGSNIERLSGVYSDFAPFLHHAGVPSVDIYYGRDFPVYHTAFDTFNWMINYADPFFRRHVAVAGVWGLLGLHLADDPVLPLNYLSYAEQLQEHKDVLSSFLDASISLTPLATSIQELASAAKRANDEAKRLMKQEFSDDLLALKMRALNDRLMLAERGFLDTDGIKGKEWFKHLIYGPRSSAPHSNHESKLVFFPGISDAIAESTRMGQRERQAAIQHEIWRVARAIQRAADALKGELT >OMO51899 pep supercontig:CCACVL1_1.0:contig15683:89499:97346:-1 gene:CCACVL1_29521 transcript:OMO51899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type VDDGKPAVDRDEDVRTVESSSKMDLIEISDEAATAKSSQTESEWRSKAEEYKMISDLTGFDYLRAMMEGEATVNTRKRRRRRGRWKKKKKKKMSNESLILHFPAQQPLPVNAGKPDEDVRTVEVSKMNLIEISDDEVPHKIIVDADEKMIETVQAVKSRAESNGVEVGSREIIDLCSSSSEDDSFISNISFSKSPQQMVSNKSTINEDGETVRRMELKTDIVQETADAGKKKMQHTKHVVTVKPTEAESTGNTVLQKLLRKPRYFDFPNGGWDGCLRCGEDHPTAPANCTLQKQVKPCFLCGSLQHNGKHCIQGKYCFVCRGRSGHQANGCPENQENDSTQIICLRCGDSGHDMFSCTSDYSPDDLKKIRCYVCNDFGHLSCANIPDTSSTDISCYNCGLCSHLGSECTNLPKVARASNATKLCYRMKAFGVICRSPEPPECETADNHTRKTNYLRLFSLHSSLEETMDFHSLTRKELQTLCKQNKIPANITNVAMADALKALETVEGLDEIMNQSQSPQKTIDNSSQDILSTATRASTRRKTTKEEPQSTQPTTRSRRMTKTTVELDEENRNVNVPETPLMAATSTTTRRRAAVGSTRRKVEAQKEEGSVQHTYGTRRSVRLLEKCMAGLSLKNDEMVEEKKKGQSGAVSEVALARNLSASLEDENDLKDDALENSKSHDNGDNEGTVASEADSQKSSNFDGLSALDAKGASHHEETKESDAYLSKSETKLAYMPDETIDPKGSDDVAVSGDTNEIDNSKEEAVVAENNGGSHANETKSTEVLVAEACKDISEDILDQASSAEFEEPREVESGEDKEQEDEECDEGKVSQDLHEDFVDSSKELGHKASEDVSDIADGFGADSDDDSSFSGNGSSADEQLLKEVSSETDYNCSVDPVNEVALIDVNVAEAEMSAAETSFNNAPQSVAAGGISMNKILDHDDEEAFVDVCIKPAEEFSETTLIIPQQEKSPIAAKLTSPSPSLSLASNSEITSFKPLSPPPLTAQSSQPTRFTPRKSSSRKQATDPKTVPISDINKENIDNNSEKEVVEPRAKVMEKPTQNFDAMSIRGLKKLIKKLDKLQIAENVKNKEEKNDNKQPFGKTRPALQLLPQNCMPCGETEKEN >OMO51896 pep supercontig:CCACVL1_1.0:contig15683:75748:78311:1 gene:CCACVL1_29518 transcript:OMO51896 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methylase, N-6 adenine-specific, eukaryotic MEEELRTHINDVVKETDDDDPPALSSHALAALQEFLQEQSQSLANHETVETEGGGTGPGPESEVALVTEDWRLSQFWYEPETARTVAQEVNSLCNGSNYKVACIACPTLYAYLKNMNPDISAQLLEYDKRFEQYGSDFTYYDYNQPEDLPLELKHSYQVIVADPPYLSKECLEKVTQTISFLVRPQESYLLLLTGEVQRDRAAELLGLHPCNFRPQHSSKLGNEFRLFTNYDPGERLGGWEQEK >OMO51901 pep supercontig:CCACVL1_1.0:contig15683:103985:106803:-1 gene:CCACVL1_29523 transcript:OMO51901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Optic atrophy 3-like protein MILPVVKLGTLALKTACKPIANRLKKEAGLHPRFRQFIINIAQANHRFTTRMQRRIYGHATDVAIRPLDEEKAVQAAADLLGELFVFTVLYAYCYDVNECMLKSKRIDAVVAGAAVIFEVQRSSRAEARKEEQRKQELQAMKQRDEDLAREVELLKQKVEEIEQLARGRGLVGLFNFKHAHGTDGKAAPS >OMO51895 pep supercontig:CCACVL1_1.0:contig15683:73902:75161:1 gene:CCACVL1_29517 transcript:OMO51895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFWMTDKRYFPLMASDVAIRLDLIVRLHGIEHAENYFNSVPKQLRGLKVYCALLECFAFCNYQKLDSLMHEMEEKGIPCSIHTYCTFLSAYAAQRNVDGIDNMLQKVQSGCNIALTWNFYSTAGDFYIKAGHFDKALTMLKKSEELCQGNSTAYNYLLTQYATLGKNEEVLRLWELYKTNTIVYNKGYMFIISSLLRLDDIESAEKIFDEWESQIPVTLKYDIRIPNLLLGAYSRKGLLEKFESFASRITLKGGKPDVRTWYYFATVLLQRNEMEKAVNAMKEALLISQPWWKPSDKSLAACLKYLKGKGDVDEAAKFINLLGDKDIISAEVQVKLLSYVKDGNVDSTLDGLLMLDGDALHESGEIHQTSEVDKDSVDCKPK >OMO51894 pep supercontig:CCACVL1_1.0:contig15683:49268:50848:1 gene:CCACVL1_29516 transcript:OMO51894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAPLNGLFRRISPLGNPSISVVPVLDQWVAEGNPIDENELHFCIRRLRFHNRYSQALEISFWMTDKRYFPLTASDVAIRLDLISKAHGIEQAENFFNNSVPNQLKGFKVYSAFLRCYVRIKSVKKAEALMQRMRDLGLLRYNLPFNSMLTLYYNTGNYKKLDTLMQEMEERDIAGDSCTYSICLSAYATQCNVDGIDKILEKVGSVSTLTPSWDFYSVATDAYMKVGHMDKALAMLKKSEALMLIGGESGTLAYNCLLTQYAKLGKKEEVLRLWDLYKTNMIVYNKGYMFIINSLLKLDDIETAEKIFYEWESQVPVTLEYNIRVPNILLGAYSRKVNAMKEALLISKPGWKPSDESLAACLKYLKGKGDVDEAAKFINLPGDKDIISAEVQVKFLSYVKDGNVDSTLDGLLMLDGDALHESR >OMO51890 pep supercontig:CCACVL1_1.0:contig15683:15464:17989:1 gene:CCACVL1_29511 transcript:OMO51890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MEDKSSDRIPLINPSAASTETTEGSASKLQTIGNIIVSIVGTGVLGLPFAFRIAGWLAGSLGVIVAGLATYYCMLLLVQCRDKLASEKESPGTTTYGDLGNRCMGKFGRYLTEFLIFISQCGGSVAYLVFIGQNLASIFKGHGLNNASYIFLLAPIEIGLSWIGSLSAFAPFSIFADICNVIAMGFVVKEDIQQAISGDFSFGDRKAITDNIGGLPFAGGMAVFCFEGFGMTLALEASMKEPRTFPKVLAMAFTWITLVYVSFGIFGYMAYGDETKDIITLNLPNDWTAIAVQIGLCLGLVFTFPIMVHPVNEILEGKLKKNTWFKKLHNNDSDSSITRFGKFGICTSRAVLVIVLAVLASFVPGFGVFASFVGSTVCALISFVLPASFHLILLGSSLSFWRKALDFCILLCGLVFAAYGTYNTIVGVW >OMO51889 pep supercontig:CCACVL1_1.0:contig15683:13479:14273:1 gene:CCACVL1_29510 transcript:OMO51889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTKQKQLAAKHENKKKKKGARLDSSKPNFELDLLGEDGWIIVKKQKVTILIPSLPVATKAKVTNQGPSHVEATTRKEVEDQLSLPVQVSPKLPSGDGQEKTTSVAPKQGIDISRRSPSKHISTLTKSPALGLRVEAENPGQVVTSKSQKIHRVSEASKIIKRPRLMHCPNVPLAGSMFLNRKLRVSNLEKKLQQAGGLSRWLTSLGLGQFVKIFQAKGVNKFQLVNLNMQKLKDMGADAVGPRRKLIHAIDCVCQPFRFESL >OMO51900 pep supercontig:CCACVL1_1.0:contig15683:99856:100822:-1 gene:CCACVL1_29522 transcript:OMO51900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein A MRVMVAIDDSDESFYALQWVLDNPFSRLMTAPPPPAAAAAAGHDSGSGLLTLVHVQQPFQPYGFPAASSAGVSVFYPTSTVVYSVRKSQEEISAALLSRALNMCRDKMIKAETLILEGDPKDKICEISEEMNVDLLVVGSRGLGKIKRAFLGSVSDYCAHHANCPTLIVKPPPKEASSNK >OMO51893 pep supercontig:CCACVL1_1.0:contig15683:32432:43722:-1 gene:CCACVL1_29515 transcript:OMO51893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MTMMTTPPIDQEDEEMLVPHSDIVEGPQPMEVAQVEPASTVENQQPEEPPSMKFTWTIENFSRVNTKKHYSDIFVVGGYKWRILIFPKGNNVDHLSMYLDVADSSSLPYGWSRYAQFSLAVVNQIHHKYSIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVSDTVVIEAEVAVRKILDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPIGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDADKSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVAREEDLAEQIGKDIYFDLVDHDKVRSFRIQKQTPFNVFKEEVAKEFGIPVQFQRFWIWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKAHNAELKLFLEVECGQDLRPIPPPDKTREDIMLFFKLYDPEKGELRYVGRLLVKLSGKPIEYIAKLNQMAGFAPDEEIELYEEIKFEPCVMCEHLDKRCSFRLSQIEDGDIICFQKSPPMESEEACRYPDVPSFLEYVHNRQIVRFRSLERPKEDDFCLELSKIHTYDDVVERVARKIGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEQLSEMLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHATKDEVVIHNIRLPKQSTVGDVIEELKTKVDLSHPNAELRLIEVFYHKIYKIFPPTEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFTKDTAQNQMQVQNFGEPFFLVIHEGETLAEVKVRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSEIVYNRFQRRDVYGAWEQYLGLEHADNTPKRAYVNQNRHTFEKPVKIYN >OMO51897 pep supercontig:CCACVL1_1.0:contig15683:79825:82210:1 gene:CCACVL1_29519 transcript:OMO51897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle, SRP9 subunit MVYITSWDEFVERTVQLFRADPESTRYCMKYRHCDGKLVLKVTDNKECLKFKTDQAQEAKKMEKLNNIFFTLMARGPDVDMSEITGKEQTEAQPAKKGRGRKQ >OMO51892 pep supercontig:CCACVL1_1.0:contig15683:29126:31450:1 gene:CCACVL1_29514 transcript:OMO51892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLITSLSKTLGSFCNHLQGSCDALKQSIDRRPIPLDSASSTFIQCLNRRVSTATADLNLLDSMSFGTVSFEELLGHCYQVYNKNQTDLLDLEDRLKPLGYLPQLEIDDDEEEEEEVVDSKDRSFAVTSSAMKSLEEDPFLDESLSLKNFGLSDVCIATLASQANDTIEDSDYSFKENIEYNVDKSSSIKGICKPATDTFEVTKEVENGLNPVEAQKPAIQVSKDGYESLPSYMTSLASWEDLLAAVEKINSRLSKKEKTKGYFHQDEIESLDLGPKGRAYLLLLVRMNHLVVETIDGLISYRVL >OMO51898 pep supercontig:CCACVL1_1.0:contig15683:83037:88093:1 gene:CCACVL1_29520 transcript:OMO51898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNIVSEAGLQTRAGQWWDNIPFLTSAVVIVCGVIYLVCLLVGYDSFYEICFLPEALVSHFQVYRIFTSIIFHGSLLHVFFNMFALVPLGSELERIMGSIRLLYMIILLATSNAIFHLIIALVVAHNPIKPYPSLMTECAIGFSGIIFSMIVIETSLSGVQSRSVFGLFNVPAKWYAFILLVLFQLLMSNVSLLGHLCGILSGFAYTYGLFNFLIPGPSFYSAIESSSWLASCVRRPKFILCSGGNPSAYIPTYSGQNSPSSGLFSGNIWRNLSSWMPQRETAAQSIQEDSRFPGRGRTLGAGQNAALNSDSNLQARLLDNSNPNNTSDIAAAGAGQGLSNDRRSPVNNAVAATAGGPVLPQGPIASNEQIDKLVSMGFERTQVEVALAAADGDLNVAVEILMSQQG >OMO57909 pep supercontig:CCACVL1_1.0:contig14296:3587:8933:-1 gene:CCACVL1_25653 transcript:OMO57909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRRNEEYVKVSPTVKLGSQHRATVAEVGHRDPYPVSRREVINNRSPPLRGRRSCSPNTLNVSRRAVLVNDGIRGSMERRDSYGWRLDGGRSRSPPYEQIRKRPQFHNEVVTNRKYDYVDRDVLDDSSNSRVRRVYRHDHGTSRMRASKEKDYIENRVTSVDGRVTVGQKLIPAEDFALRGSRRLVQDLDPSLDYAETNGQLPLSSRGIDIDQYEHEKLRHREPMPSNKLTMMDSYEEDKPIFRSQNVTYSTVAASQSKEFTATPQLKGFTSTSASIPRSELLGSYRGDAPLPVSDEYQRGSGKIIEPIMAYSKYDQRPLNDSARDPEPTRRNMTLYQQGANSLSRAEYEELLYRKHRATAADNRGYPSDDYKRVMSSQSRISYEHAPVDYGHRDMAKPNLLHHVIDRIDNSDGCCGNPKKGIIWDDHTLQKQITPDYIDRRRSYASTQGGQYLSSDARIEFGRRLPQEYEMRHLDALHDRQASNSRSDYGFGREAGPVFQRERLKDNLANYDAEQSRIGLRTQRMEEELDLYSDRIRKRKYLMEEDLHRPSSKTIVSSKLHAPGDYGGLYESEEQIDEDIIGLHATQGYGHNEYRKVGRTHDEQDDHGDLTLDDSYTSEGSLAHPQRTPIRYYKNSGKYIKGHAGVGSFNWNSSHQNERRSNFHRQHKVWKRNDDYDEDINANDGEMTEDLVNHAEAELSEDSEEFKQLVHEAFLKYSKKLNSHQSVRRRYLEQGNAGSLFCIVCGRSYSKDFMDTQRLVTHAFMSHKVGLRAEHLGLHKAICVLLGWDTIAPPDTITWVPQILPEADALAQKEDLVLWPPIVVIHNISMANNNPQEQKVVPIEGVEAFLRGKGFTGGKITVCLGRPADQSVMVVKFLGTFSGLSMAERLHQYFAESRRGRVDFQQITSNNDGSIIEELLIQDENLEEQLLYGYMGIAEDLDKLDFYNRKWSLIKSKKEIQDLADDPVKTDER >OMP06406 pep supercontig:CCACVL1_1.0:contig04945:10436:14020:1 gene:CCACVL1_01591 transcript:OMP06406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKLFIFLALLFAVFFLSSAEETKKLDAKEEKTDNNEVEDAKYYGCKYRCCGRYGCRCCSFAEAQLMAAAQPNDQKPVEVADEGADQFDDTKLFCRYRCCGRYGCRCCTFTGFETRPVVNPRASGKFRDKTPMQPSSLIPKPAQVAGGGKIASQAIYS >OMO79282 pep supercontig:CCACVL1_1.0:contig10452:3071:12062:-1 gene:CCACVL1_13787 transcript:OMO79282 gene_biotype:protein_coding transcript_biotype:protein_coding description:RecF/RecN/SMC MGMESSDQFATREPDWASGKSRGPRLVINEMVMRNFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSTNHQNLDSAGVSVHFQEIIDLDDGTYEAVPGSDFVISRVAFRDNSSKYYINNRASNFTEVTKKLKGKGVDLDNNRFLILQGEVEQISLMKPKAQGPHDEGFLEYLEDIIGTDKYVEKIDEASKELETLNEKRSGVVQMVKLAEKERDSLEDVKNEAEAYMLKELSLLKWQEKGAKLACEDTNLKMVELQENVSKLEENLKNTREEIQGRSKRLKEIETVHNGHLRKKEELDNNLRTCKEEFKEFERQDVKYREDLKHMKQKLKKLEDKLQKDSNKIEDFTKDCENSTNLIPKLEEKIPKLQKLLLDEEKVLDEMRENSKAETERYRSELSKVRAELEPWEKELIVHKGKLEVAHTENKLLSQKHEAARTAFEDARKEMNNILGKRETITAAIEDKRGAREKNKLEASEARKLEQECIKEQEALIPLEQAAREKVAELRSVLDSEKSQGSVLKAILQAKESNQIEGIYGRMGDLGAIDAKYDVAISTACPGLDYIVVETTAAAQACVELLRREQLGVATFMILEKQVDLLEKSKESVRTPEGVPRLYDLIKVKDERMRLAFFAALRNTIVAKDLDQATRIAYGGNREFRRVVTLDGALFENSGTMSGGGSKPRGGKMGTSIRVTSVSREAVINAEKELDTMVESLNSIRQRIADAVRRYQASEKAVEQLEMEIAKSQKEIDSLNSEYKYLEKQLDSLEAASRPKQDEIDRLEQLKEIISVEDKEIDRLIQGSKQLKEKALDLQNKIENAGGEKLKTQKSKVEKIQSDIDKNSTEINRHKVQIETGEKMVKKLTKGIEESKKEKERIIEEKEKLRSMFKEIEEKAFAVQENYEKMQKLIDEHGEVLNKSKSEYETEKKHVDELRTSEVDAEFKFQEMKKMHKELEMKGKGYKKRLDDLEVTLRKHMEQIQKDLVDPEKLQATLADVTLTEACDLKRALEMVALLEAQLKEMNPNLDSISEYRTKVLLYNERVEDLNTVTQQRDDIKKQYDEWRKKRLDEFMAGFNTISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFVVCEKAA >OMO79284 pep supercontig:CCACVL1_1.0:contig10452:20391:21328:-1 gene:CCACVL1_13789 transcript:OMO79284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MAKLVAAFFLALIAISMLQTMVMASHGHGGHHYDQKHYGPGSLKSYQCPSQCTRRCSKTQYHKPCMFFCQKCCRTCLCVPPGYYGNKAVCPCYNNWKTKEGGPKCP >OMO79281 pep supercontig:CCACVL1_1.0:contig10452:1282:2209:1 gene:CCACVL1_13786 transcript:OMO79281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein VPIYAAAQLTGAISASFTLRILLHPIKHVGTTSPSGSDLQALIMEIVVTFSMMFITSAVATDTKAVGELAGIAVGSAVCITSILAGPISGGSMNPARTIGPAIASAHYKGIWVYVVGPVTGTLAGAWSYNLIRVSDKPVHAISAHSFSFKLRRMRSQEGEVPDKEPFNDL >OMO79283 pep supercontig:CCACVL1_1.0:contig10452:15304:17023:1 gene:CCACVL1_13788 transcript:OMO79283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSEDEVVVGGEARLVKWPAGPGLLGGSRRQGVRRAEAYGSVLKKKQQAGRSSRVPWFQASNGWNMDEFVFLDEEEEFGHKSAECRICHDLDFITMLEAPCACKGTLKFLSIVLLRHVAILMHQSRDISNEDSFSVYLSLGVLIPCYIACLALNYSNCSKHNHRNGGLARAANAVGRNARETMQQRPESSLP >OMO59478 pep supercontig:CCACVL1_1.0:contig13948:6671:8535:-1 gene:CCACVL1_24797 transcript:OMO59478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMERSVFGNDVPQLNGNHNHSFSATSQRHVTYRMLTVLTVSIGLNTVKFLPLYCCGSCGYELNLSSSSRNTAKIGSKYGKSIKRGMISFFTIDESRFTQVDEFQCIPYFSKHSWGLFRRRTKLLCRKCGNHIGNAYDGKTSGYPLVLDGSDSLSGNEVSGHKKYDVRIRALQPSSAEELGTPLFV >OMO59479 pep supercontig:CCACVL1_1.0:contig13948:11933:13669:-1 gene:CCACVL1_24798 transcript:OMO59479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MAQTMLLMSSTSVSTGHAVLNLKKEHPLFQLQAHGLKPKPFSHFLFNPLSTNTVSTSSKGFTTFAIFKPRTKAAPKKAAPKPKLQVEDGIFGTSGGIGFTKQNELFVGRVAMIGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDDPPTGIEGAVIPPGKGIRGALGLKEGGPLFGFTKSNELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGIPVNEIEPLVLLNVVFFFLAAINPGTGKFVTDEEDD >OMO53864 pep supercontig:CCACVL1_1.0:contig15140:11458:17038:1 gene:CCACVL1_28278 transcript:OMO53864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22/L17 MGSKPRQWVPKPATRILQQPDPDMLLPHDYNCCFLTLAPILRCCFSSFAPVSGPCPSFQSTLSTASSDFFMFNPYQIIIHQRNATLGLTKLRLHWDCSHVVRFLLLCGNHNFKEPLSNESPKKVNLVAALVRGMRVEDALLQLKVTVKRAAKTVYQNLEWRDVSLTMALAES >OMO99233 pep supercontig:CCACVL1_1.0:contig06926:8013:10895:-1 gene:CCACVL1_03879 transcript:OMO99233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase MTQLPNFSIKNSNSSPANTAKDPQVAPPKSKPKPPQQHPWLIVGLGNPGKKYNGTRHNVGFEMLDAIAEAEGIPINTVNFKALFGKGFIGNVPVMLAKPQTFMNASGESVGALVSYYKIPLKQVLVIFDDLDLPFAKLRLLPKGGHGGHNGMRSIIDRLKGSRDFPRLRIGIGRPPGKMDTANFVLRPFNKQEREELEFTFQHGIEAVRILVLEGFDKSATYVNSAKAMEQLG >OMO99234 pep supercontig:CCACVL1_1.0:contig06926:13724:16286:1 gene:CCACVL1_03880 transcript:OMO99234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MNTEMQNIEERYRVVRYFSRARLGKRVCRKIEEVKAIHQQGSFPEGVTVDHRPAASGVTFPTTILQGEIDVKEQIWAYLVDSNYDIGMIGVCGMGGIGKTTIMKHINNQLLEKNMFEKVVWVTVSKELNVFKLQQDIADAMDGSSSLPENGSGSRAAELMKILERKRHVLILDDVWQRFSLVDVGIPVPTLHSGRKLVLTSRSIEIVKLVVQQCGGLPLAIVTIAACMKGVDDDTCEWRNALNELRERVKSVKGLETEIFECLMFSYDRLGDQKLQNCFLYCSLYPEDYEIERIELIEKWIDEGLLDEFGTRQAMHDRGNSILNKLENNCLLEGATTINNDLRRKVRMHDVLRDMALWIKRAGQKFMVKAGLQLKEVPSENEWTSDLEKEGFEEYAKSMLDHQGPKHYLVAVGSGKRPDYFYFDDGASRLIQNPKLNKEIVFNILK >OMO99235 pep supercontig:CCACVL1_1.0:contig06926:17065:23257:-1 gene:CCACVL1_03881 transcript:OMO99235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFGIEVKPGKPFIHHPLNSRLHLSQATLGNGSAIKKSIVQCNLGNKSPVFLCCLYPDKTECCQLNLEFEEAHKVVFSVIGPRSVHLTGYYVSRNHPNAESEESYGEDIGDTETERSENSEESEYGGSFINDDDPETIPSSPDSSAQRNEDMLDLKKSNDGKKTWRRLRKKYQLISESENDNSSLRKVSAAAVEEVSDSEVEDALTISSLFREETDKRNGNDTEDNVAVLEGTNAAIDIQPESESDLRNHEKQNLVLGNHNGMPKKSEEVKKEETFREADHGMIGLEKNQKLDNEWVIFIYLKRFVEGNPQQNLLLASTQVGLEDGAKLKRKRKVQVGEKTLKGNVAKEDKGEKNVSNTDAVMEDGHVENKETQEQVSEKKRKKKKLCGNEDDGDTRKIIELSNGVIIEDIKVGKPDGKVRFHYTGKLKETGQEFGSTAGKAPLKYRLGGEEEDEDEDVWNLGVDVFSGMRVGGIRRLTLPPWVSYRNKGACKIIPPNSWLVFEVELVQVGVDIAIINNIDLTRWKKTLEMAQNLCEIQAKQNFPTSKEIACLDEKYLRDDCKLGYRAKAILQLAKKIETRKLDKLINKLEDQSSDITSYQESYEKLKDIKGFGDFVCSNIMMCIGYYEKIPSDSETIRHLKMVHNKENCSSETIKKDVEEIFGMYAPFQCMAYWMELVKEYENRFGKLSELDSSSYHLVTGNGMRNPEN >OMO89471 pep supercontig:CCACVL1_1.0:contig08707:307:12758:-1 gene:CCACVL1_07811 transcript:OMO89471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSSTLRFSSLLRHNLFCAPSRVSAVRRNLSHLRFLSVAPNRPLGNPIHARRGGEGKQDEDGAGNGRLTAVVKDGSGSSGGRDGRVVPAELHKEATESYMAYALSVLLGRALPDVRDGLKPVHRRILYAMHELGLSSRKPFKKCARVVGEVLGKFHPHGDTAVYDSLVRMAQDFSLRFPLIQGHGNFGSIDADPAAAMRYTECRLEALAEAILLADLDLDTVDFIPNFDNSHKEPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGELVDVLCALIHNPDASLQELLEYMPGPDFPTGGLIMGNLGILDAYRTGRGRVVVRGKAEIELLDSKTKRSAIIIKEIPYQTNKSSLVEKIAELVESKSLEGISDIRDESDRSGMRVVIELKRGADPSIVLNNLYRLSALQSSFSCNMVGILDGQPKLMGLKELLQAFLDFRCSVVERRARYKLSQAQDRRHIVEGIVVGLDNLDRVIDIIREASSNAAASAGLRNEFNLSDKQAEAILDINLRRLNLLERKKFVDESRSLMEQISKLTELLSSRKNILQLIEQEVIELKNKFPSPRRSILEDSDGGQLEDIDVIPNEEMLLAFSEKGYVKRMKPDTFNLQNRGTIGKSVGKLRVNDAMSDFIVCRAHDHVLYF >OMO78348 pep supercontig:CCACVL1_1.0:contig10595:24394:25873:1 gene:CCACVL1_14470 transcript:OMO78348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVETGSQSLACNLLRTKYSRDASFEYVEPKPNTSSFWRGVLKCRDVIKLGRRWLIGDETYVNFWLDIWVGNEPLLQSALVDGVDTSFLFPSRGIDEILSFGQEKAKVCDLVNWSAQFAMTLWLIWKSRNALIFQQQVDKLSKVWFMARKLAREHPSISASTGGLIRGSDGEWLAGFLVNIGTLDSLVAELWGLREGLLLAKQRRLWPLVVELDASMIVHMVKNGVPDSHPCSVLVADVMALIAEGWTMKFVIYTRKKNKCADYLANLAQTTTLGTTLLDEPPTILVPLLDKDISGPPTVRI >OMO78347 pep supercontig:CCACVL1_1.0:contig10595:17822:18820:-1 gene:CCACVL1_14469 transcript:OMO78347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVPTIVELGEVVDSACRGGEASLSREGVGKRDIDHNSFGL >OMO69323 pep supercontig:CCACVL1_1.0:contig12067:5665:8807:-1 gene:CCACVL1_19556 transcript:OMO69323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETVSSSGVLLQPLGHLTKACDSN >OMO89370 pep supercontig:CCACVL1_1.0:contig08753:18585:18731:1 gene:CCACVL1_07875 transcript:OMO89370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHWPAMAESWLGLARPWRGHGIAVMHGWPGLCSPWIELIRQQLDAGL >OMP01258 pep supercontig:CCACVL1_1.0:contig06488:10679:10744:1 gene:CCACVL1_03130 transcript:OMP01258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGSDTSGKDSSGFLKFTTSP >OMO58796 pep supercontig:CCACVL1_1.0:contig14149:31119:37944:-1 gene:CCACVL1_25352 transcript:OMO58796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVVFKIIAGAQIEAELSGEVEPTIEEGSCDKKVPLKLGFGLISSFFHTEA >OMO58795 pep supercontig:CCACVL1_1.0:contig14149:24500:25428:-1 gene:CCACVL1_25351 transcript:OMO58795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTEFCTGDGLKKGAWTAEEDQKLIAYVQKHGEGSWRNVPAGAAIAKYLPKRTDNEIKNYWNAHLKKRLANMGIDPVTHNPLFPDRNSNIVKPPMAHPEKFSQKMKPMHLINSPRRRRQGLARLRLYY >OMO65825 pep supercontig:CCACVL1_1.0:contig12617:18010:18999:1 gene:CCACVL1_21382 transcript:OMO65825 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MNRQEEILWSSNVTNLVGSNTSALLLDSGNLVLRNEDENGTTIWESFQHPSNAFLPNMKLSFDQKTGQKVELKSWKSPSDPSEGNFSLAPLPVKIPEFMIWKNNQPYFRSGPWNGRTFTGLIHRPTSYHNGFSFVAYNQEGTYYAGFEFSSESTVLYYELNYQAKLVERPWDSVKGKWEDGFPILETDCDFYGKCGGFGSCDAKQPLICSCLKGFEPKNKQEWNRQDWSSGCVRITPLKPCQEATSDSEVGKKDGFLRFETMKLPAYPDYTSAVGDSCENQCLKNCSCVAYALDAGVGCMLWFGDLIDTQKFSGRGDALYIRVASSELG >OMO65824 pep supercontig:CCACVL1_1.0:contig12617:7859:9693:-1 gene:CCACVL1_21381 transcript:OMO65824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAECKDLFLRSEISVFFQALKKLNYVNFGLTSIGLALVTRQLP >OMO65826 pep supercontig:CCACVL1_1.0:contig12617:33378:42807:1 gene:CCACVL1_21383 transcript:OMO65826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSATTSGKKKKTDIANALTLIGRKTNSSKMKHRRRRLPRGMEDHQIINQTSSNVGPKKGRKKKSSLHLVEIGAKIERLESEVAAKRAETRIMREQLMEGEAKVEGGLRRLSQQRMVNALLFLENLRDEVRVNVEKTGSEVESFFYRFPVFSDSTGLWVVNNLKEAKGEGSKARSGWSADKLVTFSDYAVKTLALGATCFYLHNHFSYSDEQKKFPFLLRTWWGFYFSISCYRLLVDIVLYKTLASFPKQCLASDGFSLITAMFLCIVGFFGTNEGEDTLLEEPLLNGDSGVSNGGELCSKKRSDTITLYSNASTFSIIIFSWMGPLIAAGNKKTLDLEDVPQLDSSDSVVGVFPKFRSRIESVDSEKSGVTTLKLVKALFYSTWKYILLTGLFALMYTVATYVGPYLTDTFVQYLDGQREFKSEDYLLVIAFFVAKLVECLSQRRWFFKVQQVGLMVRAVLVTMIYNKSLTLSCHSKQRHTSGEIINLMSVDAERVGDFSWCMHDPWMIPFQVSLALLILYKNLGLATIVAFGATVLVMLANIPLAKMLEKFQDKLMESKDKRMNATSEILRNMKILKLQGWEMKFLSKIIGLRKVEEGWLKRFIYTNAMTSFVFWVAPSFVSVATFSACMVLGVPLESGKILSALATFRILQDPIYHLPDTISMIAQTKVSLDRIAAFLRLDDLQPDVLEKLQRGCSDTAIEIVDGNFSWHLSSSTATLRDINLKVLHGMKVAVCGTVGSGKSSLLSCILGELPKISGTIKLCGTKAYVAQSPWIQSGTIEENILFGKKMDKERYDRVLEACALKKDLEILSFGDQTVIGSFTGHLSSKTVIYVTHQVEFLPAADLILVMKNGRIVQNGKYNDMLDSGADFMELVGAHKNALSALDTVDVGSVSEKRIGEGDGAVGCANGKVQKEENQGNDIGKVDNTGAKGQLVQDEEREKGKVGFSVYWKYITAAYGGALVALTLLAHILFQIFQIGSNYWMAWASPVSEDVTPPFESFTLIIVYFALAIGSAFSILARAMLLNIAGYKTATHFFKKMHFCIFRAPMSFFDSTPSGRILNRASTDQSAVDMQIPYKIGEFAFSIIQLLGTIAVMSRVAWHIFIIFIPVIAAGIWYQQYYISAARELTRLIGVCKGPLIQNFAETIMGATTIRSFDQESRFKETNMKLVDACSRPKFNAVGAMEWLCFRLNLLSTITFAFYLFFSISIPEGVIDPAIVGLAVMYGLSLNTLQACVVWNTCNMENRIISVERMLQYSCIPSEPALVIETNHPNSSWPSQGEVDIIDLKVRYAPHLPLVLRGLTCTFPGGLKTGIVGRTGSGKSTLIQTLFRIVEPAAGQIIVDGVNISSIGLHDLRSRLSIIPQDPTMFEGTIRSNLDPLEEYTDEQIWEVLDKCQLGDEVRLKEGMLYSTVSENGDNWSMGQRQLVCLGRVLLKKSKILVLDEATASVDTATDNLIQTTLKEHFADCTVLTIAHRITSVLGSDMVLLLNHGLIEEYDSPSKLLEHHSSSFAQLVAEYTMRSDLSKEKLG >OMP04557 pep supercontig:CCACVL1_1.0:contig05711:2364:3991:-1 gene:CCACVL1_02155 transcript:OMP04557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MISQIQIHYRGPWYSWGVILVKVKKHMFDCWKVYYYYDDQYKDDVWREFKRVGSGMCRRAFSRQRQIDEIPCLDWVPEHCWEIMKQRWEAEDFKKKSEQNKKNWKSYVAANTIGWKGGSITSTAWNTKLTVRFGQEPEPDQLFLHTFGKDGVLPEGEGRAKEIVDEYWKALAAADDLEAKARIDRYAIWDSVAVDSRGRTVGMGNLAHSTHRRCLNPLAQHNILLQTRVDDLEKRGSKQAKDNRKLKKRLSYMEENHKSLLESRQPSKQQYEHHPDPNANMNDEYGTSASEDEVDDDLMDD >OMP05715 pep supercontig:CCACVL1_1.0:contig05257:2854:2922:1 gene:CCACVL1_01844 transcript:OMP05715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQACPLSFLVGFLNSTQYGQ >OMO73950 pep supercontig:CCACVL1_1.0:contig11169:26298:30599:1 gene:CCACVL1_17053 transcript:OMO73950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLSSFLSSPPSLPSQSPSISKHCLFPSSLSFPALRSFPSSNPRKFPNPPLVAFSSNHFDATPFSDSFGSNPTPSKKSVLANLIQEIEPLDVSIIQKDVPPTTVDAMKRTISGMLGLLPSDRFQVFIEALWEPLSKLLVSSMMTGYTLRNAEYRLCLERNLGCEGGLENQTSENSNFDLQEKLLDSAKTSESSGKNDVSSEFEETSEEKFEDIEYQGLGELPLETQKYILHLQSRLTSVKKELYEVKRKNAALQMQQFVGEEKNDLLDYLRSLQPEKVAELSEPTSAELKEIIHSVVHGLLATLSPRMHSKVPPSSENTATGTVNIGSEDCAELVENTSLQFQPFISLTRDYLARLLFWCMLLGHYLRGLEYRMELMELLSLTSSPENNGCGDERVV >OMO73949 pep supercontig:CCACVL1_1.0:contig11169:16738:16860:-1 gene:CCACVL1_17052 transcript:OMO73949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEHRGEKGVYASHNHAAAFRVKLLALDNDGLPPRETDA >OMO73954 pep supercontig:CCACVL1_1.0:contig11169:42894:54796:-1 gene:CCACVL1_17057 transcript:OMO73954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKLPELGALYVDSCRRHGVPSSGGFLSSLFQAELKKSKHEVCVLELLLDHVKDSDFHPLFEVSLKISESEIEAVDVLCESSCALTGEHALSLIRSIGEKLRQVDLQDLAFGKDLLRDLSQGGLQCQVLNLRSSHFRKLNLVGEFMWLHTLNLDYSTTLTSFREDCFSHMPNLMCLSMCETRISNLWTTIAALSKLQALVELRFQNWLCCNDAGSSGSSGGDDQTVPSQPSSAFYSDTSSLNSDLDLSNFMSNERMMNLLRFSLNNYARRRNEESSDDSEVDFSIDLDDGYIDPSTNATLGWNREINLLREVSSAILSNQNGEESFDGAFTRQEGDVSVKYISEHASPICFEKHYRDYMIASIPQLRVLDNLPIRSIDREMANLTFFKHFEYLPYRRNDKESVVSILQKREIRARHTSLRTPKQRPLDPAGKSQYFYTRSLCAAKMGSSPWPSLHSLSISDSDSGNENRSFRPRQFEYHPSNSSLMVFGTLDGEVVVVNHENEKIVSHIPSLGVMNSVLGLCWLKKYPSKLIAGSDNGSLRLYDIQHWPPTLKRTHTGAGSVTFDEFDQLTSVHVNSTDELFLASGYSKNVALYDINSGRRLQVFTDMHQEHINVVKFSNHSPSIFATSSFDQDVKLWDLRQKPIRPCYTASSSKGNVMVCFSPDDQYLLVSAVDNEVRQLLAADGTIHQNFEIPSTGSSQNYTRSYYMNGKDYIISGSCDEHVVRVCCAQTGRRLRDISLEGKTSGSSMFVQSLRGDPFRPFNMSILAAYTRPSSKSEIVKVNLLASTDFSKDFSPGQSSSVSNSMGGPKKKKKKKTVYTGPLVFKLETCSRNATQLFSEEFVEQGSVKFQYSSILMEPAMAQPRSPYCSGKCNGRCQKAAVWDRCIKYCGICCEECKCVPSGTYGNKHQCPCYRDKLNKKGKPKCP >OMO73951 pep supercontig:CCACVL1_1.0:contig11169:31115:33016:1 gene:CCACVL1_17054 transcript:OMO73951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSRRPFSPTLKLKNLKPSSFIAVLFLRYLSSTSSSPSSFPNLSILLQGRVSHSHLLQIHACIFRLNAHQDNLVITRLIGHYSPQIALRVFNHLHTPNIFPFNAIIRVLAENGLVFLAFSLFNILKRLFLSPNDLTFSFLLKACFRSFDAQHVKQIHTHIIKSGYVCDSTVCNGLLSVYAKGLKDLASAHKLFDEIPDKGFISPWTNLIAGCAQSGRNEEVLQLFCAMIQQNRRPENDTMVSVLSACSNVEIFDIEKWIIRLSEVIRNSDSKALNSNSVNTALIYLYGRLGNVEKGREKFNDIDAIGKMSVLPWNAMIGAYVQTGCPMEALSLFHLMMEDSNCTPNHVTMVSVLSACAQLGDLDLGKWVHEYMEHKGRKGVLETNTFLATAFIDMYSKCGDLEMAKRVFDQMISRDVISFNAMIMGLAMNGEGRQAVSLFSKMQDFGLVPNAGTFLGVLCACSHSGLSEEGRQIFLDMNSQFSVAPRLEHYACYIDILARVGLVEEALKVVDSMPFEPNNFVWGALLGGCVLHSRADLAEKVYKKLVEVDPQNSGGYVMLANKLADDHRWNDVSVLRWLMREKGVKKQPGHSWISINGVVHEFLAGSPSHPQIESMYHTLNGLVNVMKVTST >OMO73953 pep supercontig:CCACVL1_1.0:contig11169:39494:40565:-1 gene:CCACVL1_17056 transcript:OMO73953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MENKIQEILEKQVLTVAKAVEDKIDEEIAALDRLDSDDLEAIRERRLQQMKKMAEKRSRWISLGHGEYSEIQAEKDFFSIVKASERVVCHFYRENWPCKVMDKHLSILAKQHIETRFVKIQAEKSPFLAERLKIVVLPTLALIKNAKVDDYVVGFDELGGTDEFATEDLEDRLARAQVIFSEGESSIHASKSGAQAKRSVRQSSNADSSDSE >OMO73948 pep supercontig:CCACVL1_1.0:contig11169:940:5386:-1 gene:CCACVL1_17051 transcript:OMO73948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVQHMGVSPSQRKSRKGNHICPEESTSEKAQEFGSEYLLTELSENKQQCSNAVTQNEPADIPAGKSGSGIHEPSSEYMAKNSSPEHFCKGVSGHKHTGESICPQDVHKETSEEKHKCGSEIAQNVEDACTSVCGLPAKNLQPSSEGLSKNGTTESSGVLPEDLSKCIQTEELSCPQIGSSEPTIDFGSGNICKELDQPPEQQQQLEFESLPNGREKGTTAVTPNVLDQAMQLKPENTNKSHCGEHLQSHTEGVEPLGLPEEFATGDPDIEQSRLPCEDMASGLQKEEKKPRYLIKHSARRKTSKAFKKKYMLRSSRSSDRVLRSKSQEKPDASEPSNNLADVGSSKQKRRTKRKKKRGKGEAADEYSRIRTHLRYLLNRINYEQNLIDAYSTEGWKGLSLEKLKPEKELQRATSEILRRKLKIRDLFQRIDSLSAEGRLPESLFDSEGQIDSEDIFCAKCGSKDLSANNDIILCDGACDRGFHQYCLQPPLLKEDIPPDDEGWLCPGCDCKVDCIKLVNECQGTRLSISDCWEKVFPEAAPGGQNQDPNFGLPSDDSDDNDYNPDGSETDEKDQGDESSSDESDFTSTSGDLEVPANVDPYLGLPSDDSEDDDFNPDNPDHDDVVKPESSSSDFTSDSEDLGATLEGNTSQKDEGPFSSSALRDSKRGKAKLGGKASLNDELTELASGEDGSTFSKKRTIERLDYKKLYDETYGNVPSSSSDDENWGDTAMPRKRRKQTAEAISAPANGNVSASRRALASNNLTQSPKESEHKSRRKTRQTSKLKDADSSPAELQGGTSVPSSSGKKAGSSSYRRLGEAEKQRLYSSFKENQYPDRATKECLAKELEMTLQQVSKWFDNTRWSYHNSPSMSAKIVSANDITPKKNN >OMO73952 pep supercontig:CCACVL1_1.0:contig11169:35461:38448:1 gene:CCACVL1_17055 transcript:OMO73952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVREEENYQWPFTVNPTLQNHHQLSSFLTNNLNSQYCFNGFNGFHVSSHPNLHSFDDFSLENSFKNLNLSSNRVLNGSNSNRVHEGFFNGERFLSHSNSSNSFGSHRGPITSRSANFANTPIAYNSNFERRSHSLDELAARVNDVQCWGNPYGNRHGFGSSQSSYNNHHHPVLRQPQHSKYSSSLDELKGRVSSVAKDQNGCRFLQQKVNDEKEIDMIFSEVKDQLHELMVHQFANFLIQKLFEAGNQNQRTELLVWLVKNEQSFMDVCTHSTGTRAVQKIMEWISIPNQISILLSVLKPAAVALTKNAHGHHIIERCLQIFSIEEAEPLVDEIVEHCLEIATDKSGCCVLQQCLARSKEEAKERLLVDITANAFVLSEHPYGNYVVQFVLGLREVPHVRANIIVQLRGSYVTLSMNKYGSNVVEKCLKDCEDEEQFAGIITEIMNDSEFLKVLQDPFGNYVVQSALLVSKGDLYNAFIERIQHHYPFLHSHLYGKKVLAKTKGRKNRV >OMP03359 pep supercontig:CCACVL1_1.0:contig06091:2950:3057:-1 gene:CCACVL1_02464 transcript:OMP03359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNELGHDLAPYNGSTVGETNIALHGTYDSCHIVVQ >OMO67986 pep supercontig:CCACVL1_1.0:contig12329:6005:6094:1 gene:CCACVL1_20151 transcript:OMO67986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GASAKSTISSTCTTTCWSSFLSKGYLPPS >OMO53301 pep supercontig:CCACVL1_1.0:contig15238:5158:8597:1 gene:CCACVL1_28737 transcript:OMO53301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLWSAKRERKMHLISWDQVCKPKDKGGLGILDLHIQNRALLNKWLWRFGNEKEGVWRKIIVERNGYREENLVLDVSNNRHASALWKHITKPFSPHNADYHVWTANSGFVLGNGASISFWHTEWILGHTLRVSFPRIFALAVNKLVMVGFRPTKSRDPCLAGHAWKSDGERIAGQQSGSSGMVGIGGVLRDSLGAVLLKFSKNIGQAEATKAEVLAIWEALLIFYASEWRNNYGLRIESDCVIAVKWVNASISCPWQLRRFISQIGNITRGASNWKLSHIFRESNSMADELAKVGINRESDLIELY >OMO77582 pep supercontig:CCACVL1_1.0:contig10728:19275:20686:1 gene:CCACVL1_14958 transcript:OMO77582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIGEGQDGFASEVHEELSKIWGIEETQSCGRLQ >OMO77583 pep supercontig:CCACVL1_1.0:contig10728:23782:23844:-1 gene:CCACVL1_14959 transcript:OMO77583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTSVSGVNGSVARERLL >OMO77584 pep supercontig:CCACVL1_1.0:contig10728:26603:31798:1 gene:CCACVL1_14960 transcript:OMO77584 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone reductase complex 1 MLRQ subunit MEEEKAAAYYDELSRKGEGAAKFKRGLGFSSIDDQNDAVPERGAAFVSSSSFLSSFVRASSPTTASKIEKESQLQSIQNKLKKKPETEARVSERSRDKNRPSRRRSRSTERDRPSRRRSRSRSTERDRDGRRQRSRSRSPRRSRSSRRSRSLSPREGRRSEKGKLNKENYGTVDYSRLIEGYDKLSPAERVKARMKLQLAETARKDLTKGPGWERFEFDKDAPLDDEDIEVAEDDAVVLKHIGQSFRYSTIEARREEEIRAAHDEAIFGAPTVSLSVTADNESDLEKHKLDSSNSGLTTSLLNEKVLAKQPSSWRDRVHANSKGTFSLVRTAKSMANRWLRPEVYPLFAAVGVAVGICGFQLVRNICINPEVRVSKENRAAGVLENFAEGEKYAEHFLRKYVRNKAPEIMPGINSFFTDPK >OMO77581 pep supercontig:CCACVL1_1.0:contig10728:3885:14555:1 gene:CCACVL1_14956 transcript:OMO77581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPWKGGVDRGCKRGRKREGTISEGDRGRSLAVGVTIGGDYGGRHHEQRGKGKRLDVKEAKKGCRDSNEENENVVNLEVEKDIDREKRAAEEVEKEDALFTKKSRKKTSPIWQDFIEIKDADGLQKCNAFIAK >OMO50110 pep supercontig:CCACVL1_1.0:contig16317:18385:22513:1 gene:CCACVL1_30627 transcript:OMO50110 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSKQTYRVCFCFRRRFRLAVSEAPEDIKKLFNQYSENGFMSVDGLQRFLVEVQKEEKATREDAQKIIDSVKHFHRKGLNLEGFFKYLFFGDINPPLASHGVHHDMSAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIINALKRGVRVIELDIWPNSTKDNVDVLHGGTLTTPVELIKCLRSIKEYAFVASEYPVVITLEDHLTPDLQAKVAEMVTQTFGDILFSPGPECLKEFPSPDSLKKRIIISTKPPKEYLEAKEAKDKENVTERAKAGSDEEAWGKEVPDLKSLKSTRVTDDKNDSDEKDDDDTDDGEKSQHNLAPEYKRLIAIHAGKPKGGLDECLAVDPDKVRRLSMSEQQLEKAAVTHGKQIVRFTQRNILRVYPKGIRVDSSNYNPLIGWMHGAQMVAFNMQGYGRSLWLMHGMFKSNGGCGYVKKPDFLLKSDEVFDPKARLPVKTTLRVTVFMGEGWHYDFKHTHFDAYSPPDFYARVGIAGVPADSVMKKTKTLEDNWVPSWDEVFEFPLTVPELAILRIEVHEYDMSEKDDFGGQTCLPISELRSGVRAVSLNNQKGDKYNSVKLLMRFEFV >OMO50112 pep supercontig:CCACVL1_1.0:contig16317:31492:33915:-1 gene:CCACVL1_30630 transcript:OMO50112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLPFLLLFAVSFLIAITFPPLIHALGSGSTLAVAYGTATVCAIVASEPNQRIICYRPGNTNSSAGTIIPVLPNVSYSIVAGGETTICALRSGGLSLLCWETNNQTFPEKRLYSNNTVSLQSLTIGEERICATTNTSSAVTCWRPIGNTSEISPDGNYTMGKITSGSGFSCGIVLSDNNRVTCWGNSVATEIETQFGNMSMSNIEAGVSHVCGIDSKGDIICRGNNSTGQLNVPLDKDLNFASGLALGEGFSCAIRRSNGTVVCWGSMTETPVADVEFESIVSGLNFTCGLITSNFSVICWGPGWPETMGSTSNSSRQILPLGAQILPGPCVQSPCNECGIYPQSSSLCFGSGTICKPSPCSFTPSAPPLSPPSPVAPSPGNSTRSSPSKELTRGLLAFAIVGSVGGFMGICTVIYCLWTGVCFGKKKVHNSVQPTITRAGSNGGPGSNNSPPSRSLTIRRQSSRAMKRQRSGTSSKHADRAEEFSLAELAAATNDFSMENKIGAGSFGIVYRGKLMDGREVAIKRGETSQKTKKFQEKESAFDSELAFLSRLHHKHLVRLVGYCEERDERLLVYEYMKNGALYDHLHDKNNVEKSSSLLNSWKMRIKIALDAARGIEYLHNYAVPPIIHRDIKSSNILLDSNWTARVSDFGLSLLGPESDRDYRPMKAAGTVGYIDPEYYGLNVLTTKSDVYGLGVVMLELLTGKRAIFKSNENGGTPVSLVDYAVPAIMAGELVRVLDIRVGPPELNETEAVELVAYIAINCVNLEGKERPTIGDIVSNLERALTVCDGSHGSISSSAFSIVSE >OMO50107 pep supercontig:CCACVL1_1.0:contig16317:2679:5238:-1 gene:CCACVL1_30624 transcript:OMO50107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKTRLVSIAVLWILVLFGTLALIQAEDNSEEVTHKVYFDIQIDGKSAGRIVMGLFGKTVPKTAGEKGTGHSGKPLHYKGSTFHRIIPSFMIQGGDFTLGDGRGGESIYGERFADENFKIKHDGPGLLSMANAGPDTNGSQFFITTIATGWLDGRHVVFGKVLEGMDVVYKVEAQGRESGVPKSKVVIVESGEMPI >OMO50108 pep supercontig:CCACVL1_1.0:contig16317:5794:10200:-1 gene:CCACVL1_30625 transcript:OMO50108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCPPHKVNILEPGINTSYRILEPESDIDIHRLYTFGRELGRGISGITYSCTEIRTGIEYACKSIAKTNLKSMDEVENVRNEIRVMEYLSGVENIVKLKGVYEDASCVHIVMEMCSGGDLFDLIKEKGRFNETEAAELMKVIVGVVAICHTLGIMHRDLKPENFVLLNKDKDGAHGFYPLKAIDFGLSAFFKPETLQEQLCKILKGQISFESEPWPQISDSAKDLIRRMLCLRPSERLTARQVLRFHEGYNPPKDEHSNSKFKNTPVIRISENIENQKDHHVLGHKTPNIRDLYMFGPKLGQGQTGTTYVCTEIATGTKYACKSISKQRILNKEDAINDVRREVQIMKHLAGQQNIVKIKDAYEDPMHVHIVMELCSGGDLFDRIKTRSHCYSEREAAELIKIIVGVVKACHLLGVMHRDLKPENFMLVDKDDDFSLKAIDFGHSTFFEPETKEAVFDEILKGHIDFESKPWPQISDDAKDLIKKMLCPKPSERLTAAAVLN >OMO50106 pep supercontig:CCACVL1_1.0:contig16317:1331:1669:1 gene:CCACVL1_30623 transcript:OMO50106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSNRKLKHRRNQDSDDVEGGDPEVWQMFDKNFQQVQSVLDRNRALIQQVNDNHQSKIPDNMVKNVELIQELNGNISKVVSLYSDMSSDFSTVFNQRNGGDRKSGDSRSE >OMO50109 pep supercontig:CCACVL1_1.0:contig16317:13315:16962:1 gene:CCACVL1_30626 transcript:OMO50109 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGKEKKNESEAASKEKNDPNNDNGSYNYKMFNFFNRKFKINEVEPPSDVKQAFADFTDDGSTHMTAEQLKQFMVVHQGEVNCTLEDAEHIIQEVVSRRHHVTKFERHSLTLDDFFHFLFLDDLNGPIHTKVHHDMTAPLSHYFIYTGHNSYLTGNQLSSDCSEVPIIKALQRGVRVIELDLWPNSSKDEVLVLHGRTLTSPVSLIKCLTSIKEYAFATSPYPVIITLEDHLTRELQAKVAEMVTQTFGDMLYFPESGCLSEFPSPESLKHRIIISTKPPKEYLESRSKESSPKNRSRRGSCDERYAKEMQDSLTESEAEDRSDSDFDDDDCNECNKKSGHSVSLYKRLITIHAGKPKGALKDALSVAADKVRRLSMSEQELERAAGAHACDVVRFTQKNILRIYPKGTRFTSSNYKPTIGWMHGAQMIAFNMQGYGKSLWLMHGMFRANGGCGYVRKPDFLMMKVLFDPKTTVLPVQKTLNVKIYMADGWRLDFSHTHFDTYSPPDFYTKIHIAGVPADEVKRKTKIIEDDWSPVWNEEFTFPLTVPDMALLRIEVHEYDMSEKDDFGGQTCLPVLELKPGIRSVPLHDRKGQKLPNTRLLMKFEFV >OMO50111 pep supercontig:CCACVL1_1.0:contig16317:23369:28416:1 gene:CCACVL1_30629 transcript:OMO50111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, NF-X1-type MTTIANYQPPQPSQPPFSDSDSDSDSDNFHIGSDLSNSIFKTYLEFSSSSSSSSSSITPADLSKIQSFLTSSSSGALSCLICLERIRNSDPTWSCSSLCFTLFHLICIQSWARQASDLSAARAAARLPITAETAAKQATWNCPKCRSSYSKSDIPKAYCCFCGKLQDPPSDNPWILPHSCGEICNRPLPNNCGHFCLLLCHPGPCPSCPKSIKARCFCGSVEDVRRCGFKNFSCNQLCNKVLDCRKHKCTEICHPDTCPPCRARGIYRCRCGKKEEERDCCDRDFRCENECKKLLNCGKHFCERGCHVGDCGDCPLQGKRSCPCGKRVYEEMPCDVAAPVCGSTCNKLLNCGFHRCPERCHKGPCVETCRTVVKKSCRCGGLIKEVPCYQDLSCERKCLRMRDCGRHACRRRCCDGNCPPCSEVCDKRLRCKNHKCPSPCHRGACAPCPVMVTISCICGQTHFEVPCGTEMDQKPPKCRKLCKITLLCRHAPNCKPHRCHYGACPQCRELCGEDYPCGHKCNLRCHGPRPPPNPEFTLKPKKKKSYHKSECTPGTPCPPCPELVWRPCVGHHIGAERMMVCSDRARFSCDNLCGNLLPCGNHYCTNTCHPLEIRSSSSGYQKRSESCEECNLPCQKERIPKCSHPCPLPCHPEECPPCKVLVKRSCHCGAMVHAFECINYNSLSEKDQVAVRSCGGPCHRKLPNCTHLCPETCHAGLCPAPEKCSKKVTVRCKCQTLKKEWVCQDVQAAYRDTGCDPKDITKNQFGLGLLPCNSDCKSKVQEVESALKLRKPEVLEKKEPENEKHGPKRRKRRNRTQEGNQETRLQKFVATMKRLLLFIIIVVAVIAVTYFGYKGLLRLSDWMNEVDVQRQKRRHPRF >OMP11581 pep supercontig:CCACVL1_1.0:contig01133:1366:1509:-1 gene:CCACVL1_00423 transcript:OMP11581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFLHLLSLCEEKARSFHSLAIVKSRSCATCATNALRHLAHVAGSLT >OMP11583 pep supercontig:CCACVL1_1.0:contig01133:3706:10056:1 gene:CCACVL1_00425 transcript:OMP11583 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP binding protein MDRTREARRVTMAAAASTNGLSRRRHRSSSLRDSPEDDGPVELQETARLRDRKKDRDRERERERERERDRERDRERDRERERDRLSRTSKRRRGDRLISNREDGGDDSSEESVNDDEDDDDEDGGGTTGGGGSVRMLPPNNTGGSMSMSTHHHHNHHHHQPQQHHQQHQQSQQHQNRKSFPPPVKVLRTTPPVGTAMATTTTTSSTWKAADEMIGVSVPRKARSASTKRSHEWASSGGGAGVLGGEQNHRQASTSPVRTGVAGMLTSSSPAPASPSSSNASMRKKMKPNGPKQRPPKSSSKSSSSAQEEIEIEIAEVLYGLMRQPQVPSKQETVGNDLVKFDSREVNKPNNDAKSRVSSPISNSPSTLPQSSSILPPNSNSSATPMSAIAPKRKRPRPVKYEDETTTAAPPSIFPVRNSSISSATTKVEIDQPAKIEASSPPNLEKNSGSVAENNGASYDLMNSSQAGTASAELVQAEPVKEEKNNLVQDSKPLTEESESRDIGISKKEESQSPKKESSPPPANNPMSSGLRLDDERENLTVTKANSMVCEIESQREEKFQIDLMAPPPSRSSPDRDGENDFGSSDPKPMATDMELEMKSTAKEDDKRLKIGKQNVNVEAEDNNKKAKLIAEEAESHKPVVNKERNIDLQLDLEKSDRDSASGSVSGNKLNQHAQKLHHQQPTLEKTAQSVSLPLPMSMASWPGGLPPMGYMAPLQGVVSMEGSAVSPAAIQPPHLLFTQPRPKRCATHCYIARNIHYHQQFMKMNPFWPAAPGSASLYGAKACNLNVVPPTELHGSIPGRGVNSSQDKGQALAIFPGHVGKEKSSQAGAANMVDSAQRKQILLQQALPPGAPSNILHGPAFIFPLSQQQAAAAASVRPGSVKSPPAASSNPSSTTSNSASLQQSQQSHQNTSMSSGSTSSQKHLQNQQSRPHGTGMNSSNGNLQAFPAPKNQSPHPLQLQQRQQQPSQHASHQARQLEGELGGEESPSTADSRVSRANMNIYGQNFPMPLQPQNFALMTAASMGGSTSSGGNHGEKKQQSQQPSQQPGSRTGVEPLTSQAFAMSFASMNGATNPGLDISSFAQSHAILQSLPESTRQGYQQIMAAAVAAQAAQQKKNNYHASEEGKRGSNDASGVEEERKAMAGKGSASVGQSIAFSRQDWSDSSAIPGNNVIDSSARTLNLGSAPARTSANPKSSTQGQQPPSSTPSQSPPMVVGSPTTSISRSAGGSPRTTGSTSTGNKGGQASTLSSQQGKNSPSVPSRKSSPVGGRSVPSVLGNPHISSSSNMGTKPQMVLQQQQQLQHQKHPLQNTQLFFSSAYMPAQVQHSPNPTATATAASGYYLQRQQQAQPPGSSTTSSSSMLSLCSPVSLANTGTTDPAKAVVAAAAAAAAAGNMKGGGLPSQGLIHAAQFATTQSSGKPHQLMPGFPYVHAVPAAVQVKPAEQKQPAGGAGFLPRNDSMVSGGAQNDNRETAKTEEDDD >OMP11582 pep supercontig:CCACVL1_1.0:contig01133:2373:2875:-1 gene:CCACVL1_00424 transcript:OMP11582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITRNLDSQRTNKSELAPNQGGSWLSISEPDLGPSDGCIGRLGYKGGNSESRSRNFRECGPHNQLPI >OMP11079 pep supercontig:CCACVL1_1.0:contig01575:752:838:-1 gene:CCACVL1_00678 transcript:OMP11079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDELPELNPEEYEADRYPSPGRTRLRP >OMO75807 pep supercontig:CCACVL1_1.0:contig10974:6818:12557:1 gene:CCACVL1_16032 transcript:OMO75807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSQKSADKGKQKKDEIVGTKKTKTSSGSKKGTTKIATNKLKNVKIIRPSDKVLVNHFRDATHKLRYDNLNKFEVLPAKTLDWKKLSKNPRYADPHSKFARMNCGGDDALYVHICGQEAVLTAENIGQLLNIEPPKDFDYNTAWRVISGKKEKMPSKESQRKLLKSDVKLAHHFISNSLWFKKGSQEYITKDDVWLLYCTWKGIKVNLAQIIINKMKKMALKNEMICGYGIIIAMFIRTVTKDTETMKAIKEIKADIKEVKSAQNDITGMMTDLFELVTTVLTKQVATTKTTENLSESDNDEENEEFDEEEEQNQEVSDEEEKEEKSEDDQDGDESEKEEDGTKEEKSGSEYEVDESEEDVPEVTTASKGKKKVTSAKASTPTGKDGSSTPSKKKDAASITISTSPEKKRPRMKHANVDETTSEKQQSKRTLITPSPPSISFLRRLHAPLFSALLYREAPYPSVRSFSTRAAKTTLNDANPNYSNRPPKETILLDGCDFEHWLFDALLYGFDLAGYDDGSMVPPKKEIKQDGKKKLVKLYANKTRSRIMDLKNKLNTTKRGTLPVSDYFDKMKNIVDDLNLAGVAVDEDDLVLSTLNGVSQEFREIAAAIKARESPISFEELHDKLTSFELHLKRENISDVSIPTTNHVKKPKNSNENSFPPKKHNRNFSSNDKKFSSVTCQLCDKPGHSAKKCHQGKKYFDSKPSANLAQTNSTGKTWCMDSGASHHVTSELQNLSLKSDYDGTDEIMIGDGSGFANGSRLNTGHSVDGVYQLPSTF >OMP12360 pep supercontig:CCACVL1_1.0:contig00092:11:1291:1 gene:CCACVL1_00023 transcript:OMP12360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MGPFPPSDKKNYIILAVDYVSKWVEAIATEKDDARTVIKFLKNNILHRFGIPRYLISDGGTHFCNKIVQVLVEKYGVRHKVSTSYHPQTSGQAEVSNRQVKLILEKTVNVQRKDWSMRLGDALWAYRTAYKTPLGMSPCRILFGKACHLPVGIEHKAWWAVKQCNLDFDKAGIQRMLQLQELEEIRNEAYENSRIYKEKTKAIHDKGILRRSFHEGQKVLVFNSRLKYHPGKLRSRWYGPFEVVQAYPNGAVMIKNPENQKHLSFSLTRLGCAGWLVFLIGIFVKTEIALYVGPDQEAASSSSSSWNGSWIDKWFNQETTSAAPEPEPVNPPTLEPQAQPPTKEEIELKLKAFIYSFCNRGVPARSMEIAKRELNLDMASQEKLQKILELMGNHGENPIINQPIQASTARKVLIEEINKWEAGRNA >OMO83948 pep supercontig:CCACVL1_1.0:contig09819:41220:50340:1 gene:CCACVL1_11075 transcript:OMO83948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGKVLCIALPIQNAITNHFGTEYSVPTHVPTVRTITCTISSKYDIPMPVPEPRAALGTDEDWPLLEAARRVEPYGDYVDINLSPKLSKGKGRVVDGGVPFYLSVYGWSNVRD >OMO75548 pep supercontig:CCACVL1_1.0:contig11031:8032:11354:1 gene:CCACVL1_16141 transcript:OMO75548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLLTDSFVGDARGHGDIEMGRQGPESSSDMGMEAFNKQIQEVEKQVEKLSVLLRKLKEANEESKAVTKASAMKAIKKRMEKDIDEVGKIARNVKARLEAINKDNLANRQKPGCEKGTSIDRSRTNVTNALAKKFKDLMIEFQTLRQKIQDEYREVVERRVITVTGSIPDEQTIDRLIETGNSEQIFQKAIQEQGRGQVLNTVEEIQERHDAVMEIEKKLLDLQQIYLDMAVLVEAQGEILDNIESQVSTAVSNVQSGTTALQNAKKRQKSTRKWTCIAIIILLIIVAVIVVGVLKPWRSS >OMO75546 pep supercontig:CCACVL1_1.0:contig11031:96:1762:-1 gene:CCACVL1_16139 transcript:OMO75546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MAKGQKLVSFLTFQLILVLLVLDQTNAQGLSLDYYSKTCPDLGNIVRKEIHRVISIAPSLAAPLLRMHFHDCFVRGCDASVLLNGTTNNPAEKAAIPNLSLRGFNIIDNVKALVEKACPGVVSCADILALVARDAVLEIHGPFWPVPLGRRDGRVSIMNQVFAELPAPFHNISTLKAFFAAKGLNTKDVAVLSGGHTIGTSHCGGFSNRLYNFTGRGDTDPTLDPNYIVKLKQKCKPADTTTLVEMDPGSFKTFDEDYFTLVAKRRGLFQSDAALLDDPETKAYVFLQAQTHGSTFGKDFAQSMVKMGKALVLTGTQGEIRKHCALVN >OMO75547 pep supercontig:CCACVL1_1.0:contig11031:6063:6827:1 gene:CCACVL1_16140 transcript:OMO75547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MKVTIVAWGREFGMEIGFQESVLSIKRKLEQFLGIPVGGQILSVFGWELVDGLDMEDYPIVSHGTKIDLTLKSLSPQFPHCNKIQITVKFSAKQVTIEVDRTETVRSLKEKIHIVDGTPIRRMSLYFSGIELADDFRNLSEYGISEFSEIIVFLKNMNRLSRENQQTPARKLEIVLQMSSSLINAATIPLEIKDSSTVNELKQLVLSRKILPQDDYLFIHKQRIMRENCSLKWHGVESGDFLYVFRGTVTRGGY >OMP05243 pep supercontig:CCACVL1_1.0:contig05467:4524:4904:1 gene:CCACVL1_01985 transcript:OMP05243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSKGFSLGILLITLMILLINTSQLGATSAPSGALVKDFQGLYAAIAGNMQMELLHSSTMGRMLIEDNIEEEFVTFGALIADEAVLKPPTCNRGKPYRGCLPDPNQPPIKDTCSTYKRGCPKPSV >OMP05244 pep supercontig:CCACVL1_1.0:contig05467:6755:7036:1 gene:CCACVL1_01986 transcript:OMP05244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSKEVTGSSRFATIGTKMELEFLLDSEIGRMLAEKFNSFVTSGAKLPNQSAVNCERSGTPYKQCTPSDVNKQKVPCRGGQYNRECPRPSN >OMP05242 pep supercontig:CCACVL1_1.0:contig05467:2056:2403:1 gene:CCACVL1_01984 transcript:OMP05242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKVGKGVSLVAVIMLMLLLNSSRNGAIGASLKELNGSGRFATIAANMELEWLMESEIGRMLAEHKYVTENTEESEKPAVDCGRGKPYKSCTPPGNNPKVPEPCSTYKRGCPTS >OMO53195 pep supercontig:CCACVL1_1.0:contig15301:19649:19808:-1 gene:CCACVL1_28815 transcript:OMO53195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGLDQSNQQVFSTIAECEVNKTRN >OMO99590 pep supercontig:CCACVL1_1.0:contig06856:7372:20567:-1 gene:CCACVL1_03719 transcript:OMO99590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPLLLRSIFSASTTKSFTSHLPRRLPPLSQGFSAGPATAAAAAATDPNAFLDPNRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSISWKENELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKVDRPAVSEERCNEVESLVFDLFANLGATEEQLEFPVLYASAKEGWASSTFTKDPPTDAKNMSQLLDAIVRHVPPPKANLDAPFQMLVSMMEKDFYLGRILTGRVSSGIVRVGDRIHGLRGKESGVEKIEEGKVVKLMKKKGTNMVLIDSAGAGDIISMAGMASPSIGHTVANTEVMIALPTVELDPPTISMTFSVNDSPLAGRDGTHLTGGRIGDRLMSEAETNLAINVLPGLSDSYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTENGQKLEPIEEVSIEVNEEHVGLIMEALSHRRAEVSDMGPVAGNFGRTRLSLTCPSRGLVGYRSVFSSDTRGTGFMHRAFLMYEKYRGPLGNVRKGVLVSMGYGTITSHALMSLEARGTLFVTPGMETYDGMIVGEHSRDTDLDVNPVRTKELTNVRAACKDENVKLSPPRLMTLEEAIGYVASDELIEVTPKAIRLRKRYLDVNKRKAMSKRPK >OMP00053 pep supercontig:CCACVL1_1.0:contig06732:2482:2553:1 gene:CCACVL1_03472 transcript:OMP00053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKQFRGSVRDRGVKRGQLVKFQ >OMO56456 pep supercontig:CCACVL1_1.0:contig14517:24612:27333:1 gene:CCACVL1_26526 transcript:OMO56456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylylsulfate kinase MEALKAVRPAISCSSSGFENHQPAPLPKVSFVKLPAPAPSLNGCSNARLSSVQATEESTVSFTNDRAAVFSGENCRQMATNGKATNIVWHKNSVGKHHRQDLLQQKGCVIWITGLSGSGKSTLACALSQALYSRGKLTYILDGDNVRHGLNRDLTFKAEDRAENIRRIGELAKLFADAGIICIASVISPYRRDRDACRALLSEGDFIEVFMNVPLQICEARDPKGLYKLARAGKIKGFTGIDDPYEPPLNCELVLPQNEENCASPCEMAESVISYLEEKGYLQA >OMO56460 pep supercontig:CCACVL1_1.0:contig14517:47415:56223:-1 gene:CCACVL1_26530 transcript:OMO56460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 43 MGMLHASLIFLLVFSSIFSPKLVVFGSSLRHLKRPDPLRHLKDYNGIYNVTNMHYWASLAYTGIHGYAMAGVWTFCGACFGIFLIFKNICCRNNDSSSSSLADQLDRYSLLLFLLFVLLNVARQSLTRIQYLLLPYDKKTSQQLNVTTHRIGRQSRTIQHFVINHEHSINVAIQASYIAHLAVATVNLLLLISALVLVLLHWQPGLIFTIFVCWILTAVCWFLTGIDVFLHTFAADSCTAFEDYVQEPQNNTLSSILPCLNSTDSDRILIGIGSTLHNFIGYLNQKIAEIYSKLKVKEQHGESFEFGMICDPFTGAPNYTYAPEDCPDDAIPIGDIPDILSSFTCYSENATKKCLRDGKFVPEDAYNKASAYSNSVQAMLNVFPDLQNLAECTMKVRHKREKPSIILEIRKEENMRVRNKYRKPTAFPCNAGIRCSMSVVVWSLVGFVLMIQLYSLVSHRNAAHKDIQLHMSRHPLVRELEQVEEENIQIPPPRGKRSPRAAKRRPKRTTTLIDEFLDENSQLRHVFFPGMKTAVDPTKDAGNDTYYYQPGKIWLDTEGNPIQAHGGGILYEERSSTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSKDLWTWKNEGIVLSAEETNETHDLHKSNVLERPKVVYNEKTGKYVMWMHIDDTNYTKASVGIAISDYPTGPFEYLGSQRPHGYDSRDMTIFKDDDGVAYIIYSSDDNSELHIGPLTDDYLDVKPEMRRILVGQHREAPALFKYQGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCIGGNKMFRLATFFAQSTFVIPLPGIPGSYIFMADRWNPADLRDSRYVWLPLIVGGPADRPLEFNFGFPLWPRVSIYWHRKWRLPIRWRASN >OMO56463 pep supercontig:CCACVL1_1.0:contig14517:65510:66028:1 gene:CCACVL1_26533 transcript:OMO56463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITTKLPTKSIIRLLISSPPKPPIFRFFSTATAEDRTQKLERIADELLDLTKIERYDYSILFRLKLGLDRYGPAVSGVSSGAASVAGSGSGAAEAKAAEKTAFDIKLEKFDATVKLKIIKEVRAFTDLGLKEAKDLVEKVPVVVKKGVTKEEAEGIVKKLQELGATAVLE >OMO56470 pep supercontig:CCACVL1_1.0:contig14517:101716:104127:-1 gene:CCACVL1_26541 transcript:OMO56470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAATVVIQHPGNKIERIYWSVSANEIMNTNPGHYVALVVTSPTLKNENGLPLKQLKLLKPDDTLLIGHVYRLISFEDVLKEFAAKKCGKLGKLLKERGGLGLGMEMKRKDLNPKLNSKSDQNSSSVTSVKVEQDVNRLGSSGGGGGGGGGSGGSSRYTGRHHGGGGGGGQWKPALQSIAEIGT >OMO56472 pep supercontig:CCACVL1_1.0:contig14517:110303:111767:1 gene:CCACVL1_26543 transcript:OMO56472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLNLPSFPNLYCNEAAAEIVSWEAVDDEDDCEVVTNFASPESFNIDYDDESLRNMFDSEVDQMLEFKVLSGFYDLPDIVTARQEAIKWILKVHSYYRFRPETAYLSINYLDRFLSTRALPQGKGWPMQLLSVACISLAAKMEERTVPLLLDLQILKPRFLFKPKTVQRMEVLIMNTLKWRLRAITPFDFLHCFIARISCITNSQQYSLCHDLLSGACNLIIDTCKAIDSLDYPPSAIAAAVALWMTNHSLDDHNLGCLNDRINKEMARKIYNMIQRKMSILPYVKPQKLQPLPQSPTGVLDAANAMQGSCKLKKIGNNNAEFKQ >OMO56455 pep supercontig:CCACVL1_1.0:contig14517:12112:15070:1 gene:CCACVL1_26525 transcript:OMO56455 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MKPWGNLGVVETIYEEEYEYSSSSSSLSPSVLSSPPTPLHSRVQAWSSETGHKPDVAIHVQGTVFHLHKDPLSSRSTYLRRQLREHSELTLSPPLNIMAETFALVADFCYGTHLLVTPFNVASLLLSAELLGMTETKGEGDQNLKQITESYFRRFVAVNGEYAAIVFRSCLALLPEAETTACLVSRCVEVMNLTEDGDGVDSYFDDVISLRAEDFKIVTESMHQRFEYHDLLYRIVDFYMEVNNGKITEEQKTQICNSIDCNKLSPQLLLHAVQNPRMPLRFVVRAMLVEQLNTRRSIYSAAEHHSSRGGGGRLHRRPARNTNNSAITLGTILQRDAAMRETAQLKAAMDATSSRIQTLEKQLHCMKKILQESDNLNTTEGEGVGGGGSSRDVIKSGRSASFHYDSRNTIEREDRTARTSASFRFSGREREEAAEGLKFDGSSSSENSSNVDSPRIKKNIGQRLLKGLKSALRVSNSSTKNGSDKKISSKSEIGKIRSEGENGIHNFNQR >OMO56469 pep supercontig:CCACVL1_1.0:contig14517:96804:99526:1 gene:CCACVL1_26540 transcript:OMO56469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRLLRDHEADGWERSDFPIIYLEYGLPVQVRDSALSINSNDAIPKSDVNREYFAEEHDRRARAGLDYESSYGKVRPNDTIMKLQRTTPYYKRNRAHICSFYVRGECTRGAECPYRHEMPVTGELSQQNIKDRYYGVNDPVALKLLNKAGEMPSLEPPEDESIRTLYVGGIDKRVSEQDLRDNFYAHGEIESVKMVFDKACAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGKPQAPRPESETSEGARQQASVAHSGMLPRAVISQQQNQYQPSGPGVPDQPPPMQYFNIPPPPQLDRAYYPSMDPQRMGALVPSHDGENKSGSSEKQPQGQHYPYQTMPPPPPGQYHHQPYPPYGYMQPMPPYQQYPPYHHSGMPPPQAPSANQQYQHSGPPRPPPPVTAPSTSTQQASTSSGSSPPVPGPSGSSS >OMO56461 pep supercontig:CCACVL1_1.0:contig14517:59076:60529:1 gene:CCACVL1_26531 transcript:OMO56461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, Rab type MIKLCLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISKSELHDLLSKPSLSGIPLLVLGNKIDKPGALSKPALTEEMGLKSITDREVCCFMISCKNSTNIDQVIDWLVKHSKSKS >OMO56474 pep supercontig:CCACVL1_1.0:contig14517:119329:120663:1 gene:CCACVL1_26545 transcript:OMO56474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyl transferase MAKPRNRPLWCLSESFVLVGGVFLSLLLVWSFWSFFTPTPGNFDPSSADPFSKLKKNPAGDCIDSGFGVNLKSDPKEPTFYDDPEMGYTLEKPVKDWDEKRKEWLKRHPSFAAGARERIVVVTGSQPKPCKNPIGDHLLLRFFKNKVDYCRLHGYDIFYNNLLLHPKMNSYWAKLPIVKAAMLAHPEAEWIWWVDSDAAFTDMEFKLPLERNCQWSMDLINTWSNMGPIGKDYYKWGQIQRSTFKDKLFPESDDQSALIYLLYTQKEKYYDNIYLEGEFYFEGYWLEIVGNYENTTERYLEIERGVPKLRRRHAEKVSEQYAAFREEFLKEAGNGKGSWRRPPITHFTGCQPCSGDHNQIYAGETCYKGMVKALNFADNQVLRNYGFVHPDLLDSSSVTEVPFDYPADEGPW >OMO56462 pep supercontig:CCACVL1_1.0:contig14517:61020:64917:1 gene:CCACVL1_26532 transcript:OMO56462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSIVSSPQLPPPQFEPNTENIKRKLLRKGVYPSPRIVRTLRKREIQKHNRKAKKSQPETPPPSASELQSLAEESHFLTLKREYKRFSKALNGKKEPRSTSSLVGKPWERIERAELAELVSKTGEFEGEGLKTENLVDLREMFEKDLRWVLDDDVDVEEDDWLLTSGKPEREWDPSKRWRNEKEAIRFIVDRLSEREITEKHWKFVRIMKQSGLQFTEWQMLRIVEGLGKKGKWRQAMAVVQWLYGKKERRDFKSRFVYTKLLSVLGKARRPQEALGVFNLMLGDCHIYPDLAAYHSIAVTLGQAGLLKDLVKIIECMRQKPSKRIKNMRRKNWDPELEPDLVVYNAVLNACVPLQQWKGVSWVFEQLRKSGLRPNGATYGLAMEVMLQSGKYELVHEFFRKMKRSGEAQRALTYRVLVKAFWEEGKIDEAVGAVRDMERRGVIGTASVYYELACCLCKNGRWKDAMVEVNKMKKLSHMKPLEITFTGLIMASLDGGHVNDCISIFEYMKDHCAPNIGTINAMLKVYGRNDMFSKAKELFEESNKAKSGPYSSVNGKFSNLIPDGYTYSLMLEASASALQWEYFEYVYKEMALSGYHLDQTKHAILLVEASRAGKWYLLEHAFDTFLERGEIPDVLIFTEMLIQAAVQSNYKKAVTLVNTMAYAPFQVSEKQWTELFEEHRDRFAEGSLERLLDALSNCKLSSEITASNLLRSLQTLCGSAMSTSRSSFSSELTGISEPKSENTETSGNERSNIPSTSGDLMGVKTNAAMDPPVRATGGGVDADLVQSLSNYVKDDSVSRTHTGIGDLANDIASSDPTNCLGKQQ >OMO56458 pep supercontig:CCACVL1_1.0:contig14517:31705:35931:1 gene:CCACVL1_26528 transcript:OMO56458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKQHSKDRMFITKTEWATEWGGAKSKENRTPFKRLPFYCCALTFTPFESPVCTEDGSVFDIMNILPYIMKYGKNPVTGAPLKQEDLIPLTFHKNSEDEYHCPVLNKVFTEYTHIVAVKTTGNVFCYEAIKELNIKTKNWKELLTDEPFTKEDIITIQNPNALDSKVTLDFDHVKNSLKVDDEELKDPTYNINAVGDIKQMLAELGTEKAKETALLGGGGSKAQNERAAALVAILAARSRLNEESKSDGNGESKTQPAYSIVDAASASVHGRSAAAAKAASSDKTAARIAMHKAGERAPVNAKMVKSRYTTGAASRSFTSTSYDPVTKNEFEYVKVEKNPKKKGYVQLHTTHGDLNIELHCDITPRACENFITLCERGYYNGVAFHRNIRNFMIQGGDPTGTGRGGESIWGKPFNDELNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSANHLNFKHTVFGGVVGGLTTLSAMEKVPVDDDDRPLEEIKIISVTIFVNPYTEPDEEEEEEKGKGETNADDEDKDKVGSWYSNPGSGTAEPVGSGGVGKYLKSKNTQSESATVDTSLAIPVTKKRKVKAGEFKDFSGW >OMO56467 pep supercontig:CCACVL1_1.0:contig14517:90567:91148:-1 gene:CCACVL1_26538 transcript:OMO56467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNQQQPPVSVPPPQGYPPKDAYPPPGYPAEGYPYPPPQYAQYPPPPPPRQQQQETGFLEGCLAALCCCCLLDACF >OMO56473 pep supercontig:CCACVL1_1.0:contig14517:114734:116986:1 gene:CCACVL1_26544 transcript:OMO56473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hly-III-related protein MAAETMEKCKVSCSKDGKGRRLWKKVKYQLVEYHSLPGYLRDNEYIVGHYRSEWPLKQTLLSIFTIHNETLNVWTHLIGFFIFLSLTIYTAMKVPKVVDLHSLQHIPDVLRRADLHKLHSELMTCLPDLHKLREELITTFPSMDLLPSIAGWHVMDLLYNCLPERFSTGNHTDVCVLRSVKEDVENIIAPLMVRPITRWPFFAFMGGAMFCLLASSTCHLLSCHSQRLSYIMLRLDYAGIAALISTSFYPPVYYSFMCDPFFCNLYLGFITILGIATVLFSLLPVFQNPEFRTIRASLFFGMGLSGVAPILHKLILFWNQPEALHTTGYEVLMGLLYGMGALVYATRIPERWMPGKFDIAGHSHQLFHILVVAGAYTHYRAGLVYLKWRDLNGC >OMO56459 pep supercontig:CCACVL1_1.0:contig14517:42028:43548:1 gene:CCACVL1_26529 transcript:OMO56459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MDTLFRLVSLQSSDQSFNSSRTSSSSRSSRQNHHYQQEDEECFNFFMDEEDFSSSSSKHYYPYHHQQQQPHPSTTTATATTPTTTTTNTSTPTTTHHGGFDPSDHFSFSPARDLNFDFSGKWATDILLETATAIADRNSGRVQQLMWMLNELSSPYGDTDQKLGSHFLQALFSRMTDSGERCYRTLASVSEKTCSFESTRKMVLKFQEVSPWTTFGHVACNGAIMEAFEGETKLHIIDISNTYCTQWPTLLEALATRTDETPHLRLTTIVVRKNGAVSGGGSAAVQKVMKEIGNRMEKFARLMGVPFKFNVIHHAGDLCDLDLSELDIKEDEALAINCVNTLHSITAVDNRRDIMISNFRRLQPRVITVVEEEADLDVGVDGLEFVKGFQECLRWFRVYFEALDESFSRTSNERLMLERAAGRAIVDLVACPPSESIERRESATRWSRRFHASGFSAVALSDEVCDDVRALLRRYKEGWSMAQCPDAGIFLSWKDQPVVWASAWRP >OMO56464 pep supercontig:CCACVL1_1.0:contig14517:68387:70914:-1 gene:CCACVL1_26534 transcript:OMO56464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTAEEEAALKAGVLKHGTGKWRNILSDPEFSSVLRSRSNVDLKDKWRNINATAIWGSRQKAKLALKRNQLNGKHVENSVAVTIVPASEEVVDAKPFTVSSGTPRAISPRKPFSRLDNIILEAITSLKEPGGSDRASIAVYIEEKYAAPLNLKKLLATKLKLLVANGTLIKIKHKYRIAPRSTVTEARRSPLPLLEGRLKDSSKPEKKVIRILTRTQVDADLSKMRSLTAEEAAAAAARAVAEAEVAIAEAAQAAREAEAAEAEAEAAKIFAKAAEKALKSRMQETW >OMO56475 pep supercontig:CCACVL1_1.0:contig14517:123028:125186:-1 gene:CCACVL1_26546 transcript:OMO56475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKLSESRDLTRIERIGAHSHIRGLGLDSSLEPRDVSEGMVGQTQARKAAGVILQMIKDGKIAGRAILLAGQPGTGKTAIAMGMAKSLGLETPFSMLSGSEIFSLEMSKTEALMQAFRKSIGVRIKEETEVIEGEVVEIQIDRPAVAGAASKTGKLTLKTTDMETVYDLGAKMIEALGKEKVQSGDVVAIDKASGKITKLGRSFSRSRDYDAMGPQTKFVQCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENEMAPILVVATNRGITTIRGTNYKSPHGIPIDLLDRLLIITTTPYSADEIRKILDIRCQEEDVEMSEDAKQLLTKIGHETSLRYAIHLITAAALNCQKRKGKVVEVQDITRVYSLFLDVRRSTQYLMEYQKEYMFNEASMVDGGEDDADAAMHD >OMO56471 pep supercontig:CCACVL1_1.0:contig14517:106428:106538:-1 gene:CCACVL1_26542 transcript:OMO56471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRGEVAAVEMKHWQLFIMRRAAANRWSAGHGCVRA >OMO56465 pep supercontig:CCACVL1_1.0:contig14517:72827:74982:1 gene:CCACVL1_26535 transcript:OMO56465 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase MAAANTLQKLTSQARAVRSGLGSFSRAFSSDSLVEVKPGEVGMVSGIPEEHLRRRVVIYSPARTATQQGSGKVGKWKINFMSTQKWENPLMGWTSTGDPYANVGDAGFSFDSEEAAKSFAERHGWEYVVKKPHTPLLRVKSYADNFKWKGPPKSEE >OMO56454 pep supercontig:CCACVL1_1.0:contig14517:10262:10423:-1 gene:CCACVL1_26524 transcript:OMO56454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVQPTILLLSPLEAQGPFHGTIVRPPYVDERTTLIRSEVQALRQVNAGSQG >OMO56457 pep supercontig:CCACVL1_1.0:contig14517:28352:31284:-1 gene:CCACVL1_26527 transcript:OMO56457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPKPTSSGGDSSSGEEDGDAEWKAAIQSIAATTTASFTANGFKNNSSNSSTTKTTKNNLGSHSTQAINGDGDEDASEGEQKQNPQKLKHYQIKAQKLLDQMLEKTLEIVKDANNVPEEESVVDDGGVRLFRNSTPGIVFDRNNDILGPTKRPKIIPGRGIDENSKEFRRQLRSVAVDGNDILAAARQSSQKSLARLEAKEAAAKEKAKREEERIAELKKIRGERWLPSMVREMQFFWKMAMVLAMVVDGLGLLVRTLWGLQERRFSATFDAVSSVAVSMTMALVVCFLSIHGHARPEIGLAFI >OMO56453 pep supercontig:CCACVL1_1.0:contig14517:5631:6307:1 gene:CCACVL1_26523 transcript:OMO56453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKKPDSSPPHKASATAPPDKPTAVTEFLDLWVEFKKSIPFE >OMO56466 pep supercontig:CCACVL1_1.0:contig14517:75883:81697:-1 gene:CCACVL1_26536 transcript:OMO56466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANINTAAKASTTTCAAWISQINIQGQICSSPSSFCSFSSFRGGLSYAGRLLRELRKRSSAVKGINGEAKINGNKYFYDVSEEDLRFVEVFREAQTYIRLHRGSTFVLLLSAEIVASPNYLDSILQDIAFLHHLGIRFVIVPGTHVQIDKLLTERGHEPKYVGRYRITDSESLAAAMEAAGGIRLMMEAKLSPGPSICNIRRHGDSSRWHEVGVSVASGNFLAAKRRGVVEGVDYGATGEVKKVDVARMRERLDGGCIIILSNLGYSSSGEVLNCNTYEVATACALAIGADKLICIIDGPILDENGRHINFLPLQEADMLIRQRAKQSEIAAKYVKAVGEDDLTFLGHDDAIAVVPSSQNRNALNGTHNPTFQNGVGFDNGNGLSGEQGFAIGGQERLSRQNGYLSELAAAAFVCRGGVQRVHLLDGTISGVLLLELFKRDGMGTMVASDLYEGARMAMVTDLAGIKQLIQPLVESGILVRRSNEELLKTIDSFVVMEREGQIIACAALFPFFKDKCGEVACIAVSSECRGQGQGDKMLDYIEKKASALGLDMLFLLTTRTADWFVRRGFKECTIDMIPEERRKKINLSRKSKYYMKKLLPDRSGITADRAFK >OMO56468 pep supercontig:CCACVL1_1.0:contig14517:92802:95986:-1 gene:CCACVL1_26539 transcript:OMO56468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGKRLRGFALVLCLLFLAFSSFSSVAFSSGNHSLVVGEFNKTLLFFPSLQVESSPGLKPGTRVLCERVHIDGLSRFRNLKKFAHSVKVKVSHGDSSLRSRPNVEVCFHRNASLGIGMCPQGKWQKVSKGSWVTPMSPFDHKLLDIRLIGSSQEMLEVSIEEEFFLYRIIFLISGIVLLSLASSLSQSLVFYYSSAMAVGVILVILIVLFQGMKLLPTGQKNSLAILMYSIMLGVGTFLLGYVPRLLRSLLSELGISEDMYNPLAIFLLCFVVLAGAWLGFWVVRKLVLAEDGSVDISTSLFVAWSIRIVAVIMILQSSLDPILAAEALISGLMLSSVLRKVTRLRFLQRTCKKLFKFVKNIGSKARIPDLSPDQDSYDEYIYTRPKNSNFLERQSRRFPLASCNTSIQGFNRTSPSQLSDEDSFLSTFHNTPERKKFSKAEWEKFTKDSTQKALDELVSSPDFSKWVASNADRITVTPSTSSVSNSARRRRWFLWS >OMO56452 pep supercontig:CCACVL1_1.0:contig14517:5178:5255:-1 gene:CCACVL1_26522 transcript:OMO56452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSQKKNELAKKFWNSMAGDPLGK >OMO56476 pep supercontig:CCACVL1_1.0:contig14517:126907:127643:-1 gene:CCACVL1_26547 transcript:OMO56476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREEKRKRFHEAVIKTLYPPPSPPESEEEEEKKPVILSERAVNFDLDENPEDFGESCSAKSDDEDDGVQGETLKLSRAQRKRLRKNKLKEDAFRRGKIIGPLQPVSEAEHDEVGTLQAETQGVRENAAKEQNASCEKPGHKQGDCSSSKRKLKQRRIAKRLAKEGLKSTETENSDQDTERQVLS >OMO62361 pep supercontig:CCACVL1_1.0:contig13278:1259:2115:-1 gene:CCACVL1_22872 transcript:OMO62361 gene_biotype:protein_coding transcript_biotype:protein_coding description:disease resistance protein VGFLSLEKLVIIDMENLKRVSSDQISVDSFCRPKVLKLLGVAKQSTILPPCSPHLISNLQELVVGRTNELRELFQCEGAPGEIIKHGWAQARFSKLSLFKLPMLTHIWKEGFRFQQPGELFQNLITLEVSECSALKILVPSPVSLTNLTTLEIVECHGFLNLITPSTAKSMAQLQTLKITHCNLISEIVAPSGGGGVEEYGIISFLKLKYIGLQFLPSLTSFCSGPYTFDFPALDKLIVRGCPKLEKFSMPDDLKPQNLEKVCLSEEEDDWHWVRADNLNTTIKE >OMP01535 pep supercontig:CCACVL1_1.0:contig06418:7324:7383:1 gene:CCACVL1_03067 transcript:OMP01535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLPAGRWSNEIKERMKK >OMP01534 pep supercontig:CCACVL1_1.0:contig06418:3240:6477:1 gene:CCACVL1_03066 transcript:OMP01534 gene_biotype:protein_coding transcript_biotype:protein_coding description:immunoglobulin superfamily member 10 LFYDEFFTHSQPKLFTTSSNVQRFYQRSSIEIIGLPYRKTNQSRRNVFNRIPFFGWQARSILSLNQMEKRGAASPTTTSFAESVYETTCFNEGSTSMKRLISPSSGGGTTGGFRGEHRTEGSLVRLKMSEKAIHEATAFFPIPQTKVERSSQVNELGAHTGVSNYVEGIRFSQPLAEGGKLTSGSTLGQTDTRSKARHLRPLRLTVRHLIRFATLRFYLRKVQDS >OMP10530 pep supercontig:CCACVL1_1.0:contig02295:491:990:1 gene:CCACVL1_00897 transcript:OMP10530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LHYPRNKGREQNVKMTSTYQMIWQRVIARKPFLFPLVEITFLSESVSEMDRPSQLCCSFFPKIRGLGSTTDSEKVNPYSYVVP >OMO60463 pep supercontig:CCACVL1_1.0:contig13718:7409:8887:1 gene:CCACVL1_24129 transcript:OMO60463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent decarboxylase MGSASEETFSPLEPMSFRNESKEVIDFLAEYYQNIEKFPVQSQVQPGYLWAKLPNSAPYYPESLQDILKDVNDCILPGLTHWQSPNFFGYFNINASTAGFLGEMLCSGFNVVGFSWFSSPAATELESIVIDWMGNLLKLPSSFLFSGTGGGVLHGTTCEAAVCILAAARDKALKQLGGNWENITKLVVYASDQTHFTFQKAAKLVGIPPSNFRLVETHSSTGFSMSPKQVSLAIEHDIKSGLVPLFLVGTIGTTPTGAVDPIEELGQIARKYELWFHIDAAYAGSACICPEFRPYLDGVELANSISMNPHKWFLTNMDCCCLWLKEPKFLIDSLSSDPEYLRNKASESKAVIDYKDWQISLSRRFKALKLWIVMRRHGLANLMYHIRSDISMAKRFEEFVAKDERFEIVAPRKFALVCFRLKPKGKVEGNELNRKLLEVINSSGRALVSQAVVGGVYFIRCAIGTTLTEERHVDALWKLIQEKAQSLLMEKN >OMO60464 pep supercontig:CCACVL1_1.0:contig13718:15076:16557:1 gene:CCACVL1_24130 transcript:OMO60464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent decarboxylase MASASRETFSPLEPMSFRNESKEVIDFIAEYYQNIEKFPVQSQVQPGYLWAKLPNSAPYYPESLQDILKDVNDFILPGLTHWQSPNFFSYFNINASTAGFLGEMLCSGFNVVGFSWFSSPAATELESIVIDWMGNLLKLPSSFLFSGTGGGVLHGTTCEAAVCILAAARDKALKNLGGNWEKITKLVVYASDQTHFTFQKAAKLVGIPPSNFRFSETHSSTGFSMSPKQVSLAIEHDIKLGLLPLFLVGTIGTTPTGAVDPIAELGQIARKYELWFHIDAAYAGSACICPECRPYLDGVELGNSTSMNPHKWFLTNMDCCCLWLKEPKFLIDSLSSDPEYLRNKASESKAVIDYKDWQISLSRRFKALKLWIVMRRHGLANLMYHIRSDISMAKRFEEFVAKDERFEIVAPRKFALVCFRLKPKEEVEGNELNRKLLEVINSSGRALVSQAVVGGVYFIRCAIGTTLTEERHVDALWKLIQEKAQSLLMEKNI >OMO60462 pep supercontig:CCACVL1_1.0:contig13718:635:4039:-1 gene:CCACVL1_24128 transcript:OMO60462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 63 MTGGGRRSARSRVKSSTDVNEDDDALRRTKPNSKHRRDKSKDHGNALRILGANFKIMIFFTIVAGLIIFFLVSHLITPAAEEAQRPRVVTPFPAPKIMDLPQFQGEHKESLYWGTYRPHVYFGIRARTPRSLIAGLMWLGVKDGRYFMRHVCQDSDELSTYGWTSHDGRDFGHQRLVDQDMTLATSFLKSKEDGSGYGGDWAVRINVQNQGWNDDMQRNVHLFFYLADEDGNALSLGRDIFDLRENSLLASGLRTKIGGWQLHLKSKADLEVHYSGFRTPHIHNLSDLVQQNLASQFMRFNRLQLPDIYESSSNILVFQISGRIPLETDIVFVSGTGITSRVEERISSLAGISLTNKLEEKQREFDSKFENCFQLADKVL >OMO60465 pep supercontig:CCACVL1_1.0:contig13718:22232:35874:1 gene:CCACVL1_24131 transcript:OMO60465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSIDEEMENFELRRRPTKKKKLSSKENLHLEVRKDLNKTPNRSIDEKLPPYSGYALWCKWKCSNDEDDHGNIKKSKYTKAELQEAWHSLPAEEKEEWKIKAMKGLVSYKERHDIQEHSPSSKVYLATRCSPRSFVQCVSTFSKGKMDAIKEVGLGGLLSLKMKKMYREFCLNLLHHFNVYERCFEMQGKKISMSVEDVEWVMGLSSKGNSLDTSGSMDEVEKIREKYNLPKQIKIQWLEEEIQKMEVGNDEFKGFIATSKDVVAVLYCSLLYFFFERVYNIGGATTPITERRIPRIADWDDVKVQKSIRHIHKVGGLSTNKVVILDDMRDKLWGQRAFCKGDVDIFGALDNLKNEFHDFRKDVMEKFVEMGTDLRSLHELIKERLTPNKVEGSDQGRLEDEDPIEDGTNSKQELPPSPESKPICKNDASVTIDLQSTFVQNEVQESRTSNEDATAEVESSSKAKFFSRKRLRDTGYQKPDHAKSSPYTTMSRQRTRAQSKILKVINAAVFMMAKKEGNTDYKTQWFLPTTFVQKILCVDTMQQKIQSIVSSYCTVKHGVIYMGVLPSCQRIYLPMNISEKHWFLCIIDLVKEQVLILDSLKKKLQHDPKVKLVKQVIKFMDELFDNESFCKSELSKPCRVQDFDIISPSWIGRDGTGSECGMLLISYMMSPFPPMNAHVGELDRLRLLLKILKFDENIVRTDVEQKAVTSYQLMNNKILKCNEIGGKTRPNQTNKTPFQRVEFTSLSLNFYYPAFAFMQGRGMGIWRTPCQILLLLSFLLLTKSFFLEAAKSHSSSTGNNGSCIENERKALLKFKTSLKDPSGFLSSWVGQNCCNWTGVSCSNKTGNVLKLDLAWFNLIRLVPVPLNGFGQLGGTLNPSLLNLTYLSYLDLSRNNFAGLPIPEFIGSLKNLRHLDLSSASFNGKVPPSIGNLSNLEHLDLSMFLMFTWELWVSDLNWLSGLSSLKYLGLNNMNLSTAGTNWLEAVNMFPSLIELHMSSCELNSFPESLTHVNFSSLQVLDLGFNNFNSSLPPWLFNISTLTSVKLNSCELKGSIPKTSGRNLCNLQKLDLSFNTIDGEINEFIEALAGCGNKTIGYIDLSYNNLKGSLPESLGSLKYLDYLQLTRNSLSGSLPMSIGNLSSLTMLDLSFNSMNGTIPESIRQLTRLYQLELFGNSWEGIITENHLQNLSSLTGFSLSSVGKSVIFNLSQDWIPSFSLDELAVSDCQLGPAFPSWLTTQTDLSQLTLSSAGISDAIPDWFWSLSSHLRWVDLSDNQLTGKLPNSVSFDGPAASVDLGFNLLEGSIPIWPNVTNLSLRNNLFSGPIHSNIGHKMSKMVNLDLSRNFLNGSIPASINKMASLSFLDLSSNDLSGLIPKQLQGLQSLMILDLSKNNLSGGVPSSLCLLQVLLLLNLNSNNLSGELSTTLHNCSRLLSIDLGENQFSGTIADLVSNNLFFLFFLGLRGNLLTGGIPEELCKFPNLQIIDLAQNNLSGTIPECLGNLKAFTYLEPYVSEIPSIQHVSFEQHVEIVTKGRKNEYTKIISLVKAIDLSSNNLVGEIPDQMTKLSQLGTLNLSWNHLTGQIPKKIGNLQRLESLDLSHNNLSGPIPPSMSSMTLLNHLNLSFNDISGLIPTSNQFQTFNDPSIYQGNPKLCGPPLSTSCSSRSNKHAEDKKGESEGDHEDRHDKFWFYRVGERLRDLLLKILKFDENIVRADVEQKALMSHQLAYEQKLMARWRRSASQILVLIFLLLLTKSIFPEAAVSKSNSGNIGSCIAKEKKAFLEFKTSLNDPSGYLSSWVGQDCCNWTGVSCSNKTGNVLKLDLSWFNLCISTPPNKSCGGELGGTLNPSLLNLTYLSYLDLNGNNFSGLPIPEFIGSLKNLRYLDLSSASFNDKVPPSIGNLSNLEHLDLSMYSYPWQLWTSDLNWLSGLTSLNYLGLDNMNLSTVASNWFQVVSMLPPLTELHLSGCELNSLPEFLVFLNFSSLEVLDLADNKFNSSIPPWLFNTSTLTEVELYSCELKGSIPKISRSSLCNLRKIDLSANAINGEINQFMEALTGCSNNTIYYIDLGSNNFKGNLPESLGTLKYLDFLRLAQNSLTGLLPMSVGNLSSLTMLDLSLNSMNGTIPESIGQLTSLYQLDLYGNSWEGIITENHFQNLSRLFYFSLSSISKSVVFNLSQDWIPSFSLRTIEVSGCQFGPAFPTWLTTQTDLSELTLSSAGISDTIPDWFWSLTTELWWLDLFDNQLTGKLPNSVSFGHIIGAWVDLGFNLLEGSIPIWPNVTHLSLRNNLFSGPIPSNIGHKMSRVEDLDLSRNFLNGGIPASINNMGNLSFLDLSNNALSGLIPNQLQGLQKLMVLDLSKNNLSGGVPSSLCSLPSLNFLKLSGNNLTGELSTALQNCSGLYCIDLGENRFSGTIPDLVSNNLFLFYLGLRANLLTGSIRGEICKFPNLHIIDLAQNNLSGAIPECLGNLEAFTYLDPYVISELPFMRHIIFLQHVEIVTKGRNNEYSEMIPLVNVIDLSSNNLVGEIPNHMTELSALVSLNLSWNHLTGYIPENIRNLQRLESLDLSHNHLSGPIPPSMSSMTLLNHLNLSFNNLSGQIPTSNQFQTFNDPSIYQGNPKLCGPPLSSCSSSSNRKPEDHRNGELEVEDRYEKLWFYVSMALGFIVGFWAVCGSLIIKQSWRRAYFKFVDEMKDRLFVFIVVYCCPKKAVQGQ >OMO55774 pep supercontig:CCACVL1_1.0:contig14590:17190:18282:1 gene:CCACVL1_27012 transcript:OMO55774 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MSSSDRKITLKSSDGESFEVDEAVALESQTIKHMIEDDCADNGIPLPNVTSKILAKVIEYCKKHVEAPKTEDRSGEDELKTWDAEFVRVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFSPEEEEEVRRENQWAFE >OMO55776 pep supercontig:CCACVL1_1.0:contig14590:23857:23958:-1 gene:CCACVL1_27014 transcript:OMO55776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQWQWYLKVKRRRRLSLSGEDCGRHSGGGECG >OMO55775 pep supercontig:CCACVL1_1.0:contig14590:19048:19329:-1 gene:CCACVL1_27013 transcript:OMO55775 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide repeat-containing protein MSNFREGVTVGEKIATSLIAKENNYSYYQLLLNVYAVAGQWEDVARIEQILKDRKIYRFPGCNLVDFTETVHTLKAGEDQWQEIVELEDAKVS >OMO55777 pep supercontig:CCACVL1_1.0:contig14590:26517:26862:1 gene:CCACVL1_27015 transcript:OMO55777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNKLVAFIYNLIGGRVHASARIKEDSSYVVMKKQEMSKMVEQGLASEDGSIDVGKIAQFVGFRWGKKRGFIEEKPSPKR >OMO55771 pep supercontig:CCACVL1_1.0:contig14590:926:2435:1 gene:CCACVL1_27009 transcript:OMO55771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MMFLPQSRALFTLFPSCKCNGRSSRTITFSVFLGLFLYCCVENEAALVLPNNETIPAVFVFGDSIVDPGNNNNLLTIAKGNFLPYGRDFKYGPTGRFSNGRVPSDFIAEEFGVKDLVPAYLDPKLTIQDLLTGVSFASGAAGYDPITNQLANVISLPGQLDLFKESITKIKSAVGEEKAATIISKAIYIVVCGSNDVANTYFATPIRRPHYDFNSYTDFAASYAQQFLQELYGLGARRIGLLGLPPIGCVPSQRTIGGGKNRDCYDHENELARLFNDKLSGVVESLKATYNLPDTKIIFLDIYYPLLSLIENGAKYGFKVFNKGCCGTGLLEASIFCNPLSIPLSCPDASIYVFWDGYHPSEKAYSILVPTIINMHVSEFF >OMO55778 pep supercontig:CCACVL1_1.0:contig14590:30822:32047:-1 gene:CCACVL1_27017 transcript:OMO55778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSTGGELDTNYSNSKATISLNRVSSVSSKSSSSTSSSPSPFSPLLLPNQTSHRPKTMEEVWKDINLASLHDHSSRESLSLNPRALQNPHNPNFMLQDFLARPFGKEPPTNKEATLYGSPLPQPPATILSLNSGQGFDFHEPNSRLQSNPISNLTTFNCPFEALASSSALASFGKKRVQESDSSSGDRRHKRMIKNRESAARSRARKQAYTNELELEVAHLMEENAKLKKQQEQLRVAAAAQLSKKRTLQRTSTAPF >OMO55773 pep supercontig:CCACVL1_1.0:contig14590:12681:16415:1 gene:CCACVL1_27011 transcript:OMO55773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWEHFGEIANVAQLAGFDAVRLIAMIVKAASTARMHKKNCRQFAQHLKLIGNLLEQLRISELKKYPETREPLEQLEDALRRSYILVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLKIVPLITLVDNARVRERLEVIEKDQHEYTLDEEDRRVQDVILKPDPSTDAAAILKKTLSCSYPNMCFNEALQKENEKLQLELQRSQANYDVQQCEVIQRLLDVTEVAAATSVPEKSSSIKGSKKVERNYSDANSEKDHSYDESWPKKPDDRTTSRNTSSVSSGRDLLSDRGSYRYDEWHADLLGCCSEPSLCLKTFFYPCGTFSKIATVATNRHMSSAEACNELMAYSLILSCCCYTCCVRRKLRKALNITGGFVDDFLSHLMCCCCALVQEWREVEMRGVYGPEKTKTSPPPSQFMES >OMO55772 pep supercontig:CCACVL1_1.0:contig14590:3862:5556:-1 gene:CCACVL1_27010 transcript:OMO55772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVSPKDREIKAAKKSRRLKSSSSKYLKPGTLAQLRYSKASAAKLCTDLGKKRVAVCAAKKVEDDNLLIENKVIEKTPMMLSPVDLHKHSSLVRTPKTPRPEDFESESRLESLPMDLLVKILCHLHHDQLRAVFHVSQRIRKAVCIARQFYFNYTTPDRSRLEMLSTMTPRPTEHWPFISAVGVVSSKGDGKSILMGSPHTPKAPRHGPRPPSRVKVTELRQIAAVLFQDSGFPSRCMVPSVLPKPLCKSLASNRVLFYEDELCQAVAQNKLR >OMP05789 pep supercontig:CCACVL1_1.0:contig05210:4866:5000:1 gene:CCACVL1_01829 transcript:OMP05789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPMMTNTPATSMPLVPKPTRSNQQRVLSMWEPERPLARLNSS >OMP05790 pep supercontig:CCACVL1_1.0:contig05210:17259:22260:-1 gene:CCACVL1_01830 transcript:OMP05790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Donson MAKVATHGALTSTSLQIGGDALKVGPTVKRKTPSELRGEQLKRTNVAELVNKSFAPSEMENGLKKPDLPRNPRYIDTRMNEVYPAKKSRFKLLAGIENAKENSSIEQPSSLRKISALSDLAAKRRQQLSCPEASVASVDDPKDDEAHARRTLEKCSQGTFLSVTELSSGGQKASGLAIDMDKALKGLATRESIPNVPPESSGKFDDLSPTGNFCSEFHVTGQKIPVDFTLKTYMRLVSSSSVNWLNRAMMCGTYNGMPQLKSQSGWSENQNISSASQTRFASQVLDSKALHSWIYPQSTLPPSIVSILASSACEVCTSNFLVMFTAGGGSGRSRKSCQAYISQSTRGLRSLLKEHDVSFSMPLCRSQIEQVTTEDLVELSEIEKHNLGQTQRKNSFSDVDNTPQSLLAFSGIDNVHGLYEILLNYRYVVFLSFFLHCLVTVSDFFFLLSFLTFLNAADVPVLYSPVPFQNAALSAPKVRCREIKMADHGAAPPKGSTLKDGDFRALASNGLCYCLEIKDSYIPPWITSNICALMASEGRSFEASFTTELASVGLNIALEAVCEEADTEATIDEESEEISDAFGIPEAIVSPRMLSGLLKGLNYCNGSYTASLSPV >OMO88745 pep supercontig:CCACVL1_1.0:contig08943:5008:5079:-1 gene:CCACVL1_08229 transcript:OMO88745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIKGLSSIATVDEEYVFGVTM >OMO88746 pep supercontig:CCACVL1_1.0:contig08943:10267:10368:-1 gene:CCACVL1_08230 transcript:OMO88746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDVELVPALLSELYPEAKVYVTVRIVRKKWKTI >OMO66282 pep supercontig:CCACVL1_1.0:contig12561:3659:4807:-1 gene:CCACVL1_21230 transcript:OMO66282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKINQDSGNDQGKKKRVIIKEDLWGRGLPTELLELILSKLLMFVVDIQKFHAVCKTWRSITVSVSPPRQLPSPIPYADSSFPLLFKIINSRKYTVFHPMYKYIWDMYFPPQLQVRDLRPKTIYFSKYGWSLIMAQLDPHWFPILFNPLTQEIIQLPTTPFRPGYLSMFFTCPPSQPSDCFVVAISCPHRSIYVHKLGEADWKKHDLKGKMIDPYFQGRCHPILYQGLCYFLDGKRNLAVFDIQDIQHTWIVHDKLKLPSRREVPAVLTELVEHDGQLLAVLIGVDRSYIFELDLKTKSCAPMQISLEKNALFISEGASFSQRTIVRATGNKIFCPLILRTKIDSFGFYSLATGKYNSFFDRLSSNRLADMLYLGNSSWLSM >OMP07349 pep supercontig:CCACVL1_1.0:contig04508:682:768:-1 gene:CCACVL1_01325 transcript:OMP07349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDELPELNPEEYEADRYPSPGRTRLRP >OMO96515 pep supercontig:CCACVL1_1.0:contig07455:15854:15946:-1 gene:CCACVL1_04920 transcript:OMO96515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITQKPLNSISDRAFSLSGYIEEFDSSPHLY >OMO61440 pep supercontig:CCACVL1_1.0:contig13480:29457:33677:1 gene:CCACVL1_23514 transcript:OMO61440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLPLSDFSSPIVLKFSPSSPSFKTHFNVNRPFLYSSFSYPILHRSALFKFQPSQTLSYGGKNE >OMO63055 pep supercontig:CCACVL1_1.0:contig13063:30852:34022:1 gene:CCACVL1_22508 transcript:OMO63055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MEMGSGSLTESGGSSTNSSTESLNGLKFGKKIYFEDAAAAVASGGGGATVGTPVKSGPGSSSSSGSGRKARGGGAVQSAQPPRCQVEGCSVDLSDAKAYYSRHKVCNMHSKSSTVIVAGIQQRFCQQCSSGKGCGNYSYELVSGVVCRLLVVLDFDGGFGFIRFHQLSEFDQGKRSCRRRLAGHNERRRKPPPGSLLPSRYGRLSPSIIAFDACDTESSSRGGSFIMDFSAYPRLSARDAWPTARSSSKRVPGNQNTTTARSLPHPWQNNPENPPADLFLQSSPGGTGFSSAEIPPGECFTGVADSNCALSLLSNQPWGSRNRASSLGVNDMLNTEGSSVAQPTTPHGAAVNPYSNSSWGFKGNDSGSSSHGMTSSVGSQPVNSQLSGGIDLSQQNRRQQYMELEQSRAYDTSTQHIHWSL >OMO63058 pep supercontig:CCACVL1_1.0:contig13063:45035:47409:1 gene:CCACVL1_22511 transcript:OMO63058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-structural maintenance of chromosome element 4 MARIIKRERGTGSNSDISDTEANGDSDHQLGSDRRALRSRYLAVKTLIFEERDDISKVDSAKFDSIFNEVESLHQHVHNTREQVVDAEALLDIASNLFTSVKATNGDGITVADFVNCLLRDFAKPGGLQSGRTLVDWKKIGIEVSQHVFSTIPGCRTMIGPMDAQIKSRRAGVRRKHVKPTENSQPAEVDDTGTIRKTDTDGNMLTMFDILRKHRRVRLEHLVLNRNSFAQTVENLFALSFLVKDGRAEIKVDDKGFHLVSPRNAPAAREVTSKNVVYSHFVFRLDFKDWKLMTNSVEVGKELMPDREQVDVSDNFKSDTCNGQHEATEPTTPITKLSRNRKLVFEEQSTVEDSPDSSDKEQRHAAIRKGKRKL >OMO63054 pep supercontig:CCACVL1_1.0:contig13063:2097:2504:1 gene:CCACVL1_22507 transcript:OMO63054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGRGKAKKQTVVSSHEDPGSGEDEKIPAFKRRGRPQKQLKDDIEEDEVDKTEEDGEDVKGSITIKDMKALTENGRKRKRSVPAKENIDSVKKENGIATKSSTDDSTKSVGYRQNGSRRKNTPRRAAEAVVECK >OMO63059 pep supercontig:CCACVL1_1.0:contig13063:48092:51795:-1 gene:CCACVL1_22512 transcript:OMO63059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MGTAAAPSTYMATIKPCISSSRKMLVSSSVNISTEHKEASWTRLTSSSHISSRQQFLPKITTGPVKFEKFVTRAMSNTNDNNPLPGLPIDLRGKRAFIAGVADDNGYGWAIAKSLAAAGAEIIVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKVYPMDAVYDGPEDVPEDVKTNKRYSGSSNWTVKELVETVKKDFGSIDILVHSLANGPEVSKPLLETSRNGYLAALSASSYSFISLLQHFLPIMNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKNKIRVNTISAGPLRSRAAKAIGFIDMMIDYSLANAPLQKELSAEEVGNAAAFLASPLSSAITGAVVYVDNGLNAMGVGVDSPIFVNLDIPKDN >OMO63057 pep supercontig:CCACVL1_1.0:contig13063:38590:44379:1 gene:CCACVL1_22510 transcript:OMO63057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKQLLCSYFTVIVFSATLFLVSEATTDQPDVVALTSLYKALNNPPQLKGWKSNGGDPCGELWTGVACSGSSVTHLTVPQLNLSGYLSQLDCLHNLKHLDLSSNNIQGEIPYGLPPNVTHINMAWNNLWKSIPHSISFLTNLRHLNLSHNELSGPIGDVFTSLQSLRTMDLSNNDFTGDLPKSFGSLTNLTQLFLQNNNFTGSVIYLAELPLTYLNLEDNHFSGIIPNQFQSIPNLWLWSNKFDVGATYPPWNFPLDGVRPEQNISSPPTTEQSAMVDYPSSHGGSEVKKKRLGPVGIACVVVGVALMAICAALFFAIHINRSHAKRLQSFDDSNGTTHSLPISTTIDYSTTAQAAASPAFFSISSPTLVTRPAPTPPIPPTSTEKTSRRKSFSAKRKVGRNIKVFTVAELQLATNSFSEENLLGAGSLGSVYKAEFPDGQIFAVKNIKMASLSFDEEEQFLNVIQMASKLRHPYIVKLIGYCVEHGQHLVVYEFVRNLSLDDALHNEAFKPLSWELRLFFALGIARALDYLHSAFSPPVAHCNIKAANILLDEELMPHLCDCGLSILRSLTSNDASEIAIRDAGYIAPEHGQSGSDSTKSDVFAFGVLLLELLTGRRPFDSSRAVAEQSLVNWAAVRLHDSESLDQMVDPRMKKTFSGRSLSRFADIVSLCIQIKNNGAKKRKRGGGGHDVDDDHIENNADHIDDGGSMPVTKKACVREFGYDEETHQKLKEGCDNWKEFSSELEGLLSVPADTNNSMAYCATAADQYECNYISSTSTSGKQHWKL >OMO63056 pep supercontig:CCACVL1_1.0:contig13063:36510:37864:-1 gene:CCACVL1_22509 transcript:OMO63056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEESSKNRASNGKGDEGEEDYMGDLSQFLPPEPSNPSKFSSKKNPSTKSLSSQPSKKSKNLNWQEQRKLERERKQLEEDEKTLAKIDAPIPQSNIGFKLLKQMGYTPGSALGKEGSGRAEPVGLDIRRSRAGIGREDPVKEKIKREEIEFEKKRKNEEALMAEFGSWQKSQWRSRRVQANYKKAKAALDQLENREVVVPKKNEDETEGEQDEEEEEEVTEEVCVLTYMYIRYMHMQHIVL >OMO66100 pep supercontig:CCACVL1_1.0:contig12587:5781:5870:-1 gene:CCACVL1_21299 transcript:OMO66100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGIYMPRIAGSPDPEAHETERAVIWGLR >OMO66099 pep supercontig:CCACVL1_1.0:contig12587:1616:2038:1 gene:CCACVL1_21298 transcript:OMO66099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRQTKWGSFKLLKADVLAQTFLKIGFGIERKLKEGDLEGFPEWRTKPETVRMHFEVLAKVDGHKVIPERVMQVNLVVIDDSVAPNLLTGNITMSTTPVVHPPSNPFTM >OMO98667 pep supercontig:CCACVL1_1.0:contig07059:34939:36196:-1 gene:CCACVL1_04115 transcript:OMO98667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEKVPINIVVIGGNIDSSKVATTGQLINKFAGIDKPVIERWFKREYNSAAETNNSWMLDKLMAEFDHDITFDIALGMFETETTRYYCTAIDAPGDSDFLNNIISATSPADCAVLIINSNTCGFQAPQQHALLSFTQMICCCNKMDDATSPKLSKDRYDEIVKEVSSYLNKMGCNPGKALFFPISGIEGDHNMSMGLTFLRRQELYKINWGINEICFVKPGTEVGSSSLNTQVKSIEMHHLNDVDDMEAKFRDFGLKHNLSPTWEKYFRKLYRVNVEFHPDNRYVPSPREWKEWNRINAKNAPVIPPHIDETMVNYISELTQINVGLKRGYIVIVPEHENEDEDDDGSISGSANKEVDNYHRELFNKLRQPLIDYSYC >OMO98666 pep supercontig:CCACVL1_1.0:contig07059:34065:34481:-1 gene:CCACVL1_04114 transcript:OMO98666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVSVDFRCRAQSVAYRVLLWHRPPLWRFVLSAFFSTSCRRRALRGVWRIVVGCATLSVCLKRRDDRLTFIRNFPEENEWSPRMYLGQMSFDRGMLDLTESCALNGLIANEVLLPTMDDVMCVDRSYSPWGLIADNE >OMO98665 pep supercontig:CCACVL1_1.0:contig07059:28152:30226:-1 gene:CCACVL1_04113 transcript:OMO98665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPNLSLLFFTATISLLFFFATSVSSVEFVYNTNFNSTNLLTFGDATIDSSSKILSITNDSTFSIGRALYPVKIPVKASNSSDVLPFSTSFIFSIAPLKGHLPGHGFAFAFLPFTGITGASSAQHLGLFNFTNNGNPNTHIFGIEFDVFSNQEFDDINDNHVGVDVNSLNSVASSPAGFWGGSKDDKLKELKLNNGMNYQVWIHYQDSVINVTMGKVGDQRPRRPLINQFLNLSGVFLDEMYVGFCGATGQLVESHRILAWSFSNSNFSIADALITTNLPSFVPPKNSVFQSKGFIIGVTVGAVLLVSFGILTCFVLVRLRMKRNTKKEDIEDWELEYWPHRIAYEDISAATKAFSDENVIGFGGNGKVYKGVLIGGIEVAVKKISHESEHGMREFLAEVSSLGRLKHRNLVGLRGWCRNDKGNLILVYDYMENGSVDKRIFYCSEDIMLSWEERIKVLKDVASGIWYLHEGWEAKVLHRDIKASNVLLDKDMNARLGDFGLARMHHHVCGRRPIEEGKPGLVEWVWRLMERRELVSALDNRLKAKGGYTNEEVERILHLGLLCAHPETHLRPTMRQVMKLLEVRVEVAESEEEAMDTRLLDRIRNTPATTVWGNFSGRGHPTFHDIQGNLISSSMSMSDSDIIKEGR >OMO98664 pep supercontig:CCACVL1_1.0:contig07059:3115:3873:-1 gene:CCACVL1_04110 transcript:OMO98664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSNLLYQQCTIKVLKCIADKVLGRTFEFDDFTELNVPDEEENQQHKESEERPYESEKILDNQHKEDEDEENIETDENKDENSEEEKDEENDENKDEDMEEEEEKDKDKELDDDAEEDLNQSEEEKGEDGSDHNGNEDIAHTSEEEDRASAGKAPLAAVDETNVSKASDETNSTGGDMILATTKEVIAGLEPLATAPASPKGKGIAKAASHSASSPTKNASPANKPKKKVTKKANLSLMKHQLMLLKVMLF >OMO89366 pep supercontig:CCACVL1_1.0:contig08756:4147:7213:-1 gene:CCACVL1_07878 transcript:OMO89366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGIGNARKSMSDKENNLDSEENGEEGETLKEKSACGGIDELKREVIATNEKVDSYMQHSTVLLMRLVPLEDNWLFLLSNDNFVVAKGEGDIKVHGKG >OMO89368 pep supercontig:CCACVL1_1.0:contig08756:43306:48528:-1 gene:CCACVL1_07881 transcript:OMO89368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLQPSCRLHHLNYSFMKHVRRKYCNGASFTGDDEMGFGVNLILQTYNKACWILQILSFLYMGRLLEGSGMGIITYTVTLSRIVAVLIPSFSNKTWTLYWPLLFASSVHLDGSGGEDVDKLAVNELFEVALNESKNSPLILFVKDIEKSVGGNTNYHPGGLSSTKFGANQMALPDLAFPNNEIDIDMDIDLLEEPITITHGSASRAECQQVSEPRVNGTQILLEESLNVVNRLRQLRAPVRDLMATLDPDNTAQSLNDD >OMO89367 pep supercontig:CCACVL1_1.0:contig08756:40409:40561:1 gene:CCACVL1_07880 transcript:OMO89367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGNRVIVVGVDLELQLISSRSPRRGAGYVVGRVVKKHAKMPGYEHHPH >OMP05270 pep supercontig:CCACVL1_1.0:contig05462:2474:2572:1 gene:CCACVL1_01977 transcript:OMP05270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDIPKLTLLLQFSVSWETLFCSVLSNEEEGCL >OMO66318 pep supercontig:CCACVL1_1.0:contig12557:14352:15710:1 gene:CCACVL1_21215 transcript:OMO66318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sybindin-like protein MAAIYSLYIINKSGGLIFYKDYGSKGRMDTNDSLRVASLWHSMHAISQQLSPTLGCSGIELLEADTFDLHCFQSLTGTKFFVVCEPGTQHMEALLKVVYELYTDYVLKNPFYEMEMPIRCELFDINLTQAIQKDRVALLGR >OMO66316 pep supercontig:CCACVL1_1.0:contig12557:5324:9255:-1 gene:CCACVL1_21213 transcript:OMO66316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLPPSTDMSWRGLLYKHHPAGQQLPTITVNG >OMO66317 pep supercontig:CCACVL1_1.0:contig12557:12037:14027:-1 gene:CCACVL1_21214 transcript:OMO66317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIESSKPTVEISGLRFTYPGIDGHPPPGSTPLIEDFSLTLNAGDRCLLVGSNGAGKTTILKILGGKHMVEPHMVRVLGRSAFHDTALASSGDLCYLGGEWRRDVAFAGFEVPIQMDVSAEKMIFGVVGIDPQRRAELIKVLDINLSWRMHKVSDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARADLLNFLRKECEERGATIIYATHIFDGLEKWPSHIVYVAHGKLQLAMPMDKVKEISNLSLMRTVESWLRKERDEERKKRKERKARGLPEFENQIEGSRVTGDPARAAVRALNNGWAAGRLHSTVAGEENFVLSSNRVLRQ >OMO66319 pep supercontig:CCACVL1_1.0:contig12557:16116:16668:-1 gene:CCACVL1_21216 transcript:OMO66319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKETGRTEQHGKFRVSFQPD >OMO66320 pep supercontig:CCACVL1_1.0:contig12557:17785:19905:-1 gene:CCACVL1_21217 transcript:OMO66320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACWSNRIKSVSPSGTGVNSRNASRNGNNLSGSSSRVSSESVPQTPRSEGEILQSSNLKNFTFSELRTATRNFRPDSVLGEGGFGSVFKGWIDEHSLTAAKPGTGIQIAVKRLNQEGFQGHKEWLEPGDIPKSGQKERHVNAQNHPNGKPTTYPKPSASPLRV >OMO66323 pep supercontig:CCACVL1_1.0:contig12557:29080:30153:1 gene:CCACVL1_21220 transcript:OMO66323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRQRWRAEEDALLRAYVKQYGPKEWNLVSQRMNTALNRDAKSCLERWNNYLKPGIKKGSLTEEEQRLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREQKEKNKTVDPIEEGKYDRILETFAEKLVKERHTPAFSMATSNGGFLHTDPPSPAPTLLPPWLSNSSNASAVRPPSPSVTLSLSPSTVTAAPPIPWLQPERMSENTPVVLGNMGPHGPVPPCGENLVVSELVECCRELEEGHRAWVAHKKEAAWRLRRVELQLESEKVSRRREKMEEIESKVKALREEQKTTLDRIEAEYREQLEGLRRDAEAKEQKLAEQWAAKHLRLTKFLEQMGCRPRIPEPNGR >OMO66321 pep supercontig:CCACVL1_1.0:contig12557:23243:23335:1 gene:CCACVL1_21218 transcript:OMO66321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAGREKKLLVVVQSSDQRPEPEGLMTKE >OMO66324 pep supercontig:CCACVL1_1.0:contig12557:30949:34484:-1 gene:CCACVL1_21221 transcript:OMO66324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNSNGSYRDQSEKYGLSQDEWWVDVRPRNNPKYQGKVDKALPCPKSAQSYPRRKPAERKRKQQEMGKGKEKGNSFEPFNSFPDPEIISWAQAHDRNVQQHPVPPKNNGKPIFPENENENGSKAESFEMGLRKDKELASSGNSESDLEPPKKLTFLIDPATILHQPPQYGGRSFPDHVLHRLFKQPSEMQLAVTKESTPLTNAAADANDNPQPRFKVLLSEALRGFKKPAESTKPEAPMAKKIKKASDYKFDFGSPPKKVRWEATFIGETDQINWEPFIGERPVPKAIKELWAETFESVTKPKDKEPKV >OMO66322 pep supercontig:CCACVL1_1.0:contig12557:23951:26620:-1 gene:CCACVL1_21219 transcript:OMO66322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYELKREYGDGRKITAESGPLIGREGFSREGRGCHVGWWDQEGEWGKKGDCSPRFAAQGDESDGDSTFCSAQKRLSDVLLEEESK >OMO98179 pep supercontig:CCACVL1_1.0:contig07154:6522:6689:-1 gene:CCACVL1_04312 transcript:OMO98179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDGMDDGDNDNSNSNSCSKSSSDEKFERAVEEVGKKGDFQDDESPKENMHGNI >OMO98178 pep supercontig:CCACVL1_1.0:contig07154:4577:4672:1 gene:CCACVL1_04311 transcript:OMO98178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPKPTTPLKQKRHERDIQQALKQLQEHST >OMO65976 pep supercontig:CCACVL1_1.0:contig12597:13699:13812:-1 gene:CCACVL1_21323 transcript:OMO65976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIIELVRVICQRQLKLPQGLVEAKSHPVDGEIPAR >OMO54602 pep supercontig:CCACVL1_1.0:contig14945:895:3566:-1 gene:CCACVL1_27723 transcript:OMO54602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRNLNVGWVWVPDERKRLGEVLVQVECKDGVSLVSIECQWREWRHGKLPMFTKICVDTMFVGKDPARMHFVEQRVLRHCCLKGK >OMO67818 pep supercontig:CCACVL1_1.0:contig12376:17101:17785:-1 gene:CCACVL1_20298 transcript:OMO67818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETSAGPNKHTASDQNGVLTTITAKGLKKKESSYRGTNDYTKPSAFSGSK >OMO67816 pep supercontig:CCACVL1_1.0:contig12376:9409:14162:-1 gene:CCACVL1_20296 transcript:OMO67816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded nucleic acid binding R3H MEGSVVEDLGAPESWEVADLDATMSQLMLASKREPNPELSDAACSSASALASGSSAEKVVTEDVINQVDQFLREAIQNPRERLSLLRMEQDIEKFISDPTKQQLEFQQLPTSYLRLAAHRVAQHYSLQSMVLLDNNLADGSGFRIIVRKTSECRRPPIRLADIPVNLPSKDPGVVKVAIKQRPQKRSQVGSNSNSNSLKTNNSKSVEERKEEYNRARARIFNSNSTSSGSGGKSENEQRLQDVTYHASAMPKMEEKPVLLVSDINSDTGLIESSTSGSRLSRSRTEMEPVGRGNPNGRVAIFRDRETDRKDPDYDRSYGSLINSSMMLVLD >OMO67814 pep supercontig:CCACVL1_1.0:contig12376:1725:6666:1 gene:CCACVL1_20294 transcript:OMO67814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVGRLIRRAQTCQSLCPSHLTSRRLIYSRTPSFGVFGNRSSMFVSNSESSLASSLLPLQWTHFGGQRRSMFIQTQSTPNPASLMFYPGKAVMEVGSADFPNARSAMNSPLAKTLYGIDGITRVFFGSDFITVTKSDDASWDLLKPEIFAAIMDFYSSGQPLFLDSQTAAAMDTAIHEDDSETVAMIKELLETRIRPAVQDDGGDIEYRGFDPDTGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQELDAEDEDGTLAGQME >OMO67820 pep supercontig:CCACVL1_1.0:contig12376:53553:53627:-1 gene:CCACVL1_20300 transcript:OMO67820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADWVARHAREGMTIIISGTHPE >OMO67817 pep supercontig:CCACVL1_1.0:contig12376:15481:15912:-1 gene:CCACVL1_20297 transcript:OMO67817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRCSMTQLNIKAPPPSPIPTTTGSRSAANESLSRYLEKRLQVPNFLMLPESQDRHLQEQSSPPGDKIEFHSLVLRDSGAVERMLRSAREVGAFRIWCGGIMSKKEMKALVKEAERMFRVMEERDTGFRKYMGGDKEGFRVA >OMO67815 pep supercontig:CCACVL1_1.0:contig12376:8885:9091:-1 gene:CCACVL1_20295 transcript:OMO67815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRYDEPKGTAKIIGVEVWAKLLVLWCSISLS >OMO67819 pep supercontig:CCACVL1_1.0:contig12376:29287:29954:1 gene:CCACVL1_20299 transcript:OMO67819 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA topoisomerase I (ISS) protein MMEFQGHNIMEGNEIWATSTHANQPPPLSSAELTLRFSALAVTPKSMPSTSSPRVMLGFGCAKCESKRRLTSPARPMQRLSVSPATVTSTLPTLSLVATSASL >OMO67396 pep supercontig:CCACVL1_1.0:contig12441:30265:33134:1 gene:CCACVL1_20554 transcript:OMO67396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MITYEQEHDFVKWGLQLFSSDPYDNCGYGGVLTQEDGEYYPGSYYKEDQYDIGECCNVENDEAIAHTLQLQELSQLTVVESPNGGEEEEEEELQLQVSDYQQDCNDQSVGDFGSGQDCGQEQQDDTEPSSSCSSPEEKCQEDWSYSLELMDEYNLDGEVGKRLNQMVPVPHVPKINGEIPSVDEATLDHQRLLERLQVYNLVELKVEGDGNCQFRALSDQFYRTPEHHEFVRQQVVNQLKSYPDIYEGYVPMAYDDYLEKMSKSGEWGDHVTLQAAADTYGVKIFVITSFKDTCYIEILPNVQRSKRVIFLSFWAEVHYNSIYPFGDVPAFGTKKKKRWRMFRNKQLEFPDEYQ >OMO67391 pep supercontig:CCACVL1_1.0:contig12441:4706:5374:1 gene:CCACVL1_20549 transcript:OMO67391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase MSPPVIVRPPPPPPKQPAPAPPSIMSPPVIIRPPPPPPKQPAPAPPSMMSPRTPPVIVRPPPPPPPSTVPPPQDCKPSGFITCRDQKTYPTYTCSPPVSMINSTTRAKLTYKDFRNGSAPSACDRKYHGNSESVVAVSTGWFNFNGGSMCGKRIRIMARYGIGTTAVVVGECNSRRGCDNETAHKPPCMNNIIQGSDAVWKALRLDKRAGIVDVTWAGPWPN >OMO67390 pep supercontig:CCACVL1_1.0:contig12441:2488:2700:-1 gene:CCACVL1_20548 transcript:OMO67390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELASLDDFTTPSISPTSPDGLIANSHITPLAQGSTKLGSPGVDKTASKVAPRNIFAFSLPTILGLCWR >OMO67392 pep supercontig:CCACVL1_1.0:contig12441:8941:10293:1 gene:CCACVL1_20550 transcript:OMO67392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNAAEPNPTGTPRTRPNQSPRTPSSRRTQSSRTPSDRPTHSSAPSSSNPNSAAYTSTSSYTKDLSWETSVSSRTSLSSLRGTLSESPHIYNISEIRAATNNFLAKRYSTSSSSASTAACWRCNLRGHDTVVFQRKFRRKIETSELKERLSVICRSHHMSIIRLLGASISGDHIYLVYEFIEGSNLVDCLRNPRNPSFTVLSTWMSRMQIATDLAHGLDYVHNKTGLNLSIVHNHIKSSSIIITEPSFNAKICHFGTAQLCGEADENGTQEIGSSKRETKIEEVTEDGQAANLRKLKRSNSGERQFEGVRGYMSPEFRSTGIATQKSDVFAFGVVVLELLSGEEPLKYRYDKKSGDFVRTSVTETAAAVVAQGREAVRRWIDRRLNDSFPVEVAEKLIRLTLDCVHVDQDKRPDMGRVAGKISKLYLDSRIWFDNMKIPTGISVSLAPR >OMO67393 pep supercontig:CCACVL1_1.0:contig12441:11102:14592:-1 gene:CCACVL1_20551 transcript:OMO67393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHSQALVGWVELEGTTFMASQGDLALNNISLEIRKKKLSGQQKKEALEREVSMLQKMLNQEEKMHEILTRVHQQEDGSSIPIPSFLPPKMKELLAELAMVEGEIARLESQISQLQVGVKQEQEVTKEIKSKQGLPGNVMFNLQGLPSNITPNQNPMKQGGQDQKMPFETKALHFISKAIKGDYTLSDFSLNDRMGNSRVISDQKENQYLGEVKLQERIPRKSGLLKAPSPLRDPRNPSPRVRERIPDSNWELPPKSLSSTLLSDENIQTWHPNKLSENIMKCLNFIFVRLIRTSRAMELEKSGPITRTMNTPLSSRSFRVENPLNPKSSLALQKEARQQDPYGIFNMEESIPRDIGPYKNLVIFTSSSMDPKCISSSSSIPLLNKLRVLMNNLQKVDLRALTHQQKLAFWINMYNACIMHGYLQYGVPNTPEKFLALMNKASLNVGGNTISAQAMEHYILRKSTPSNTKEGYQKNDKEDQETMVRKLYGLELMDPNVTFALCCGTRSSPAVRIYTADGVAAELEKSKLEYLQASVIVTNTKRIALPELLLRNMFDFAMDINSLVQWVCQQLPTSVSLRKSMVDCFRSHNSGKISITVEKIPYDFEFQYLLAM >OMO67394 pep supercontig:CCACVL1_1.0:contig12441:19903:22483:1 gene:CCACVL1_20552 transcript:OMO67394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arsenical pump ATPase, ArsA/Get3 MFFIAVRSVSTAIDSVAAVSGFDEMVIGPQRKYYFLGGKGGVGKTSCAASLAVKFADYGHPTIVISTDPAHSLSDSFAQDLTGGSLVPIEGLNSPLFALEIDPEKAKEDIRSANKKIDGGAAKDFMNSMGLGMLADQLGDLKLGELLDTPPPGLDEALAISKVMQFVESPQYSMFSRIVFDTAPTGHTLRLLSLPDFLDASLGKIMKLKQKLASATSAFKSLIGKAEAQQNAPDKLEQLRERMAKVRDLFRDSNSTEFVIVTIPTVMAINESSRLHASLRKECVPVQRLIVNQILPLSTSDCKFCMTKRKEQMRALDMIQKDPELANLRLIQSPLVDMEIRGVPALKFMGNTVWK >OMO67395 pep supercontig:CCACVL1_1.0:contig12441:23131:28726:-1 gene:CCACVL1_20553 transcript:OMO67395 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MSQDYDEEAEEAGASGGGGGGGQNLENNPAAVQLFSDVAFNRFSNISSVFKDDIKKQFGFCITDVDKDWNDAFNFSKNTNFLGNCVKTTNGDMAQRLCTAAEIKFYFESFYQNGGQGKKSGFLKPNKNCNLSSWLSGCEPGWACSVGKDQKVDLKNAKDMPVRVKTCLPCCQGFFCPRGITCMIPCPLGSYCPLAKFNKTTGICDPYRYQLPPGKPDHTCGGADIWADIGSSSDIFCSAGSYCPTTIQKNPCTSGHYCRTGSIAQQSCFRLATCNPMSANQNITAYGLLIFAGLGFLLVIIYNCSDQVLSTREKRKEKSREKAVQSVRETAQAREKWKSAKDIAKKHAIGLQTQLSRTFSKRNSKKVPDLTRGVSQAKPGTDAALPPMPGSSSQQSKKKDKGNLTKMLQELEDNPDSYDGFNIEIGDKNMKKNAPRGKALHTQSQMFRYAYNQIEKEKAMQEQNKNLTFSGVISMANDTEITKRLTIEVAFKDLTITLKGKNIHLMRSVTGKLSPGRVSAVMGPSGAGKTTFLSALTGKAPGCIMTGTILINGKDDPIQAYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLAADLAKPEKVLVVERVIESLGLQPVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRREALEGVNICMVVHQPSYTLFRMFDDLILLAKGGLTVYHGSVKKVEEYFSGLGITVPERANPPDYFIDILEGIIKLSPSIGLTTKQLPVRWMLHNGYPVPMDMLKLIEGTEPSSSGDSTHGGSSHGGSSHGGGGGDGGGQEPQSFAGDFWQDVKCSVETKKDSLQHTLLKSIDLSQRDTPGVFKQYRYYLGRVGKQRLREARTQAVDYLILLLAGICLGTLAKVSDETFGAMGYTYTVIAVSLLCKIAALRSFSLDKLHYWRERSSGMSSLAYFLSKDTIDHFNTIVKPLVYLSMFYFFNNPRSSFSDNYFVLVCLVYCVTGLAYILAILFEPAPAQLWSVLLPVVLTLIATHNDNSKVVDTIADLCYTKWALEAFVVSNAKRYSGVWLITRCGSLMQNGYDLKHFHRCLIFLILTGIVSRAFAFFAMVTFLRK >OMP10560 pep supercontig:CCACVL1_1.0:contig02196:491:556:-1 gene:CCACVL1_00875 transcript:OMP10560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEGAVTSDDIYINNSMDPH >OMO67715 pep supercontig:CCACVL1_1.0:contig12402:3242:6253:-1 gene:CCACVL1_20364 transcript:OMO67715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismatase-like protein MVDGLEIKKEDYKLVKTRFSAFFDTNLHTFLQGNGVNNLVVTGVQTPNCIRQTVFDAVSHDYHSVTVISDATAAATSEVHEGSNEEDDGNGDMEHASDMAYGPWMLVNKDKNKIPIGSRGRSKSRKSRRKRMSKSNSKISVRREWRVKQGANLEQNTMQPIFNMENSNMENYNGPRDDMAQTESSVEQNKEQNIEEIGLQINEAQKVTQLDQMENKDKGKDKATDSIESSQPPSSSKIFHLIYGDGPSSSTAGLQHFLRQSSLECQDYRDGSGSQVSEQVAGGKCVGGNREGDLYDLHRKGQLSVERCGEISDGMVGP >OMO50489 pep supercontig:CCACVL1_1.0:contig16156:7134:7725:-1 gene:CCACVL1_30410 transcript:OMO50489 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cinnamoyl-CoA reductase MDRGINMVTINGGLLMAPDLTITDPYLKGAAEMYEDGVFVTVDLDFIADAHVCVFEDVSSYGRYLCFNHVINCSHDADKLAHMLLPTSHSSPTQRSNDARIYQQRISNKKLNNIMMEFENENQILTN >OMO50490 pep supercontig:CCACVL1_1.0:contig16156:8198:9198:-1 gene:CCACVL1_30411 transcript:OMO50490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAGFNENSRRVCVMDASGHLGSALVHLLLHKGYTVHAAVQHNGDFQSFEEVACSNDKLRVFRADPFDYLSITKAMKGCCGLFYCFEPASDHSTYDAFIANVSYS >OMP06034 pep supercontig:CCACVL1_1.0:contig05083:6750:8582:1 gene:CCACVL1_01744 transcript:OMP06034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESNSQPETHANRSGASSAPTPTNGTSSSQNETTLSSRTIRGKTDMAWAYVSESIGLDGKKFYKCTYCQKTFKGASLITIFVYNHKWTLSWLRKREGWKEILRPGETRFATTFIALQSLGQRRCDLQAMVISREFNAWSGSKTNKAKKMVDLVLNSQFWNNCAVLVKVVTPLVRLLRMVDSDERPALGYVYDGFIRANNAIRETFKHQRKFYQPFINILQERWDFQLCQDIHAAAYCLNPVFQYDEDKFCHSPHIKNGLLAILSNPEIVQTSANLVSEIALFRDRIGSFGQKLAINTYKTLKPDDWWNVYGGSAPNLQKVAIRLLSQTASSSGCERNWSAFEKIHSKRRNRLEHQRLNDLVFVHYNLKLKNRIAYKNTFLDPIDYECIDKVDTWIVEEEEPPNEPEIDIEELENLASLGEEDQNAHGHDVSQNQNANEDVGLDFSYFDVERASASNQANNGA >OMP12126 pep supercontig:CCACVL1_1.0:contig00405:5683:5787:1 gene:CCACVL1_00112 transcript:OMP12126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QKSIMLSGCIFDRAIAQFQLNAIVANDAPPRISW >OMO71247 pep supercontig:CCACVL1_1.0:contig11686:17318:19558:-1 gene:CCACVL1_18335 transcript:OMO71247 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MVKKDYRAHVLAVPYPSQGHINPMLQFSKRLASKGLKATFATTLFISHTMKPELLGSVQIETISDGCDEGGFFEAQSIEDYLTRLKAAGSKTLSELIMKYKSSAHPIDCVIYDGLCPWALDVTKQFGLVAAVFFTQACSVDCIYYYAHHGLLRLPVTSPPVSIPGLPLLELRDMPSFIYVAGSYPAYFELVLSQFSNADKADFFLINTFYKLEQEVVESMSKVVVSPLLTIGPTIPSKYLDKRVENDNDYDLNLFKLDSTRTNWLSTKPPGSVVYVSFGSMANLTIEQMEELAYALKQSNFYFFWVVRSSEESKIPNNFVQEIGERGLIANWVPQTEVLANEAVGCFFTHCGWNSTIEALCLGVPMVAMPQWTDQTTDAKFVEDVWKVGVRVKVDENGIVKREEIERCIREVMVGDKGKLMKENAKKWKELATEAVSEGGSSDKDIDQFVSKLATI >OMO83778 pep supercontig:CCACVL1_1.0:contig09855:45171:48163:-1 gene:CCACVL1_11206 transcript:OMO83778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucraseferredoxin-like protein MMSSSRERDDPLSFPTNPSSSSSPITVSDHLDSYLQDPTSHIGSASGSYSNEGLLASAETASPSNSDVEFGFSRPDFRQQTPISGTVQFYERHVFLCYKNPSVWPPRIEAAEFDRLPRLLSAAVLARKSDMKKETRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVDTFVEEVLVKDGEWLPGTPERLEGSYVFVCSHGSRDRRCGVCGPPLISRFREEIESYGLQGRVSVSPCSHIGGHKYAGNVIIFGNINGEVTGHWYGYVTPDDVPMLLEWHIGKGEIIDSLWRGQMGLSEEEQKKYQELRCLVNGETTIQGSAKEATQRQIDEVNTTSCRAEDEVANCCQGNGNASCCREPVLPETLDILDTNEGAVKLTPEKKKGSKRQISKIPSGKAAIVRKVCAKPTWFESWEREDTYAALAVVCAAASIAVAYSCYKQLS >OMO83769 pep supercontig:CCACVL1_1.0:contig09855:4385:9928:1 gene:CCACVL1_11197 transcript:OMO83769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRYSFQPDSILCNSSTATALVSSNIPAGGPAGAEERMGSRNSRSPGASSLLIRSAMRISRARWFSFLRRVFHYQNGSRSNLGSNPFNSSTWMMLELLALVIQITITTFTLVISNKERPVWPMRIWIVGYDIGCLLSLLLLYGRYRLLFITQAQPQPQPQGDHDHFGLSDLEQQSTNQESTSVLSRSTHLMNKCRTSLELFFAIWFVMGNVWVFDSRFGSFQRAPNLHVLCISLLAWNALTYSFPFLLFLLLCCCVPLISSLLGYNMNMGSTDRGASDDQISRLPSWKYKEINTNLELDHHDSDSNPNLTNEDPECCICLAKYKEKEEKGYLSEFCCLYSVAHQPYRAKLRPRNSCSTPGPFGLGGRPRLLSRDSEFAKLRGKKMVGELKYEISQNAYIKLVLHALKHKTTAVNGVLLGRVNPQNENIVEIVDSVPLFHSNLALLPPLEIALIMVEEHYGSQGLGIVGYFHANERFDDAELGIVAKNVGDHICRYFPQAALLLLDNRKLEALTKGKDRSPVIQLYIRDASKNWKFVGPDGGSQLVIKEPAANVVLLDYISSGKWQDVVDFDDHLDDIKKDWLNPELFK >OMO83768 pep supercontig:CCACVL1_1.0:contig09855:430:560:-1 gene:CCACVL1_11196 transcript:OMO83768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor Cwf15/Cwc15 MTTAARPTWQPAKGGNEQGGTRIFGPSQKYSSRDLAAHTTLKP >OMO83770 pep supercontig:CCACVL1_1.0:contig09855:11417:13020:-1 gene:CCACVL1_11198 transcript:OMO83770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MTMEVESGKFHTAKNIEANEEVDDNPIEEVRLTVPITDDPTESALTFRTWVLGLISCCILAFVNQFFGYRQNQLYVSSVSAQIVVLPIGKLMAATLPTHEFRVPFTKWSFSSNPGPFTLKEHVLITIFANCGAGGVYAVNIITIVKAFYHRSFHPVAAILLAQTTQNNMENVEKDNVCGER >OMO83774 pep supercontig:CCACVL1_1.0:contig09855:25631:28074:1 gene:CCACVL1_11202 transcript:OMO83774 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RBP11-like protein MAGQEEERGDAKKKFSIFDLPDVPMGQLPDHLQLHRTRVLCNNDAPIHTESVQYSGAYPTVGVDNSLRFDHFRNNFRVEVVRLTEDDMEFDMVGIDAAIANAFRRILIAELPTMAIEKVLIANNTSVVQDEVLAHRLGLIPIGVDPRHFEYLSENDQPNERNTIVFKLHVSCKRGSPRITVKSDELKWLPNGSELVKESTPSDSASKPETYTSFSCSQETIPEFAKNPIAPKYPDIIIAKLGPGQEIELEAHAVKGKGKTHAKWSPVATAWYRMFPEVVLMEEVEDDLAEELKSKCPVNVFDIEDLGKGKKRATVARPRACTLCRECIRGEGWENRVALRRVKDHFIFTIESTGALPPEVLFTEAVKILENKCEQVITDLS >OMO83776 pep supercontig:CCACVL1_1.0:contig09855:34263:35225:1 gene:CCACVL1_11204 transcript:OMO83776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSGATPSPEKQMKQFGSQAAEGNSRRPSPLQITKTSRSEPVTPRTAPKGVQQLATTEDIKPMKPHKQESVSSLTKKVDSTLSLGNPKVVPNHVGSEVSQIGGLPEGSIEQEKKTSENEVNSASAKSSDGAASLVKTSGGAKVGDRPDYIESGKSSMGRGSTSSDVSDESTCSSLSSSINKPHKANDIRWEAIQAVRVKDGLLGLNHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFTEQAVKYEKSSYL >OMO83773 pep supercontig:CCACVL1_1.0:contig09855:22176:23236:-1 gene:CCACVL1_11201 transcript:OMO83773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKAETRWNPGEAVGSGCCSAMIGAASRSWVFRSGGAVGGKGENENRSVTVSISRSLYIHR >OMO83772 pep supercontig:CCACVL1_1.0:contig09855:21592:21819:1 gene:CCACVL1_11200 transcript:OMO83772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRRLSQKEWSQRSSEEDGKQQIARVVPKKNIAESAQESGDGKQQIGEVVPKKNVAESAEEAETKVAPIKKDP >OMO83777 pep supercontig:CCACVL1_1.0:contig09855:41142:44261:1 gene:CCACVL1_11205 transcript:OMO83777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAHQEAIKQFMSLMENVDERMKGTFQNMHQGYPTETLVRFLKARDWNVQKAHKMLIDCLHWRIQNEIDNILSKPIIPTDLYRAVRDSQLIGLSGYSKQGLPVIAIGVGLSTYDKASVNYYVQSHIQMNEYRDRVILPTATEKCGRHVSTCLKVLDMTGLRLSALNQIKLLTTISTIDDLNYPEKTETYYVVNVPYIFSACWKAVKPLLQERTKKKIQVLQGCGRDELLKVMDYSSLPHFCRKEGSGSSRNSSNGTIDNCFSLDHNFHQQLYDYIKEQATLIETSLPIKQGSVHVDFPEPDPEDTKIAKTIESEFKRLADQNGLCKSLNGLKVNGD >OMO83771 pep supercontig:CCACVL1_1.0:contig09855:15856:19902:1 gene:CCACVL1_11199 transcript:OMO83771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial Fmu (Sun)/eukaryotic nucleolar NOL1/Nop2p MAASKKKGAKSKNSPPKKKQKNDLFTVKKRPTKKDEVFDDVSDSHSEEEEIEEAQDLLDGDEEVSDFGDASDISGDDDDDFLQGSDDDEDQSSGLGSDSDESDIEKKSRAIDEQRAREEEDALAEMQLNIKEESDEFKLPTKEELEAEQQRPPDLANLQMRIKDIVRVLSNFKDLRQEGTTRKEYVDQLKMDLGSYYGYNEFLIGVLVDMFPVVELMELIEAFEKPRPTCIRTNTLRTRRRDLADVLSKRGVELNQLSKWSKVGLVVYNSHVPIGATPEYLAGFYYIQGASSFLPVMALAPQEKERVVDVAAAPGGKTTYIAALMKNSGIIYANEMREARLKSLTANLHRMGVTNTIVCNYDGRELPKVLGQNSVDRVLLDAPCSGTGVITKDPSVKTSKSLEDIQKCAHLQKQLILAAIDMVDANSKSGGYIVYSTCSMMVPENEAIIDYALKKRDVKVVPCGLDFGCPGYIRFREHRFHTSLENTRRFYPHVHNMDGFFVAKLKKMSNAKKNPINSEDMETVEQTDKPEEIQENHSEEETTESGKEDNEKIRNFASFLSEDAEIKFEMTRKFPPREEISKAREKRRNEMREKLKQTKNKKPKKAGRK >OMO83775 pep supercontig:CCACVL1_1.0:contig09855:28637:33663:1 gene:CCACVL1_11203 transcript:OMO83775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSFPSHAHSLLSNFNSPLELKQAHALLIKTNSPLSLLPANRVASVCALSPDFSYAHKLFTQFPEPQIDVWNSCLKAFAESDSPSDAILLFGRLREFDVLPNGFTCAFVLKACTALLDEKSGRIIHGFIEKLGFKRNLAFDPGC >OMO83779 pep supercontig:CCACVL1_1.0:contig09855:49627:51918:-1 gene:CCACVL1_11207 transcript:OMO83779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKGRPNATDKVEVGEIDTSAPFQSVKDAVTLFGEGAFSGEKPAIKKTKAYSAERVLAKETQLHLAQKELNKLKEQLANAETTKAQAFVELERAKKTVEDLTNKLKTVNDAKDSAIKVTETAKNQAKQIEDSGTLPGPDGARNQDLETAREQYMTVISELDAAKQELRKVRQDCNASLEAKIAAFKQTEEAENSAKVNMEKVGELSREISAMQESIGQVKLASLEAEQDQAKMYAEKETQRQLYKATLEESTKKLLALKNEFDPELARNLEAQLSETVNQIGDLQKQVENAKASDLESVRTVTSELDGAKESLQKVAEEENSLRSLLETLKVELENVKKEHSELKEKEAATESIAGNLHVKLRKSKSELEAFLAEESKTRGACEEMILTLQQLSLEAENARREAEEMKKEAEQLKLEAEASRIALEEAEKQLTVALEEAEAAKEAEIRALNHIKILSERTNAARASTSESGANITISREEFESLSHKVEESDNLAEMKVAAALAQVEAVKASESEALKRLEATQKEIEDMKVATADALKRAEMAEAARRAVEGELRRWREREQKKAAEAASRILAEAQMSAEASPQHYKIQKQNAPEKVVQTRKLEKEKSSVSKKVLVPNFSGIFNRKKNQIEGGSPSYLPGEKAM >OMO62745 pep supercontig:CCACVL1_1.0:contig13175:5365:10707:-1 gene:CCACVL1_22659 transcript:OMO62745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSTEKDKSQPYRDEAFRQNQVNFKKPYPATDEKALWSEGKR >OMO79572 pep supercontig:CCACVL1_1.0:contig10398:861:3874:1 gene:CCACVL1_13569 transcript:OMO79572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWDKNTYRHEHRNVRARGFTVVFVEEEEVKNFPKRFCRKPENNDSTKTESVSNDQTEPIAAFSRPPPQPPLLGPLVALSLLETLSHRDGDDN >OMO79574 pep supercontig:CCACVL1_1.0:contig10398:6049:10551:-1 gene:CCACVL1_13571 transcript:OMO79574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLTQILSRHELSSIKALLTQSLLPQALKISLSPHSPLLTDQIYSHFIKSGHSLDPILSTTLISHFSRHADLSRAVSFFLDTQKSDTITFNSLISGFARFGQTRPAFQLFNELGRLGLKPDVFTLSGLVKSCEGLEENVIAHGVCLRLGFGNGAFVASGLIENYAKSGDLVSAEKSFKECLEVDHVALTAMICGYFWNGEFEKGEEMLSLGKQIQAVCQKEGLLEVVFVGNALISMYGKCGEMDDARFENGGGDVVVPIGHPPTKD >OMO79575 pep supercontig:CCACVL1_1.0:contig10398:16090:19084:1 gene:CCACVL1_13572 transcript:OMO79575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDNSKKDSEKVVWDQIQMRSPSGNPLVSGPSTRPIPKLMVWFFLFISVTYVVYTLKLLTTSAQQTCDESPFISSFHRTSLLLNKTTNLTSSTSISTSAPLVLNQTEEIATATAAPHREVREKLPVVVEVEKAAVPKPKPTEIHDVVFGIAASSKLWQQRKEYIKIWYRPEQMRGVVWLDDRVKYSPEDKKTLPPVRVSSDTSNFAYTNRQGHRSAIRISRIVTETLRMKHDNVRWFVMGDDDTVFITDNLVRILNKYDHNQFYYIGSLSESHIQNIFFSYGMAYGGGGFAISYPLAKALSKMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLFGLLAAHPVTPLVSLHHLDVVEPIFPNATRVEALQKLMSPVKLDSAGIMQQSICYDKARSWTISVSWGFAVQVFRGIFSPREMEMPSRTFLNWYRRADYTAYAFNTRPVSRNPCQKPFMFYMSSARMDPELNKTVSEYERHRIPHPPCRWRMADPDELDRVIVNKKPDPQLWSRSPRRNCCRVMETKEGTMVVNVGVCKDGEVSEI >OMO79576 pep supercontig:CCACVL1_1.0:contig10398:25584:28660:-1 gene:CCACVL1_13573 transcript:OMO79576 gene_biotype:protein_coding transcript_biotype:protein_coding description:POX family protein MQNHLVNQIQAGFESNPEIFNLTTGMEMIGFSKGETNAAIWKGFFNKPSEAAPSSSKTINESTTEFYPHEFNKTEFTTTGMSETSTENLIVGTVSSSAWQENHHRLLVDDSSLRCVFPCEGNERPSQGLSLSLSSSNPSSIGLQSFELRQTSHMQQQMNIQDGFLGKSANLHHHHHQGQFSSKYLGPAQELLNEFCSLGTKQIDAAKQKQTQKNKQWDDENNGASSSKKQSLYSLDFMELQKRKTKLLSMLEEVDRRYRHYCDQMKAVVSSFEAVAGTGAASVYSALASKAMSRHFRCLRDGIVSNWFINARVRLWKPMVEDMYMEEVKENENNMASSDGVTDGEDNNNNGRQNQNPLIMDQKPTPDQLVRVDSECLSSIITNPDKNHHDHAKSGKTLQTQHLHQQQQSFGNYGAMELDFSSYSHHHTAGGGVSYGADHHHQHHHNANQSFNGGGVSLTLGLQQHAGSGVSLAFSPASQSSLFYPRDHIEDCQPVQYSLLDGEGQHLPYRNLMGAQLLHDLAG >OMO79573 pep supercontig:CCACVL1_1.0:contig10398:4224:5245:-1 gene:CCACVL1_13570 transcript:OMO79573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAVNFSAQALRPRTCLNAMACLPLDPLPFQKTCQLEQKGMSMKRLEKGKLSRNSGLMISPIMSNNNDSDLILGPPSASDTIKHFYMCINDKNLKKLEDYISDDCYIEDCSFFNPFNGKKEVMNFFDLLIKGMGQNVNFVIEHVCEGDNFTAGVHWHLEWRQTTVPFTRGCSFYQFSEEGERLVIKKAVIVIESPIKPGGIVLVLLKNLTTIFDEFPQVAE >OMO61195 pep supercontig:CCACVL1_1.0:contig13566:747:833:1 gene:CCACVL1_23697 transcript:OMO61195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRVVDRRHHKLGLHQLVPMSPGPLHT >OMO61196 pep supercontig:CCACVL1_1.0:contig13566:15595:17694:1 gene:CCACVL1_23698 transcript:OMO61196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MANSAMGCCQRVVALVFLMAMAAHAAVYKVGDSAGWTTIGNVDYKQWSATKSFQIGDVISNSLGFFHFFVLAFRVQCFDNAVFEYNAQFHNVMQVTHPMYKACNATAPLATYTTGNDSITITRKGHHFFFCGVPGHCQAGQKVDINVLRTSETAPIPSPSEVSPPPSVPAVGVPAPSSNSGISLKASRGSFIKLALAMAAFAVFVSGFN >OMO53199 pep supercontig:CCACVL1_1.0:contig15298:19976:20044:-1 gene:CCACVL1_28813 transcript:OMO53199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGKFSHRCRPLLHRYQDSNVIE >OMO53007 pep supercontig:CCACVL1_1.0:contig15363:2547:19774:1 gene:CCACVL1_28955 transcript:OMO53007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MTVTLVKNEEDLLFIKYHIHITNDLPSDLPPGVPYLELHCKSKNKDLGLKKMLRHDDYTWDTKINYWRTTLFFCNAFWEGKQRPNDGCYHNSVPLPSYLNHDGGSSRARNIGLVNL >OMO84123 pep supercontig:CCACVL1_1.0:contig09790:6476:9639:1 gene:CCACVL1_10989 transcript:OMO84123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MMEHFSEKLKAFANNRWLVFVCAMWIQSCAGIGYLFGSISPVIKSTMGYNQRQIAVLGVAKDVGDAIGFVAGTLCEILPMWVVLLIGAIQNFVGYGLVWLVIAKIIPDLPLWVLAAAIFVGTNGETYYNTAALVSCVQNFPKSRGPIVGILKGFAGLSGAILTQVYLMINFPNEAALIFMVAVGPSMVAIALMFIVRPVGGHKQVRPSDGKSFLFTYSVCLILASYMLAVLLLEDLVGLNQTLVTVLGVLLIVFVLLPIIIPISLAFSSKSSPPPAEENLLPKPEKEEASTSDQDSNEVIMSEVEDEKPPEVDSLPSSERHKRIAHLQAKLFQAAADGAVRVKRKRGPRRGEDFTLLQAMLKADFWLMFISLVLASGSGLTVIDNLGQICLSLGYTNTGIFVSIISIFNFLGRVGGGYISETIIRKYAFPRPVAMAVFQVIMSFGLLYYALGGPGQIYVTTVVTGFTYGAHWAIAPASVSELFGLKSFGAMYNFLALASPAGSLLFSGVIASGIYDYYAQKQASLQQSIPGSLLTALQDDDTLTCEGSICYSLTFAIMSGVCIVAMVLSSIIVYRTRSVYAQLYGKPST >OMO84124 pep supercontig:CCACVL1_1.0:contig09790:14949:16532:1 gene:CCACVL1_10990 transcript:OMO84124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVASLTAQAAGLTHLARQTLQKESQAGSMREESISMKFHPSQKTYAGLSTGTSSSASTFPTKMHRPKKKRSRDEREMGNHMAMGNEGVYHSSFDKSDCETGGTNIAGISRTNGIKEPPQLGGKYEAYRTLNSFSHKVKWSKGRKGAIQIYPRMGDVWALYKNWSSDWNAHTPDEVIHKYVMVEVLEDFNEQRGVAVAPLVKVPGFKTVFQKHSEACKPWIIPREELFRFSHQVPSYVLTGQEGQNAPQGCMELDPAAAPLELLQVLTEAQIKEMEVIPGRAQEETVLGDMKVSKEKDLLENDQKVKPNVSKGGVAEAVMGEEIKEEKENKKPVKFVYKRCRRRKQEC >OMO61337 pep supercontig:CCACVL1_1.0:contig13519:2182:3566:1 gene:CCACVL1_23597 transcript:OMO61337 gene_biotype:protein_coding transcript_biotype:protein_coding description:t-SNARE MESAYRMWIKEREGLKTADSAELCTELQTALGTAKWQLEEFERAIRLSHGHRCNDITATRHKQFIAAIESQICRVETALKEAFIEEGKQPLRWVNLDEEERDDLAMFLSGTSPSLQPSERNTLLENCHSRKDTDPNFYATFNGNMHEAESFEASGKDVECVIDVEDGESSGRTDNKSSRRTDDISCGQDRTTGSRRTWSSPNFGALKIVISDDCEDRSQIRSGIEATPKEKGPKPFFSKQRCGELTQAKGAFNLFNQAVAKSSALAV >OMP11092 pep supercontig:CCACVL1_1.0:contig01561:596:694:-1 gene:CCACVL1_00672 transcript:OMP11092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDMPYPPGVEAPVVEPVGEIGSPDEIESER >OMP02033 pep supercontig:CCACVL1_1.0:contig06337:23799:36528:-1 gene:CCACVL1_02937 transcript:OMP02033 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MASADKYNVESAEILANSALHLPIAQAAPIYEQLLSIFPTAAKYWKQYVEAQMAVNNDDATKQIFSRCLLNCLQVPLWRCYIRFIRKVNDKKGVEGQEETRKAFDFMLSYVGADIASGPVWMEYIAFLKSVPAANPQEESQRMTAVRKAYQRAIVTPMHHIEQLWKDYENFENSVSRQLAKGLISEYQPKYNSARAVYRERKKYVDEIDWNMLAVPPGDSCKEEMQWMAWKRLLAFEKGNPQRIESASSNKRIIFTYEQCLMYLYHYPDIWYDYATWHATSGSTDAAIKVFQRALKALPDSEVLKYAFAELEESCGAIQSAKKLYESLLGDGSATTALAHIQFIRFLRRTEGVEAARKYFLDARKSPNCTYHVYVAYALMAFCLDKDPKFAHNVFEAGLKDFMHEPVYILEYADFLSCLNDDRNIRALFERALSSLPQEDSIEVWKRFTQFEQTYGDLASMLKVEQRRKEALSGTSEEASSALESSLQDVVSRYRFKDLWPGSSKDLDHLSRQEWLAKNIGKKGEKLALCNGSMTIGKSPSAPTNNFTASVKVVYPDTSQMVIYDPRQHPGSVVPPSTTPANLAASNLSNPMAAVVDGGSANAFDEVLKATPPSLMAFLTSLPAIEGPMPNVDIVLSICLQSDLPTGQTKKSTTVPSQRTAGPAPSTSDLSGSSKSHPVPSSSSFRPRDRHLGKRKDQDRQEEDESTTVQSQPLPRDVFRIRQIQKAARGGSASQTGSVSYGSAISGDLSGSTC >OMP02034 pep supercontig:CCACVL1_1.0:contig06337:36961:37104:-1 gene:CCACVL1_02938 transcript:OMP02034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDFEAKLLSFTGVEKRENDEFSQGLESLGVSPNCFFFCFPLRLGM >OMP08480 pep supercontig:CCACVL1_1.0:contig03739:1065:1238:1 gene:CCACVL1_01109 transcript:OMP08480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGKKGQLLLAQLLHIVKGSIHLAHPCASKHFSKLPLPQLLFQHKMNIICKASKMMLIQ >OMO75374 pep supercontig:CCACVL1_1.0:contig11049:23124:27385:1 gene:CCACVL1_16188 transcript:OMO75374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSLSHNRPRVTVNGIRRMRTYHYFWCLHCQRTIRFSNSNPFETFCPHCSRQLSHELDVSRPRLRTDISGLEPYQTTARLLDTLATALDPSTRRESRYFDRRTRWESGPENGPWITLNFVEPPNQQLEPRPIVAPPQENTGNGMEGLVDQDLTENGIDRPGPPPAAASAIEALPKVKITETHLINTTNCPVCKDEFEIDGEARELPCNHLYHSDCIVPWLSIHNTCPVCRFEINDLDSSNYASAADPNNIDFGDFNLGIGLEDLANGLTWLRNQFMSTRPLRAFSHWTRRYFDLLDSRINASNFSGEAVPHPFHFQWDLWAPQPSSRVGPTPLHVITTAASLRLGHLLHHHLRLGFILRSSFKKFTHLCNVPKLKDVWSETAYNFNGIDIFQFTSIGFTSQCSSFLCFFNGEEKRKEFGQEGSSNRSSKMETSSSRRNSFDRRKWTNILLAINVLMYMAQLATQGKLLLMGAKINSLIDKGQIWRLATSSLLHANFGHLMVNCYSLNSIGPTVENISGPRRFLAVYLASAISSAATSYWFCKAPAVGASGAIFGLVGSVAVFLLRHRGMIRDSTEDLQHIAQVIALNMVIGLMSKGIDNWGHLGGLLGGAAMSWLLGPAWKYESTAIDGRRIFSDRAPISYLIDRKQKRR >OMO75375 pep supercontig:CCACVL1_1.0:contig11049:28467:32258:-1 gene:CCACVL1_16189 transcript:OMO75375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNEDPNLQQREQSSESESNQEQNGFCCGRSRLFFSRISKEFSFRCVFVLFLSLSVLLPGIFWIRPFRSLKSGFDAKQAIKLSTPVHAYFKLQKPVSELVQYIGKLEYDIYEEIGVPDTKVAILSMHQSGASNYTDVVLGVLSDPMNAPMNPVSLSVLRSSLIELFLRQSNLTLTTPIFGQPSKFEILKFPGGITIIPTQSGSIFQTTQILFNFTLNNSIAEIQENFIELKDQLRYGLGLRSYENILLQLTNKNGSTMSSPIIVQAAVMSDFGSILPQRSKQLAQTITESPARNLGLNNTVFGKVKSISLSSYLKGTLHGTPPTPSPAPSPGPSASQHPNFPPAHSPALSPKSHHPPTCRKCKPNSPSHHRPRHSPSPRSGPHPSFPPSISPAPSTKETHPPPPVNTLVLRFPQVSYRSRPGQGEETTKGPVSAPLAQSPSAPSPSSLAVCVHAKEAWMVEAPMASFEVFAFSSGNHVHVNEMEDELVVKARKVAGHSSYFERLSYHDKNAGMFFPKGKLLEMV >OMO75372 pep supercontig:CCACVL1_1.0:contig11049:2864:9255:-1 gene:CCACVL1_16186 transcript:OMO75372 gene_biotype:protein_coding transcript_biotype:protein_coding description:GNS1/SUR4 membrane protein MLPRVLSGPHVLSVLDSAQSSFAMVLVTNCVVHVVMYTYYLFCALGMRPKWKKMVTDFQLLQFWASFLIMAMLVFYHFTGAGCSGILSWCFNAFFIVSLLFLFSDFHAKSYSASSNKVKGA >OMO75376 pep supercontig:CCACVL1_1.0:contig11049:37897:38697:-1 gene:CCACVL1_16190 transcript:OMO75376 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRA-YDG domain-containing protein MVPTRNKGFLSNNRQEKQVGKKFVYERTEEWFEDRQKIKKALNLYRQLLPALEVEKNPDGRKKTGFALQIRAIKVLEQSGKLVNTSKQVGHVSGVKVGDEFHWKGELCIVGLHHDIQKGIDTMRMINGDILALSIVDSGRYDNLIGNAPGKLTYCGEGENPNVNGRKPKDQKLVGGNLALKNSMHYKMPVRVIRKINESFDNGYKFVYGGLYNVTKCLVERGMFGKFVYKFSLERIDGEQADDLDLNKRNKVENGKGKQKCTRTLY >OMO75373 pep supercontig:CCACVL1_1.0:contig11049:17725:21148:-1 gene:CCACVL1_16187 transcript:OMO75373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIMFQTSPLPKTLLLKPSLPPPAHPLSVKLKKNPSVIRCSSSSVIDGADSSVGHLERCFLSPPSPVEAGSSGSGEAGPVMKGGQYGALGAVTLEKGKLDFSSQKQTKSSPELATGGGGGDIGKKINHGGGDGGDDDGDDDDYFDDFDDDGEGEEGGLFRRRMFLEELFDRKFVDAVLNEWHKTMMDLPAGLRQAYEMGLVSSAQMVNFFAINARPTTSRFISRTLPQGMSRAFIGRMLADPAFLYRLLLEEVVTIGSSVWWELKNRKDRIKQEWHLALVNVLTAAACNGLVVWSLAPCRSYGNTFRFDLQNTLQKLPNNIFERSYPLREFDLQKRIQSFFYKAAELSIVGLTAGAIQGSLSNFLASKKKEKLSVTIPSVSTNALAYGSFLGLYANLRYQLLCGFDQAMVNHFDVIGVALFFSTALRVLNVQLGERSRLAWLGVEVDPLVQSDNLLKAYNRPSEDGAESSSKWFISKNAIVSGLGLLGIRQGNAESAVEGESVAPKARRKRIVRKK >OMO99615 pep supercontig:CCACVL1_1.0:contig06846:3371:5130:1 gene:CCACVL1_03703 transcript:OMO99615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTVLVLMSSNWPSQQQQAPGHHVANNNPFNYGTNSSNGQSTAHDGLAGHGSSNSSFQWGTFSGAAGVSGSAAPPSTSAEAASVVRKAQEKVKREREEALKAERLLKKRKEDIRVNGYVGSTATQTAMGTGPGSGMGAFDTGSIYGYSGNYSKPISERELSLFEIRNMLVDKARTVIRKKLKEWSSASEVKSAERVKEKVREKQNRKQRSANGNADEVIHKYDMVEVLDDYNEEQGVSVIPLIKVTGFRTVFRKLLDPNEVRKIPKEEMFRFSHQVPNYLLSGQEAQNAPMGCRELDPAATPLELLHVVDEASEATVEDDARKTDKEKLKSAIEGEADKLVENSSKAQGR >OMO99616 pep supercontig:CCACVL1_1.0:contig06846:13484:15275:1 gene:CCACVL1_03704 transcript:OMO99616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-phosphatase MIKRNLAKFNQTMGFQLPHSNKQKVKPFIGSENDDTNGSVGYASWLVKHPSALNSFDSMMKEAKGKKVVVFLDYDGTLSPIVENPDKAFMSTEMRAAVREVAKYFPTSIISGRSRDKVKEFVQLSNVYYAGSHGMDIMAPPRAAKPCDKKGIEGAFQPAKLFLPAIQEISLELEDKIREIEGARIEDNRFCISVHYRQVPPEDHKILKEIVKSLVENRPEFRVTEGKMVLEVRPSIDWNKGDALNYLLNTLGLSSAKDVLPLYIGDDRTDEDAFKVIAARREGFPIIVSSTPRDTKAWYSLRDPSEVLAFLLRLAKWRKSEIPFFK >OMO83652 pep supercontig:CCACVL1_1.0:contig09868:3198:3308:-1 gene:CCACVL1_11284 transcript:OMO83652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQILISPRPPSDRCHCQKFTTLVVGGPAEAFTNA >OMO83660 pep supercontig:CCACVL1_1.0:contig09868:41639:43988:-1 gene:CCACVL1_11292 transcript:OMO83660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG MGFAVLGSLGSCVKVRDFHQWDVKFQSFTLKERFGVGFKLPKNSKAYQSGRVSLCRSEFIEFEERTSPNEVRKEIEQCYELIHRLGRGAVYLGSSRMGPGTPHYSQTLEMAQEIARLLDCTTWTGAGPGLMDAAIKGALQAGKPVGGLKIDREAGEWTTSKFHPYLPSETYLTCRFFSARKHGLVDAAVRSSNSEKTAVVALPGGVGTLDEMFEILALIQLQRIGSELPVPFIVMNYDSFYAKLFDFLDDCEGWGTVAKGEVASLWKDHHCESRLVVEAAEERIEPLSAAGTADNADNNASNVGSCHVCGANWAADEEEEEEEDSHGRDYILHKDHIHSFHNHVSSSPCILIRRAKYQHVTLLLEVSS >OMO83653 pep supercontig:CCACVL1_1.0:contig09868:5948:10949:-1 gene:CCACVL1_11285 transcript:OMO83653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSTLQAKKAGKQYGGANTHKPLRPPPDRCQCQKFTTLVVGGTGGGESTVGG >OMO83658 pep supercontig:CCACVL1_1.0:contig09868:33476:37850:1 gene:CCACVL1_11290 transcript:OMO83658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSSSSETYLTCGIKVDPKSTDWCGSITKILKKLKGAKYTIDAEQGMALVSGRANYKKFLKKLKKSKTEVAWVNTGSLNSYGSHGGYYGSDPYQHQQWPVYREDNEIWVTVPDAGSIKEEVLKEARKIDGVESANWHISYSNIFKAMVRDQHACDGFFDDLSYRLKQTATDKGHKDLLNTTCGIKVDPKSTDWFASITKILKKLKGAKYTIDAEQGMALVSGRANYKKFLKKLKKSKSEVAWVNTGRMNSYGGSSHGGYYGSDPYQNQQWPGGYHYSSHYNNVPYAQNPPHFNPYSYWSTRYY >OMO83661 pep supercontig:CCACVL1_1.0:contig09868:44631:46435:1 gene:CCACVL1_11293 transcript:OMO83661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8e MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKSAAAKKEGEEAETAPEEVKKSNHVQRKLEKRQQNRKLDPHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGAA >OMO83656 pep supercontig:CCACVL1_1.0:contig09868:28071:29796:1 gene:CCACVL1_11288 transcript:OMO83656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTLDTSNSSSPENDPETNPSPNPSSSRAMIPNPSNRCLAAECLYEFVENSVYGALAGYTLGYAKGLIHGEGFIGSLVAAGSDGKKFAVWHGIESSVRRYLKRMRGTDDVITHGIAGCCAGLALSSLDAPQELLYSCLGYGAVNIIVKALLNQQVALAHSFSTRNKSGNSKGPRPMALPLSLPLPEELKGAFSSFCKSLVNPNEGRFPTAN >OMO83665 pep supercontig:CCACVL1_1.0:contig09868:54431:56650:-1 gene:CCACVL1_11297 transcript:OMO83665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKGNSCVGLNEPRLVARKFLARPQHEGVGAVVRRSIGRFELRYFDPFLVLDEFSVTAPAGFPDHPHRGFETVTYMLQGAVTHEDFEGHKGTIGTGDIQWMTAGRGIVHSEMPAAQGTQKGLQLWINLSSKNKMIEPRYQEISSKDIAEATRDGIKVRVIAGEALGTKSPIYTRTPTMYLDFTLDPGAHLQQPIPDSWNAFVYVLEGEGIFGRSKSSPVNAHHLLLLGSGDGLEAWNKSSKSLRFILVGGEPLCEPLVQFGPFVMNTQEEIDQTIDDFENYTNGFEKARHWRSESGLSLDF >OMO83667 pep supercontig:CCACVL1_1.0:contig09868:64973:71297:-1 gene:CCACVL1_11299 transcript:OMO83667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVAYVRVKEQIKLTETEKKIFEMLLSTVRHFNLKTQLRVAGGWVRDKLIGKECNDIDIALDNMVGSEFCAIFPQAPCLYGHYTSRLILFCSNPEQSKHLQTARICLFDVWIDFVNLRSEYYSENSRIPTEMRFGTAEEDANRRDLTINSLHLCFAFDSFHLITAGIEDLKFGRIVTPLAPKDTFLDDPLRVLRAIRFGARFDFILDEELKKAAACDVVKDHLSDKIGRELIGTEIDLMISGNQPVKAIDHICGLTLFPVVFSPPPEVEPDTPEECYRLSAAYLDATWRLIQLIQGSSFDDERRRLALYSALFLPLRNATYKDRKAKKIPAVNYIFRDSLKRKASDADTVSYKHPQVTGEILILDSFPLVNDDNQLNEVDWGREVVDVPATSKLRVLTGLLLKEIKDFWRVALLMSTLLYPTDIDCTRDIVNRHFQLDKRKDLFVSTENAIINLGLEKVWDL >OMO83654 pep supercontig:CCACVL1_1.0:contig09868:12139:12474:1 gene:CCACVL1_11286 transcript:OMO83654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLQEVGLHADISPSESEYKEMMRHGYGGYGYGYDPYGGYNPYGIPEYYHHHPHHPQPNWQHIHDNYPHYLHYYQHYPQYQRQTEAQFFPQPPPQPVGFRNGDPEWCSIM >OMO83664 pep supercontig:CCACVL1_1.0:contig09868:50675:53252:-1 gene:CCACVL1_11296 transcript:OMO83664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKHSTLLKILLALFALNSISLYLYFSSSHHNHHHPSENDRETTADSFPIIVPHRGRHFSKPWPIIPSYLPWSLTPSVAVRSCEAYFGNGFTKVTDVLPAKAAVRSGSSWFKCHYSATLRSSICEGGKIRMDPEKIKMSKGGEKLEDVIGRSEEEELPEFEDGAFVVEGEGGARFKRRKLVGEKFLDQFLPVGDVLRHTMRELVGSTVVVGEDDFTCEEWVEEPTLLVTRFEYANMFHTVTDWYSAYVSSRVTGLPNRPHLVFVDGHCETQLEETWNALFSSLRYAKNFSGPVCFRHAILSPLGYETSLFKGLTEDINCHGASAHDLWQNPDDQKTARLSEFGEMIRAAFGFPVNRHHDDKTVSGHNVLFVRREDYLAHPRHKGKVESRLSNEQEVFDSVQMWASSPMKCKVNIVNGLFAHMSMKEQVRAIQDASVIIGAHGAGLTHMVSATPNTVILEIISSYFRRPHFQLIAQWKGLDYHAIHLEGSHANPTVVIERLNNIMSSLGC >OMO83663 pep supercontig:CCACVL1_1.0:contig09868:49391:49591:-1 gene:CCACVL1_11295 transcript:OMO83663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCYPSTPKKLAMTVGCFVAGAGLFAVGMHLSYVYIAPQQARIKARNDFVKERLRKKYGYGQGKQ >OMO83659 pep supercontig:CCACVL1_1.0:contig09868:38600:41410:1 gene:CCACVL1_11291 transcript:OMO83659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKLGAIFKIFCAPEPVEEPSDLDCVLRIDTRSLGWHVMLDRVLKSIDG >OMO83666 pep supercontig:CCACVL1_1.0:contig09868:59042:62198:1 gene:CCACVL1_11298 transcript:OMO83666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHIRRHDAYLRPYGSTYGPKSFAMKLKVKLGKENGEDPIAVVTTTMMRKTKMTLMKIKGLDRRRKEEA >OMO83651 pep supercontig:CCACVL1_1.0:contig09868:924:2476:1 gene:CCACVL1_11283 transcript:OMO83651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLDSSNSSSPENDPETNPSPNPSSTRAIMPNPSYPCLAAECLYNFVGGSVFGALGAYPVGYVYGLIEGKGFNGSFMEAGSFAKEYAVWLGIDSLVVCFLRRLTGKDDVITHGIAGCCAGLALCFPGAPQELMRSCLTYGAINFASKALLEQKPALAHSFSTRNKSPQPMALPLTPSSGGV >OMO83657 pep supercontig:CCACVL1_1.0:contig09868:30562:31377:1 gene:CCACVL1_11289 transcript:OMO83657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGASPYTSFTLKVDTQTPGWEKTLGKVLEKIPGIYIIYKFSLFRRKHAQVYSIDSGTKNTAVEDRDHYHHHYDHDSQGRYYQPNHQTALEKRDPYHHYYDDPHSQGRYWHRTQQSALEKRDHYYHHYNDPQGRYWQANNHHEYYGQPAPRALTPPPPPHYLPLPPTQPTPTAPPYYYGSDQEPQCTIM >OMO83655 pep supercontig:CCACVL1_1.0:contig09868:17559:27460:1 gene:CCACVL1_11287 transcript:OMO83655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIINFRSTRQRRVIADFSDGFQGWLPSRRPQAPSVWSIGCSRPSAGCLVGYLSEKSSHAFG >OMO83662 pep supercontig:CCACVL1_1.0:contig09868:48181:48800:1 gene:CCACVL1_11294 transcript:OMO83662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLSSSSETYLTCGIKVDPKSTDWCVSITKILKKLKGARYTIDAEQGMALVSGRANYKKFLKKLKKSKSDVAWVNTGRMNSYGGGSHGGYYGSDPYQHQYAQWPVGYHYSTHYSYAPYAQNPPHFNPYSYWSTHYY >OMO54857 pep supercontig:CCACVL1_1.0:contig14881:48920:49021:1 gene:CCACVL1_27519 transcript:OMO54857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKSYLPQLVVIRSVGANLIGRYQGTLLARFE >OMP11928 pep supercontig:CCACVL1_1.0:contig00691:15997:16278:1 gene:CCACVL1_00211 transcript:OMP11928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTSYNHQNRILGSFDQHISYDEEPSRASLLSQGQTGQKRNLLAFWDCSLRSWRHREIPCSCCIRSYFLAFVAQAPVSMESDNETKPRTGKK >OMP11931 pep supercontig:CCACVL1_1.0:contig00691:26079:27596:1 gene:CCACVL1_00214 transcript:OMP11931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S15 MGRMHSRGKGISASALPYKGTPSSWLKISSQDVQENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGNKILRILKAHGLAPEIPEDLYHLIKKAVAVRKHLERNRKDKDSKFRLILAESRIHRLARYYKKTKKLPALWKYESTTASTLVA >OMP11927 pep supercontig:CCACVL1_1.0:contig00691:11840:12599:-1 gene:CCACVL1_00210 transcript:OMP11927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVWSPETASKAYIDTVKTCKNFKESGVAEFLSAMAAGWNSKLIVESWSYGGPIATSVGLAIAAHHTCGRHVCIVPDERSRSGYVKAMSEAGMPSSTVVIVGEAEEVMEGVAGVDFLVVDSKRKDFARVLRFAKLGQKGAVLACKNACQRAISGFRWHGVLERGTRVVRSVFLPVGQGLDIAHIGAKGGGGAVGSKKSPSRWIKHIDQQSGEEHVFRG >OMP11925 pep supercontig:CCACVL1_1.0:contig00691:1463:7013:1 gene:CCACVL1_00208 transcript:OMP11925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MILLVMGVVGVTFYSVVLTNYGPALYDGGLDSLIAVVVLILFHCLLVMLLWSYFSVVFTDPGSVPPNWRPAMDEERGEVDPLNGSEFNGSQSEPSNQRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETSLVTLALLPHFIAFFSDGEIPGTPGTLATTFLSFVLNLAFALSVLGFLIMHIGLVAANTTTIEAYEKKTTPKWRYDLGRKKNFEQVFGTDKRYWFIPAYSDEDLRRMPALQDTEASFSCALTANSSDCLKSLLTDGTRRGSFFRTRKSISSILATTRFTSGTKMQRETEGRNDLFDMGGPFDAFRRFGSFGSRRTMMPSLFGGRDPFDDPFFTRPFSSMFEPSIFDQSAASNEAQEANRGKGIVIEELDSDGEEDKGEATKHARSGKEPSVEHPDDDANDGKIQKVTHRNDYEKVKGTKSQACTYNVQTCKVTYGGVDGAYYTTTKSRRTGSDGVVIEEKKEADKTTGQATHRISRGIHDKGHSVTRKLNSDGKVDTTQILHNLNEDELADFEKAWKGNSQGHLPDWSDGFSKHSNAGSSSDEQTGKAVWDNWRLLPGKPAQNTRGQSQGSDSEVRSNSGGKTKKIVRINIE >OMP11929 pep supercontig:CCACVL1_1.0:contig00691:16693:18597:1 gene:CCACVL1_00212 transcript:OMP11929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSANLNQPWNSTLPTLILLRKCKTSNDIDQLHARLITTGFIKTPSLTTKIVLAFSSSRFCPLVDFARHVFFTQHAFSSREEEEMDPFLWNAVIKSFSHGREPEQAFLMLCLMLESGVFVDKFTLSLVLKACSRLGLIKEGMQVHGLLSKLNVGSDLFLQNCLISLYLRCGFIGCARELFDRISRRDSVSYNSMIDGYIKRGMVDSARELFEFMPREDKNLITWNCMISGYAQLENGMDLALELFEEMPVKDLISWNSMINGFVKRGNMEDAQGLFDKMPRRDVVSWANMINGYAKLGKVDLARRLFDEMSERDIVVCNAMMTGYVQNGYCAEALEIFHQLQRGGNLQPDKATLLIVLSAIAQLGHIEKGLEIHQYLEDNKFSLGGRLGVALIDMYSKCGSVKNAMLVFEGIEDKSVDHWNAMIGGLAIHGMGELAFSLLLEMERLSVEPDDITYIGVLNACGHAGLVKEGLICFDIMRRVHKMEPKLQHYGCMVDILGRAGQVAEARKFIEEMPIEANDVIWRALLSACKNHEKVDVGEPVAKHLITLDSHNSSSYVLLSNMYASLGMWDAVSSVRMRMKERNLKKIPGCSWIELDGTVHEFFVQDQSHPQVMEIYSLLDSMSTSNSELKTL >OMP11926 pep supercontig:CCACVL1_1.0:contig00691:9599:10376:1 gene:CCACVL1_00209 transcript:OMP11926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACWSAENATKAYLRALKMGKRGKEPDVAEFISAIAAGNNAQHMVITCAGVAGSTALALVAASRQTGGRVVCILNSLEHYQASKNALGNYRDCIDFVIGDAKLLLNDYKTADFVLVDCNISDHKGVLRAAQEVAKHGEGGALIVGYNALNKGPCWSSSEFKTHFLPIGEGLLVIRKGAANKVGSDGVNNNNCRKIRSRWVVKVDKWTGEEHVFRITSPFPEEMIKA >OMP11930 pep supercontig:CCACVL1_1.0:contig00691:19861:22836:-1 gene:CCACVL1_00213 transcript:OMP11930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFLLFFLSLIPLSLSSPTEHELLLQIKASLDPENRFLSSWTSYSDPCSSGSFEGVACNEQGHVANISLQGKGLYGRIPAALGGLKSLTGLYLHFNALSGEIPKEIATLSQLSDLYLNVNNLSGEIPPQIGNMSNLQVLQLCYNKLTGSIPTQLGSLKKLNVLALQYNHLTGAIPASLGDLEMLTRLDLSFNSLFGPVPVKLANAPMLKVFDIRNNTLSGNVPLVLKRLNSGFQYENNLGLCGVGFTGLKDCADSTKISGNRPEPFKPGGLNRKDIPESADLQPADCSKTNCSRPSKSSKVGLISGIFGVVIVFAVATLFTFAWYRRRKQKIGSAFDTSDSRLSTDQVKEVYRRSASPLISLEYSNGWDPLDKGRNGFSQEVFESFMFNLEEVERATQCFSEVNLLGKSNFSAIYKGFLRDSSVVVVKCIAKTSCKSDESEFLQGLKILTSLKHENIVKLRGFCCSKGRGECFLIYDFVPNGNLLQYLDVKQGSGKVLDWSTRISIISGIAKGIGYLHGSKGSKPALVHQNISAEKVLIDSRFKPLLSDSGLHKLLADDIVFSTLKASAAMGYLAPEYTTTGRFTEKSDVYAFGMIVFQILSGKRNVSQLIHHAAESCKVEDFIDMNLGGNYSDSEATKLGRIALLCTHESPNHRPSMDNVIHELSGLVSN >OMO61383 pep supercontig:CCACVL1_1.0:contig13499:13164:13244:1 gene:CCACVL1_23564 transcript:OMO61383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGQSTIHSSSKTDQQAASRKENKLK >OMO61384 pep supercontig:CCACVL1_1.0:contig13499:24017:24169:1 gene:CCACVL1_23565 transcript:OMO61384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIVIERRAKWKNLKQKLGFKAMGCCGASWSPRARISTISSILDEDEDDQ >OMO61382 pep supercontig:CCACVL1_1.0:contig13499:4377:12219:-1 gene:CCACVL1_23563 transcript:OMO61382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQFFRPIAHFPAKKTSKFQSPNFFPSSSHQDYTVAHEVHSILHSVNPMEPALEPLLPFLSPDVVTSIIRDQPNPQLGFRFFMWAIQRERLRSSASDKLVVDMLLRKDNAFDMYWQTLEEAKQCGVLIVPDVFRVLISGYAKMGLDEKAVECFGKMKDFDCQPDVFTYNAILYVLVRKKVLLLALAVYNKLLKSNYKPSIGTFSILIDGLCKSGKTKDALNMLDEMTQRGIEPNTYVYTIIISGLCRADRADDACRLLIKMKDCGCPPDFVTYNALLNGFCELGRVDEALALLRSFQKDAFVLGLRGYSSFINSFFRAGRYKDAYAWYTKMLEENIQPDIVLYGIMLQRLSEVGKVEDALKLLSEMTERGLVPDTRCYNAVIKGFCDLGLLDEAQSLQLEISSHDCFPNAYTYTILISGMCRNGLVVEAQQIFKEMEKLGCSPSVVTFNTLIDGLSKAGEIEEAHLLFCKMEIGRNPSVFLRLSHGSTRVLDSSSLKTMVDQLFESGRILKAYRILMQLVDGGNVPDIFTYNILIHGFCKAGNMNGAFKLFKELQLKGLSPDSVTYGTLINGFQMAGRDEDAFSMFDQMEKNGCKSSVAVYRSLMTWSCRRRKVSFAFNLWLKYLRSVPGCEDTVINEVEKYFDEGEVEKAIRGLLEMDFKLSKFSLAPYTIWLIGLCQARRVEEALKIFNVLEECKTELQVSSASEAHHNHENPPIQMGDTGVALSTSNNIVLEQPGMRLQLLFSFINFEKITCRVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCRASKLHLRPRRFKIFVEALEHQLLKDRKKNVRKRPSQGENSSPTSPTTVPSQSRASHDARTVKLVLVDSQNIQKLGPGKGSFKRNTNAGVNRSNGKGESNTIKPARQRRKPAAYKKWEKAAMAGVSLVADAAEHLERTTDKEVERDQDTPGHKIVDPVENNQTPLPASSQNPFTESTIHAAGKLKLQLFPIDDSTKKALEMDKHNPHLELTLSTRKKISSIMEHLNRKWGHSSVASGELMLFPNGVQRENLMGCQRWNQASVVTAGDVYAMIGSAQVFRLRYGWFSDTEVASLTWQAPVSSSCFPSVHNMNVESRQGCNVEEEQASAPFTSSQSNKLDGQSETSNGAIMRRLEDVDDQRLNNNTAALSAGEWADSLTNISVGDLLAEVPHDLDDNCADHPVAISSQCLQQIPFSCDSFDAAIAAHISRHQNKMEVSSLASHASSIWDAEETCDAFSFRKNPIPSLSGAASPTASKEIGKLNLGGSGTFDKESPDPEVHDSACQDLVNECLSEPQIMDTTEKDFNGLTDIYWPDSLGPLDLDVPPCKYHSEDLILGDSLGGLNRLIASSLDAFQNCSFFGLDKKESTSTVEGRDTASSAFKIGSEG >OMO76351 pep supercontig:CCACVL1_1.0:contig10907:156324:161000:1 gene:CCACVL1_15761 transcript:OMO76351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Apoptosis inhibitory 5 MTDAPDEAKRIEQLYEYGERLSEAKDKSQYEKEYQGIIDASTKKENSPAKVKQLAAQLIPRFFPYFPNLHGEALDGHINLLEDEELAVRVQAIRGLPLFCKDFKEHTSKIVDVIAQLLTLVHDEFVERDAVHKSLMTVLRQDVKEALSALFRHIWSVEESSQEDANTMRDTIRDKVLCFIRDKVFPLKAELLKPQEEMERHITDLIKKSLGDVTGAEFRMFMDFLKSLSIFGEKAPPERLKELIGIIEGQADLDAQFDVSDADHIDRLISCLFMALPFFVRGASGSKFLNYLNKHIIPVFDKLPEERKLDLLKALAEISPYTTPQDSRQILPSVVQLLKKYMPRRRSGEEMNFTYVECLLFAFHHLAHKAPNATNSLCGYKIVTGQPSDRLGEDFSEHYKDFTERLSTVEDLTRATMKKLTQGMAEQGKALAAAKSEEAKDNIKTRKQNTTTGLRTCNNILAMTKPLHSNTPSFIGDKRVNLSWKEAVKPSVPSSAAATGLKRPANAANGSNNFAAKKGRGAGGVQNQLVNRALEAIIVL >OMO76339 pep supercontig:CCACVL1_1.0:contig10907:99962:100441:1 gene:CCACVL1_15748 transcript:OMO76339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEHPKKPEPPQNLPPPSSTSKSIPEIGNGGAAGFSGQQRWRYPNPPEVETPDPATLRDQWRYAIRQYSKWYSHAWGTAILAGVSFFALGWIIKGSNPIPSFKSDDSDKKTITQSDSSEFHYSLLCYVLLLVWVFESMIAAFQLGFYSAFRSLSYFNGI >OMO76348 pep supercontig:CCACVL1_1.0:contig10907:142779:146952:1 gene:CCACVL1_15757 transcript:OMO76348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKNINACSNRRVKINRGGMEANTLAILDSDEFHKDSQESKDDRLDFLEAVRTASIVPEDGPAPTEKMVEAVFHILRQGKSVELIMSSYELLNEIQKRFPQVRISESSDNGSHELVVVNEAWLPFMVSSDVMSNEREGKYSSDLFDINGFHEFIQGLAELAYKSSSERQDIESLRNMLLFQYLVNVLGGDFIPRVNVYKETMNWNLLRECILNILLSSRRVNYKVLMKDCLSTICGLSQYHAGIVDGSDCSETSMEKSSEDHNTDVAFALIEVQKTTCRAMENLMIMIMEFDVFKKQAEMKNQTSRADGVRTPLAEIILDELTYDRDLLPSFLQGFSASKWKLEIIVQYFLKYTAKPSTRTRSSNGPREDSPFIGVLKSFSNSTTTRNIIKKMDADIVQLLLSHAFQAYLSMSSQQHLPGMSDCKDDVIDGSDVELSKKIIAAFNSLRSTDENIKISSLGKEALFTAATILSTSS >OMO76336 pep supercontig:CCACVL1_1.0:contig10907:91436:92124:-1 gene:CCACVL1_15745 transcript:OMO76336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 MGKRKSRAKPAPKKRMDKLDTVFSCPFCNHGTSVECRLDMKNLIGEASCRICQESFSTVITALTEAIDIYSEWIDECERVNNLEDEADQDEEGLMPRKRVATAEWDDEE >OMO76344 pep supercontig:CCACVL1_1.0:contig10907:119337:120182:1 gene:CCACVL1_15753 transcript:OMO76344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVESGEDIPNSRHACAACKHQRKKCLSNCIFIPFYPATGADSFKEVQRIFGVKNLTHRLERLSTHEDRKKAVECLEWEAFAWKEDPINGPLGVLRRKEREIEFLKNQLMNYTANPIALQKVMPMVAYQNMTPYNHHNNSAGNVAIACNNNSVFTNFGYSFDNQNNLGFMDRSIYNNYGGFVMGGGEIGNQVTDYTVPFSAVVAQGQATMPDVNVAYHATPVAPKQETQMNHQSLDGAVMNRPAVAASFNQGRQYQQRGNQQQKQQARLNIREPFEHRHAD >OMO76350 pep supercontig:CCACVL1_1.0:contig10907:153485:155056:1 gene:CCACVL1_15760 transcript:OMO76350 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase mitochondrial F1 complex assembly factor MQRLTSRIARTVEASLSLTTLLIKEAPSSSRWLRKRSFSAFSWQQNVPQNGAVPGDFLKWGSLGFCRTSRFATGFTPLKPKPLDSIMDLDRAKNRSAEDLASIWDDYHLGRGHIGLTMKAKLYRLLEQRGSDCRYFVIPLWRGSGYTTMFAQVQLPHMLFTGLEDYKARGTQASPYFTATFYTEFAENKDLVLIRGDIVFTSKLSDDEAKWLLETTQSFYLNDVRYKLVERFNKQPRDFEFQDVLRALDMPIL >OMO76326 pep supercontig:CCACVL1_1.0:contig10907:31828:33635:1 gene:CCACVL1_15734 transcript:OMO76326 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MTWCNNPDDDRALQLAVTAAAAAAASTKEPTVVSVAAAAAAVSDTTKTHDNIQIINCPSCGHNIPYQDQAGIHDLPGLPAGVKFDPTDQEILQHLEAKVISDVRKLHPLIDEFIPTLEGENGICYTHPERLPGVNKDGQIRHFFHRPSKAYTTGTRKRRKVHTDEDGSETRWHKTGKTRAVLVDGKVKGFKKILVLYTNYGRQRKPEKTNWVMHQYHLGSNEEEKDGELVVSKVFYQTQPRQCGPSNTTTSVGKDDKKLKHGIMNRNHDHHQSSNIIANRSSSSSAAATVAAPAGLVEFYTPPFISFVDHHHHSVHIGSSGHNNIGNREISPPQLIPNLVVQGDGSSFIRLASDTSKGRLEGK >OMO76329 pep supercontig:CCACVL1_1.0:contig10907:48967:49392:-1 gene:CCACVL1_15737 transcript:OMO76329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MAATATAAAGGSRSPLRNFLDGTNSATGGLVTNGTENSVTKLVVENAVIVFGRRGCCMCHVVKRLLLGHGVNPAVCEVDEEKEEAVLKELARINGGERGGVIQFPAVFVGGKLFGGLDRVMSTHISGELVPILKDAGALWL >OMO76333 pep supercontig:CCACVL1_1.0:contig10907:79666:82298:1 gene:CCACVL1_15742 transcript:OMO76333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESKNRESGSQRTETTIVSLFNSISSLAISPFQTAETPIFLTGEKMSKAQDPFYIVKEEIQDSIDKLQSSFHQWERIPPDTGEQVHLTKELLANCESIEWQVDELDKAISVAARDPSWYGIDEAELESRRRWTSTARTQVGNVKKAVVAGKENGNSAIAMRRELMRMPNSHQPDRSNHYSAEDNDDFIASESDRQMLLIKQQDEELDELSASVERIGGVGLTIHEELLAQEKIIDELGTEMDSTSNRLDFVQL >OMO76345 pep supercontig:CCACVL1_1.0:contig10907:128947:129672:-1 gene:CCACVL1_15754 transcript:OMO76345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVENREHGAGTESILENVWANFIGGEEANTATKSSESWEELPSLDGRDGSMELLERLPSLGRWISMGADAWENLLDEIFLPSTGDIIEPSINGISNTRQGPQVKKSVRVEKVATRHYRGVRKRPWGKYAAEIRDSSRKGARVWLGTFDTAEEAALAYDKAALRIRGPKAYLNFPLETVAKAMDPAFTNNRKRGSRDWEENNEVVMVEEPTPKRMATVEEYDVVEFQDLGSDYLESLLSSF >OMO76340 pep supercontig:CCACVL1_1.0:contig10907:101403:101864:-1 gene:CCACVL1_15749 transcript:OMO76340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MYLSIVFCQRYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSTEDAKSFAERENTFFMETSALESLNVENAFTEVLTQIYRVVSRKALDIGDDPAALPKGQTINVGSKDDVSAMKKVGCCSA >OMO76324 pep supercontig:CCACVL1_1.0:contig10907:18442:21805:1 gene:CCACVL1_15732 transcript:OMO76324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFFLSFLFFTFPPPSLALNQEGLYLLQVKASLSDPDSSLSSWNPRDPTPCNWRGVSCDSATASVTSLDFSNFNLAGPFPTLLCRLQNLSFVSFYYNNINSTIPSDISTCQNLAHLDLSQNLLTGELPHTLADLPNLQYLDLTGNNISGNFPDSFGRFQKLEVLSLVYNLLDGTIPAFLGNISTLKMLNLSYNPFSPGRIPPELGNLSNLEILWLTECNLVGEIPDSLGKLKKLTDLDLAINHLTGRIPSSLTELTSVVQIEIYNNSLTGELPVGFSKLTNLRNLDASMNHLTGTIPDELTRLQLESLNLYQNNFEGTLPPSIADSPALYELRLFQNRLTGELPQNLGKNSPLRWLDVSNNQFTGPIPPNLCEKGSLEEILMIYNSFSGQIPPSLGDCRSLNRIRLGFNKLSGEVPAGFWGLPHVYLLELVNNSFSGQIGKSIAKAANLSLLIISKNEFAGSLPEEIGSLDNLIQLSASENKLTGPLPKSIVKLDGLGVLDLHGNELQGELPSGIESLKKLNELNLAHNEFSGKIPDGIGSLSVLNYLDLSNNQLTGRIPLQLQNLKLNQLNLSNNLLSGQLPPLFDKEMFMNSFLGNPGLCGNFSGLCVDRDGGKHKGYVWLLRSIFILAALVFVVGVVWFYLKYRSYKKARAIDKSKWTLMSFHKLGFSEYEILDCLDEDNVIGRGSSGKVYKVVLSNGEAVAVKKLWGGVKKGCCDSVDLEKGQAQVQDDSFQAEVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMPNGSLGDLLHSSKGGLLDWPTRFKIIVDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGDFGARVADFGVAKVVDAAGKGAKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRLPIDPEYGEKDLVKWVCTTLDQKGVDHVLDSKLDPCFKEEICKVLNIGLLCTSPLPINRPSMRRVVKMLQEAGAENQPKAAAKKDGKLTPYYYEDASDQGSVA >OMO76331 pep supercontig:CCACVL1_1.0:contig10907:60422:65085:-1 gene:CCACVL1_15739 transcript:OMO76331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLIDLNTTEDDETPSSGSLSPSSSSASVLSAPSAPASGSSSSVCLELWHACAGPLISLPKKGSVIVYFPQGHLEQVSDFSGVAAAYDLPLHVFCRVVDVKLHAETATDEVYAEVSLIPETEHIEQKLQEGKVEGDGEEEDVEADIKSTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQQRPSQELVAKDLHGLEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGVRRAAQIKSGSSFPNLCSQHLNRGTFADVIHAISMKSVFSIYYNPRASSSEFIIPVNKFWKSLDHPFSAGMRFKMRFETEDAAERRYTGLVTGISDMDPVRWPGSKWRCLLVRWDDIDANRHSRVSPWEIEPSGSVSSSNNLLTPGLKRNRVGLPSGKPEFMVPDGIGASDFGESFRFQKVLQGQEILGFNTVYDGVDSQNLHRSEIRRCFPGSNGSGISAIGNVCRDPVVNSDISYKSVGFGESFRFHKVLQGQEIFVSPSCRRGPTTEETQDNDGVSDAGQLSGTRSGWSSLMQGYSSNSHIRPSAQVSSPSSVLMFQQASNPFPNFNPAYNNFNSQEKGQGVNNRNSFVAPESYGGKVLPSATGEQSSCGRGPGSLGSFGHSNGYVQLGDSPLAAQPAFRTSQDLASSCKSSCRLFGFSLTEGQHESNKKDNMVQATSPLGQGAFLPRIGEQCHSKPPAVTNAVGTNCTKVSNLYAVRDMLFDIAL >OMO76352 pep supercontig:CCACVL1_1.0:contig10907:161476:165512:-1 gene:CCACVL1_15762 transcript:OMO76352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ionotropic glutamate receptor MNKTFICDVKAPQPGHFHFANRVLKLWLFIFVFLISFLVFGHGEETGKGNRVTNIGAIIDVDSRIGKEEKTALEIAVQSFNNSNSTNLKLSLHIHDSGRDPLQAATSAWKLIKDKKVKAIAGMETWEEAVLVADVGSRAQVPVLSFAAPAITPPLTASRWPFLVRMANGDFEQLKCIANIISSFNWKRVIVIYEDDSFGGDSGKFALLSEALRNVSSEIDYRLVLPPFSSLSNPNKVVQEELMRLLNFQSQVFIVLQSSMSMTKHLFEKAKEIGLVGRDSVWIITDTISSYLDSFNSSVISSMEGTLGIKTYYSEESNQFKKFYPQFRKTFRNEYSEEDNFQPGLNSLRAYDSIEIIRQSIERLKRTEQNSSKALLSNILSSNFTGLSGEIRFDEEGKLFHDPILRIVNVVGKKYKELDFWIPGVGFSKNNFKENKTGYVSDISVELGGRVSWPGDQKQVPKGWAMPTNIKPLIIGVPARTAFEKFVKVENGKNPGEKIYKGFCIELFYQVLGVLGYDLPYIFDPHNGTYDELVHKVYNKTYDAAVGDITILASRTNDVEFTQPYAESGLSMIVPAKSRSSAWMFLKPFTKEMWLVTAAILIYTMLIVWFLEHQSNPEFEGPWNNQIGTALWFTFSSLFFAHNGTLKSLEEKWFAPDSPQCSANLTDDSKTDSLSLHSFWGLYLISGATSTICLLIFLTHLLQKYCKFQREYYVAGNLCPANETVWVKAIRVAKYLYHGEICIQVEASPAPQATPDRINEWSSSRWDSEIPNMGSLEVTTSQEQRQTEVDMR >OMO76346 pep supercontig:CCACVL1_1.0:contig10907:133913:135895:1 gene:CCACVL1_15755 transcript:OMO76346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MLFFISETEASTRQRPFNNKIPAGFVFGDSTVDPGNNNYVETLFRSNFPPYGKDFKDQTATGRFTNGRLSTDMIISYVGIKEYVPPYLDPNLTINELISGVSFASAGSGYDPLTPEITSVVSIPQQVEYFKEYKKRVQAAIGKKKMENIINKSLFLVSCGTNDFVVNYFTLPIRRKNFSVLAYQQFILQNVKQLLQELWDEGARTIAVTGLPPMGCLPAVITLNSENAVLDRGCIQKFNQVAIEYNQVLQNELNSMRRRLAHLGAKIDYIDIFTPLANMIQGHGKHEFYEVSQGCCGSGYLEAGFLCNPGSFVCLDASKYVFFDSIHPTEKTYTKLFLANRHVLDSLIQEE >OMO76332 pep supercontig:CCACVL1_1.0:contig10907:75540:79074:-1 gene:CCACVL1_15741 transcript:OMO76332 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 MDPEAIEAFQAQAHVYKHIFNFISSMSLKSAVELGIPDIIHNHGGPITLSELVEALNIDPTKASCIYRLMRILVHSGFFTIDEETEGYVLTPSSKILVKDKINCLSPFVMAMLHPALMTPWQFLGDWIQGNSSQRPFERANGKPIWEYMNQDSEFKNAFHGGMVSDSQMMNLVIKDCKPVFEGLNSLVDVGGGKGTIARVFSEAYPHLKWTVFDFPHVVANCKPTGNLNFVGGDLLQYIPPADAVLMKLVLHAFDDENCIKILKRCREAIPTEGAAKGKVIIIDIVINEKTDEHELTEGKLFFDMLMMVVVTGRERTEKDWEKLFLEAGFSDYKITPLFGLRYLHRPHTTVIGFENNDKEAWVERIIKADSRDIGNALTVIASNTSAATYLCSVCLTLSSLIGAWLGTSSNSFLQTRNFVHANYLITTPNCVIPVDSVKLAVLRGGDFWSLGLRALYFALNLLLWFFGPIPMFVSSVVMVFILHYLDTNTKPFHSHGDPTDDDQKKLTATRTYRGLVV >OMO76353 pep supercontig:CCACVL1_1.0:contig10907:170622:171425:-1 gene:CCACVL1_15763 transcript:OMO76353 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate-gated kainate-type ion channel receptor subunit GluR5 MTAGYTASLASLLTVKGLESNLDIKLVLDKGSIIGCENDSFIKDYRKNVLDFKTKQIYILNGSKSSYVDEFKKGNIDATILEAPYEKVFLNKYCDGYASIKPTYRFGGFGFAADFSKAILKLLSEKENLVAFEKKWFSTSDECSVANTKIKRLSFWSFWGIYAISGGASVLSVILFLLFCLRQEADQTLNGNVTPVKSGTSNKTVELANNHETRNGRAMNKHDIKYLNFSNNH >OMO76341 pep supercontig:CCACVL1_1.0:contig10907:104893:105429:-1 gene:CCACVL1_15750 transcript:OMO76341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTNSENGSKTEVPNPSSSEAKQQQQQPQIQGIRMPTIEEFRAQEFWNNCGVRSVASGITGGGFGFFMGMLLGALDNPVMQETMTGRQQLVHNAKQMARRSWGSAKAFAVMGLIYSGVECVVEKGRAKHDTTNTVIAGCVTGGAMSARGGPKAACAGCAGFAAFSVLIDKYFDRHN >OMO76327 pep supercontig:CCACVL1_1.0:contig10907:36101:41707:-1 gene:CCACVL1_15735 transcript:OMO76327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKFFGVKGKDSSPDVSPQSFGNSASRSSPSVSPSVSPVTGPARPIRLVYCDEKGKFRMDPEAVAALQLVKGPIGVVSVCGRARQGKSFILNQLLGRSSGFQVASTHRPCTKGLWLWSAPLKRTALDGTEYNLLLLDSEGIDAYDQTGTYSTQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTQMTKHIRVKAGGRTTSASELGQFSPIFVWLLRDFYLDLVEDNRRITPRDYLELALRPVQGSGKDIASKNEIRDSIRALFPDRECFPLVRPVNNENDLQKLDQISLDRLRPEFRAGLDALTKFVFERTRPKQVGATVMTGPVLIGITESYLDALNNGAVPTISSSWQSVEEAECRRAYDSAAETYMSTFDRSKPPEEAALREAHEEAVQKSLAVYNSNAVGVGSMRKKYEELLQKFFRKAFEDHKRNAFMEADMRCSNSIQSMGKRLRAACHASDASIDNVVKVLDALISEYEASCHGPGKWQKLAVFLQQSMEGPVLDFTKRLIDQIGSEKSSLVLKCRSIEDKMTLLSKQLEDSEKYKSEYLKRYDDAINDKKKLAEEYANRISNLQGDNSSLKERCSSLMKALDSAKQEILDCRRKYDQELLKQKAKEDQATSEIEVLRSRSTASEARLAAAKEQAESAQEEAEEWKRKYDFAVREAKAALEKAAILQERTSKETQLREDTLREEFSHTLAEKDEEIKEKSAKVEHAEQSLTTLKLELKAAESKIRSYDVEISSLKAEIRELADKLESAHAKAQSYEGKAKMAEQEKIYLEQKYSSEFKRFAEVEERCRIAEKEAKKATEFADKARVESAAAQKEKSEIQKMAMERLAQIERAERQIENLQRQKTDLEDELHRIRVSEMDAVSKVALLEARVEEREKEIESLLKTNNEQRATTVKALQDLLDSERAAHTVANDRAEALSLQLQAAQAKLDSLQQQLTSSRLNETALDSKLKTASHGKRMRTDDCEMGVGSVQDIDMSDRILRANKKSRSTTSPLGYSHSEDGGSIFRSDEDNQSQQNNQEDYTKFTVQKLKQELTKHNFGAELLALRNPNKKDILALYEKCVLQKS >OMO76330 pep supercontig:CCACVL1_1.0:contig10907:53420:56506:-1 gene:CCACVL1_15738 transcript:OMO76330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MAGDEEATTTSSEAISLERTPTWAVATVVFFLILISIFIEHLLHLLAKYFNKKRRKSLLQALDKIKSELMLLGFISLLLTVSEKWIANICIPKSVGESFLPCSDPSNDSEEEAKCQDQMRRWESWEAETKTLEYQFSNDPRRFLLIHQTSFARRHLRFWSEHRFLRWPACFLRQFYASVSKVDYLTLRHGFITAHFAEGSNFDFQKYIKRALEKDFGVVVGISLWIWIFSMFFIFFNAHGFYNYYWLPFIPLVMLLLVGTQLQGIITKMCLDSYDKSQVVRGTFLVRPSDHFFWFGWPKLLLHLMHFILFQNSFQLAFFTWTWYKFGLRSCFHRKTEDIVIRLAVGILVQILCGYVTLPLYALVTQMGTSMKKAVFPESVVEGLNRWQANARRNVARNTLTGRLSVDTSVGSSPSFSLDASYSVKFDNPKSDSEHHTVEINDEEQEISISRETEGVQKRSSFGGFDVRNT >OMO76354 pep supercontig:CCACVL1_1.0:contig10907:172519:177927:-1 gene:CCACVL1_15764 transcript:OMO76354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MKNVRIQRFSPLNSVNRISKYSYHLIITFLLFLFHGAQADQITEIRIGALIENSSRTGKEETAALEIAVGNFNNSSNLKFSLHFQDPGREPLEAASAAEDLIIKEKVKVVIVGMDSWAKAALVADIGTRAQIPILSFAAPAIRPPLAATRWPFLIKMASNGAEQIRCISALVQAYNWRRVVAIYEDDSYGGDSGNLAALLSENLLKVGSQIEYSLVLPPFSSLPNPKVFLKRKLNKLRDEIQSRVFILLKLSSPMTIHLFGEAKELELVGTNTAWILSETITSYLDSFNGSVISSMQGALGIKANYSEETPSYLKFDDRFREVFKSMYPEEDEFKPGIHALRAYDSINAIELAMKKMGSSHSSHKLKNILLSSNFNGLSGKIRFKDLNRTQSLRIVNVGRNGKRYEEIDEFWLPNFGFSKTPNGGADHVKANLSAGTVKWPGNTNKDPKGWAMPTAQKLLKIGVPRRTSFEEFVEFDSGEIPSGFCIDLFHEVLRILDYNLPYEFFPHDGPYDDLLSKVSNKCSAANTKIERLKLRSFWGIHAISAGASALCVILFLLSRIRQDTYQASNDNVTPVKSGSNISNKTVELANNRETRNSRASTSPTSSRSPGLYRRSTSKLNYSDTHLQDQPDSSPATEIEVFAGK >OMO76337 pep supercontig:CCACVL1_1.0:contig10907:92874:97663:1 gene:CCACVL1_15746 transcript:OMO76337 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAFANSLALISPPFPLHSSKNFTFSPKLPFRSPKIPLLILSCSSRKQAPSTEQEALKFIAESDGTTLPCVRTYENDLARLTLVGDVSFDQALTAAAADGGRAAAEHVDAGIPAMVIETVFPGSTAKNATVSTRLFLPARKVKEKAHKLKKSLSEDILAGTSSKNILAMTFRQVVMQKLWSFELVLFHPGTQRDMEDLENPREVFASFTLSSSEESVISVLAEVVCVLALQSTERHFLDSLVGKTSSKFFQWFRKSNKIMSKDSSVVIYKVFEDEIVENARSLLESFSSYRESLKTMDLRQKNYWWTPSMHSKLEKIGGHEFSAWTSEFIPAFGLEVDANMLKDVKFEGWRKSDDNRWEVLLTHSQMVALADILDMYYEDVYSLPNKQLRCGVVANYNSLSKAKRSSSVLRIVSATIASGIFLITISTLSQFRFPHQHKGGKYPRESGSPTSSITESKPIQSIDTEKLEASCLLVIQKIKDAFGWPGEISTETNLGAWIGEIPNYLKVPHQANSGGEDDSTNSADVEKINDGIKSSVQDVASYQVTLSSEGKLVGFQPTSRVGVNYWAVNPLAKELYGGRKLSPVGHITSFLHLSNKLAERDHKISFFLPTKLQSKFEPFNLHPNLITFIPVTIPHVDGLPSATQTTADIPLSQQHLLLAAMDLTEPFIETSLRKLKPHFVFFDFTPWLPILCHKLGIKSLFYYTISPATVGYLISPTRKILEKGLTGSDLMEPPPGFPSSSIKLRDHEARELAAQTMKEYDHGNKNNSFLVRQLTSLNECDAIGFKTCRETEGPYCDYIEKQFEKPVILAGPVLPEPPRKPLDEKWEKLLSNFKGQTVIFCAFGSECVLNKEQFQELVLGLELTNLPFLVALKPPIGAETTESALPEGFQERVKGRGFVTSHWVPQELILKHPSVGCFVTHCGSGSLSEAMVNECQLVLLPHFGDQIINARLMAGDLKVGVEVEKGEENGVFTKEDVCKAVRALMEDDSEVGKEARANHAKWKEILLGKGLENSYIDSFVNKLLELK >OMO76325 pep supercontig:CCACVL1_1.0:contig10907:25248:25804:1 gene:CCACVL1_15733 transcript:OMO76325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGEDIAYDLVLVLLSISLLILAIVLIIICRRKPAKSDDHENQLEPPVKLVASSYQLTDIDAATDGFNHRRIIGKGRLGTVYAAISPRGELVAVKRIHPQLVLSNAGFGLEPAVKSRRRGCQRAFIFA >OMO76338 pep supercontig:CCACVL1_1.0:contig10907:98379:99455:1 gene:CCACVL1_15747 transcript:OMO76338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase substrate, phosphoprotein PP28 MARGKSKPKRTGQRTFSSIEEILAGTSSRPPTFTQREAKYKEEESEYEEESDNETEFSHEKTTTELSRREREELGKERAAEQREKGKGTQARKDLERLALMRQEREEAARKKEEQKAVKEQRKAEAFLLVYS >OMO76334 pep supercontig:CCACVL1_1.0:contig10907:83256:85016:1 gene:CCACVL1_15743 transcript:OMO76334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Septum formation initiator MVLPLLKLGTLALKTLSKPVANKLKHQAVIHPRFRNFIVSFAQANHRISTRMQRRIYSHATDVEIRPLNEEKAVQAAVDLIGELFVFTVAGALVIFEYQRSSRSEAKKEEARRQELEAIKQRNEDLANEVELLRHKLDEVEQLARRQGLSGIFKLKQANTEGGNLAKAA >OMO76328 pep supercontig:CCACVL1_1.0:contig10907:42840:43337:-1 gene:CCACVL1_15736 transcript:OMO76328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGYLQGQEMSITDQNNNINNDTLNILQEYNKYHELAAAAEYQMAAAMEIEEADEKGQTILMDYLQESSPEYSYSPLTTSSSQTTIDSNVRDVLKSIERVLSVGALDEVFLPMQPSFQPEEKRFDEASNSTTSSRSCYVNGNEPIFHISSPDPSASYQQPFSEF >OMO76343 pep supercontig:CCACVL1_1.0:contig10907:115905:116854:-1 gene:CCACVL1_15752 transcript:OMO76343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIYKKWIPELRHYAPNVPIVLVGTKLDLRDDKQYLSDHPGATAITTSQAS >OMO76349 pep supercontig:CCACVL1_1.0:contig10907:147453:149738:-1 gene:CCACVL1_15759 transcript:OMO76349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MASRVPYNLPHANSTVDQLIKLFSSKGLTIDDMVVLSGAHTIGFAHCKHFLNRLYDYKSTKQPDPAIDPRLLKALKMSCPHVGGNTDIVAPFDVTTPFSFDHAYYTNLQSKLGLLASDQGLFLDPRTKPMVQSLGQDKAKFFQAFSAAMDKMSSIGVKRGRRHGEKRKKHRNLQIRAMRAVVQRVTSASVEVDGRIVSEIGPGLLVLVGLHDSDTESDADYICRKVLNMRLFPNESTGRGWDQSVMQRSYEVLLVSQFTLYGFLKGNKPDFHVAMPPQKAKPFYESLVDKFRKAYKPDAIKDGVFGAMMKVSLVNDGPVTMQLDSPQTSKNTTEAAEES >OMO76347 pep supercontig:CCACVL1_1.0:contig10907:138973:140943:-1 gene:CCACVL1_15756 transcript:OMO76347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MSVESEALGYTKAIRPYLGKRLRPIDILHGVSFASAASGYDDLTANLSHVLPVSKQLEYLKQYKTRLSQLVGAKKAESIIKNAVAVMSMGTNDFLQNYFLEPIRPKQFTLEQYQIYLANWMSEDIKRMHSLGITRLVVVGVPPLGCMPLVKTLMNEETCVEKYNTLSSSFNSKIQQKLAALRTTLGMKIGYVDAYGIIQDAVNNPKKYGLTETSKGCCGSGLVEYGDTCRGLSTCPDASKYVFWDAVHPTEKMYEIIADRAIDSLREQLMS >OMO76335 pep supercontig:CCACVL1_1.0:contig10907:87978:90463:1 gene:CCACVL1_15744 transcript:OMO76335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSKSNCKSSSHKLFKDRAKNRVDDLQGMFLDLQFARKESRSIDVAVLEEQVHQMLREWKAELNEPSPATSLQHGGSLGTFSSDICRLLQLCEEEDDATSALAAPKPEPNDQNLQVGDHAAFQEGYGVNQGQHERGFALADHCKDSPSGIRTMSINNLEGAAQLEYHQFDLHQEFEQFYNGFNGTGFCGEDALPHTSSYLPSICPPPSAFLGPKCALWDCPRPAQGLDGCQDYCSSFHAALAMNEGPPGMGPVLRPGGIGLKDGLLFSALSAKAQGKDVGIPECEGAATAKSPWNAPELFDLSVLDGETIREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQIMNEFGGLKRSYYMDPQPLNHFEWHLYEYEINKCDVCALYRLELKLVDGKKSAKGKSANDTVADLQKQMGRLTAEFPSDNKRYVKGRAKINAKVGVGNLYSTPNVVAPTSEKFDYGLGVQYDYLVDNLSGYYLT >OMO76355 pep supercontig:CCACVL1_1.0:contig10907:182199:182358:-1 gene:CCACVL1_15765 transcript:OMO76355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEILLQEVEILLQAEILLEDEEIEILLEEAEALSLSAVPEVEILRRHSLTLQL >OMO76342 pep supercontig:CCACVL1_1.0:contig10907:107992:109737:-1 gene:CCACVL1_15751 transcript:OMO76342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNRHWPSMFKSKPCNTHHQWQHDINPSLISSGCHRPPYNSVTGCEERSPEPKPRWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRAQLQEYGQVGDANVFYWFQNRKSRSKHKLRNLQNSKQPPQQNQQPLQTPPPITNITTLTAPSSSSSSSSEKSSPNKSTLSLTSPNVIDVVNSPTASVNQTYFHQQPQNDQSFLNEPFFFQVQQAAAAAAAAAGAGFTQGFGFPELANMVQAVPENQPVGPCTSLLLSEILNHGSVSKKEHEEEKMKMQLQLNYALTSNAPSTLTISPPTATITVPSNINPNLQGVGESGAVGPGGAARSTVFINDVAFEVAVGPFNVREAFGDDAILINSSGQPILTNEWGLTLHSLQHGGFYYLVRSLSPFSV >OMO54370 pep supercontig:CCACVL1_1.0:contig15006:61334:61474:1 gene:CCACVL1_27848 transcript:OMO54370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGSINLVQSSIWYERTGSRNIFDSGTVGTGSRSLEPAFQISQRL >OMO54373 pep supercontig:CCACVL1_1.0:contig15006:121684:127884:-1 gene:CCACVL1_27851 transcript:OMO54373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MGNLFSIPITADHDMLSCCWDYIVGQASYTRKLEENLIAMSVELEKLKAQRDDVNRMVDLAEKQLMKRLSQVQVWLSRVQTIVTEVEDLLKDGPQETQRLCFAGYFSKDFNYISSYKFGKQVADKIQEMVDLRNEGVFDKVAENEVAPPVDVRPTEPTVGLDLTLNRVWRLLQGNDVGAGIIGLHGLGGVGKTTLLTQINNKLSNTILDFEAVIWVVVSKDHLTEKVQEKICEKVGLPSELWKNKSSDDKAILISKILRKKKFVLLMDDVWERVDLIKVGIPTPNQKNGCKLIFTTRFLEVCGQMGAHEKIKVECLGPDDAWKLFVEKIGEQNLDNHILKLAKQVAAKCGGLPLALITIARSMACKNTLHDWKYAVEVLKEFPHKLARMGEEVYSILKFSFDSLSNDTMRSCLLYCSLFPEDYRISKFYLIDFWFCEGFLNEFDDLSRAQMQGHNIINSLVNACLLELCEAVDELVKMHDVIRDMCLWIARDCEPPEKKFYVQTGGGSTEAVRDVENWEGARMSLADNGIEHIRGTPACVNLETLFLNDNQLKVIGVDFFRFMHNLRVLNLSLNWELKELPDGVSELGSLQCLNLSWTGIRELPIKLNRLSNLKCLNVLETRQLICNMSRLQIFRMSLSIDTLNETDEDNVLNCCNESLIEELECLQHLNSFTMEIKSVFALERFLSSHNLPECTEQVAFNGFADSKELDILSLANLMRLETLVIRNCQSLEQMKMGIEVGERRRMMQASFFPNTPWFNTLNKVYIVGCPKLREMTWLIVAPTLTWLLVTGCSKMVEIMSERKLSEIVGVEGISYSTPFAKLRSLYLRDLPELKSIYWDALPFPCLTEINIINCSKLKKLPLNLERAMGKQIKIEGNQEWLEEVEWEDEATRNAFLPSFKRADHWWKDMDWKLETWKLGKIGMRKVLQLANGLTETETCAGATFFEVDDTSVGRNGSPLPCAFVKGLNPLMFIFALIANATYVARVVIQGTITKGIQNCSKDARAYAK >OMO54374 pep supercontig:CCACVL1_1.0:contig15006:137084:139769:-1 gene:CCACVL1_27852 transcript:OMO54374 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing protein MGNFFSIPIPGNDTVFSPCWDYMLGQVSYTRKLEEYLEALIVETTKLKAQRDDVKQIVDVAQKQLMKPLSAVKVWLSRVHTVVAEADELIEAGPREIQRLCFAGCFSKDFNYMSSYRFGKQVARKLQELVDLKKEGVFERVAEYEPTPPVDVRPTEPTVGLESTLITVWRMLKENDVGIIGLHGLGGVGKTTLLTQINNKLSNTNLGFDVVVWVLVSKDHLIEKVQEKICEKVGLPSELWKNKSSDDKTILISRILRKNNDLISYVDGTISPPPKPTETTSKSSDKSSEQSSTISYSEWQKKDQFVLSCLKATLSPSAQAQVLGLTSSRQVWTTLETIFNQQCQAKLDLLRDELQSINKGSMSIEEYLAKIKSIADNLAAINNPISDSELVTRTLNGLPHTMEYQPIVVAIENRENPISFNDLKARLLVHEQRLKRMQALSPQPLLSTGSSHDTALVTRQSYHGYQNRGGQRNNNRGGRRGGQNNQRYDNQRYDNRDSRSFSRFGTPKYDTQFPTHRDNRDNRNFPRPQYGNRGPLRCQICNQSDHTAVTCGYRYNKADQNLSTSFAGLHLSSATSHDGFPSTSSTSIGANGEPIWLADSGATSHMTSNPSLLQQSTLYSGNDGVYIGDGKSLRISHIGDSSLCIGSSKFSLTNILFVPELKENLLSIAQFTKDNNCGFFLFPWGFVIKDLRTGKVLLDGPVKGNLYMIPVKAAEKVVTKQLEQKQKQALFGGNNSDVSGVTWHRRLGHPAGKIISQLHFF >OMO54368 pep supercontig:CCACVL1_1.0:contig15006:29620:32470:-1 gene:CCACVL1_27846 transcript:OMO54368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGDQNGLQGDPRLKAISEAIRVVPHFPKPGIMFQDITTLLLDHKAFKDTVDIFVDRYRDMAISVVAGVEARGFMFGPSIALAIGAKFVPLRKPRKLPGEVISEAYVLEYGTDCLEMHVGAVQPGERALVIDDLVATGGTLSAAIRLLERVGAEVVECACVVGLREVKGHHRLNGKPLYILVEPRQQTNCSAPSGIISSL >OMO54371 pep supercontig:CCACVL1_1.0:contig15006:63754:69607:-1 gene:CCACVL1_27849 transcript:OMO54371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSCGLQFLPTLSNSNSTVKMQAGQRGSSKRRREATADMKLTDGFA >OMO54376 pep supercontig:CCACVL1_1.0:contig15006:166273:168227:-1 gene:CCACVL1_27854 transcript:OMO54376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYKENSGSNRGGSMGVLNLLERRCLAPINFNSGGNGSGTGSDDGEEIESLLSKKLLYRKLPQQRFFKLSVLKLDGSLFDVNVGRNATVAELKVAIEELFAELPEESNGCISWSHVWGHFCLSYDGQKLVNNKACIKNFGIKDGDQAGFTIGAEFSPSGSGHEKRQNVVNHNDLDENKEDNSSDHYVEEEEIPLPEVKLTRLLSGWLSSTKLWAVSRKGSQGRNHRSRLTLKCLKG >OMO54365 pep supercontig:CCACVL1_1.0:contig15006:1359:2601:-1 gene:CCACVL1_27843 transcript:OMO54365 gene_biotype:protein_coding transcript_biotype:protein_coding description:desiccation-related protein PCC13-62-like protein MSLKIASLLLFLLVVYTTEAADTNAVPDSDLDLLEFPLNLEYLEAEFFLYGSLGYGLDRVAPNLTMGGPTPIGATKANLDPVVNDIILQFAYQEVGHLRAIKNTVKGFPRPQLDLSKESFAKTMDKAFRRTLDPPFDPYANSINYLIASYLVPYVGLTGYVGASPRLQGAVSKRLVAGLLGVESGQDAVIRGLLYERAREEVLPYNITVAEFTNRISKLRNRLGNAGWKDEGLIIPKARGAEGRINGNVLAGDEYSVAFDRSPEEILRIVYGSGDERAPGGFYPKGGDGAIARSYLA >OMO54366 pep supercontig:CCACVL1_1.0:contig15006:15051:22343:-1 gene:CCACVL1_27844 transcript:OMO54366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSLSLLPSSLSFPTERPSNSCQSSSSSCSVFLNGGTRLRSLDGFAGLSYSPCSTCSWRLNNGRVGARFGTVMAASGDYYSTLGIPKSASSKEIKAAYRKLARQYHPDVNKEPGATEKFKEISAAYEVLSDDKKRALYDQYGEAGVKSAVGGQSSAYTTNPFDLFETFFGSSMGGFAGMDQTGFRTSRRSTVTKGDDIRYDITLEFSECIFGAEKEFELSHLETCEVCLGTGAKVGSKNRICSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGDGEVISEYCRKCSGKGRVRVKKNIKVKVPPGVSTGSILRVAGEGDAGPRGGPPGDLYVYLDIKEIPGIQRDGINLSSTVSISYLDAILGSIVKVKTVEGVTDLQIPPGTQPGDVLVLARKGAPKLNKPSIRGDHLFTIKVTIPNRISAKERELLEELASLSNTSSSRSRTRPRTQPTTKMESKVATEEEKTEKSADQNDVWTQLKDLAGSVANGALKWLKDNL >OMO54367 pep supercontig:CCACVL1_1.0:contig15006:23193:26120:-1 gene:CCACVL1_27845 transcript:OMO54367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue MSAAVCGSKRFFEDIPTSPSAPVSKKVRRCSTSSPVRFSPPRSPLDHLQALFPLMDPELLERALLECENDIDAAITRLQELCLGGAESTVEKPGPIAELGTPAEPGALTNDGEAAAAAVSVQNPSAPENLPVDGAGWVDFFVRELVSSTSMDEAKARASRLLEILEKSIKQGAEESAQNLHKENIALKEQIQVFLQENTVLKRAVAIQHERQKEYQEKNNELQHLKQLVSQYQEQLRTLEVNNYALTMHLRQAQQSSSIPGRFHPDVF >OMO54369 pep supercontig:CCACVL1_1.0:contig15006:47566:53634:-1 gene:CCACVL1_27847 transcript:OMO54369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERIRTSRRVPSFEYELFEGDPEHLRTVVATPTQTRPWIDPASLKLKHRIGRGPFGDVWLATHHQSADDFDEYHEVAVKMLHPLKEEHMQKFVQKFEELFLKCRELQGVCWLHGVSIVNGKICIAMKFYEGSVDDKMAKSKGGKLPLPDILRYGIQLAKGIRQLHSIGLLVLNLKPSNFLLNDHDQLVLGDFGIPYLLLGIQLSDSDMALRLGTPNYMAPEQWEPDVRGPLSLETDMWGFGCSMVEMLTGVQPWFGKSIEEIYQLVVIKKEKPHIPIDLPPAVENVINGCFEYDLRNRPLVSDILHAFESSQNADNSDGGWIGLGSRPNKEKSVVSGYTTWHLAKDRLQVGDMVRSRSPLNARRPQTMDVREGTVVGLDDDADKYGFVLVKIPGMHKPLRVQESTLQRVTSGLAPGDWVRLKEENNNHSPVGVLHVVQRDGTVAVGFIGLGTLWIGKSSQLQMAKAYHMGQFVKLKANVFSPRFEWPRKRGGAWATGRISEVLPNGCLVVEFPGRFVLGNQPNKFLADPAEVESVSFDTCPGVVEKYQHVEDFHWAVRPLAIALAVFTAMKLTVSVGCCASARVKKVRRNGRDGHAGEKAGWRQRIFRDGVTTAGSTRNYCEKNDGGKQKEMGKKFKEKEVCNDIDEITEIFQRMNITKRQTSKRVSNHENEENEVTCHETIHFSGSPDTCLSFTSCSPKQRKHPCKETESELSRAKHGFWRQEQKSRAAKLQKQLKARRELESLIEEQLNRFHAQYNQANVPRHLADVAQLLMPQWAAPQELAILSWLGDWRPSAILDLLHCLAPSFPSHSTAMEQALSQLINEISVEEAVIDEEMAEIQATCVYYLPFAPGNSSRSGGSALQGMRAEFKKIAGVITKAQKLRFKTLELVVEKVLNQTDAAEFLVAFSGVQDAIHQFAEYQRLRKGPVTVTVKPQDAVETSNSKQRKIHLKDKTQDVLEPSKQPRIHLKDRNSPREKSSLIIDQERSGPGGAIQEFEEQQGLRKGPATVSVKSEDVVDTSNQPNIHIEDRTNNRRKASLNLEQEKSRLDIEDAINHIKQQEGLPKGPISTLFVKFQDLVETSKPPNST >OMO54377 pep supercontig:CCACVL1_1.0:contig15006:171667:174676:1 gene:CCACVL1_27857 transcript:OMO54377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing-splicing factor 8 MWNNNNNGAQIAPPGTGGSTIPPPPAAQPSYSVLAPQTTPQEAEAKLEEKARKWMQLNSKRYGDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVYAWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLGVYHTPMVMYIKTEDPDLPAFYYDPLIHPITSTNKERREKKIYDGEDEDEFVLPEGVEPLLSDTHLYTDTTAAGISLLFAPRPFNMRSGRMRRAEDIPLVSEWCKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKSQKKKHLFRSLQATKFFQTTELDWVEAGLQV >OMO54375 pep supercontig:CCACVL1_1.0:contig15006:162990:165656:1 gene:CCACVL1_27853 transcript:OMO54375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sel1-like protein MLTLRQLCGKSTLKNATLSFIQLNPRREMHSRNKKAMELIAKGWSALKEVDRVIDYCELNDKRLIPLLRAAKDNFELALEADNSNTHARYWLSKLHLKYHVPGACKAIGAALLVEAADMGDPEAQYELGCRLRVENDYVQSDQQAFYYLEKAVDQLHPGALYLLGAVYLTGDCVKKDIASALWCFHRASEKGHAGAAIAYGALLLRAVQVPECLTKLNSKRGSAAKRARTNAESLATNPVEMAKEQFKVAAEAGCDLGLKWLRRLEEEEKHLLSESSPTDHSPAKPAFL >OMO54372 pep supercontig:CCACVL1_1.0:contig15006:117931:120909:1 gene:CCACVL1_27850 transcript:OMO54372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MGVVVNNADDAYKLYKDYGYRMGFSNAPSHLGSLNSNAEFHALFYKCMYGCESEMEFERTWKKMITDHKLQDHSWLNSLHKCREKWSTAFSIDVFSSQIKSSQRAEVTNNVLQGLSTATTSLTKFFIEFEKLVARWHSSEGEKDFQCMHGSVTRAIKNCAILVHASEAYTHEIYKCFEKEFLDGIALTWKQVSSEGTICTFEVEVVGNDNSRIRKVYFDTSTLEISCSCKKFEGIGYLCSHALRILSVKNVLQIPDKYILKRWTKDAKKRVHKHNDIVSSEHNSETESTFRNRMLRFAYDLILKSQGNQKTRQHCEKILCEGDAEIEKLLSELNLDKTGNVDKDSHDHDHQDNMDNEQEVAANPIEVPKSKRKNKGANKESSSLPMPHNNHPYDVVIPIHGPANSGNYFGFMPTMYNSISLSDSSKSTTNGSKFRSGSNKSSINGYT >OMO87053 pep supercontig:CCACVL1_1.0:contig09345:40457:40762:-1 gene:CCACVL1_09293 transcript:OMO87053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRHKGNGSSILLQELQRCSKPKPARYSASSRRQQKYSASSRSQPNSSTARSLGRPETTGLGRYIAQLASQAPATPEAHTWPLSGKNSTSGDTMGDSSQDSN >OMO87049 pep supercontig:CCACVL1_1.0:contig09345:4470:4529:-1 gene:CCACVL1_09289 transcript:OMO87049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHRACPLCKKPEVPDPRAA >OMO87050 pep supercontig:CCACVL1_1.0:contig09345:9368:11573:1 gene:CCACVL1_09290 transcript:OMO87050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MTKEGEHSSFHGKDYVDPPPAPLMDPAELQKWSFYRAVIAEFIATLLFLYITVLTVIGYKSQSAADACSGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLLLARKVSLVRAIAYIVAQSLGAICGVGIVKALQETNYMMYGGGANSVAEGFSVGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSIGAAVIYGKHETWENHWIFWVGPFVGAAIAAFYHQWILRAGAAKALGSFRSQSHV >OMO87052 pep supercontig:CCACVL1_1.0:contig09345:21900:34932:1 gene:CCACVL1_09292 transcript:OMO87052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MEHIFNLIEMHSTDSRIKVSITESTMMQIVHSAMDKAYRKVKSKNGVLERLNEISKFYELAVMQLEGCLKFVQEEADNYVLESGHEILLEDLTEIRDRLQGRLKEVELAISEKDRELAERLANEFKHRKALEKELDSLHADLKCGKRKNEGIGELFLGTQASTESGDREGEFCELKNSVDQQVLNIQQQLEPNYQHRDEERNQVIDNKKIEQMGSDISILKETMDLAFCKMQNAICLSELGPMEHQWMWNIERSTADIVIKGFMKDFQQNFEDQVKKREMQFSFGLRKNLSDVMRQMTCLSQELDHHTNQDEVQVNISKPKGGFPKANRRCLSEGDFGDSNNFLMDVEETNTKKQPCEKDSGDDGGNYVAKMIKNHESIIRRKSAEIMIPVKPEILREKGCPSFKKDYVNLKRRIQEVIVSLESLINCNPGIVHTFGECRYNYEVEKPSDHHVSSFTADKMDIKESGIESMEEVWAKVNKPSVSHVRKEEKCGEMRILRQEMEDRNLQTMMMEQIYLTLFKSLAEEFHTEMLKHKRNEEKCMRRLRQEMEDTNLQAMMMEQMYLTLFRSLAEEFQTEMFNHHLQCLIKEGMYENFIEEMRNELNESNLEGMLEEDVYTFLLQEIHREQNDNIESYESKSSLGEEICFIVFGETIRHIMNTANWECKKLVSNTIVESAAIMSIKDDVWKLFLAEMIKEWQMKIDCSITESSIREEVLQFVIAETVKEACISEEADDQNQETSIEEHEKENIVTLTLHRLVKFLEEEEALLLSACSEIKEQKMRLDLVHSVLGNLDGNHHLNLLFTNEQNSAISTCSKLEKGLEQLDSGKAILSELGSQLGLVAGNLEWFHNEETPLVATKCFRESSILQLKETEDVKVKIYESLLVPVQELSQILKGFECSSCTNLGRHISRVEEMKHRLDLLVELAASLRQKESLYKKAFIRRCESLQMAEAEVDLLGDQVDQLLGLLEKIYITLSPHSLALKQYFGLGRLGPSIGSRNRNYFDFDERIYEDSQDLNMMNHPIINEKDDEVVVRLTETNFSSFIAENGYVMAFLGYPRSRKLPPEYEAAVATLSKDGEVAIAKVDFLTDEDLSLKYKRSGNPRVILFSGGVFEVYDGCGTRDDIVRWVRKNTAGVPIITHKDDAKQLLASDSIKVVGFYDSLESEDSRELFIASKLRSDLKFYQTTDPEIAKMFRIDPEIKHSVLVQLRKEDEDFRQFIGSFTGIEIANFLCCEKPHYTEERSPVVLQHPRIDNFSSFIAENEYVMVIFYEPWSYWSQTLALQYEAAAATLVKNDRVAFAKVDATTEMELATKYRISPMIYGDPMVLLFADGVLKSSDVVRTRDDIVRLIRKSTAGFLSITENDDAEHFLTDFNSEELHVIEQPIINKKDEAVVTLTWKNFSNFIAENEFVMVNFYTTWCRWSQKLTPEYEAAAATLLKGDGVAFAKVNADTERELAWKYGISGYPTLLWFAGGVRSKFYYGQRERDALVTWVRKSITEVPTIIEKDDAEYLLATDFVKVVGFYDTLEGEDSKELFIASKLRPDLKFYQTTNPEVAKIFHINKHAVAVQLRKENEGFMEFDGSIPGKNLADILCSAKDELPCNKGRATPVVSPYPRKEVENEQVIKQQHANYEKEELMVRLAEDSQDATNEKDHEVVVRLTEKNFSSFIAENEYVMVNFYAPWWQWSQELAPVYEAAAATMKGDRIAFAQVDCVPEYNLAMKYHITGHPSIFLFAGGIRKYYDGERTRNDIVRWIRKNIAGISTITEKYDAQHLLVHLGTENEDSKQFVGKPHYTNERTAVPGASQYSRKKSSEFGVLDQIFSSNYGDTDTATIMQNIGVLLVVMLPLIYLYFLLISLTLFWVSNSPSDNSSLLEKLYHGDLGRFVEEIFWRPKPVGNELVAKQPVIYEKDELMVRLAELENQLDAMYEIDNEEGVRLTENSQDSINENDNGVVVRLTEKNFSSFINENKYVMVNFFAPWCWWSQRLAPVYEAAAEAMKDDGVPFAKVDCVPEHELSRKYHITGYPSVFLFAGGVRTFYDGERTRDDIVKWVRESIAGIPIITEKDDAEHLLATDFIKVVGFYDTLKGPDSKELLVASKLRPDLKFYQTTCPEIAKIFDIDPEIKHPVLVQLGSKDEDYKQFVGSFTGLDIANFLSSGKPHYTKERAPMVSQYPRKEVSKIEVMDQIYSPKYDHREDSYIRLPTHSDAVSDPTYFPQVA >OMO87051 pep supercontig:CCACVL1_1.0:contig09345:19428:20447:1 gene:CCACVL1_09291 transcript:OMO87051 gene_biotype:protein_coding transcript_biotype:protein_coding description:mtn21-like protein MARHPIAEANETSPFGNLTPEEFYARHSVSHSSQFFTNARGLKIFTQWWTPLDTPIIGIVAVVHGFTGESSWFLQLTSVFFAKSGFAVCAIDHQGHGFSDGLDGLVVHIPNIDGVIDDCVHFFDAFRARYPPELPAFLYSESLGGAIALYISFRQKGAWDGLILNGAMCGISDKFKPPWPLEHFLFVVAKLIPTWRVVPTRGSLPDVSFKEAWKRKLAIASPRRTVARPRAATAYELIRICNELQGRFEEVDLPLLIIHGGDDIVCDPVCVEDLYKRAASKDKTLKIYPGMWHQLIGEPEENVELVFGEMEEWLKSRASTAAEAKGVENARAAVGNDSG >OMO94065 pep supercontig:CCACVL1_1.0:contig07987:7103:7531:-1 gene:CCACVL1_06200 transcript:OMO94065 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MDVLGVRQMKTSHKKNSRRSNSKKDFKVVYISSPMKFKTCASEFRALVQELTGKDSDVALRFMDSDGSSTENSPANSEVTRVVDDRDIGLPMTNSSNHHQSPFEPFDDGLMSEGSFLGMFTSNLFQDASQFDDAIRSFNLTN >OMO94066 pep supercontig:CCACVL1_1.0:contig07987:18582:18677:1 gene:CCACVL1_06201 transcript:OMO94066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPDEEGQKEFQEVSRERERDVNSWAQGAR >OMO53989 pep supercontig:CCACVL1_1.0:contig15079:10573:10899:-1 gene:CCACVL1_28154 transcript:OMO53989 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin light chain kinase, smooth muscle isoform 1 MDNSLLCDLFGHEEFKFGSESNIISAWEISAKGKANGNRRFLRIKKAARNKVRMEVRRTSEERTEVYTQGPKSAKWNIHVVDTIELTKALVSYDGSKVPVGMVVADPE >OMO53990 pep supercontig:CCACVL1_1.0:contig15079:11333:18850:-1 gene:CCACVL1_28155 transcript:OMO53990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFKRCKSKTGFCSRHLSFRFYFLVAKGFRSGRVLGPCMFPPLKSNIKSAQVFRDLDVLELATDDETHISRHSPKLSPDWPEDATLEEINFTTSEFWVQAHNLPLAYLTKANAAKIASIFPGLVELEFKQEENFRWSGILSMKVKVNIEDPLKTGQLEHVAKECLFRLDGERPLWPMVESHSPPSEGGVRRERGKQTKKSYQGEQSWGESACISRQAND >OMO53988 pep supercontig:CCACVL1_1.0:contig15079:9277:10181:-1 gene:CCACVL1_28153 transcript:OMO53988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRCFGVVWETSIQSVIKARREEAGPLMNAKLKFQRRRRNVVNRIKTDEGVWLEDEQQIRDHTLSFYKDLFKAGELSHVDEVSEQVDSIVTPEMNE >OMP12213 pep supercontig:CCACVL1_1.0:contig00278:1086:1232:-1 gene:CCACVL1_00075 transcript:OMP12213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APSAPCQKQAVHASPGRVTPVRCRLYRQLRCAVTRRFSTPREPSSAAP >OMP12212 pep supercontig:CCACVL1_1.0:contig00278:285:731:1 gene:CCACVL1_00074 transcript:OMP12212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVVELLGLRFNFQSPCAAAVAQVGDCNVETSVPQEDEIVHVVPIACASVPGRKRSFGSAQQRGAITSKHPSPPLHGQQLTVPVPYSGRANERTAGASGRFNRKSNLLLQSNPLNFVYHGKLYARKARFIENQLFHPKRITQLAVKLDI >OMO56676 pep supercontig:CCACVL1_1.0:contig14491:115384:115536:-1 gene:CCACVL1_26385 transcript:OMO56676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSFSGDPNKLELMLMQFSIKRFMESWPHRLLAMARGQGPGAMHSQAQPPV >OMO56664 pep supercontig:CCACVL1_1.0:contig14491:26107:28249:1 gene:CCACVL1_26373 transcript:OMO56664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKANSVSLSNLGSFPSPGGSDYHENGVVVGQKGWSSERVPHPGNSNGSSRRHISASSLTPFYSGRTLPSKWEDAERWICSPVLGYGVSKNANYQFQRRPKSKSGPIVPPGIAFYSTGGSPSMQLLDGGGGSGSVRNLMAGSPFSTGVLMPDGVSVHYGGRRGSDVGGDGDHSFLLQNDGNVPRSGIIPAWSDLVSESSLPSSQDEKLEEIKDAEMIISRVVSRRDMATQMSPEGSSSRSSPRERSSSSCHSPPPTLPLPAEEENNNDHPSGLDIREVQIDKRATTMTNNWSKRHGSRRIKKGTVPDIEDFYQNNTVAPALPLDITEAATSISKLQREEAKINAWENLQRAKAEAAIRKLEMKLEKKRSASMDKILSKLRMAQMKAQEMRNSMSGKEEEQIPKASNKVTFFHIRLRSFSSCFTCHAY >OMO56668 pep supercontig:CCACVL1_1.0:contig14491:65627:68215:1 gene:CCACVL1_26377 transcript:OMO56668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFSRKLTACSKRLKRGENAEDRISELPHEVLVYILSLMPMKEAARTCILSHRWRKVWTFVPVLNFDGSKTLYDYEKTGHDRNEGKKILDLEKHRYINWVKSVLKSYQGPAIEEFKVRFDLDLQFRCEIDYWIRFALDKNVKRLELDLSNYLDGCQLKCYQFPLLSNGYTMKRLRSPTLGLPSCSSLTALTLKEVNVSGDALQYFVSSCKFLERLSITGSGSLISLNLGSPIFLKYLEIIFCHNIRSVELSACNLVSFRYFGPRISFPFENFPNLVELYLGGSYCRYLIYNIHHFSAFLTQLNKIELNLSQIEEDMDFHDWPVLGHLRQLELKVSGDYDDNLLVLSPLIHASPNLYRLVLKVDWIEPLVKAKVMRVPESPHYQLKEVEIVGFMGLGADTEFITYLVKNAVMLEKITVDPREPDLLGSPWEYEDLKRIKAARIRAERLRKRGFLEDKLVVL >OMO56675 pep supercontig:CCACVL1_1.0:contig14491:110631:111029:1 gene:CCACVL1_26384 transcript:OMO56675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MASSSSVVSSRLLFLLFITYIVFSSSKVEAQVDETSLKLMMSDALEWPLSMSLYSEEGDGDIDDEEEESGYSRRSLFWKRMRYYISYGALSANRIPCPPRSGRSYYTHNCFKAHGPVHPYTRGCSKITRCRR >OMO56663 pep supercontig:CCACVL1_1.0:contig14491:15637:20273:-1 gene:CCACVL1_26372 transcript:OMO56663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLFQKPSTFFTGTQFPVSISKYVPTESSACLQCNYHFRGKASKQKKRFLQVKATVAGTQSDSKSDEKNKVDANQQEKKKLRILVAGGGIGGLVFALAAKNKGFDVVVFEKDLSAIRGEGQYRGPIQIQSNALAALEAIDMEVAEKVMEAGCITGDRINGLVDGVSGSWYIKFDTFTPAAERGLPVTRVISRMTLQQILARAVGEDIIFNESNVVDFEDDGKKVSVVLENGKRFEGDLLVGADGIWSKVRKNLFGPKDAVYSGYTCYTGIADFVPADIDSVGYRVFLGHKQYFVSSDVGGGKMQWYAFHKEPAGGVDTQAKKERLLKIFEGWCDNVIDLLLSTDEDAILRRDIYDRTPSLTWGKGRVTLLGDSIHAMQPNLGQGGCMAIEDGYQLALELDKAWKQSVESGTPIDVVSSLRSYESARRLRVAIIHGMARMAAIMASTYKAYLGVGLGPLSFLTKYRIPHPGRVGGRFFIDIAMPLMLSWVLGGNSSKLEGRSLTCRLSDKASDQLRAWFEDNDALERAISGEWFLLPAGDGVSSQPICLSRDENKPFMIGSEKKEDFPGTSVVIPSFQVSKTHAQITYKDGAFFVTDLQSEHGTYIINQEGKKSRVTPNVPTRIRPSDVIEFGSDKKAAFRVKAIKSAPKIAEKEGSGVLQAA >OMO56671 pep supercontig:CCACVL1_1.0:contig14491:91660:92499:-1 gene:CCACVL1_26380 transcript:OMO56671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDMMKSSSSTTEDHMDMMTMMMQMEKLPEFLDHPFQGSDQIHFSNGITTTTSTSILPTPPIYPNPNPNVSSSSLNNLMTLQPSTLSFMGNNINNPVQEPILSNNKFKYPSPFNNNNGGNSSYTSSTVDNKKNSMAAMREMIFRIAAMQPIHIDPESIKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKRQVQSLEQASSVNSRPMGSVGFPSAAMAAANVGYSSLVKACQPSHHHQVVGNMQNLQMLR >OMO56666 pep supercontig:CCACVL1_1.0:contig14491:38760:52318:-1 gene:CCACVL1_26375 transcript:OMO56666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEAPAPGSMECDKGFSCNYMLLKPEEVKFVDLFHILCSSSLEDRKFVDSSAETAESFRHRWLIFISIVAQKFLMFTCKPMSWMGSVIETWLNLLELNSNFFVLIWNFVRGKAVMPDKDSEKFISFIGNLDKRKMLDSNIKPGDGCRYYSALSMMASKASYENRAYIETIVKDHWKMEYLGFYDYWNDYQEKATTQLFYLRDKTDDHVTIVVAIRGTEPFDADAWCSDFDLSWYELPNMGKIHGGFMKALGLQKNVGWPRECKDNGCRKEPLAYYDIRDKLKALLSESEKTKFILTGHSQGGALAILFPAILFLHEEKFLLERLEGIYTYGQPRVGDEMFGKYMESKLEEHKIGYYRVVYGNDIVPRLPYDDKDLLFKHFGTCVYYNRHYQGKIVPEEPNKNYFSAISAVPMMANAVSHSTQDYVNSTRLGSLDAFTLPVPQEFNQVIIYHMDLSHKFSGNYLVLRPNELSIFDLFRLLWSHDLEKKAFVECPPETFQENIRRKWLIFMSLSSQKFLLNAANPLNWLGEKLEMWVNLVSFNCNILVLLLNFLRGKVIIPDRESENVQSFLGNLDRRVELDKNIKPGDCKYYGALAAMAAKLSYENRAFVERIVRDYWKMELIGYYNFWNDYQKKRNTQAIMVHDKSTNMIIVAFRGTEPFNADDWSTDLDLSWYELDEMGKVHGGFMKALGLVMEKGWPLEVEQDENRPLAYYTIRKKLRQQLKQNKEANFMVTGHSLGGALAILFPAVLALHEEKWLLDRLEGVYTFGQPRVGDKKFKEFMEIQLQNHDFRYLRYVYCNDIIPRTPTDDITFLYKHFGTCLYFNSCYKGKILEEEPNKNYISLIAWIPRSLNAIWELARGFILPLIKGPDYKESWLLVLLRILGLAFPGLSAHNPQEYVNIIQLLFSRKKIEETGFSYVLDESLQEKQVLNWTAGVSLLLQNFLLSIADRWKSLGDKIEMTLNIFSSMSEAPKPGDDSYKSIIGHLDNRVHLDKNIKPDNPRYNSALAAMAAKLAYENKGFIRKTVEEIWKMEFIDMGNFWNDTQGFMFHDRNVNMIVVAFRGTEFFNTIDWKTDVDFSCLSNKEMGKIHSGFMKALGLVMEDGQNRGSWPREKPCDGKNYAYYNIREKLKEELEEDEETKFIVTGHSLGGALAILFPAILALHEEKSLLKRMKGVYTFGQPRVGDFQFKNFMERTFNEYGIKYLRFVYCNDIIPRVPPDFGPLDLKFKHFGTCLYFNSFYRGKEEKEKKRKRQSVLGIPWDVDTDGLREYMSKYGDIEDCVVLKERSTGRSRGFGYVTFESSEDAKNVLLHDHYLGDRLLEVKIATPKKVSRIFVARIPLTVDEEAFRSHFEEYGEITDLYMPKDQDTRSHRGIGFITFARSDSVDNVMSEDHEFDGARVIVDRATPREEELRQINRMAHGGYGAYNAYISAATRYAALGAPTMYDLPGPVYGRGDSSQEIGKKIFVGKLPQKATAEDLSRYFSRFGEIVDVYVPKDPKRTGHRGFGFVTFADDGVAVRVYDMKHEICGQQVAIDSATPVIDARPNLMSPVSAFGVVGGGPMRPFSRMYGAVHFGDWGYSMGGGRPSRADWRYRPY >OMO56662 pep supercontig:CCACVL1_1.0:contig14491:13513:13578:-1 gene:CCACVL1_26371 transcript:OMO56662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGMARESLQRSVQVVDGGV >OMO56672 pep supercontig:CCACVL1_1.0:contig14491:104998:105078:1 gene:CCACVL1_26381 transcript:OMO56672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETRKYYRALRNQGEEKDSKHLYKV >OMO56669 pep supercontig:CCACVL1_1.0:contig14491:72637:76039:-1 gene:CCACVL1_26378 transcript:OMO56669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MAASAECNKDFCSNYLILDPTKATLLDIFRLLFSSDLNNRKFVESSEEKQEDFRHRLIIFVSILIQYLLQLFSKPLGWMGSSIETMINLLPSNGGFYGLITNIIRGNNVIPDRDSETFISYIGSLDTRMELDRNIKNGDGMYYPSLAIMAAKAAYNNKAYTQTIVEEHWEMKHLGLYEYWNDYMEKTTTQALLFQEKVTSDDQDTTIVVAFRGTETFNSDDWCSDFDFSWYELPNVGKIHSGFMKALGLQKSVGWPKEPVPNPSRKEPLAYYHIRDILRNILSKNERAKFIVTGHSLGGALAALFPAVLFFHDEELLLERLTGVYTFGQPRVGDEMFGNYMENNFKRHGIQYYRFVYSNDMVPRVPFDDKDFVFKHFGSCVYYNSRYEGEIVKEEPFKNYFSIRAVLPMMTNAMYELIRSFTIVKERGSGYREGFVLIFLRIIGLVVPGVPAHSTMDYVNSTRLGPDALFPHY >OMO56670 pep supercontig:CCACVL1_1.0:contig14491:80529:85727:1 gene:CCACVL1_26379 transcript:OMO56670 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative casein kinase MEKWEKNFYISSIAGATNGSSLVVMSKGTPYTQQSYKVKIGLRVLTRPDAAKLPTIY >OMO56674 pep supercontig:CCACVL1_1.0:contig14491:110227:110319:-1 gene:CCACVL1_26383 transcript:OMO56674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRRRHGAHATDWMMEMDAAGRCGRTWCD >OMO56661 pep supercontig:CCACVL1_1.0:contig14491:8872:10071:1 gene:CCACVL1_26370 transcript:OMO56661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVDRRMSGLNPAHIAGLRRLSARASAPSTPASVPVRNGLQSFSSLADKVISHLRNSGFQVQPGLSDAEFARAEAEFGFVFPPDLRAILSAGLPVGPGFPDWRSAGARLHLRASLDLPIAAISFQIARNTLWSKSWGPRPSDPEKALRVARNALKRAPLLIPIFNHCYIPCNPSLAGNPIFFIDETRVFCCGFDISDFFERESVFRSSESDPEILKKQRSVSEKSAGSSTNFSRRSLDAGLVNGSRTPRWVEFWSDAAIDRRRRNSSSSSSNSSPERYFDMPRTEIPKWVDEYVEQIGSVLREGGWGESDIAEMVHVSASGFFEGEMVLLDNQAVLDALLLKADRFSDILRKAGWSAEEVSDALGFDFRPEKEKKPVKKLSPELVEKIGKLAESVTRS >OMO56665 pep supercontig:CCACVL1_1.0:contig14491:37794:38332:1 gene:CCACVL1_26374 transcript:OMO56665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGESRKALSDIEDFTPGQWTLQRQQATSISKEEAKINAWENLNLQKAKAEAAIRKLEMKLEKKRSASMDKILSKLRMAQMKAQEMRSSMSGNEDKQIPKASIQTSFSS >OMO56673 pep supercontig:CCACVL1_1.0:contig14491:108296:109061:-1 gene:CCACVL1_26382 transcript:OMO56673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIDEWKHQWNRMTGKKSQVNFGWLGIAFTFPPLTFTSPFGFFDCPPLPASFLPSLPFSSIDPTDPTNSQAFHLQPSPHF >OMO56667 pep supercontig:CCACVL1_1.0:contig14491:56761:61058:-1 gene:CCACVL1_26376 transcript:OMO56667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MASTAECNKGFCSNYMLLDPKKATLPDIFCLLFSSNLNNRKFVDSSQEKQKNFRYRLIIFFSILVLYLLQLFSKPMAWMGSFMETMLNLLPCNGGFYGLIMNIIRGRNVIPDRDSETFVSIAGNLDSRMQLDRNIKNGDSMYYPALAIMASKAAYNNKAYTQTIVEDHWEMKHLGFNDYWNDYLEKTTTQAFLFQDKVTVDDHDDTTIVVTFRGTELFNSDDWCSDFDLSWYELPNVGKIHSGFMKALGLQKSVGWPKEPVPNPSRKEPLAYYHIRDILRNILSNNKRAKFIVTGHSLGGALAALFPAVLFFHEEKLLLERLQGVFTFGQPRVGDEMFGNYMENNFKRHGIQYYRFVYGNDMVARVPFDDKNFVFKHFGSCVYYNRRYEGEIVKEEPFKNYFSIWAVFSMITNAMFELIRSFTIVKKQGSGFREGYLQIFLRLIGLVVPGLPAHSPMDYVNSTRLGPDALFPHY >OMO56660 pep supercontig:CCACVL1_1.0:contig14491:5279:5380:-1 gene:CCACVL1_26369 transcript:OMO56660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRPLSHSNSNVSFYMDSRRQMVALCWQLSN >OMP04868 pep supercontig:CCACVL1_1.0:contig05593:631:690:1 gene:CCACVL1_02116 transcript:OMP04868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FQEEMSIERATTPPHASSL >OMO87611 pep supercontig:CCACVL1_1.0:contig09216:4833:14580:-1 gene:CCACVL1_08885 transcript:OMO87611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTRRNDDVSSSEVGIPSGLNRIKTPRVSSKEQPSSKLGQLSDSRTSKPPLKQKQKAVAHGQGKTSGFSKEVEQKGKKIARWFSSYISRNSTQAFNNFTNIEDGRPEVKTLDKTEPARNKLGYMENKLNGKQPSAESAYASIMSKGLKSFSHELGPKGGIQSAHPRAHSYNDLKELLGSLHSRFDAAKEVVDAELATFAGDVMDALETIGSSSPKGRKMAEDLLILAQECVEMTPSLFRLKCETIVQKLTEKRHQCETVLVKWLCTRMLFILTRCTRVLQFQKEKEPIDEKSLDKFKKCLESIPAVEMSWIPTPAVADSDSANGMYQRADGEHKLRGENKVSPFVDPTYNSSMEPARRNDITSENDSSISEIISPTQRSQSDFISQEQRFCQADDSSIGNSADTSCCASLREDNHNSDGSLIEPEPTLDGSNSVICRICEEAVPISHLESHSYICAYADKCALNCTDVDERLQRLAEILEQIIESWNLNSIGSPENSRMQNLSSAVTSEGYSPKISEWRNKGVERMFEDIHEMETACIEDSNLTSIDFKGHLGMRLGNYGASSSTGSMTSVSSTNTPRASHFDSFWLERNNPSELEDVKQIVDLQDIARCVAGTDLLKEGSHEFLLACLQDLQDVLQHSKHKALVVDTFGGRIEKLLREKYILACEVIDIKSPTGCNEQREFSRLLSDNNASQSNTLPTTLNMSLKERTTIDDFEIIKPISRGAFGKVFLARKRTTGDLFAIKVLKKLDMIRKNDIERILAERNILIAVRNPFVVRFFYSFTCRDNLYLVMEYLNGGDLYSLLRKVGCLEEEVARTYIAELVLALEYLHSLGIVHRDLKPDNILIAHDGHIKLTDFGLSKIGLINNTIDLSGPETTGTTSLDACNLQTEQTEDRSRNSAVGTPDYLAPEILLGIGHGYAADWWSVGIILFELITGIPPFTAECPEIIFDNILNRKIPWPSVPSDMSHEAQDLINRFLIHDPDQRLGANGSTEVKAHPFFDGVNWDSLALQKAAFVPHPDSADDTSYFVSRFPRVSGGTLDENDYSRSDTDTCDSDLISGVEMDECGDLAEFDPSPINLSLINFSFKNLSQLASINHDVLLQSALFINEIWKLTVTKNCSLAKEYVETAKVTVEKETSDGKSSCQEMVVEKEETMKEKIDCDDGKKSSWPELVGENVETAKATILKERPDLDIVVLPEGSPATMDYRFNRVRLWVNEKCQVVNVPRIG >OMO87614 pep supercontig:CCACVL1_1.0:contig09216:29677:32845:1 gene:CCACVL1_08888 transcript:OMO87614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRRATHFHFFQCIKGGIVVKCMNVDTRGRPELKFKEVKEIYDSGGAIDHNQAPRIHLRDDLESSPTKSNVFKVESPNSPLGSGIKVKSEPEAYDFDCSKSGGEGNDDLDDLSFGNMTLKQIKKRCKAKKRKRLNPVALNEETVETCSSIKHEFPDFQQKGDEYDLEEPLISWKSKLSKNRKSQRKCLRKSVSGSSPNALPIIKPEPVNSDEELLQPNGEWPAPIDIKDEVPEPGYSGCQTIFSNTSASDCSCSEQADSFVTDEGPDTTNVHILETNSPHPTKAPQCCSLNEVSYEYMENDTTNVHILESELPHPTKEPHCSLNEVSYEYMENSEPKFDEEASCWEIVKVDSPEIISYDYSDLSEFKKEDYIISPLHYDVSSEPLSPTQDNSCQSNSLNHEMPGQSNCYSLIPVPEATMACGVETGASFYPIEEPLCSDSNGVSYEYMEDVGPEFGSRFSGWEIVKVNSPEAISYQCSELEEIGEGSTLYLLPYDVSTESMSSSKDHSPDLNGSCRGNSSEHKMAWQTSDYSQIEVPEMDSDDKLQCLESINGGNAFSFESRVHDWPSNVRNIANSPTDNSLYWSPSCLNPEKHSVPVSGDSPSAGKHSLSPASRATTSFGAFNEPKMSPGHQDYLPMKQQCRPERLLSSRKAISPTSQERLCRAMELTGLDDDNEHQQCRKKLFFGKQTNHRILKAQGLDQIRREETTINPNPKSIMRKTKHKKKGSPPKGILKVTHPSRSVPHVGTAPTILQRCSQSAIAFSQRQMRDIESLATKLTTELTSMKEIAKGRLHCEASAATKENADEVCYFYNLFGLSLIKDTEQLFSDFFLIEVP >OMO87613 pep supercontig:CCACVL1_1.0:contig09216:24096:26249:-1 gene:CCACVL1_08887 transcript:OMO87613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASLKGRYTNDKSTAAATLAFNAGDVKLRASMTDATVVNGPSLNGLTLSVDKPGVAEKPLKLTYIHSRGDNRTIVDGTLVFDPANKVSAVYTLGTRNCKLKYSYVHGGATTFEPCYDLGKNAWDFAISKRVYDDVFKATYQTLSRDLALEWSRNSKFNGTFKPKTARQIRDAQGY >OMO87612 pep supercontig:CCACVL1_1.0:contig09216:16243:23346:-1 gene:CCACVL1_08886 transcript:OMO87612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEDLELLSPSDEPSSPVHERKLKRLKKVKSIAEVPPLFESPDFDIPDGQAIEEPKSGSRSGFEGSDEENESSSGFDDWPVEENVSGSVAKRTLDFDSLTEEVEGNVEDQSREMEIRDSEMDESGKKRPSFDGLENKEKKKKKKRVKGVGEDEMPVLPERRTAKERREYLAQLHAESQRLLRETRDAAFRPAPLVQKPISSVLEKIRRRKLEISKKTYFVDDDHDGFSSKDMVEPEFQDVADKGRDNDRALEVGRDNDHAIEVESPKANANHGISDTLPADGIKNVADMSSSEELSTEMTVDKEPKQAFRAPLDDTQDLFSDSQTSDSKDEFAEETPGNSLKGVLAPSLLALNLKLDSAPPDDISSDEEDNDKENIEPQPHGSVDLSSTPNGDPVRAFVDEEAEEEADSDDDKRFEDDENEEDEDAEDLEDIIETGYEEEQSDVERRMELHQKLFDQQDASKTENLLRRWGMKQSKTTLIDEERSEEESETDDEEDVETTEDLPPINLRMHVKKIKEMIPQMFTDKDDMYISSDDEEAEKKLVEQCLSEKANQRAELLPPTKDARSKELFGYIKKVNNMPDTRRKAKPSSFSNMLLMGKKGSVSSKSSFIGRGSNCPVPSSRKQGSGILRSFVFEREDSNSRSTTSIAENSSDVVQREHRPTKTPSAKFKSSKVDDRDSVDSSRSGFGIKDEINQPKYVGKSVKEVEAKDGERGRAVSVTKYSPFWSERFQFMSAVKLNSVATCINVLPFRDFEGLSKYVAVGDERGRVYVFLRNGDVVTEFHTKLESPIMAMVSYMSTYKNESVVVTGHQNGMILVHRIYEGLNGEESGSPVMETVGKFVPAESGEDGLPITTLEVHHVGRMRYILSADLSGKIRVFREDGTLYGSAMPTSRPLLFLKQRLLFLTETGAGSLDLRNMKIKESDCEGLNHSLARTYVFDPTERSKAYGFTSDGDLIHVLLLGDVMNFKCRVRSKKKLEMHEPLAFQAIKGYLLIVDPEKVFVYNVSTQHYVRVGAPRFLFSAGLDEIRSSFLIYQMMDTSNEKTQAIPLIASDREKLVVLGLGGEYVGMYRSNLPVSKGESSTMLWTSPVLFFILFLFGAWQFFAKKKEALTSWGPDDPFISSTTATNGAPLGSSTADRSLLDSSSRGADIADLRSSGLRGRRYPSPSRYPGGGATSSFRPNSADPSSRPAPVDPNYRAAPELKYRGSALESSGFPKRRESLFVNSQVVDDNS >OMO59778 pep supercontig:CCACVL1_1.0:contig13885:13206:15131:-1 gene:CCACVL1_24617 transcript:OMO59778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERRELGLPRTSACSLKEQLARTTLNNVRSQGHTYIELREDGKRFIFFCTLCLAPCYSDSVLLDHLKGSLHSARLAAAKATLLRSNPWPFNDGVLFFGNSNEKEKQLAVMNGNENRLLEFHNNDNNFAIVNYVGNEVESYNKNVNGRTEDSDLVIPGVLIKDEVSELKVSFIGIGKIAARCSEKDGVSNGVSRIWCEWMGKEDPGNDDKLKVPKHEFAVVTFVYNCDLGRKGLLDEVKSLLTSGSPTELDNGVSTPASKKRKNSFSDPEDVSQSLSNQYDSSGEDSSASNSAPSSLALDRYDDQLLLTRFISSKAIRRELRRQQRIAAERMCDICQQKMLPEKDVATLMNLNTGKLVCSSRNVNGAFHLFHTSCIIHWILLCELERLENNSVNPKVRRRSRRKNGAKCKETRKDVETKATGSLISSVLCPECQGTGIEVEGDELEKPDVSLSQMFKYKIKVSDARRAWMKSPEMLENCSTGFYFPSQSAEKHEEKVLPLKLLHFYRADNNYDLSTSFLG >OMO59777 pep supercontig:CCACVL1_1.0:contig13885:2390:3406:-1 gene:CCACVL1_24616 transcript:OMO59777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MNTTQRSESINSFFDSFVDSTTTLKQFVVRYEKALDSRREKERREDFESRHKSRILRIGSKMEHHGALVYTKTIFNLFQDELVKSNQFIKEKVSKDGSCYEYKVSSCFDSRDSFVVRIDLSSKVGTCQCNLFEFKGILCRHILAIFHIKNVVEIPKHFILKRWTKEGNKGNGVFENKPSVEDDHEKSATARCLHVCRFINQLSSFAEKSEEQYKVIVGDLDQIFKKVLSMEEDNNSSQKQISEVNHDALENQQNHSDPPLLLNIGDPHISQTKGRKKGGRGESQNSRFKSGLEIALAHGTVKKRTCHGCGGYGHNKRSCKGKEKSTNTPTFGNTEEEV >OMP05841 pep supercontig:CCACVL1_1.0:contig05181:297:1666:1 gene:CCACVL1_01813 transcript:OMP05841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Male sterility, NAD-binding protein MEAAKKRLKAEILDAELFKCVKDKYGKDYEAFMMSKLVPVVGNVSQSDLGMEQELANAIKEDVHIIINSAAVTSFFPRYDDIIDINAMGPYNLMGFAKKCSKLELFLHFSTAYVNLPRSGTIMEEILSMTDITERDVYLKSNNKSLVRFLPAVILENEMKLIADCKKTLADPALAAKELSELGLQRANKFGWPNTYTFVKAMGEIIIDSIREDVPVVIMRPCIVEGTYNEPFPGWIEGFKGIDPVALFNGQGKLTGFIGTLDAVLDVVSVVI >OMO53099 pep supercontig:CCACVL1_1.0:contig15329:11172:17097:-1 gene:CCACVL1_28883 transcript:OMO53099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MKFLSLVGNSFGCSASGERLVSAARDGDLQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLLESGVDINLRNYRGQTALMQACQYGHWEVVQTLILFGANIHRADYLNGGTALHLAALNGQSRCIRLLLADYIPSIPNCWNILRKRSRNKESTSEFDESALREVINRPADGGVTALHMAALNGHVESVQLLLDFGASVTEVTMEDGTTIDLIGAGSTALHYAACGGNAQCCQVLIARGASITATNANGWTPLMVARSWRKNWLEGILSTQPEGQSQIIPSPFLSLPLMSIVKIARECGWRTSDSLPSCQDPCAVCLERKCTVAAEGCDHEFCTQCALYLCSTNNASNVAQGPSGSIACPLCRHGIVSFVKLPGTKPTVKAVARTSLSLSFCTCSSELPESTSMTTPLCKTEVHCTRISPLGSSFRNLSCQRFPSMRINSSFCMGAPDTSGSLVPCPAERNLRNHLFRCSRSGLRRSASEGRKSWFSALNQCVTTGSGC >OMO62830 pep supercontig:CCACVL1_1.0:contig13145:31639:38276:-1 gene:CCACVL1_22618 transcript:OMO62830 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MRESDKRRKGGWWGFNPSGYQEKESFRWRLSLHLVFVGNISEGITRKDLWDKFNDFGIVVDVFMPPRRKGGSRSFAFIRYRFQDELIRAVQRGNGMKFGGMALVVPKATVRKLDRESHGRISWERNKVRVRSDSGRFGKSENDRVQKSHWKEKPQHEKRDNAIIAVRGNNSGTTKESKEPDIQQPDGSTPMQVLQPDTVINKVADVNEEMVLISTYGNMSEVITAIQSFEFQVKVAEISNVMLLLIVNKEALVDDCIKAVNGLNLDCFIEFMSIDESTKSRNSFKQARVQTALSSVCDVPKIITGESAGIKFRIRVSLEKVDRGEWDCSGFPVPSKGQSINHDDELVLVPNSAFIDASLYDKDDFGFEENNDDCRIRITPEDIVGESLTVSREGAGRRDLLHSNFVVDSLEREDEFVPNSNSFIEHHVSEPEAHFNHSGSTSTRPSLGVRSLSGLKGVVDDFNFTKGLGRKEKRRAVRSLIARCQANLVMLQESKLDSVNLRLIKSMWGRDKFQYRVAEAIGSAGGLISIWDEDFFSVELAVVDSRYIICIGTLLQNGFRCAIGIVYAPNDEDGRLNVWRETRDLKAQFDVPWILGGDFNAVLRREERLGASGNIQGVESFSEFIGYMQLVDLPLIGAKYTSANTRDLPLFIRLDHFLVSSEILLSFPDIKQKAKPKSLSDHNRILLLVENQNWGSKPFKFFNYWLELDGFQKTFDSAWKSLNGGYDNYQRLRLLKPKIKEWFNEFGRVDLRRIEELEEEINSLELEIQVQHGSNVTELRRVILAKKNDLWNLYRMVERSWQQKAVERSWQQKARMQWLKEGDKNTKFFHLVASNRARRNRIDSIEIDGLVIEKPEDVKEGISSFFEKFYNTKTAVRVADIDCNFKRSSEERADWLERVMSAEEVYEAICECDGTKAPGPDGFNFFFIKKQWETLKGSVMEFINDFCSGANFNTNVNNSFITLVPKCNGAVKIEQFRPISLVGCLYKILAKVLSRRLKSVMQDVIGEFQFAFTQGRQILDCSLIANEIVDSMKKSKEGVLVNGSAERKFSPARGLRQGCHLSPFLFNLVGEFLSLLLSKAVDIGLFSGFQVGRVAISHLQYADDTLITFTIKQQLDRIVRRFLWSSNSEGRKIHFIDWDSICKYKDQGGLGIIDLDIMNQALLNKWLWRFGNEQGSLWQRIIVEKNGCSNSALIPAGSNRNGSAASALWKSILKPGNDGLSSMNKIPSVTGRFNREPVPSPVLDGMAISIGYGIKVDFWKDEWVEGIILKESFPRIYALVLVKNGSAADFGSFVDDEWRWVVIRKRLAVKFELNKRGLISFESSLCEKEVENVEHLFFTCICSWNIWMACCRLWGIRWVVPVDPTTFFLSWYYAIDDFSKVNVWRLIFFVVIWSLWLTRNDMVFNNKHFDALQLFDLIKLRLSWWVKAAWPDSNLSFENLFRFPDVAVVKHNKAKVPRCLTWERPTSGFLKFNVDGASKGKPGPAGIGGILRDENGRVCMEFSKSTGIMELNEDKVCAIREGLLVFCASRWVESHGLIVESDSSIAVKWVENPDESPWRLRKWINHICLLKRNFSSFKVCHIFREANHDADVLAKEGIDREAPYVRLFG >OMO62831 pep supercontig:CCACVL1_1.0:contig13145:65780:76164:1 gene:CCACVL1_22619 transcript:OMO62831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAITKRDRVVNSINKFIVSGSNIAAKKATKLVASDWYITEYNGPLAEMHQA >OMO62828 pep supercontig:CCACVL1_1.0:contig13145:938:15722:1 gene:CCACVL1_22616 transcript:OMO62828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSHGLYFNQKIEGLRPLLLLNGVETEGRSLAVKGLRLSEKKKVGDLFMGFERSRDIKPLVASKPVSLKNDAVIAASKTKVTICYGTQTRAAEGFAKVKTKPALPKKASGNNLLWGLCDEE >OMO62829 pep supercontig:CCACVL1_1.0:contig13145:17680:30987:1 gene:CCACVL1_22617 transcript:OMO62829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTITSVKALADSLKSSASIPTTYSIQSKPNIVNEEAVSDATESIPTIDFTLLTSTNPEERSKAIQELGKACEDWGFFVVTNHGVAESTMNGMIEASRAFFELSEEEKKEYEGQTVVDPIRFGTSSGPADKVMFWRDFLKIFVHPKFNSPNKPPGFRQTWPMGWLAWPNAQARRGPARQAWRVGLGMAVWATL >OMP03861 pep supercontig:CCACVL1_1.0:contig05937:7631:8366:-1 gene:CCACVL1_02242 transcript:OMP03861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanases superfamily MKASYCYSLLLILLAVSAKEHSLFGAADPTDGFTQLPLTDDNFDLQKPYNIPLSQRYNYSDGIRSLWVYNNDKPFKHSSGTRPRTEIRIKFQIHGAAEGATTLQLRIYDGNMRYYKYNLVATDLYDKWFRVNVIHDVNEGKITVFIDGEQKFVVKDQGPGDLYFKCGVYAAPENSSNFMESRWRDIKLFKK >OMP03860 pep supercontig:CCACVL1_1.0:contig05937:781:7155:1 gene:CCACVL1_02241 transcript:OMP03860 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGSNGIDHPLKILEEENVSNRSSEGVLKDFEQTDEVDETVAVDYRSSDEQSFSSFHDEEESQKSSPGQQSSVPEISKSKESSITSTPRRALDHCITAPVGSHRSSLIDDNEITFSRSMTEKKTPRQDLKIDRLSERQKKKLIVNLVKIQNDGTVEVDLPKNSPVASQLLELTSIGGASFDLDNTSFCEIKKSIPKLKIAILVVGTRGDVQPFLAIAKRLQEFGHHVRLATHANFSSFVKSAGIDFYPLGGDPRVLAGYMARNKGFIPSGPGEISIQRKQLKAIIESLLPACTEPDIETGMPFRAQAIIANPPAYGHAHVAEALSVPFHIFFTMPWTPTYEFPHPLARVPQSAGYWLSYIVVDLLIWWGIRGYINDFRKKKLKLAPIAYFSTYHGSISHLPTGYMWSSHLVPKPKDWGPLVDVVGYCFLNLGSKYQPQEEFVQWIQKGSPPIYIGFGSMPLEDSGKTTDIILKALKDTGQRGIIDRGWGDLGHFTEVPENVFLLEECPHDWLFPQCAAVVHHGGAGTTATGLKAGCPTTIVPFFGDQFFWGERIHERGLGPSPIPISQLNVENLSNAIKFMLQPEVKSLAMELAKLIENEDGVAAAVDAFHRHLPPEIPLPTASSEENDRPNPLQWFFLQIGKLCCLPCGLWSS >OMO84661 pep supercontig:CCACVL1_1.0:contig09727:5606:10941:-1 gene:CCACVL1_10723 transcript:OMO84661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEACICSIVKPVADSFLGKVAEYTVDPIARQVGYVFKYKSNFQNLREQLQQLKDASKRVQQAVNEANRKGEEVFDDVTRWLTEANGNISEEAVTKLNDDEETATKRCLIGLCPNFKSRYQLSKKADKEANSIVQLLEKAKQFGTYSFRPAPQGIATRPVKDYEAFESRKVALDGIMEALKDANLSMVGVYGMGGVGKTTLVKQVAVQAKEERLFDEVVMAVVTQSFDVKKMQDELTYALGLKLDEPSDSGRAGQLRSRLKKETKVLVILDDIWVRLNLEALGIPSKAEHQGCKILMTSRELNILSLMGSEKSIAVDTLKEEEAWMLFKKKAGNVVDNSEVLPVAIEVAKKCAGLPVAIATIGTALKDKNIVHWRKTLREFKRPSVSNFKGIPGDEYKTIELSYKFLETEELRSTFLLCSIMGHNSTIEDLLRYCKGLGLINGVDTIGEARDEVLSLIDDLKACCLLLDSSTPGCFDIHDVVRDVAISIALRDNHWLALGREYVYEEWSNDETMQICNLISLRHAKVSDLPDELNCPNLTFFSIDCSDEDEDYSSSKISINFFKRIQKLRVLNFVKMKFSLLPFSIGFDFFKTLRILCLSDCVLEDIVIIGELKNLEILNLHRSYIETLPIEIRGLTKLKLLDLSECYHLKVLPPNVLSRLSRLEELYLYYSFDSWEVEGCESPQGNASLVELQYLPHLTTLEVHISTEEALPKDTKFFGKLERFKISIGWRGWGLFVGDHVETSRMLLLTGWGQDERIHLNDDGLLRNVESLYMRNVEKDVLNMVYDVNAECLQHLKHFGLDYMSGIDCIFNSKKSTTIPPLKSLALDNLEDLKTICYGDDQLTAGSFGELRVIQVKYCDMLKNLFSFSIARELRQLEEVEVSHCQNITELIVKKREAEKEGNLEFSQLHSLKLEKLPCLICLCCPENSSEQVRKKSISMEKENLHTDDHVAQYLFNEVQGCDKLEILFASKFPETGQMNQQEIPIQLPLFCLNKLSLTNLEELNLMGNAGMKEIWHAYDHGHPQQLASEYFPKLKTVEISFFDDECLDIFLSYLFQFLMSLPHLESLVIEKCSFKEIFQIEGVWHDDEKPLPPSALQLSRLTNLRLSNLYELRHLWKEKEGFPNLRILVISDCQNLEDNLFPSSVSFHNLVCLTVSGCLEIRYVVTHWIAKSLVQLEEMEISNCNSIEEVIQGRNGDDEYGALMNDEIYFPQLKLLKLLYLPTIESFCSSENFTFHFPSLESVVVEDCPNMKMFSKGDSYTPMLHKVATGDYYDESGNQPERWDGGLNSTIQEMFKENKEQMEIHEDSEFKDGQGNSEIDEEIILEM >OMO84664 pep supercontig:CCACVL1_1.0:contig09727:34418:34873:1 gene:CCACVL1_10726 transcript:OMO84664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAQVDAVTMDAIEWWSTYGSETPELMEVAKKVLSQPISSSSAERAWSTYSYIYNVKRNRLNCTRADKLVFIHSNICLISRFNQSYEDDPFKKWDIDPDNTYLEDSATNLEDLRWTALDREFDEGIRGEEALPSNVSKAGVARGKEKEVY >OMO84669 pep supercontig:CCACVL1_1.0:contig09727:69449:71296:1 gene:CCACVL1_10731 transcript:OMO84669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDFGKRSGQIPAFGNWDYANDLPITQYFECARQAGLIRYSNSSSGESNPYAASDLYAVDLRKHSRNLAPPRKQVSRVREKRGPHVKEQRKAGRVCDVTEPPRKQQHQYPHHVTTKNNANRSKQLQNDVVLANRLPVRPPKPVDEDLYKIPPELLHSSKRKKIPGFFSCLVPACAT >OMO84666 pep supercontig:CCACVL1_1.0:contig09727:48332:54302:-1 gene:CCACVL1_10728 transcript:OMO84666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYIPPHKRQSKESESPTLTPESLVPQFRRNVHLRSPKPNADRSGKIVYASYAISKWFAVGLDDGNEDSSAASLVPVSVESVERRSGEKPVILVKNLDKENNEVKGSPCPSIVENILPDLLSSFEIARSETASQNLVEVKPTLVARFGKILFHGSPSVKLESIRKDCVTETTLRNLKRSFYTSLPASYVGNIIAEVVPKIGVDFEEVKDIYHVKLSDNTQPDSTISCKCSVTDDEKLQLYKVELNQVRDMVVDISCPNMDLDLRLMLCHKRILTSLNDDEIQNIKNLVDSAVVDPDVKGGLRWPLGKAYTGERFSVVGVWHTTATSYQSSSMRLKVRHADRFDFRTSYGESSKEVVLKLKGIVFGLLTSVAFTSLVVAAVPTLHAMGRAAVSLSKLADAAREELPSTMAAIRLSGMEISDLTLELSDLSQEIADGVNKSAQAVQAAEAGIRKIGSLAHKQTVSMIQERASLPIISIQPVVAGAARKTSHAVGQATKTIMKIIYRGEFSSENEDDSIDRVEI >OMO84662 pep supercontig:CCACVL1_1.0:contig09727:17896:18048:-1 gene:CCACVL1_10724 transcript:OMO84662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPCFFNDPQRDQHVFTDIYIHTTHFQRTSVRIPEYWPRKWLAAQHREV >OMO84667 pep supercontig:CCACVL1_1.0:contig09727:58194:59532:-1 gene:CCACVL1_10729 transcript:OMO84667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVAWTEEEDHLLKKCIKQYGEGKWHRVPLLAETDQGQENAMNSDIIRAEPRGNIGTTAGSIMKPRSRDMVPDSSRQQAGIMEESSMSSTPFNNYVEFDQQGQEIVKEEDCGIGNLEEPASVGEYLAKDFGEFDQVNILNSAATDAGCSKWEWEDLILDMDLWTDSL >OMO84663 pep supercontig:CCACVL1_1.0:contig09727:18544:26842:1 gene:CCACVL1_10725 transcript:OMO84663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQILSLAILSSTVNGETKILTKDSVNLAVSWISKSGILPETSELALE >OMO84665 pep supercontig:CCACVL1_1.0:contig09727:44786:46261:1 gene:CCACVL1_10727 transcript:OMO84665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent decarboxylase MGSASRKTFLPLEPMSFKNESKEVIDFISDYYKNIEEFPVQSRVEPGYLSAKLPDSAPYCPESLQDILKDVNDCILPGLTHWQSPNFFGYFQANASTAGFLGEMLCSGFNVVGFNWISSPAATELESIVLDWMGKLLNLPSSFLFSGTGGGVLHGSTCEAAVCTLAAARDKALKKLNGWENITKLVVYASDQTHFTFQKAAKLVGIPPSNFRLIETNSSTGFSMSPTQVALTIENDIKSGLVPLFLVATIGTTPTGAVDPIAELGKVAKKYDIWFHIDAAYAGSACICPELRPYLDGVELANSISMNPHKWFLTNMDCCCLWIKEPKFLVESLSSDPEILRNNASGSKSVIDYKDWQIALSRRFRALKLWVVIRRHGLANLIYHIRSDISMAKRFEEFVAKDERFEIVVPRKFALVCFRIKPKEEVEGNELNRKLLEAINSSGKAFMTHAVVGGIYVIRCAIGTTLTEQRHVDDLWKLIQEKTQSLLMEKI >OMO84670 pep supercontig:CCACVL1_1.0:contig09727:82176:84151:-1 gene:CCACVL1_10732 transcript:OMO84670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQEELVAAGEETELKKELERIVKMILEEDDYGIEITIEAIRIMSCLANLKMKKPVGLGIDHKVLSDKFKCPHSGEIMGDPVVLGSGQTYDRPHIQKWLNDGNLTCPLSKQVLTHSILTPNCLVRELISRWCKDCGIALPKSYQDSDGDVIAEVDRIYLNSLLEKLSSSLSDQKEAAKELRRLTKTTPSYRTVFCEFNDAISRLLSPLSQREVESDPGLQEDLITTVLNLSIHGSNKKLLVENPVVIPLLSESMKFGTIETRRNAAAALFSLSALDSNKIIIGNSDALVPLLELLQEGHPLAMKDAASAIFNLCIPPVNKAKFTEIGAVDIILQKIKDGILVDELLGILALLSTHHGAVDELGDPDTLQCLLKIIRDSDSERTKENCVAILYDVCIKNLALLMVIKTEEIRKHTLAELAETGTPRARRKAGGILAKIFKAFPSTHSA >OMO84671 pep supercontig:CCACVL1_1.0:contig09727:87838:94830:-1 gene:CCACVL1_10733 transcript:OMO84671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRQVTNFKYMKIINEDDDRSFWNWFKQRRNSGGDGDGEEHDPPDHLVVCTKSGARIEIFEVNGKQPECVYAENVRDISFSPGETYVVTYMRNIRESSSDAQIFNIETGQCEKTFQVPTEFVDYIPIVSSQANWPLIRWSCGDKFLGLLMRNLLTFYRTDDFAPFNLNFEIIEQVIDYSFSPTDPYVALLFSNFSEPKHELAWIHIWQLTDDSTILSLGTRLATLTNCKFYWHSSGDFLATIAQANEDDPTYFYSYVTITWMRHPEFASQVLKLEGTSVTAFAWEPNGRKFAIISSDNTVNFYVVDASPRDLSTCRMILPSPIKASRLYWSPFGNLILLAGNGALKFFNVNENIVIATVKTHATHVEWSPTGRYVATSGKEEDRVKIWSAYGKLLLEIDPRNSLCQDTHFEGKQSSELCLSLEDYFADFGSNFFYKDCPGAVNLFDVDNSNKTFSLPGFLSVECVNFDGYNERENEVSEKKGLRILASGLWGIRREVERWGDYPVSYSFNVGCGILGSKMVKRSDLRKWIIANSPKYGVIIDGYMGDHMILLVRLCLKAIAREAAVLVESQVAYREPKEKKVDANLERRMFECPILVQVLMWLASQLSVLYGEANGKFFAVNMIKQCVLEGALRLLLLPLEENMTNLHNMGQESQSLSANGVKEIKLEEPTEKSNEPVVNMTNLHNMGQESQILSANGNKEITLEEPTKKSNEPVQTTNEIMGFGVIFVSQVAAAVAALHERRFLEEKIKHFRASQPLSRYQRMAEHTYVSQRADMERKKRSNYRPIIDHDGLPRHALSDEETNRIKTREEILAEERDYKRRRMSYRGKKLKRTKLQVMRDIIEEYTEEIKKAGGIGCFVKGPEEEGSLPSESTVTYERALDAGEHRKGTSHISEAARGSPDRNRRRSHYDQHRSTRFEDSSSNDFEQSRWHDRHDLLEDSRRSISKERHREEYDSRASKRHRGYEQSDERRSHRKERDDAESTRAKHYESGRRSSTSKYKDYKSSYSVSDSSADFRRRKDDLKLDSRDRNSRNSYNNTSGSWAQDGFDDRYNPSESDDMYEDDKYFRPE >OMO84668 pep supercontig:CCACVL1_1.0:contig09727:61900:66684:-1 gene:CCACVL1_10730 transcript:OMO84668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRAGPTMEYGTWILGGNGQSRTGAHLASWGRRLIGIGLMGFI >OMO55357 pep supercontig:CCACVL1_1.0:contig14718:282:13602:1 gene:CCACVL1_27299 transcript:OMO55357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase MTSARIQSCTSSSASSSSSIFPQPPCLSSASRHCKFPLLRSPRALSISQRVSRNCIVQRYSSGWSERQFSISKQSHIRIRHFLPAAMGSLVTSDTQAISTGPLIAEEKIGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVLRAPKSKEGHSLFANLLMLSSEAEELRKSLWEKNVPAKVYVGMRYWHPFTEEAIEQIKKDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKSMANLIQKELQKFDNPEKVASFPIKMTSLTDGATHRIPTSGLYKMKNPKFAQTRTMKPKKSMWLYVYLSDNPEKSLADVVVIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIIEELEKRKITNAYTLAYQSRVGPVEWLKPYTDETIVELGRKGVSSLLAVPISFVSEHIETLEEIDVEYKELAIESGIENWGRVPALGCEPMFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAVYDSQRRELPPPVTVWEWGWTKSAETWNGRAAMLAVLVLLVLEDPLTEVNLGNEEQIDELVKVLKEEGSTDAPRAYHGLQEHNGANGDHSSDKLGDVSDIQEAVMVDLGLKGSMERMPSHKNDHCMEGSHKIGHGMGQSHKTGHNPGTTGHLTL >OMO71007 pep supercontig:CCACVL1_1.0:contig11753:38241:43557:-1 gene:CCACVL1_18518 transcript:OMO71007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPNQTAPKLPIPGKRNVLITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNVVYVCGTDEYGTTTETKAIEENCTPQEICDKYHALHKEVYDWFDISFDKFGRTSTPEQTEVCQAIFQKLWDNNWLSEDTMQQLFCDTCQRFLADRLVEGTCPHEGCNYDSARGDQCEKCGKLLNPTELKDPRCKACQNTPRIRDTKHLFLELPKLEGQLREYIDKMSVAGSWSQNAINTTQGWLKDGLKARCITRDLKWGVPVPHENYKDKVFYVWFDAPIGYVSITACYTPEWEKWWKNPENVELFQFMGKDNVPFHTVMFPSTLLGTGENWTLMKSISVTEYLNYEAGKFSKSKGIGVFGNDVKDTNIPVEVWRYYLLTNRPEVSDTLFTWDDLQAKLNSELLGNLGNFINRVLTFIAKPSGEGYGSIIPDAPGAESHSLTKVSSERVYKLVEQYIEAMEKVKLKQGLKTAMGISTEGNWYLQESKFWRLYKEDQPSCSIVMRYAVGLVHILACLLEPFIPSFSVEVFRQLNLHPQAQLSLCDEKRDLDWARRPWEIVPAGHKIGNPKPMFEELKSERVQELRERYAGSQADRRARAEAEAAKTADQLKKTKISDGKKQKPTKSAADSKAKPTTEPEISITRLDIRVGKILKAQKHPDADSLYVEEIDVGEPQPRTVVSGLVKFIPLEEMQNRLVCVLCNLKPATMRGIKSHAMVLAASNSDHTKVELVEPPKSAIVGERVTFPGFEGEPDDVLNPKKKVWETLQVDLHSDANLVACYKDIPLTTSAGVCKVASISNGSIR >OMO71008 pep supercontig:CCACVL1_1.0:contig11753:45212:51376:-1 gene:CCACVL1_18519 transcript:OMO71008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MAGNEWINGYLEAILDSGAAAIEEQKPATVNLRERGHFNPTQYFVEEVVTGVDETDLHRTWIKVVATRNTRERSSRLENMCWRIWHLTRKKKQLEWEELQRLAKRRWEREQGRKDATEDLSEDLSEGEKGDALAELAQADTPTETPSKTFQRNFSNLEVWSDDKKEKKLYIVLISLHGLVRGEQMELGRDSDTGGQVKYVVELSRALAKMPGVYRVDLFTRQISSPEVDWSYGEPTEMLTAGSEDADGNDVGESSGAYIIRIPFGPRDKYLHKELLWPYIQEFVDGALAHILNMSKVLGEQIGGGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMRRIEAEELSLDAAELVITSTKQEIEEQWGLYDGFDVKLEKVLRARARRGVNCHGRYMPRMVVIPPGMDFSNVVVQEDAPEVDGELATLVGSIDGSTPKAMPAIWSEVMRFLTNPHKPMILALSRPDPKKNITTLLKAFGECRPLRELANLTLIMGNRDDIDEMSGGNASVLITVLKLIDKYDLYGLVAYPKHHKQSDVPDIYRLAANTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIQRALNNGLLVDPHDQLAIADALLKLVSEKNLWHECRKNGWKNIHLYSWPEHCRTYLTRVAACRMRHPHWQTDTPGDEIAAEESSLNDSLKDVQDMSLRLSVDGDKYSSLNGSLDPVAASAGAPELQDQVKRVLSRIKKPETNTKETEVGKLENVPSKYPILRRRRRLIVVALDCYDSKGVPEKSMAQTVQNIFKAARLDTQTAKVTGFALSTAMPISETLDFLKSAKIQVNDFDALICSSGSEVYYPGIHTEENGKLVPDPDYASHIAYRWGYDGLKKTICKLMNPEGEDSKGSSSPVKEDVKSSNAHCVAYFVNDPSKAKRVDDLRQKLRMRGLRCHPMYCRNSTRLQAVPLLASRAQALRYLFVRWRLNVANMFVLVGESGDTDYEELISGAHKTLIMKQVVQKGSEGLLRTTDLRNDIVPAESPLISSIKGGATADEIANALNVLSKASL >OMO71009 pep supercontig:CCACVL1_1.0:contig11753:81606:89340:1 gene:CCACVL1_18520 transcript:OMO71009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MGKKKTQQPSNDLLTTLGDFTSKENWDSFFTIRGTDDSFEWYAEWPQLRDSLLPLLQQQPSPSSSSLQILVPGCGNSRLSEHLYDAGFQDVTNIDFSKVVISDMLRRNVRDRPNMRWRVMDMTQMQFMNDTFDVVLDKGGLDALMEKELGPKLGNQYLSEVKRVLKPRGKFICLTLAESHILGLLFPKFRFGWNVSLYALPMEPSSKPDFQTFMVVAEKENSSELHQIMSSFTHSSLGCNKLQASGLHEALENENRIRGEYLSGSDILYSLEDLQLGAKGDLTKLSPGRRVQLTLGEQGDSRFSYKAVLLDAKQEAGPFSFHCGVFIVPKTRAHEWLFSSEEGQWQVVESSKAARLIMVLLGTIHANADMEDIQKDLSPLVKPLAPSDIDKGDRIPFMTASDGIKQRDIVYQGSSSLTGPIVVEDVVYENADGDVNRSLPFRRLIFQRTEGLVQSEALLTTDGDIDKSVCETELKKANSSSKSKRRGAHRRNNVSSSKMKVYHGYLASSYHTGIISGFSLISSYLESVASTGNTVKAVVIGLGAGLLPMFLHGCMQFLQIEAVELDPIMLNLATDYFGFTQDKRLKVHIADGIQFVRDYRNLFVDGETPLHKKKDALSNGSNSSDAEGLSAGIDILIIDVNSSDSSSGMTCPAADFVEESFLRTVKDTLSEQGLFVINLVSRSPAIKDAVVSRMREVFSHLYCLQLEGEVNLVIFGLCSDTYIKEDRIPETTNRLEKLLKSKHPEIGQSIRDTAKKLKCIK >OMO71006 pep supercontig:CCACVL1_1.0:contig11753:32426:32890:-1 gene:CCACVL1_18517 transcript:OMO71006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVLWVVTIILVLALETAEVVNGCKGSRGNDSGRIPTIAPPTTPRDHDGSGSSRGGRDDDTSRNFAPPSPSGDNGGVISHGGDNGGSTIFAPPSPFMPCVGSFCLTPNNNPNTRFGPSPCIGGFCPSTAAASSIISLGFILCFIITCYFAFLL >OMO71002 pep supercontig:CCACVL1_1.0:contig11753:12483:16207:1 gene:CCACVL1_18513 transcript:OMO71002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSNRRPVRYEKDQLGCMWGLISMFDFRHGRTTQRLLSDRRRGNRNAVGVGNSGNKLAMLPSSGENSPGTLDNEEKKAAIDACKPSVKRLLEEEMCGEQTAKKHVKNTEVEVKQCDSGQGDGLRKNRKRKSKTRKRSCGGSSIDMDASEDLVLEGSCQHKPELQTTSSVDIDNLMEEFYQQINQKRISCVNHDQPTEDHMLPNQKSSGFEERLSEAIKFLVSQKLINGNQITEDGEVQASKEVMDALQILSLDEELFLKLLRDPNSLLVKYVQDMPDAQTKKEEESKALAGSNISEQDLVALRQSNEPVNRKQRNFFRRKVKSQERNLSDGDKDSQASNKIVILKPGPPSLQTPENGSSLGSSAESQYIIRQRVENEKVGSHFFLSEIKRKLKHAMGREQHRNPTDGTSKRFPGERKSSGDSGGVKEYIGMNSPTKDHFFIERIARPSVGVKKGEKTSKLRGSEHGTESETTDFSRQRVSNIYIEARRHLSEMLTNGDENVDLSRRPNPKTLGRILSLPEYNSSPVGSPGRNSEAGFVTAQMRFAGSDNQHNNASNLSQVAENTESELYVSDNKTSNEVQGNDAISNKSDTTDDKTSNEVQGDDTIPNNLDTCVNDDKEDQISGSTRDEMSSEGAVNVDKVTEIMVEEESKMISSFSETSDSSISRDDKNVDTCDITDEKQYTEDLKQDSCEEEQQPISPLASPSNSSVNKKVECLESATDIQERPSPVSVLEPFADDVISPASIRSHSAETSIQPLRIRFEEHDSSAMNQSNHVKTCMDDKESILEYIKAVLQASSFNWDELYIRSLSSDQLLDPLLLGGVEYLPNQLCQDENLLFDCINEVLMEVCGQYYGFPGVSFLKPNVRPLPNMKNTIQEVWQGVYWHLLPIPVPRTLDQIVRKDLAKTGTWMDLRLDTDCIGVEMGEAILEDLVEDTVTSYINESLECEYHALPA >OMO71003 pep supercontig:CCACVL1_1.0:contig11753:17671:18125:-1 gene:CCACVL1_18514 transcript:OMO71003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDIQAEQWVSKMSGSAEDEAVEVEPEGRPEKLGLGAKVPRHQSKVVTSNNPVERKLYAKLDAAKRKASKDEVSTTPSARDVVDDDSDDEDLDSRSSAFGIDRKRAVPPTSQLQTKKKQK >OMO71010 pep supercontig:CCACVL1_1.0:contig11753:90296:101564:-1 gene:CCACVL1_18521 transcript:OMO71010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSERRTFLELLALYLFIQFSVTTANYFEPFNVTYDHRALIIDGKRRMLISAGIHYPRATPQMWPDLIAKAKEGGADVVDSYTFWNGHEPVRGQYNFEGRFDLVKFVRLVGDSGLYFLLRIGPYVCAEWNFGGFPVWLRDIPGIEFRTDNEPFKSEMQRYVTKIVDLLREEKLLSWQGGPIILLQIENEYGNMESSYGQRGKDYVKWAANMALGLRAGVPWVMCKQTDAPGEIIDTCNDYYCDGYKPNSPNKPTIWTENWDGWYTSWGGRWPHRPTEDLAFAVARFFQRGGSLMNYYMYFGGTNFGRTSGGPFYITSYDYDAPIDEYGLRSEPKWGHMKDLHAAIRLCEHALVAADSPQYIKLGPQQEAHVYWVNSSLSENQSTCSAFLANIDEHKAATVNFRGSSYSLPPWSVSILPDCRNTAFNTAKVGAQTSVKLIENALSPKRSMPELVMSKTEVSSFSESWMSVNEPIGIWSANNFTFQGMLEHLNVTKDESDYLWHMTRIYVSDEDIAFWEENQASPTIIIDSMRDVLRVFINGKLAGSVDGHWVKVVQPVQFPQGYSDLILLSQTVGLQNYGAFLEKDGAGFKGQIKLTGFKNGDIDLSKASWTYQVGLKGEVQKIFTIEENEKARWTELKPDAAPSIFTWYKAYFDAPEGTEPVALDLGSMGKGQAWVNGHHIGRYWNLVAPKDGCPQTCDYRGAYHSEKCMTNCGKPTQSWYHVPRSWLQASNNLLVIFEETGGNPFDISVKSRVSGILCAQVSESHYPPLRQWLNPDVIDGKVSIKDMGPEIHLQCEDGHIISSIEFASYGTPRGGCQNFSQGNCHSPNSLSVVSKACVGRNSCFVEVSNSGFQGDPCRRVVKTLAIQARCVSSSTVGVSQF >OMO71004 pep supercontig:CCACVL1_1.0:contig11753:22198:22963:1 gene:CCACVL1_18515 transcript:OMO71004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKLNYIRKDYIMKAIARNLWKMIRKCVMIFKFPLTI >OMO71005 pep supercontig:CCACVL1_1.0:contig11753:24822:26178:-1 gene:CCACVL1_18516 transcript:OMO71005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramidase MENGLSSFWGPVTSTEWCEKNYVYSSYIAEFFNTISNVPGILLALIGLINALRQRFEKRFSVLHISNMVLGIGSMLYHATLQRMQQQGDETPMVWELLLYFYILYSPDWHYRSTMPTFLFFYGAGFAVVHALFRFGIGFKVHYVILCLLCIPRMYKYYIYTKDISAKRLAKLYLATLFLGSMCWLSDRLFCKEISLWYFNPQGHALWHVFMGFNSYFANTFLMFCRAQQRGWDPKVVHVLGVFPYVKIDKTKSQ >OMO86096 pep supercontig:CCACVL1_1.0:contig09534:11128:11607:-1 gene:CCACVL1_09808 transcript:OMO86096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ctr copper transporter MDSMNHDHMHGMNNQPPPGGATMNHHHHLMMHMTFFWGKNAEILFSGWPGARTAMYVLALIVVFLLGFLVELISHSKLIKPGSTHLAAGLVQTLLHALRVGLAYLVMLAVMSFNGGVFLAAVAGHTLGFFLFGSRVFQKSSTLVPDGKPSHLPPVAMSC >OMO58760 pep supercontig:CCACVL1_1.0:contig14170:68:3580:-1 gene:CCACVL1_25369 transcript:OMO58760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQGEKESMVSFSEALGITKGMDNVAEVERIRLVAVEAKGVEEVEGLVGISVFGANVVDFLSFCKASNGVSETKKLKLVVPKLWKRLSNEVFDRALLEFN >OMO58761 pep supercontig:CCACVL1_1.0:contig14170:7022:10179:1 gene:CCACVL1_25370 transcript:OMO58761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPCSAMKLARPSYEDHKNTAVCKNKQERVEE >OMO56426 pep supercontig:CCACVL1_1.0:contig14524:3590:4162:1 gene:CCACVL1_26560 transcript:OMO56426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVPAIFPSEPMLGNDPFPAFETGFTPWDCSELFSTTQSMGPAGSGSGSEEMNQNQNQTNSNSNSGSDEPNQPTSIIDERKRRRMISNRESARRSRMRKQKHLENLRNQVNRLRVENRELNNRMRFVLYHCHRVRTDNDRLRSEYSMLRQKLSDIRQILLFKQLQQFSSAWPCNNVTVMSEQIPPPLIT >OMO56427 pep supercontig:CCACVL1_1.0:contig14524:9572:10111:-1 gene:CCACVL1_26561 transcript:OMO56427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKVHSQGNVPFSWEDKPGVSKVITHFDDHKCPIDIGLHENDPPAILSPPSDGGGSSKVLVQEKKVPPPPPCSIQLLPKRSTSVKGLRWWQEDPFLAAYKECTKNGGKNGKLWSSDQSRKNNGNGSKARKKKIAFSCKNSCDVRDDNLVRLSNLPPLPKDRSRSRQEFVYPLYQKAL >OMO56425 pep supercontig:CCACVL1_1.0:contig14524:2995:3171:1 gene:CCACVL1_26559 transcript:OMO56425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQPNVNHPPVQLAKSPHPYACIHVSILPNYWLLARAPNHTPPRVQNGSHTILFLRD >OMO85569 pep supercontig:CCACVL1_1.0:contig09604:8790:16902:1 gene:CCACVL1_10095 transcript:OMO85569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHLAAIVGGAAGAVALVGIVGLLIWYCLFHKRGVSRTSETGSSDPSVQGRHLGVELSLRDARRFQLEELSSATKSFSDRNLIGQGKFGEVYKGLLQDGMLVAIKKRAGTPSQEFIDEACYLTSIQHRNLVTLLGYCQENNQQFLIFEYIPNGSVSIHLYGAGQVSPQKLEFKHRLSIALGAAKGLAHLHSLSPRMVHKDFKTANVLVDENFIAKVADAGLRNFLGRIDIAGPSSQVTADEIFLAPEVREFRRFSEKSDVYSFGVFLLELVSGREAPELPSSDSTENFVEWVQNSQDYSNISSIIDQRLGNTFTAEGMEELIRLTVRCLEPSSERRPAMSYVVMELDRILDKEMSLTTVMGEGTPTVTLGSQLFRAAKKKKKKKKETLFSSKLKVQNKSSTPPTTSSYPTSPQIQTFDLNSFPLPSQGKDMPFSHDPHGFCLHGKCLRKCLNRSCSLFAPENSTDGTPRSDTTQLSPSFNLSREYSLALQTHSFNEMRSRIEDQLQGRVGSGIESGDTSGVVLSQVLHSSRDCVSQALSQTNPKATLTRLVSSYFEDIANLCLSLYQCHSRARTLYSPLTELLEVFPYDQNSINQSHCDWAFDVFLQFDSLDNPFPSPDSNKFSEMRRSFSQLREEIDQRLLKSRSRVSLMQRATNGSAICLVGTVVVGVVVSAVVISTHALAAIVGLLATPFCPVYVPSDLKRKQLANMAQAAQLGAAAEGIFVHNKNLDTIDRLVALLYITVEGDKQLIQFGLEREQWKNPDKNRHKLNTDDPSLRNLGQAAAESVWESQMSDIFIFVSWKVQNSQDYSSISSITDQRLGNTFTAEGNSTDGTPRSSNTQLSPTFNLSREYTLAVQTHSYNEMRSIIEEQLQGRVENVIESGDTSEVVLSQVLHPNRDCVTQALSQTNPKATLTRLVSSYFDVSENITNLCLSLYQCHSRARTLYSPITDLLQVFPYDLNSVNQSQCNWAFDVFLQFDSLDNPFPSPNSNKFNEIRRSFSQLQEQLDHRLLKSRSRVSLMQRATNGSAICLVGAVVGVVVSAVVISTHALAAIVGLVATPLCPVYGPSDLKRKQLGNMAQLDAAAKGTFIHNKDLDTIDRLVALLYIAVEGDKQLIRFGLERGREIYPIHEVVKHLRSNHDNFLQYLKELEDQICLCFNAVNKFRAKLLNEIHLHQPSNS >OMO85570 pep supercontig:CCACVL1_1.0:contig09604:18395:21662:1 gene:CCACVL1_10096 transcript:OMO85570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKQKNHRKTVRFFSVCFGFRKPFKVLCDGTFVHHLLQNNLTPADKVIANCLSAPVTLFTTSCVVRELNSLGASQSASYRAARQITIARCDHEKRIKADACIEEVIGEQNSEHFFVATQDADLRKKLQQIPNVPLIFGLRNALFLEKPSKFQREYVKLSEEKRLHMTEKENKALAKRIGSISAKVDAGDSSDEEGLGHHSPGLQPHNTRNYPVINRDVKDRVRFKRKKAKGPNPLSVKKKKSQEKPNVAAGKEAKGDDDDGQSKRKRKRTRRGKKVSEGADGMAR >OMO85573 pep supercontig:CCACVL1_1.0:contig09604:32755:42401:1 gene:CCACVL1_10099 transcript:OMO85573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MKAKVEKWRAKLGNGVCGHGRLILGVGMENNVRHTAYPKSDISSHVSLHKFIKAHDKLWFLDLSNNHIHGYVPNWLPKITTLSDLKLSFNAVDFPKQFPSDGASSSFPMLRRLFLQSCNMSAFPPFLKNQDKLEILDLPYNQISGAVLWKKSLRILSLSNNHLSSLDQFLPNQSLSSSQGPSTSTRPICSLSQLGIFNASHNNLTGPIPNCLVSLTTLHLLDLQGNNLTGNLPNFTKAAQLQLLKVSENRLEGRLPPSLAKCSRLQVLDVGNNMMYDTFPLWLGKLPRLEVLVLRENQFYGEIKHLPAEHHDENVFPTLDVLDIASNHFSGEVSSLDFLQATQISSLKINGNRLEGKLPRSLANCSNLKVLDLGNNMLHDTFPYWLHKLPSLKMTDASKAKPVYIGDHIYYQDSVTIVNKGLERSYQKILTILACLDVSNNNFHGRIPQEIKYLKSLKGLNLSHNSFSGPIPLGMDNLTELESLDLSHNNLCGKIPPQLNSLTFLETLNLSFNQLDGIIPGGNQFNTFQNDSYYGNSRLCWPPLTRKCNEVVGVPTIMPPPWEEEVDSWADSISVWKIALIGYAGGLVVGLCLGYTVLNELGNTLLHRFNTKGTRNRRRRSRLTVSVELLDAFETSPVILVIGQFDAISPNLCISPREKKLSYIVGKSKRPLESEEGYQRWYADNQKVKKWLLMSMKPEIMKRYLRLLTAREIWSASSKAFYDGGHDLRVFTLNQKAFSMKQGGKSLSEYYGELTEIFQELDHRDKVVMKDPDDVIAYQKSVERLRVHIFLAGLDGMFEKIRGEILRKELVPDLEDCYAQIRREASHQAALREDKEIYEATAMVSCNKSKPTSDAYKTLHTLQPSWASSKMVVLSLLGIQIGGTRIPKQPVNDCGKISTHVSNSAWIIDSGATDHVTFDSRQDIKTKRTIGCGTRKGKLYYLDMRTENLDKLQQVLAVDNAGGEKKRSEILLWHRRLGHASFGYLKKLYPNLFKNIDVSSFHCEVCELAKSHSVSHPLTLNKSPTPFMIVHSDVWGPAKISTLGGSRWFVTFIDDCTRMTWLWIMKSKGEVNFLFQRFHKMVKTQYNSQVQVLRSDNGGGGGYQSIEIKSFMEEQGIVHQTTCLDTPQQNGVAEQKNRHLLEVVRASLFEAHMPTSYWGEALLSAVYLINRIPSSSIDFQTPLQAFNECVSALSSSNLQPHVFGCVVFVHLHKHQRHKLAPRALKCVFVGYAMHKKGYRCYHPPTKRMFVTLDVVFHEHLMYFSSESELQGENGKEIQTLDNDYTQIEIDMGEGIGPNADTGLDVQDSGLNPNNEADQSEISNECSLDGETGSSNSLSEIPHQSQPPKRQLPERVTRGIPKQTYEPEISNRVKYPMSNFVSNQNLSESHKSFMNQLSSVSIPNNVQEALADTRWKAAMNEEMKSLQKNDTWDLVECPPGKRPVGVLLSLAANMDWPLKQFDVKNAFLHGELTEEIYMDIPPGCMVPEKEKGKVCKLKKSLYGLKQSPRAWFGRFTKSMKAFGYRQSNSDHTLFFKRNQGKITALIVYVDDMVLTGNDLEEMKSLQEHLSKEFEMKDLGSLKYFLGIEVSRSKGGIFLSQRKYTLDLLNETDQVPVGKGRYQRLVGRLMYLAHTRPDLAYALSVVSQFMHNPGEQHMVAVMRILRYLKHAPGKGIMFTKKEDWQSISVYTDADWAGAIDDRRSTSGYFTFVGGNLVTWRFKKQNVVARSSAEAKFTGDGVGTL >OMO85572 pep supercontig:CCACVL1_1.0:contig09604:29016:32145:-1 gene:CCACVL1_10098 transcript:OMO85572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKKKDIIRLERESVIPIVKKKLINTIADLIESKSEHAEFLKFCQRVEYTIRAWYLIQFDDLMQLFSLFEPVHGADRLEQQDLSPEEIDDLEQDFLKSLLKMINKSNFKITTDEEIDVASSGQYRLNLPIVVNESLFIIFRRGFGIDKMTAFFFKAKLETIIVRLWRSFLKLSGLKFLIRLFFSSKVEPYKKDTAGPTELRIESMKDGLYIERIRIEKLKLRRRADADRHETERNIYLKHFKNIPMADLEIVLPEKQNPGLTPMDWVKFLVSAAIGLSTVVGSLSMPKPDIKIIFGILSAVGAYCLKTYFSFQSNLVAYQSLITRCVYDKQLDSGRGTLLHLCDEVIQQEVKEVIVSFYILMDQGKALSKADLDLRCEELIKETFGASCNFDVDDAVSKLEKLGVLSQDNEGRYTCVNLRRANEIIGTTTEEVVLQAKGDGDPYADMGRQMNV >OMO85571 pep supercontig:CCACVL1_1.0:contig09604:24338:27830:1 gene:CCACVL1_10097 transcript:OMO85571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat 4 MENCKKKERKKPPIAYAGSLAKFEAAYNQIEGSMDPGIGNLMMLQLLDLRGNRLSGSLPDQLGELKNLTWILLGGNKLTGEIPSQLGQLASLKLLIKEGRPSELFSAELWETGPKENLLGMLRLASACTAETLSVRPTMKQVLEKLKQLKS >OMO92058 pep supercontig:CCACVL1_1.0:contig08271:27634:31867:1 gene:CCACVL1_06928 transcript:OMO92058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGRQMARSNSTAHHQRQYSDNFLDASFNSKWLQSSNFPPSQEFGFHGSGRMSRKSPELATPPVFSRSSSLRKNSDEHVSPSELSPGLLDLHSFDTELLPENPNTYDGYGLQKPVRGKSFDDSDPYLSTNKLTNRPRGMSENNLLKSISVDKERANNVAKIKVVVRKRPLNKKEIAKKEEDIITIESNSNALTVHEKKLKVDLTEYVEKHEFVFDAVLNEDVSNEEVYSETVQPIVPLIFNRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLMYYTYRNQGFQLFVSYFEIYGGKVFDLLNDRKKLCMREDGTKQVCIVGLQEYKVLDVETIKELIERGNATRSTGTTGANEESSRSHAILQLAIKRSVDGSETKPAKLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNTSKKDTLSSSSNLRESTALPLVSALPTETFEDNITDVPFEKNKFGWSKQIERDTAPYKVDRVPSGRAEGNLALPYSDYYKGQRGGLNDTEEDFDYSEETYEQQRMLRKINKKGQTNQMPAMEKRKTDALTKRVGSSTFDDNLSNSDDDLNALLKEEEDLVSAHRKQVEDTIEIVREEMDLLVQADHPGNQLDNYVSKLNAILSQKAAGILQLQTRLAQFQKRLNEYNVLVSSAN >OMO92057 pep supercontig:CCACVL1_1.0:contig08271:19280:23107:-1 gene:CCACVL1_06927 transcript:OMO92057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCADGWYGRCTDVVIFPGSDPGCEPIPLSAVKPGVRLGKCSTGGTNNCPQGVRGVIQWPPERLRYIKLERWVGFLTIEVTVEVVEVPCYSDDCQVLMSDLTLRLVLALVFRIRISNFEIQISNFGGLTVAFIGGPIGFRRVSPAGSGQLLYRSVFLARPIFPPHLGEFGLTWFGLFLLHCVDFLAMTETANPGGPAHPIDLSDTDSSSDSGLTEMTDIEKISSLVLPSKFGLRVPSVSMSESEEVSISLSSEGTAMNDSSGGSAGAESQSGSTESGGPVPRRQGSSFTDEMATNVVPILTFETAPGIMVRLPEVVGSGANVAGGSGEPSAAAGSNEERSSSGSLASSVDVAEEDRFVRYNAETTRHFPTPAETSSSYIRGPNTPGAQLSRRAARAAACKFGLGPESGFRLFYPREEDRIFHIRRNSRRIFLYRSMFEAGFRLPGHPFYWQVLKSYGLGASQVTSNGWVLLVGVSSQVINSQAFVLTPKEKMQMEYFDRHHYDGKEVVLASRLVHAGLGPRPYLARSLRPAYVVVENGQVKDVERRIIVNVRGYPPTYIPSPDPSKPFQIVEYDPCVWAGTEWADGAAPPTPPPAGHRCAGKDMMTLRALELARVRHQGDRSSSASGSSDGPSGSSQAMNPQKLAEAQRRVAESRQKQLQSSGTPASVPAGAPSLFSSGIFSGGGFGDWVHRDQDATPNSLLDLLANFFAHGMSFGSPSDYEAVRGLGGMERSVFALAAVMQVNFAEYEESFRSVAPSLARLIDERMPAQNINQGQTLARERDNALQEVSELGDKLKELKAKYKETDRTLRRERDDHRSELQRREAEERKLKIRIAELKHENVGCYAQIVYEKEKSRDSALPQVQGFSDFAGIPFEKEEVPNNYPSPEPAPPLVLPEFGDSSQAAQAPVATDAAADGDDFAEDHRVLSERMSGRDADRH >OMO77832 pep supercontig:CCACVL1_1.0:contig10709:53546:54277:-1 gene:CCACVL1_14793 transcript:OMO77832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTKQRSVQILIVVGLLYIVLVTVEIPFVFQTGFSSLSQEPLTRLPRLASQADVQDKEAPTRPLNWVSKNSPSPTQLQHQPPQLTTNSLILSNLSFDAKTFNPSAKDGSLELHKSAKLAWELGRKLWQQLESGKLKIDSIKKPENGSELCPSSVSLSGSEFLARGKVMVLPCGLTLGSHITVVGKPRAAHSERNPNIALLKDGEDSLMVSQFMMELQGLRTVDGEDPPRILHFNPEVERGLE >OMO77827 pep supercontig:CCACVL1_1.0:contig10709:27674:30205:1 gene:CCACVL1_14788 transcript:OMO77827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore localization protein NPL4 MDDLIAKQTRITRQESPHCDSVSFDRDSANAFQLYVNETLAFASKRGGFMYGTVSEEGRVEVDFIYEPPQQGLEEDLILLRDPEEEKLVDAIAAGLGRRRVGFIFTQTVMQDKKDYHFTNKEILQAAELHAESELKEWVTVVVKLEVNEDGAADVHFEAFQMSDMCVKLFKEEWFVTEFGENDDPKLSKMKKDVVVGGKDVKEVDNDFFLVVVKIFDHQGPLSLGFPIENRNTHATLRSLKNHLDRAKNLPFVKRISDFHLLLFLAKSLGLSSDVPALAECVLTQSPVPEGYQLLIESMANTS >OMO77823 pep supercontig:CCACVL1_1.0:contig10709:12345:12809:1 gene:CCACVL1_14784 transcript:OMO77823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLAMKKKNNNKVVDVSSFFLFEAIGDSEAGCNFDPAIAVSDEDGDDDDDDDAESCSCDTSDYYNLRAPVRNQVNGLEEIRADVDDQEEYEEDGEVVDQKMEVHHLFEKCRNNQRVNGVVAKNQQKSVVSVDSSKPMNEMEKSRLFWESCLAS >OMO77825 pep supercontig:CCACVL1_1.0:contig10709:16629:16970:-1 gene:CCACVL1_14786 transcript:OMO77825 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding protein MVTKGGGCGGGAAKGGATKTAGGGGGVKSCEEEQQNQISVVDLLLAALRKSMVSCRVDRQDDVMSSTTVHHMEIGWPTNVRHITHVTFDRFNGFLGLPVEFEVEIPGRVPSAR >OMO77826 pep supercontig:CCACVL1_1.0:contig10709:21988:23299:1 gene:CCACVL1_14787 transcript:OMO77826 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase, plant/fungal/bacterial MKSHFMVLIIVLFGVVIGSCQGGGLRKNFYKKKCPDAEQIIKKATEKRVSKNPALAAKFLRMHFHDCFVRFQKPLWEVPTGRRDGRVSQNSEALAQIPSPFSDFKTLAQSYASKGLSVHDLVVLSGGHTIGIGHCNLFSNRLYNFTGKGDQDPSLNKAYAKFLKTKCRGISDTTTIVEMDPRSSLNFDNNYYHVLKRNMGLFQSDAALLTDKKALKSVNEMLNPSKFFKEFAISMQKMGAIGVLTGNAGEIRNKCSVVN >OMO77828 pep supercontig:CCACVL1_1.0:contig10709:31147:38312:1 gene:CCACVL1_14789 transcript:OMO77828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDDDAPLASEIAARRSRRTRVQIRGGGDEPSKENGNSGENQERSSDASDGSPNPEEREGSPDDFEEIRPKAKRNRVAEEASDAPNIPNERLIEVIKGDGKRIPQVVKRWVERYEKDPKPAMVELLMMLFEACGAKYYIKEEFLDETGVDAVVVALVNLARNGEVEDYQSSKRKEFKNFKENLCSFLDNLVIECQNGPLFDKDLFDKFLDYIIALSCTPPRVYRQVASLMGLQLVTSFISVAKRLAAQRDTTQRQLNAERKKRVDGPRVESLNNRLSATHEQTLEMDEMMRKIFTGLFVHRYRDIDPNIRMSCIQSLGVWILSYPTLFLADLYLKYLGWTLNDKSAGVRKAAVLALQNLYEAEDNVPTLNLFTERFSSRMIELADDIDVSVAVCAIGLVKQLLRHQLIPDDDLGSLYDLLIDDPPEIRRAIGELVYDHLIAQKFNSSQSGSKGNGSEVHLGRMLQILREFSTDPILSIYVIDDVWEYMKAMKDWKCIISMLLDENPLIELTDEDATNLSRLLFASVRKAVGERIVPASDNRKQYYNKAQREIFENNRKDVTVAMMKNYPLLLRKFMADKAKIPSLVEIIVYMNLELYSLKRQEQNFKTVLQLIKDAFFKHGEKDALRSCVKAINFCCTESRGELQDFARNKLKELEDELIDKLKSAIEEVTDGGDEYSLLVNLKRLYELQLSRPVQIETYGNSITILHSFRNSEEEVVGFLLLNMYLDVAWSLHSIINSETVSEGSLSSLLSKRDTLLEELEYFLNAPCEVEEGGKFGNQLACRVCTILADVWSLFRKANFLSTKLERLGYSPDGPILQKFWRLCEQQLNTSDETEDEDANKEYIEETNRDAVMIAAAKLIANGTVPKDYLAPEIISHFVMHGAGITEIVKGLITVLKKKDEDISVIFLEALKRAYNRHVEFSKGDDESLKRFNLLASLYILLFCSLKDVQKWTENVDTDEDPSGWRPYNKFYDILQEKCAKNEGVQDEKEGTAAKRRGRPRKRRNIEGKRLFDEHSSSEEEDSISVSDQEDAQGEGDKEDEEEEDDAPLIHALKSSKLRSLRVAREENRGQSRAGASGRS >OMO77822 pep supercontig:CCACVL1_1.0:contig10709:3183:7382:1 gene:CCACVL1_14783 transcript:OMO77822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKKEKNEESVKLFVGQVPKHMTEAQLLAMFKEFALVDEVNIIKDKATRASRGCCFVICPSRQEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAELSSLFSKYGTIKDLQILRGSQQTSKGCAFLKYETKEQALDALEAINGKHKMEGSSVPLVVKWADTEKERQARRAQKAQSQGSHIPNADSQHPSLFGALPMGYVPPYNGYGYQAPGSYGLMQYRLPPMQNPPAFNMIPPVNQGSALRGITPDLPPNIGPRNYAMPPASYMGSAYPAVPGLQYPLAYPGGIVSPRPLTGSPNSVPPANTNSNSSSSSSVGTSSGGQIEGPPGANLFIYHIPQEFGDQELANAFQGFGRVLSAKVFVDKATGVSKCFGFVSYDSPAAAQNAINMMNGCQLGGKKLKVQLKRDNKQNKPY >OMO77837 pep supercontig:CCACVL1_1.0:contig10709:68810:70234:-1 gene:CCACVL1_14798 transcript:OMO77837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVKSDVKEVELVFKRGQKSSTTFRLTNLMHTMSVAVSLTTQNPSFFSFNKPFSILPPLSSSSYTLLISQPSDQPPLVNPPDAITVKTTMLPLGKAHNDDLRNLFSKPGPHLFKDATLPISFVGPHVIEHLISSDAQIEGIDGFLNKAISGCTGNQVTELLKSAVVSGKANLVSTLIDHGGDVNEKDSKGRSLISLAVQAGDFDVANVLISSGCQIDNSVDHVLQYAAAINRVDLIELLFKAYKNILDLNSVALCGRTPIHVAASQGYTEVIQFCLSVGGNPEILDVNGCTPLHLAAQEGHLEAVECLLEASSYVKYALNKQGKTAFALAVENGHSNLYDVLHLGDSLNRAARIDNVNGIKSCLAEGANVNGKDQNGWTPLHRAAFKGRIESVKALLNHGAMVNLVDDNGYTPLHCAVEAGHAQVALLLIAHGAKANLKGLKLKGVVPLNSDCFKNHPTVFQPLLCHEKEQT >OMO77830 pep supercontig:CCACVL1_1.0:contig10709:41558:46627:1 gene:CCACVL1_14791 transcript:OMO77830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MSSAGSTKGGRGKPKSSKSVSRSQKAGLQFPVGRIARFLKAGKYADRVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGTVTIANGGVLPNIHQNLLPKKSAVSCLCPVVFRQFEALILYLSLWVLSLGTMTFGEQNSLSQSFHLLDQAFDSGINFFDSAEMYPVPQRAETQGKSEEYFGQWVRRRKISRDRLVIATKVAGPSGQMCWIRDGPNCLDARNITEAVDNSLKRLQLDYIDLYQIHWPDRYVPMFGEIEYDPVRQFSSVPIEEQLDALGRAVDAGKIRYIGLSNETPYGVMKFLHIAENNARYPKIVCVQNSYSLLCRTFDSGMAECCHHERINLLAYSPLAMGILSGKYFASDGGPPDARLNLFRGRYSEGESRYNLSRHALKAAAIEYLRIAEKYGLHPVSLAIAFVLSHPLVASAVFGVTKSWQLQEVINACSVELTPEIIADINEVHAKFPNPCP >OMO77829 pep supercontig:CCACVL1_1.0:contig10709:39466:41085:-1 gene:CCACVL1_14790 transcript:OMO77829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIRPEQQTTTISKTFLIKPKLKPKPRTQSQTPESKYWSSFKSTQIPNLISSISSIAFSPSPPHLFAAAHSTTVSLYSPLSLSEGDVSPSSNISSFTDVVSSLSFRLDGLLLAASDLSGIVQVFDVKTRKRLRRLRSHTRPVRFVKYPVLDKLHLLSGGDDAIVKFWDVAGESVVLDLFGHKDYVRCGDCSPVSADMFITGSYDHTVKVWDVRVENSRSVLEVNHGKPVEDVIYLPSGGLIATAGGNSVKIWDLIAGGRMVYAMESHNKTVTSICVGRVGEETLGSEAAEDRILSVGLDGYMKVFDYGRMKTTHSMRFPAPLMSVGFSPDCRTRVIGTANGIIFAGRRKGKENVESGGGNVLGFESIAGPERRVLKPTYFRYFYRGQSEKPAEGDFLVMRSKKVKLAEHDKLLKEFKHKDALVAVLSRKNPENVVAVMEELVARKMLLKCVSNLDVEELGLLLMFLQKHATMPRYSSLLMGLAQKVIEMRADDIRSSDALKGQIRNLKRSIEEEIRIQHSLQEIQGIISPLLRIAGRR >OMO77831 pep supercontig:CCACVL1_1.0:contig10709:50553:53149:-1 gene:CCACVL1_14792 transcript:OMO77831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICVGIFDGQVKCEKWIRDDNDHSEESKATWWLKRLIGRTKKVTVDWPFPFAENKLFVMTLSAGLEGYHVNVDGRHITSFPYRMGYTLEDATGLTLNGDIDVHSVFAASLPTSHPSYAPQRHLEMSNRWKAPPLPEQAVELFIGILSAGNHFAERMAVRKSWMQHKLVKSSNVVARFFVAMHMRKEVNVELKKEAEFFGDIVIVPYMDNYDLVVLKTVAICEYGARVVSAKYIMKCDDDTFVKVDAVINEAKKVPEERSFYIGNINYYHKPLRSGKWAVTYEEWAEEAYPPYANGPGYILSSDIAIFIVSEFERHKLTLFKMEDVSMGMWVEKFNSTKPVDYLHSLKFCQFGCVEDYYTAHYQSPRQMICMWDKLQRQAKPQCCNMR >OMO77833 pep supercontig:CCACVL1_1.0:contig10709:54611:57541:1 gene:CCACVL1_14794 transcript:OMO77833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFPLSNDNTRVRPSFVLSHPLVASAVFGVTKSWQLQEVINACSVELTPEIIADINEVHAKFPNPCP >OMO77824 pep supercontig:CCACVL1_1.0:contig10709:14468:16193:-1 gene:CCACVL1_14785 transcript:OMO77824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQCNTEEESVELVSKLKPTEAALLNWAVDLMADVVEEEESNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLIMKTLREREEATIGGYSPMSSHSCDGPTDEDFDSQQEMDTSSELRGPTSDYDNALYSNYSGDEDEDEDEDGVESLGEIEECFLRQLDGNKNVSNRFSGQSAEQLQRNHASPRTRRYSGCNVESGISFTDSKNENSALSTSDGEDSGVSNGLQAPDHELCSKSLAEACENTEDAEMVDEEVVESTRPNDQLSLSVAG >OMO77836 pep supercontig:CCACVL1_1.0:contig10709:66916:67441:-1 gene:CCACVL1_14797 transcript:OMO77836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A MSSTGTTKGGRGKTAKAKAVSRSSKAGLQFPVGRIARFLKKGRYAERVGSGSPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGGVTIANGGVLPNIHQNLLPKKSGKGKGDIGSASQEF >OMO77835 pep supercontig:CCACVL1_1.0:contig10709:65920:66330:-1 gene:CCACVL1_14796 transcript:OMO77835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MVWLKDLVPAAQNNINTHFILLDKGNATSDGQNKVCMALVADKTAAVHLQLWGEECDAFEAGDIIRMENGIFSYNRNNWVLRAGKRGKIEKVGEFTMEFVETPNMSEIKWVPDPTTKKYVQDSVVSSHSRIFPPIP >OMO77834 pep supercontig:CCACVL1_1.0:contig10709:59595:65336:1 gene:CCACVL1_14795 transcript:OMO77834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKPWLYPAPTYRTLETYWDSDEDAPGPRCAHTLTAVAATKTHGPRLILFGGATAIEGGASSSAPGIRLAGVSNTVHSFDVLTRKWTRIRPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLFVLDLTNDKFKWHRVVVQGQGPGPRYGHVMDLVAQRYLVSVSGNDGKRVLSDAWVLDTAQKPYVWQRLNPEGDRPCARMYATASARSDGMFLLCGGRDASGAPLADAYGLLMHRNGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGALRGGRAIEGEAAIAVLDTAAGVWLDRNGLVTSSRNTKGHAEYDPSLELMRRGRHAAASVGVRIYIYGGLRGDMLLDDFLVAENSPFQSDINSPILTPERASNLSSPRFNQSSFGTPTSDDNSEFPSSGGLSMDKNSMEKLREASAAEAEAASAVWQAAQAASAVPAEETTVSDDSSPAAETASDASDNEADVRLHPRAVVVAKEAVGNLGGMVRQLSLDQFENESRRMIPSNNDLSYPTKKFVRQKSPQGLHKKVISTLLRPRNWKAPANRRFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRIECIERMGENDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSIHSVEQIEKLERPITMDAGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTDFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVVVPKLIHPLPPPIQSPETSPEHVGDDTWMQELNIQRPPTPTRGRPQPDHDRSSLAYI >OMO78086 pep supercontig:CCACVL1_1.0:contig10637:2224:4789:-1 gene:CCACVL1_14654 transcript:OMO78086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Manganese/iron superoxide dismutase MACHLLATDFTLPLKSPKLSFLGKQPKGRSNGLQKVSTTVAYYGLKTPPYKLDDLEPYMSKRALEVHWGVHHRGFVEDLNKQLGKSDILYGYTMDELVKATYNNGNPLPEFNNAAEVWNHDFFWESMQPGGGDMPKLGLLEQIEKDFGSFTNFREKFVEAALTLFGSGWVWLVLKRQERRLAVVKTSNSINPLVWDDIPILNLDMWEHAYYLDYKNDRGKYVNVFMNHLVSWNVATVRMARAEAFVNLGEPKIPVA >OMO78092 pep supercontig:CCACVL1_1.0:contig10637:65652:68000:1 gene:CCACVL1_14660 transcript:OMO78092 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative helicase MOV-10/Armitage protein MSFFLEFLKCILCCEDESIDIIQNNISLRNIFRRSRNYNTLSSSAIDESYATTRTQNHPRLYSNSSVTSSLLDSTRNDYSIRQSRPSDAPVQIRVVLNSDTSSNPAFIGSLFLKSVERVNQVPQNSRNSGTYTISPSPTSPKPPQSSTKLILPPPSPSPSSLKPGISSKPSKPGSSSTIPSSSSSKPPPSLKPTISPLPSDQPGKGNYKRVVPKDTVPIYMIPKDIEDLIKKDIVPQVLKKPLSPSTYQDYFAALLYAEDYYIEKWNNFELKDVPLELHPASIYQNLGRNKHFKIDDKTFVVFKVDSLSEKRPFLLSRDFVFAQPLGKKVEPFQGVIYRVVKSTTILVEFEDEFHSQHHPTRRYDISFSFNRVCLKRAHQAIAAASASLLKKFLFPDSVPQDLMPISASLDFHNYKLDQNAKSAVRRILNFRSPPPFLVKGPLCATWNDNSKTFQKQLSTTGLVIKEAVLQIYHRHPESKILVCAPINSTCDVLMRSLKMEIPVSDLFRANAAFRELEGLPIDILPSCLYERDAECFTCPSLQELRNFRVVLSTFVSSHRLHNAGITAGHFSYIFLVDSSSATEPETMVPLVNLTGEKTTVIVTGAPGNRSSFVRSDIARQKGLKISYFERLCELGPYKDGNPMFIAQLANSVS >OMO78093 pep supercontig:CCACVL1_1.0:contig10637:68413:70844:-1 gene:CCACVL1_14661 transcript:OMO78093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYLCVFYHRLLDYRKPEVESLADLFGAFGGKQSPDFPNQNRVLEWRLPEKHHPDSPFHFVNLPSEDVARNIANRSILVKGIYELWGEGSSYEELEEAVKSYPDERKLPYLVPESTFKITVDTFGKVMSLQEQNERIAGLSYIPFQGRVNLKNPDHNFWLMETDDSATNNGLPPIVQRRIFFGREIGGADRKLLPTYQLKSRNYLGPTAMDAEMAFLMANQAHAAPGKLVYDPFVGTGSILVSAAHFGAMTMGADIDIRVVRYGRGPDCNVWSNFKQYGLPMPIGLLRADNNLPPWRPGLKEMFDAIICDPPYGVRAGGRKSGGRKLLKGVKGPYTVPDDKRTDHIPSTAPYSLAECVHDLLDLAAKMLVMGGRLVFFYPVLREDDSVEDHFPEHPCFKLLATSEQILSSRYSRVLLTMVKTSPYTEELALAARIKHLDFKENHAKWLEDGNLHSSVFSPAENESGDSKVSKELKPKYRGKYV >OMO78091 pep supercontig:CCACVL1_1.0:contig10637:49269:50972:-1 gene:CCACVL1_14659 transcript:OMO78091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLTSNELSLSQEETDHLQRSTKKVKDGALEDFEGVLETPADQLRPVSFRDKLVAGKGLEGDSANGAIDKVFFPEDDWSEDEVMDEVNFDPSIPRLKVTRERKMAIRKPWSLALIVKLLGKSLHFSSIQERLVRLWRTADEIEVVDLGQGFHVVKFNSRDDYMKVLTGGPWKILDHYLLVQRWKPNFKPSSASFGTTAVWIRLPELPIEYFAEEELLQLGRFVGKPLRIDANTRFAMRGKFARICVEIDLDKPLVSKVEVGDNIQIIEYEALHTVCFGCGIVGHRMDFCPLKQSPPANAQGGGGVQRQKRDGPVEEFGPWMLVQRRSRKIQNLKGKKSSDHEKERKYLNRFNVLAMETEDSEDSLMDRAGVVAAWSDGVREVFSQKKKESLKKSTVGSPKFQEGDINDLGQTEKDELLVGHSDNINMEVTNQQEAGSALDGRLLDGSMRVNSTHVEPTLGPEITLVKLRDPPIKPVGLLPKKTATFKAQQSRSKEVSAIPKVSMGIKRASASDGVGGTKQSSKSRIPKKTSLDKEVDLDHHLAPPEDLLLCGTSGDTTAKNSLGD >OMO78094 pep supercontig:CCACVL1_1.0:contig10637:72695:73048:-1 gene:CCACVL1_14662 transcript:OMO78094 gene_biotype:protein_coding transcript_biotype:protein_coding description:FlxA-like protein MCNNSVDDNGKNNGESTKVGSVTVSSPAALNEWSLEIERLSVEIQTLKEQMKTLQQKLDNLVETERRRVEIQALQEQMKRLQQQFDVLEAEHRRDMLLSKCIRAREETLGKTASLFP >OMO78089 pep supercontig:CCACVL1_1.0:contig10637:33319:36600:1 gene:CCACVL1_14657 transcript:OMO78089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILKEKEMENKAEKWMIEAVKSMKAASPSSLKIFLRSIREGRKQTLEQCLAREYITLSHIFRGSMNSDFCEGARAKLIEKDNKPKWQPPNLQSVSKEMVNGYFTEVDDPHWEILRLPSRSNLLRVDVLKSRI >OMO78087 pep supercontig:CCACVL1_1.0:contig10637:5544:10043:1 gene:CCACVL1_14655 transcript:OMO78087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroorotate dehydrogenase, class 2 MATRAAGKLFRDFMRKRVISNSIGPYRHCSSAATPAPKIPHFSKKGRWLTGATLGVMIAGGAYVSTVDEATFCGWLFSATKLVNPFFALLDAEFAHTLAVSAAARGWVPIEKRPDPPILGLEVWGRKFSNPIGLSAGFDKNAEAVEGLLGLGFGFVEVGSVTPVPQEGNPKPRIFRLRQEGAIINRCGFNSEGIVVVAKRLGAQHGKRKLETSSASSPSSDEAKHGGKAGPGILGVNLGKNKTTEDAAADYIQGVHTLSQYADYLVINVSSPNTPGLRMLQGRKQLKDLVKKVQAARDEMQWGEEGPPPLLVKIAPDLSREDLVDIAAVAVALNLDGLIISNTTISRPDPVNRNPVSEESGGLSGKPLFNLSTNVLREMYILTRGKIPLIGCGGISSGEDAYRKIRAGATLVQLYTAFAYGGPALIPQIKAELAECLERDGFKSILEAVGADCR >OMO78088 pep supercontig:CCACVL1_1.0:contig10637:20998:26294:1 gene:CCACVL1_14656 transcript:OMO78088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MMSLSYTLERVQHNQVLFEGDAWVKKVILNRPKKLNILSHEMICQMLTNFQAYEQDSTTNIVILKGNGRAFCAGGDVVSILSSMILGEYLGLSGARLDGMEMLACGLATHFVFSKDLVLLESELDKMGTSDTRKIVRQIDRFSCVPPLKLESAYARLDVINKCFSKDTVEEILFALKEMEHYKTEKWMIEAVKFMKAASPTSLKITLRSIREGRKQTLEQCLPREYITSCHIFRGSMNYNDLCEWQPPNLQSVSKEMVNGYFTEVDNPHCDILQLPNRSNLVQVEVLRSKI >OMO78090 pep supercontig:CCACVL1_1.0:contig10637:41147:41305:-1 gene:CCACVL1_14658 transcript:OMO78090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETEGAAVEESGGTITSDGMEAKSASGTEVVFGEDCCSDDALTDEQGSEIF >OMO71366 pep supercontig:CCACVL1_1.0:contig11659:41809:51741:1 gene:CCACVL1_18254 transcript:OMO71366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECTSSGKPARGGVSWTNPNLASAGTSEKKKKRLG >OMO71365 pep supercontig:CCACVL1_1.0:contig11659:35942:37646:-1 gene:CCACVL1_18253 transcript:OMO71365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMALPENFGRQKRAQGLATILSIGTANPAYCISQDDFPDYYFKVTKSEHMTQLKGKLKRICEKSMIRKRHFVLTEDLLNKNANISTYGSPSLDARREIASAELPKLAMEAASKAIQEWGQPKSQITHLLFSALSGLDMPGPDFNLTQLLGLPSSVKRVMLNFQSCFASGTLLRIAKDIVENNAGARVLIVSVVTSLVTFHGPNKHDIASLVSQATAGDGAVAMIVGADPDYSKERPLFQIVSTWQNIIPDSGDGIEGHICESGYSILLSKDVPKLIANNIDKCLEQALSPIKVNDWNSFFWMIHPGGNAILEQIEIELGLKKEKLSSTRHVLSEFGNMGVASVLFALNEKRRKSLEEGKGTTGEGLEWGILVGLGSGITVETVVLRSFATTIPYN >OMO71368 pep supercontig:CCACVL1_1.0:contig11659:59509:60053:-1 gene:CCACVL1_18256 transcript:OMO71368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSSVNICYLLANDPVDDIIWDVVQHKLETLGQMLDGHENTLEVSASEQHKSPMEQKTSDSVSSQEQRSPAYQKTIDSFLKRCNNIDDAEYQSKLKFPRH >OMO71367 pep supercontig:CCACVL1_1.0:contig11659:54270:58640:-1 gene:CCACVL1_18255 transcript:OMO71367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSSDPGMKAEASGGENSETVIANDQLLLYRGLKKAKKERGCTAKERISKMPPCTAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYARDLEEMQNVSREDYLASLRRKSSGFSRGISKYRGLSSRWDSSFGRVAGSEYFNSMHYSDDPATESDYIGGFCIDRKIDLTGYIKWWGTNKTRQAESGTKLSEDTKHACPEDIGSELKTSEWAVQPTEPYQMPRLGTSLERIKRKGSSVSALSILSRSADFKSLKDKALKKKENSTDNDENENKNTINKMDHGKAAEKSVNHDTGSERLGATLAMSGGLSLQKNVFPLTPFLSAPLLTNYNTIDSLVDPVLWTSLVPVLPSGPSRNPEVGISLKAFSLKELE >OMO59709 pep supercontig:CCACVL1_1.0:contig13898:3219:4259:-1 gene:CCACVL1_24646 transcript:OMO59709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPTPSSAMSAAEISAKPPNTTLSPYTLADQADHPKESTKRAGDSLPDSQYWRYDVSNKRQKHGSGDGPGGTTRGHCLDPRNEIKA >OMO59713 pep supercontig:CCACVL1_1.0:contig13898:45634:45699:-1 gene:CCACVL1_24650 transcript:OMO59713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRHFLSNFDFVMEDLPVER >OMO59710 pep supercontig:CCACVL1_1.0:contig13898:5291:11058:1 gene:CCACVL1_24647 transcript:OMO59710 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAEALSDQMWKQLALTVEEEDYVSDDEPPSPEKATAERFWMVGRLHTERPFNNQAMIRTLKQVWRLVKAVSIIALENNLFLFKFATEADRNRPLGKRSLEMAEKMGKKMGTLIAVDPSLDMEGWACFLRKRVEIDVTKPLRRAIPIGKSTNGVRGRLAYERLPEFCFGCGRIGHCVIDCAEISDDPGQIQQYGDWLRASPLKPRVSGAGSRIPPVSESTLIRRDFAVNFDKSTGCRKALFQEEHGESSGENSPVGSQIGNNDKNGKFLIDGASFGGNHGKRVLEKGKLDLEIEEEAGRLNRALIVHDLGVSKIDLVEKIVNKSTGDVVGDLAKEAGKIVLNRKDFLASASKGQVGLKTKLGRDINCAAALGKKISKRGAADSEFSGPLTSKQKVNVLESDSISSFGVSNAGEAKSADVGENTNVVPPGAMIGVAWNCRGLGNPWTVRALRELIRRERPNVVFLMETKLPDFKLDSIRRRCKMHACFGVSATGRSGGLAMFWDDSVDLQLISFSQHHIDMWVDENQRLAKWRLTGFYGEADTGRRHLSWDLLRQFVLHDEKNWFCFGDFNELLWQAEKDGGRERPEAQMVAFREALDDCGLYDIGYRGNMFTWKRGMGNNEFIHERLDRGVATFEWTSRFPTACITHLSSSVSDHSPILLNTEVKQRRRKKQSCSCKQNFFEAGWCKEADCEKLVVDCWEFTDGLGLLDRIVQLRDSLGKKYDQQFRSLRERIDELSKKLNKISGVGGHVRNSEEVELREEINRLLEEEESFWLQWSRVNWLSEGDRNTSFFHAQASKRRKKNSIEQLEGENGRLSDDPVEIQDIASAYFKKLFISSGSKHYDEILEAVNPSITTEMNEHLLADFTAEEIFTALKQIHPTKAPGPDGMPVFFFKKFWHIVGSDVTSFCLDFFHGNLDLSIANKTHIVLIPKVDKPKNITQFRPISLCNVLYKIISKVLVNRLKSILPVCISESQSAFVPGRLITDNILVAFELLHSLKHRKTGKQGFFALKLDMSKAYDRVEWDFLEAIMLRMGFDRRWVELIMRCVRSVSFSVVVNGDVTDNFKPEHGLRQGDPLSPYLFLMCTEGLSALLSKGQTDGLLSGVSVSRTGPRVSHLFFADDSLLFGKANSAESGKVKDYLRIYEECSGQKINFEKSVVFFSRNIAQSDRDRVKAIFAVGEQSIIEKYLGLPTFVGRNKRSAFNWIKERIAKKIASWNMRWLSQGGREVMIKSVLQAIPTYAMNVFAFPQNLCNDINGMISRFWWKQRIDQRPIYWIPWRKLCKAKDFGGMGFRDMEFFNQALLAKQGWKLLHHPDSLMARVLKARYFPRTTFLEAKEGWLPSFTWRSILKGRDLLQYGLRWRIGNGRSVRILHDRWVAKLPGFIPFSGQGKIPDDSLVADLMHEVGFSWDGDLIRSIFIEEEAEAIVQIPLSYRLQNDMLVWHFDNKGIYSVKSGYRVLCNLQAEAEENLEEVLDDKPYFHRIWNADVPPKVRVFAWRLFFEALLVMDSLHARHMDVDRTCFRCKQENESVAHAICLCPFAAEVWCHVSNFFLNDDSYLYADIQDPDAGNLDQNPEWFRLSLLTAWAIWNARNATLFEEKFSRSIDTALFAFSYFKEFSRCRKAAAIQMPFTSPCWKPPATNFIKVNFDGAFDSTRQIGAYGLIARNSDGLVLGACSGNILNVSDAFVAESFAAVRALSWSREMGFSAVVIEGDALSIIRKINSLSLDFSPVGAYVKEAKSLKVLFSSCVVQHIGRNGNSVAHDLAKHGLLLDDEIVWMEDVPPWLHDSLKTDCIFSSSS >OMO59715 pep supercontig:CCACVL1_1.0:contig13898:47706:48890:1 gene:CCACVL1_24652 transcript:OMO59715 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family MTLLWVAQASQRLRPYAQLARVEKSIGTWLFFWPFAWSISMAASTGSLPDLKTLAVFGCAAPLLRGAACTINDILDRDFDRMVGFLSTERGFSRPTKAQRGVDSWLKEVAFSSLSRFT >OMO59712 pep supercontig:CCACVL1_1.0:contig13898:27712:29024:1 gene:CCACVL1_24649 transcript:OMO59712 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 MTGTIDLDEETLQGQADIWRFIYAFADSMALKSAVELRIADIIHSHGGALTLSQIASCINNGGSRTPPDINCLSRIMRLLVRRKVFAVHNPSDGGEPLYDLTHSSKWILHDSELTLAPQIMAQTHPLLMAPWHCFSQCVKEGGVAFKKAHGSEIWDFASEKPEFNGLFNNAMACTAKIASSAIVMGYKEGLGRIGSLVDVGGGTGGLISEIVKANPHIKGINFDLPHVVSTAPEYPGVCHIGGDMFHAIPNADAVINLRKAIPREKGKVIIVECVLKEDGSGVFDDTGFVYDLIMIAHTSGGSERTELEWKKLLQEGGFPRYKIINIPAFWSIIEAYPE >OMO59714 pep supercontig:CCACVL1_1.0:contig13898:46472:46576:-1 gene:CCACVL1_24651 transcript:OMO59714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRKLADQISGALALRHFLCNFDFVMEDLPVER >OMO59711 pep supercontig:CCACVL1_1.0:contig13898:12634:12912:-1 gene:CCACVL1_24648 transcript:OMO59711 gene_biotype:protein_coding transcript_biotype:protein_coding description:titin MQHPSTFQSRKPDIYSGQTLLDFSSAALFLARPVSFTPFHTEPSSRANYTIAAHFRVRPAPSLAWTRHDVELRPTQPSRNGLLSQLCQRRST >OMO74703 pep supercontig:CCACVL1_1.0:contig11099:109174:109239:-1 gene:CCACVL1_16526 transcript:OMO74703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTWQVVLLSKVQSQVTLLA >OMO74697 pep supercontig:CCACVL1_1.0:contig11099:39732:48327:-1 gene:CCACVL1_16520 transcript:OMO74697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MAAKALKRPSMNTPIMKSPVAINQIRARINNPITQKTKSEIPVQIGMRQGCSSSSICFYGNTTYSIGYLSKETSTLTSSGVFQGYLFGCGQRNNLITDGGAAGILGLGRGWFSLVSQTANTYHKVFSYCLPSKAGSNGYLNFGDANLPCSIKFTPMSDSFDGTRYYGLDMFDISIDSARSLTRRL >OMO74701 pep supercontig:CCACVL1_1.0:contig11099:85283:93118:-1 gene:CCACVL1_16524 transcript:OMO74701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVFSVSKMVSVLFLGFLALNCFTGFGSNAQLLPETEVETLQTVFSKLQHPNATSISQTFCNETRWNYTIVDRLILSSVACDCSDGNNTICHVTQILIKGHNLTGILPPELGNLTHLQAIDFTRNYLNGSIPSSLSQLSSLTSFSALGNRLSGSIPPEIGDISTLTELILENNLLGGPLPSNLGNLGHLSRLLLSANNFTGTIPESFGILKNLTDFRIDGSSLSGKIPTFIGNWTKLARLDLQGTSMEGPIPSTISELKNLTELRISDLGGSSSEFPNLEGMNMEELVLRNCSITGSIPSYIGQMTPLKTLDLSFNRLTGQIPDTLENLTKLQYWFLNNNSLTGGISNWIFDSKDNIDLSYNNFTSSIQRGCQQTSVNLISSSGSSSSDSNSVDWCSKKDLPCPRSPNHHTIFINCGGEHMEVDGNDYEEDLSAGGPSTFFNPANKWGYSSTGVYLGNDHAGYIARTSSAVNGSDFYKSARLAPQSLKYYGLCLLRGNYKVRLHFAEIMYFANETFPSLGRRIFDVSIQGKVFLENFNIMEEAGGVGIGITKEINVDVNGSTLEIHLYWRGKGTTAIPDRGVYGPLISAISITPNFKVDTGEGLSAGIIAGIVIGSCVIVILVLIILRLTGYLGGKHDENNELHGLDLQTGYFSLKQIKAATNNFDSANKIGEGGFGPVYKGVLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHQNLVKLYGCCIEGNQLLLVYEYLENNSLARALFGRDDQRITLDWSRRKKICMGIAKGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVLLEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPSLGSHFSKEEASRMLNLALLCTNPSPTLRPSMSAVVNMMEGKIPVQAPLVKRRDAEQDARFKAFELLSHDSQTNVSIFSHDSEPPRSTSMDGPWIDSSISVPETREHSSSSMLIQKP >OMO74696 pep supercontig:CCACVL1_1.0:contig11099:32175:32453:-1 gene:CCACVL1_16519 transcript:OMO74696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTLALLVILFALSYQVPSMESRKLYNADQKKEVFSLKDNLVATDLSKKPAVDSPVDEDHIMAKNEKLIADHLAKIDRILQSSPSPGAGH >OMO74698 pep supercontig:CCACVL1_1.0:contig11099:50441:51771:-1 gene:CCACVL1_16521 transcript:OMO74698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MNEKSTLAIIDKHGPCSQDKAIESPSHDEILRQDQARADSIHSMLSRSSNIPKTKLQSKPGISPGAGKYQVSVGFGSPKTQLSLVFDVVSQLTWIQCQPCAGYCYDQNDPIFDPSKSSSYTYVSCPTGICNRVSSQGMRQGCSSSSICLYGDTKSNTTYSIGYLSKETLTLTSSGVFQGFLFGCGQRNNLITDGGAAGILGLGRGWFSLVSQTANTYHKVFSYCLPSKAGSNGYLNFGDANLPSSIKFTPMSSSFDGTRYYGLDMVDIGVGGERLSIDRSVFSNSGTIIDSASLITRLPPAAYKRVRQAFLAKMTRYPTAPAMEPLGTCFDFSGYSSVSIPTITMYFDGGVEMPIDARGILYFNKVSQVCVAISHTEDDNDVAIVGNFQQKGYEIVYDDANGRIGFAPGRCG >OMO74699 pep supercontig:CCACVL1_1.0:contig11099:58921:59874:-1 gene:CCACVL1_16522 transcript:OMO74699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSTPKFPYFHSKFLLLALFLLSLVSCEHQDDESEQAVLLKLKRYWLNPVAIKHWVPLVNSSFSHCSWPEIFCLNNSVIELSLRDKNISGIIPSFICDLHNLEYIDLGWNYLQGEFPTSVYNCSKLEYLDLSQNLFVGALPEDIHSLADQLHYLDLGANNFSGQFPAAIGRLQELRSLYLYQNLFNGSVPLQIGNLSELENLGLAYNELLPSKLPFSFKQLKKLKFLWMIRTNLIGEIPDFIGDMTALEHINLSQNELTGKIPDDLFLLMNLTHIYLYSNKLSGEIPQVIKSLNLLEIDFSENNLTGTIPSDIGN >OMO74702 pep supercontig:CCACVL1_1.0:contig11099:102727:108219:1 gene:CCACVL1_16525 transcript:OMO74702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVLKSQNLPGSLPKELVNFPYLQEIDLSRNFLNGTIPAEWGSMQLVNISILGNRLTGPIPKELGNITTLKSISAEFNQLSGALPPELGNLPQIERMLLSSNNFNGVIPETFAKLTTLKDFRISDNHFTGKIPNFIQNWTQLEKLAIQASGLIGPIPSTISALEKLTDLRISDLNGTDATFPPLSSMTKMKTLILRSCNLIGQLPEYLGDMTKLKVLDLSFNKLSGEIPSSSSGLMDVNYLYLTRNLLTGSIPSWMLEKGDNVDLSYNNFTAGTPGTSNCQQRSVNLFSSNSPSNTSGIVSCLRSFRCPKSLYSLHINCGGREETVGGTIYEDDRDGAGPSKFFQSRTNWAFSSTGHFLDDDRPTDTYIWTNTSKLPNMNASQLYMNARLSPISLTYYGFCLGNGNYTVNLHFAEIMFTNDNTYNSLGRRIFDIYLQGKLVKKDFNIEDEAGGVGKAVIKNFTAAVTNGTLEIRLHWAGKGTTGIPVRGVYGPLISAISVDPADFIPPSENEGRSRISVGAVVGIVAGAAFAIFLIVVILWWIGCFKRKDTLEQELKGLDLQTGSFSLRQIKSATNNFDAANKIGEGGFGPVYKGLLSDGTVIAVKQLSAKSKQGNREFVNEIGMISALQHPHLVKLYGCCIEGNQLLLIYEYMENNSLARALFGPEECQLKLDWPIRQKICIGIARGLAYLHEESRLKIVHRDIKATNVLLDKNLNPKISDFGLARLDEEDNTHISTRVAGTFGYMAPEYAMRGYLTDKADVYSFGIVALEIVSGRSNTCYRPKEECFYLLDWALALKEQGNLLELVDPRMGSDYNTEEMLAMINVALLCTNANPAARPAMSSVVSMLEGRANIEELVTDSTTFPHESNSRSMKKLYQHLEEKSAPESQTQSVSTIGPWTSSSTSAADLYPVTLTSDYWQNRD >OMO74695 pep supercontig:CCACVL1_1.0:contig11099:13851:13934:-1 gene:CCACVL1_16517 transcript:OMO74695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLPRQVAMKIVKPADQSVPSPGAGN >OMO74700 pep supercontig:CCACVL1_1.0:contig11099:69981:81961:-1 gene:CCACVL1_16523 transcript:OMO74700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHSPMVTFSMKLTNIITDQSALIALKQHVTHDPQNLLATNWSTSVSVCNWFGVSCGTKNRRVTALNLAGLELVGTLPPHLGNLSFLSFFSITNNSFHGTFPIQLANLRRLKHIQFGNNSFSGEIPLWFGTSFTELRSLYLYENNFNGSIPFSLGNSSKLEVLSLFKNQLSGSIPSSIFNISSLQKLGLNDNWLSGSIPSVIPLNLLSLEVINFNFNNLTGHIPDIFDHLPKLTGLFISYNMLSGRIPNSLFKCKELTALSLSFNRLEGSVPAEIGNLSMLQVLTIGVNHFEGQFPEQIGNLTLLGTLDCSSNNFTGTIPHQIGNLKNLQILNLADNNLAGSIPPTIFNISTLSAISLDTNYLSGHLPSTLGLWLPNLEQLFLGENQLSGSIPISISNASQLFILDLPRNSFSGSIPDTLGNLRNLQSLGLLSNNLTSPGMSFLSSLTSCRELQILEFDENPLISFELPSFVGNLSSSLRSFSASSCNITGSIPKEIGNLSGLISLILDNNKLTGTIPTTIGELKELQKFSVEDNKLEGSIPSESCQLNKLAFLMLASNNFSGAIPACFGDLISLRELSLGSNRFTSMPSTLTELNDVLILNLSSNSLTGALPISIGKWKVVTKIDLSNNELSGDIPNGVGDLKDLTHFSLSNNRIQGSIPESFGELLGLEFLDLSRNNLSGEIPKSLEKLPFLKFFNVSFNRLQGKIPDGGSFAKFSSLSFIGNEGLCGAAKLKVPSCKAKPVRNSKAVAKLITYVLLSIASTLLVLATIIFFLRRRKRKEKLPTQEDLLPLGTWRRISYQELNKATEGFSENSLLGKGSFGSVYRGTLSDGMRFAVKVFKLELEGVLKSFDNECEVLRNIRQRNLIKIISSCSNIDFKALVLEFMPNGSLDNWLYSNNHFLDIMQRLNIMIDVASALEYLHHGHTTPVVHCDLKPSNVLLNEDMVAHLSDFGIAKLISDEESMIQTMTIATIGYMAPEYGSEGIISTKGDVYSFGILMMETFTRKKPTDEMFIGEMSLKSWVKDSFSSTIPIHVVDTNLLNATNQRGIIAAKDCASSILQLALECSAELPDERLNMKEITTKLKKIKVKFLKDIERHVRVTALNLAGMGLVGTIPPQLGNLSFLSRLHMGNNSFHGSLPDELGNLRRLNYMNLSYNSISGEIPSWFGSFSQLQSLFLHSNNFTGMIPSSLSNLPKLEILRLNTNNLQGKIPVAIGNLSMLRILFLYENQLSGSIPSSIFNISSLEMVALDGNKLSGQLPSDMFDNNLPKLQVLDLSKNQLSGKIPASLFKLKKLQVLYLYNNLFEGNLPMGIGNLTMLNALQLGGQIPWQIGFLSNLEMLGITENNLEGSIPSSIGNLTLLKQIDLSSNSLSGRLPHEIGNLQNLELIYLGNNSFTGSIPPSIFNISTARTIFLAMNRFSGGLPASTGLRLPNLEALFLGKNELSGPIPISLTNASQLVNVQLLNNSFSGIIPDSFGNLRYLQALDISHNNLSSNPSSQELSFLSSLTNCKDLESLTFDGNPLISGKLPTSVGNLSDSVTLFYGSHCNIKGNIPSEIGNLRKLLWLGLDHNDLHGTIPASIGRLTDLQNVDFGNNKLEGSIPSELCNLKRLAYLTLTGNKLSGPIPACLGDVVSLRNLFLGSNNFTSIPSTLTRLNGLLFLELSSNSLSGSLPIDIGKWKSVTNLNLSDNQFSGSIPSSIGDIMDLTHLSLSGNMLQGSIPQSFGSFGSVYQGILSDGMSIAVKVFNVNVDRALKSFDVECEVLRNIRHRNLVKIISSCSNLDFRALVIEFMPNGSLEKWLYSPNHFLDISQRLNIMIDIASALEYLHHGHTPAVVHCDLKPNNILLDKDMIAHLGDFGIAKLLGEEDMRKQTITLATIGYMSPEYGSEGIVSTKGDVYSFGILMMETFTRKKPTDEMFVGEVSLKSWVKDSFSSTKSIHVVDTNLLNASNQREIIASNDCASSILQLALECSAELPDERLNMKEITAKLKKIKVKMGEALKKLEYLSLLSKVSTELESHLGYSDKVLAEFITDMGRDCEAVDEFDAKLKENGAVFPDYFVRTLLTIIHAILPPKPKSDKVSKKEPTGEGKFKALFISDGRDRVKELEKEIELEARKEGDRDRNRVRERDRDRGRHRDRYKEDNYDDRRDYGRRDCEPELYKVYKGRVSRVMDKGCFVQLNELRGKEGLVHVSQIATRKLSSAKDVVKRDQEVYVKVISISGQKLSLSMRDVDQNTGKDLLPLKKSSDDDAFWTNPSGGKEGPVMRTGFSGIRIAEDEDAVPSSHRPLKRMSSPERWEAKQLIASGVVRVDEYPMYDEEGDGMLYQEEGAEEELEIELNEDQPAFLNGQTRYSVDMSPVKVFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDVPEWKKEAFAKALTFGQRSKVSIQDQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTKKGKIGCTQPRRVAAMSVAKRVAEEFGCRLGKEVGYAIRFEDCTGPDTVIKYMTDGMLLREILIDEELSQYSVIMLDEAHERTIHTDVLFGLLKQLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEVLYTKQPESDYLDAALITVLQIHLTEPEGDILLFLTGQEEIDFACESLFERMKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIYYVVDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTSIPEIQRINLGTTSLMMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIISMIQTGNIFHRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGAWCYENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGKNLAKIRKAIAAGFFFHAARKDPQEGYRTLVENQHVYIHPSSALFQRQPDWEIYHELVMTTKEYMRQVTVVDPKWLVELAPRFFKVADPSKLSNRKRQQRIEPLYDRYYEPNSWRLSKRRA >OMO74126 pep supercontig:CCACVL1_1.0:contig11158:9519:11723:1 gene:CCACVL1_16937 transcript:OMO74126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIPSPADASLADKAIISLMRHPYLLNSLASDFTPEAASCLLLKSQNDQELILRFLNWARPHPFFTPHCKCMALHILTRFKLYKSAQSLAENLAFNMPEDEMGNFVFQCLKETYHLCASSSSVFDLVGLINEADRAFESMLQRNFKPDEAVYNIMIHGHCRGGNIQKAYNLYKEMVNSGFVPHTMTVIALVKELFMDGETDKLSQVIANTLRSSKLTDAELAKVLVEINHKEGNMDAVLNVLTEMAKDGLLPNSG >OMO74129 pep supercontig:CCACVL1_1.0:contig11158:25658:26872:-1 gene:CCACVL1_16940 transcript:OMO74129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEVVVPVPPTDFNFDSACSSPYMTAPSSPQRFGNFFFSAPTSPTRVSSFYRELNELSLAGNSSSAVPFQWEEKPGTPKRKGFEGRVDDNRVEKKSNKEDDDGCEDFEFNFSGQLERTSLSAEELFDGGKIKPLKPPPGYEQFSSTVSSPRSPRSPRSRSPFRNKDFDPFEAAIEKTRRKEAVVLKEPPKTKQEQGKNRTQSFQQRGRERTNSSGPSSSSSSSSSYNYVHKKSRSLSPFRVSDIMFEPEESQEISASQSGKNSVSTTDNNSPKSYVSSILSAISFSKSNRKWKLKDFLLFRSASEGRATGKDPLRKYALLSKKEPEDVKNSSFRSTESVGSVSSSRRRGPVSAHELHYTANRAVSEEMRRKTFLPYKQGLLGCLGFNPQMHEISRGIGSLTRG >OMO74130 pep supercontig:CCACVL1_1.0:contig11158:28860:28952:-1 gene:CCACVL1_16941 transcript:OMO74130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSLCCDLSVARRIWAGAAAAQQVNGKR >OMO74128 pep supercontig:CCACVL1_1.0:contig11158:17509:19794:1 gene:CCACVL1_16939 transcript:OMO74128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-type MTEYWVSQGNKWCDYCKIYIANNPSSIRNHELGQRHKESVAKRLDTMRKESTAKEKEKKEAARALEQIEAKAKRSYQKDVASFEARDAQELDDQEDWEYDSSSGYYYNQSNGLYYDSKSGFYYSDAIGRWVTQEEAYSSAQASSSSKAKGPILKKPLPTSAVGPVSNSKSAAKSQSAAAPGPVVSGSLNPMRSVKGAQSSLAVKRKRQDEKPKAVSKEEAAALKAREAARKRVEEREKLLLGLYNGSR >OMO74132 pep supercontig:CCACVL1_1.0:contig11158:73307:81455:1 gene:CCACVL1_16943 transcript:OMO74132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTALLRSLSCSSLACSRFLFSAPKFSRSFLSKSRAVPSAARYHRRLVPNRSLLRRNKWRSLAAASSNSSTLRFSLNKKHFSSLAVRAVASPPTQPDISGVDDEVAEKLGFEKVSEEFIGECKSKAVLFKHKKTGAQVMSVSNDDENKVFGVVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLNTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCIEDFQTFQQEGWHYELNDPSEDITYKGVVFNEMKGVYSQPDNLLGRTAQQALFPDNTYGVDSGGDPQAIPKLTFEEFKEFHRKFYHPSNARIWFYGDDDPYERLRILSEYLDAFDASNAPHESKVLPQQLFSEPVRIVEKYPAGEGGDLKKKHMVCLNWLLSDKPLDLQTELTLGFLDHLMLGTPASPLRKVLLESGLGDAIIGGGVEDELLQPQFSIGLKGVSEDDVPKVEELIMNSLKKLVEEGFDSDAVEASMNTIEFSLRENNTGSFPRGLSLMLRSMGKWIYDMDPFEPLKYEKPLTILKARIAEEGSKAVFSPLIEKYILNNPHCVTIEMQPDPEKASRDEAAEKEILEKLKSSMTEEDLAELARATQELKLKQETPDPPEALRSVPSLSLHDIPKEPMRVPTEIGDINGVKVLQHDLFTNDVLYTEVVFDTSSLKQELLPLVPLFCQSLLEMGTKDLSFVQLNQLIGRKTGGISVYPFTSSIRGKKDPSSHIIVRGKSMAGRADDLFNLMNCVLQEVQFTDQQRFKQFVSQSKARLENRLRGSGHAIAMARMDAKLNVAGWISEQMGGLSYLEFLQALEEKVDNDWAGISSSLEEIRQSLLSREGCLINMTADGKNLSNSEKFVSKFLDLLPSKSPVERASWGIQLPPNNEAILIPTQVNYVGKAANLYDSGYQLNGSAYVITKHISNTWLWDRVRVSGGAYGGFCNFDTHSGVFTFLSYRDPNLLKTLDIYDGTGDFLREFEMDDDALTKAIIGTIGDVDAYQLPDAKGYSSLLRHLLGVTEEERQTRREEILSTSLKDFKEFADAIDTIKDKGVAVVVASPDDVEAANKERPDFFEVKKGL >OMO74125 pep supercontig:CCACVL1_1.0:contig11158:3533:8502:1 gene:CCACVL1_16936 transcript:OMO74125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACTSKPTKPNPYASREDQQNDCPAPPLPPKSPPPPLPPTTPFLPMYTPSPAHPNKPKTPSTPLRFFRRPFPPPSPAKHIRAVLRRRKDSKKAQNEARIAEDEEDEEEGVDLDKRFGFSKELRSRLEVGEEVGRGHFGYTCSAKFKKGEFKGQQVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHSNLVKFYEAFEDHDNVYIVMELCEGGELLDRILARGGKYSEDDAKAVMVQILNVVAFCHLQGVVHRDLKPENFLYTSKDENSLLKAIDFGLSDFVRPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPNFNEAPWPSLSSEAKDFVKRLLNKDPRKRMTAAQALSHPWIQNHNNVKVPLDIIIFKLMKAYLRSSTLRKAALKALSKTLTVDELFYLREQFALLEPKNGSITLENIKMALMKNATDAMKDSHVPDFLFSLNALQYRRMDFEEFCAAALSVHQLEALDRWEQHARCAYELFEKDGNRAIVIEELASELGLGPSIPVHAVLNDWIRHTDGKLSFLGFVKLLRGPSSRALAKAQ >OMO74127 pep supercontig:CCACVL1_1.0:contig11158:14162:15499:-1 gene:CCACVL1_16938 transcript:OMO74127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MDETFLLMLSNLLHLHNSLDPTTSLLSPSSSSPPSASSPSPSSPSSLLSSSSSAPLLFFTLASLLSFLATSKKGTNDSSPLSKKRKPNSSPSPPPSAHFSVAAFRALSADTMRDAHWRSLYGVSYAVFDSVVSNLRPYIAASNLSLPPDYAVALVLSRLCHGYSAKTVASRSSLDPYLVSKITNMVTRLLATKLYPEVIKIPSSRRRLMETTQGFEELTSLPNICGAIDGSPIKVRKVDRNLMNSYKCKYGYDAVLLQVVADHKKMFWDVCVKAPGGSDDASHFRDSLLYNRLFSGDIVWDKVINVRSNLVRPYIVGDWCYPLLSFLMTPFSPDGAGTPAQNLFDGMLMKGRSVVVEAIGLLKARWKILQDMNVGLNHVPQTIVACCVLHNLCQLTREPEPEIYKDPDENGAPARMLEGEKQYYYFGESLRQALADDLHQRLSSR >OMO74131 pep supercontig:CCACVL1_1.0:contig11158:35371:45201:-1 gene:CCACVL1_16942 transcript:OMO74131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLCFFTKDSFILKSPKKSPLVLRMVVLLFVMVCGVYICSICLKQISTGSTSEFLNIGVIQKPCPEPNIEPWEIPYVHYPNPKTYTRAECACHPVRYFAIMSMQRSGSGWFETLLNNHTNISSNGEIFSVKVRRSNVSSIFETLDKVYNLDWLSSASKNECTAAVGLKWMLNQGLMQHHKEIVEYFNTRGVSAIFLFRRNLLRRMISILANSYDRDAKLLNGTHKSHVHSPHEAEILASYKPVINATLLVPNLKQVEDTTKKALEYFKSTRHIILYYEDVVKNRTKLEELQEFLKVPKRELKSRQQQQSYLPKQQQQGSNPDDVKTIWVGDLVHWMDETYLHGCFSHTGEVSSVKIIRNKQTGQSEGYGFVEFYSRAAAEKVLQSYNGSIMPNTEQPFRLNWATFSVNERRSEPGSDLSIFVGDLAADVTDTMLHDTFSSRYQSIKGAKVVIDSNTGRSKGYGFVRFGDENEKSRAMTEMNGVYCSSRPMRIGVATPKKASGYQQQYSSQALVLAGGGHASNGALAQGSQSDNSDSNNATIFVGGLDSDITDDDLRQPFSQFGEIISVKIPSGKGCGFVQFANRKNAEEAIQNLNGTTIGKQTVRLAWGRSLGNKQWRGDSGNQWNGGYYRGQGYGGGYGYGYAATPNQDPNMYAAAAAVPGAS >OMO77002 pep supercontig:CCACVL1_1.0:contig10850:555:653:-1 gene:CCACVL1_15238 transcript:OMO77002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LADYFQLQTSKPLSPNLKYKIGIQFKGMLELSK >OMO69059 pep supercontig:CCACVL1_1.0:contig12119:8995:12002:1 gene:CCACVL1_19667 transcript:OMO69059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRSAAVYGSPGIASQWSPPHRNSVPPPIDAKASILDFRCLVNSSPVLVFQDQA >OMO60278 pep supercontig:CCACVL1_1.0:contig13747:66291:70958:-1 gene:CCACVL1_24276 transcript:OMO60278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coilin MESSVRLRLEFEDRHTLSKSQRKQGLNRSLILLKPQHNTISDLSSYLLHIFDLQKSCPNGLILSMDGFVLPPFESTCIFKDKDIINVKKKGGKSSDIVKVDKGFNSLEELEFVEQPPVNTNRGVKLLAYEEFNKETGGYDSESEEDEEELDPSLENQALVESTPIENMVSKKRKASKNLRRSKRKKSKLASAEKSPIPEDDRNDVNLKKSKRSHQHTVVPKEKVVEKSSPVDAEGEPEESNGPEIDESSDDEPNIGRLNQLQETGKGIVDASQTTSEAKKPPSRSARRKQAKRRWLREQAKIEKEKLHLEQQIGKDNQQSLAKENLQVSEEHLQSVRNSDANDNAVPIVVRPGHIRFEPLEEEDDVPAVQQNQISRETFQWNGITSKKKGQKWGMEKTTFLKRNEDKSHSQVSSEVVAVVEKTTVTDDMDFDKLTPYSTLPKEGDIVAYRLVELSSTWTPELCSFRVGKISHYDAKSTKIMLTPVPEYPNAHKKKIDGDESEEQIGTSLYEEDGSLEIDYSSLVDVRLVKHGNSNTINSVGGDINENSAQDQNVQPNGSAPPAQANGVVDAWEEISQALSAKKAELSKEDGWSRTDSSGKGSWSYRALRRSALGPTMALLRSQNGV >OMO60275 pep supercontig:CCACVL1_1.0:contig13747:48915:50129:-1 gene:CCACVL1_24273 transcript:OMO60275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MEHQHAETNPHFLDAEEVTPDQREYIPQTLLPRQRGHDHRPRHHPPTGPIERQPPHHQRPQPLGDQHSRPPPTGPTEGQPPPPHQRLQPLGPSPPEQHHGDQPHVPLHVWVPPPHHEGQHRQGHQQPPPPLGIWGQLPSSRTQGPPTKKPKRGRSRKEVVMQPQLQDQQPSASLIRPTTQGESTTEHHPSPGPAPPAKPRENMHHHSALFLPRARRTKPITWFAAAFCIIFWLAIIIGGLIVLVVYLVFRPRSPRFDVTSVTFNAAYLDMGYLLNADIQVLANFTNPNKKVRIDYSYMYLDLYFENTLIATQYVEPFSASRGQSTFRDIHMVTSQVKLSMKETLLLQKQIENNRVMFTVKGVFRARSDFGSVWKYSYWLHGQCGIIVSSPPSGVLKAKLCKTRH >OMO60274 pep supercontig:CCACVL1_1.0:contig13747:44866:48390:1 gene:CCACVL1_24272 transcript:OMO60274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVTEFPHTHIDRRPKKRPRLAWEFNQPQQKAQSGIYYGRDVGNVTSFGPSRAFLDHANLFEMELAQKVSPPWRNDDKDGHYMFALGENLTSRYKIYRKIGEGTFGQVLECWDRETREMVAIKVVRSIKKYREAAMMELEVLHLLGKYDRSGSRCVKLRNWFDYRNHICIVFEMLGPSLYDFLRKNNYRPFPVDLVRELGRQLLECVAFMHDLRLIHTDLKPENILFVSPEYVKVPDYKVTSRSPTQGICYKRLPKSSAIKVIDFGSTAYEHQDHNYIVSTRHYRAPEVILRLGWNYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMLNKADRHAEKYVKRGRLDWPEGATSRESIKAVQKLPRLQNLVMQHVDHSAGDLIDLLQGLFRFDPSSRLTARQALRHPFFTRNHFQRF >OMO60280 pep supercontig:CCACVL1_1.0:contig13747:73865:78674:1 gene:CCACVL1_24278 transcript:OMO60280 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MAELRHSSSSLGSRASSSPMKRDEDASPLIHDPQDDDDDRHSFRDRDRSFWSQFSPPFPFFKDDPRVSQHASRISLLVLLLVAIAGLISIFSILHRLNSPYLCKKDGIVLHCPRVKENPSLWENPFSATTSWKPCAERRDGGISDLPPENETSGYIFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPEWFTDKSELFTSIRRTVKNIPKYAPAQFYIDNVLPRIKEKKIMALKPFVDRLGYDNVPPEINRLRCRVNYHALKFLPEIEEMADSLVSRMRNRTGSPNPYMALHLRFEKGMVGLSFCDFVGTREEKAKMAEYRKKEWPRRYKNGSHLWQLALQKRKEGRCPLEPGEVAVILRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEELAGKEELAVFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRQKSIKPDKGLMSKSFGDPYMGWANFVEDVVVTHQTRTGLPEETFPNYDLWENPLTPCMCKA >OMO60277 pep supercontig:CCACVL1_1.0:contig13747:61189:64298:1 gene:CCACVL1_24275 transcript:OMO60277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQEEKDRHAGAAPAAARKPYLRSVSWTDRSPTKPNPKPPQNTKGRSFLPPLSITRRPVEEWPKAGSDDLGVWPNPQTPRGSIMPLESPGSNMEFQLRKDKLAFYDKECSRIADHIYLGSDAVAKNREVLRKNGITHVLNCVGFVCPEYFKNDLVYKTLWLQDSPSEDITSILYDVFDYFEDVREQGGRVLVHCCQGVSRSTSLVIAYLMWREGQSFEDAFHYVKAARGVTNPNTGFAFQLLQCQKRVHAVPASPNSVLRVYRMAPHSSYDPLHLVPKMLNNPGKQGLDSRGAFVVHVPYAMYIWIGKKCNHVMSNSARLAANQVIRYEQAQGPILTVTEGEEPLEFWDALATGQLSADGSDRAEVGKVDNLASENVKMARENKVWPSERRVHEYDLDFELFHKALAGGVVPPFSVSAAESETCLPSRENGWGRLRQKFANGIMKEFVNSSKLGCNLTPVSDRSDMAVDIHRDSEDNISLSSPSSPSIYPCGSPDSFDCFPDSSPTRSKDPCEEVDQPDTLSDCTLAPSSPGSSLNSFSCFAASSPKFSSKSPTLSPSNSDYASSFTFSPSSSNWSDLSYLSSRQPSPSGLEAADLFSMKNVYSPDNSSPHKETFPSPTKKFTSDLNLRVANTCLPCKGTSPSLAERRGSHPPPRMQLPSVDELAPRNHVRSWSFSLDDVMSDEEYNQYEPEENQEELMSDTEAIDFCIESYSGSEDKKEYGEGHPRFGGIFEKSSGVTTLALYQWPTLNKVEMHGSHVLDSGAAYMLLAPDVSLGTNNSSVLYIWLGREVLREGQSQVVSCDETHKDSHPHWESVGRDFLNKMEFPVNTSIQNLGLINQRSIRTFGQSPEGCWLIERLGADQATDVREFV >OMO60276 pep supercontig:CCACVL1_1.0:contig13747:52324:53883:-1 gene:CCACVL1_24274 transcript:OMO60276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHALAAPRLIYRAHICRLVKSGLIDQAVRVFDQMTQSDCRVFGVDYNRFIGVLLRHSCFDLAEHYYFQMFPQGFSLTPFTYSRFISALCSVKNFTLIELLLNDMDKLNYVPDIWAFNIYLNLLCKEKKLELALEVFHSLVNRGSDPDVVTYTIMIDGLCKAERFDNAVDFWRDMVGKGFCLDNKACCALVVGLCKGGKVDLAYELVVEVVKAGDIEFSTLLYNALISGFCRIRRIDKAQAIKFFMKKNKCDPDLVTYNVLLNYCCNELMLEEAEKLMKNMERSGIGPDVYSYNQMIKGLCSTNRPDKAYLLLVSKMEGNGLVDAVSYNTIIKAFCKGRHIGKAYKLFEEMVRKGIEPDVVTFTTLIGAFLNQGSSERAKSLLDQMSGMGLSPDRIFYTTIIDHLCKNGKVQMAHKAFYDMINQGIDPDVVSYNALINGFCKSNRVTEAIHIYEEMQARGVAPDEITFKLIIGGLIREKKLSEACKVWDQMMEKGFTLDGAVSETLIDAIQSVDSGKTW >OMO60273 pep supercontig:CCACVL1_1.0:contig13747:36872:42905:-1 gene:CCACVL1_24271 transcript:OMO60273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-tRNA ligase, class II MEGLRMWRLSSSIPRPLSLRQLFGLRSSSALVVRCSAGDAAAATTTNTRQPSRNRRASTSTATNTSISDREAIRAIRLKKVEELRSKGLEPYAYKWDRSHSAKELQEIYKDLNNGEELDGENHRVSIAGRIVARRAFGKLAFLSLRDTSGTIQLYCEKERLSNDQFEQLKTLVDIGDILGASGSIKRTEKGELSVKVDSFAILTKSLLPLPDKYHGLTDIDKRYRQRYVDMIANPEVADIFQKRAKIVREIRNAVESQGFIEVETPVLQGAAGGAEARPFVTYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEVGRIFRNEGISTRHNPEFTTIEMYEAYSDYQSMMDMAEEIVTRCALAVHGGLTINYQGVEIHLERPWRRETMHNLVKESTGIDFTEFENDLKGAKDTIMKAIGVELDGKDKSSIEACPSIGNLLNEVFEIFVEPKLLQPTFVLDYPMEISPLAKPHRRHAGLTERFELFICGRELANAFSELTDPLDQRARLEEQVRQHNKKRAEAISGTDTAEGKSNKDEDSMYEVTLDDDFLTALEYGMPPASGMGLGIDRLVMLLTDSASIRDVIAFPVLKAQS >OMO60279 pep supercontig:CCACVL1_1.0:contig13747:72801:73532:-1 gene:CCACVL1_24277 transcript:OMO60279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 MSAIARRVSTTIFAPATSQGLSRDPSQIQQWRGIRVKVYEGNLDRALTMMQRKMQSSGMERLIKEEQTHHIKNSEKRVLARKNLERRLRSENLARKLQTILIRKIRTA >OMO60281 pep supercontig:CCACVL1_1.0:contig13747:79867:84593:1 gene:CCACVL1_24279 transcript:OMO60281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, clan GH-D MSMNIFFLGFFFFVTPSFCRVPQLERASTPPRGWNSYDSFCWTISEEEFLQSAEIISNRLKPHGYEYVVVDYLWYRSKVEGSYVDAEGFDVIDEWGRPIPDPGRWPSSIGGKGFSEVAKKVHSMGLKFGIHVMRGISLQAFNANTPILDTAKGSAYEESGRQWRAKDIGLKERACAWMSHGFMSVNTNVEAGRAFLRSLYLQYAEWGVDFVKNDCVFGDDLDVAEISFVSEVLRNLDRPILYSLSPGTSVTPAMAKEVSGLVNMYRITGDDWDTWKDVVSHFDVTRDFSASKMIGAKGLLGRSWPDLDMLPLGWLTDPGSNEGPHRACNLTPNEQRTQMTLWAIAKSPLMFGGDVRKLDDTTYNLITNPTLLEINSFSSNNMEFSYITGRKRSRCKNKLVSQHLTEGGMLDKQALGLTTCKDPKANGWSIKALDQDLEQICWNEKLRSKFAETPCLYKGKRLLASGEENIYMQQYQGTLHLLASDGMELCLDASPRRRLTSKEYGGGSFSPCKWDANQIWKWNANGSLTNSYSGLCATMNSLKADAGSGGIRSWIATGRKGEIYLAFFNLGTEKTVVSANVADFGKVLPQKNLMGASCKYHEIWSGKLGETKKVLSIELEMHSPALFVLLCH >OMP01170 pep supercontig:CCACVL1_1.0:contig06518:5480:6111:1 gene:CCACVL1_03148 transcript:OMP01170 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase protein MLLVRPTCGVCLQSGLSLRPKFLLLLLECPLVFANQVPPVQTPNVAHGGGSPLLMPPFSSSEAGGSAPAPGIKLQVPHYLSGVFTRGGFSEWFRKDFEALGPPNILDLMAAFFTYRLNYANGEDFEAVRSLTPMERSAIVVCTSIQHLLSARANFAAYEQWIAACAPQLSKFRKTRKLLPFAEWRHLI >OMO73615 pep supercontig:CCACVL1_1.0:contig11210:20036:20110:-1 gene:CCACVL1_17202 transcript:OMO73615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQVAASNENKEGSQMMTNEKDV >OMO73616 pep supercontig:CCACVL1_1.0:contig11210:22557:24649:-1 gene:CCACVL1_17203 transcript:OMO73616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MGTQVPPHQKVESETPSTIDEAKLAKQKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPFAMAHLGWGPGVVIMILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLICEVGVDIVYMVTGGKSLQKIHKLLCSKPCKDIKLTYFIMIFASVHFVLAHLPNFNSISGVSLAAAVMSLSYSTIAWSASVHKGVQSDVQYGYKATTTPGTVFNFLTALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVLLAYIVVALCYFPVAFIGFYMFGNGVEDNILISLEKPTWLIVAANAFVVIHVIGSYQLYAMPVFDMIETVLVKKLHFRPTRMLRFITRNLYVAATMFVGICFPFFGALLGFFGGFAFAPTTYFLPCIMWLAIYKPKKFSLSWCTNWICIILGILLMTLSPIGGLRSIIISAKGYKFFS >OMO73614 pep supercontig:CCACVL1_1.0:contig11210:14413:18521:1 gene:CCACVL1_17201 transcript:OMO73614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKSDRYSGEYYRGPYGPPGESEGSGSSGRIDTEITASEDSSAPMRKCISLNRDKRETFGAPKQVLSLSNMSQSERKDLINRLRQELQQIRMLQKKVDLQRTNGVTMSSSSDILSCSNGQMLSNVQGFQKSSMVASGPGKKGNPLSSKGRVWNRASSGKFAKQAPNTANMILMKQCEGLLKRLLAHQWGWVFKEPVDIVKLNIPDYFNVIKHPMDLGTVKKKLASGAYASPLEFHADVKLTFSNAMTYNPPGNDVHIMADTLNKFFEVRWKNIEKKLPGSGAELVESKVPTEDIETSKTMPPAKKRKTTSVTQEIIPEPVKKMTDEEKHNLGRELESLLAEMPMHIIDFLREKSSNGKESGEEEIEIDIDDLSDDTLFTLRKLLDDYIQEKQKNQLKAEPCEIELLNESGLSNSSMQQGKGNGLADEDVDIGGNEPPVSSYPPVEIEKDAGHRSTKSVSSGSSRGSDSSSSDSESDCAKASSPADALKVLEAVDSGAQLDEKTSVDNPLDRNQCVSGLDQLEQTSQPKPSSVESDSRQDGDSAPTERQISPEKLYRAALLKNRFADTILKAREKTLTQGDKGDPEKLRREREELEQQRKKEKARLQAEAKAAEDARRRAEAEAAAEARRQRELEREAARQALLKMEKTVEINENSRFLEDLEMLSAAPVEQLPSSVDETSPDRSQDGLGSFKFGSSNPLEQLGLYMKEDEEEEEGEPPSVPNQGNDVEEDEEEEEGKPPSVPNQGNDVEEGEID >OMO73617 pep supercontig:CCACVL1_1.0:contig11210:27103:31137:-1 gene:CCACVL1_17204 transcript:OMO73617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MGTQAPSDNNNSYARTSSFEEKLAKQKAIDEWLPITSSRNAKWWYSAFHNVTAMVGAGVLGLPYAMSELGWGPGVVVLVLSWVITLYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLYIVVPQQLIVEVGVCIVYMVTGGKSLKKFHDTVCSTCKPIKQTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWGASADKGVVPNVQYGYKESTVGGTVLSFFNGLGEVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVIVAYIVVALCYFPVSLVGYYIFGNEVKDNILISLEKPAWLIAMANMFVVVHVIGSYQIYAMPVFDMIETVLVKKLNFSPSRTLRFFVRNFYVAATMFVGITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRKFSLSWWTNWICIILGLLLMVLAPIGGLRRIILDAKDYKFYS >OMP10781 pep supercontig:CCACVL1_1.0:contig01931:1170:1229:-1 gene:CCACVL1_00795 transcript:OMP10781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPYGSGTDVPSLIAQLLW >OMO61807 pep supercontig:CCACVL1_1.0:contig13411:1746:1805:-1 gene:CCACVL1_23232 transcript:OMO61807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIPYTFKMPIIRKFINHY >OMO64180 pep supercontig:CCACVL1_1.0:contig12855:646:753:1 gene:CCACVL1_21973 transcript:OMO64180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDGLTVLYIRFGYCRITGLCKFGPAHSLGQPENE >OMO51919 pep supercontig:CCACVL1_1.0:contig15677:7928:10770:-1 gene:CCACVL1_29495 transcript:OMO51919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRRSLLSQTKKRKTKTKLKTNKNASRKQKIIAKSHQLEDNDNEEEEDEEGFNLKSSAPSHSHGVQPLGNLYFNPGSVNSRNTGLGNLQILSDELVLEILGLLEGTQLGFLATVSKSFYVFTSHEPLWRNLVLDKVEGGFSYNRSWKITYVSTFYPSFNVAKSTRIPGLRVRDFYSDYLFQSWLCANLEMKPEWLERDNIIRKRGISVEDFIMNFEEPNKPVLLEGCMDNWDALEKWDRDYLLNLCGDVQFSVGPVKMRLEEYFRYSDQVKEERPLYLFDPKFAEKIPTLGSDYEVPVYFREDLFNVLGNERPDYRWIIIGPAGSGSSFHIDPNSTSAWNAVIRGSKKWILFPPDVVPPGVHPSPDGAEVACPVSIMEWFMNFYGSTKNWEKRPIECICKAGEVMFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKKPNASELVSGTRDRTNLHEKFKNAIEASLPGTIDELTLKAEEKKAQQKKVSFWDTVTDSKVGAFKFSF >OMO51924 pep supercontig:CCACVL1_1.0:contig15677:49028:49829:-1 gene:CCACVL1_29501 transcript:OMO51924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVLNSSIETVKQTETEHPEDVQSTINRLINVDDGGHLYGVAGGSGDYAETIFRYAAKVRSGVVVVTTVKIDAKGSNATDGFTWVNVQQKWLEGFEKDESTSIF >OMO51925 pep supercontig:CCACVL1_1.0:contig15677:87396:87750:1 gene:CCACVL1_29502 transcript:OMO51925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEVSDEVEGSIDSSDNQLVPPLLNLYSWIEA >OMO51922 pep supercontig:CCACVL1_1.0:contig15677:21948:28877:1 gene:CCACVL1_29498 transcript:OMO51922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAKTQICNFKDTFFLIQEKVMGLETIAWGPNVCHINPPPEKSAIKQTDDEENPTLSQFCQCQSCPVCDQMFFTELMREATDIAGCFSSRVRHLLHLHIAGGIQRYILRLRQCFKNDQQHLVQEGLMLIEYITMNSIAIRKILKKYDKVHSSVNGKNFKSKMRAEHLELLQSPWLIELGAFYLNFNGSDGGEFIQFSGSFVCDLRTTEPVMTLMLPNSIKLEYDLTCAVCLDIVFNPYALSCGHLFCKSCACSAASVMIFQGLKASSPDSKCPICREAGVYANAVHMLELDLLVKRR >OMO51920 pep supercontig:CCACVL1_1.0:contig15677:13304:15926:-1 gene:CCACVL1_29496 transcript:OMO51920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELFSPPRDLVKCCDCGCTTCSLNGEPSTTWFRSVKRKYDEFESGSGFYVPGLDLYSNARVQIENECTALRETLSSQQAAIQDLYSELEEERNASSSAATEAMSMILKLQREKAEIQMESRQFKRFAEEKMAHDQQEILVLEDLLYKREQAIQALTCEVQAYKHRMMSYGLTEEEAEGEKDEQIRNLTVAENLGSQVDLPAFDYPPLKCNINENPGDDVEDVEKYAFGETPRDHLRNLDQRISQMERIPSSSHVSQMDGDYPGTKNILEKVIVGHSPRRPRHSMRFSTDSFNSVLGKEMGSEFGDSPRFNFGSPRFTIGSPRYTNSPKFNTSFKKMEFVSEMDETRIDNASEVGDDMSDRVYTIDSVNNGVPYNGTFEPKPGVGMCDDYAYTPRETYNMPDVSDPDIKKLYTRLQALEADRESMRQALISMRTDKAQLVLLKEIAQHLCKDMSPERQVVKKPSIIGSLPFMSVFKWIVSFVFWRRKARRNKHLYGLSANKVGLLMLLDKGPRLRQWRCLSSTQV >OMO51923 pep supercontig:CCACVL1_1.0:contig15677:37950:41037:-1 gene:CCACVL1_29499 transcript:OMO51923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSHPTRHKNNHNHIHPPIHQISHPPDPNAPKHDPNVLMTVFVRV >OMO51921 pep supercontig:CCACVL1_1.0:contig15677:17006:21124:1 gene:CCACVL1_29497 transcript:OMO51921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFRSMLVKTASFGFYKSFFFFFF >OMP12073 pep supercontig:CCACVL1_1.0:contig00471:878:1628:-1 gene:CCACVL1_00143 transcript:OMP12073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAALLMVKKKIFFLPQLNLSRDDPTLHSIVNF >OMO86380 pep supercontig:CCACVL1_1.0:contig09495:55449:62086:-1 gene:CCACVL1_09615 transcript:OMO86380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKKKRERAFAFFDVVLKAEGKVSIYRLMVGDFACNNLSGSIPPEWATMQLEYMRLSSNRLRGNLPVELAKLKNLTDFRINDNNFTGSIPDFIYNWKKLTRLEMQASGLEGPIPSSISALENLLTLIISDINGPNQPFPDLWSMTTIRRMYIFYLYYYTKSLGCSPLIIGSNMADLGNCSILKRCNIVGQIPQEIWQMSKLRVLDLSFNSLSGQLVKVTLPFDLRFLYLTGNNLSGNIPASILQTRLAVRRGVIPCTSDFKCQKYWHSMYINCGGGIDVKMNGTMYIGDAKSGLGGAATLYQNNDNWGFSSTGDFRDDNDELNAASRYLNQSASVSNQLYATARLSPLSLTYYRYCLEDGSYKVRLHFAEIQITNETRYGTLGRRIFNIYIQDELVEENFNIEAEAGGILTPLTKHYNANVTKGELEIRFYWAGKGTQTTPSPGVHGPLISAISVDPNFKPQQTERKAKTLPIIVGVVGSFLIFIVFSVLCWRYYFKAKSEREEDFKGLDLQSPQTVSFTLKQIKAATNNFDSVNKIGEGGFGPVYKGELADGTIIAVKQLSSKSSQGKREFLNEMGMISCLQHPNLVNLYSCCIEGNQLLLVYEYMENNSISRALFGPEYSRINLEWPIRHKICVGIARGLAFLHEESRLKIVHRDIKGTNILLGRDLNPKISDFGLARLHEQDKTHISTRIAGTIGYIAPEYALWGYLTYKADVYSYGILSLEIVCGKHNTDYGPNNKYTCLLDWACHLQQSGKLLELVDYNLRSEYNKPEAEGMIKVALLCTNASPSSRPTMSEVVGMLEGTIAIPDIVPNSSSYSEDLRFKAIRDHRSSMYGKSSTGCQIDANANCKLQIAVYCCHANVSPGVMEQQVFQPVQGVKPLSLTHLDVDC >OMO86378 pep supercontig:CCACVL1_1.0:contig09495:39533:47855:-1 gene:CCACVL1_09613 transcript:OMO86378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFRLLRFADSKVPREEVDALQEITATMGVTRWKFDGESCEIKMVGVTLVPPKNAYHDISCECETNSNVFHVVRIMLKGYNLPGMLPPQLIKLPYLREIDFAYNYLHGTIPTEWGSMKLTSVSVPVNRLSGEIPMYLGNITTLTYLCLEANQFSGAVPPEIGNLVNLKTLILSSNQLTGNLPVSLGLLRNLSDLRINDNNFNGSIPSFVQEWLQLSRLEMHSSGLEGPIPTTISLLNNLVHLRISDLNGPIQGFTTVRNMTGIVKLVLRNCNISGEIPAYIWTMKNLEMLDLSFNKLVGKIPASLSANRLQIIFLSGNMLSGDVPDSILRQGASIDLSYNNFIWQGPEKPGCQENLNLNLNLFRSSSLGNNLGALPCKKDFTCPRYSNCLHVNCGGKDTMIKENQINLLYEGDGFVYGGAAKYFNKYDAYWGFSSTGDFMDGNHFQNTRYTVSRPLNISELYRTARVAPISLTYFHYCLENGNYTITFKFAEIEFSNDETYSSLGRRIFDIYVQEKLLWKDFNIKSEARGAENPLIKQVYNVSVTNNFLEIRFYWAGKGTTRIPERSVYGPLVSAISVVSDFKQCPNVRNSGTARMVVWVVGPCLLFFILGVLGSLWWKGCLLGKCWRKEVYNSILQACHLHRSGNLIALLNESLRPAVKKEELELMVKVALLCTNASASLRPTMSEVVSMLEGKMTFPDITPEPGTYTEDLRFKAMRDLCPQKEYQSSSGSQTQTSSVHNFSSSTWSNSNENNPNSRCSYNSEYCFNSTG >OMO86379 pep supercontig:CCACVL1_1.0:contig09495:48311:48553:1 gene:CCACVL1_09614 transcript:OMO86379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAISLLAGIRAPASELALSVSTSQSISRSQFPNSFLPSCKSKNLFGGATFRRPGLIAGATRVLVGKGENVREEDESLAN >OMO86377 pep supercontig:CCACVL1_1.0:contig09495:9544:32840:-1 gene:CCACVL1_09612 transcript:OMO86377 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MEYDVTELERAQQLLIVTSLLRFADSKVPQEEVDALQEITAAMGVTHWKFDGESCEVKMVGVTLVPPKNAEHDISCECETNSDVCHVVRIVLKGYNLPGTLPPQLVKLPYLREIDFAYNYLHGTIPTEWASMKLTSMCLEANQFSGAVPPEIGNLVNLKTLILSSNQLTGYLPVSLGLLRNLSDLINDNNFNGSIPSFVQKWEQLSRLEMQSSGLEGPIPTTVSLLNNLVDLRISDFNGPIQGFPTVRNMTGIVRLVLRNCNISGEIPAYIWTMKDLEMLDVSFNKLVGKIPASISANRLRFIFLSGNMLSGDVPDSILRQGASIDLSYNNFTWQGPEKPVCQENMWGALSCKKDFTCPQYSNCLHVNCGGKDTRIKENQINLLYEGDGDVEGGAAKYFIRDDAYWGFSSTGDFMDDNDFQNTRYTVSRPLNISELYRTARIAPISLTYFHYCLENGNYTITFNFAEIEFTNDETYSSLGRRIFDIYVQENLLWKDFNIESEARSAQKPLIKQVYNVSVTNNFLEIRFYWAGRGTTRIPERSVYGPLVSAISVVSDFKQCPNGRNSGTAYMVLGVVGSCLLFFILGVLGILWWKGCLLGKCWRKEGTDIKGDMPSGTFTLKQIKVATDDFDPANKIGEGGFGPVYKGQLPDGTKIAVKQLSSKSRQGNREFLNEIGMISCLQHPNLVKLHGFCVEGDQLLLVYEYMENNSLARALFGPEHNRLELDWATRLKICIGIARGLAFLHEESRLKIVHRDIKATNVLLDSDLNPKISDFGLARLDEEEKTHITTRIAGTIGYMAPEYALWGHLTYKADVYSFGVVACHLHQAGNLVALLNERLRSEVKKEELELMVKVALLCTNVSASLRPTMSEVVSMLEGKMTVPDMIPEPGTYTEDLRFKAMRDLCRQKEDQSSSGSQTQTSTVHNFSSSTWLVASKSITHLVAYQRDSKAKLRESMGGVANGVSTMKLLGCLILTVLMLVCMEPNYKVEAQVEPPSPPDNEAQALHEIAAELGKKDWNFSENPCNNKSSWFTPPPLPNMPKAINNSTVTCNCSFPNGECHIDGIYLTGQDLDGVLPRSLVKLPYIKTIQLYLNYLKGTIPREWTALKLEFLSVSMNHLSGPIPSYLGNMTSLKYLTLENNLFSGTIPPELGNLVNLENLTLNANFLTGEIPFTLTKLSNIKELRISGNNFTGKIPNSFHRWKQLEKLEIQASGLEGPIPSSLSVLHNLTELRISDLPGEGSKFPNLENMKNMYRLMLRSCNISGQIPDYLWEFSKMQILDLSFNKLEGNILDSESLTKTQYMYLTSNSLTGPIPEWINARDSRFQIDLSYNNFSESSEPASCRENLNLFKSFSGSKNSGQDDCLKHFPCSKDWYSVYINCGGGATTINGINYEADEDAGGPAKYFPLKETWETSSTGLFWDTSVTSKDYIAQNVSILKINNSELYTRARLSPLSLTYYFRCLANGSYTVTLHFAEIVIRDNRSFHSLGRRIFDVYVQEKLVLKDFNIKSEAKGVDKAVIRTFKTSVGNKTLTIRFHWAGKGTTATPRRGTYGPLISAISVDSDFKPPAPHVANTKMKFLVGAVVSVPCLILIILGILWWKGYFRREMSREQVLRGLDLQTGFFTYRQMKAATNNFDAANKIGEGGFGSVYKGELLDGTIIAIKKLSSKSKQGDREFLNELGMISGLQHPNLVRLYGCCVEGTQLLLVYEYMENNSLSRALFGPKESQLHLDWPTRQNICLGIAKGLAFLHEESSLKIVHRDIKTTNVLLDKDLNAKISDFGLAKFDEEENTHISTRIAGTIGYMAPEYALWGYLTFKADVYSFGIVALEIVAGKNNTKYRPEEDYVCLQDWALVLQQKGNLMELVDPRLGTEYNEEEAMRMIKVALLCTNSSPALRPVMSEIVNMLEGRIHVPELIMDPSIFRDDSRFGALRDQLNQMQSGKGRETNTFSTQTSESSSTSTARLDSQSINRYQYSDSGPWMIVPKRKHKSRGRSRRRRSKGRNDSAGTARNRSVSQRKGKEIQVWRQKGTGSNFEQNSEGGNFGDRFLCLGPSHNVGPSGTKDDLAQSPLDLENVSEGPLLDDGYLGLLKDPIDVFVSNDLGLRVSSPVSAIEIVPSLKHLTSTLAHTQVDSVEAEDAERTPEATRNLVETDANVGSKQVIAVESQLAISPFDRSKSLSDVNLDHSDDINESLRSCGGRVQEVNAAVDSQDIASPFPDAGRNYVIESETRNLTETTNQALHTDFIEVSTTGSQGSADSSLRDSNDSGKLTVCNSGRGVGNGNVRLRKILRKARKKNIQAPAAVSTRALRRAIFAGEIQLAKIITEPRISGLRGESVRNSLGFDGVEFVDPIGFSGGIWVLWDSREFDATMRSRTQQEITMDFKVRSSNFIFAVSAVYANPDHDSRALLWDYLSLLNSNLNHPWLWIGDFNEPLRSSDKFGGRSINIARSALLNDCINSCGMIDVGFVGPKFTWSNSQPLNTLIQERIDRAWVNHSWLDVFPNSIVFHLVKTCSDHCPFLFATEVKNPVLLDKPFRFQPMWLFEPSFFDVVKRTWDAHPFCFDAAVLEFTFLIKDWNKTVFGNVFHNKKRIQARLLGIQKEISVKPSHFLLDLDRELRLELANLIRKEETLWAMKSRIDWLLEGDNNTSFFHKSTIVRRRFNRIVALKDDNNNWLYDENCKNHVVEFFKSLYSTEVSSCCLVPYDAPPGIRKVSAESLDRLVCIPFCDDIKDALWSLKPFKAPGPDGLHAGFFQKCWSDVGNNLCVAIKHVFNVRRIFDNWKQFLICLVPKTLSADNIRLFRPISLGNTCYKVVTKILANRLKNCLKELINPVQGAFLPGRRASDNIIIVQEALNSAYYSQAKDGWVCIKIDLEKAFDRLEWGFIREMLFFFGFPEPFIALIISCISNPNLSVLINGTTSESFVASRGIRQGDPISPYLFILSMEYLSLMIENEVNSHNWNPILVGRGGPRISHVLFADDIMLFAKANIANCDAISRTLHEFCIRSGQKVNASKTKLWCSLKVSEDNMNLFINRLGFRKVNNLGVYLGHPTLVAKAKKGDFLPVIDKIRSKLSGWKAHNLSITGRCTLIQSVSSAMADYSMNTSMLPASIHVEIDRLHRNFLWGESDECKKIHLVNWDQVTKKKRRGGLNLRKAKFRNIALLAKLQWRAKKSPEDLWVKAINCKYKAPSSTSNRKHSSDVWKSLGKGDDVLEDGCRKVVKSGLDTSFWFDRWLSNCDSNLRSLISGPLNRNEELLRVADCVDHNGGWDLSLSSFLLPDCILKFIFSTALPFNRNQNDLIVWNFSSDGSFNLNSAYEIAASIIHEKDDFVFWKKLWHVPCHFRVMHFLWTAALDKLCTRSMLYHRKISFDPCCDLCLDTEESVLHILRDCTIAHSLWDKISHLPQHFFDCDNVFDWFRINLSDPNVVNNLPWPCLFAYCCWSLWYSRNARLFQGKALDFNSVFNTSFVKASEFFHLGAAKTKFVARKIVNVHWIPPSYGWFKLNSDGSTLDNPGLSGSGGCIRNEFGEWMYGYARNIVHATSVHAELWGLRDGLKLALDKGISLLEVSIDAKVVITLIEKANANLHPLGNLIIDCRTLMSQFHRLKLSHCYREGNRLADALANLGRNSGDNFIDF >OMO98437 pep supercontig:CCACVL1_1.0:contig07109:62865:63611:-1 gene:CCACVL1_04223 transcript:OMO98437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQQQHLFITLSFFLVFFLHCSTPTLAQAPALAPAPPGPTNVTKILEKAGQFTIFIRLMKATQVANQLLGQLNNSNNGITIFAPSDSAFSSLSAGTLNTLTDEQKVELVQFHIIPTFLSSSQFQTVSNPLRTQAGDSADGKFPLNVTTSGNSVNITSGLTNTSVSGTIYTDGQLAVYQIDQVLKPYQIFDPKPPAPAPAPAKSKKKAAAIAESPDDTTADNSKAVSLTAMQNVVFWGVSAIVIALSL >OMO98438 pep supercontig:CCACVL1_1.0:contig07109:65764:67964:-1 gene:CCACVL1_04224 transcript:OMO98438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CBF/NF-Y/archaeal histone MASSKKPKEEDKKRKSNSSSVVKDRKKAIKKPEKKTSSTKSPNRSKPDRKKAIKKPEKRTSSTKSPNKSKPKPKPKPKPTPKSKPDNNKSKKMSSNGTLVEDPSSSNDSEEDENGKKVRSKNVTPNNKRKRKEKIEENEEEGEEGEAKMCRFPMNRIKRIMKSEDSRIALPQDVVFIVNKATEKFIEQFCQDGYKSCVKDRRKSLSYKHLSTVVRERRRYDFLSDYVPEKIKAEDALKERNLTETEAE >OMO98440 pep supercontig:CCACVL1_1.0:contig07109:110874:110972:-1 gene:CCACVL1_04226 transcript:OMO98440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLFWSGGTSVSGGGGGADGSEEPSSFEATR >OMO98436 pep supercontig:CCACVL1_1.0:contig07109:55060:60561:1 gene:CCACVL1_04222 transcript:OMO98436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECKNVGEFAESSNSHQPESDVEEKEEFPKESINGFRTAPFQNRSSMVAVATFLRQGFDATTLFLPLSFFLFPFSILFSNSRFQNPKTHLTRNLEVLTPTIPLLDRPNFQANEEVDDYDFT >OMO98441 pep supercontig:CCACVL1_1.0:contig07109:111327:112838:-1 gene:CCACVL1_04227 transcript:OMO98441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGFRWVTIFKGKNTKRDMAEKEKLQDYHGRP >OMO98435 pep supercontig:CCACVL1_1.0:contig07109:30927:31445:-1 gene:CCACVL1_04221 transcript:OMO98435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRLRDTQKLRNSARVCQVDVLATVKRIIGHRGNVLADLEQAEVDYERLQFDFLGLLQRLSAYDEIFLTATAECRVMRRMLAAMKEDLNFKWKGRLVNQCSTFGEQRRVNKIVQRFKSLRRCEAKRMNFLFSSLS >OMO98439 pep supercontig:CCACVL1_1.0:contig07109:68432:69979:-1 gene:CCACVL1_04225 transcript:OMO98439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVGVCFRKQRLFSSSSISSSSLPWISPVQFLKANSQKPDPPPETSNTLTESRRRSKFISHETATNLIKRERDPQRALKIFNKVSEQKGFSHNSATYGVILHRLAQSKKFQAIDSILHQMTYETCKFHEGIFINLMRHFSKISLYDRVLEMFYTIEPIVREKPSLKAISTCLNLMIESNQVELARDFLLNSKKSLKLRPNTCIFNILVKHHCKNGDLESAFEVVKEMKKSRVSYPNQITYSTLMGGLCESGRLKEAIDLFEEMVSKDQILPDVLTYNVLINGFCRGGKVDRARKIMEFMTNNGCNPNLFNYSALMNGFCKEGRWQEAKEVFIEMKSAGLKPDTIGYTTLINCLCRAGRIDEGMELLKEMKKKECQADVVTLNVLLGGLCREGRFQDALQMLEKLPYEGVCLNKGSYRIVLNSLCQNDEMEKVTKLLVLMLERGFWPHYATSNEILVRLCKAGMVDDAVTALFELAETGFKPEPHCWEILIELNCRERKLLYIFKLLDELVIKES >OMO68999 pep supercontig:CCACVL1_1.0:contig12140:1385:2118:1 gene:CCACVL1_19711 transcript:OMO68999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MDEFQETALAYYANLPKSKKEEAIEFFRSLDRNGDGKISIQEYKAALTEAGAGATDIAASLGLFKELDNDGNGTLDFDEVMTLFYLMESGRGYSCDGCGAFLKGVYFTCLTCFNSKSNSFDLCCSCCRNNNFNHHHDIADLVDNFVLLHQIRKIVSTSEEPTPDPDQKSSLEQAVKVASTTSSLLDGFFQFAEILGNLTL >OMO69001 pep supercontig:CCACVL1_1.0:contig12140:16744:17542:1 gene:CCACVL1_19713 transcript:OMO69001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MDEIQETALAYYAKLPQSKKEQAIKFFKSMDTNGDGKISVLEYTAGLNRLGKTEINNLGFFKELDRDGNGTLDFYEVMTLFYLIESGRIYYCDGCGAFLKGVYFTCLTCFNSGPAKRNSFDLCCSCYGNNNFNHNHDYFVDNYVLLQSKWRQTSSTPSQSKPPLKKPFTMAMEVASAGSALYNFYQFADMAWNTAFSSN >OMO69000 pep supercontig:CCACVL1_1.0:contig12140:9004:16171:1 gene:CCACVL1_19712 transcript:OMO69000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MDHEIREAAMAYFVNLPRSKKQQAYEFFRSLDSNGDGKINVHEYRAALNRMGITSMNNLGFFKELDKNGNGTLDFEERSSREFISHVLFASTLAPLPSNLCCSCYRNNEFNHHHDHTNFVDNYVLLQSKWRPTSSTPSPSQTTQEPPREQTPPPTNVSFTTTAMQAASTAHMF >OMO61542 pep supercontig:CCACVL1_1.0:contig13447:9509:12957:1 gene:CCACVL1_23440 transcript:OMO61542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MGALSTISSSFLSALLLVCLVCSFNSEGAAAIQHRHTIHVSSLLPSSICQSSAQELDKKSSLRVVHKHGPCSELHQDKANIPTHAEILLQDEARVKSIHSKFANKGSINDIDQNDAANLPAKDGSVVGSGNYIVSVGLGTPKKDLNLIFDTGRNSPGCSSSACVYGIQYGDSSFSVGFFAKDTLSLTSTDLFPDFLFGCGQNNQGLFGGAAGLLGLGRDKLSLPSQTAAKYYKYFSYCLPSSASSTGFLSFGYGGGVSKTVKFTPLSTISQGTSFYGIDITGVTVGGQKLPISASVFSAGGAIIDSGTVITRLPPTAYDALKTAFRKQMSQYPMAQPLSILDTCYDFSRYSTVTIPKISFFLSGSVVVPIDAKGIFYVNSISQVCLAFAPNGDDTDVGIFGNTQQKTLQVVYDGAKGRLGFASGGCS >OMO61541 pep supercontig:CCACVL1_1.0:contig13447:2014:4167:1 gene:CCACVL1_23439 transcript:OMO61541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLLNSLIGSSAYAFYKVLTTHCCAIKLGTLADVYTANRILNAYTRCKELHIARKLFAEIPHRDIVSWNTMIAGYVNCGNLETAFEILKEMKRCGFDFDGYTFGSLLKGVASTCRLEVGKQLHSMIVKMSYEENVYAGSALLDMYAKCQNVEDAFMVFECLPEPNSVSWNALIAGFSQVGHRSTSFWLLDCMEKEGVAVDDGTFAPLLTLLDDIKFYELTIQIHAKIIKHGLAFDNTVCNAMITSYSECGSLENARKVFDGAVGMRDLVSWNSMLAAFLVHEKEELGFKLFLDMQRLGFEPDIYTYTSVLSACFDQKTHQSHGKSLHAVVIKRGLEYSVPISNALIAMYLKSNNTSMEEALKLFDSMELKDRVSWNSILTGFSQIGLSENALKFFGQMRSSMVEIDHYAFSAVLRSCSDLATLQLGRQVHVLAFKSGFETNEFVASALIFMYSKCGMIEDARKSFEETPKDSSIAWNSIVFGYAQNGQGNIALDLFFLMRDRKVRLDHITFVAVLTACSHIGLVEEGLKFLKSMESDYGIPPRMEHYACAVDLLGRAGQLDEAKTLIESMPFKPDAMVWKTFLGACRVSGNIELATQVASHLLELEPEEHCTYVILSNMYGHLKRWDEKASLTRLMRERGVKKVPGWSWIEIKNQVHAFNAEDKSHPKCKDIYQMIGQLVEEITWLDTDTGLDTSTSDFDETCEYYNVKLLSEGNL >OMO98377 pep supercontig:CCACVL1_1.0:contig07117:34152:45406:1 gene:CCACVL1_04232 transcript:OMO98377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAERLRDLSQPIDVALLDATVAAFYGTGSKEERAQADHILRDLQNNPDMWLQVVHILQQTKSLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRAERLYVNKLNIILVQILKHDWPARWRSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELVRATLSTLHAFLSWIPLGYIFESTLLETLLKFFPVPSYRNLTLQCLTEVAALNFGDYYNMQYVKMYNVFMVQLQTILPPTTNIPEAYGHGSSEEQAFIQNLALFFTSFYKFHIRVLETAQENISALLMGLEYLINISYVDDTEVFKVCLDYWNSLVLELFDAHHNVENPAVTANMMGLQVPLISGMVDGLNAQLHQRRQLYAGTMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWTWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGENEAFVSELLSSLATTVADLEPHQIHTFYESVGHMIQAESDPHKRDEYLQRLMELPNQKWGEIIGQARQSVDFLKDQDVIRTVLNILQTNTSVASSLGTYFLTQISLIFLDMLNVYRMYSELISSSIAEGGPFASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIDDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALIRLSSQQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRTYFVTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGLLTEPLWDAATVPMPYPNNGMFVREYTIKLLSTSFPNMTTTEVTQFVNGLFESRNDLSTFKNHIRDFLVQSKEFSAQDNKDLYAEEAAVQRERERQRMLSIPGLIAPNEIQDEMLDS >OMO98376 pep supercontig:CCACVL1_1.0:contig07117:18251:21697:-1 gene:CCACVL1_04231 transcript:OMO98376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSCSLFSWKNHEKLKLPA >OMO98378 pep supercontig:CCACVL1_1.0:contig07117:46625:48074:1 gene:CCACVL1_04233 transcript:OMO98378 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAQLTNSEPHVAVLNFPFGSHAAALLTLLNRLAMACPNTLFSFFNTAQSNNSVFSSQQTQPNIKAYNVADGVPDGYVFGGKPEEGIELFMKAAQENFKQGVEVAVAESGRKLSCLVTDAFLWFGKDMAMEYGVPWLASFTSGVFPLSSHVYTDLLREKFGVAGIVGREDEALNFIPGMSDIRIGDLPEGIVSGNLDSIFSRMLHRMGQVLPEAAAVLINDFEEVDPVITNDLKSKLKKLLPVGPFILSATAAAVSDSYGCLAWLDKQKPASVAYIGFGSVATPPPNELVALAEALEASRVPFIWSLRDKFKVHLPNGFIERANGIVVPWAPQPQVLAHAAVGVFFSHCGHSSLLESIAGGVPMIARPFFGEQNLNARVIEAVWEIGVIVKGGIFTNNGIISCLDLVLAQEKGKQMRQNLKALKELAHAAVGPQGSTTRNFKQLLDLICTKTSA >OMO98379 pep supercontig:CCACVL1_1.0:contig07117:54441:56140:1 gene:CCACVL1_04234 transcript:OMO98379 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MPQLTNSEPHVAVLNFPFGTHAAPVRTIINRLAMACPNTLFSFFNIAQSNNSLFSSQQTQPNIQPYNVPDGVPDGYVFAGKPQEDIELFMKAAQENLKKGVEVAVAESGRKLSCLVTDAFFWFGKEMAMEYGVPWLTFFASGASALSSHIYTDLLREKFGVEGIVGREDETLNFIPGMSDIRILDLPEGIISGNLDSIFSRMLHRMGQVLPVAAAVMINSFEEVDPVITNDLKSKLKRFLPVGPLSLSAPPPPAAVDDSYGCLAWLDKQKPATVAYIGFGSVAIPPPNELLALAEALEATRVPFVWSLRDKSKVHLPNGFIERANGIVVPWAPQPQVLAHSAVGVFFSHCGFGSVQESISGGVPMIGRPFFGDHKVNARMIEVVWQIGVIVKGGIFTKNGIMSCLDLVLAQEKGKQMRENLKALKELAHAAVGPQGSSTRNFKALLDLISSKTSA >OMO55493 pep supercontig:CCACVL1_1.0:contig14679:38739:43629:1 gene:CCACVL1_27217 transcript:OMO55493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIATTRCYLDKQVSWFSSKLRALGLENALRLPYSLTTRKLRTSYHRLGYLNSCSARKKNMSRIVWRTHSMPVDLEESSSLQSEDPLNEDEHDSEDSQEQLAKPLSSDELKALLVDAERAKLTKKLSEANQQNRFLKRQLHIKEDALVNFKSELAVMELEIQALVSLAEEISQAGIPEGSRKINGRYIQSHLHTRLEAVLEKLREQLKDVDAAQSKEVSLFWIGMAESVQVMGSFDGWSQGEHLSPEYTGSFTKFSTTLLLRPGRYEIKFLVDGEWQLSPEYPTIGEGLTENNLLIVE >OMO55494 pep supercontig:CCACVL1_1.0:contig14679:47187:47933:1 gene:CCACVL1_27218 transcript:OMO55494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRNVHEKMVMPPQMNNSNKKKQQGNNNNESKNAKKRRSKRFLITINVLGSAGPLRFLINEDDVVATVIDVALKSYAREGRLPVLGLDPNNFLLYYANAGSDALSPWEKIGSQGGRAFLLCKKQVQPQMSEARSEMITQKGSGWKAWLNKSFSFKILSH >OMO55492 pep supercontig:CCACVL1_1.0:contig14679:23842:30482:1 gene:CCACVL1_27216 transcript:OMO55492 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamic acid-rich protein precursor MTLILKLDPARTQPMNRSRFHESQKGMIGVGSQKLESHAHRGMFPIDRNRVDFQPRAKQSDRNPPGNTKAAKKRSSKIAKARHNTNTLSTTKEKAANESITTAYKTSPQHHPWTESQLPAALAKLHEINLSIYTSFGKLVRL >OMO55491 pep supercontig:CCACVL1_1.0:contig14679:20495:22112:-1 gene:CCACVL1_27215 transcript:OMO55491 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MENMMGLLRIHVHRGVNLAIRDTFSSDPYVVVRMGKQKLKTRVIKKNVNPEWNDDLTLSITDPSLPVKISVYDRDTFSLDDKMGDAEFEIGSLVEALKMKLEGLPNGTIIRKIQPSRDNCLSEESCVVWSNGKVVQNLFLRLKNVECGEVELQLQWIDIPGSRGL >OMO62918 pep supercontig:CCACVL1_1.0:contig13107:4143:4208:-1 gene:CCACVL1_22576 transcript:OMO62918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLANALLVQSIDCGCCLPLHW >OMO69154 pep supercontig:CCACVL1_1.0:contig12104:8582:11185:-1 gene:CCACVL1_19642 transcript:OMO69154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSLRASSLLLGHGRSARFLSSSSFPDHISFIKEVAATQPPQHLSQLLGILHARGESILSPGSKQGLIPLVIPLAKSNSGAVTALLRWPTAPAGMEMPVVEVRKHGVSLLARNVDQLIHRILVEEDANSCQQGSDELFHAASDAGKKLYKKGDFSKSQITDVDVYLLRKVGLFPDVLERKVLQYFEKGDHVSALVTGEFYARKEHFPGFARPFVFNAEILLKVRRNLEAKDAARGALKSPWWTLGCKYHEVACIAAWEDEQIEYIREKLTEEGRQEDLNKGKPPTQIALDEAAFLLDLSSVEGTWDDSLGQIAECYKEAGLHDIARYVLYRD >OMO69153 pep supercontig:CCACVL1_1.0:contig12104:4032:7985:1 gene:CCACVL1_19641 transcript:OMO69153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKPWLWRKKSTEKIILATDKLNQSQKDNDQETIAADNGKLENELKILSNTKEEIVKKHAKIAQEAVAGREKAEAEAIALKKELDEALQQRFVYEERLTHLDAALKECMQQLRFVREEKEQRIHDAVMKASKEYEKSHKTFEEQLGESSKSLTKLSIENSNLSKALLAKEKVIDDLNKQRAQKETDFNALLTRLESTEKDNASLKYEVRVLEKELEIRNEEREFNRRTAEASQKQHLESMKKIAKLESECQRLRLLVRKRLPGPAALAKMKNEVEMLGRESIEMRRKKLTSSTGFSGLEYSAVDSYLDSPSRRINPLMEQLYTMEEENKALKEALNKKNSELQFSRVMYARTASKLSEVESQLEESSRNLANNESTMNSIMSQEISLASMSDVGSDDKASCAESWASALLSELEHFRNGQLRKSLSRKTVASSEINLMDDFVEMEKMALVSVDKLSGSSHVSSDEANGIVKDSQMGSSGNSLEVCKEIVPVPDSSMLNTEMKSPTNPFLGKLPAWLQDLLKVVLDQSRATHRNPDEILEDIRKALAFMNSPNTGDTFAEREVSDHPNSSEPSCISGYISKKPSNDSSKKELSHGDTDLDICSVEKSNQQFQPDLSKSICRIIELIEGVSLVSQDYNIPEALSKERNFFSYKHSETPSGYTVRVFQWKTSELTAVLQQFLHACYNLLNGKEDLNKFTEELTSALDWIINHCFSLQDVSSMKDAIKTHFEWDESRSGSEVEVGIIGQFAEADKPHLAATNDSNTFFRKEETIVREDKMLIDELINKEAAAKGSEDKRQSTADMSDSFIDQREESEKTIANLQAKLHSLRKTEGNVEGQVDKQQFLNESGDKHLPLMKDELNEACQKFTSQQVESENKSNFHEELESICLDSMTVNKIPNSEIKHEENKFRTNWEITAASEKLAECQETILNLGKQLKALASPKEASLFDNVISTPTDSITSTSTTVSIPPKKKLISQRSSLLDQMIAEDDAEVNNPGSPKAEENGNPSSFISNKMVQPLENAGSNGNQQQEDNAAVKSLAIVRSKKQGGSLWKKLLWRKTKGTSKRNPLPFAP >OMO86436 pep supercontig:CCACVL1_1.0:contig09482:32387:32725:-1 gene:CCACVL1_09594 transcript:OMO86436 gene_biotype:protein_coding transcript_biotype:protein_coding description:transposase, IS605 OrfB family MAGPIHDASAIGFDVAVFQSHLEGVKRAYAATKFFDSSGVQHSYKLGNELKSAKHLRDTLQGHLAEVEGQIQSLKAKKKVVDEVLFADERDDCINAYLRLNKCNDVGFDFGT >OMO84059 pep supercontig:CCACVL1_1.0:contig09801:1844:18339:1 gene:CCACVL1_11025 transcript:OMO84059 gene_biotype:protein_coding transcript_biotype:protein_coding description:tryptophan aminotransferase-related protein 4 MQSCKRAKVQANVNDDEHTNTDESDKESVRQEFPHYEAKLKVFQEAEDKTNVDELLRLRPAPDLIFAAMTVRTFGVHGENVVPKFKWSKPNTAATQLADMDPIIEKSKGDKQPKIASSFLKKAKAKLGKPFAKLVLYEALPARVVKSPFQQPTLQAATEVGRGVRGPSAYEITNVYLNQEYNEIRA >OMO84060 pep supercontig:CCACVL1_1.0:contig09801:20110:28361:1 gene:CCACVL1_11026 transcript:OMO84060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMIMVVKVELMCINPNQAIVLIMEGGYWPVAGGFGNQDMNGETPDGDANGKGLKIAVGA >OMO89773 pep supercontig:CCACVL1_1.0:contig08619:4356:4424:1 gene:CCACVL1_07638 transcript:OMO89773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFIIFPNLGFSSSTMKISWG >OMO96853 pep supercontig:CCACVL1_1.0:contig07366:5243:6887:1 gene:CCACVL1_04756 transcript:OMO96853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSISFIFFLALQGFSSILVVNSQQTDSCSSNLNLNVPFDTTSLHCLSVWNNHDFILRYVQTSSNVWSFVLSTPDTNSFVAMGFSSNGRMVGSSAMVGWISGDGTRTIQQYYLGGTRPNLVLPNQGNLTIVENSLSITAQSSRVYLAFQLNTTQPSQRVLYSVGQIGVIPSAPGFALAEHRDKISTLLSYSTGKSASKTPYSRLRKSHGILNMLSWGILMIIGAIVARYMKQWDPIWFYSHAVIQSCAFILGIIGIICGFVLEDRLKADVSTHKGLGIFILVLASLQVCPSPSLYMCI >OMO59754 pep supercontig:CCACVL1_1.0:contig13890:7857:17029:-1 gene:CCACVL1_24641 transcript:OMO59754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVNFNCKSKVVIRFKHEHASLEIVNCGCCSNPTIEATTLLAKTPVGRDQRTDQRDDAIEIIIPVKIVMKLMDTHLVGESLRERGEQERPHNSVVGS >OMO94407 pep supercontig:CCACVL1_1.0:contig07897:27956:29578:-1 gene:CCACVL1_06022 transcript:OMO94407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase/Amb allergen MKMSTFSIFPIFLLSLLVIPILASSSAIQDPELVVDEVHKSINASRRNLGYLSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKNAMGGKNGRIYVVTDAGNDDPVNPRPGTLRHAVIQDEPLWIIFKRDMVITLKEELVMNSFKTIDGRGASVHISGGPCITIHYVSNIIIHGIHIHDCKPGGSTTIRDSPHHSGHWTPSDGDGVSIFSSKNIWVDHCSLSNCHDGLIDVIHGSTAVTISNNYMTHHDKVMLLGHSDSYTQDKDMQVTVAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFLAPNDRSKKEVTKHEDAPQSEWQHWNWRSEGDLMLNGAFFRQTGAGASSTFARASSLSARPSSLVGSITGTSGALNCRRGGRC >OMO94406 pep supercontig:CCACVL1_1.0:contig07897:16922:19746:1 gene:CCACVL1_06021 transcript:OMO94406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MESTIVEDNHTSKLCGCCGPRGNSIEEDVEAIRRDLRRLDSILGNYDAREDHDDQLDEMGIEQLRLASFRAADALERYEDQLTREDADAFYDSLYGILTSITKLKSHGDDVASEIKHVRSTIINLSNEIDRLENKLRDLPLLWANLKEDVPLLEEDDVVGIEEPRTKLMEWLLTDDGEYPRLKVISVVGMGGTGKTILVKKAFDDVIINKSFRYHVWTTVSRSFTIEELLRDIIRQIYTINRQPIPQGVDHMTISYLKSIVKNSFQSSSFLLVLDNVWSIRAWDAIKEALPKVNRSRVLLTTRDFEKALTASIELNGEIHTMDKLSPEDSKILFCRKAFEDGRCPYHLEKTIGSILRKCDGLPLAIISIAGFLRNKETTTSHQWEMAYSNLGFELRMNQELAFMKKTLSLSYNELPGYLKSCFLYLSMFPEDYTIEYNRLIRLWIAEKFIQPREGLTMEEDVVGYFKVLLNKNLIQVAETTSDGRVKSCRLHDIMHKICILKSKDQKFAAIHKDNGDATWLNINVRRLSIQNTFPNVDQIRENSLLRALLVFGLVDSPSKAIMLELLLKNHKVVRVLDLQAAPLKQFLREVTKLVHLSLRKEDGTALWSSVRKLNQLRALSITSVDEEEIIDLPDDELSPHCLRYLQRLYLTGPLKKLPNWVPMLTSLVRLSLKWSRLNDAPLEHLQNLPHLVHLELLQVHNGEELHFENGGFRNLKVLGLDKFDQLKIIKVDEGAMANLEKLIIQRCIILKEVPIGIEHLTQIKVLELFDMPQELIMRLRPDGPDNWKIAHIPQVYSTYWTGTMWESHSLKGSLHERESIINLCWK >OMO94405 pep supercontig:CCACVL1_1.0:contig07897:5519:7763:1 gene:CCACVL1_06020 transcript:OMO94405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MESIATTLVATLANHLLNICRPEGGRNKIEEDVEAIRTDLRRLEAILRDYDAKEGHDQQLQIDIEQLRVASFKAADALEAYDNLHQLPRDCLCGIFKSMIKNLRSQKEIASAIRHAKSSVSIQLNAVDKQAGNTLRELPYNFDSIWKSLKEDALLLEEDDLVGIEKPRENLIRWLLEEDSKRKVISVVGMGGLGKTTLVKKVFDDIKVKNQFKFRVWTTISESFNMDELLKDIVRQIYNLESVPREVEVMKSTRLKETIKSSLQSGSYVLILDDVWSRDAWIAIETSLPKGNGGRVIFTTRNARTSPPLGEIHLMGKLSHEESKILFLKKTFPDGNCPTDLEQNVESIVRKCDGLPLAINAIGAFLSKKTDKNEWELADRSLGFELMENKEIDFMNKILSLSYNELPDELKSCFLYLSIFPEDYSIEYNRLIRLWMAEKFVEVEEVAEEYFKMLQARNLIQATEISSEGRVKACRVHDILHEICISKSRHQKFAAIYKDGYASAPENSFRRLSVHNTLQNLEQIRIKYNFNVRALFVFGLVDSPSKAFMHTLLHENYRMVRLLDLQAAPLEQFPREITNLVHLRYLSLRQTKSTRKRSLIFQTTSTLLNVFSVFSDYT >OMO97100 pep supercontig:CCACVL1_1.0:contig07285:5502:7798:-1 gene:CCACVL1_04645 transcript:OMO97100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MEKESKKWGFQGKKELKAVAAITVRGVLNMLTANLNKDDSRPIVPLAHGDPSRFPSFRTSLAAEDAVIDALRSANYNCYAPTVGILPARRAIADFLNRDMSYKLSPDDVYLTIGCTQAIEVTLAVLSRPGANILLPRPGFPYYEAYAASKNLEVRHYDLLPDNGWQVDLDSIETLADENTAAMVIINPGNPCGNVFSYQHLEKVAETAKKLGILVIADEAYDNLAFGSTKYVPMRVFGSIVPVLTLGSISKRWIVPGWRLGWLVTTDPNGILRESGIIDSITGFLDISSDPATFIQGAIPQIIENTKEEFFSNIICMLRESAEVCYNKIKEIPCITCPNKPEGSMFVMVKLNISMLEDIKDCVDFCVKLVKEESVMILPGVAVGMKNWLRITFAVELSSLEEGLARVKTFCQRHAKK >OMO99368 pep supercontig:CCACVL1_1.0:contig06908:6550:6663:-1 gene:CCACVL1_03836 transcript:OMO99368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGKEETKRIIDPTNLKEETSSKVHMKWMPRALKP >OMO90460 pep supercontig:CCACVL1_1.0:contig08472:1431:3615:1 gene:CCACVL1_07359 transcript:OMO90460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H protein MFRIVTNLGLKDDSGIWQSDIHDVERIVRSYFKNIFSSSNPLHSDAERVLGVVDKRVTTDMNVQLNVQSAVMFSGNVPDCLRDSIMQHLGIHKVLDRDRYLGLPIMIGRSKRVELQLIKYRLWKRLQSWSGRLLSIAGKSVLIQSVAQAIPTYLMSCFRFPKTFVHDLNKLIAKFWWGSTDSKRRIRWKSWDSSCTSKLDGGLGFRDFESFNLALLAKQCWRLSPDGSSLCFRVLKAKYFHQSSFMQATLGSNPSFVWRSLLAGREVIRQGSRWRIGNGHSVDIWRDRLVPKPSTYQSQVQPVTMLGILFANGYMIPLYHGLIEKTSGNVCKLPSTLCIAANRFLVDFDASNRCQAKDRRVVRSVWNPPEDGRIKINTDAAFYQFNSEVVLGAVVRDKTGRVCFLAQQELIELRVFCLQKCMP >OMO67078 pep supercontig:CCACVL1_1.0:contig12475:66445:73808:1 gene:CCACVL1_20805 transcript:OMO67078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Saccharopine dehydrogenase / Homospermidine synthase MLGNGVVGILSESVNKWERRVPLTPSHCARLLHSGREKTGIARIIVQPSTKRIHHDSLYEDVGCEISDDLSQCGLILGIKQPKLDMILPDRAYAFFSHTHKAQKENMPLLDKILAERVSLYDYELIAGDHGKRLLAFGKFAGRAGIIDFLRGLGQRYLSLGYSTPFLSLGASYMYPSLAAAKAAVISVGEEIASQGLPSGICPLVFIFTGSGNVSLGAQEIFKLLPHTFFEPSRLPELFGKGKNVSSHGRTSKRVYQVYGCVVTSRDMVEHKDASKTFDKVDYYAHPEHYNPVFHEKIAPYASVIVNCMYWEQRFPRLLSTKQFQDLMKKGCPLVGIADITCDIGGSIEFVNQTTTIDFPFFRYDPSTDSYHQDMEGNGIICSAVDILPTEFAKEASQHFGDILSKFVGSLASSTDITKLPAHLNRACITHQGALTSLYEYITRMRNSDTEDISDNLANGHSNKRFNVLVSLSGHLFDKFLINEALDIIEAAGGSFHLVKCHVGQSSSVMSYSELEVGADDREVLDQIIDSLTSLANPSENNGRIPSQEMNKISLTVGKLQETGVKKETDTTKKKKGVLILGAGRVCQPAAELLASIGSSSSQQWYKTCLDTDFEEQNDVHVIVASLYLKDAEEIVQGIPNTTAVELDVTDHGALCEYISQVEVVVSLLPPSCHVAVANVCIELKKHLVTASYVDNSMSMLDEKAKSAGISILGEMGLDPGIDHMMAMKMINQAHLRKGKIKSFTSYCGGLPSPAAANNPLAYKFSWNPAGAIRAGRNPATYKSQNKTVHVNGEDLYDSAVRFRIPDLPAFALECLPNRNSLTYGELYGIEHEASTIFRGTLRYEGFSEIMGTLARIGLFNSETHPLLEQESRPTFGTFLCKLLKINTEAINEALIGEKEITESILKLGHCKERETAVRAAKTIIFLGLHEQTEIPVSCQTAFAVTCHRMEERLAYSSTEQDMVLLHHEVEVDFPDSKLTEHHIATLLEFGKPKNGKMISAMAFTVGVPVAIGALLLLVNKVTTRGVLRPIEPEVYVPALDILQAYGIKLMEKTE >OMO67084 pep supercontig:CCACVL1_1.0:contig12475:108335:111449:-1 gene:CCACVL1_20811 transcript:OMO67084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEPPPFQEAARCDVCKCSFNTFRRRALPQFGIYSNVRVCADCFNNSSGSGKADPQPSLGGVDSVTDEVSRLDIGSKAEATTVHLPVANIPDCKCGMPLCICESPAPTTEAPPLQIKNPSSSVASLNPKPKKTENVPKSRGSTSNSSSSSVFNPGLVSNGTAADKPKMDYEANGEGLREAIKNGDTAGVKRLLSQGVDANYRDKQGSSVLHLAAVFNRTDIVFALMESGASMDYKNAQGETPLDCAPATLQYKMQMKMKESEEASSMK >OMO67083 pep supercontig:CCACVL1_1.0:contig12475:88946:101910:-1 gene:CCACVL1_20810 transcript:OMO67083 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC7-like protein MAAGGFVGRAFESMLKECAGKKHPDLQKAIQTYLDSPKDRNQHSSSSGANQAAPSAGDGSSPDTEAASEKIGSGPDESSTSQSAGATEHVSKPNSSGTITTALANAGYTLDGAEAELVLNPLRLAFETKNLKILESALDCLHKLIAYDHLEGDPGLDGGKNVPLFTDILNLVCGCVDNSSPDSTILQVLKVLLTAVASTKFRVHGEPLLGVIRVCYNIALHSKSPINQATSKAMLTQMISIIFRRMEADPVSNPSGVSDHAEAPSPESSTSKAEDASSGDQDENEMTLGDALKSVKDTTPASVEELQNLAGGADIKGLEAALDKVVHVEDGKKITRGIDLESMSIGKRDALLVFRTLCKMGMKEDTDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSPVIFQYATGIFAVLLLRFRECLKGEIGVFFPLIVLRPLDGSDFPINQKMSVLRMLEKVCKDPQMLVDVFVNYDCDLEAPNLFERMVTTLSKIAQGTQNADPNSVAATQTTSVKGSSIQCLVNVLKSLVDWEKSRRQLERKSGGSQSLEEDAARESVEIKSREDVTSNFEKAKAHKSTMEAAVSEFNRNPVKGVGYLISNKLVENKPASVAQFLRNTPSLDKAMIGDYLGQHEEFPLSVMHAYVDSITFSGMKFDSAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMTKSDFVRMNATNDPEEGAPTELLEEIYDSIVKEEIKMKDDAAVIGKSSRQKPEGEERGRLVSILNLALPKTKLASDAKSESEEIIKQTQAIIRNQGTKRGVFYTAQEIELIRPMVEAVGWPLLATFSVTMEEGDNKPRVVLCMEGFRAGIHITYVLGMDTMRYAFLTSLVRFTFLHAPKDMRSKNVEALRTLLGLCDVEPGCLQDTWNAVLECVSRLEFITSTPAIAATVMHGSNQISKDAVVQSLKELAGKPAEQVFVNSEKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRMVWARIWTVLAKHFISAGSHADEKIAMYAIDSLRQLGMKYLERAELTNFTFQNDILKPFVVLMRNSRSATIRSLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDDLEPIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAVALLRICEDRLAEGRIPGGALKPIDVDADTTFDVTEHYWFPMLAGLSDLTSDSRPEVRSCALEVLFDLLNERGRKFSTPFWESIFHRVLFPIFDHVRHARKESLISSGDESLRESSIHSLQLLCNLFNTFYKEVCFMLPPLLSLLLDCAKKSDQTVVSISLGALVHLIEVGGHQFSESDWDMLLKSIRDASYTTQPLELLNALGLENPKNPSVLIRDLEVQTGGEENQFDANDNGKLSPLASPSAGSDGSPRNANASVSQDRNQEFGLQSNVDGSEGVPSPSSRAQKSDEAGSLQRSQTIGQRIMGNMMDNLFLRSLTSKSKSRTAEISVPSSPPKLSEAVEPEAKGEEESPLMATVRGKCITQLLLLGAIDSIQKKYWDNLKAAQKIEIMEILLSLLEFAASYNSYSNLRTRMHHIPAERPPLNLLRQELAGTSVYLDVLQKTTSGFDDNKGRHLEPNGFQENDTSSDAETKLEGIVEEKLVSFCEQVLRDASDLQSTIGETSNVDIHRVLELRSPVIVKVLKGMCFMNNKIFKKHLREFYPLLTKLVCCDQMDVRGALGDLFRAQLKALLP >OMO67077 pep supercontig:CCACVL1_1.0:contig12475:60635:63153:-1 gene:CCACVL1_20804 transcript:OMO67077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MATSGAGLLASKSKKKLFLALFASILLLTAIVTISTTVSRKNKSTDENVASAHSIIKSSCSSTLYPELCLSTISAAPDVESKIKSTKDVIETALNLTIGAVQSNYMTIKKIISTKKNLTKREKTALNDCLELEDESLDELFKAQNDLNDYPNFNKSISVHAEEIKTLLSAAMTNHETCLDGFSHDRNDKKVRELLIDGQMHVFHMCSNALAMMKNMTDTDMEKQGYASGRQLDEEQDGIEWPKWLSAGDRRLLQATTVTPNVVVAADGSGDFRTVSEAVAAAPERSTTRYIIRIKAGVYRENVDVPRRKTNLMFVGDGRVNTIITASRNVVDGSTTFNSATVAAVGDGFLARDITFQNSAGPSKHQAVALRVGSDLSAFYRCDMLAYQDTLYVHSLRQFYVQCLIAGTVDFIFGNAAAVVQNCDIHARRPNPNQRNMVTAQGRDDPNQNTGIVIHRSRIGATSDLLAVKGSFETYLGRPWKEYSRTIIMRSEISDIIQPAGWYPWDGTFALNTLTYREYQNTGAGAGTANRVTWAGYKVITSVAEAQGYTPANFIAGGSWLAATGFPFTLGL >OMO67076 pep supercontig:CCACVL1_1.0:contig12475:47744:50919:-1 gene:CCACVL1_20803 transcript:OMO67076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MLKFSTSSFLVLVFVLGLFTATPHATSISSNADFLGAECLNVPATEFVNSLRTTIDGIRKVTSIVSQFGSFFGDFRLGNAINDCLDLLDFSAEELSWTMSASQSPNGKHNSTGDLASDLRTWLSAAMANHETCIDGFEGTNSIVKTVVAGSLNQVTSLVSDLLTMVDPGPSSKSNGGGGGHVPGKKLSNKNQFPAWFKREDRKLLMVDGVQADVVVAADGTGNFTKIMDAIAAAPDNSMSRFVIYIKKGLYNENVEIKKKKWNLMMVGDGMDVTIISGNRSFIDGWTTFRSATFV >OMO67087 pep supercontig:CCACVL1_1.0:contig12475:117830:119293:-1 gene:CCACVL1_20814 transcript:OMO67087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLLLGVSQSSLLQLQPKLGSFQRLHQFNNNGYARFVNRQLNLKGLTVARVVSSDSKAPTLLANTIETARGGIDIELDTGNGGGDKFDDRSGGGGGGGGGDNSGGGDSGNRGEGEFSDDGSKRKMAFSMSQKLTLGYAALVGVGGLMGYMKSGSQKSLLAGGLSAALLYYIHTELPTRPVFASSLGLGVSAALLGVMGSRFKKSGKVFPAGVVSLVSLVMTGGYLHGIMRSLH >OMO67080 pep supercontig:CCACVL1_1.0:contig12475:77834:77971:1 gene:CCACVL1_20807 transcript:OMO67080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRRCSRSYAPIGHRHAAQRLRLQSMPRNGRNIKTQWANVEHLT >OMO67088 pep supercontig:CCACVL1_1.0:contig12475:122546:123790:1 gene:CCACVL1_20815 transcript:OMO67088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDANGWISRPSKKRKFPEEGNEMLNFSLDELNEDLLERVLSWLPTSTFFRLSSVCKRWKSVAASESFKIACSRVPSRDPWFFMVDPNLNQSVIFDSAERSWKKLNHPPLLLQNCNCDSIPVAASGGLVCFRNMSGDYIVSNPVTGSCRELPPVGPDSQDRPLHAIAMNAASSSSNYHGSYKLVLVSGDLPKLSFKVYNSSSDCWEEDVSLRRKADDCTEFDSNDDDAVYFLSKAGNVVATNMQRSPSKQYSSVITVKDGEEIVYFLSSSGTVVACNLTNKNFTEYPRLLPVFSEYSIDVVECKGEMMVVVLSEFFESASLRVWKFDEKTKCWNQIAAMPPPMSHEFYGKKVDINCVGAGDQMLICLSSAELCSYVLCDLVTNEWVELPKCCMNGEALEFMSALSFEPRIEASV >OMO67073 pep supercontig:CCACVL1_1.0:contig12475:32524:33429:-1 gene:CCACVL1_20800 transcript:OMO67073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MTIFFSSTSGFEISSNLSSNSIRSFCQNTPYPEACFDSLKLSISINISPNILNFLLQTLESALSEAGKLTNLFSNGGKSNIVEKQRGTMQDCKDLHQITLSSLKKSVLKIKSADSRKLADARAYLSAALTNKNTCLEGLDSASGPLKSVLVKSLISTYKHVSNSLSMLPKSGPVKKGHRNRRLLGFPSWLSRKARRILQSSDDEYDPSDVITVAADGTGNFSTISDAINFAPNNSLDRVIILVREGIYEENVDVPSYKTNIVLLGDGSDVTFVTGNRSVVDGWTTFRSATIGTVQKLPSLV >OMO67089 pep supercontig:CCACVL1_1.0:contig12475:129535:130870:1 gene:CCACVL1_20816 transcript:OMO67089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MESSSSDASLKQQLEELQKQLGKKQRFEEAVSSINSLLKLHYPSASPSLRKSFYSVVCRVATILKSRYTAPGFWLAGLRLFELLESLVSDPSEKGHLRNCISQAKEHLNELENPVPLSDSPQNRGYLFEGHLTVDPEPPQPQWLVASNLMNAFASASTAESSQGSAENVNTVENAANVLEQLINHLDAVIPEILENEGGARRVPPASKEVVAKLPIITLTEEILAKLGSEAECAICKENLVVGDSMQELPCKHTFHPPCLKPWLDEHNSCPICRYELKTDDHDYESWKEREKEAEEDRKGAANAVRGGEYMYV >OMO67072 pep supercontig:CCACVL1_1.0:contig12475:18180:29508:-1 gene:CCACVL1_20799 transcript:OMO67072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MAFQDFDVIQERRKQERQRKFKKRIVIAVVSAIVIAGLVVGSIFAVASFKKKDQATPSTADNSKLRQPTAPSTNQLSRSEKLIKTICETTNYKQSCESTLKKAVKENPSMAQPKDFLQSAISATGDALEEAFTKASKLEFNSPEEKKAFNVCKEVVANAKDELKTSASKLEDKDMEKVLTSGDLNTFLSAVMSYQETCIDSFPDGKTKTSFKTALNSSKELTSNSLAMIKQLGSYISSLMDLPGASRHLLESAGSPSVDKDGFPNWLNNEERRMLKGGEKLKIPENVTVAKDGSGNFTTISEALAAMPEKYEGRYVIFVKAGIYEESVNVTKKMVNLTIYGEGSQKSIITGNKNFIDGVPTYLTATFVASGEGFLAQAMGFRNTAGPEKHQAVAVRVNADRAVFLNCRFEGYQDTLYAQTHRQFYRGCVVVGTIDFIFGDAAAVFQNCEIWVRKPMDNQKTIVTAQGRIDKFQTTGIVLQNCKIRPDESFKADKAKFKNYLGRPWKEYSRTIVMESLIEDFIDPAGWLEWEGNFALNTLYYAEFNNNGPGSKTDARVNWPGRKVINKDEAMKFTVETFLQGSWIKGISEDAQSYSKVDHEHEEQAYQKNTRKRIIIISISTIILIAVIIGAVVGILFPVKTTSKEDPPTYSASNINSVCNVTLYPDACSSSIAASLTSSSNETNPNPGPERIFTLSMQVALDELIRLSSLPKKIISSSAINDPLLRGALENCETLFKDAADYINESISSVQIGKGEKFVFPQAKINDIKTWLSSAITNQETCLDGLVEAAQDTVLLQQVESAMRNSTEFSSNSLAIASNIINIMLHNFQGSIHRKLLKIENHSGFPNWVRTRDRRLLQEENPKPDIIVAQDGSGDFRTIREAVQFIPEKNKSKFVIYVKEGVYLENVKIDKDYWNVMIYGDGMNKTIVSGSLNKVDGTPTFSSGTFIAAGRGFMARDMGFINTAGFIKEQAVAFRSSSDQSVFYRCYFDAFQDTLYAHSNRQFYRDCLVTGTVDFIFGNAAVVLQNCSIQPRQPGPGQFVTITAQGKTDPNQNTGISIQRCQITPFDNLTATTYLGRPWKDYSTTVFMQSNIGKLVDPTGWTQWIEGIDPPNTIFYAEYQNIGPGSGVRKRINWAGVRPNISNDEAKRFTVESFIQGSQWLPKANLIFTTYPALCVDTMTKLGSGIQHVDIVSTLINKTILEHKLPTSYFTKFSSNLEIQQAQHVDSTTGYCQELLSMSLKRLKQSLLSLQNSQNTNKQDVQTWISAALTYQQACKDSVDDRSFLNSDIMEQISRKMDYLSQLGSNTLALVNKMTDQTTASRKLVEDNNVFPTWVSAKDRKLLQAPTVKANAVVAKDGTGNYETISEAIKAASGGRFVIYVKAGVYKEKIRTNKDGITLIGDAITGDGFIARDIGFQNTAGPQGEQALALYVASDHSVFYRCSMAGYQDTIYAFSLRQFYRECDIYGTIDFIFGNAAAVFQSCTLSLRRPRQGSYNVILANGRSDPGQNTGFAIQNCRIVPSSDFSAAKHSVASYLGRPWKQYSRAIVLESTIDDAIAARGWVEWPGAGAYSKSLYFAEYANAGPGSGTSKRVTWPGFHLIGRDEAVKFTVDRFIGGTSWLPSTGVTFISGLQ >OMO67074 pep supercontig:CCACVL1_1.0:contig12475:40007:40609:1 gene:CCACVL1_20801 transcript:OMO67074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MAVNLTIENTAGPEGGQAVALRTQSRYSVYYKCEMKGYQDTLYSDGFNQFYRECKISGTVDFIFGNGQVVFQHCDILARQNLKGKANTITAQGRDKWEEARGFTFQFCNVTGDTDLLKAAEPTPTYLGRPWRRYAVTVFMQTNLSNIIRPEGWLEWDKSFDSTVFYGEFKNIGPEQLQPVESSGLVSMHLMIQIWPETSQ >OMO67085 pep supercontig:CCACVL1_1.0:contig12475:114821:114973:1 gene:CCACVL1_20812 transcript:OMO67085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEAESHEEVKPNVVVKECNGDVVGDYDAGKLGSVEAPGFLGKKIFQNG >OMO67086 pep supercontig:CCACVL1_1.0:contig12475:115626:116667:1 gene:CCACVL1_20813 transcript:OMO67086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tify MPPSGLLNTTSPAPSQLTIFFGGQVCVFDGIPMEKVQEIMLIAATAATAAKSADMKHAATDCATSSPVLTRSPSLQSTATAMASPGAPVYPLQRPSLCKLQAELPIARRHSLQRFFEKRRDRLVNKSPYSNPSTPKMSDDTKANFGAAASPESGCFEKSSVPQEDFKANAPQAHVA >OMO67075 pep supercontig:CCACVL1_1.0:contig12475:44573:45251:-1 gene:CCACVL1_20802 transcript:OMO67075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiolase-like, subgroup MAVNGTGAVSGGDREELELSEKNMEALRMTLYRFANTSSRM >OMO67081 pep supercontig:CCACVL1_1.0:contig12475:79672:81635:-1 gene:CCACVL1_20808 transcript:OMO67081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MGQTHKIHLLWFFFIICLFGYDQGLAKGAFGGLACNWGLQSTHPLPPSIVVKLLKDNGFTKVKLFEADPGALKALGRSGIQVMVGIPNDMLAGLASSVRNAEAWVQQNVSNYISRYGTDIRLVAVANEPFLHTYKDMFVQTTFPALQNIQAAIIKAGLGKQVKVTIPLNADVYQTDTGLPSGGDFRPDIKDLMVNIVKFLQDNGDPLTINIYPFLSLQADPNFPKEYAFFNNTANPLVDGSIVYTNVYDANFDTLISTLEKNGFGQIPVIVGEVGWPTDGDPNGNIDNARRFNQALLNRILQGQGSPKRRTPPDVYLFSLIDEDNKSVQPGNFERHWGIFNFDGSIKYPLDMGNGRPIVAARGVRYLAKQWCVMAPSATVLDPNVLAQSISYACQYADCTSLGYASSCGNLDARANASYAFNMYYQTMNQRKDSCVFNNLSTVTTMDPSQGTCRFEIMIDIGKHELAPSSNKSSASRIQSLIMALVLGLVVVICGVH >OMO67071 pep supercontig:CCACVL1_1.0:contig12475:12012:14588:-1 gene:CCACVL1_20798 transcript:OMO67071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQRQKMAQQQQQQQEELEEMQHGPFPVEQLQASGIASMDVKKLKDAGLCTVESVAYTPRKDLLQIKGISEAKVDKIMEAASKLVPLGFTSASQLHAQRLEIIQITSGSSELDKILEGGIETGSITEIYGEFRCGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIAERFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFTGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAIFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQISPGGVADVKD >OMO67070 pep supercontig:CCACVL1_1.0:contig12475:3791:11100:-1 gene:CCACVL1_20797 transcript:OMO67070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec34-like family protein MATNLTATPPNLPKSGAISRGYNFASTWEQNAPLSEQQHGAITALSDAVAERPFPANLTQERSSVQDNGLSVSTKDKNFGDSEVIETILVNTNQFYKWFTDLESAMRSETEEKYQHYVNTLTDRIQTCDDILRQVDETLDLFNELQLQHQAVATKTKTLHDACDRLVMEKQKLVEFAEALRSKLKYFDELENITSNFYSPNMNVGNANFLPLLKRLDESISYVENNPQYAESGVYLLKFRQLQSRALGMIRSHVLSVLRSASSQVQAAIRSSGGNKASLSEGVEASVIYVRFKAAASELKPVLEEIESRASRKEYVQVLAECHKLYCEQRLSLIKGIVQQRISDFSKKEGLPSLTRSGCAYLMQVCQLEHQLFDHFFPASSEDVSSLAPLIDPLSTYLYDTLRPKLIHETNVDFLCELVDILKVEVLGEQLSRRSESLAGLRPTLERILADVHERLTFRARTHIRDEIANYIPFDEDLDYPAKLEQSADAKSETASADANADVFKTWYPPLEKTISILSKLYRCLEPAVFTGLAQEAVEVCSDSIQKASKLIAKRSSPMGAQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLEHLRRILRGQASLFDWSRSTSLARTLSPRVLESQVDAKKELEKSLKATCEEFIMAVTKLVVDPMLSFVTKVTAVKVALSSGTQNKKIDSVMAKPLKEQAFATPEKVAEIVQKVNSAIQQELPLVMAKMKLYLQNTTTRTILFKPIKTNIVEAHIQVQSLLKAEYSPEERSTINMVGIQDLEQQLDNLL >OMO67079 pep supercontig:CCACVL1_1.0:contig12475:74693:76783:-1 gene:CCACVL1_20806 transcript:OMO67079 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'(3')-deoxyribonucleotidase MLKKQSSSVRFFFNQFYFSGFNRCGKDRFMAFLARNDPFLKQFHTLPNDHRRCHPFPGKLDSLENHNVGAGFKFQKPASGLNLTLKSCFEDSLKTWDHKARAFAPQLARDLEIISHKEPQKLAKPATDTRGACQRGNPLDFSDKPLPEKISVAVDVDEVLGNFVSALNRFIADRYSSNHSVSEYHVYEFFKIWNCSRDEADIRVHEFFKTPYFKKGIHPLPGAQRALHRLSRICNLSVVTSRQNVIKDHTIDWLEKHYPGLFQEIHFGNHFALDGESRPKSEICRFLGAKILIDDNPRYAIECAQVGIRVLLFDYENSYPWSKMETIDQHPLVTKVHNWEEAEQQIVSWILSSTIP >OMO67082 pep supercontig:CCACVL1_1.0:contig12475:84008:88225:1 gene:CCACVL1_20809 transcript:OMO67082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLKAEALDEDFHPESPSDNGTSGDGNCNGGNNGGFILPINWASSINRYLQWKSGKVWSRSFSVGDDPSNSCSELEDTKPQEMSGQVKSEQMCHYKNQLEQDVKKLQQQLQEETDLHSALASAVEHSSSPSTKSPGKLPHKAQELLESIAVLEITVSKLEQEFVSLQYQLSQERNERRLTEYRLNHLPGPTPSLFDSSLACITEPIARHCNEEEAEENMEDVPPSQALDKDFFVDNLWDHPNQLSEEMVLRMRDIFIFLADSSKLSSSELSAPASPPCHLVNFLSSFSDSPIATSLVRCPSGGVVNDPYGVSGKVDWKSSIGKYSTAIEVSWLSVGKKELEYAAMALKRFRLLVEQLARVNPSEMSCNEKLAFWINLYNALIMHAYLAYGVPRNDIKLFSLMQKAAYIVGGLSVSAADMECTILRMNPAAYRPQIAAVLALQKLKASDELKKYSIDQPEPLLYFALSCGLHSSPAVRIFRPQTVNELLKGSLKDYIQASVSTSNKGKLLVPKLLHCFTKGVVEDSLLPDWICQFLSPQQASMVRDCLSRNKWRLLGARTFSVIPFDSRFRFLFLLDDRNSNIST >OMO51709 pep supercontig:CCACVL1_1.0:contig15738:23228:23386:1 gene:CCACVL1_29632 transcript:OMO51709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVILDVVESEENIIAAPLAQQISRLEWPQFCPNENPNRKEEVLVKMDRTHH >OMO51714 pep supercontig:CCACVL1_1.0:contig15738:76865:79445:1 gene:CCACVL1_29637 transcript:OMO51714 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MGDKTPQGEQNQANLAAMLQTLMQRLDNMDTKFDALANDVQQVREGQNQQAQPPQQGANAAHNNERVQPPPLRHVIPRIDPMERLRQQELGGQAYNENLRPRRGVEREESKDNIKYKIPKFNGRVKIGHKIPIKKDEKSSNKEKELAQKGANPKTDSKSSSSSSSKNHVKCFKCQGYGHYAKDCVNKNVMFTNDYGEIESEDEEFALGSSGDGDDERGFAHDENGNTPTLLNLVARRTLSAYVKEDVHNQRENLFHTRMYACGKPSSVIIDGGSCTNIASVYLVKELSLPTTKHPKPNSLGWFNDREEIKVNKQVLVSLSLGRYNDEVLCDVLPMQACHVLLGRPWQYDNKVHHDGETNKYSFVCGKHPITLIPLSPQEALKDQLKLKEDFAKLESEYSVQEKAKNVSSSVNCIDNKSVLVDKHASSKKVVKECMLATKSEIKEALNDNSVLLLLLLKNTLVATNNLEKELPSNIVSLLSDYVDVFPKEIPNGLPPIRGIEHQIDFIPGAQIPNKPAYRTDPEETKELEKQVGELLQKGFVRKSLFLCAVPVLLVPKKDGTWRMCVDCKAINNITIDLKSGYHQIRMKEGDEWKTAFKTKIGLYEWFVMPFGLTNAPSNFMRLMSHVLSAYIGKFVVVYFDDILVYNRNLNEHIKHLRCLLDVLRVEKLYANLKKCT >OMO51715 pep supercontig:CCACVL1_1.0:contig15738:81480:82277:1 gene:CCACVL1_29638 transcript:OMO51715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MPKSRSSGIEDLEFNPEPERTLFQLRMETQSQQQEEESSNSNSQPSSPRSAASTSSEHMADAEAPKTLKELAAPNVNAKRLAIRYLDTTENFQILPKFHGLPGEDPHRHLTDFQIACASTSIQGIPEDQFMLRTFPFTLMDRAKDWLYLLPTGSITSWTSLKKLFLEKFFPAHKASNLRKEICGIKKRPRETMHEYWERFKTLCASCPNHQINEQLLIQYFYEGLLPFDRSSIDSESGGAFIDKTPVEAWTLVENMAANSQQFGS >OMO51708 pep supercontig:CCACVL1_1.0:contig15738:965:13033:-1 gene:CCACVL1_29631 transcript:OMO51708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGSDVHRSVLGDGILTVGLQSIIGGRYYNSENFYDVTDFKSPRDSEGHGTHTCSTTAGNRIARASYYGVANGSAKGGVAGARIATYKSDLTAPGVDILAAWSPVAPPSVDWEDPRSVDFNIISERRR >OMO51716 pep supercontig:CCACVL1_1.0:contig15738:87455:97417:1 gene:CCACVL1_29639 transcript:OMO51716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MSKVFGICSQGHYTDKCPTLEDNKQGVNSVGIQGAYQRKPEPYWRPEQPSQQYGNQGNYQGRPMNFQRSNFQSSQAVTIEIQQIKEAMEMMRKQISQLASDMSDLKTQGQQRIPSQPKEALSKAIKPPSFREKKDRAKEAKKELEVINNKEVQPSLKTDRPSNSSTEKQDIVLIHRTKVGSILQRKGETFSQFWQRFKKLCVANPHHGYDHRRLAQHFYQGLRASDRLAVDTLIYIPLFDHSTVDIYEVLENFAQMMTNVPKEAAKGHSPAKPVPHNPACPATTQPSTSHGRPTPALATPSRTTPATAVSPAQPCQATAAPPVPHARPMLLHRPRHARHCPLNPHTMPGIEVDEEKIKAIKEWLTPTNVGQVRSFHGLAGFCRRFVKDFSTLTAPITSVMKKNAPFKWGKEQQEAFETLKEKLTNAPWLVLLNFNNTFEIECDASGVGIGAVLITLQTWQHYLSPKEFVIHMDHESLKYLRGQQKLNKRHAKWSEFIESFPYVVQYKQGKENVVADALSRRYVLLSMLDSKFLGFEFIKELYASDVYFGGLMGHFGVDRTYEILHEHFFWPKMRYDVGKHVTSCIVCLQAKSTSKPHGLYTPLPIPHEPWTHISMDFVLGLPRSRRVMQIFGQILFKGEGMMRQGLIMVLKNTMETMEIMERMFKECKEAWTSLKERMESQRWIRRWKDLDVRHLTVKTLPRPLNLKVTKNLCSFYLLPEKVPQYQHLFSVEADRLVYIVFIFRVNLPFSIHYVLKMSGFRKFGHAAAYTQVQKYTEAVRDCLKSIEIGPNYCKAYSRLGLVHYAQGNYVDAVEKGFKKGGSEILYMLYTMILFTEK >OMO51711 pep supercontig:CCACVL1_1.0:contig15738:50171:51621:-1 gene:CCACVL1_29634 transcript:OMO51711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin MSTIVSPKQFCPVEDAENIKKACQGWGTDENAIVSILGHRNLFQRKLIRLAFEEIYHQDLLEQLKCELSGDFERAVSLWTLDPADRDAVLAHEALQKSVADYKVIVEIACVRSPEDLLAVKRAYKFRYKHSLEEDLASYTSGNIRKLLVAVASAYRYDGDEFDETMARSEANILHQDIHNHEEIIRILSTRSKSQLNATFNLYKDIHGRSITKGLSGGPTTDDYFSALRTVIRCIRDPKKYFAKVLRAAINMPGTDEDALTRVIITRAEKDLKEIKELFLKRNNVTLHEAVARDISGDYKAFLLALLGAEEN >OMO51710 pep supercontig:CCACVL1_1.0:contig15738:24208:35157:-1 gene:CCACVL1_29633 transcript:OMO51710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVVKVIPNHELKLHTTRSWDFIRFTQDTVGAKPEGDHCSHQNLGAGKPKQSSLAVSQSTSSPNADESSRNFDYDNRG >OMO51713 pep supercontig:CCACVL1_1.0:contig15738:56135:58382:-1 gene:CCACVL1_29636 transcript:OMO51713 gene_biotype:protein_coding transcript_biotype:protein_coding description:codeine O-demethylase-like protein MTYQGVENGIAGPCNWCHTIERKKNNRVLVAAAAAVVKKFLKPNRQLSSCSRVFDLRKT >OMO51712 pep supercontig:CCACVL1_1.0:contig15738:53189:56044:-1 gene:CCACVL1_29635 transcript:OMO51712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole methylase MLYIIGLGLGDEKDITLKGLDAVKRCEKVYIESYTSLLSFGISSDGLSTLEKLYGKPITVADREMVEEKADSFLSEALGSDVAFLVVGDPFGATTHTDLVVRAKTLGVDVKVVHNASVMNAIGICGLQLYRYGETVSIPFFTESWRPDSFYEKIQRNRNLGLHTLCLLDIRVKEPTLESLCRGRKQYEPPRFMTINTAIEQLLEVEQKHGESDTGAI >OMO63711 pep supercontig:CCACVL1_1.0:contig12914:5708:7495:1 gene:CCACVL1_22340 transcript:OMO63711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MISFQTLLPWSSLTDYFAIIPVALLVLISHSTIVLVLLFSLLFLVKSKHITRKINYGQSSKAKLASHLPPGPTPWPVIGNLPEIRRNKPAFRWILGLMKQLDTDIACIRLGNTHVIPVTCPEIAREFLKKHDSVFASRPLTMATEYSSRGFLSIAVAPWGDQWKKMRKVVASNIINPQTLRWLLNKRIEEADNLVKFIYNQCVNNTQDDGIATANGGSVINLRHAARQYTGNVSRKMMFNRRYFGNGKEDGGPGHEEEEHIESIFTALNYLYSFALPDYVPWLRPLDLDGHEKIVSECMRIVNSYHDPIIDDRVKQWSQGERKEPEDLLDAFLLAKDSNGKPALSIEEIKAQCTDLIFAAVDNPANAAEWAMAEMINNPEILQKATMEIDAVVGNKDRLVQETDLPKLNYITACAREAFRLHPIAPFNLPHVSNADATVAGYFIPKGSHVLLSRLGLGRNPKVWDEPLTFKPERHLKEGSSCKVDLTEQELRFRKEGVHGCGSWDRDDRNVVGQADSRFYMERAAW >OMO63712 pep supercontig:CCACVL1_1.0:contig12914:13803:15716:1 gene:CCACVL1_22341 transcript:OMO63712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MISFQALLLPWSSLTDYFAIIPVAFLVLLFHSTIVLVLLFSLLFLVKSKHITRKVSYGHSNKAQLASYLPPGPTPWPVIGNLPEIWSNKPAFRWILGLMKQLDTDIACIRLGNTHVIPVTCPEIAREFLKKHDSVFASRPLTMATEYSSRGFLSIAVAPWGDQWKKMRKVVASNIINPQTLRWLLNKRIEEADNLVKFIYNQCVNSTQDDGIATANGGSVINLRHAARQYTGNVSRKMMFNRRYFGNGKEDGGPGHEEEEHIESIFTALNYLYSFALSDYVPWLRPLDLDGHEKIVRECMRIVNSYHDPIIDDRVKQWSQGERKEAEDLLDAFLLAKDSNGKPALSIEEIKAQCTDLIFATVDNPANAAEWAMAEMINNPEILQKATTEIDAVVGNKDRLVQETDLPKLNYITACAREAFRLHPIAPFNLPHVSNADATVAGYFIPKGSHVLLSRLGLGRNPKVWDEPLTFKPERHLKEGSSSKVDLTEQELRFISFSTGRRGCMGVALGTEMTVMLLARLIQSFTWSVPPGEPKIDISEAEDSLSLAKPLHAMAKPRLSASVYSALS >OMO88007 pep supercontig:CCACVL1_1.0:contig09136:21962:23824:1 gene:CCACVL1_08597 transcript:OMO88007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTKELPDELWRKILEIGIKASNFTYKDLCCVSISCRRLRRLSDEDSLWSHLLSVDFPNQIPSPPSSSSPKSLYKIRFEKERERKLLVHKRAVLRKESQVSEHLRKLREFEARLSGEREKLKSAVSELSNLHKILYFVSAHVCNRIVGSFSQASVALNVWQPEVVRGRQKQMVEQCVVPVGSRIRALEMEVKLCNQQLKVFDKAYRDEKQRLDTAKEELQSMKYHPLRDYKLTSNEGHESKIKRKKLKTHISCLGDA >OMO54306 pep supercontig:CCACVL1_1.0:contig15015:10761:11891:-1 gene:CCACVL1_27898 transcript:OMO54306 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiol-disulfide oxidoreductase DCC protein MALHLSGGFSRLNYSPSEQFKNRLTVSATISPPRPDTVNWVEATSSFFDQDTRPIMLFDGVCNLCNGGVRFVRNVDRNRSIRFEALQSEAGKKLLRRSGRAPDDISSVVLVEKERSYIKSEAVLKIMEYLDLPFPQLAFFLQFVPLFVRDFMYDNVADNRYAIFGYSDSCEI >OMO54307 pep supercontig:CCACVL1_1.0:contig15015:12849:16578:1 gene:CCACVL1_27899 transcript:OMO54307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S26B, eukaryotic signal peptidase MGWIGETVDSIKSIQIRQLLTQAVSLGMIVTSALIIWKALMCITGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNVDGREIPIVHRVIKVHERQDTGEVDVLTKGDNNYGDDRLLYAQGQLWLQRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >OMO54305 pep supercontig:CCACVL1_1.0:contig15015:2174:2389:1 gene:CCACVL1_27897 transcript:OMO54305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYEIEIAAVKVKVTVIDSPNLVDTYISELKSLVQSGRRLVGLDIKTINPGFFGLNSLFLLCVGTRCLVL >OMO69855 pep supercontig:CCACVL1_1.0:contig11950:10981:14052:1 gene:CCACVL1_19228 transcript:OMO69855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced protein 1 MADFTNPSLLEMSFIFMLASSVPPTKEPIKDFITSISPSAMPSPSVSKWWKTVSEWLKGLRVTVKLTV >OMO69854 pep supercontig:CCACVL1_1.0:contig11950:9008:9664:-1 gene:CCACVL1_19227 transcript:OMO69854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCFSSESQPLLESASPPTAQVVSLNGDLHKYNLPIFVSQVLQAETAASSSSSSTLPPSSLFLCNSDRLYYDDYIPALDSDHKLQADQIYFVLPISKLQNRLSSSDMAALAVKASVAMQNASKNDSHRRKKARISPVLLVAQSSPDGEKDFDFEAPTIGYSSSNNKSFVKPQPQPAAPGISRSGSIRKLQRYTSRRAKLAVRSFKLRLSTIYEGSVL >OMO76874 pep supercontig:CCACVL1_1.0:contig10861:126919:127029:-1 gene:CCACVL1_15333 transcript:OMO76874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWSLEAWLGLVLCSIKTPHIQRHRHSDLPVFLPTY >OMO76867 pep supercontig:CCACVL1_1.0:contig10861:96612:98126:-1 gene:CCACVL1_15326 transcript:OMO76867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MAKMETKIGRRFEGKVAIVTASTQGIGFSIAERLGLEGASVVISSRKKKNVDEAVEKLKSKEIEALGVVCHVSNAQQRKDLIQKTVEKYGKLDVLVSNAAVNPSVDPILQTQESILDKLWEINVKATILLLQEAAPHLQKGSSIVLISSIAGFQPQPAMAMYGVTKTALFGLTKALAAEMSPDTRVNCVAPGFVPTHFAEFITKDEAVRKEAEGKTLLNRLGTTEDMAAATAFLASDDASYITGETIVVAGGIPSRL >OMO76912 pep supercontig:CCACVL1_1.0:contig10861:315015:315458:1 gene:CCACVL1_15371 transcript:OMO76912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific protein Stig1 MMRLVTLFFALSATIAILAAKSVAEFDEEHDRNIQSSELIQEYSTSLRGVSHFLAEQNLKAANLKCDKFPRICRLKNSPGPDCCKKKCVNVKTDRFNCGMCGYKCKYTEICCKGQCVNASFDKRNCGGCNNKCKKGQFCAYGMCNYA >OMO76880 pep supercontig:CCACVL1_1.0:contig10861:167273:170970:-1 gene:CCACVL1_15339 transcript:OMO76880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich MVRYHQMRGRPSLWLPGTDHAGIATQCRELKGAYAASNSTAHLVPAAPVCDFGCEHGFSEIIHFVIGEQGVCSTRIGVDSERTLIGLSIPGGAEKVAGLGLVDSSFVSRLCVRKGWLSVLPPELGELKTLKVLGVDYNMLVSVPVELRQCVRLVELSLEHNKLDRPLLDFRAMTELLILRLFGNPFEFLPEILPLHKLQILSLANIKTVADENLRSMNMQIEMENSSYFGASRHKLSAFLSIFRFSS >OMO76887 pep supercontig:CCACVL1_1.0:contig10861:191149:194017:1 gene:CCACVL1_15346 transcript:OMO76887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKMEEEITIEGYPDKIEDIGKLYDKALKGDICGLRRFYRNKPDDAFFDQITASRDTVFHIAASRGNKQVVLALLRMVPSPMRLELLKLKNLHGNTILHEIATTPNVEAADYLVTKLLSSKGSSSTVTNEHDIGEIRKQVLGDRNNLGETPLFRAAEFGNTVMVKFFLHEVKKVGDLHEHYRRDDGVTILHSAVIGQHFETAIWLLDKDPQLANYKDNNGKTVFHLLAGMPTAFRSTSSMNKLQAFIYNCFPSHQHDDNEAGQLSSSQINDLETGKQSKISQHGLSFLQRYIDSKMNQKICNFLASRWGTLDRIWEKKKTHALAVTLAGKLVKTDSSWCVAHKPEEDGKICLEREEKEEAETKTEDGIITTNTSSSKKRSKSPDTPLLIAATAGIMEIVMLILNVYPQAVEHVSQNGRNILHVLILHRKFKIYERLKEEKEEATNRLVLGIDNDGSTILHHAANTKYYHGGIKPTPALQLQEELTWFKNVEKVIPHPYTLHRNKDNYTAKELFNKEHIEQLKSAQDWVKNTCQSSSTVAILVATVVFAAAYTAPGGFHTTNGRPILLDKEKPLYSFFTVMDIAGLASSLTSVVVFLSILTSSLELEEFSRTIPWKVSIGFTFLFFSVTATMLTFTATIFLLVQLEKRWTTSLTYAAALLPIFVFALFQFPLYYQYFRTTVKGIFQLTKKILPGI >OMO76913 pep supercontig:CCACVL1_1.0:contig10861:318438:319235:-1 gene:CCACVL1_15372 transcript:OMO76913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVDRISSLPDPLLENVLFKLPIKEAARTGILSKSWKGLWVPHPYISLSDNDLIDDDPDNWSIRNNKFIKIVDKLLLRRHENARIKKLQLSCRQGVEDAVFSRWYGAVLKDGLEELDFNFGRCCEKPLSFLTPCSTLVTLKLYFGPVSGHKFPTSFDFPSLKNMHLNGFMLANNFPEQLLKCQDLENLFLACFMFDNCFSTDQEQVELDQRQVLPNLNHAQIGCCDYSFRGRNDARLFLKNLITVLSNAKSFDLSLSIIEVIN >OMO76866 pep supercontig:CCACVL1_1.0:contig10861:93023:95393:1 gene:CCACVL1_15325 transcript:OMO76866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVQSHQLSNGLFVSGRPEQLKERQPTMSSRAVPYTGGDIKKSGELGKMFDIPVHDHSSSSAPPSNPNSNPNSKQHQLLQPSRVSSSSQPNSGSVRSGSNSGPIRKSSGPMPLQPTGLITSGPLSSGNRRSGQLGQTEQAAAASGKAGYGPGVTSLGEGVKFGFRVSKAVIWVVMVVVAMGLLIGAFLMVAVKKVVVLGAVGAVVAPLGLGLIWNCIWGRKGLLGFVRRYPDAELRGAVDGQYVKVTGVVTCGSIPLESSYQRVPRCVYVSTELYEYKGWGGKSANPKHRCFSWGCRHSEKYVADFYLSDFQSGLRALVKAGYGAKVAPFVKPATVADITKENKDLSPSFLRWLAERNLSSDDRIMRLKEGYIKEGSTVSVMGVVRRHDNVLMIVPPTEPISTGCQWSRCLLPTYVEGLILTCDDNQNADVVPV >OMO76907 pep supercontig:CCACVL1_1.0:contig10861:281792:283621:-1 gene:CCACVL1_15366 transcript:OMO76907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex protein Exo70 MDTTPTSPGADGEDRLVATAQQIMKSLSLNTQAREDMLLILSSFDKRLSNISTGVRFDAAEKLILRWDSSPSTHHPLPWEDSPDEAAEYLSAVDDILQLVAEMSIRSENEITDRSDKEIMDRAETAIQIAMSRLEDEFRLILIRNTVLLDAETIRKGSLFVTMKNEESDSEGDDLGVELINGDAVIELKEIADRMMRSGYEKECVEAFTSVRRDALDESLMILGVEKISMEQVQKIEWSALDEKMKKWVEAFKITVRVLLPGEKRLCYQIFNGAEYESDKIRETCFNETTKGIIMQLLNFGEAVAIGQKSPEKLFRVLDMYDALAADTMQMMLMVTDEVVINEVKWVLDLLGDAVKLTFVEFENAVKEEASKKPMQNGEIHPLTRYVMNYMKLIGDYSETLISLLESDDDHENEPSFAKRLLLLMSSLESNLEEKSKLYQDGALHAIFLINNIHYIVKKVKESELGRLLGDDWVRTRRGWIRQYETSYLRACWTKTLHCLKDEGIGFRSRSSRDDASMAVVLKERFKKFNACFEEIYRAQTAWKVHDSQLREELRITISEKVIPAYRSFMGRFWRQLQSGKRAAKYIKYAPEDLENYLLDLFEGSRKST >OMO76877 pep supercontig:CCACVL1_1.0:contig10861:137464:138312:1 gene:CCACVL1_15336 transcript:OMO76877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLWPEDEEVNYPCREKAPNGHEKRVRFALDVECRNPIPHLAPVPVISRGPVMGYPMYGYPSHYPIVNNIRCRSDETKCQSCLIISSLVFAVAAFAAFICLIPIITFYLFGPCSPDFHVEQVNLHYPIFYTGPTKYASLLNVTMKVQNPSKTIHTLYERDNFIVARYSEIPLSNGMIPLQLSPLGETVVEARLEGLGIEVPEGEVLRLNLQYDHDRKRVPLSFDITGMVKFKLGSRTIWSAVVKGYCDLELENLYHVDHPTMIMSQRCDSQAGFWFPIIRT >OMO76909 pep supercontig:CCACVL1_1.0:contig10861:301335:303868:1 gene:CCACVL1_15368 transcript:OMO76909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKAPATVPGRHHVIWFGWKLVIFLSVALCFFALFRLHFSPDDISSPSSLSRPRLRSRISPDNFHGPPKIAFLFLARLNLPLDFLWGSFLENADVANFSIYIHSKPGFVFDESTSRSPFFYDRQLTNSIEVIWGESSMIEAERLLLATALEDPANQRFVLLSDSCVPLYNFSYIYGYLMASSKSFVDSFLDPKDERYHPKMSPVIPKEKWRKGSQWISLIRSHAEVLVDDEVVLPVFKKFCKRRPPVDTSKGKLNQKLQKQHNCIPDEHYVQTLFAMSELEGELERRTLTYTLWNQSAAKMDNKAWHPVTFNYADATPKRIKEIKDINHVYYESEFRTEWCKTNSTSVSCFLFARKFSGGAAMRLLSQGVVGPFEVTTLLGNTR >OMO76884 pep supercontig:CCACVL1_1.0:contig10861:182118:183617:1 gene:CCACVL1_15343 transcript:OMO76884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSQLNQFPCKTFSSSPQHPKIASRPSILPLNSIKPTQNPVKKSLFSTPKPIRARPVFKVRAVDDDEWGPDKGEEPVVTQTTGVAVAEEEKPKELSEVESLKKALVDSFYGTGRGLKASSETRAEIVELITQLEAKNPTPAPTEALSLLNGKWILAYTTFPGVLTLLSRGQLPLVKVEEISQTIDAESFTVQNSVQFSGPLASNTISTNAKFEVRSPKRVQIKFQEGIIGTPQLTDSIVLPENVEFLGQKIDLTPIKGLLTSVQDTASSVAKTISSQPPIKFSLSNSNAESWLLTTYLDEEVRISRGDAGSVFVLIKEGSPLLTP >OMO76894 pep supercontig:CCACVL1_1.0:contig10861:214784:219201:1 gene:CCACVL1_15353 transcript:OMO76894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLQRLLRTARSWRRIAPAKTLNPSRAQSRDFTSPVYHRSSGCSNGSIGSEVQQLRFVAAASGSYSILPALLASLLGAGMIDTAYADADADSDEVAAKPPLPSAPPANHANLEEIAKKERQRIEDLLKDKGLRYGSYPRFTVAVKGQKVAIKFQIPPSCEIAQLIANLVSNLGLKIEEHGGGANMLLRAWDSSVAWQLTLNPLEKQKETGVSEGHSVEKNEDTGDLCILIFHSLISSDKAEIEFMKQGSFNPKELDALVSVLQIAGAKLGQSKTTIRRPMEGSQQVPSVEKSITSLEAMGVKIYGLDALHQTSSNCDISWDNIAGYDQQKRDIEDTILLALNSPKVYDDIARGTRRKFESNRPRAVLFEGPPGTGKTSCARVIANQAGVPLLYVPLEIVMSKYYGESERLLGQVFSLANQLPDGALIFLDEVDAFAITRDGEIHEATRRLLSVLLRQIDGFEQDKKVVVIAATNRKQDLDPALISRFDSLIEFGLPDEQNRREIAAQYAKHLTESELVELARVTDEMSGRDIRDVCQQAERSWASKLIRGKATNNEEQQSLPPLEEYIKSAMNRRKALLSIAEQRKQDPKSQTKKPHLDFL >OMO76848 pep supercontig:CCACVL1_1.0:contig10861:23165:23923:1 gene:CCACVL1_15307 transcript:OMO76848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAAGNDSYCHVFNVGITEGVPRADAPGPTDPELVNIELKVKFIDMETYGCPEDGVFAERGRKHSQVIHYYDPEYLINNTNGVVLEILNYQRVPLEPTVIDAIADCAVRLALATRDGVNSTMLKVEIDALAVNGFPGRVNNGHVEVEDDDETTEAVVDEFAGGVISDTDADYDDDDDEDWQKMLEEEAAEEYLETEVVVESGEICSICLGELAVGSEAACMPCSHMFHHSCILPWLTKVQSCPYCRFDLNNEL >OMO76875 pep supercontig:CCACVL1_1.0:contig10861:129332:129556:-1 gene:CCACVL1_15334 transcript:OMO76875 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate oxidase MSIASFYNPGSDAVIYPAPALLEKEAEKSQVYPKFVFEDYMKLYAGLKFQAKEPRFEAMKTMESAVKLDPIATV >OMO76852 pep supercontig:CCACVL1_1.0:contig10861:38978:42147:1 gene:CCACVL1_15311 transcript:OMO76852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase MQKENNSAPQSAATVRNRHRKRSNEVNSEPSKANGGDLLVNDNNKYKSMWIRTYSTVWMIGGFALIVYMGHLYITAMVVVIQIYMAKELFNLLRKAHEDRHLPGFRLLNWHFFFTAMVFVYGRLLSQPLVNTVTSDKFWYQLVSSLVKYHMAICYFSYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFAQSSFTVANIFEGIFWFLLPASLIVINDIFAYIFGFFFGRTPLIKLSPKKTWEGFIGASVTTIISAFLLANIMGRFQWLTCPRKDLSTGWLQCDPGPLFKPEIHTLPGWISQWFPWKEISVLPVQWHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFISRGSISIEMILELILTNLTLEEQQTLFTKLGQILQERLGHS >OMO76849 pep supercontig:CCACVL1_1.0:contig10861:27101:30780:1 gene:CCACVL1_15308 transcript:OMO76849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaA/PsaB MSFDLILKPSCSGCGSTLDLYGSNCKHMTLCVTCGKNMAEKQGKCFECGAIVTRLIREYNVRASPSTDKNYFICRFVTGLPNFSKKKNAENKWSLQKEGLQGRQLTDALREKYKNKPWMLEDETGQFQYHGHLEGSQSATYYLLMMQGKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRKKTADGYERWMMKAANNGPAAFGEVEKFDDKESSAAGGRGRKKTSGDDDEGHVSDKGEEDEEEEAARKNRLGLNKKSGDDDEEGPRGGDSDDDSEKGDDWEHEEIFTDDDEAVGNDPEERDLAPEVPAPPEIKEDEDEEEDEEQEGGLSKSGKELKKLLGKASGLNDSDADDDDDDDDEADDDMNFNPVLAPKKEPKEEPVDNSPAKPAPSASARGTPTTSKSAKGKRKANGDDNKASNGTPLKKVKSETETKSSVKVESASASKGSAAAKGTSSTKAGSTSGAGTSSSSKAGSASTAGPVTEDEIRAVLLQKAPVTTSDLVAKFKARLKSQEDKKAFADILRRISKIQKTNGPSNYVVLRDK >OMO76899 pep supercontig:CCACVL1_1.0:contig10861:239755:245843:1 gene:CCACVL1_15358 transcript:OMO76899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRPGLSAAKPKPGKKDLDMYTIRGTSKIVRVGDCVLMRPSDTGKPPYVARIEKIEADSRNNVKVRVRWYYRPEESLGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYYCRFEYKAATGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVGVTIEETKKLDHFMCSECSEDDVKRSQNGFHASPVSDTKGTALMAALRYAILLLVASLIASFAEERAIYLVLMEGEAVAFHSNIQLQHGRKFDPQSEASKVHAKKLVDSHDQVLQSTLETGSYNKLYSFKHVINGFAVHTTPSQAKKLKQASGVKLVERDRRTKLMTTYTPQVLGLPQLWTQPGGDRNAGEGIVMGFVDTGIDPWHPSFASYGLANPFTSVISNFSGACQAGPQFPAFSCNGKIVSARFFADGAQASAPLNATVDILSPFDVVGHGSHVASTAAGNNGVPVVVNNFYYGRASGIAPRARIAVYKAVYPTIGTLTDVVAAIDQAVSDGVDILTLSIGPDEPPQDTVTFLSVFDIAMLFARRAGIFVVQAAGNQGPGPSTVVSYSPWAVSAAASRTDRRYPASLILGNGLNVTGVGLTAPTIGNGSLLYRLVLAKDALNFNGRFPRTAEYVEECQFPEALDPNVVRGSIVICTFSAGFNNGTSTLTAIIDTARILGFMGFVLVANPNYGDFIAQSIPFSVSGTLIPKVADSKIVSQYYEQQTLRDARGFVRQFNARAAIGDGRVASFGAQSPIVSRFSSRGPDFIDINRNPADVLKPDILAPGHEIWAAWSPRSVLDPILNGYNFALLSGTSMATPHIAGIAALIKQKNPSWTPSMIASAISTTATKFSNNGDIIMAEGFEVGSLYPSTHFDSGAGFVNPSRAMDPGLVLPSEFEDYISFLCSMPNIDRFAIRAATGVWCGQSFGHPANLNLPSVTISALRGSLTVRRSFKNVAAKSETYPHREPKT >OMO76851 pep supercontig:CCACVL1_1.0:contig10861:34316:34831:-1 gene:CCACVL1_15310 transcript:OMO76851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKGSKHSNSKREAAKALITHNINVRSSSHRSSIIEAIKPECLKKKKLKKNKQLPEKRITKARMLTLEDWLLASPGPAGLQQDSFNGGELHVFKQISRKVHPSSVNEAARPLFTPAESFSMDFSSGDVSGSSFSRSQSGKLRKKVSFRLPEESDIVIFYSPLQTFGNISD >OMO76860 pep supercontig:CCACVL1_1.0:contig10861:75341:75986:1 gene:CCACVL1_15319 transcript:OMO76860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med11 MDSPTQNTSLQRLQNVEKRIVRVLELAGGVMDELANPMGPRKEFINNHCREFMKMIKDIQVTLRDEIKSACEYRPFEKCDYSSRISNEICCKKLEYVLSQLDAMKQTIDEYQGEVTM >OMO76876 pep supercontig:CCACVL1_1.0:contig10861:130302:130772:-1 gene:CCACVL1_15335 transcript:OMO76876 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate MELTFPVIDFSKLNGEERGATMEMINDACENWGFFELLNHGISIELLDRVERLTKEHYRKCMEQRFKEMVASKGLETVQSEINDLDWESTFFLRHLPESNISEIPDLEEDYRSKSLPF >OMO76871 pep supercontig:CCACVL1_1.0:contig10861:113052:115872:1 gene:CCACVL1_15330 transcript:OMO76871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alternative oxidase MSTTSLSSAAFAISLSSSFKARNSKTFLHSNFKNPLRCKSLSSYRPLSRKLYPVKATLLQENEEEVVVEKSFPTKSFPGKEIEEVGESSANSSPSGLERWVIKVEQSVNIFLTDSIIKILDTLYHDRDYARFFVLETIARVPYFAFISVLHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNSWWFDRFLAQHMAFFYYIMTVFMYALSPRMAYHFSECVESHAFETYDKFIKAQGEELKKRPAPEVAVKYYTGGDLYLFDEFQTDRPPCSRRPKIENLYDVFLNIRDDEAEHCKTMKACQTHGNLRSPHSCLDDGSEDSSCMIPEADCEGIVDCIKKSLASSQVKQKSDI >OMO76903 pep supercontig:CCACVL1_1.0:contig10861:257628:258420:1 gene:CCACVL1_15362 transcript:OMO76903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGSRQKQAREAGRRKGGLTLKGQDKLKATKEGSD >OMO76883 pep supercontig:CCACVL1_1.0:contig10861:180040:181230:-1 gene:CCACVL1_15342 transcript:OMO76883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHQTLPNSHTFPPLFKAASVSPSLASLTCASLHSQALRRGVLADPFVQTSLLGLYAKLGNNGSLSNASKVFDEISIPCIVACNAMLDAFGRNGDMGSAVLLFESMIEKDVVSWTSVINGFARGKQFKEAIWVFKKMLGFLVKPNEATYVNVLSCCANLEGGDSLNQGKQIHGYILKNEAVMTAFMGTALIDFYGKKGCSETAMRIFNRMLVREVFTWNAMISSLACNGREDEALDMFEKMKMEGVCPNEVTLGAVLTVCARTKRVELGSQIFQSMSSQYGIVPTMEHYGCMVDLLGRAGLLSEATEFIRSMPFEPDASVLGALLGACKIHGAIELGNEVGRRLLELQPRHCGLYVALSSINADMEKWDRAADLRKTMVEAGIRKVPAYSAIGSI >OMO76846 pep supercontig:CCACVL1_1.0:contig10861:14446:16903:-1 gene:CCACVL1_15305 transcript:OMO76846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 5 MDADWRDKKLYPLLGIILLSVLLYLSYGDNLVSFPILSQPKLDFVSTNSTHFIIVNGGGAAAEENQSTFYINGWNSYWLLQESVWDGPSRLRVSRMLQRGAQLGLTVCRTWAFSDGDGPNALQTSPGVFNERAFKGLDYVIVEARKHGIRLILSLVNNLNNFGGKAQYVRWAQEAGINISSSTDSFFSNPMIKDYYKAYIKAILNRKNSLSGVKYSNEPAIFAWELMNEPRCESNSSAPILQAWISEMAAFVKSLDQKHLVTVGLEGFYGLNTTKAPEVNPGEWAASLGSDFIQNSAIENIDFASVHAYPDSWIPHPDLEAKTRFLSRWVDSHISDGDHVLKKPVLFTEVGSVVDVNNQGLADKDILLKTVYDKIYESAKNRQAGAGALIWQLLVEGVEEYGGDRFSFIAWDNPSTYKLILKQSCRLRSILKSSKNQTLNTKDSCSGQLP >OMO76878 pep supercontig:CCACVL1_1.0:contig10861:142864:147144:1 gene:CCACVL1_15337 transcript:OMO76878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 35 MSFDLILKPSCSGCGSTLDLYGSNCKHMTLCVTCGKTMAENQGKCFECGAIVTRLIREYNVRASPSTDKNYFIGRFVTGLPNFSKKKNAENKWSLQKEGLQGRQLTDALREKYKNKPWMLEDETGQFQFHGHLEGSQSATYYLLMMQGKEFVAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRRKTADGYERWMMKAANNGPAAFGEVEKFEDKESSAAGGRGQKKTSGDDDEGHVSDKGEEDEEEEAARKNRLGLNKKSGDDDEEGPRGGDLDADDDDSEKGDDWEHEEIFTDDDEAVGNDPEERDLAPEVPAPPEIKEDEDEEDEEQEGGLSKSGKELKKLLGKASGLNDSDADDDDDDDDEADDDMNFNPVLAPKKEPKEEPVDNSPAKPAPSASARGTPTTSKSAKGKRKANGDDNKASNGTLLKKVKSETETKSSVKVESGPASKGSAAAKGTSSTKAGSTSGAGTSSSSKAGSASTAGPVTENEIRAVLLQKAPVTTSDLVAKFKARLKSQEDKNAFADILRRISKIQKTNGPSNYVVLRDKGMAVFYQALNLALHAAIILAIRLKMLIMFLFLYILSGLRELAANIEFPQEWKMVKKVNKIRLAEYIEAMSGLKVSLDAMFDVQTK >OMO76881 pep supercontig:CCACVL1_1.0:contig10861:174673:175179:1 gene:CCACVL1_15340 transcript:OMO76881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIF, alpha subunit MNFNPVLVPKKELKEEPIDNSPAKPAPSASARGTPTTSKSAKGKRKANDDDNEASNGSPLKKAKSETVCYQSSKTWLFTVMDIKEICFEFQFDFYFLMFQETESSVKVESALASKGIVATKGTSSAKAGSKSADGTSSSSKAGSTSIVGPVTEDEIRGLLAKGACNNI >OMO76893 pep supercontig:CCACVL1_1.0:contig10861:211925:212959:1 gene:CCACVL1_15352 transcript:OMO76893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQRTVDNKEAKNLSPCSSGRRSSHSSSPEFEFWMVRNPSCPQPDLISADELFVNGVLLPLHLLPNKQQEENPQPDPKTEASEPPIPDPEPEPGPPITSEPVPVLSASKRWRDIFKKEKGKNGTKSQEDKDKEKEKKKEKKSQSQSGASPAELNINIWPFSRSRSAGTSGTRPRMTAGAAGTRKVSSAPCSRSNSAGESKSRKWPSSPSRAGVHLGRSSPVWQVRRGGSGGKSFDAMARSAEKSSGKKEVTETRRGKTGSGSNGNKAKVLNLNVPMCIGYRHHLSCRTDENSTIFTGVSTGSNGVRSRSSSGGGNSRSSSGLDVGSSSNNFFNLRNLFTKKVY >OMO76915 pep supercontig:CCACVL1_1.0:contig10861:322915:333742:-1 gene:CCACVL1_15374 transcript:OMO76915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKSMSKQTKTSYYFPEEIILQILHKLPVKSLGRCLCVCKTWLSLIKTPSFISAHLNISSNSNTGLFVVTIWKPDGIHYSLQADNQGFGEYTQLKYLQFDGNHSFVGSCNGLLCLARRQPVSWKRHSREFIVWNPVIEKYIKLPNPNWDVCPPPELSVGFGYDSVRDDYKVLRITPDGVDLYSLKKNRWETIAPRNCDLYRSTEYAMVFVNGVVHCVAYEYVEHEGNKFWGRELWVLGFDLSNEIFKRFMLPESLRILPLQTKMRVTEHASSIAVIVEAEDSTQIWVMKEYGLTETWAKILSIEAAGAFSSTLHFMGFRKNGQLIINKTPLYDEEQVLSQALAGKDGSGLDVAPGPSLPALGFAQRSSTASKALAMGCSVYRGVYLLLGRDDSENSSYGIRGQGFEDRIAIGVDLYSLKKNKWEKIAPPNSDFYRDGIVGYAMVFVNGVVHCVAYEYVQQGKEFWVMGFDLSNKIFKRFMLPESLRILPRETDMHVTEHGSSIAVIVEVEGSTQIWVMKEYGVIETWAKIWSIEGGTLDPTLQFMGFRKNGQLIISKTDRLSEEEQVVLYNLSSNETNKDVGVILRRIFVHILSIVKIIIMWRGLYYLTSNTGIFLVPISKYSEGPGYFDVKVDNFLDYFLQVDNNQESGEYTQLKYIRFDKYAFVGSCNGLHCLRENPESDSFECELIIWNPTIEKYIRLPAPSYRDRDVCRFTRRFSIGFGYDSVRDDYKVLRITRSLENGIAVHHLYSLKKNQWERIAPPPDFVRSPNERAMVFVNGVVHCIASSSDYVEQGMEFWVLGFDLSNETFKTFVLPESLRTSRPTDHMLVKEHGSSIAVIKVDSTTVEDTYFLSIGIVRTQIWVMKEYGVVETWAKILTIEGRPSDPTLQFMGFGKNGQLIISNKTNNSLCDEEEQMLHIPIKHLLPLSPALLQPPQCFLWDIGLTHFPLQQISEYLHFLPHIPQLLQWVAMFSEGSTEERIQIWNPVIEKYIKLPTPNWDDCAPPEFLVGFGYDSVRDDYKILRITDQGRVLGKRIAIGGVELYSLKKNQWETIAPPNSDFYRDIHGSIEKAMVFANGVFHCTVACKNVEQGREFWVLGFDLSHKIFKRFMLPEGLRVLPLQTENYMRVTEHGSSIAVIAVEVENAASPKMWCRTEIWVMKEHGVIETWAKIWSIEGGALDPTLLFKGFRKNGQQLIISERSIYDEQVWDSMSIIEITNVGVLNGRFFYPFDHQNYYNYVQSLVLLDQVGTLARDASCLSCKGCF >OMO76911 pep supercontig:CCACVL1_1.0:contig10861:311958:313875:-1 gene:CCACVL1_15370 transcript:OMO76911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKDQEWQIDTNLEQQTQATSVESTESGVSAGLRPWKGQSNLHDHRHHLKSMHGILNIFGWGFLLPTGAIIARNFRKSPLKCDEWYPLHTYCQSSGYIVGTVGWGIGIWLGNSSKQHTLKAHRILGIIIFSFATLQMMDKIKSSRSGGLSSRRRRTLYVGNLPRDVRTKEVEDLFYKYGRIAHIDLKIRPRGPCFAFIKFEKARDAAVAISGRDRYNFGGYPLRVTQYNPRNKILPISDVNQRRSLRRGLRSKSHRKTSGNSSEQLESAAAPENMKEKPSRLGLDEVKHICDTMGSLYLA >OMO76847 pep supercontig:CCACVL1_1.0:contig10861:17306:22310:-1 gene:CCACVL1_15306 transcript:OMO76847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSFIEVHPDSHFPIQNLPYGAFKLQPSASPRLGVAIGDYVLDLSEIAKAGLFNGPLLAGSDCFLQPTLNKFLALGRPAWKEARATLQKLLSSMEPTLRDNADLRQKSLVPMSEVELVIPMEIGDYTDFFTSMHHAKNCGTIFRGPENPIAPNWFHLPIAYHGRASSVVISGTDIIRPRGQGPPTGNSPPYFGPSMKLDFELEMAAIVGPGNDLGKTIDVNDAADHIFGLVLMNDWSARDIQAWEYVPLGPFLGKSFGTTVSPWIVTLDALEPFACDAPKQDPHPLPYLAEKISKNYDIALEAQIKPSGQKDSTVVTRTNLKNLYWTLTQQLAHHTINGCNLRPGDLLGTGTISGPEPESLGCLLELTMNGKNPLSLNGGITRRFLEDGDEVIFSGCCKGDGYNVGFGTCTEISPEILRAEMHSTQLNLAAADCTKAGYYKIIAIRFLFHNQSKSTMKSSRQFNHFGYSSSTTVTFTFHSDHADSTAPPPPQESKEIPIETPVPITVHLPQDAAAAKIQSAYRARVIRNLYKQISAVNAEANRLQHLIQRQDTVDSIRSDEREKLKMNETLMRLLLKLDSVPGIDPTVREARRKVSRRIVGLQEIVDGITGAKVDQGDDDYYGGWGPRGIFSMRDWDEVVEEMEEQLCRERGGPEMERFCAEYLGFRCLQRFLRE >OMO76879 pep supercontig:CCACVL1_1.0:contig10861:149422:149577:-1 gene:CCACVL1_15338 transcript:OMO76879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESELASAVGQELEQEPDVSRASRKDRGSLDGSRRFKDKNRENDRRCGEEG >OMO76902 pep supercontig:CCACVL1_1.0:contig10861:252938:257203:-1 gene:CCACVL1_15361 transcript:OMO76902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTMASKNGAFSLSQISLLLTELVLLGLILAFIPAQCTTDPSDVQALQVTYTALNSPSELTNWKANGGDPCGESWKGVTCQGSAVVSLDISGLGLSGTMGYLLSDLMSLKTLDLSNNNIHDTIPYQLPPNLTSLNLAGNNLSGNLPYSISSMVTLNYLNVSRNSLSLSVGDIFANLADLGTLDLSFNNFSGDLPSSFSSLSNLSTLYMQNNQLTGSLNVLSGLSLDTLNVAKNNFSGWIPRELFSVPTFIYDGNSFANGPAPPPPPFTPPPPGRSHNKHTPGSGGRTSPTSDGESSNSNKGPSAGLIVGIVLGSLLLVVLALLALLFCIRKNKRKVSGGRASRGTLSVGSNDGHSEMQEQRVKNAAAVIDLKPPPAEKLPVDKMSKNGSLKRMKSPITATSYTVASLQTATNSFSQEYLIGEGSLGRVYKAEFPNGKTMAIKKIDNAALSLQEEDNFLEAVSNMSRLRHPNIVTLAGYCAEHGQRLLVYEYIGNGSLHDILHFSEDGGKQLSWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGVYTVKSDVYSFGVVMLELLTGRKPLDSSRARSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVKRRSSDESGFAYKTPDHEAIDMSF >OMO76888 pep supercontig:CCACVL1_1.0:contig10861:194821:197455:1 gene:CCACVL1_15347 transcript:OMO76888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDEREIDIQRGINHFEQVGQPYEKALKDDAPALHNFYKYKPEDALFIPITATKDTVFHIAAYRGNESVLRVLLEMVPDSRKLDVLKLKNIHGNTILHDVATTDNVKAAELVIRKLLLPDGSKVIHEKDIRQREAILADRNDLGETPLFRAAAFGTKKMVMYFAKEIEEVGTLHAHLKRTDGTSILHAAVTFQKFETAIWLLEKDPTLATYKLDMDGKTCLHLLAGMPTAFKSTCRMNILKEFIYMCIPIHYDEDEDEFDMQISSFKNKDLENGQSNISHNAAWKAIEPIRAKKRIHKSAVKLMEMLVRKDASWLVPHEAEEDNIICLHREEEEMEEAIPSSAKKRCKRQPDTPLLIAAKSGIEEIVMEILKVYPQAVEHINQDGQNILHLAIKHRQFNIFNIVVDKEEIMEKLVLGIDNFGCTILHYAAVMECYSGGTSTTVALKLQEELKWFKIVQHKLPSYYTIHRNKQNIIAKELFNKEHKEQHQAAQEWVKNTSQSCSTVAILVATVVFSVAYTAPGGYIENVGKPILLEKPLYSFFTVMDVAGLASSLTSVVIFLSILTSSLEIDEFLRTLPRKLSLGFIFLFFSVSTTMLTFTSTIFLLIHLEKRWTASLTYAAAFLPIGVFALFQSSLYYEYTSAAIRSIYDFILTLLPGNWDLARFKRRSF >OMO76892 pep supercontig:CCACVL1_1.0:contig10861:209034:209093:1 gene:CCACVL1_15351 transcript:OMO76892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKPHTNANATETVDDA >OMO76908 pep supercontig:CCACVL1_1.0:contig10861:285914:300440:1 gene:CCACVL1_15367 transcript:OMO76908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ia MAAVIAKREQFNCGQSMQISSWHVKKKRNHVMQHRDIKNALSEGKDNDRQLTKRAKKSSKKRKRSTENESSEDEKSPKRHWNGRDNVTTSEDEIDEELIALINMKQRRRSRNSDNVVTARSPKEDKSDNEVIASFINKEVRRRSRNSDNVVTARSPKEDKSDNEVIASFINKEVRRRSRNSESVVTTRSSKEDDSDNEVIASLIKMKERRQSRNCDSVVTTRSPKETKEKNCDPIDTSLRSSSSLSASSSSSSLAHLKSDGSSNDICTNKNVKAKRENIKCHQCMAQRRIVVPCMNCKDKVYCISCIRRWYPNIPEEEVAEQCPFCRTNCNCTICLHSSGLIKTSERDITHQEKIKHLEHFLESTLPFLKQICQIQKQETEVEANIQGMFSSFKLCLQAFLIVPDKRFSFLGLLPSAVDITETQCYNDERVYCNHCATSIFDLHRSCPKCSYELCLSCCQEIREGRLTSRDGVAYKYRDRGYDYIHGGDPLPESDLHEMAKDQAEPSVEWKGNNDGSITCPPKEMGGCGDCVLQLKRILPVGWISNLAAKAGEMLRSKTSQGRLKHECAVPGTETLKRAASREGSNDNCLYSPSSSDIQEGDLCNFQMHWAKGEPVIVQNALANSTGLSWEPMVMWRALSEKVDSDRSLQASEVKAIDCLAGCEVNINTHQFFKGYVEGRTYGNFWPEMLKLKDWPPSNKFEDLLPRHCDEFIRALPFQEYCDPSFGILNLAVKLPPNLLKPDLGPKTYIAYGIAEELGRGDSVTKLHCDLSDAVNILTHTADVAITEEQLAAIEKLKMKHKAQDEKEHLEREGVDKHPDQGLAAGRTDLENKTDILEITDQKDHHSDNISKDEHGSQISGLSTAEKERGGALWDIFRREDVPYLEAYLRKHFKEFRHTYCAPVEQVIHPIHDQTFYLTVEHKRRLKEEYGVEPWTFEQNLGEAVFIPAGCPHQVRNLKSCTKVAMDFVSPENIKECLRLTEEFRQLPKNHRAREDKLEHTLLSHGCFGFTRSRIRSSVSEVKPLLVKRAYPFDEIEPKWQRYWEENRTFRTPDDVDTSKPKYYVLDMFPYPSGAGLHVGHPLGYTATDILARLKRMQGYNVLHPMGWDAFGLPAEQYAIETGTHPKVTTLRNINRFRSQLKSLGFSYDWDREVSTIEPEYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVVDGVSERGGHPVIRKPMRQWMLKITAYADRLLEDLDELDWPESIKEMQRNWIGRSEGAEVEFCVLDSDGRETDMKITVYTTRPDTIFGATYLVVAPEYSLLSSIASTKQSESVEEYKDIASRKSDLERTELQKEKTGVFSGCYAKNPANGEPIPIWVADYVLGSYGTGAIMAVPAHDGRDHEFASKYNIPIKWVVTPNNESHIEDGKAYSGEGTIINSSNMMVGLDINGLSSKEAAYKVIEWAEETGNGKKKVNYKLRDWLFARQRYWGEPIPVIFLDDSGESIPVPETELPLTLPELDDFTPSGTGEPPLSKAVSWVKTTDPSSGKSATRETNTMPQWAGSCWYYLRFMDPKNSTELVDKAKERYWSPVDVYVGGAEHAVLHLLYSRFWHKVLFDIGVVSTKEPFKCVINQGIILGEVQYMACKDTDGNYISADSANELGEYLQEIIPEERVVKSGEFFVLKDNPNIRLIARAHKMSKSRGNVVNPDDVVSEYGADSLRLYEMFMGPFRDSKTWNTSGIEGVHRFLGRVWRLIVGSPLHHGAFRDGTVVTDEEPTVEQLRALHRCIAKVTEEIEGTRFNTGISAMMEFINAAYKWDKHPKSIIEAFVLLLSPYAPHMAEELWSRLGHQDSIAYVPFPKADPTYLKESTITLPVQINGKTRGTIQVEKGCSEEDAFTLASQDEKLSKYLDGKPIKKRIFVPGKILNVILDRQNVKVGVQ >OMO76890 pep supercontig:CCACVL1_1.0:contig10861:200891:205047:1 gene:CCACVL1_15349 transcript:OMO76890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSLVANGDFAAVKAAPVAGRLASVYSEVQNSRIDHALPLPSVLRNPFKIVDGPASSAAGNPGLFLLCFFTSLHFSFYFCLFIYLDPFLIYLNLHANEIAKLFPHLFGQPSALLVPDGADSVRSDQKLKIGVVLSGGQAPGGHNVISGIFDYLQDLAKGSILYGFRGGPAGIMKCKYVELTADYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAVKLDLDGLVVIGGDDSNTNACLLAENFRGKNMKTRVIGCPKTIDGDLKCKEVPASFGFDTACKIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKLTLKNVTDYMVDVICKRSELGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHEIVDEEGLWKKKLTEQSLKLFDFLPQAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQEGSYKGNFKGQSHFFGYEGRCGLPTNFDASYCYALGYAAGALLHSGKTGLISSVGNLAAPVEQWTVGGTALTSLMDVERRHGKFKPVIKKAMVELDGAPFKKFASLREEWAIKNCYISPGPIQFVGPASNAVNHTLLLELDAQA >OMO76869 pep supercontig:CCACVL1_1.0:contig10861:103202:106602:-1 gene:CCACVL1_15328 transcript:OMO76869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPIPIGSCSKEHEKIYKDWFTIADSDGDGRVTGNDATKFFAMSKLSRQELKQVWAIADSKRQGFLGLSEFIVAMQLVSLAQSGQEITSDVLKSSVDAENISVPVMEGLDALVTDKSHSTTNGEPETNGSSRPQVPTSNKWFTSKSSKKMPPTAVTSIVDGLKRLYYEKLKPLEVTYQFNDFVSPFLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLRCDYPGAHIGPEPTTDRFVVVMSGPDERSIPGNTIAVQADLPFGGLTTFGGAFLSKFECSQMPHPLLDQITFVDTPGVLSGEKQRTQRSYDFTGVISWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGNDDKIRVVLNKAHQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKHLNEATIGPLGQDLFEKEQDDLLKDLIDIPRKACDRRINEFVKRARAAKIHAYIISHLKKEMPAMMGKAKAQQRLIDNLEDEFGKVQREFHLPAGDFPSAEHYREVMKGYSIDKFEKLKPKMIQAVDDMLGHEIPELLRNFRNPYE >OMO76864 pep supercontig:CCACVL1_1.0:contig10861:85858:88315:-1 gene:CCACVL1_15323 transcript:OMO76864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSCFHAFRLRKSKSKHLPAPSSSRTQLNSDMENMEKKRFDSLESWSMILDSENVETWEVSKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPNHKEETRAKLEQQFKSEVALLSRLFHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETQCRETKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPLPESCQPALAHLIKRCWAANPAKRPDFSDIVSALEKYDECVKEGLPLTSHSRLVGRNVIIERLKGCVSLSSSIPVHA >OMO76872 pep supercontig:CCACVL1_1.0:contig10861:117712:124317:1 gene:CCACVL1_15331 transcript:OMO76872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETSMGGALVPTATKSEPTPSSPESTAPLPPPPAPPPPPSSPPVPPSTAEDESKEADTDKDMLCPICMQIIKDAFLTACGHSFCYMCILTHLRNKSDCPCCSHYITNNHIFPNFLLNKLLKKTSARQLARNASPVEHLRQAIQQGCEVSSKELDGLLSLLVDKKRKMEQEEAETNMQILLDFLNCLRKQKLEELNEIQADLQYIKEDINAVEKRSIELYRAKERCSVKLRMLVDDSFAAKTFPSLVDKNSNGKFGARNLQGWMGSASSQNKVDVKAQASSQGFRSKDAYGGSDSAYDTNSGLAVARKRRVHAQFNDLQECYLQKRRYWSKQHNKQEEKDPIAINRDGYNPGLEDFQSVLTTFTRYSRLRVIAELRHGDIFHSANIVSSIEFDRDDELFATAGVSRRIKVFEFSSVVNEPADVHCPIVEMPTRSKLSCLSWNKYTKNHIASSDYDGIVTVWDVTTQQSLMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVLNIDMKANICSVKYNPGSSVHVAIGSADHHIHYYDLRNVSQPLYVFGGHKKAVSYVKFLSNNELASASTDSTLRLWDVNENLPLRTFRGHTNEKNFVGLTVNGEYMACGSETNEVFVYHKAISKPAAWHRFSSDMADADEDAGSYFISAGPKLGTFAYQAPIIHHHLHTQKY >OMO76861 pep supercontig:CCACVL1_1.0:contig10861:76962:79342:1 gene:CCACVL1_15320 transcript:OMO76861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase/carboxylesterase/thioesterase MQPMLLTKPTVLLAVTLGSTIIFLLFFQPHHFASSRKPDSMAARSFVLWLHGLGDSGPANEPIKTLFRSPEFRNNKWSFPSAPENPVTCNYGMRMPSWFDIHEIPVTADSPKDESDVLKAVQNVHAMIDKEVAAGTDPNNVFVCGFSQGGALTLASVLLYPKKLGGGAVFSGWVPFNASMLEKFPEDAKKILFLGLTVLGLKLLQTPILWSHGMADRTVLFEAGQAGPPFLEKAGAYPGLGHSISNEELQFLESWIKTRLQSSS >OMO76906 pep supercontig:CCACVL1_1.0:contig10861:276933:281272:1 gene:CCACVL1_15365 transcript:OMO76906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLGDIGVAAAINLLSAFIFLLAFAILRLQPFNDRVYFPKWYLKGLRTSPSSSGAFVRKFVNLDFRAYLRFLNWMPEALKMPEPELIDHAGLDSAVYLRIYLLGLKIFVPIALVAWSILVPVNFTNKALEVQLKNVTSSDIDKLSISNVPYASDRFWTHIVMAYAFTFWTCYVLVKEYETIASMRLQFLASEKRRPDQFTVLVRNVPPDPDESVSESVEHFFLVNHPDTYLTHQVVCNANKLAKLVKKRKSKQNWLDYYQLKYSRNNANRPMMKTGFLGCWGEKVDAIEYHISEIEKLSKEIDEERERVIKDPKAIMPAAFVSFKSRWGAAVCAQTQQSRNPTLWLTEWAPEPRDVYWQNLAIPYVSLAVRRLIMAVAFFFLTFFFMIPIASVQALASIEGLEKVAPFLKPVIEMKFIKAVIQGFLPGIVLKLFLIFLPTILMIMAKFEGFTSISSLERRAATRYYLFNLVNVFLISVVAGSALDQLNTFIKQSANDIPRIIGVAIPLRATFFITYIMVDGWAGIAGEILMLKPLIIFHLKNFFLVKTEKDREEAMDPGSLGFNTGEPRLQLYFLLGLIYAAVTPVLLPFILIFFGLAYVVFRHQIINVYNQEYESAAAFWPDVHGRVIAALVISQIALIGLMSTKGLAVSSPFLIALVVLTLWFWRFCKARYEPAFVRYPLQEAMMKDTLERARDPTLNLKPYLQNAYVHPVFKGDDDEEEEEFNYKLEHETVLVPTKRQSRRNTPVPSKISGGSSPSLPEAVPEHSVP >OMO76896 pep supercontig:CCACVL1_1.0:contig10861:220297:221109:1 gene:CCACVL1_15355 transcript:OMO76896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEINGPTTIFSIAWGKKNFDVVCFDRAAKDIFGCSAQEFFDFDTLHPFAVKSASDALVGEMFRITLKKAVKRDAEHLRMTEVVPLRSNFKPVIITLKEQYQAIYGAKD >OMO76863 pep supercontig:CCACVL1_1.0:contig10861:81095:81757:1 gene:CCACVL1_15322 transcript:OMO76863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLYRRGTVHPSPPVTTDHLSFLPATILTLAAALSPEDREVLAYLISCSNNDFGNFSSHRKNTSNKNPTKRSISTISSSSSSDHDHPPLFTCDCFRCYMSFWVRWDSSPNRQLIHEIIDAFEDELAQSKKTTKSSKKDRKKKGGGAGAADGSGGLKRPELSLRKDESSELKSAEEHGSSSSSGGGGGDVCVDDEEGTEKGSVRRFVSFIGERIWNVWGQ >OMO76897 pep supercontig:CCACVL1_1.0:contig10861:222166:224468:1 gene:CCACVL1_15356 transcript:OMO76897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDKKPAKSSSSRAGGIRTLSDLNRRSGPDSDSDSDSPQEYYTGGEKRLLSGETVPSAPQQPEAVSHNIVFWTNGFTVNDGPLRRLDDPENASFLESIRKSECPKELEPADRRSAVHVNLIRRDENYPEPEKKRHVAFQGVGRTLGSSSSSAAPEATISSSPVNASQDPSPGLVVDESLPSTSIQLRLADGTRMIAPFNLHHTVNDIRTFINASRPGSGTNYQLQMMGFPPKLLTDPTQTIEQAGLANSVVIQKF >OMO76850 pep supercontig:CCACVL1_1.0:contig10861:31421:32381:-1 gene:CCACVL1_15309 transcript:OMO76850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MAVVINISLKMMVMALLVMAGKRVGAQVHHVVGGDRGWDPSSDVASWSSGRSFAVGDKIWFAYSAAEESIIELNTKDEYESCDVSNPIRMYTDGLSGIPLDGEGARYFVSSKPDKCKNGLKLHVEVMPFRSPAETQKPKHSASVMAAAPTTPSPPSGSLQLQASLVLLLVGLCLSFVAF >OMO76859 pep supercontig:CCACVL1_1.0:contig10861:73071:75012:-1 gene:CCACVL1_15318 transcript:OMO76859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLQALWNHPAGPKTIHFWAPTFKWGISIANIADFSKPPEKLSYPQQIAVTCTGIIWSRYSTVITPKNWNLFSVNIVMAGTGLYQLARKAQHDYFSEAQPAIAEE >OMO76870 pep supercontig:CCACVL1_1.0:contig10861:109780:111260:-1 gene:CCACVL1_15329 transcript:OMO76870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEAQSLLSKSRANPGYISQDVLDLKSLRLCLNWVCLDQSSLWRAGLSWSIFFLLAVGVPIVSHFVLLCSNCDAKHQRPYDALIQLSLSVFAAISFIHLSYWSRKYGARRFLFLDKLADVSDKVRHGYAQELQKSMKLLCIFVLPCFAAESAYRIWWYATGAGQIPYLGNLYTSYIISCTLQLCSWIYRTSIFILASLLYQLTCHLQILRLEDFAQFFQKETEVSSILAEHLRIRRTLRIISHRFRRFILLSLVLVTASQFIALLLTFRTSTTVNFYEAGELALCSISLVIGLFICLRSATKISHRAQSITSLAAKWHVCATINSFDEADGETPTAQIVPSQIIPPGVDWESDEEEDGDDLDNTKLVPIFANTISFQKRQALG >OMO76910 pep supercontig:CCACVL1_1.0:contig10861:304424:311396:-1 gene:CCACVL1_15369 transcript:OMO76910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MNNPEECRANNPQQEVEGQQEMAASSAAMDGVAAAALRSVLQRVQQAAERAGRQSQRIRVVAVSKTKPVSLLRQVYDAGHRCFGENYVQELVEKAPQLPDDIEWHFIGNLQSNKVKPLVAAVPNLAMVETVDDEKIANHLNRAVGNLGRKPLKVLVQVNTSGEESKSGVEPSGCVELARHVALSCPNLEFCGLMTIGMPDYTSTPENFKTLANCRSEVCKALGIPEEQCELSMGMSGDFELAIILRGFSSDSYTASSLINFYAKFGHTENARKVFDETPSRDVVPWTAIIGCHCRGGDVENAFSTYNEMRCDGVEPSPVTFLSLLSGALELAHVQALHGCVVLYGFESDIALGNSLLNSYSKCGSIEEARGLFELMDQRDKISWNSLISGYAQLGNAEKILQLVYRMKMESIRPDQQSFASLVTAVAAQGKLDLGKVVHGQILRCGFDLDAHVETALMVMYLKCGNTDAGYKIFEGISEKDVVLWTAMISGLVQTNCADKALIVFHQMLKSRVEPSTATITSALAACAQLGSFDLGTSIHAYILRQGLAIDIPAQNSLVTMYAKCGYLEHCSVVFEGMDEKDLVSWNAIIAGYAKNDQLSKALLFFNKMRLTLQKPDSLTVVALLQASASTGALHQGKWLHSFVIRNCLRPCILVDTALVDMYCKCGDLDTAVKCFGVMVERDLISWSTIIGGYGSHGKGEKALSMYFEFLKSGMEPNKVIFLSIMSACSHNGLVDQGLRIFQSMARDFGVQPEVEHHACIVDLLCRAGRVEEAYNFYKGNFSEPSVDVLSMLLDACRANNKLELCDVIAQEVITLRPASAGNYVQIAHCYASMSRWNDVGKALTEMRFLGLRKLPGWSFIDLQDPTSPAAFLRLLFHDCQVQGCDASILLETGAPRGHSEMVSGKNFGIRKRETIEQIKYILEAECPGQVSCADIIALAAKESVALSGGPNIQIPLGRKDSITCSRRAAETHLPSPDITVDQLLKIFMSKGLNMEESVAILGGHTLGGGHCINIVGRLYDRQRNDQINPGFEALLRFHCPTNFPLTNLTVVPNDKTPLTFDNQYFRDVLIGKGLFAIDSSISRDPRTSPIVRKFAADVNYFFHVFSSAFVKLSSANVLTKGKGEVRRRCNQVN >OMO76865 pep supercontig:CCACVL1_1.0:contig10861:90282:91847:1 gene:CCACVL1_15324 transcript:OMO76865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEMDTVQSSPEDLLPREDL >OMO76873 pep supercontig:CCACVL1_1.0:contig10861:124877:126324:1 gene:CCACVL1_15332 transcript:OMO76873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase, SIW14-like protein MRVEGFEGEILQAMKVSPPDNSPVMGDDSERDGEELFVPPLNFAMVDNGVFRSGFPDSANFVFLQSLGLRSIIYLCPEPYPEANIEFLKANGIRLFQFGIDGCKEPFVNIPEETIKEALKVVLDVKNHPLLIHCKRGKHRTGCVVGCLRKLQRWCLSSIFDEYQRFAAAKARVSDQRFMETFDISSLKHLPITFSSSKR >OMO76862 pep supercontig:CCACVL1_1.0:contig10861:79749:80308:-1 gene:CCACVL1_15321 transcript:OMO76862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site MASISALQCMSGGNCRLQLVQAPESSSSSKTTWFRGSSSSSSIGSWKSSKSMENKTTPLPKFGDWDKSDSRSSENITQQFDRYKEERREQSLTLSRPPPNNPPTSYQSASFWSKIFCCVFPRAE >OMO76905 pep supercontig:CCACVL1_1.0:contig10861:264157:268553:1 gene:CCACVL1_15364 transcript:OMO76905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLFHTTLFYLFLILIQVQAKCRTGCTLAFASYYIWQGSNLTYISTIFNQQISEILAYNPQVSSQDQINTGTRINVPFSCDCLNGDFLGHTFTYNTQHGDTYDKIASNFYANLTTEDWVRRVNMYDPTKIPAPGTINVTVNCSCGDRKVSKDFGLFMTWPLRPGESLSSIAVESNVSEELLEKYNPGSNFSAGSGLVFVPAKDQTGNFPPLRISRVIAGISIAGVSGALILVFCIYAGIYRRKKVVEASFLEDHYIQQGRGSGGTLKKHSETTALFASPGLTGITVDVSVEFSYEELAKATNDFSNANKIGQGGFGAVYFAELRGEKAAIKKMDMQASKEFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEFIENGNLSQHLRKKDQRDSLPWPARVQIALDSARGLEYIHEHTVPLYIHRDIKSPNILIDKNFRAKVADFGLAKLTEFGNNSLQTRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLFELISAREAVVKTNEEVTESVGLVALFEDVLDQSDPREDLQKLIDPRLGDDYSFDAICKMARLAKACTQENPQLRPSMRSIVVALMTLSSATEDWDVGSLYENKALINIMSGR >OMO76856 pep supercontig:CCACVL1_1.0:contig10861:63499:64508:1 gene:CCACVL1_15315 transcript:OMO76856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIRAARLNKIAVAFNEAAKAPRRLCNSSGSEHSPDDLSDLVNSFFERDCEVETDDGKIHQEEEEEQDDSDDGYWSDFESKMKHVLQSLLSNNHHDGIEEEDVKQQIRRETELACGVIGDMLSESEDFKRQLMSHLRDKGFDAGLCKSRWEKLGSQIAGNYEYVDVYVNGSRYIVEVNLAGEFEIARPTTSYASLLEALPPIFVGKLKELKKIVKLMCKAMRVSIKNRDMHMPPWRRSGYMKSKWFGHYRRTLNELPARNPTNAGQGKRPVGFEAIPTISFHCRDNFASKAGLKVGYLAAAFNETN >OMO76882 pep supercontig:CCACVL1_1.0:contig10861:176354:177043:-1 gene:CCACVL1_15341 transcript:OMO76882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSQLGVILQESLQRDREARTILRFLREQMDGVDRERRRRSFKERLGFKVIGCCGATWGLGSTNMSVRDDDDEVDVEEEAEQQQERAEATNSGHNPDPGCVYPSPVSSGMNLAAALAAERHFRAAQEPEGGGGNMTRHASNAGLRSPGTPLRVSLMRLLEEAEGGEAEEEKGGVETGNDSVCCVCMGRKKGAAFIPCGHTFCRVCSRELWLNRGSCPLCNRSILEILDIF >OMO76853 pep supercontig:CCACVL1_1.0:contig10861:43236:44314:-1 gene:CCACVL1_15312 transcript:OMO76853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramidase MADGISSFWGPVTSTIECCEKNYAYSSYIAEFYNTISNIPTVLLALIGLVNALRQRFEKRFSVLHVSNMILAIGSMLYHATLQRVQQQSDETPMVWEMLLYMYILYSPDWHYRSTMPTFLFLYGAVFAVVHSVIRFGIGFKVHYVILCLLCIPRMYKYYIHTEDASAKRLAKWYVATLSLGSLCWFCDRVFCKQISSWPINPQGHALWHFFMGFNCYFANTFLMFCRAQQRGWAPKVVYFMGILPYVKIEKPKTQ >OMO76855 pep supercontig:CCACVL1_1.0:contig10861:50481:50999:1 gene:CCACVL1_15314 transcript:OMO76855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQAAKANNEVPSSCAGNSVIIKIGIQLRYQLCIDVPNLRVMVDDITTPAFIYKFSIPLALLSLQPAMVVKHVSNILLLGMNVEPTVRDFASPRIAKFVLEMTKRHRSSQLPNFMMVAHIFTTKIDYIREAEFARISRTIRQEGIGSSKRLNNQHGEEVPAKKEEKNMVTIE >OMO76854 pep supercontig:CCACVL1_1.0:contig10861:47828:48857:1 gene:CCACVL1_15313 transcript:OMO76854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIPAVRFNRIAAAFNEAARAPPVRLCESSGSDHSPEDLTDLSDLVNSFIESNCGVIETDEAGKIMEQEKENENDGSEGYWSDSETKDMIKNLVCNEQDDDVKHKIRVQTEFICDSTEDMSSDGFKRQLMSRLREKGFDAGLCKSRWERFGQHPAGRFEYVDVNVNGNRYIIEVNLGGEFEIARPTTSYTSLINVFPRILVIKPEEMKQIVRLMCRAIRESMKSKELKIPPWRRRGYMQAKWFGHYKRTTNEISDKNMQKKDAVATKRSVGFEMFPSVSYYCRDNFARKAGLKVAYLTAAFNANG >OMO76857 pep supercontig:CCACVL1_1.0:contig10861:66777:68804:-1 gene:CCACVL1_15316 transcript:OMO76857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQSLFSGFCSNSVIPSSKTSRNLLCSSPSSQSYSSYFLQSKYRVSFRRQCFLASQRLRAVSRLECKKSVEKVSDVISGMEDSKPSVKRKRLAVFVSGGGSNFRSIHQACVEGAVNGDVVVLVTNKHACGGALYAGDKGIPVVLFPKTKDEPDALSSDDLVNVLRRFEVDFVLLAGYLKLIPVELIRAYPRSIFNIHPSLLPAFGGKGYYGLKVHKAVIASGARYSGPTIHFVDEHYDTGRILAQRVVPVLANDSPEELAARVLVEEHKLYVEVTAALCEDRIVWREDGVPLIQSKENPEECY >OMO76885 pep supercontig:CCACVL1_1.0:contig10861:184356:186923:1 gene:CCACVL1_15344 transcript:OMO76885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVYAEMKESYEKVLRNDAQGLQAIYQNNRDALFNRITACKDTIFHIAAYKGNEEVLQVLVTMVPPPKKHELLKMKNIYGNTILHEVATSTNVKAAELIIRELLQSGNEIREQEEILADRNKLGETPLFRAAEYGNKEMVRYFADEIKRVGNIENHYSYRRNDELSILHIAVIGQHFETAIWLLNQKQELATYVDKNGKTSLHLLASMGTAFKSSTPPTRLLKEIIYYCLPSHPCNEDESDQLQPNLQNKDLEQGQASNGRQTDRSKALKLAKMLIIADASNWFHEPHEPEEPDTICLERKEEEKKDNCAAETPKNQSPEPDTPLFVAAKSGIVEIVKEILAQYPQVIEHINRRGRNILHVAILHRKYRVYRFVKDTEEAKRLVRGIDNDGCTILHHAADTKYYQGGTKPTPALKLQQEMQWFEDVKREVPSHFTMHRNKKNITADQLFKDMHQEQLKTAQEWVKNTSTSCSTVAVLVATVVFAAAYTAPGGFMADGRPILLEKPMYSFFTVMDVAGLASSLTSVVIFLSILTSSLEFEDFLNNLPRNLSLGFTFLFFSVTTTMLTFTATILLLIHLQKTWTASLTYAAAFLPVCVFALFQFPLYYEYFVAAVKSILEYMRNNLPGNWEFLRIKDDY >OMO76858 pep supercontig:CCACVL1_1.0:contig10861:70150:72031:1 gene:CCACVL1_15317 transcript:OMO76858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGARLGRSSTRYGPATVFTGPDDAVAAAPEEPPRRKFKYIPIAVLEEQRKEAAETEAAENVDDEAKPSEADPNASEPTSRNDGSDEKPDMNDAPMEESQEDNVVRQDLNESTLDLNLGLTAHDGESDSNINRDGQLERMKLSSTGT >OMO76886 pep supercontig:CCACVL1_1.0:contig10861:187259:189014:-1 gene:CCACVL1_15345 transcript:OMO76886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAASVGDWIAGFQTSPFEHMLCPFG >OMO76914 pep supercontig:CCACVL1_1.0:contig10861:321769:322203:1 gene:CCACVL1_15373 transcript:OMO76914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific protein Stig1 MLALFMALVITLISATPSKEEESLVNNEDNDDGDASDSQELPTSLRGISRFLAQKPARATMTCNKYPRVCRVAGSPGPDCCKKKCVNVKTDRLNCGMCGKKCKYSEICCKGKCVNPMSHKKHCGGCNNACDKGSKCLIGMCSYA >OMO76901 pep supercontig:CCACVL1_1.0:contig10861:252082:252162:1 gene:CCACVL1_15360 transcript:OMO76901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASNTVKSSSKTLIDGFKDWIQSEI >OMO76891 pep supercontig:CCACVL1_1.0:contig10861:205845:205904:-1 gene:CCACVL1_15350 transcript:OMO76891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPPRDTSRFASDLAKDY >OMO76889 pep supercontig:CCACVL1_1.0:contig10861:199541:199875:1 gene:CCACVL1_15348 transcript:OMO76889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQVATECSTWFKDRKVQQHVFGLPSSRRQLIFMIGWG >OMO76904 pep supercontig:CCACVL1_1.0:contig10861:262333:263419:-1 gene:CCACVL1_15363 transcript:OMO76904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLAVLPLSQPSFFPSKPLNKSNSFPSWPCKQQSSYQYSTRLNCHKMYVPGPFASPEKQASKNLHNFFNYIAVKIVSAQLEMVDDSNTKLMREYVLETSDVKEKETGK >OMO76898 pep supercontig:CCACVL1_1.0:contig10861:230732:238658:1 gene:CCACVL1_15357 transcript:OMO76898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSNSTCCMMGFGHNSNGLCPMTMMPLMTSHQHHHHHHPHHPNSDSNPLFLPLPPTNNQDHHNHNSSSGSSMILDDNNNNNNGCYVMDTNDGSSSSVKAKIMAHPHYHRLLAAYVNCQKVGAPPEVVARLEACASAATMGSSGTGCLGEDPALDQFMEAYCEMLTKYEQELSKPFKEAMLFLQRVECQFKALTVSSPISACGEAVERNGSSEEEADVNNNFIDPLAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLLEWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVFVDFWNMALSVSRRIVRSFGSLSALGRCDSSTVVSRSSQASDSISRLSVDYLPHAECFGLPKGGLSSLACRRFSSTILTPDSAENAFPSDLLSSKTVLTPDRTIGLYQDLVIPVTNFHNEDKGLMVLAGDVFDVPIRKDIIHRVVLWQLAKRQQGTHSTKTISEVSGTGRKPWAQKKTGRARHGTLRGPQFRGGAIMHGPKPRSHAFKLNKKVRRLGLKIVLSARAAEGKLIVFEDLEVPTHKTKNIVNYVKQMEDSKKLLMVDGGPINEKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMSRDAVNMIVERMHTPINR >OMO76900 pep supercontig:CCACVL1_1.0:contig10861:246262:249792:-1 gene:CCACVL1_15359 transcript:OMO76900 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MSLTSNNFANLPFESKQEQPESIRIPDRLDLRSSPRSRQGSPSHSPRLGPSRFMNGEKSGASFVGAELLGRLWDWGSPKRQPKKGKRKGGKFWYQKKRVKGLVLVIALVGLFFVVNWFMLLRLQDHRVGTKKESSRNASSVSIQGKVKKTSKGKKHYNGTYGRMLALAAHALAEGQNKREPKDLWQEPVVPASAWRSCADHRDWEPNEGKNGYLMVTANGGMNQQRVAVCNAVVVARLLNATLVVPKFMYSSVWKDVSQFSDIYQEEHFINYLTPDIRIVKELPEELRSLDLEAIGSVITDVDIMKEAKPSFYLKNILPILLQKRVVHFVGFGNRLAFDPIPFQLQRLRCRCNFHALKFVPKIQEAGALLLEKLRGHAAQPGVLDPYLVGSHAVSTMIARSNRAVKASRYLALHLRFEIDMVAHSLCEFGGGEEERQELEAYRQIHFPALTELKKTEKLPSPTDLRSEGLCPLTPEESVLMLAALGFNRKTHVYVAGAQIYGGKKRLAALTTLYPNLVTKENLLSPNELEPFMNFSSQLAALDFIACTASDAFAMTDSGSQLSSLVSGYRIYYGGGKMPTIRPNKRRLADIFLKNNTIEWKVFEQRVRKAVRQTKHVQSRPKGRSVYRYPRCKECMCLIS >OMO76868 pep supercontig:CCACVL1_1.0:contig10861:100974:102273:-1 gene:CCACVL1_15327 transcript:OMO76868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MEKMLARRFEGKVAIVTASTQGIGLGIAERLALEGASVVISSRKQKNVDEAVEKLRAKGIQQVMGLVCHVSDAEQRKNLINKTIEKYGKIDVVVSNAAVNPANIPLLQTQESILDKLWETNVKAAILLLKDAGPHLQKGSSVIFISSLGGYQPQPSMAMYGVTKTALLGLTKALAAEMAPDVRVNCVAPGFVPTRFAAYITANEAAKKSFEKMTLLNRLGTTEEVAAATAFLASDDASYITGETLIVAGGTPSRL >OMO76895 pep supercontig:CCACVL1_1.0:contig10861:219381:219945:-1 gene:CCACVL1_15354 transcript:OMO76895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHGGRTGLNRTASKGEKRLEYACDAGFLSNTRNLDEEDSNDPPSSVDSDSCDSECESTPLNDLSASLRVFSDSMLRMELAGMEMVKSMEASRCEAEKRRAESEAELTRMMLRTQSQIASFVAGENKNRKRKRIEEDEQKDSSVRQGALLLSLLQCNLIS >OMO90378 pep supercontig:CCACVL1_1.0:contig08481:4827:6623:-1 gene:CCACVL1_07381 transcript:OMO90378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MASVPPPPLRQPLFPAMFVLGDSLVDNGNNNGLSSLAKANFLPYGIDFQRGPTGRFCNGKTIIDFLGDLLGLPLLPAFTITFTGQIDIRSGVNYASAAAGILDESGQNFGDRFSFRQQVQNFGVTLNQLKNQMNEEELDHSTFNPEEFADLLITNYARQIMALYRLGLRKFLLAGVGPLGCMPNQLATGHAPPGKCVANVNDMVRIFNKQLKSLVDQLNADYTGTGSIFVFADTYGAFTDILSNPATYGFSATDRGCCGIGRNKGQITCLPFSIPCLNRDEYIFWDAYHPTQAFNEIIALKAYSGSQSLCYPINIKQMAQV >OMO83260 pep supercontig:CCACVL1_1.0:contig09916:40212:44086:-1 gene:CCACVL1_11475 transcript:OMO83260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNSHFSSPLRSLRRSRTSDSDLATRLSSLDSSSLIRLNFSASSAIKTAFSSTKRNIVYASNSGANSVGSASLKSEQDADPMPVVLIDQDSDPVATIVQLSFGDRLGALIDTIRALKDLGLDVAKGTVDTEGSVKQTKFFITRLDGRKVEDPDILEQIRLTIISNLLKYHPESSLQLAMGEAFGVMAPEKKLDVDIATHIHVKEDGPKRSLLCVETADRPGLLVEIIKIIADINIDVESAEIDTEGLVAKDKFHVSYRGAALNNSLSQVLVNCLRYYLRRPETDIDSY >OMO83263 pep supercontig:CCACVL1_1.0:contig09916:54171:55836:-1 gene:CCACVL1_11478 transcript:OMO83263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQEQKPYSRVTRKGERRLSWRREPAFASACGCQHHH >OMO83256 pep supercontig:CCACVL1_1.0:contig09916:12820:14813:1 gene:CCACVL1_11471 transcript:OMO83256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MPRGRGDKEDGEKHMGLLKLVQVLSFLVVFVAGIIIGLATSSHINTYFSSQAQAQLFSTSTATSFRVSTSSNKANCSTRCEKSDCLSLDAFLHPTATNLSHKMSDEELFWRASMLPNKNGYPFPRVPKVAFMFLTRGPLPLLPLWERFFKGHEKYFSIYLHSPPAYHLNVSSNSPFYGRQIPSQNVEWGTVSLADAERRLLANALLDFSNERFVLLSESCIPVYNFPTVYKYLIGSAYSFVESYDDPSRYGRGRYNRKMLPDIKLYQWRKGSQWFEMQRSVAVYVISDTKYYTLFKKYCRPACYPDEHYIPTFLNMFHGALSANRSVTWVDWSMGGPHPAMHAGDNITESFIQAIRNNGTLCSYNEEQTSVCYLFARKFAPSALEPLLNLSSTVMKF >OMO83255 pep supercontig:CCACVL1_1.0:contig09916:1297:10287:-1 gene:CCACVL1_11470 transcript:OMO83255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIEELADLSDAMKQAASVLADEDVDETSSSSSKRSSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPITVDLARDGSLSTKSIILQIDNKSQQVSASALRHSLQDRLSKGSSGRSRDEIYLKLRTSTAPPLKLIDLPGLDQRIVDDSLLGECAEHNDAILLIIVPAAQAPEISSSRALRIAKEYDSEGTRTVGVISKIDQASSDSKALAAVQALLLNQGPPKTSDIPWVALIGQSVSIASAQSGSASSDNSLETAWRAESESLKSILTGAPPNKLGRVALVDTLAGQIRNRMKLRLPNLLSGLQGKSQIVQDELLRLGEQMVSTAEGTRAVALELCREFEDKFLQHITGGEGNGWKIVASFEGNFPNRIKQLPLDRHFDLNNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLLDIVSAAANATPGLGRYAPFKREVVAIASAALDGFKEEAKKMVIALVDMERAFVPPQHFIRLVQRRMERQRREEELKNRSSKKALDAEQSILNRATSPQTSGQQSEGSLKSMKDNKSGKQEKEGQEGSALKTAGPDGEITAGFLLKKSGKTNGWSRRWFVLNEKTGKLGYTKKQEERHFRGVITLEECNIEEAADDEGGSSKSSKDKKANGPDKGPNLVFKITSRVPYKTVLKAHSAVLLKAESAADKVEWLEKLRTVVESKGGQLKGESAPPMRQSLSDGSLDTMARRPADPEEELRWMSQEAVVLCQVEKAKEDMLIQLYSSVSAISNARIEDLLQEDQNAKRRRERYQKQSALLSKLTRQLSIHDNRAAAASTWSNGSVAESSPRASGSSSGDEWRSAFDAAANGGPELSRYGSMGHSRRYSDPAQNGDVGSGSNSGSRRTPTRLPPAPPQSGSSYRY >OMO83262 pep supercontig:CCACVL1_1.0:contig09916:47219:53899:-1 gene:CCACVL1_11477 transcript:OMO83262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSMHRDLSNDNNKVQMDNGKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQSVNRKLTAMNKLLMEENDRLQKQVSHLVYENGYMKQQLHTGSATTTDNNSCESVVMSGQHQQQQNPTPQHPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKIAEILKDRPSWFRDCRCLDVLSVIPTGNGGTIELIYMQTFAPTTLAAARDFWTLRYTTSLEDGSLVVCERSLTSSTGGPTGPPTSNFIRAEMLPSGFLIRPCDGGGSIIHIVDHVDLDVWSVPEVLRPLYESSKILAQKMTIAALRHIRQIAQETSGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFADDGWSLLGSDGVEDVTIMINSSPGKFLGSQYNTSMFPSFGGGVLCAKASMLLQNVPPALLVRFLREHRSEWADYGVDTYSAACLKASPYAVPSARPGGFPSSQVILPLAHTVEHEEFLEVVRLEGHAFTPEDVALTRDMYLLQLCSGIDENAVGACAQLVFAPIDESFADDAPLLPSGFRVIPLDPKTDGPGASRTLDLASALEVGPGSNRSAADGDANNYNLRSVLTIAFQFTFENHLRDNVASMARHYVRSVVGSVQRVAMAIAPSRLNSNMGPNSLPGSPEALTLAHWICRSYRIHTGGELLRADSQAGESLLKQLWHHSDAILCCSLKTNASPVFTFANQAGLDMLETTLVALQDIMLDKILDEAGRKILCSEFSKIMEQGFAYLPAGICVSSMGRPASYEQAVAWKVLNDDEENHCLAFVFTNWCFV >OMO83258 pep supercontig:CCACVL1_1.0:contig09916:19975:21541:-1 gene:CCACVL1_11473 transcript:OMO83258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSESEAESIREASKELSRQFKTLVDAHDLDSLKHLQHLILGRLQDSNAVLSHFNEYSENCFAEVYSDFARNTRLLKTMKSDLDHIFQKIRSMKAKIMATYPDAFPDESMREAFDSRPDLEIPQ >OMO83261 pep supercontig:CCACVL1_1.0:contig09916:45954:46559:1 gene:CCACVL1_11476 transcript:OMO83261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MTRIMTRSKKTKKSDNDSCPICLEELGDEVNRKVLKCKHVFHRSCINKWLVKSTRCPVCRASSGPDHVMDSSEHRGSNFSHEHGRDQVMGSSDYWGSNFSHEHGRDHVMDSSQYWGSNFSHGYVPYQVVDSSAYWGSNFSHGYVPYQVMDSSQYWGSNFSHGYVPHQVMDSSDYWGSNFGHEYIPKPEELMDLLYRSVLQT >OMO83264 pep supercontig:CCACVL1_1.0:contig09916:59964:62679:-1 gene:CCACVL1_11479 transcript:OMO83264 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding transcription factor, subunit B MTSSGHDLSDNNEVDEQQKHSDSEDQSSSPATGLSHSAITTPSIPYTTSQHAVAPAAYPYPDPYYRSIFAPYDAQPYPPQPYSGQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESENKAVKSRKPYLHESRHLHALRRARGSGGRFLNAKKNKNDQNGAASGDKSSNINLNSDKNEVASVEGTS >OMO83257 pep supercontig:CCACVL1_1.0:contig09916:15781:18988:1 gene:CCACVL1_11472 transcript:OMO83257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGLYGDLPPPSDEDNKPSSNSTVWSSSTKMAPPTLRKPFSGFAPPQTILRSQNKSKNPIAKPTPSVSASVSIASAAVIQEDVVQQRQPALVGVTSTVMEEYDPAKPNDYEDYRRERKRKAMEEEMKREMERRRREEEEREREREERERERERDDSRLNISGEEAWRRRAAMSGGVPRSPSPPGNPDGFSIGKSETSGLGVGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITTPLMAKKTDRRAGVIVNASETPKTDKKVKSVNFNGTPTRVLLLRNMVGPGEVDDELEDEVGSECAKYGTVTRVLIFEITEPNFPAEEAVRIFIQFERSEETTKALIDLDGRYFGGRVVKASFYDEEKFSKNELAPMPGEIPGFF >OMO83259 pep supercontig:CCACVL1_1.0:contig09916:29003:29904:-1 gene:CCACVL1_11474 transcript:OMO83259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASENLGWKLKETEEDEEGQLQVNWQEEVIRAVYWLKDRKFGEMAFTVGIGGPAGSRKTTLVMALCKALAAQPLSLAVVVHDPETQSHLDLDVNTLVDGGALP >OMO83265 pep supercontig:CCACVL1_1.0:contig09916:78641:81763:1 gene:CCACVL1_11480 transcript:OMO83265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase FAD/NAD(P)-binding protein MAHSAVSQVSIAAPIGSDISLRRSVVKGVDNGRSECVVSNAALCSLLSRYSIKKLFVEFFLDIVAANRNSISFRDKSWASTLSWDLKSQTAQKSNQFIVRMSVQQASKSKVAVSPLELEDAKEPPLNIFKPKEPYTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPHNVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPSKSGVCSNFLCNSKPGDKVQITGPSGKIMLLPEDNPNATHIMIATGTGVAPFRGYLRRMFMEDVSFKFGGLAWLFLGVANSDSLLYDDEFSKYLKDFPDHFRYDRALSREQKNKNGGKMYVQDKIEEYSDEVFKLLDEGVHIYFCGLKGMMPGIQETLKRVAEQRGENWDEKLSQLKKNKQWHVEVY >OMO53871 pep supercontig:CCACVL1_1.0:contig15130:34585:38874:-1 gene:CCACVL1_28276 transcript:OMO53871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MEAFSPKSDAFFFHGGSEGLYASRLHEPPTSSSSSSSSAETKQFYKGKSIISFDSVTFVRPKESANRQNEMQQNTGLVEAIILEVKDRERIGGAFLKSDSICCNKQLSEKGSCKLGEVIIHKNPDNPEWPKRIKTFFQGTSDEAKMDPDTIEINSTGMYYLYFMFCDPQLKGTLIKGRTVWKNPNGYLPGKMAPLMTFFGLMSFAYLVLGLGWFLRFVQFWKDIIHLHYHITLVIALGMCEMAVWYFEYSNFNSTGTRPMGITLWAVTFSSVKKTLSRLLLLVVSMGFGVVRPTLGGLTSKVLLLGLIYFIATEALELVEHLGNINDFSGKVKLFLVLPVAFLDACFILWIFSSLSKTLEKLQMRRNLAKLELYRKFTNALAVSVLLSIAWIGFELYFNATDPLSELWQMAWIIPAFWNMLAYFLLVVICVLWAPSHNPTRYAYMEEMGEDSDEEGIALTGNGGDMAMKLERQAIIGDDLEEDKRE >OMO53872 pep supercontig:CCACVL1_1.0:contig15130:56356:60979:1 gene:CCACVL1_28277 transcript:OMO53872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MCHSTGVHGLRGKPHGAPSKPHWRPTLVETSHQHHMGAGDLGPESNALGAQAIYMGAQGESGCSHARAKHAIMRVFTLKTLHLFPDLSTFLSCTVKEREEAAGLQALCCMPNTRSSGTKDLEFNSEPERTLFALRKENLFREQGGDSSTPSSQASSPRSTTSSSSLESQSPRENMAEENNNNRTLRELAAPIVTTQHLAIQYPTNEENFEIKYGFVQLLPKFHGISEEQFKLRTFPFTLMDRAKDWLYYMPSGSITSWTSLKKLFLEKFFPVHKASSIRKEISGIKQSQGGTMYEFWERFKRLCSSCPNHQIPQQLLIQYFYEGSLPFDRSSIDSASGGAFIDKTPTNAWTLIENMAANTQQFGHHMDKCPSLVEDDVEEVNALGMEEGFQRKQEPFPRSYNSYGNQGAYQARPNNYPRQSSHYSEGMQQMRETMEIIKKQLGQLASDVSELKAQGQNKIPSQSRVPPKENVNAITLRSGKELEEPYPTKSTMDEGRSKKEEELHVLAKEIKIEDDEPIILEFFKDNGEAKETSVVKPKLDSKKEHFPSKSRKSMKEDEDQDILDIFRKVEVNIRLLDAIQQVPRYARLLKQLCTNKKRLQGKLNVGATVSAILQRHLPPKCKDPGMFSITCSIGNTIIDNAMLDLGASLSVMPYSFYQTLNVGPLKQTDVVLQLADGSLVHPKGVLENILIKVQHLIFPIDIFVMEMEGEITKDQCPLLLGRPFLRTSHTKIDVFDGSLTMEFDGDVIHPKLSSNLSLKTNDFVCVVEKWVKKELGTTSSTMTSEQLSKGRQRITTFRQRMDENFHLAWERFKTLCADCPQHGISQQVLIECFCQGLEVDDQLLVDSINNIPLFDRTVKDAYEALEALTQAIAPPSWIKKKNKEKLGERGKISNNTRKNVNKELKETREKLLKIDGTIIELFKAMSDTLNITVELLKEHTQRSFTNRE >OMO53870 pep supercontig:CCACVL1_1.0:contig15130:22368:27578:1 gene:CCACVL1_28275 transcript:OMO53870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKFGLIICVWMILLWGNCLGRFVVEKNSLKLISPESIKGVYESAVGNFGVPQYGGNLVGIVVYPKQNQRACMNFDDFGDSFKFRPGALPIIVLVDRGDCFFTLKAWNAQMAGAAAILVADNRDEPLITMDSPEQDNTYAEYLQNITIPSVLIEKSLGDSIKKELSRGEMVNMNLDWTKFLPNPDQSIEYEFWMSSHYECGPKSDNQIEFVKNFKGVAKRLEQEGYTQFTPHYITWYCPEAFILSKQCRSQCINHGRYCAADPAQGYFDQDYSGKDVVIENLRQACLFKVASDSGMPWLWWDYVTDFATRCPMKENKYNKECADQIIRSLGLDLTKIDQCIGDPKADVNNPVLQAEQDAQIGEGSRGDVTISPTLLINNRHYRGNLCKGAVLKAICAGFQETIMPAVCFGEGFEANYERLENKDDTAVRTKTNYEHLENKYDTAVWTEANYERLENIYDTAGRTEANYQSLENKYDTVVWTEANYERLENKYDSALVVEKNSLRLTSPESIKGVYESAIGTFGVPPYVKTLVGTVVYPKANQRSCKNFDDFNVSFKSKPGELPTVLLVDRGDCYLALKAWYGQKAGAAAVLVADDIDEPLITIDTLGNARYVQNITIPLALITKSLGDSIKNALNSGEMVNMTLDMTRSLSHRDDQRVKYEFWTSSNYECGPKCDNQIEFVKNFKGAAQVLEQKGYTEFTPHYMISYCPQAFVLTRQCKLQCINHGRYCAPTPEQDFGNGYVGKHVMVQNLRQACLFKVANESGKPWLWWDYVTEFATRCTMKENKYTKECADQVIQSRGIDLAKIDKCIGDTAADVENPVLKAEQDAQNGNGSRGNVTELPTLVINNRQYKGKLEKGAVLKEICASFQEKSQKTEPSICFSEGHFPRDS >OMO88265 pep supercontig:CCACVL1_1.0:contig09060:1529:1609:-1 gene:CCACVL1_08495 transcript:OMO88265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVSVGARGLKPPLSWVTYGSRYGG >OMP07604 pep supercontig:CCACVL1_1.0:contig04318:313:498:-1 gene:CCACVL1_01275 transcript:OMP07604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPLREKDNMQLRIVNYILYGNGKPTRRISDTSIQLVRTCLVLNWDQDNKSSSADEVCASFVE >OMO56352 pep supercontig:CCACVL1_1.0:contig14533:317:2455:-1 gene:CCACVL1_26612 transcript:OMO56352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MVTGWRRAFCTSIPKKQDSPVLPEKQQHQQQQQEQSNNTKSPRFASKFGFFSNPSTPRLQSQPVSSPSLRCRTTSTPTSSLPNSPKLHCKTSHFSNPSSPKSPSSFSLLKATLRLSKGGRCGICLQSVKTGQGTAIFTAECSHSFHFPCVAAHIKKQQLLICPVCSTSWKELPVLSLKQSEQPDKTSLHSIKTKSLRVYDDDEPLASPVSLSQFNPIPESETENDDVEEEEFQGFFVSPRMGREIGVNARNVEVRLLQEAAMVAVGRSYESYVVVMKVHAPTVTRGVKRAPIDLVTVLDVSGSGMRLQMVKRAMRLVISLLNETDRLSIVVFSSSSKRLLPLKRMSSHGRRSARRMVDALGSNGQGMSVNDAVKKAAKVLEDRREKNAVASIMILSDGQDKQSSQINPSNQNLPVVSTTRLAHLEIPVHSIGFGTCTHAPSDDAFCKIVNGLLSVVVQDVKLQLGFVSGSAPAEISSVYSLTARPASFGSNSVRVGDLHSEEERELLVELKVPVSSSGSHRVMSVRSSYRDPFTQEMVYSRDQSLLIPRPPQSVRSSSQGTGRLRNLHVSTRAVAESRRLMERNDFSGAHHLLTSARALLMQSGSSSAEEFIRGLEAELAELNRRRQRQRVNNNNTNNNGQLEEKGEPLTPTSAWRAAERLAKVAIMRKHMNRVSDLHGFENARF >OMP04009 pep supercontig:CCACVL1_1.0:contig05863:3705:3782:1 gene:CCACVL1_02212 transcript:OMP04009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PVRQEGGGTGEGHGVREARDTLQYN >OMP04010 pep supercontig:CCACVL1_1.0:contig05863:6887:7339:1 gene:CCACVL1_02213 transcript:OMP04010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MSAGLGKCSKIRHIVRLRQMLRRWRNKARMSAGRIPSDVPAGHVAVCVGTSCRRFVVRATYLNHPVFKKLLVQAEEEYGFTNQGPLAIPCDESVFEEVIRFISRSESGHSARFVNIEDFKGNCHVGIRNKLDLWTESRPLLHGFAEKTIW >OMO85514 pep supercontig:CCACVL1_1.0:contig09612:19883:20002:1 gene:CCACVL1_10138 transcript:OMO85514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLKSKTRSKKPVIPKGAISSIISKLEAIQWPIPTGNP >OMO85511 pep supercontig:CCACVL1_1.0:contig09612:7801:8843:-1 gene:CCACVL1_10135 transcript:OMO85511 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MDNNAAASSWPAGLRQQSQWLHIQTTLSDLEGKMKAVMTLLEQDNSSACQKADISFNRKSEVVRMLGEVNRSYSSLVEKYDQLMSKSQSLPRSGSSSMSNSRDIQQTINQGRVVESSDDPITEASDSCPESVVDDPDFEHYSSSFEYLNKLADDLTLTEQCSMSFNRKPEETNRGFLDEEDFTSKINGFRRFKPKAAEFRKCSSERENIQFQFTKLMEENLRQQAELLRRNEEKRETIKELRLQLEYLKSENRTLQKCLGCSKMGVKRSHSQTSRSKGLIFGKFFSGGCS >OMO85516 pep supercontig:CCACVL1_1.0:contig09612:29947:33631:-1 gene:CCACVL1_10140 transcript:OMO85516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MIAIPYLTALTTYFSYGLLFAFGQIRDFFRKFVDWCSSSNNLQGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDNNKTLKRTSNISRCLNLGSYNYLGFAAADEYCTPRAIETLKKFSPSTCSSRVDGGTTVLHNELEECVARFVGKPAAIVFGMGYVTNSAILPVLMGKGSLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEEVLREQIAGGQPRTHRPWKKIMVIVEGIYSMEGELCKLPEIIAICKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDTADVDVMMGTFTKSFGSCGGYIAGSKELIQYLKYTCPAHLYATSISPPCAQQIISSIKVVLGEDGTNRGAQKLARIRENSNFFRSELIKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISASHTKEDLIKALEVISKVGDLVGIKYFPTEPEKQQQDERMKSE >OMO85508 pep supercontig:CCACVL1_1.0:contig09612:612:3413:1 gene:CCACVL1_10132 transcript:OMO85508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MGSNQSSQLVEEDQEESQDEEEEEEEEDDDDEEDHNEEEPNIRELENNHLVKKVLEQEPEMLPCYASASPLSPQLSSLGTPRIGPSIKVWDPYNVLAPPPPLPPPPVFSRSYSSLDDDRMVSEVYLISHGECELNLRPDIVGGRCDGAALTSNGKRQARALAVFLNSQGIRFNAVYCSPLDRARSTALSVCQEMNFADAQIQSSDALMDLNMGHWEGCHRSEIYTPEVLSLIERYQPDFCAPSGESLRQLEFRMVQFLNGTVLGLPEKLRSDFSLHQNDSQGFTHHNTHALTNSVHDRDVPSLQSSHWDMLQRQRPGISRKKSGKSRLQFVSNIGEHDADDEMSPREVNHQPDLHDLNVRGSSSPSTSSASTSVGVFTHSLPIKCLITGLLDCSPVMSHKICIEDSSVTVLQHSWKTGWQIKRLNDTAHLRLL >OMO85517 pep supercontig:CCACVL1_1.0:contig09612:34931:35503:1 gene:CCACVL1_10141 transcript:OMO85517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKSPDEPALPAKRKPDQDHETLPLKSPKLQAADETVQGNHSSENHILQPSEKNDSSLPGPGPENEKSGILIEEEEDSDDDDDSSDGGNESGVESDLSDDPLAEVDLDNILPSRTRRRTVQPGVYIAKDGGSNADADDSDDSDA >OMO85518 pep supercontig:CCACVL1_1.0:contig09612:39457:42019:1 gene:CCACVL1_10142 transcript:OMO85518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFIPIWDLDYARSVLTPLSNDTQTMPSLSSDTYRQVLAEVMNMNNRRILSYKKKPYEPVEYWPSSSKHPSSDLQYLKLLKPKRHIPQSPDSERDAPGLVENFGLNLLDWGSANVLAIALGNSVYLWDTSGGNVSKLVTVDDEIGPVTSLSWAPDRGHIAIGLNNSEVQLWDGASKQRLSTIRGYHKSRVGSMDWNNHNILTTGGRDGKIVNTDVRIKSGVIGAYRGHKQEVCGLRWSASGEKLASGGEDKLVHLWDMSMASSNSRTNKWLHRLKDHTSAVRALAWCPFLSDLLATGGGGKRDRKIKFWSSETGECLKSLDTGSEVCALLWNKNERELLSSHGSPQNQLVLWTYPSMFKIAELYDHTSRVLYMTPSPDGCTVATAAGAQGHEKLNSWNVFGDPKVAKPAPKVKQEPFARVSLIRKTLPYSMATRVGGSYFKFRYEVGRHWWAGGKTAFNKNWSSLFVGQKDAFHELDKFLIKIAELTGHTSRVLYMTQSPDGCTVATAAGDETLKFWNV >OMO85515 pep supercontig:CCACVL1_1.0:contig09612:24562:27383:-1 gene:CCACVL1_10139 transcript:OMO85515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMATPSVHEKPEAFGLVAGVEGVTMEGDKTTRRVSGRSGLTRDLMAAVPSFGVYRKKRMARQRRSSSTIKLLSFTPTSTTSTPTSPPHVPSSSSSPPARAIDPKRLRFLFQKELKNSDVSSLRRMILPKRSAEAYLPVLESKEGIFINMDDIDGLHVWSFKYRFWPNNKSRMYVLENTGEFVNTHGLQLGDSIMVYQDSQNQKYVIQAKKASDEDIYTDIARNAVNDLILHDNEVSKPTSSYYYPTMDDTGGMSFIYDTTFSNDSPLDFLGGSLTNYSRMGSLETFGSVENLSLDEFYRV >OMO85510 pep supercontig:CCACVL1_1.0:contig09612:5141:7366:-1 gene:CCACVL1_10134 transcript:OMO85510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARRASAESPPRANSAIIEFQEETLDLSTYLPNHVVRLDFVE >OMO85513 pep supercontig:CCACVL1_1.0:contig09612:14694:17528:-1 gene:CCACVL1_10137 transcript:OMO85513 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1 complex, subunit C MASRYWMVSLPVQNSASSLWNNLQDQISKHSFDTPLYRFNIPNLRVGTLDSLLALSDDLLKSNSFIEGVSHKIRRQIEELERVSGSESNALTVDGQPIDSYLTRFVWDEAKYPTMSPLREIVNGIHHQVAKIEDDLKVRVAEYNTMRGQLNAINRKQSGSLAVRDLSNLVKPEDIITSEHLVTLLAIVPKYSQKDWLSSYETLTTYVVPRSSKKLYEDNEYALYTVTLFGRVADNFRVSAREKGFQIRDFEYSPEAQESRKQELDKLIQDQDNLRSSLLQWCYTSYGEVFSSWMHFCAVRVFAESILRYGLPPSFLACVLAPSVKGEKKVRSILEGLCDSANSTYWKTEDEGGAMAGLGGDADAHPYVSFTINIA >OMO85509 pep supercontig:CCACVL1_1.0:contig09612:4284:4631:1 gene:CCACVL1_10133 transcript:OMO85509 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide repeat-containing protein MATITKFFSAAAAIPMFIFLANLQGVRNEPAISASPAVFPSVNAPNMSSFFPSQSPPQWPTTSSAVPPDSEAFAPIPSSGEFVGKSSCTSANSNAAIVMLLQLFTFLVIRLISTV >OMO85512 pep supercontig:CCACVL1_1.0:contig09612:11247:13627:1 gene:CCACVL1_10136 transcript:OMO85512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISDSSKQSLIPSFLYSSSAKSFSLDRLLNANTTTTNSAFADAPAAVSSPSIKSRSFMIPSPNEPGKKIEMYSAEFYAACTFGGILSCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDLVGPEYFAKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGMSDGFPKFVKSEGVSGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKYGIPTPKDQCSKSLQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGAPAAPPATTADLAKA >OMO62729 pep supercontig:CCACVL1_1.0:contig13191:10026:12016:1 gene:CCACVL1_22667 transcript:OMO62729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMVSYSQVFAVLISVFVWLLLGCSFLSYATQEDIDCLKSIKASLQDPFNYLNSSWNFNNNTEGFICRFTGVECWHPDENKVLNIRLSDMGLKGEFPQGLHLCKSLTGLDLSSNSLHGSIPSNISDVIKYVTTLDLTSNNFSGEIPKGLANCSFINILKLDHNKLTGQIPPELSLLSRLKTFSVANNLLTGPIPRFQAPNISISAGDFANNLGLCGKPLDPCQATSKGAKTGIIAGAAIGGVTVAAIVVGIILFFYFRKVSMFEKAVSKMRLNDLLKATNNFNKNNIIGSGRTGTMYKGILDDGTSLMIKRLQDSQHSDKEFASEMATLGSVKHQNLVPLLGFCMAKKERLLVYQYMANGTLNDNLHSLDDANKAMEWPLRLKIGIRAAKGFAWLHHNCNPRIIHRNISSKCILLDADFEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVVLLELVTGERPTHAAKAPENFKGNLVEWITQLSNDGKLQDAIDTSLVGKGFDNELFQFIKVACSCVLPPAKERPTMFEVYQLLRAIGERYNFTAEDEILMPSDTGDAHLEELIVAREVNGNN >OMO77416 pep supercontig:CCACVL1_1.0:contig10764:779:5941:-1 gene:CCACVL1_15020 transcript:OMO77416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNKSELEDLKKTLLLFKPLKIKWDKRPRPQFHADGSREVSEILFTWSKGCIMHGTARVGSCMAAHGSW >OMP00035 pep supercontig:CCACVL1_1.0:contig06737:3039:7048:-1 gene:CCACVL1_03486 transcript:OMP00035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIKQNTNDEKNPSGNRIYGTSDISSSRIRSNSFLASACKSVIKQVYGDDFRGILIGKNFKFLYWTTRFTIADSLPTGSLVANSSPEDLQQQMFNNKQNNNKFASKKV >OMP00036 pep supercontig:CCACVL1_1.0:contig06737:9042:9143:1 gene:CCACVL1_03487 transcript:OMP00036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPLGSFRQQKCTSEGIFKKIVDAMIGIGGMD >OMO57869 pep supercontig:CCACVL1_1.0:contig14304:5592:8412:1 gene:CCACVL1_25672 transcript:OMO57869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEAEIRALQLDSAEDNNGVVNPEEAKPEDVEMADKVEEEGAKSHSVQAESKGKEKEVVPEEAEALDEVETERKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESW >OMP03350 pep supercontig:CCACVL1_1.0:contig06094:5653:7447:1 gene:CCACVL1_02466 transcript:OMP03350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MASTQNLLKRTIFPSPSSSHNHSFLNTPFLSLKTQIKPFFFSIGIHNNLQSPSLSLTFSRRFFLLPSVSGIWDALTGNNNAREALFAIRRGMLLFRQGDVLGSLAEFEKAIELDSRQKAYLWQRGLSLYYLDRFEEGAEQFRIDVAQNPNDTEESIWCFLCEAQLYGVDEARNRFLEVGRDPRPVMREAYNMFKDGGDPEKLVAGFSNGAPNEYFYASLYAGLYYESQKKSDSAKVHIVSAFQSPYGQRSDDYMASLAKVHCLCRNWNSE >OMP03349 pep supercontig:CCACVL1_1.0:contig06094:1447:4092:-1 gene:CCACVL1_02465 transcript:OMP03349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MASEQHQVIQIKLNGPNYSYWSYLMRTFLIGKELWGYVDGTIVKPDSTNTEYAKLKKEWETYNARILSWINNAVEPSIGMHLAKFKTAKEVWDYLSNLYVQSNFAKRYELEKVIRSEGQKDRSIQDFYNFMNGVWDQLDMMDPPELSSIAAYLKLREEQKLVQFLMALRNEFEQLRGSILHRSPLPTVHSVVSELIAEETRLKTPTLPIVNTQAVLMASSQLRPTNMNSLVRGTQRIAIDECGYCHEKGHWKKDCPKKNKSRGILPHPSQGFQHGRGAARTMPLPRQNSALATTVSECEPKHITYGGNVNHDDLESIVARQVQQYMGSCIKTDLAAANFSAMSVADQGTNKIPPSPPSESSSVLTKEELIVLDPFSNSELCDTLSNYENLQNSIDNSVGDSFNSDREGIQVSSEPSTLSQSDPLPLQESHSIPEDVNPVSTQPER >OMP03352 pep supercontig:CCACVL1_1.0:contig06094:17741:22949:-1 gene:CCACVL1_02468 transcript:OMP03352 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MRHFSSMFNGLARSFSLRRGKNSVNDDGREAAEAMAKDAKKNELILRSSGFVNVDGSNNFASVFSKRGRKGVNQDCAIVWEEFGCQADMLFCGIFDGHGPWGHFVAKKVRESMPPSLLCNWQETLAQSSLDPDIDLESDKKHQRFHIWKHSYLKTCAAVDQELEHYRKIDSFYSGTTALTIVRQGDLIYVANIGDSRAVLATTSDDGNLIAVQLTVDFKPNLPRGLRSFSSGAQPPENVGNLENNLDQGRMRENLSAPFASRFQSFTPSSGQRGFGMRGNFNSMNFVRDAIAEDGRGFPGASQPRYNLEHDADIVHIKLKRNNTFVTVTDSKGNKKCGASNGQLSELKGGASRYAAEATAEHVGRMARNMGVKSVVVRVKGFTHFRKKRQAIMSFREGFGNTRSGQNPVVYIEDTTRRPHNGCRLPKKRRI >OMP03351 pep supercontig:CCACVL1_1.0:contig06094:11178:17076:1 gene:CCACVL1_02467 transcript:OMP03351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D/Transphosphatidylase MHEHRPTGNFPAGSTGSFRQCVEIDSIFEQLPKATIVSVSRPETGDMSPMLLSYTIEVQYKQATFLPLLLNFSVAASIEKHLFKWRLLKKASQVLYLHFALKKRAFIEELHEKQEQVKEWLQSLGIVDHVAVVVQDDDEPDDGAIPLHNEGSSKNRNVPSIAALPILRPTLGGQQSVSDKAKVAMQGYLNHFLGNMDIVNSREVCKFLEVSKLSFSREYGPKLKEGYVMVKHLSNVCGDDDVGCFPCPWFGCCSNKWRKVWAVLKPGFLALLEHPFETKLLDIIVFDVLPTSKEDEKYRVSCGNGSINLRVTSNGKVKEWVAAINDAGVGAPEGWCYPHRFGSFAPQRGLNEDGSRAQWFIDGKSAFEAIASSIEKANSEIFITGWWLCPELYMRRPFESNSSSRLDSLLEAKAKEGVQIYILLYKEVSIALKINSSYSKKLLCNIHENVRVLRYPDHLSTGIYLWSHHEKLVIVDYQICFIGGLDLCFGRYDTIEHRVGDCPPFTWPGRDYYNPRESEPNCWEESMKDELERGKYPRMPWHDVHCALWGPPCRDVARHFVQRWNHAKRNKALHEQAIPLLMPQHHMVLPHYMGNREMDIESKGNNQKDLSRQDSFSSRSPFEDIPLLLPQESDGLVSNGDQNLNGMLKKKHDHLSQADGVHGSFLSSHDSEDDHHCIDPRSDLESYEWWGTTANDDNDASASDYGDIGPRAACHCQIIRSVSQWSAGTSQTEESIHTAYCSLIEKAEHFIYIENQFFISGLAEDDIIQNRVLEALYRRILRAYKEQKCFRVIVVIPLLPGFQGGLDDMGAATVRALVHWQYRTISREKTSILHHLNTKLGPKTWDYISFYGLRSYGRLSDGGPIATSQVYVHSKLMIIDDRLALIGSSNINDRSLLGSRDSEIGVVIEDKEFVESSMNGESWKAGKFAHSLRCSLWSEHLGLQPGEISKISDPVLEQTYRNLWLATAEANSKIFQDVFACIPNDLIHSRAALRQDMAYWKDKLGHTTIDLGIAPEKLEIKDDGETQSADPMERLKLVKGHLVIFPLKFMCQEDLRPVLIESEFYASPQVFH >OMO68618 pep supercontig:CCACVL1_1.0:contig12202:433:6615:1 gene:CCACVL1_19883 transcript:OMO68618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMGKHDDGRSVFPLTSLQIGDLQSYLSDLNLFLALESNKFYILVDNRPWLWDLGARPAHFWQFMVTKSRLSPFANTKGRRERKEKKEKKEDSSKSHAEDSKKFEKWFSLIDAATLSKKRALLPVKKLKTSLILNKELHRVLYGFIVFEVTWSNVRGLNYLNELQTDTYLAVEAKLMRRWEFDSIEQAASCISLWFSGTKPEQCRLQEYLDSAIGEVFYDAEEDFPRPININDDDDDENINDDSFSFEDNISHDHCGNFRVYPATMDSETIEPHTPPPTGPYKRRKVTKAITTGVEVDVYCEEMQPQVENPSDNTNENAVEATEYRDVLILFRFDDRDLPFKLQQIITHDLRLLRLLEAGLPSWVLFLQSYPVFCHIYRPWMCPLARALYVMISIVTVLIGFYDLYKNVPVLKATASRLCGPLFDWIETWEMVSRIKYLGTMLFLHNFQKAVKWFFSVTHASRSFFSFLNIPLAEPFMEFVGFLLPIWNMVSEVVESFFSVIWIVIGSLYDLVGDLIEVVLMPVWFIGLILWNIVSTVLYPIFWILWEMLYAPMRLVLALASFVAYIYGFVSVSVGNIWQSLSSIIRLASASEATVSSYEVSIWRSLWNDLFSQIFRAIRSILNGFVAFFTACNRHRLSIYNHIHDFIQRPLGGVPTSRTSDPRRSKSPSGEQYPSEVRRRVQSKPSHHATLSF >OMO68619 pep supercontig:CCACVL1_1.0:contig12202:7872:9191:-1 gene:CCACVL1_19884 transcript:OMO68619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MSGQQEEDKKPGDQSAAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVELNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGTIALAVF >OMP02379 pep supercontig:CCACVL1_1.0:contig06263:529:612:1 gene:CCACVL1_02815 transcript:OMP02379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFAKSKLIRKRALMGPRKTRNNKCSE >OMO95047 pep supercontig:CCACVL1_1.0:contig07742:1729:1938:1 gene:CCACVL1_05616 transcript:OMO95047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QRHFLTHRSELLTVGLSSSFAFPIERDTFLFPTMNHLKHRKDHPFPFLSFFSNPQLQELNPSMTRRIKL >OMO51175 pep supercontig:CCACVL1_1.0:contig15945:35876:36097:-1 gene:CCACVL1_29956 transcript:OMO51175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB LNGVASGKNSEMLIDFMLPVSDVSLAEAPGFFNSAKGSPTNVAVAISKLGGKSVFIGKLGDVKFGHMLAKILK >OMO51174 pep supercontig:CCACVL1_1.0:contig15945:27789:35007:-1 gene:CCACVL1_29955 transcript:OMO51174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIGMRNQAMTHNGLRPLNKTDIWAWTDTWAVEQV >OMO86694 pep supercontig:CCACVL1_1.0:contig09431:5285:8937:-1 gene:CCACVL1_09521 transcript:OMO86694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEEEEEAQCTRFPPLQDQALRSLIIYYIYIWPNKVTDMRERRDNRGFRGFSTDNVKRFTGRFEAESFRWRLSLKSIFVSNLSDGVSRKDLWESFNDYSVVVDVFLLVRKSHDHGRFAFIRDRSVKNSFFSKVIETRPIVNPRVSGRFRDMTLRQPSLLIPKPALVAGDKDFIPNDSYVEDSDSFEDLDLEVLTPEDHRSWLERSVAVTLKPEANIDEFSSVIKSGSLPAFMEVRSEEQADPSNGAAPDANESNLSVMGGKVLRFLWGKDNFQSKVSNAVGATGRARCYLCVGALILSDEAA >OMO86697 pep supercontig:CCACVL1_1.0:contig09431:23631:23729:1 gene:CCACVL1_09524 transcript:OMO86697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSIDHISSFVCHYRKARIPRSADRKKAVEA >OMO86695 pep supercontig:CCACVL1_1.0:contig09431:16841:21656:1 gene:CCACVL1_09522 transcript:OMO86695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYTVLGVNRSATKEEIKEAFRKLALKYHPDKHSQSPKHLRDSATLKFKQVSDAYEVLSNDRKRAAYNLTSPSSSSYGYGYGYSGTSSSSSSHQRYSNTNRGYGYGYSGSSSSHQHYSKNNRGFGYGYSGSASYNTSTNLWDSGLRFFSERANLRNLLFGGVLLGGLVLLDTCRDALWKKCNSGKSFEEAMESLQKAVAQKDSSFYFVDLIYLFKHYGTKGRGLSMVLLERLQYMLNINDSILERMLQFDITDREEASFALYLMYLVRAPGETASFVLTLNSSDVEDATGGFNEMKINFDGKMRGQRVRCSAVEVENDIDDEAYSATRDFANQVACHGYNVLVPDLFRGDPWGKGQPKAMFAQWLASQDPKRVARDIETSTKWMVDEFTAAGLSKKLGIMGFCFGGDRVIDVLAVDEGGCFSTAVSSYGVRMDLSAASKVKVLVLFISGDNNPLCPVSVLNEFEKGTGRGSKVVIFKGRGHAFAHRPGSPEEDVDAEQAL >OMO86696 pep supercontig:CCACVL1_1.0:contig09431:22335:23248:-1 gene:CCACVL1_09523 transcript:OMO86696 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MPLQSLRPGFRFSPTGEVVLQCYLRDAINGQNLPSDIFTEADLYGDKEPWKLFNPNHSHPYWVFTHLKMKRDSVSVAKQTTTKKKGKGNSKAKKNREEEEEKHDRTAGGGSWKGRSVHDILSSDDGEKLGFDREYKFKLNHDDEGRGSNPNSNGNWIMHEFSIKGCDLVICEIKNLKRKRKVCAVTEEQAGLVDTPLPDDDDDFLKKLDVGDRDHQLQVCPIPEEQSGLVDAPVPDDDDDFVNSLLNSEWDWNFIASDREFSSPLQQQQHIPLVF >OMO88968 pep supercontig:CCACVL1_1.0:contig08876:1851:4155:1 gene:CCACVL1_08078 transcript:OMO88968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MYILSTQVTVCETCGDRGFAELLVYCEKCQAYAIHRYCLKKVTLGDVFWFCVDCEPEIAVTSTPKQGSPATGPESRLEDCPVSRLDDDIKCLKNKKKSKHDSGSFVISKVQKQSRPLLKPPEDLAKDETLGRKDETLNNKKKSKHDSGSFVISKVQKQSSPLLKPPEDVAKDETLGRKDETLNNKKNSKHDSGSFVISKVQKQSSPLLKPPEDLAEDETLGRKDETLNNNKKSKHDSGSFVISKVQKQSSPLLKPPEDLAKDETLGRKDETLGRPVRVGGPTFNNEDGVFEAKSSVSNTCTSSRETDWVNIDKKAEYVNIRTSSIALNEYLNIVESNLLDCGDRELDGIYSDEVAESVKTKASLVPTRNQIPEHIYLPALPIMEPIWRGSVHLCDGKFLIRVLAHLSSFACLKVCETAKWLPESLCLELLPRCNMWPKGFKRWGPSEDSIALYFFPCNERDTKTFDNLVDKMISQDLGMRAVFQDVELLVFTSRLLPLYYWRFQEKFYLWGVFRSKQASQSTNVVAEDEKNPLDTLTLYSQSPVSPLSNSGSSHLTEKISC >OMO88969 pep supercontig:CCACVL1_1.0:contig08876:4885:7191:-1 gene:CCACVL1_08079 transcript:OMO88969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTASKIAKEIGNTVKKAASRNRGWFDPHMAAASRAIDERIPLVDLVVEIRDARVPLSSEYELLRNFPLSKRIVVMNKMDLANPFYIKGSMRYFQQQNCISYGVYSHNKDNVKGLLNFIQAQLRELKQANRQFSETVIVMLVGIPNVGKSALANSLHQIGRISAAEKGKLKHAMVSPQPGETKDISSMKIGSHPNIYLLDTPGILPPSIHDSELCSKLALTGAIRDSLVGQKELVQYFLAILNLSDQYKKWEKVLINRGKLSSAESKQEHSGCYKLKGRQRKQYLMDHTQDFIVHDVRQALFDVISCFDGNLECEDDMLKLIDAEFVALREAFRIPEERDGEHDHIVAVKLLNLYRTGRLGHYTLDPLPINLCNHLWDLHE >OMO71892 pep supercontig:CCACVL1_1.0:contig11528:2143:3509:1 gene:CCACVL1_18036 transcript:OMO71892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESSGSALKFEIEKFTGTNSFQMWQSMVTDVLVQQGFGDALEADKPSAMNDDRWRDIQRKAVSTIRLSLATEIKYDVLDVKAPKELMDKLESIYMSKSITNMLCLKRELFGLKMKVGTSLRAHLNEFNRLVTQLASVDEVMKEVDKTVLLINSLTDRLKETEKKDEESGALTMERGITYGKDKTDARSRSRFNERGRSSSRPRKEWFSKLQKCDNSVYMANNGEEKIKGIGSVKLRLHDGSVKMLGNVRYVPNFTRNLISLGKLDSLGYGYSCRGGGLKITKGSMIVMKGVKNSKNLYELIGSTIKGDGSVSSHQIEKKDVDLPTKKKVTFADLVKGWSN >OMO99451 pep supercontig:CCACVL1_1.0:contig06892:19054:19113:1 gene:CCACVL1_03798 transcript:OMO99451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSPPKSTKLTLIIKRT >OMP11986 pep supercontig:CCACVL1_1.0:contig00613:984:1067:-1 gene:CCACVL1_00182 transcript:OMP11986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLHLPIQNSNRLHFKIQLFIQFDWQRL >OMO51637 pep supercontig:CCACVL1_1.0:contig15765:7512:7598:1 gene:CCACVL1_29674 transcript:OMO51637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARRSPIDFDYVTYFFLRYNEYKKQKEMS >OMO51638 pep supercontig:CCACVL1_1.0:contig15765:11617:14027:-1 gene:CCACVL1_29675 transcript:OMO51638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDDDVGFFGDLEWHDIALVTAWALWHNRNMDFHENIRRSPIETVEFIRSFLMEYKRCQLAVNIPRAPVMISTWQAPRGDVIKINFDASFQDSSHGGSYGVIARNYQGLVMGAAAGRLEVVADAFTAECLASLKAICWARDMGFHDIIMEGDARSIITKVNSTQHDFSPIDPYIADLKFLSSLFRSCVFTHVIRAGNAVAHSLATLGLSLDTDAYWIEEVPDSVLEDIHFINPWLSVSVTSFPLHPMVSHQYALLLGDLKGIEMSVVGSCCFLHLFFSISLDYFAASHMEESSSQKLISENHSNSVEISWELLCGNLIFEKLDADYDLKLERKPVVIITTVIVKDYTESPGQ >OMO78435 pep supercontig:CCACVL1_1.0:contig10588:67633:72669:-1 gene:CCACVL1_14390 transcript:OMO78435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVCRKTRTILHTTLKVFIAMASFTLLAFANKATAARSTHIEGKTTSSIPQSNLPMPMYLAGYTPAPCPPAN >OMO78425 pep supercontig:CCACVL1_1.0:contig10588:8391:10353:-1 gene:CCACVL1_14379 transcript:OMO78425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSTINPAISSQNLSKTLLSLFSFTKTLSVTQQINARITLHGLHGSNILGSRLTDAYIRLGYLQFAQKAFDHITRKNLYSWNTIISGYYNNKLFLDVLCLFSRMRREIVGADTFNLVFVIKACIRLSLLKDGELIHCMAVKFGLEGDSYVAPALVKMKERPTGPMDQSG >OMO78428 pep supercontig:CCACVL1_1.0:contig10588:22534:23112:-1 gene:CCACVL1_14382 transcript:OMO78428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNRLEAAVSKSSSEETDDRSEQSNDDLGTGRSYECVFCKRGFTTAQALGGHMNIHRKDRAKSRPSSVPNLISSPKVDENSYSSLRSYSYPPIQSYHHHQPHYSIGPEVQVSYHQAFFPAVSGWGFRPPHEIYSNSQHDLNMSPFGDQDCNWPRSLSLRIGPSDHDDENKKTDGSSQEDELDLELRLGHDP >OMO78426 pep supercontig:CCACVL1_1.0:contig10588:11079:13081:-1 gene:CCACVL1_14380 transcript:OMO78426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDDSVSSSGSSLPNNMEKFLCDRLLDTTQPISERFRALFSLRNLKGTSPRNALIQATRDSSNLLAHEAAFALGQMQDAEAIPALEVVLNDVSLHPIVRHEAAEALGAIGLESNIPLLKNSLVLDPAQEVRETCELALQRIEELKSSGSDDKSTMAEKSPFLSVDPAAAASSHSSVDNLREVLLDEERGMYERYSALFALRNNGGEEAVSAIIASLGSKSALLKHEVAYVLGQLQNKAASAALSNVLRNVDEHPMVRHEAAEALGSIAVPLHANSCGALDLDKT >OMO78441 pep supercontig:CCACVL1_1.0:contig10588:93317:95593:-1 gene:CCACVL1_14396 transcript:OMO78441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MSIRCPGKNSWPELVGKNGESAKETIERENSNVRAIVLPEGSIVTKDFRCNRISWAIQVWKLTQHLQQEKKVRQREFIKAEIMSRCHGKNTWPELLGKSGETAKATVERENSNVSAIVLLEGTPVTRDFRCNRVRIFVNQHGHVVQVPHVG >OMO78427 pep supercontig:CCACVL1_1.0:contig10588:16169:17813:1 gene:CCACVL1_14381 transcript:OMO78427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRRDMRNNRVALFDGIEEGGIRASSSYSHEIDEHDNERAVEGLQDRVILLKRLSGDIHEEVESHNRMLDRMGNDMDSSRGILSGTMDKFKMVFETKSSRRMFTLVASFVVIFLIVYYLTR >OMO78439 pep supercontig:CCACVL1_1.0:contig10588:84369:84768:-1 gene:CCACVL1_14394 transcript:OMO78439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MKWNITGTKNCSLAKENVETTKATMEKEINADGKKRSWPELKGENVETAKATILKERPDLYVEVFPEGAIWTADEGFSRVRLVVNEKGQVVNVPSIG >OMO78440 pep supercontig:CCACVL1_1.0:contig10588:91848:92371:-1 gene:CCACVL1_14395 transcript:OMO78440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MACLPLPNFLMCSIVKGKNSWLELLGKSGETAKATVESENSNVSAIVLLEGTPVTKDFRCDRVRIFVNEQGHVVQVPQIG >OMO78432 pep supercontig:CCACVL1_1.0:contig10588:49408:49746:1 gene:CCACVL1_14387 transcript:OMO78432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCSSSSHLFLLVSIGAVLIFLVSCSHPCEASRVLSEDVLVLQSLQKGPVPPSGHNGCTNVPGGGGPPCISGMNFAAGRLMPPPPPSRLQPPNDQIQMVPFPTAPEDSYRSL >OMO78429 pep supercontig:CCACVL1_1.0:contig10588:29634:40510:-1 gene:CCACVL1_14384 transcript:OMO78429 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGMPQDIDVGSHIWVGDPELAWTDGIVMNVKGTEAEVQTGDGKMLITTLSKIYPRDLESPDEGVDDMTKLSYLNEPAVLYNLATRYKINEIYTYCGNILIAVNPFQSLSHLYDDSIMQRYKGVQLGELSPHVFAIADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGHAAAEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDRHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPLEEIQRYKLGDPKSFHYLNQSSCYELVGVNDAHDYLATRKAMDVVGISEKEQEAIFRVVAAILHLGNIAFAKGEEDSSMLQDDESKFHLQMTAELLMCDPQALEVALCERVMITPEEIIKRSLDPHGATVSRDGLAKTIYSRLFDWLVNKINVSIGQDPHSQCLIGVLDIYGFESFETNSFEQFCINFTNEKLQQHFNQHVFKMEQAEYKNEEIDWSYVEFVDNQDVLDLLEKKPGGIISLLDEACMFPKSTHETFTQKLYQTFKDHKRFVKPKLARTEFTIVHYAGEVQYQSDQFLDKNKDYVVPEHQDLLSASQCPFVAGLFPPLSEETAKSGKFSSIGSRFKLQLQQLMDILKSTEPNYIRCIKPNSELKSAVFENANVLQQLRSGGVLEAIRVKCKGYPTHRTFIEFLNRFAILAPEILEGNYDEQVACKWILEKVGVTGYQMGKTKVFLKAGQMAVLDAHKAKILGESAKVVQKHIRSHLGRKRYVCMRRSSIHIQTAWRGKLARQLYTTMKKEAAAATEIQKTFRRQVARRKYIETKSSAIVLQTGLRTMVARNEFRYMAKTKSAVIIQEKTDVCPFKEHEVVQKENELCPIIEEISSHDEGASDIDILRAKVEKLKALLLAEKKRADELEKKHAEAQELSEKRRKKLEETEKRVYQLQDSLNKLLFSMSDQFSQLKTILSSPSNKRSTSQPITRNDSSDSSDNSDASSSDSDFTFPSPVDSANISSLPPDAVQRIVKDASATETGSEDSEKEGGAFDDYF >OMO78438 pep supercontig:CCACVL1_1.0:contig10588:80978:81709:-1 gene:CCACVL1_14393 transcript:OMO78438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MFQEISNMSSSQDGTKNCSLAKEFVETAKATIEKEIINPDHGKSSCQETVVEKDEDPVKENIDFNDGKKRSWPDLKGENVEIAKATILRERPDLNVDVIPEGTPWTREFRINGVRLSVNEKGQVVNVPVIG >OMO78430 pep supercontig:CCACVL1_1.0:contig10588:41559:44178:1 gene:CCACVL1_14385 transcript:OMO78430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFPPSSSSTFLLEIDESLTLIPGLPNDVAAHILSFLPYSHHCRLKPTCKPWNIFLSSKTFFSLRRDGNHRRLCLSHLLCIFPEDPHISSPFLFDPENLAWRPLPPLPCNPHEYGLCNFTSISLGPNIYVLGGSRFDTRSYPLDRPSPTSSAFRYNFLTSSWERLAPMLSPRGSFACAAIPSADQIIVAGGGSRHNMFRAAGSRICSVERYDVERDEWEALDGLPRFRAGCVGFTVRGDGEEKEFWVMGGYGESRTLSGVFPVDDYYKDAMVMELNENGGGKWRAIGDMWEEGERPGLGKIVVVEDEEGLWPSIFMLDDNDILRYNMISNRWQKESGVPRRAPPESSYGFAVLKGELHVMTIVNAIDFTESRRSRQQKRVGTLFIQIYNPRTKTWRSLITKPPFQKPLDFSTTIMCTVRL >OMO78431 pep supercontig:CCACVL1_1.0:contig10588:44829:49026:-1 gene:CCACVL1_14386 transcript:OMO78431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MAWGFDQQTDRWWWRHAYSGPVSRKKTGIKFAVHRLNTTALEVPSARLSSSQASFSTGDCDNGNPFETNPSKVKIFDRHLKRKQRDRAAWLLHSNSNSNDSFIDAVAENLLDRLEDCKKTFPTALCLGGSLHAVRRLLRDRGAIEKLIMMDTSYDMVKLCKSSQPDSSNENIETSYVVGDEEFLPIKESSVDLVISCLGLHWTNDLPGAMIQCKLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRVSPLAQVRDAGNLLTRAGFALPGVDVDEYVVKYKSALDLIEHLRTMGETNALLQRSNILTRESALATAAIYDSLFAAEDGTIPATFQVMYMTGWREHPSQQKAKCRGSATISFQDIQKQFGSES >OMO78436 pep supercontig:CCACVL1_1.0:contig10588:76594:77624:-1 gene:CCACVL1_14391 transcript:OMO78436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MRKSSWPELVGENGETAKTTIETKNPYLDVEVVQQGIPIFLDYRSERVHVFVDEQGKVTDVPKIG >OMO78424 pep supercontig:CCACVL1_1.0:contig10588:7:8070:-1 gene:CCACVL1_14378 transcript:OMO78424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANPLPKPWKAEYAKSDRLSCKTCKNIIAMEVFRLGKMVLATQFYGFMPMWQWHHANCILQQANQINSVDDVEGIESLRWEDQQRIRNYVNNAEPETANTAIDTPPAMGYAIEVSQTSCATCKHCSQMIMKGEVRIASKPKGQGSRGLVWNHASCFSKLSPATQVEKLPGWESLSSSDQATVVLFSGKGTEVSDDNQPKSTSKAGTKRKMDVGNDQRSKVTKLDGNVPTDRAGSAKNIIDLTVEGNVLTDRAGSAKNIIDLTVEGNVLTERAGSAKNIIDLTVEGNVPTDRAGSAKNIIDLTVEGNVPTDWAGSAKYIIDLTVELPKDFDLESKLEAQTKELWNLKDDLKKHVTTGEMREMLKANHQVATGSELNLRDRCVDGMMFGALGRCPICSGFFRFSRGMYHCCGYLSAWSKCSYSTREPGRLEGKWKVPDETKNEFLSKWFKSQKVKKSVRILPLPSASSSQAATGQSQTSKAESLADLKVSFAGLARESVEEWTSKIEGAGGLIHAKIRKDTNCFVVRGELDGHDAEIRTARRMKLPIVREGYLVDCFKRQKKLPFDLHKVQAIGEASSMVTVKVKGRSAVHEASGLQDSCHILEDGGSLYNTTMNMSDLSIRVNRAAMMEFEINMSEMPLGKLSKTNIQKGFEALTEIQNLLNSNAYDPSVKESLIIDASNRFFTVIPSIHPHVIRDEDDFKSKVKMLEALQDIEIASRLVGLDGEFFDEKYKKLNCGIVPLPHDSEEYQLIKKYFLTTQVPTHFGYTLELEEVFSLEREGEFDKFAPYREKLNNRMLLWHGSRLTNFVGILSQGLRIAPPEAPKSGYMFGKGIYFADLVSKSAPYCYTDRKNPVGLMLLSEVALGEVYELTTYAQHTVNLPRGKHSTKGLGQKVPQTSEFVKWKDGVTVPCPM >OMO78434 pep supercontig:CCACVL1_1.0:contig10588:54027:55541:-1 gene:CCACVL1_14389 transcript:OMO78434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKFWVNEEDKAMVESVLGTEASEVLISLASKNLLSDLVSPPPSDLAVQQRLCQIVDGSNWNYAIFWQVSSLKSGGSILIWGDGHCRGPKLGGVGGASTSGDGKLEGAEKKNEAKKQVLQKLHACFGGSEEGNYAAKLDGVSNMEMFYLTSMYFSFHCDASYGPGESYKSSRSIWSSDVNSCSDHYQSRSFLARSAGLQTVVFVPVKTGVVELGSINRIPEEQNLVEMVSTVFGGSSSVQTKAIPKIFGRELSLGGSKSRSISINFSPKVEEESGFTLESYDVQSLGSSQIYGNSSNGCRSDDGEAKLFPQLLVGGLNSQARISGLDQPKDDSPALPDERKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQMKIRVLETEKEMVNNPHKQLPMPDVDFQQRHEDAVVTVRSPLDAHPVSRVLKAFGENQIAAHDSDVLTDNDKVVHTFTIRAPGAAAEQLKEKLVDAFSK >OMO78433 pep supercontig:CCACVL1_1.0:contig10588:52300:52614:-1 gene:CCACVL1_14388 transcript:OMO78433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSYRKSCFTTLLVFSVLVSSFQLGAMRPLDGEPWLAKDEQLLLQALPRGGPSGSGHNPCTNVPGRETGVCKLGTMNIAGDVMHSPPAFPSVVADFGVAKGTH >OMO78437 pep supercontig:CCACVL1_1.0:contig10588:78340:79315:-1 gene:CCACVL1_14392 transcript:OMO78437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MSSYQDGGKSSCQETVVEKEETVKENRDFDDGKKRSWPELKGENVEIAKATILKERPDVYVVVLPEGAPWTMDYRSNRVRLAVNEKDQVVNVPVIG >OMP05402 pep supercontig:CCACVL1_1.0:contig05405:2049:2624:-1 gene:CCACVL1_01917 transcript:OMP05402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLSIRLPPAKKAWKSFTSKLQTRLHKLHKSKAIKKPNTNHRLQTAVAKTTRPSLFLGQRLQRNYRRRRSLPFGYQRRYFVSKSKTTAVAPVYIDKLFKDVPVTELVGYIHQQEDQKEKNVKPIDQAAAASTAGDAEAGTSMEGDEKQRDDVSPMASPAMLQGIDARAEEFIASFRAEMERQEILARKLL >OMO84980 pep supercontig:CCACVL1_1.0:contig09676:129763:131574:1 gene:CCACVL1_10537 transcript:OMO84980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellular retinaldehyde binding/alpha-tocopherol transport MEKAPSPVSMPEKTPPQDPPEASPKPYKKSFVTTLMEAATLRSPSFKEDTYFISHLKSSEKKGLQELKDKLMASVGPEGDCTMWGIPLLGGDEKADVILLKFLRARDFRVSDAFHMLEKCLAWRKEFKADNVTEEDLGFKELEGVVAYMHGYDREGHPVCYNAYGVFRDKDMYERIFGDEEKLKKFLRWRVQVLERGINLLHFKPGGINSIIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVARKIFINVPWYFSVLYSMFSPFLTQRTKSKFVISREGNAAETLYKFIRPEDVPVQYGGLSRPNDLQNGPPKPASEFTVKGGEKVNIQIEGIEAGATITWDLVVGGWDLEYSAEFVPNAEGSYTIAVEKPRKMSPSEEAIHNSFTSREAGKMVLSVDNTASRRKKVAAYRYIVRKSALV >OMO84981 pep supercontig:CCACVL1_1.0:contig09676:133408:135550:1 gene:CCACVL1_10538 transcript:OMO84981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MAQATFTAEGEKAEGFKLLSGHTIPAVGLGTWRSSQAYNSVLTALVQGGYRHIDTAWEYGVQEDVGRAVKATIHAGLERRDIFITSKLWCTDLCPERVGPALNNTLKELQLEYLDLYLIHWPFRLADGASRPPKGGDVQEFDMEGVWREMEKLVKQNLVRDIGVCNFTLKKLNKLLGFAQIMPSVCQMEMHPGWRNDKMLEACRKNGIHVTAYSPLGSSEGGIDLIHDQVVERIAKKLNKTPAQVLVKWGLQRGTSVIPKSSNPDRIKENIKVFEWQLPEDDFQALTNIPDQANIDFLPLFLFCVCVSNSLINKEFVGAETSARWRTIIREQECRSL >OMO84954 pep supercontig:CCACVL1_1.0:contig09676:36565:37334:1 gene:CCACVL1_10510 transcript:OMO84954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGVPEAETLLRPTSLSEDKAAIGETENPQGEESERPTGFINHFISNLVTGGGGDADEENEPDGGKKEEQGGGILDHIISNLVGEETKTEKTEEGEGGGGGFIDNIVSHLPTSLPDDAAPTTDEATILIHIVQD >OMO84975 pep supercontig:CCACVL1_1.0:contig09676:108405:108822:-1 gene:CCACVL1_10532 transcript:OMO84975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Macrophage migration inhibitory factor MACMQFVMVILKGSVGISFNGNKDPAAYAEVVSMGGINTEDLHL >OMO84959 pep supercontig:CCACVL1_1.0:contig09676:52071:52514:-1 gene:CCACVL1_10516 transcript:OMO84959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTVVPTDFASKIVTGTNIWPFSGKMQKPLPELLKDYNLPIGIFPRDATNYEFNEETGKLTVFIPQICEVGYKDSSVLRFFTTVTGYLEKGKLADIEGLKTKVMIWVKVTCIACDGSKLHVTAGMKKSRSREAYEVSRDGVSVDKF >OMO84960 pep supercontig:CCACVL1_1.0:contig09676:52952:53990:-1 gene:CCACVL1_10517 transcript:OMO84960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQILNKVGSYWLGQKANKEINSVGDDINDKRGEFK >OMO84982 pep supercontig:CCACVL1_1.0:contig09676:136187:138533:-1 gene:CCACVL1_10539 transcript:OMO84982 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MGACCSTQVKFKGRSHDYRDDYEEKDYDGHEEDHTHVGPDGAIVRLQGSSTYISMYTRQGKKGTNQDALTVWENFMGEKNTFFCGVFDGHGPSGHRIAHYICDNLPAKVSSEIKLSKENSSKGNECDMEHGRRDDILSSWETSLVEAFREVDVDLSFDESLDSYSSGTTAVTVLKKVWDVLTNNEVIQIVASVKKRSMAAKLLVYYAVQAWKTKYPGSKIDDCAVVCLFFKKRSLLSRSKSLTELNQPKESNMDIAASESPEGGKKTDEGETVINCNITVDQKTLDEIKRVNAYANPSRVGNLSRRKTGKDFEGTEAKR >OMO84974 pep supercontig:CCACVL1_1.0:contig09676:106537:107869:-1 gene:CCACVL1_10531 transcript:OMO84974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Macrophage migration inhibitory factor MPCLNLSTNVNLEGVDTSSILSEATSTVAKLIGKPESYVMVVLKGSVPMSFGGTEQPAAYGELVSIGGLNPDVNKKLSAAIAAILETKLQVPKSRYFLKFYDTKGSNFGWNGSTF >OMO84962 pep supercontig:CCACVL1_1.0:contig09676:64177:69569:1 gene:CCACVL1_10519 transcript:OMO84962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIKKQQAVAMVPAAAWGIRSSFQSLVALLLTILVVAAIYLRQSNEQLFDYGTSTSSGPLTSRCNLFSGKWVFDNKSYPLYKEKECTYMSDQLACEKFGRKDLNYQQWRWQPHHCDLPRFNATALLEKLRNKRLVFVGDSLNRNQWVSMTCLLDSAIPSHFKSMHQNGSINIFKATEYNATIEFYWSPLLVESNSDDPVNHRVPDRIVRVQEIEKHARHWIHADYLIFNTYLWWRRRQMTVLWGSFENPEDGFYKAVKLPRVYEMALQTWAQCIQQIHETPDHVPRSQQTSITYVKPNLPNGASEVLDRFSSCNSTKSYSGKQIQWADPDAESGRRRSTISKESCDVFSGKWVFDNRSYPLYQESECPYMSDQLACHKHGRSDLQYQYWRWQPHDCNLKRWNATEMWEKLRGKRLMFVGDSLNRGQWISMVCLLQSVIPANKKSMTPNAQLTIFRAEEYNATVEFLWAPLLVESNSDDPVNHRTAERIIRPDSVLKHSSQWEHADILIFNSYLWWRQGPVKLLWSAEGNGACEELDGLGAMELAMGAWADWVASKVIPLKKRVFFVTMSPTHFWSREWEPGSDGNCYNQMTPINLENYWGSGSDLPTMRMVDKMLSNLGSKVSVINITQLSEYRKDGHPSIYRKFWETLSPQQLANPASYSDCIHWCLPGVPDVWNELIFHFL >OMO84979 pep supercontig:CCACVL1_1.0:contig09676:123840:129261:1 gene:CCACVL1_10536 transcript:OMO84979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDQISHILKFSVLVRSRAEHQHDDDGNKTVKQLLAPEIRALKEKGTHKDEKEEGRKKLLLLGPSGRPTKPRAPALLSPVFLNNYHHLCKPPPFLSLPLLVTCHSLTSFTRLGSLTSVQRVLL >OMO84977 pep supercontig:CCACVL1_1.0:contig09676:113257:117511:1 gene:CCACVL1_10534 transcript:OMO84977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEQWQCVMNSIEQSLNNNDDPFSFQTLASIRSLGINPATSDSTLSSLLHALTRSLQLSRDPVFLHHILKLLVDLSSHRPHLSPIALDLLRSNSLFSSDSPRLVGDSLSTLVSLTSSPNEIDAARFVSLCLGPSISVRLWLLRNAEKFAIRESVLLAVFLGFTRDPYPYVRKAAMDGLVKLCKNGDLDDRDVTEGCYFRAAVLLRDAEDCVRSTAVRGVCECGKMLVVSCEEEKKQELADAVFIQLCSMVRDMSMKVRLEAFDALGKIGLVSEDILLQTLSKKVRMSACYSLRTLIVFSLRFAAEALNLLMDMLNDDSMDIRLQALETMHDLATTNSLKVEEIHMHRFLGTLFDSNSVVRYASRKILKLAKLAQLELFKLCIDGLLGNLETYPQDEVDVFSVLFHFGRNHGKFTVCLIEEVSSEMEPGFGGKLGFDSTRVAAFLVLAISAPLSFESDCCGVPPRIFSYAVTWLEKISCALSDVMSQDTLLAYLFECSRSSTISLANLKIKEALLAAKGDVPSHLCPEAASPVRMPSCRKGGDTSDHCYQIFRNLGNSATHTECEVAEHDDLRTTINLIFRKVKDLWPLVQLGCINEALKAIRTLKEEVASFSTETPGSAGSVAFTLQYLRVTKLLVAVWEHLMPTKRQKFHGLGEIELLLAKLDRRLREITNRFIGLSKAEELQIFDLIVLACLFRLSKVEICCYKTAMKKLSSTMSHLGFLLEEGSNQPSHFIVEVKKSLHGVGSSAGGNTCEPLVFKKLLNSFSLQQFVLCTSPRTPCFYTTCHHFA >OMO84976 pep supercontig:CCACVL1_1.0:contig09676:109934:110014:1 gene:CCACVL1_10533 transcript:OMO84976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATALVASEKIGSQSTSSKLRFVEM >OMO84958 pep supercontig:CCACVL1_1.0:contig09676:49559:50931:1 gene:CCACVL1_10514 transcript:OMO84958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MAADASPQYLPAPPPPDFHREISLPQSAATHDGLHFWQFMIAGSIAGSIEHMAMFPVDTLKTRMQALGASSCSVQHVGVRQALGSILKLEGPSGLYRGIAAMGLGAGPAHAVYFSVYELSKQVLSRGDPNNSVAHAASGVLATVTSDAVLTPMDMVKQRLQLKSSPYKGVVDCVRRVLMEEGVGAFYASYKTTVIMNAPFTAVHFATYEAAKRGLMTVSPDTADDERLVVHATAGAAAGALAAVITTPLDVVKTQLQCQGVCGCDRFSSSSIGNVIQTIVKKDGYRGLMRGWIPRMMFHAPAAAICWSTYEASKAFFHQLNNSSIS >OMO84968 pep supercontig:CCACVL1_1.0:contig09676:92551:92649:1 gene:CCACVL1_10525 transcript:OMO84968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQHSNLNDLVFLTRLTGFNTSISKKLLDPT >OMO84956 pep supercontig:CCACVL1_1.0:contig09676:43728:43814:1 gene:CCACVL1_10512 transcript:OMO84956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIRREAEQRAKQRLEDGELEKGMASV >OMO84972 pep supercontig:CCACVL1_1.0:contig09676:101114:103971:-1 gene:CCACVL1_10529 transcript:OMO84972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTATLFKLVSVSAQHWDGVIVTQADYQSLRAIKHEFIDFRGFLRSWNDSGYGVCSGGWAGIKCLKGQVIAIQLPWRGLGGRISEKIGQLQALRKLSLHDNVLGGPVPRSLGFLPNLRGIYLFNNRLSGSIPPSVALDP >OMO84969 pep supercontig:CCACVL1_1.0:contig09676:93204:94994:-1 gene:CCACVL1_10526 transcript:OMO84969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIESVIMNSPMNRKRRSSVMDDDSIKPLPNTNKKPRRGRNGRESVEDAIEKWKKVYTNQLDSGEEVGLKKVSKVPAKGSKKGCMQEEPKSSELHMGEESKGCLDKSKSVEEKHDCSLVHVKEEAESIAEDKPRETKEPNDCKPYEQQHESEVETLKGAVDDELAQLIRIHNTNGSNDINDYLDNELDDFYDYNNTQRPFKRKQVESEAGLPQNFISNAYNDFDFRHNYLDYEDQDAGISILDLEPYNTDDIKVEMPTTRENWNGELAGSIEPIGIAAYDYDKLQSELRDGNFSSDIHLYPSSEMKAEAPIVREEPGGFTYSDSYKGFDNIYDHMPHEPTDFNSQQQIDTTNTSCGGYDLNSFKDKFDPRHTWPAEAIADGKPSALIRNENCLQIPPENYDQFQLPSTSYPGKGGLQEPEAKLQGGLNQHETGTGVDYNKLVYLRPDVDLDLVDAMKFTDLWSPEHGI >OMO84949 pep supercontig:CCACVL1_1.0:contig09676:23266:24007:-1 gene:CCACVL1_10505 transcript:OMO84949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSSRLCKKFAAYICKSAKHTKAMPHLAAASRFHQVSSEPVMQ >OMO84946 pep supercontig:CCACVL1_1.0:contig09676:12271:13315:1 gene:CCACVL1_10502 transcript:OMO84946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MDATTSTQSSVLTIPGEAMEIDNQLSIIHLLKAYGEAREKNQTELGDVILRCVSEKSSPVGETWEKIAFNLSQDQGDYLMQESSKNFQAAFRAFYQMFPYGRFAHFAANSAILEAMPSDADMLHIVDFDIREGIQWPPMIEAMAWQRKTLRLTSIRWGNEDLGQSPWTFEDTRRQLCDHAKSFGLNLKMEEMGIEDLVNELQKMRKRGGNINGEYWLGLPWKV >OMO84961 pep supercontig:CCACVL1_1.0:contig09676:58534:59199:1 gene:CCACVL1_10518 transcript:OMO84961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MPANPPKSSLLLHRINNTNNTASATTTATTSPSPPDNNSSMLCQKRFPLACTMPIPDNVARHHTHQVGPNQCCSAVVQQIAAPVSTVWSVVRRFDNPQAYKHFVKSCHVILGDGEVGSLREVHVISGLPAARSTERLEILDDERHVLSFSVVGGEHRLANYRSVTTLHPSTSGNGTVVVESYVVDVPPGNTKEDTCVFVDTIVRCNLQSLAQIAENLARRK >OMO84957 pep supercontig:CCACVL1_1.0:contig09676:44520:46339:-1 gene:CCACVL1_10513 transcript:OMO84957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin MFLRSVSSFSVQTKQGDVLFSSLKGSPALSSSKLSSDFPSSSALRFPPVKDGRAIRVSASSGTNNTALTGVVFEPFEEVKKAELEIPIAPQVSLARQKYEDECEAAINEQINVEYNVSYVYHSLYAYFDRDNVALKGLAKFFKESSEEEREHAEKLMEYQNKRGGRVKLHSILTPPSEFEHVEKGDALYAMELALSLEKLTNEKLLCLHKVAEQSDDPQMQEFIESEFLNEQVEAIKKISEYVAQLRRVGKGHGVWHFDQMLLHDGAAVH >OMO84964 pep supercontig:CCACVL1_1.0:contig09676:72225:72752:1 gene:CCACVL1_10521 transcript:OMO84964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligosaccharyl transferase complex, subunit OST3/OST6 MAPRSDSQSASGGSQSDGSSQSSMDPIFHILKVIPFSFLRPPRLRLKFPSLSLPSPMTVFALVLLTYFMVVSGIVYDVIVEPPGIGSTQDPATGSVRPVVFLPGRVNGQYIIEGLSSGFMFVLGGIGIVLLDLALDKNRAKSVKTSYASAGISSVVIAYVMSTLFIRIKIPAYLR >OMO84948 pep supercontig:CCACVL1_1.0:contig09676:18106:21365:-1 gene:CCACVL1_10504 transcript:OMO84948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma interferon inducible lysosomal thiol reductase GILT MAYLPGLFIIVASLPFMLISLSESSLDNSNLKTSSHANKKEKVTLSLYYESLCPYSSSFISEDLSKIFENDLHTILKLRLVPWGNAILYNDTTECQHGEEECYLNTIQSCVIDLWPHTMKHFNFISCTEKRSSQLGPVKTKEDWEEIWIPCSEEFRMSADLIKECYDSGYGQKLQLKYANETESLIPPHYYVPWVVVDGQPLFDDYQNYTKYVCKAYKGVPKPKACKDQHLSHFRSSGVASKASLVSEFKKPEGGPPPSPKPSPRPTPRTDCCKPDSTVTKASPATKFSKSAGPKARPRTGFLINKYGNFSSCTDRATMASSNSQLFSFLLLSLFFIIFFIYPSYASSDNINVSKAQSHVKQKKVNLNLYYESLCPYCRNFIVTQLVKLFNTDLLNIVNLRLVPWGNAQVLKPNKTIICQHGEDECYLNTIHACAIKIWPDIRKHFNFIYCIENQGLHNKDGQHSDGADSVWKACSARLGMDQNLIKNCYDSGYGRKLLLKYATETDNLQPKHVYVPWVTVNNQPLYDKYEGFINYVCNAYKDKALVKACMSHSPKATEEERPISPVCYADSKEIPNSA >OMO84970 pep supercontig:CCACVL1_1.0:contig09676:96356:98352:-1 gene:CCACVL1_10527 transcript:OMO84970 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAM175 family MDDLVLQKIAISGPTLASMIQRLSSSPADVDGLLFGHVTYIAPSTLPDDSAQSTPDSQLVATISGFLSFPSLLSFYDSLGRVDPSRLTPHLDRKTLLGWFSSRRNTALRPSMRESSVTHSLFSIPNLSLPIQNANSNSSFNPSIFLLFTTPAHDKFIQTNQYRAFQFQSPKLSFSPVSIDIVNIGPAFRGHYGSFSPTSPLPFLDCELRNLTAMHEDRNEENLIGMKQASKYQSELDLNAEGLTIERLRRLIGPEAVNYTAGLEDLYEKMLSKIESLARHVEASSAKVLEQTHSGAY >OMO84966 pep supercontig:CCACVL1_1.0:contig09676:83059:87724:1 gene:CCACVL1_10523 transcript:OMO84966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRISIYCGNTHDCLKPSDGKGHLIFADRDSPPGTADILVQFPRRPSESVESSFSASGLVPGACGATFDDFDGIWDFSHKWAFSITMTAVQWPVMVGEIERYCGVRGQSRACGWMQITYAITRRGGHQSPGCIQHSIPPTPTPTIGLGMKHDI >OMO84967 pep supercontig:CCACVL1_1.0:contig09676:88722:92443:1 gene:CCACVL1_10524 transcript:OMO84967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MAATLSHCLSKFDIPRHPCLYSNKLKLSRNRSFSVSISTSTLLRSSKHKNSPTTSLDTSQQEENDQRKKSQSPLPPRPVDVAVSNPTFSLLFRRIRDGFKIDELNLEILSIALPAALALAADPITSLVDTAFVGHLGSVELAAVGISVSIFNLVSKLFNVPLLNITTSFVAEEQALISKNSDDGAFEQKGKEVLPSVSTSLALAAGLGIAEAVTLSLGSGSLMNIMGIPVDSPMREPAEQFLTWRAFGAPPIVIALAAQGTFRGFKDTKTPLYAIVAGNILNAILDTILIFPIGLGVAGAAVATVISEYLIALILLWELNGKVELISPNVDWRKVAIYLKSGGLLIGRTIATLGTLTLATSLAAKQGPVPMAGHQICIEVWLAISLLTDALALSGQALLAADYSQANYRQARRVIYSVLQIGLVTGFALAILLFLGFGAFSSLFSTDPLVLQIAWSGTLFVAGSQPVNAIAFVIDGLYYGVSDYEYAAISMVVVGLVSSAFLLVATPLFGLAGVWTGLFLFMALRAIAGILRLNTKSGPWKMIYSDEGGNG >OMO84955 pep supercontig:CCACVL1_1.0:contig09676:39893:42250:1 gene:CCACVL1_10511 transcript:OMO84955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4e MARGLKKHLKRLNAPRHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVQAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNEDFRLLYDTKGRFRLHAISGDETKFKLCKVRSVQFGQKGIPYINTYDGRTIRYPDPLIKANDTIKLDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHIQDAAGHEFATRLGNVFTVGKGTKPWVSLPKGKGIKLSIIEEARKRLAAQNAN >OMO84947 pep supercontig:CCACVL1_1.0:contig09676:16295:17635:1 gene:CCACVL1_10503 transcript:OMO84947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFSRMADVQRVVSFSDDLLKVLKDERDLNNLTQCLQHLNDLQSHCGSDSKEVYRLLQEYEGKIEACKKKTEQAKSEVADGAEMEYLQKELKEELEKEPISNEIDELERQRVSIEERKQMLRKFDQDKLKEQRKLSMYASITNIIPDLEDQSKISGHMVDRDKKVVEKFEFDPAKMTASEACDSLWKMINNQ >OMO84951 pep supercontig:CCACVL1_1.0:contig09676:27213:29063:-1 gene:CCACVL1_10507 transcript:OMO84951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma interferon inducible lysosomal thiol reductase GILT MGSFRNDAGIFKVSSSNSSSEKVNVSIYYEALCLRCAKFIVNKLENVFENGLISIIKLRLVPWGDSYISKSNDTIICKVNKHYGLIYCIEFLAIEGRQKEWETCFDSLGLPEEHILDCYNSGKGRTLELAYANETAHLSPPHAYSPWVVVNNQSLGNDYANFAAYICKAYQGNAPPPSCKSLPPYIK >OMO84973 pep supercontig:CCACVL1_1.0:contig09676:104495:105142:-1 gene:CCACVL1_10530 transcript:OMO84973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MSSTAPPVLPISTAQSAESQPPVATPAVRAFIAHLNESVRNTLSQRRPWAELVDRSAFSKPESFSEAALRVRKNYSYFKVNYLAVIGLILAFSLLSHPFSLLLLLGLCCSWIFLYLFRPADQPLVIFGRTFSDRETLGILILFSVFVIFLTTVGSLLISALMVGFGLVCAHGAFRAPEDLFLDEQEPAATGFLSFLGGAASNAAAAAAPAMAARV >OMO84978 pep supercontig:CCACVL1_1.0:contig09676:118126:122032:-1 gene:CCACVL1_10535 transcript:OMO84978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MGTVKKPQAFLVSGNAPPSPNLRSSTVGARYLMKSQLGGVIFGCKNSTFKECLVKQLFGLPSQHFAYVKNIDPGLPLFLFNYSDRKLHGIFEAASHGQMNIDPYGWTTDGSQKTQYPAQVQIRVRLQCQPLLEQQFKPIIVDNYYARTLFWFELDHAQTSKLISLLSSSAVSSGTYLPQNTAKWSTIFQALPSSATNGEDEGFKSSTSEVEHLSQSSGKSDSTDFTLCDSLIPHDSKTTEQDEKELILIKLKELSQKRQNQDACLMDNVEGSTLVKEIRSEDSREQMEFMQKNEESACSSSQCQSVISQLIQEMEELSTFKAEQQLKMIQMEQKLAAAEMEIQWLKDHLMLDSLSNHSIEHSNRKAIEPIEELQLDPSESIFLVGGYDGESWLSACHSYFPSQDLVKSSQTMSSVRSYAAAAQLNGELYVIGGGDGNHWYNTVEIYSSASDQWTLCPSLKEKKGSLAGAALDGKIFAFGGGNGIGCFSEVEMLDLIVGRWINTRSMLQKRFALAAVELNGAIYATGGYDGNNYLKSAERFDPREHSWTKIASMSTQRGCHSLVVLDEKLYAIGGYDGINMVSSVEIFDPRVGSWMSGDPINQARGYAAAAVVKGSIYVIGGLRADEDIVDSVECFKEGQGWQEKTSKAIGKRCFLSAVALMKS >OMO84963 pep supercontig:CCACVL1_1.0:contig09676:70379:71023:-1 gene:CCACVL1_10520 transcript:OMO84963 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZR1, transcriptional repressor MPMAEEKSSGVRGCIKKSKGPWVVHRTTKDGGVVTGYRFPTETERQKNKQRERRRRSVTQKIFAGLKQHGNYKLPKHADTNDLLKALCEEAGWHVEEDGTISRKNMLLQKTESSSMGDSIKVNPSCQVIEDDDEDYCTCNHHGDFATSGTILSLGLGQSHEFHGLNSLLSLSASTSYL >OMO84983 pep supercontig:CCACVL1_1.0:contig09676:139979:141523:-1 gene:CCACVL1_10540 transcript:OMO84983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase FkbM MEPTAGKPSLLRNILARLLLFGVFIIVVRFAYVVTITGESCNLGDFCFFSLPQNLNFVIPGAGTGVSAAVGNDVVPGSNPRRDLYTSKEWIKAVHFYSSIFQDLISEGYLSPHSKSLCVETPLGQEVFALKEIGVEDSIGIFKKAAKPLVIKGDGHLIPFHDNTFDFIFSGGARLDLSARPSDFASEIARTLKPEGFAVLHIKANDTYSFNSFLDLFNSCKFVKMREIDGFDSSMPYIREIILKKEIEVLDHGNRKKSDNKCSVPGHKLELVQKAEPLILEEPLKPWITLKRNIQNVKYLSSMVDISFKNRYVYVDVGARSYGSSIGSWFRKQYPKQNKTFHAYAIEADKTFHKQYELKKKWVTLLPYAAWVKNETLSFEINRDPGQEEVSELNDKGRGMGRIQPVKSKQGKLNVEVDQIQGFDFAEWLKNTVTERDFVVMKMDVEGTEFDLIPRLFETGAICLIDEIFLECHYNRWQRCCPGQRSTKYEKSYGQCLELFTSLRESGVLVHQWW >OMO84950 pep supercontig:CCACVL1_1.0:contig09676:24397:25640:-1 gene:CCACVL1_10506 transcript:OMO84950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma interferon inducible lysosomal thiol reductase GILT MAYCPGFFIIVASLPFMLISLSESSLDNGNLKTSSHANKHDKVTLSLYYESLCPYSSSFISNDLAQAFENDLHTILKLRLVPWGNAIIYDNRTECPHGEEECYLNTIEGCVIDLWPHMMKHFNFIDCTEKRSSQLGPVKTTEDWEQIWIPCSEEFGMSADLIKECYDSGYGLELQLKYANETASLNPPFDFLPWVVVDDFPLYDDYQNYTKYVCEAYKGEHKPKACKDQHFSSSGVARKASLASEVRKPEGGPPPRPSPRTDCCKPESTVPKASPDVEP >OMO84953 pep supercontig:CCACVL1_1.0:contig09676:33076:35715:1 gene:CCACVL1_10509 transcript:OMO84953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINFNPFESWFRKPQNPIQPINLLSLAETFLPRASSNSPNFASIKAFGLFKTKPKKPEPDSDKPGPYTQMLDQFFWECENLPDYRHTPEVDKILNEDPYFEKKENPTEEEIKENEEWLQKFRSSPVVQFLARAEEIADELNQMELEENDEPYRKEDKKLWQAVPHVIGLDGRPMPRKAIKTREESDDKFWDFTKEFFFGLWGFRQRPYPPGRPIDVAQAIGYKRLEKRYYDFIMRSGGWYYKDRLGRTRGPCELITLKTAWGAGIIDKHTFIWGEDMDEWAPIHMVYGLEPAIATWEVRFGAAATAFLHKLQKGIPPWVPLKGHEPKTYKQLQEEAIESKRRDLAVLQANDGIWPGVRIPSHALFLWASGSELTTLLESDHMPNKYISKDIRRKLAKIIPGLRPWEVLSVEQAMDEITYGGEWYREPLGSYHTGPPYIRHWNKDVRRLFKTFLLLSRKAYNKLERTVPGFDTILEKAQAETDARAARLKEKREAQKKAEEAAMYGPSEDDP >OMO84965 pep supercontig:CCACVL1_1.0:contig09676:73554:79670:-1 gene:CCACVL1_10522 transcript:OMO84965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWQIFSGTGNNFRWEISGRILPSKSDDGQNDAPVPVPPLPSMADLLLKGCSKLIEEGDGGIEKFPMFRTGLGKSVALKESSIAKALSILGDDGGGGRSLSVSSDALKRARSLLGDPELGTFFDQVEEEVPPFTVTKEENIDDASSDKENRFFTSFSHRGTIKSKHTSKDFTSPLKSSFKQKKSTFNSENLSFGTNLIDKFDAVRDSNACVLATDMASEQKSLSNRHFEKNIVSKNSLANDTASQTNLLQKSFGRPLADISNTIVTSQRNSKQSMTEKRRVAKSSFISPFKRPRLSSKLSAPLNKDVSLVPNSLSTSSDDHTYCKRTVSTRYPFKVPRIYMKEYFSVPPSACSMLEWFSDQEKHLKQENADKYLFKNESGLSRIGVEAFYDMLAYSGASMHFISKGWVANHYKWIVWKLMCYERRYPSKCSGKFFTISNVLEELKYRYEREVNLGHRSAIKRILEGDASPSTMIVLCISAILSNSEPKMEKNLGMTNAVDNSGNAKVELTDGWYSVNAVLDVLLSKQLSSGKLFVGQKLRIWGAGLSGWVGPVSPLEASSDISLLLNVNGTFRAHWADRLGFCKSAGAPLAFRCIKSDGGPVPQTLVGVTRIYPVLYRERLSNGGSIVRSERMESRLVQQHNQRCSAVVDHVISEYQRGVDSIHNDSESEGAQILRILETAAEPEVLMAEMSPEQLTSFATYKSKLEATRQVEMEKSIEKALAEAGLNKRDVVPFMRVRVVGLTARNYHGKKSPKEGIITIWNPTEKQKNELVEGQAYVVAGLIPMNSDLEILYLQARGSTTKWQPLTPLAMECFEPFFSPRRSIKLSNLGEIPLSSEFDIAAYVVYVGEVYKAAHQKKQWVFVTDDSISDIFSEGLSDSLLAISFTSPSLNEDSLAPINSNLVGSIVGFSNLIKKAKDQMNHIWVAEATENSAYFINFNSSICSHIKSAGASVQTWAKASNSIIDKLREKVVFILGNSEG >OMO84971 pep supercontig:CCACVL1_1.0:contig09676:99018:100371:-1 gene:CCACVL1_10528 transcript:OMO84971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAVGKSEKAVPVGAKEVESGGEMGGKLVHFDGPFVFTADDLLCATAEIMGEPMNGMDLPQWVASIVKEEWTNEVFDLELMRDTPTINDELLNTLKLALHCVDPSPAARPEAQQVLQQLEEIKPELAAVAASGDDAAKVPPTSE >OMO84952 pep supercontig:CCACVL1_1.0:contig09676:30239:31873:-1 gene:CCACVL1_10508 transcript:OMO84952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma interferon inducible lysosomal thiol reductase GILT MASPRFFLLTSQLFPFLLLFITSHPCQAQNVSLSLYYETLCPYCADFIVNDLVKLFDEGLISIVNLRLVPWGNAVMQPDGSFVCQHGEYECLLNSIDACTITIYPDVDRHFRFIHCVERLVLENNINEWVHCFDRTGLGTVPIDCYKSGYGNLLEKQYAAETFQLNPSHEFVPWVLVNGQPLREDFKNFVSYVCKAYQGKQVPQACQSSLPVPLMNHTFKKANLSNPVCYAK >OMO62519 pep supercontig:CCACVL1_1.0:contig13252:9925:10017:1 gene:CCACVL1_22772 transcript:OMO62519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HQCTPHPEPINYSEFNFATAELDICKVNSL >OMO62521 pep supercontig:CCACVL1_1.0:contig13252:16348:19616:-1 gene:CCACVL1_22774 transcript:OMO62521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQFNCCCFASNYRPNKSSIPSTNFPLSFSCPSFNGVSLIRTWSSSRICAKFEKFQGDPSEANFEDTTLSPVQTQQQPVEEEDDSCLPSDLEGAVRQSGQASALFVSSGGLRAIVELLIPQLQFLDDEGAQAELWELSRIFLDTLMEETGCQKVRAVFPDAGAAALLKYRWKDASFGFASLSDRKPVESQDEIIVMVVPDYQMLERVERIASELSDDPPRPLIMWNPRLISEDVGVGFNVRRLRRYFLSSFSTAYSMRPLAAGAVFRCYPGLWKVFYDDKDRPGRYLLAKELVSRPDAEELEIIFGGVEEKSEQGPSLLSQAAGIFSSLNRFVKAISK >OMO62522 pep supercontig:CCACVL1_1.0:contig13252:20075:24443:-1 gene:CCACVL1_22775 transcript:OMO62522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSEGRDPIVLVKDQTVENCEPSPNSATELVEENSNYMDEDTISSMNQEISPAQGPTLPILQKVINLSNSVQNLKKEHEILSNQVKGISTDSVLGHDVLGTLQLLNNEYELLKKKYLDESSERKRLYNEVIELKGNIRVFCRCRPLNQVEISNGSSSVVEFDSSQDAELQIISSDSSKKQFKFDHVFRPEDGQEVVFAQTKPIVTSVLDGYNVCIFAYGQTGTGKTFTMEGTPENRGVNYRTLEELFRVSGERAGIMKYELFVSMMEVYNEKIRDLLGENSNQPTKKLEIKQAAEGTQEIPGLVEARVQSTEEVWELLKSGSRVRSVGATNANESSSRSHCLLRVTVRGNNLINGQKTRSHLWMVDLAGSERVGKIEVEGERLKESQFINKSLSALGDVISALASKTSHIPYRNSKLTHMLQSSLGGDCKTVMFVQISPSTADLGETLCSLNFASRVRGIESGPARKQADVSELYKYKQMAEKLKHDEKETKKLQDNVQSLQLRLAAREHICKSLQEKVRDLESQLAEERKTRLKQETRAFAAAASAKPSLKQGEKTKMEKKPPLGPSKMRLPLRRITNVMPPASSPMPTNRKTTLSMAVLNDKENNHKTVMAAANTKNLLMKPRRTSIAVRPPTTTTQVLQPKRRVSIATLRPDSYMTTPLRNNGGTMDRPSLLRDPRKARYSRLFSPLPEFRNPSETTPAAMRSSSKFMGSPPVQAGSWKPRHPTVVALQRKSLVWSPLKLRGMQNRKSSLLPLRHPADRE >OMO62523 pep supercontig:CCACVL1_1.0:contig13252:29934:31277:1 gene:CCACVL1_22776 transcript:OMO62523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIEIDEFCSGLQTRNKAYSAIESYLSSITAARKNVSSTLKVDWFGKRRPMVFKSATSEIIPDEFEGVKVEWRLRTRPVEKKMIFLKSATGEERRYYTVAFHPKHWDVVKDNYLTYVFQQGKAIQKKTRQRYINMNKSGTWEFARLDHPASFDSFAMDPNRKLEIIKDLIAFKEGKEHYSKIGKAWKRGYLLYGPPGTGKSTMILAMSNLLDYDIYDLELATVVDNSMLKSLLIDIPRKAIVVIEDIDCSLDITEKRKTQREEDEDETDSDHDDDEGRGKSMVTLSGLLNFVDGIWSAIGSEKVIVFTTNHVDKLDPALIRRGRMDMHIELSYCTFQGFKVLAKNYLDIDSHPLFETIGGLLDKVDTTPVDVIEHLIHYRDVEDVKPCLESLIRTLETAKEAKEEEAITKAKEEEAVTKAKEEEAMTKAQGKKYNRSVLKRFLTAKK >OMO62520 pep supercontig:CCACVL1_1.0:contig13252:14840:15091:1 gene:CCACVL1_22773 transcript:OMO62520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNPELAALEQEVNSEIKLFPCMSSMQKMQSLGQPGLPSPMRPGLSSGKPRGLQSLGQPGLPSPMRPGLSSGKPRGLRPLSS >OMO62091 pep supercontig:CCACVL1_1.0:contig13348:2113:5701:1 gene:CCACVL1_23040 transcript:OMO62091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFQSKTNLPPPDQDPPPPQTNPDLDGDGNEELSVPAFKEFGLAELRAATNGFSSELIVSESGEKAPNVVYRGKLKNNRVVAIKRFSRQSWPDPHQFVNEAAGVGKLRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWEKQPLPWEMRLRVAYHIAQALDHCNIENRKIYHDLNAYRVLFDEDGDPRLSTFGLIKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNLLLLIDSSLEGQYANEDASDLVELASKCLQYEARDRPDIKFVLSAVAPLQKQKEVASHILMGLSKAPVVLPTMLSPLGKACARMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAIDYYSKLVSMMSVPSGTVFVRRALSYLMIGQPELALRDAMQAQVCFPEWPTAFYVQALALSKLGMETDAQDMLNDGATFEAKKQNGWRV >OMO62090 pep supercontig:CCACVL1_1.0:contig13348:1135:1194:-1 gene:CCACVL1_23039 transcript:OMO62090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTRELGQLTWASSRGR >OMO69485 pep supercontig:CCACVL1_1.0:contig12029:5286:14425:1 gene:CCACVL1_19467 transcript:OMO69485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGSEYLPPAEATKLDITLVRKSLSVDENFTICSVPRSCGRQSIKFPFFFENEEQDFRCGYPGFNISCRNNTDPILRLPDGDYIIHDISYQTQSFHVSKAVPFECRHSITNISIPQDRLVLPQNQKQVFVLFNCNLTSESTWGLPQYKLNCSGGDESNATLALFDDDPLLNFASENCKETVVAPVGFPEGEKGGVEEMLYRGFVLNWIASNCSICEGTGGHCGFDYSTYHFKCFCSDRPHAWHCAPGVG >OMO69489 pep supercontig:CCACVL1_1.0:contig12029:40008:43567:-1 gene:CCACVL1_19471 transcript:OMO69489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFRQQSFAPKGTHPQLTEEEEEEEYGEIQSLQVNIRSKNNQDDEFSEGHSQLMQTTSDNKSHQQQLSPKGALLQQGYPIKDNDSKEPYQSSSHSQQKQTPIKSSQGYTSEDDTLRKISTSKELSLKDEYLPNATKAKHFPQQQLSSRAKGNPQEHVSHDTLHLLPSGRVHSSPKDNSPQRCYDSPEPSKSQTSPASASPKINQHSNQSFYPKQQPSPVTPHSASPPPQRFLSRDDSTASSQDYSSKSKTAPVSASPKINQHGNQSFYTNQQPSPVIPPSATPPPQRYLSGNDSTASSQNYSSKSKTSPVSASPKNNQHGNQSFYPNQQQSPVTPSASPPPETFLSGDDSTASSQNYASKSKTSPVSAPPKNNHSQQKFLSGDDYIASSQNSECNQTNDYDTHRSELPSVKDSTAQRYRAPSKPKEHENGSQKSVRLKLPLHLAFSRRPKIQHYQELIMKNHHVGTVFPVVVVTAVPVPSYETRDYLDRSVTRYSAPKDAHRRRLWEKEEEEEEEYGENHSLQMHMGSENDQDDEFGEEQSQLMQMRSDNKSWQQQLSPKGALLQQGYPLNDSDSKEPYQSSNHSQQKQTPVASSQGYTPQVDTPLKISTSKEFSLKGDYLPNATKTNHSPQQQLLSGAKGKHPRDNTFDPQHLLPSGDDSTSSSQNYPSKSKTYPVSAPPKNDHPQHSNQTPYPNQQPSPVTPPPASIPQRFLPGDDSTTSSQNSRRNQTIYYGTHTSKSPSVKDPYEQGHRAPSKSEEHENANHNNVATMKEEGLTGNAATTIVESSPKNISLPRVHSKEPASCPCSNQ >OMO69492 pep supercontig:CCACVL1_1.0:contig12029:58379:58603:-1 gene:CCACVL1_19474 transcript:OMO69492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRSLAGVKGGASASRRKNLLILTFLSKSIFRKSSSSSKVKFLSSPQKSSNPGTGNHKSTNNSSPVRKMLYI >OMO69491 pep supercontig:CCACVL1_1.0:contig12029:47845:49624:-1 gene:CCACVL1_19473 transcript:OMO69491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSHSPQESPLKEAYQESGNSLAEVQYAYPNNLPTTQDQNFSLSETNHVPEHQQGEPVVAYPDLDYQHEINSITNTTITTTTLEVPPEGYPPENPASPEVEPPSAKTTNLGNHQTTNNSSLQQPSVKIDDSQEYQPKPHDPSFRPSSNQYPNEQVASIQASPQQNRREDTHLLPGKSRSNTKKEKTPKGDETHKDPPEKFNPTLPQEEQFSQQANDQGKQKPVSEETDQNLDQKENSHSQTQRVPLPKPDGYPPDRPPTSPSKLEPPKDPPSKCCCECCDFCSSCSIL >OMO69487 pep supercontig:CCACVL1_1.0:contig12029:23642:25813:1 gene:CCACVL1_19469 transcript:OMO69487 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MELIAGEFSTTTGASPTLGQLLKHVGDARKEATGDETPVHEILVDVSEPRPMPFVLSFNNLTYNVKVPRKMSLPGFLRHKNRSPATSAVAGNPLAGDSFFTKTKTLLNDISGEARDGEILAVLGASGSGKSTLIDALANRIAKGSLKGTVTLNGEVLESRMLKVISAYVMQDDLLYPMLTVEETLMFAAEFRLPRSLSKSKKKMRVQALIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAYMVVKVLQRIAQSGSIVIMSIHQPSYRILGLLDRMIFLSRGQTVYSGSPANLPLYFSEFGHPIPEHENRTEFALDLIRELEGSPGGTKSLVEFNKSWQSMKHPREAESDRLGLSLKEAISAAISRGKLVSGATNDGSSTSMVPRFANPYWKEMLVLSNRSILNSRRMPELFGIRLGAVLVTGFILATVFWQLDNSPKGVQERLGFFAFAMSTTFYTCADALPVFLQERYIFMRETAYNAYRRLSYVISNALVALPGLIFLSFAFAATTFWAVGLDGGFSGFLFYFLIMFASFWSGSSFVTFLSGVVPHVMLGYTIVVAILAYFLLFSGFFINRDRIPAYWIWFHYLSLVKYPYEAVLQNEFDDPTKCFVRGIQIFDNTPLGAVPSSMKVRLLQSLSNTLGMRITSSTCLTTGVDILKQEGITDLSKWSCLWITVAWGFLFRILFYFTLRLGSKNKRS >OMO69490 pep supercontig:CCACVL1_1.0:contig12029:44396:44908:-1 gene:CCACVL1_19472 transcript:OMO69490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHSSEKIPSKHTHQGDHDEEHSNDRMSPLPSCSSSNKNQQQQESFRSSNNPQQEQAPDISTSSRGNRLKDETPSNHQSFGSLQKSAQENVMNSQSDNHLKEQQPSSVGTSSTHKNSEEHGKAYHGNVAVQNAPKSVCSTTTPKNVDAGLERTQPSSSSDCCCPCCCIL >OMO69488 pep supercontig:CCACVL1_1.0:contig12029:38861:39460:1 gene:CCACVL1_19470 transcript:OMO69488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAALPPPASSLKLTTASFSSPRAFPYAPPRHQISFIPRATPESDADSSSTEPESSSSSADSDPFDARLSQVRLRYRSGTGKKAERRKSKKSGSTSSSSSSSSIYLPPVPLKEATSNGLKVDFGFSPYSEKINGYIAMLGLSALVLVELATGKSVISYHTPAIILIQIYFVAAVAAVFVKYEKEKVSVWPPPQSSSPKS >OMO69486 pep supercontig:CCACVL1_1.0:contig12029:16375:20229:1 gene:CCACVL1_19468 transcript:OMO69486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDKSATKRSRDRDEKHHRSHHRDSDHHERDRESHRRSDHKSSSSRRDERERSFEREGSRDRAASKHREGSYEEVEAKKKRKEREESEERDEKRVKVGDENREVKRERRRFGDKAKEEESELSNATDGGDTVQNGAARASLPRTGHPLPTKVPSISTAENNEYSITGSHEVTGSSTDGSSAAGKSGGNLSLDALAKAKMALQKQKLLAEKLKKIPTLNKGNSSSSGVTTGTAQGPVSAVTNAIASGQPSSSALPPGSGMAAVPGLASIPNLEAVKRAQELAAKMGFRQDPQFAPLINLFPGQVQTDVPAPQKPTKAPVLRVDALGREIDEHGNIISVTKPSNLSTLKVNINKQKKDAFQILKPELEVDPEANPHFDLRMGINKNKLLRPKRMTFQFVEEGKWSKDAEIIKLKSQFGEAKAKELKAKQAQLAKVKADINPNLIEVSERIITKEKPKEPIPEIEWWDLPILVTGTYGDIADDGVVTEDNLKMEKITFYVEHPRPIEPPAEPAPPPPQPLKLTKREQKKLRTQRRLAREKDRQEMIRQGLLEPPKSKVKLSNLMKVLGSEATQDPTKLEMEIRSAAAEREQAHVDRNIARKLTPAERREKKERKLFDDPNTVETIVSVYKINDLSHPKTRFKVDVNAQENRLTGCAVISEGMTVVVVEGGSKSIKRYKKLMLRRINWAEAIKEEEEDEEEDDEKPPNKCVEVWQGSVTKPSFHRFSVHDCITEAAAKKVFADAGVAHYWDLAVKLPENES >OMO96418 pep supercontig:CCACVL1_1.0:contig07473:2552:13165:-1 gene:CCACVL1_04960 transcript:OMO96418 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MMIETAVVLEYLVPSWWEIKVAVAASLFVIVSYCFFTFQGGGGGGGDRSQLLEDSEDGFGDDKDKMVQLKGDLQTNSAYLIKVELLAAKNLIGANLNGTSDPYAMITCGSEKRFSSMVPGSRNPMWGEEFNFSVDELPVEINVTIYDWDIIWKSAVLGSVTIPVESVGESTAVWHTLDSTSGQVCLHIRTIKLPVNSSRGMNGIAGANARRRSTLDKQGPTVVHQKPGPLQTIFNLLPDEVVEHSYSCALERSFLYHGRMYVSAWHICFHSNVFSKQMKVVIPFGDIDEIRRSQHAFINPAITIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRALQRAAKNYHAMLEAEKKERAESALRAHSSSIRGSKRRDKTPLENASKSEKLQAFIKEEVLTGIFNDVFPCNAEQFYNLLLSDDSTFTSEYRSVRKDTNLTMGQWHAADEYDGQVREITFRTLCNSPMCPPDSAMTEYQHSVLSSDKKKLVFETVQQAHDVPFGSYFEVHCRWCVETNGENSSLLDIKVGAHFKKWCVMQSKIRSGAINEYKKEVEVMLDVAKSYIKSHTSNGETNNDTSSSPSVIQDTS >OMO69990 pep supercontig:CCACVL1_1.0:contig11913:88642:92184:-1 gene:CCACVL1_19170 transcript:OMO69990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLSAFVTYCRYIEGGCKQRRKGRGKPMRKTVGKWAKKKA >OMO69989 pep supercontig:CCACVL1_1.0:contig11913:82425:82487:1 gene:CCACVL1_19169 transcript:OMO69989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHGFGSRAIFQFIIVEEL >OMO69985 pep supercontig:CCACVL1_1.0:contig11913:58230:59468:1 gene:CCACVL1_19165 transcript:OMO69985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSATTFSIGSTVSFRSKGSSFPQAKPSAARFTSQNSVASFSGLKATTSLNCETESSFLGKESSAALRGSFVTKAQKATQRSQYGLQIQASYKVAILGAAGGIGQPLALLVKMSPLVSDLHLYDIANVKGVAADLSHCNTPSQVLDFTGASELGNCLKGVNVVVIPAGVPRKPGMTRDDLFNINANIVKTLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYDPKKLFGVTTLDVVRANTFVAEKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVSFTDEEVEQLTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDGDVYECSFVQSDLTDLPFFASRIKLGRKGIEALIPSDLEGLTEYEQKALEALKPELKASIEKGIAFVQKQPVTA >OMO69981 pep supercontig:CCACVL1_1.0:contig11913:20183:21700:1 gene:CCACVL1_19161 transcript:OMO69981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-ring hydroxylase-like protein MSSIAFKPFTGLRRSSAVPTTPTTTNTSTLSKTVKFHVSAARTSPNLSNRNLRVAVIGGGPAGGAAAETLAKGGIETILIERKMDNCKPCGGAIPLCMVGEFDLPLDIIDRKVTKMKMISPSNIAVDIGQTLKPHEYIGMVRREVLDAYLRDRAKENGATVINGLFLKMDTPKRWDEPYVLHYTEYDGRKGATGEKATLEVDAVIGADGANSRVAKAIGAGDYEYAIAFQPFEPLFLRRTGR >OMO69986 pep supercontig:CCACVL1_1.0:contig11913:60382:61076:-1 gene:CCACVL1_19166 transcript:OMO69986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHAGFFLQSARADLITMCKKNFSRGERNHEELFAKTED >OMO69988 pep supercontig:CCACVL1_1.0:contig11913:63828:64532:-1 gene:CCACVL1_19168 transcript:OMO69988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK MTSNLQGKNIEGIKGNEVGIIKEEKDIILSSRENQKCNGTKMLSRKVISQYFYMPITQAAKELNVGLTLLKKRCREVGIRRWPRRKLMSLQTLINNVQELQKEEGEESEIKLKEALIVLEKEKKMLEEMPDMQLEDNTKRLRQACFKANYKKRKLMTMMEESNSRERLGLGKPYGMENEEEEEIKYLLSTDSNSSTNFII >OMO69984 pep supercontig:CCACVL1_1.0:contig11913:27618:32110:1 gene:CCACVL1_19164 transcript:OMO69984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERWNKSTHTFIAIFGEITVTLEDVDVATLLPILGDLDPRTVNLDADEQAIEASLLAAHSKISAGKKFNNAKTVKLFNWLQCYGKLDSDIVVLHRRAILVLLWLSKFVFGGFPGDRVLIELVRVDIKLFRGFSLPLAPLVLGTLYHQLDTFFDDEMEGGGFFLIETVVCYPLLHGGFTLGGYPHACLWISKKVSVVEYNRLYTVFDDIKEFTWQPYYDSPDYVIQPRISSILMPTGSAPGEITVTDADLSLLEAYVCVTPRPLPFLSLAGSFGVTSYRLDRVMRQFGHDQGIQ >OMO69983 pep supercontig:CCACVL1_1.0:contig11913:23360:24034:1 gene:CCACVL1_19163 transcript:OMO69983 gene_biotype:protein_coding transcript_biotype:protein_coding description:geranylgeranyl diphosphate reductase, chloroplastic-like protein MVYYENLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKGDIKKFQLATRNRAKDKILGGKIIRVEAHPIPEHPRPRRLLGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSQNGKKMVDEGDLRKYLEKWDKTYWPTYKVLDVLQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKTVAPGNPLEDLKLAVNTIGSLVRANALKKEMEKLNV >OMO69987 pep supercontig:CCACVL1_1.0:contig11913:62683:62781:1 gene:CCACVL1_19167 transcript:OMO69987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGITEITEWRGTEAGQKMEGKTGVLKKGCTD >OMO69979 pep supercontig:CCACVL1_1.0:contig11913:11453:11936:1 gene:CCACVL1_19159 transcript:OMO69979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNLFRLLVVLLGLSHIICLNAVPTTRIGSLMHGPQIHHVPENTHLVAAERSAESQIVKGRMVVELNDYPGSGANNRHTPRPQFGRCADC >OMO69982 pep supercontig:CCACVL1_1.0:contig11913:22066:22434:-1 gene:CCACVL1_19162 transcript:OMO69982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFRPSYRSTANRDGRNLEIFDANTLKYVNKDNLGRSRPSFGTSSSFCQIQNPNPVDHRRKKKSSKSSITSIKSWWNEPRMKRKRRLAMYKVISIEGKLKDSLKKGQRWIKRKISKAVHGC >OMO69980 pep supercontig:CCACVL1_1.0:contig11913:15921:17452:-1 gene:CCACVL1_19160 transcript:OMO69980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MKFNLALANLLLLSLVGFAIQGCQGKVVQFIFGDSLSDVGNNKYLSRSLAQASLPYYGIDFGNGLPNGRFTNGRTVADIIGDHTGLPRPPAFLDPSLTEDVILENGVNYASGGGGILNETGGYFIQRLSLWKQIELFQGTTELIKNKLGKQAADKFFQEANFVVALGSNDFINNYLMPVYSDSWKYNDETFVEYLMETLQKQLQVLHSLGARKVMVFGLGPMGCIPLQRVLSTTGQCQQKANNLALSFNKAASKLIVNIGKQLPNSSFRFGDAYDVVDDVIRNPYKYGFNNSDSPCCSLGSIRPALTCLPASTLCEDRSKYVFWDEYHPSDSANELIANELIKKFGFLGVNPEAPTPAPESAIAPSPDE >OMO55596 pep supercontig:CCACVL1_1.0:contig14657:3149:5148:1 gene:CCACVL1_27159 transcript:OMO55596 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MANMEDTILQYPMVGGEGPQSYTQNSSIQKIIQENGNAKELIREAVMEKLDFKNLDVWFSNHTIRIADLGCSVGPNTFIAVQHIIEAIEFKYLKTHQGNEINLEFQVFFNDQANNDFNTLLRLLPSSRNYFANAVPGSFHGRLFPKSSLHFVHSACSLNWLSKVPEEVAWKEGISFLHTGLAKEVINAYLNQFKNDIDAFLTARAQEIVGGGLMVLIITGRPDGFPLSPTGSSMIFELLGSCLDDLANLGLIKQEKVDSFKLPLYYPNAKEMETIVDRNGYFSIEKMDKSGRDSCIRPGRSMDTHLLIASHLRAAFDSLLKAHFGINDQVVDEIFKCFPKKVANSNLIYDDEGCKDVDLFILLKRKELSLA >OMO86326 pep supercontig:CCACVL1_1.0:contig09504:2555:5314:-1 gene:CCACVL1_09640 transcript:OMO86326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVVTSTPSLELLVQERDGFSIWTGPHGKKNNILGKENDSKVSCTNVEFSKFSEDGSRLMVVTSNSIFVYDSSTWKELRSFPINSLHSVLSPLGTYLQTFESSEAYTTEHGNVVLWEIETGKAVTRQFQTEMPNGTWHPIQFSSDEVVACRLAPNIGIDFFDGTDFSKGIVYKLEICGVVRFELSKKPGSYVAAYVSECEEEERQASVQIYACEKLQNQLLPRRNIVHHYTPKQMKWNNGSTHFLLVTHPIDERITQLPWELSYLTINMMRGVKVPLDVAGPVIDVQWSYSGNEFAVLYGFSNDRCATVFDKEGKRLNDVKHAFYSTIQWSPKGNFLCLAGFGSKVSNGMLFWDYKEESVNKTVKTDTRGCSVYTSGWSACGRFFMIVITNKSRQCYTIYDYTGKFIGTVEKSLGLLQVDWKLEPSKKDDEIATMAKKEQGTAQQQSAEGNKKKKKKNKKK >OMO86325 pep supercontig:CCACVL1_1.0:contig09504:61:141:-1 gene:CCACVL1_09639 transcript:OMO86325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVGSRTGGDWLMVVMKGGGAGKG >OMO80642 pep supercontig:CCACVL1_1.0:contig10303:11044:14632:-1 gene:CCACVL1_12830 transcript:OMO80642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCLTKGKDSKPQHNGYRSGATTTAAGHQQRYQEPVRPAPAQPQYHHIPEKPGSQAPWKPVAAAPSPKPAAPRVDTILGKAYEEIRAHYTIGKELGKGQFGVTYLCTENSTGKQYACKTISKRKLVTKNDKEDMRREIQIMQHLSGQPNIVEFKGAYEDKQSVHLVMELCAGGELFDRIIAKGHYSERAAASICRAIVNVVHACHFMGVMHRDLKPENFLLSSKDENALLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRRYGKEIDIWSAGVILYILLSGVPPFWAETEKGIFDAILEGEIDFESQPWPLISDSAKDLVRKMLTQDPKKRITSAQVLEHPWIREGGNASDKPLDSAVLSRMKQFRRMNKLKQLALKVIAENLSTEEIQGLKQMFANIDTDNSGTITYEELKTGLARLGSKLTETEVKQLMEAADVDGNGSIDYIEFITATMHRHRLERDEHLYKAFQHFDKDNSGYITRDELEAAMKDYGMGDDDTIKEIISEVDTDNDGKINYEEFRDMMRSGTQQQGLF >OMO80643 pep supercontig:CCACVL1_1.0:contig10303:19811:22628:-1 gene:CCACVL1_12832 transcript:OMO80643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase MLQENGSKFSLSDQLDPLLSLVCSWQKMEFDSWPVLLDEVQYQYDINAAKTTGKPNFKLPDKNQNQNLIRPHNLIKVAAEQGAGLVVLPVTEMWNCPYSADNFARYAEDFGNGFFSMLSEVASCYRIVGGSTPELCNGWLYNTCCVFGSDGKLKAKHRKIHLFAIDIPGDISYKESDLFAAGDEPRIVDTEIGRIGIGICHDIRFPELAMLYKAKGAHLICYPGAFNNEYW >OMO96702 pep supercontig:CCACVL1_1.0:contig07397:24909:26168:1 gene:CCACVL1_04825 transcript:OMO96702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLRLLLEKLQNSVGKGGEKKGYEKNFDPDDPETIDMLCNLPAEKVWKIRKVSKGLCDLIQSPDFANTFLERAPSIAFLQRWQSMKFFYLDEDDNKRVLVNGSVPLLKKKKIPILVGSCNGLLLFESPPNFETKYNYYSYYIWNPVTQQVVNFTCPALDSQSGRIYGLYYHSSTQEYRLLYLRMNTNDGLFVRDYGIIKAGQNAGRRLYLQGQQRIPCPKAQPPAVVVKNFLYWMADSDNSYYKRPCQHSIIGFDTDAEELFTLPHPDDNICQPSTPHCPRIKYQMRLLEMDGRLTCWCLGVASAHVWVLNDESNNWSLRCHLNFDHDLFFKWLNHDIKLVSFLNNEVLLVWYDRVFRYNLSTRKVRNLKGFKHGFYLILVAHTKSVVILEDQRVGQPIYFESKEEDKSRWVDQVKQN >OMO96700 pep supercontig:CCACVL1_1.0:contig07397:17325:18039:1 gene:CCACVL1_04823 transcript:OMO96700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLRLLGEKLQNLIGNGGDQKGYEELMKNFDPNVPETIDMLSSLPAEEVWKIRKASKGLCDLIQSPEFASKKHLERAPSIAFLQSRIEPDILSFEFGSMKFFYLDDDNKKAKTNADDSTCNLNIMIVSLVLVLLGGGRNQRHRW >OMO96701 pep supercontig:CCACVL1_1.0:contig07397:22026:23309:1 gene:CCACVL1_04824 transcript:OMO96701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLRLLVEKLQNSKKKGYEEVENNFDPDDPETMDMLCGLPAEKVWEIRKGLCDLIQSPEFASNKYLERAPPIVFLQFGFPSTKFLYLDDDDNKRVLVDGSPLLKKLKFPFLVASCNGLLLFESVPNFETIFHYSYYIWNPVTQQVVNLSGRESRYSGRIYGLYYHSSTQEYRLLYLRNNSDGFVQDYGIIKAGQNAGRRLYLQAEVKNNFPRPRAINSTKAARAPVLVKNFLYWMAAEKANGANNAIKFVSFRNNEVLLVWSNRGVFRYDLSTWNARKVDLKGMKLQHVNLVAHHTKSVVVLEDQRDGLPIYFETREQAERKPIMG >OMP11500 pep supercontig:CCACVL1_1.0:contig01230:1058:3038:1 gene:CCACVL1_00482 transcript:OMP11500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MTRDKTKSVSSGQSSRRAVSTNVSNPPALPHVQRSKRPCSVGASANVANPPAPPHVQRSKRPGSVGGVDLQTLIPDFVPPPVQEIPPSAPQETVGESDGDDGEHSDGGEEDYSDNEEDHIQQGSQAQSFCPKGYILSQVTWRAMHRCQMNRKKPRWITRAIWKKLIELWDSKEYQDLCAKNKRNRLSDSGATIYRGGCISTIEHRDREELKTGGKRSNLDIFIKLNTEEDGITWKSETARLAHEKFKKARAEEVARLGEGAVINDDHLWVKIMGVHKRRCYGLGNLITEMAVFPTRSQSSQSTSTINTDESSLKEEVAELRQLVQQQNKTQELILAALQSGGITLQAGSIPVGSGEFVSQPQQVPLHDVQANEDVELVGEVD >OMO96417 pep supercontig:CCACVL1_1.0:contig07474:2264:3147:1 gene:CCACVL1_04961 transcript:OMO96417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YPIFPTLIFSRAADAGQHRASKSNPKNHCPGAPFV >OMO70060 pep supercontig:CCACVL1_1.0:contig11883:6844:12358:-1 gene:CCACVL1_19119 transcript:OMO70060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSREIFNFLVRKDVRKILKRKDSDAGERGKALEDLRASLFNKLRSSESAKRQQQRVCGPIAALTFNFMVAVGIIFINKWVLKNVGFQFPVFLTFIHYAVSWVLMAILNSFSLLPASPPTKSTSLSLFTLGIVMSLSTGLANVSLKYNSVGFYQMAKIAVTPSIVFAEFLWYKKRVTCSKVIALTVVSIGVAIATVTDLQFSVFGACVALAWIIPSTVNKILWSNMQQQENWTALSLMWKTTPITLLFLVSMIPFLDPPGVLAFHWNFYNTSAILMSAFLGFLLQWSGALALGATSAISHVVLGQFKTCVLLLGSFYLFGSNPGTTSICGAFVAIGGMSLFTYLNLQGKKQPLPLKVSSCLPKSKLGEGNGENHNGFGSESV >OMP02758 pep supercontig:CCACVL1_1.0:contig06188:3000:3140:-1 gene:CCACVL1_02710 transcript:OMP02758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RNQVKELPQMAERSKEPQRQKQYSMKIAAVMMTPTMKRVAKVAEDE >OMO53294 pep supercontig:CCACVL1_1.0:contig15247:3803:5747:1 gene:CCACVL1_28743 transcript:OMO53294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MRSKARAMAGQTSEELEELKRDRDEMKEQLKQMMEMLNKLTGPLDTTPKEGATNQKADDSGGKVDIGSNSKNNGKAPADFVDNTQLGYVYTHTAPYYAHPRTSGVGTYVPYPPIENPVHYDPRTFMVQQGMPTGITEKKDDPVEENKRKLSLLEEMLCGLEGSNSYYRLVDTSELSLVEGLVIPPKFKTPEFEKFDGTKDFPNNHLRSYIRKMGPYASNEKLMIHYFQDSLAGTASIWYNQLDRHHIRSRDDLAKAFLDQYKYLVELAPTRETLKVMERKPTESYTEFAQRWRNKAAQVRPSIEETEISGLFIDTQKSIFYEKLFNMSGEPFAKIVVMGQKLDNSIKSGRIFVVDEAKKGHVGKKKEEVSNVNEEPYKPYYPPPAYNYPQYGKNSVNYYRLPTPVQPSYHAYGYYAPPHVYPYLYQHSGDINAVGGAPFRPRPSNPIPGSSQPSQQKAPAYSSGSAYVNPMKPPLPTWYDVKAHCDYHSGSEGHSTENCRRLKYAVQDLVRSGKLSFGSVAQVNPLPDHGKVQANMVGTGEIVKQKISEITTSLDIVFRALAKVGMVEKGDFGDGELAEVCPYHGGIHGICESPEFKGKVQKLMDEHQIEFCVEG >OMO50329 pep supercontig:CCACVL1_1.0:contig16212:27283:29065:-1 gene:CCACVL1_30502 transcript:OMO50329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAASTRRRKAKIDIPIVPIGYRFKARDDELVLDDWVLCKVYKKQGKGTTADEDEGEGDDAGAPPLMAEEEEEQQSSNTIAASPQISVNQKQQHQNGGGSEIMNQLQIAVDQKQNHQNGGSSVMNPSQLTYQRYNNMMLPQATYPPMLPYYYSNGYNAAASTSGLPDFPEDLGFGQDLPCYGNFVPTAAPISSIPPPPPPVRPTGSEYPLNMMIDDELFTDDKRNYYLDNWI >OMO50328 pep supercontig:CCACVL1_1.0:contig16212:18587:18694:-1 gene:CCACVL1_30501 transcript:OMO50328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKTLLRPCSTEISQHIWAVIRVHSRRRSQFGKA >OMO55143 pep supercontig:CCACVL1_1.0:contig14781:94:516:1 gene:CCACVL1_27371 transcript:OMO55143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSKASAPIAIFLAFNILFCCTLVSSQILPPALPSCPAITGVFPAIVCLSRARVVISTNITIPFGVPSICCRYLRTQVASGAQIINSSCVCEIVTFANTTLDVVPLPLPFTRNNASVAATNLVRTCGLTSANFTCTI >OMO55144 pep supercontig:CCACVL1_1.0:contig14781:6275:6685:1 gene:CCACVL1_27372 transcript:OMO55144 gene_biotype:protein_coding transcript_biotype:protein_coding description:A/G-specific adenine DNA glycosylase-like protein MASSSKASAPIAALFLAFNLVFCTLVSSQIPPLFPGFPPQVSCPGGLLSASLCFSRAIGVITTNSNSTIGSNISPCCIYLRGLGTGTQLNTTATCICGIIRYVDAVLLPFISVNATTAAMNLATSCALPTNVTCST >OMP02444 pep supercontig:CCACVL1_1.0:contig06251:1011:1106:1 gene:CCACVL1_02801 transcript:OMP02444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKTIQNSTNGPRKARNNKCSE >OMO77142 pep supercontig:CCACVL1_1.0:contig10811:1882:2747:1 gene:CCACVL1_15190 transcript:OMO77142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase, subunit VIb MSTAVDPHDKMRSRDVNKVARGEQAPKPPHEFGTVSPPPSPQSTSLPPPHKIANKEIARKLEEESDEAPKSRHCYESYVEYHKCIKEKGKNASQCDKFARHYRSLCPDEWIERWDEARAAGTFPIT >OMO61583 pep supercontig:CCACVL1_1.0:contig13442:2457:4331:-1 gene:CCACVL1_23398 transcript:OMO61583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGFFSLALFLLLGLDLDQGSSASAASSSSSSSQNVEITYGTVLKLMHEKTKFRLHSHDVPYGSGSGQQSVTGFPNVDDSNSYWIVRPQLDTSAKQGDTIPNGAIIRLQHMRTRKWLHSHLHASPISGNLEVSCFGGETESDTGDHWRLIIEGSGKTWKQDQRIRLQHVDTGGYLHSHDKKYTRIAGGQQEVCGVREKRADNVWLAAEGVYLPITDTGSKSSI >OMO61586 pep supercontig:CCACVL1_1.0:contig13442:19367:22201:-1 gene:CCACVL1_23401 transcript:OMO61586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLFAVTIDKILPHVKLIAGIHKAAVDS >OMO61584 pep supercontig:CCACVL1_1.0:contig13442:6426:8643:1 gene:CCACVL1_23399 transcript:OMO61584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPVLKIIGVILFIPTNCLSLPDPSFEQSRTTGSVRPP >OMO61585 pep supercontig:CCACVL1_1.0:contig13442:10073:17306:1 gene:CCACVL1_23400 transcript:OMO61585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDKELELMLMEAGNRLVEPPSSVDELIPLLDQVESCLARVEQSPSQSMQNALSPSLKALVAEKLFRHPDDDVKVAVASCISEITRITAPEAPYDDDQMKEVFQLIVSSFENLSDKSSRSFIKRTSILETVAKVRSCVVMLDLECDALIIEMFQHFLKAIRDYHAEGVFTSMLTIMTLVLEESEDVSVELLSPVLASVKKDNEEVLPIARRLAERVLEDCASKLKPYLKQAVESLGNSFDDYSSVVASICQVTPVAVEQNDAATDKHVEDKEPPKAAASDEQVDHANVKSSKSVVSNGILQTAEDDSLAASAPLKKQGDEKQEDEKQEDAKQEDAKQKDDEQEDDKQEDDKQEDDHLADKSKNADTSTVAEPDRLETEKLADSDSKSEQSAQEEGRKSDLKSAEPSNSSHVDEKEAETLTDHKNDKKDDAGAPSEDPSLDGWAKSSENKGETDVQPSSPKATEDADVSSENKKETDVQPSSLKATEDESTAVASPTASGTIPEDVQSKRAARSKRKDTAASVKEVSKKSSEGTRDSEAKTNRRSGKKVATVVSNEDNVPANVDETKKESGTASDSEAKTNRRSGKKVATVVSNEDNVPANVDETKKESGTASDSEAKTNRRSGKKVATVVSNEDNVPANVDETKKESGTASDSEAKSLKPASKKVDASSNNADGSSSKQLEDKKSRARGKPVAEKDGTKTSTKNDDEEMVSSPKSVKPNKEDSRVEETPKPSSKRKHSFIKEKASGSLEYGENLIGLKVQVWWPKDKAFYEGFIESFDSARKKHKVHYNDGDEEILNLKRERWEIIEDLGSDEEEATDLPTRDSSSETPLKKREKAADHPSKKAKTDASPKRGGGTSSAKSKGAVAKSGSKTKEDKKVDGKSKDGSKGASKSENDNVTKAKDTTGKSGSKSVDAASKG >OMP10652 pep supercontig:CCACVL1_1.0:contig02077:643:717:1 gene:CCACVL1_00835 transcript:OMP10652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVVVTTGRTVETTTTSLFCYKS >OMO80626 pep supercontig:CCACVL1_1.0:contig10306:4438:5724:1 gene:CCACVL1_12841 transcript:OMO80626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTQSKPKRPMCPSCSKPARTCLCSRIRTRDLDNSVELESSGFGQVGGKKESLEVGCGSFNFGVEGNDKCPDEKSGDLIEKYGEFSLENGVSRCGNAGNSLKALDFEDNIDVPNGDEPLLELSGRECGGVKGSLAMENPCETLSNLDATVLTEDVSDERSGFDQNGAVSMDREEAAIDARIIKYGCIADLSHIWKLEIQGKKPKFDHILSSPLARDALAGGFVVKKFERRKVEGKIEVEEIEEFQVKIPPGSILLFPSQTAVGVDDLKSMDFEVKNLIVLDGTWSKAGRIYNENPWLKLLPHLRLDLAKMSLYNEIRCQPKAGYLSTIESIVYTLKALGDNDDGLDNLLDVFESMVGDQRRLKEERLSKGGKQ >OMO80627 pep supercontig:CCACVL1_1.0:contig10306:6563:10231:1 gene:CCACVL1_12842 transcript:OMO80627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQPSGGVAPPQSIGADQSQNQQYQQQAQSQPWMMMSQQQQQGGPVPPPAGWTPSPVPPPSQMQQYSAGSAGAGSGEIRSLWIGDLQPWMDENYLLSIFSQTGEVQSAKVIRNRQTALPEGYGFIEFASRAAAERVLQAFNGSPMPNSEQNFRLNWAALGYGEKKQEEGPDYTIFVGDLAADVTDYTLQETFKSVYQSVKGAKVVTDRTTGRSKGYGFVRFGDESEQIRAMTEMNGYYCSTRAMRIGAASNKKPVTGGQQYQKVVYIYLSFAATYQNAQANPGENDPNNTTIFVGGLDPSVSEDQLKQIFSQLGEVVHVKIPNNKNCGFVQYANRTSAEQALSVLNGTILGGRNVRLSWGRSPSSKQGQPDQAQWNGGGYYGYPAQGYEAYGYAPPPQDPNMYYGGYPAGYGNYQQTGAYQQPQQADTVAIYSLIYAVVVD >OMO89333 pep supercontig:CCACVL1_1.0:contig08772:3141:5849:1 gene:CCACVL1_07909 transcript:OMO89333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside phosphatase GDA1/CD39 MVFSRIAEAISGASNLLSATQSSAASHMSPALSPQAGKNAAHGFGFVNSGHKNNLRLSSSLQDFSSYRRLDPETADVVSDIDKSMTYTKPPLQRENAGSSFSKEKGMPGGTPFLRRKWVRLIIGFLSILLLISLTYMVCIYIYSNWSKGASKFYVVLDCGSTGTRVYVYQASIDHRNDGSLPILMKSLTEGLSRKPSSQSGRAYDRMETEPGFHKLVYNKSGLKAAINPLISWAEKQIPEHAHTATSLFLYATAGVRRLPTADSKWLLENAWSILKHSPFLCRKEWVKIISGTEEAYFGWTALNYRTGMLGAIPKRETFGALDLGGSSLQVTFENEHRQHNETNLNLRIGAVDHHLSAYSLSGYGLNDAFDKSVVHLLKKVPDGSSDSLVDGKIEIKHPCLHSGYKEQYICSQCASKDQESGSPVIGGKILDKGGKSGISVQLIGAPNWEECSMVAKVAVNLSEWSSLYPGIDCDLQPCALSDNLPRPYGQFYAMSGFFVVYRFFNLSSDAALDDVLEKGRDFCERNWEVAKNSVAPQPFIEQYCFRAPYIVSLLREGLHITDSQLIIGSGSITWTMGVALLEAGRSFSSRLGLRRYQILQTKIDPIFLIAILFASFILLVCALSCVSNWMPRFFRRQYLPLFRHNSASSTSVLNIPSPFRLKRWSPMNTGDGRVKMPLSPTVGGSQQTPFGLGHSLGSSTQLTESSLYPSTSSVSHSYSSSSLGQMQFDSSGMGSFWSPHRSQMRLQSRRSQSREDLNSSLAETQMVKV >OMO89332 pep supercontig:CCACVL1_1.0:contig08772:1026:2013:-1 gene:CCACVL1_07908 transcript:OMO89332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKWATRSRRRVVALLDNNVVFREKFLTIEPQAAVGGPGLQWATAYLSHAIGEDASHADDSKFLKNCIIANKQRKNKFIKMMLRRWEVSENLQDGREGADAVCIMHKAPEFSAATDWEEIRNDMEANAYFFDFTSHPSLNYNVITQAGFSVYAAGAGLPPQPNN >OMO82696 pep supercontig:CCACVL1_1.0:contig09987:14517:15718:1 gene:CCACVL1_11803 transcript:OMO82696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDAKPESLLKREKRNEEWELAKKQELKVAKKNIENWKVIYNRAKQYAKEYEAQEKELIQLQHEVKLNGGFYVDPKAKLLFIIQICGINAMHPRTRKILQLLRLRQIFNGVFLKVNKATTNMLHRVEPYVSYGYPNLKSVRELIYKRGYGKLNKQRIALTDNSIVEK >OMO82695 pep supercontig:CCACVL1_1.0:contig09987:11519:12103:1 gene:CCACVL1_11802 transcript:OMO82695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKKAETGSKNPKKANLLDHHSIKNILDQSVSEG >OMO82694 pep supercontig:CCACVL1_1.0:contig09987:5687:7761:1 gene:CCACVL1_11801 transcript:OMO82694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence/spartin-associated MGCFRAKSSKTSAPMPPPPPPPPPAAASRADQPALPYPETRNLKMELLLQIPGCIVHLMDEGEAMELAKGEFTLVRILDEDVPLATIVKVGEDLQWPLTKDEPVVKLDSFHYLFSLPMKDGNPLSYGVTFSGQYGGSLLSSLDLFLKEHSCFSGAASTGDKHIDWKDYAPRIEDYNNVLARAIAGGTGQIVKGIFKCTNAYTSQVQKGAGEIILRQPSMEKNGMTASNGYASSGAIGKSGINKSLKRVRKLSKMTEKMSKAMLDMVGVASGTVMAPLVNSKPGKAFLSMVPGEVLLASLDAVNKIIDAAEVAEKQAFSATSTAATRMVSERFGEKAGEATGDALATAGHCANTAWNIFKIRKAFTPSSTATSGVLNNAAKYKGRKSY >OMO95507 pep supercontig:CCACVL1_1.0:contig07666:37666:41030:-1 gene:CCACVL1_05395 transcript:OMO95507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAEEVSIEELASNLSTYKEQLQQVRLLLRDDPGNHEYADMEKELAEVIALTEELLATAKQNEIPGSDIGTSDGALPAQSKESGKTSDHGDKFPIGTKVQAVWSEDGEWYDATIEAITPNGYFVSYDEWGNKEEVDPANVRAIEYNALEEAEKVAEATKQAIKRKIAQAASVDFESRSLPAKLRISADDPEDVKAAKRKKIHAFKSKMRFEQLEVTQNKRQNAWQQFQTSKGKTKKVGFFSGRKRESIFKSPDDPHGKVGVTGSGKGLTDFQKREKHLHLKGGNVEIADD >OMO95504 pep supercontig:CCACVL1_1.0:contig07666:16093:16269:1 gene:CCACVL1_05392 transcript:OMO95504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFNQIDPAQIRLSVLGKSSGPRPGLSPHFYR >OMO95505 pep supercontig:CCACVL1_1.0:contig07666:16695:19135:1 gene:CCACVL1_05393 transcript:OMO95505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQARNKALFRAKLNAQKKDKRIDSPLVRYNESDQPVCRVCDVVLKSESHWDAHQASRKHHEAINNLKANAAKCTQASNTQSALHQGVSDIHGKQKSEIEKDGSPQSSATQNKKILPPESRQVTVLETKQAKGSLPADFFDKDEARLPANGMKPSRENKQASVKATAPETKQAKGSLPADFFDKDETRLPANGMKPARENKQASVQATAPETKQAKGALPEGFFDNKEADLRARGVKPVKIDIKDEYKEFEKLIQEDLKEVDDRMEEEEIDAAETIEEAESLEQKVYKQRVELLRKRRLELEATRSNKRQKGTKPDSKESSSEESSSDDDSDENFAVDWRAQQL >OMO95506 pep supercontig:CCACVL1_1.0:contig07666:29894:36687:1 gene:CCACVL1_05394 transcript:OMO95506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIVINGGSKRDMKSENGEDEESEEYEVEELRDRMKSSRGSRFNLIANEFGLAAGRARRRFSRHTVIDGIKDLSRGVSIHPDNRWYRAWTKFILIWALYSSFFTPMEFGFFRGLPENLFILDIVGQVAFLLDIILQFFLAYRDSQTYRIVYKRSSIAIRYLKSSFLLDLLACLPWDMIYKASGRKEEVRYLLWIRLYRVRKVTEFFQQMEKDIRINYLFTRIIKLIFVELYCTHTAACIFYHLATTMPPEKEGYTWIGSLKLGDYSYSNFRELDLWKRYTTSMYFAIVTMATVGYGDIHAVNIREMIFVMIYVSFDMILGAYLIGNMTALIVKGSKTEKFRDKMADIIKYMNRNRLGRDIRDQIKGHLRLQYESSYTEAAVLQDIPISICAKISQSLYMPYIENIPLFKGCSLEFINQIVIRLHEEFFLPGEVIMEQGNVVDQIYFVCHGVLEEVAIGEDGSEEPISLLQPNSSFGDISILCNIPQPYTVRVCELCRLLRLDKQSFSNILEIYFYDGRKVLNNLLEGKESNRVKQLESDISFHIGKQEAELALRVNSAAYHGDLYQLKSLIRAGADPKKTDYDGRSPLHLAASKGHEDITSFLLQHEVDINLKDKFGNTPLLEAIKNGHDQLAALLIREGASINMDDAGSFLCTAVAKGDSDFIKRLLSSGIDPNSRDYDHRTPLHVAASEGLFLMAKLLIEAGASVFTKDRWGNTPLEEGRMCGNKNLIKLLEDAKSKQLSEFPYQSQEITEKMHPKKCTVFPFHPWDAKEQRKLGIVLWIPHTIEELIKTAGEQLDFPDGSCILSEDGATLLLVAASFLVSSESEIVFGVDSKLIRS >OMO95503 pep supercontig:CCACVL1_1.0:contig07666:4262:13566:-1 gene:CCACVL1_05391 transcript:OMO95503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MTGKDKDYRYMATSDLLNELNKEGFKADADLELKLSNIILQQLDDVAGDVSGLAVKCLAPLVKKVGEPRVVEMTNKLCDKLLNGKDQNRDIASIALKTIIAEITTPSLAQAILTSLSPQLRRGITGPGTSTEIKCECLDILCDVLHKFGNLMATEHETLLNALLSQLSSNQASVRKKTVSCIASLSSSLSDDLLAKTTIEVVRNLGSKGTKSDLIRTNIQMIGALSRAVGYRFGPHLEDTVPVLINYCTTASENDEELREYSLQALESFLLRCPRDISSYCDEILHLALEYLSYDPNFTDNMEEDTDDENQEEEEDDESANEYTDDEDASWKVRRAAAKCLAALIVSRPEMQCKLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQTDLNELRQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLANHIGTLIPGIEKALNDKSSTSNLKIEALIFTRLVLASHSPSVFHPYVKALSSPVLSVVGERYYKVTAEALRVCGELVRVVRPNLEGSGFDFTPYVHPLYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDNLGAELPACLPVLVDRMGNEITRLTAVKAFAVIAASPLRIDLSCVLEHVIAELTGFLRKANRALRQATLGTLNSLIVAYGDKIGPSAYEIIIVELSTLISDSDLHMTALALELCCTLMADKRSSRNVSSAVRNRVLPQALTLIKSSLLQGQALLALQNFFAALVYSANTSFDVLLESLLSSAKPSPQSGGVAKQALYSIAQCVAVLCLAAGDQKCSSTVKMLADILKADGTTNSAKQHLALLCLGEIGRRKDLSSHANIETIIIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEIILKLLFNHCESEEEGVRNVVAECLGKIALIEPVRLIPALKVRTTSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEIASFLMLIKDQDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTIVKQELIRTVDLGPFKHTVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCQSAVLAVLDSLVDPLQKTINFKPKQDAVKQEVDRNEDMIRSALRAIASLNRISGGDCSLKFKNLMGEISKSPTLWDKYYSIRNDGSMSVSSYGSVSIPSLGMMVSVPI >OMO73428 pep supercontig:CCACVL1_1.0:contig11233:82450:83064:-1 gene:CCACVL1_17269 transcript:OMO73428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEITFDLEVNKDGNGSEDGWMVGFLTAEKPINRGATMVIPRNLWPELEAPLIGEISQNLFSITFSARISLSDALIEIPWSALGYCFTLNMWPDNLAVGKLYLSMTSYWMLCLDLGGLMSSRAKKVVYTFILKEVRSEKVLQLVEGSVLVSGTEEKENASVGRRRKKVCAANWDIEELIEVPISPFLTLSVGLGGCPITTTQGL >OMO73430 pep supercontig:CCACVL1_1.0:contig11233:106690:110049:1 gene:CCACVL1_17271 transcript:OMO73430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MPSSSPAGAVTSSTSSKKIVCRYCKKLGHVISECYKLKAKQAATSGQSNQRNSAYGPKPVTATAAATTEDLATTSSSLSLTISMSKLETIVKQLVHKTCLALVLSSVTDDNSAMPVSHVGNVCDSNLDLPDVFHVPSLTLNLISVGQLTELDPQTGEILGTGCKTLASSGKLRPVKEEHVDCTSCKLAKHHDLPFEVNDKTCLSAFDLVHTDVWRPSPYETMGGSKYFVVFVDDYSRYTYIYLIKARSQIPEIVSNLVAMVKTQFSRTINVLRMDIAMEYRETDLVKCLSEQGTVIQRSCPGTSEQNGRAERKHMHILHTIPALLIDSKCLERFWGEAALTAIYTINHIPTPTIGNICPYERLYGTAPNCVSLFVFILLTFNLMNITSLNLESVYVVFWVMELSIKLFPETSLDLSHCRDISTSSSSDPPTTPTNESPSSEDLAQISPQIQELESSPSSPVPLRRSTRVSNPNPKYADFHCYSAIAMNLLTSKKQSQTLFGSKQWQKRYSGSVERYKARLVAKGFTQEYGIDYEETFAPVARVTSVRTLLVVAAVKRWKLFQMDVKNAFLNGDLDEKVYMKPPPGYEHPPNKVCHLRRALYGLKQAPRAGFAKFSSVATQFCFKSSSYDNALFIRHSQHEVTSNGSGYFLSQAKYVTYLLSLVGFIDAKVTSTPLEANQKLSPLDDATTLFCDNRSAVQIAHNDVFHERTKHIEIDCHFVRQHLLQGTLRLESVSSAAQVADLFTKSHPPSRFRDSTSKLNLISHIPS >OMO73425 pep supercontig:CCACVL1_1.0:contig11233:28259:29777:1 gene:CCACVL1_17266 transcript:OMO73425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven-in-absentia protein, sina MASGNSFFDDLRCKPEVIDPPQVEDIVDTESVNDTTQAAIKPNVTVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCIGIYPYYSKLKHESQCCYRPYSCPYAGSECTVIGDIPYLVAHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNEAKNYSYSLEVGGNGRKFIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >OMO73424 pep supercontig:CCACVL1_1.0:contig11233:10035:14754:-1 gene:CCACVL1_17264 transcript:OMO73424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor 2B-related protein MPDTQALVNDFLNKLKKRKIEGSQATAKQTAELLRSVISQTRVPYTNQAGALIDSVKAVGERLIAANPVELAVGNIVRRVLHIIREEDLSLTTAAMAGLNLSAVSDDEDDTDRDDHPVLSAAAVAAASRSTLRPPSLQTLLEDVPDSAAVPHTSSSGGDSEGKSKSADKSSRSRKLKHDVIEAVNELIQDINTCHEQIAEQAVEHIHQNEVILTLGSSRTVLEFLCAAKEKKRSFRVFVAEGAPRYQGHLLAKELVARGLQTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQKHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDLMDFGSGKGAPLLHVVNPTFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVVQRRPTSGN >OMO73427 pep supercontig:CCACVL1_1.0:contig11233:66857:68130:-1 gene:CCACVL1_17268 transcript:OMO73427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSNQVGAKAKELERSVLHHAKLDGRTFKEVVVKNGQTALNQGNNVGRKDVAVVSNEIEHVHGNRKNKEVIVETEKSTEGGSSSSFNFNQFLAIENEGERLPSGSTTEELDLDFDLPSEDMEWLERSIVGRLNPNVTVEQKMGKAYPDCRKYKRKIRHGSGMDVGRISVDDKFSDDRNSDYGVFDDPLEDEENSNVVCSCPRERLGELVPVALVNEDVINYESDEIETMCMKRNVETSKIDDSAEHETQRTGGCETRGEPSQKFGDGSGTKLDQNKEQCVVEVGSISSQPIALVCYGPTEDNSVGPSENKNKLVL >OMO73426 pep supercontig:CCACVL1_1.0:contig11233:61555:66100:1 gene:CCACVL1_17267 transcript:OMO73426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAAAACGSGGGRVWQLTVVGAGLGGSG >OMO73429 pep supercontig:CCACVL1_1.0:contig11233:88805:94224:1 gene:CCACVL1_17270 transcript:OMO73429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLFKARQGVENLPPDCWQSIFNRLDEGDLGAVSLACKGFLANANLVKESLNVVHSKTAMLSQHLKRFTQLKKVDLSYFLGDLEEALCEVAKLKLLKKIYIRFGRSVSDGSLVALFVNCVFLQDVTIINGAMTESGIGLLLRNRPNLEALSIGKIKHDSSSTITIANSISHAKALTSLEFLNMNVSDNLLAAIAKAKLPLERFAIKECKNFTVNGLLLVLSNYYLTKLTIDHVKFRDEDIEQLFNGNLGNLTHIDIRFTLTNSTLLFLLLTKSPSLVEITIDSLFMNSDLQVNPGGQSTPLEIQNLHLLHWRISDESAQQLGIIFPNIKVLDLSSCLTLTSSAIEAILKSCKFITELTLRGYRKTKIIEANSDLPSVNLEVLGLSYSLIDEEGLDAIGTRCPQLVDLCLSDCRNVTTKGIKQLIQKRKTLTWSSVGNCGQYADQIKRCNEKRQNRCQRHDRPQEVSPDLTSMLKMHSIEPLPGFCGGLVPENAFNATTLEALLDAGVLGLKSFMCPSGINDFHMTDIHHIKAGLSALAKYRRPLLVHSEIQDDKSNVQVYNGGDDPRSYSTYLKTRPPSWEEAAIRELLTATNGEIGWLGCKW >OMP07618 pep supercontig:CCACVL1_1.0:contig04310:683:799:1 gene:CCACVL1_01271 transcript:OMP07618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKSRGTELTHSEHPLKDYVVRLDRINPYRIKVQPPVNLQ >OMO72131 pep supercontig:CCACVL1_1.0:contig11503:821:4308:-1 gene:CCACVL1_17926 transcript:OMO72131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase superfamily MDTGGNSLPSGPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVHKPFPARDRDLCRFHADDYVNFLRNITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHTLCDIAINWAGGLHHAKKCEASGFCYVNDIVIAILELLKQHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGYSKGKYYSLNVPLDDGIDDESYHYLFKPIIGKVMEVFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNTGVALGMEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRHSLEEIRNKLLEYLSKLQHAPSVQFQERPPDTELPEADEDQDDADERWDPDSDMEIDEDRKPIPSRVKREVIEPETKDMEIQKCTAEQARGFDTTTDEAVSTKATDVSPMPIDEPAVKAGQETLNKASDQTYPKP >OMO72135 pep supercontig:CCACVL1_1.0:contig11503:29434:29577:-1 gene:CCACVL1_17930 transcript:OMO72135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKNNNPDKMKRIRGLPPMKWRLKMTPKPNPKIPVAVTLMIIPIRL >OMO72132 pep supercontig:CCACVL1_1.0:contig11503:7882:9396:1 gene:CCACVL1_17927 transcript:OMO72132 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MNGEKGKVCVTGASGFLASWLVKRLLLAGYHVIGTVRDPGNERKLAHLWKLEGAKERLQLVRAELLEEGSFDEAIIGCQGVFHTASPVMKPSFDPEASEKAAWEFCNENKIDLVTVLPTFVIGPCLPPDLCSTASDVLGLLKGETKQFQWHGRMGYVHIDDVALCHILVYENEAANGRYLCNSTVMDNNELASFLSLRYPSLSVPKRFEEDGRPYYEFNTSKIKSLGFNFRPIEDMFDDCIESLVEQGHLPPLQRGHQRLRASPIAI >OMO72133 pep supercontig:CCACVL1_1.0:contig11503:13484:18811:-1 gene:CCACVL1_17928 transcript:OMO72133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMILQLMGILESILKISGMAAKIRLFMGILERIRHIMLIPMLAPRLSFLTVLVAAMIVQRLHKQGCHGACKGTKVQLGSSKNIKLGKKLETGKGK >OMO72134 pep supercontig:CCACVL1_1.0:contig11503:19827:28800:-1 gene:CCACVL1_17929 transcript:OMO72134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIYLALHLHRRPLPIPLVTAVVLSLKLNLQVVVLAVTCMQSLDK >OMO82612 pep supercontig:CCACVL1_1.0:contig10002:6858:6956:1 gene:CCACVL1_11864 transcript:OMO82612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPSEKINAIHLATEVSAVRGKFQDLSVKPGTEE >OMO49519 pep supercontig:CCACVL1_1.0:contig16484:11611:12084:-1 gene:CCACVL1_30959 transcript:OMO49519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTPTTSKTPVVARPAPTLSSSKHSNNNIMKPSSFGHEVVKLEDIGCSSNNDVVVSSSAASTVLVPRQHGTASNEEALMLQNKDSGRERLKRHREEVAGRVLIPDKWGKEELLKDWIDYSSFDKLLAPSGLALARQALMGDGRRNGSQRLRIESMC >OMO49520 pep supercontig:CCACVL1_1.0:contig16484:13623:23474:-1 gene:CCACVL1_30960 transcript:OMO49520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsZ MSKMEALKISLLLLLSTNLRSVTSSPDNHRYNVGDDVPLFVNKVGPLNNPSETYEYYELPFCHPDPIVQKKEFLGEVLNGDRLTNALYKLNFRENKIAETLCNKKLEGDDVAKFRDAVINDFYFQMYYDDLPFWGFVGKIEDSLTLEEKASKYFLFKHVQFYVLYNGNQVIEIRAFSDPDQVVDITEDIEIDVKFTYSVTWNATSTSFDTRMDRYSRASSHPVHQQIHWFSFINSIVIIILLMGLLTLLFMRRLKNDLRKCSTGDDEEEDKEVGWKYIHGDVFRYPQNMSLFCAVMGMGTQLLTLVSCLFMLVCLGVLYPYNRGTLCTALVTLYSLTSVVAGYTAASFHCQFAEVGWEKSVLLAGILYASPLFVIGSILNVVAVSYGVTAALPFGTIMAIILIYTFLAIPLLALGGLIGYIFRSEFHAPCATKRYPREIPPLAWYRKTPCQMFLGGFLSFSAIVLELQHLYASLWGYKIFTLPSILFVMFIILILLTVILSVGLTYIQLSVEDHEWWWRSVLCGGSTAIFMFAYSIYFYARSNMSGLLQLSFFIGYNAFLCYAFFLILGSIGFRASLVFVRYIYRAVGKRDVHGTRKEVREDGKDCKVGICKVPCNYKFQELGFVLLNMAACSSHCFLPFETQASDGVLAFSREYSLKQRWISRASFLKGFRKSGLLSASQRPSYLSTLSKFRCSVNSNNVSPNHSKESFLDLHPDVSLLSSEENDAFSSLRKESYSRSITETLSEYSSPSSYSEARIKVVGVGGGGSNAVNRMIERSMKGVEFLIVNTDAQAIQISPVLPKNRLQIGKELTRGLGAGGNPETGMNAATESRAAIEEAVDGADMVFVTAGMGGGTGTGAAPVIAGIAKSKGILTVGIVTTPFSFEGRRRAIQAQEGIASLRENVDTLIVIPNDKLLTAVSHSTPVTEAFNLADDTLRQGVRGISDIITVPGLVNVDFADVQSIMKDAGSSLMGIGTATGKSRATDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLLEVNAAAEVIYDLVDPNANLIFGAVIDPSLSGEVSITLIATGFKRQEGSEGKGAQTLPGDVPIGFNRRSPSSTVDGSLEIPEFLKKKGRSRYPWF >OMO49518 pep supercontig:CCACVL1_1.0:contig16484:8769:9275:1 gene:CCACVL1_30958 transcript:OMO49518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNSLDWAYVIGNLSDSDEIMSMIINDSKDKIPADKLQQQESQEGSKTLVLPAVQPYKKSHVIGDVEAGSSSGQTGMITSGGSLINPLGFPYRSQKQQQDAHSPPPPSESGIQSSPDQLLTPSVIPVNKRGKKATHGVIEAGEKKKLTMLKNRISAAKSRARRRVNI >OMO49521 pep supercontig:CCACVL1_1.0:contig16484:27216:28475:1 gene:CCACVL1_30961 transcript:OMO49521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLPVEVIGNILSRLGGARDVVIASATCRKWREACRKHLHTLAFNSNDWPAYHDITPRRLEILITQTIFQTTGLQGLSILMDDVAEFSASTVIAWLMYTRETLRRLFYNVRTSPNVNILDICGRQKLEMLELAHNSITGVEPNFQRFPCLKSLSLSHVSISALDLNLLLTACPKLESLELINPEIAMSDAQVTVELSSPTLKSIYVEAISLDKFMLEADSIERLHLRDCALELFELIGKGTLKHFKIDDVSVIHLDIGETVDNLEVVDVSNFTIIWPKFYQMISRSSKLRKLRLWDVAFDDEDEVVDLETIAVCFPQLNHLSLSYELRDGVMHYGLQGSSKLENVTVLELGWTVINDLFSHWVEELLRRCPNVKKMIIFGVVSEAKSHEECQILANFTSCMVQLMRKYMHVEVQFEYE >OMO78353 pep supercontig:CCACVL1_1.0:contig10594:36752:41486:-1 gene:CCACVL1_14467 transcript:OMO78353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MGIKSPVFKQSRHELFNKIMKASQIGLWSIWVSGILLIALSIYATNKLSLSKNPNFSHGRFSGIDAPRITLFTAPAPFTGSLGTKQSLAIRSWLALSPQITVILFSQHPSVASFASSFGSRVLVEPNIDFSFLGVPFFHSMVARSQAFRSDIYAFIHSETILLPDFVLALNYAHELDRDWLLFASSRNVSTFPFYLDEDGKYWLRKDGKRVRMKELQEKLGSSSQWNCCDGRMMIAWNNVEVPLHHGVLPPFLYGRGAHNHWLINEALSSGLRLVFDASRAISSFVLHDSMNGSNCLVKSSRVSDIENESWEYDGNSHLASLYGSSSLHKIDYSEVVKLLKCDGQYLLITTTEDIIHPHAYKRMGLWTGSITKRWRSKKTLACLARIKKSQNRMLGCSMKDQSVPSKALKFPFSLESLLEITADKNRTVVLTVAGYSYKDMLMSWVCRLRHLRITNFLVCALDDETYQFSVMQGLPVFNDPSAPSNISFNDCHFGTKCFQRVTKVKSRMVLKILKLGYNVLLSDVDVYWFGNPLPLLSSFGPAILAAQSDEYNQTGPINLPRRLNSGFYFARADIPTIAAMEKVVRHAATSGLSEQPSFYDTLCGERGATRKGDNRCVEPETNLTTHFLDRNLFPNGAYLGLWESENVKLACKKQGCLVLHNNWISGRLKKLERQCADIFGFVRHANHDSRSTKDLKEAPFSVDLSLQSRSRNISVRIVHAGGREELYQNTIPVSHLLEKYPGMCIARPDVFKNPDESLLRPEDILLPGQKYLVIPFTTVQKLKRRHQKKVKHKEGAESREDSSDAKITWDISEENSEDSVYSAKEFYETKPSKVSKVPNERHSRHPVRKGRRVKKPFVPPLPRTRPLWESGWEPSLTSVQELSP >OMO78352 pep supercontig:CCACVL1_1.0:contig10594:32368:35746:1 gene:CCACVL1_14466 transcript:OMO78352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter MTPFSCLPSARNTTSSAHTFLVRNPHLHVPKFLYNETHQLPKKENLVFRPFQVPTRPYLPLLSFKNSKLTHPFASISSFAEAEGDKEQKEGIHLEKHGEAEGKTNNEEDLPGMAQAFGISSSTASAIAVFIAFAALTLPLFMKSLGLGLGLKTKILSYATLLFGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLIAAILEFSGALLMGTHVTSTMQNGILVANVFKGKDTLLFAGLLSSLAAAGTWLQVASYYGWPVSTTHCIVGSMVGFGLVYGGAGAVFWSSLARVTSSWVISPVLGALVSFLVYKCIRRFVYSAQNPGQAAATAAPIAVFVGVSLISFAAFPLSKIFTIALVQALGIGAVGAFLVSKIINKQLGPLLVKANSSHSESQETATHHKNLGLLSDIAGPTGTQLEIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGGASGAEIIIPNDVLAWGGFGIVAGLMMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPVSATHTLVGAVMGVGFARGLNSVRAETVREIVTSWAVTIPVGASLAIVYTWILTKLLSFIL >OMO78349 pep supercontig:CCACVL1_1.0:contig10594:626:3468:-1 gene:CCACVL1_14463 transcript:OMO78349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MDSALVIKVKYGDTLRRFTAYPDETGLLDLDMNSLKQKIHSMFNFASDANLTLVYIDEDGDMVTFVDDEDLCEAISQDLNPLRINVSLNNTRARRSKFRLKISSSCRRSCPLSPTDSGDFERPLKFLSDPSLDVFQKIFVEIIAKATTLVLMKFVDYLSKLESSLQGSLLECKEGKHNGEGFASECPKDSKVTENSQFSNGIVKQKPLPTGEIEDSMQKGFQMEPENVTTALKNSVPQYTPGIVVNNDLQVDSAASEFSILNGNSWVCPSDAVCNQNNNKAKEEECAQNSGNCTNSDGSVYLDNPFITIQDSRSSRIDSKPCESSSKNISYSGNSTIYRVFHKGIRCDGCGMHPIVGPRFKSTVKEDYDLCNICISDMGTMNEYIRLDHPVPVPRQSHLLQKKKSDPHSYLKPISVIRNLQLQNGARKPHLLMFDSILVKDVSIMHDTCMAPNVPGIKILKMLNVGTAPWPCGTHLVWTGGYQFANQAAVGLKIPRDGFPVGKNLDVAVTFTTPMKPGQHVSYWRMSLPSGEQFGEYLTIFIKVDASHESSTNIPNDINLNLPPETCCEPGT >OMO78350 pep supercontig:CCACVL1_1.0:contig10594:4319:6297:-1 gene:CCACVL1_14464 transcript:OMO78350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYFKKFPILSYLLSQQDPYNHPSIPSKTHQKLFTDFPRLIDPTVFSSLSQSIPSTVTQTLVFLRSLGPRPDPSSVAAARSKIINLQQTESSSEEEAQIYKAVMRLGALHEDFDRQLTEVEENLVRIYSSVVEEMGDEDQVNEEVVSILKEAENGGVVEKVELSGRHLKILPEAFGKLHDLVHLNLSQNHLQVIPDSIAGLNKLEELDVSSNLLQYLPDSIGLLLKLRVLNVSGNKLNALPESIARCRFSSSFFSSSLVELDASFNNLTRLPTNMGYGLLNLEKLTIKLNKICFLPPSICEMRSLRYLDAHFNELHGLPQVIGRMKNLEVLNLSSNFNNFTELPETFSDLGNLRELDLSNNQIRALPYTFGRLGKLSKLNLDQNPLVVPPVEIANKGANAVREFMSKRWFDIITEEQRKIMLEANNQQGQTGWLAWGASLVGNIVSGVSQTIGGYLIGSKTPRDPYLDQQL >OMO78351 pep supercontig:CCACVL1_1.0:contig10594:10305:11046:-1 gene:CCACVL1_14465 transcript:OMO78351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MVGPTPTIKFLCSYGGKILPRYPDGKLRYHGGETRVLAVDRSISFSELSLKMGEMCGTAVSLRCQLPTEDLDALVSITSDEDLANLIEEYDRVASPPSSLKIRAFLSPPKSSSSTRKSISPPCSSASSSKSSSSSTPRFSCIRQIPRPTPPVALPLCSEKSAAAAGKSIPYYGYHVHHGSPSHIYLIHNGNHWQ >OMP10348 pep supercontig:CCACVL1_1.0:contig02590:366:721:-1 gene:CCACVL1_00986 transcript:OMP10348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSQVRISGIPASKDLFQCVRFRRDGVSDSTPY >OMO68927 pep supercontig:CCACVL1_1.0:contig12155:84502:84639:1 gene:CCACVL1_19757 transcript:OMO68927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFATVFGAHMDNVCKDLKACHPFVIDLFGIRTMLNRIINGIRWE >OMO68926 pep supercontig:CCACVL1_1.0:contig12155:38095:40308:-1 gene:CCACVL1_19756 transcript:OMO68926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyladenine glycosylase MCSSKAKVTTGVEMTPAVARINGRPVLQPTCNRVPSLDRRNSLKKNIPPLSPPPPSLAPTPPSPTTTSRSKALSTPPLSPKSKSPRPVAIKRGSDPNASLNSSSEKVAIPPIAPASQRNPSRSLERKKSKSFKEGMGNNVIEVQAASLSYSSASIIEAPGSIAAVRREQVALQQAQRKMRIAHYGRSKSAKFESQVVPLDTKSTEEEKRCSFITPNSDPIYVAYHDEEWGVPLHDDSMLFELLVLSGAQVGSDWTSILKKRQDFREAFSNFDAATVKAEFGSFDKYIWGFVNHKAISTQYKFGHKIPVKTSKSESISKDMVRRGFRFVGPTVVHSFMQAAGLTNDHLITCHRHLPCTLLAARRPAESPAPQGPAPANDL >OMO68924 pep supercontig:CCACVL1_1.0:contig12155:348:17472:-1 gene:CCACVL1_19754 transcript:OMO68924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide, MLP1/MLP2-like protein MPLFISEEELSRLSNDAVTVAERADAFIKDKYEELETAKAKADAAVITAEQTCALLEQKFLSVSGELSDLQSQNAQLQSSLDERIGDLAQAQSQKHQLHLQSVAKDGEIERLKTEVSELRKSKRQLLDMIEQKDLEIADKNAAIKTYLDKIINLTDNAAQKEARLSETEAALARAEATCSRLSQELCSSKEVATSNEERFSAELSTANKLVELYKESSEEWSRKAGELEGVIKALEIHLVQVEDGYKERLEKEVSARKQFEKETADLKEKLQKCEAEIQAGRKANELDRLPIGNFTTEICISPYDANDMVEDNRVLVSKIPVGVSETALAASLLRDGWSSLPSIIMALYVGKHQEVMLHYEKSQWVEGAAEVRIVLCELEEKAVFIMDERAEYEKMCEAYSMINQKLQNSTSEHSKLEKTIQELQADLRRHEQDNSLAEKVIADLERQVTILLKECRDIQLRCGSVDHDFSGDCTSVAAADRSVDSNADKVISELTFKDISGLVEQNVQLRSLVRDLSDHIESKEMEFKRNLEIELKRQTDEAASKVAAVLQRAEEQGCMIESLHNSVSMYKKLYEEEHKRQLGYSPTTEVHPDAGRRNLLLLEGSQDANKKAQEKAMERVRCLEEDLAKTRAEIISVRSERDKLALEANFAREKLESVMKEAEHQRNENNGVLARNVEFSQLIVDYQKKLRESSESLLAAEEHSRKLTMEVSVLKHEKEVLANAEKRACDEVRSLSERVHRLQATLDTIQSAEGVREEARAVDRRRQEEYVKQIEKEWAEAKRQLQEERDNVRTLMSDREQTLKNAMKQVEEMGKELANALHACAAAEARAAVSEARLSDLEKKLKSSDFKILEIDGGTGPSSISTNEVGELPMTKEEIETLKEEAKANRDHMLEYKNIAQMHEAALKQMELTHESFKTEAEKLKKSLEAKLGSLKERVSELEYENSLKSKVIASATAEKEEALSSAFAEITSLKEETAVKSSQIVALEIQISSLKENLEKEHEKWCAAQANYERQVVLQSETIQELTRTSQALALLQEEASELRKLADAHKRENAELKVKWEVEKSNLEESRKNAERKYDELNEQNKILHSQIEALHIQLAEKDRGSSLLSSGTAVPDPHGDSGLQNVVNYLRRTKEIAETEISLLKQEKLRLQSQ >OMO68925 pep supercontig:CCACVL1_1.0:contig12155:33629:34111:-1 gene:CCACVL1_19755 transcript:OMO68925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MVPQGHENVTTLIEFFKSKGLNELDLVILSACHTIGRSSCYSILHRLAKPDPTLDIQYWKQLRKKCRRGWDLVDLDVTTPKTFDIAYFTNLQKKLGLLSTDSALYTNQMTAAFVDATVSQPSLFESQFAASMVKLGNVQVLTRKNEGEIRLNCNSVNRNY >OMO68928 pep supercontig:CCACVL1_1.0:contig12155:87338:92094:-1 gene:CCACVL1_19758 transcript:OMO68928 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase MKKMKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTSIKIDPYLNSDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVKLTRDNNITTGKIYQYVLDKERRGDYLGKTVQVVPHITDAIQEWIERVAMIPVDGKEGPPDVCVIELGGTIGDIESMPFIEALGQFSYCVGAGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTTALDENVKEKLSQFCHVSAENIITLYDVPNIWHIPLLLRDQKAHEAIFKVLNLLGTAKEPSLKEWTARAEICDTLHEPVRVALVGKYTGLSDSYLSVLKALLHASVACRKKLVVDWIAASDLEDVTETENLDAYKAAWKLLKGADAVLVPGGFGDRGVQGKILAAKYARENGIPFLGICLGMQIAVIEYARSVLGLKDANSTEFDSNTRNPCVIFMPEGSKTHMGGTMRLGSRRTYFQVMDCKSVKLYGNRRFIDERHRHRYEVNPDMVAHLENAGLSFTGKDETGCRMEIVELHDHPYYIGAQFHPEFKSRPGKPSALFLGLIAAACGQLDAVLQGCESREKVSCDIGNCLNSNKKVYQKNVNGYATKPANIIGDAAIYSYCNGVHS >OMP03800 pep supercontig:CCACVL1_1.0:contig05971:2999:3365:-1 gene:CCACVL1_02260 transcript:OMP03800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASVNDTASAAKPVYVVESPAEQDAVQKIKLLPLNDERVAGTPCFISSNLKFIYQDRMSQCAFPAQMWAGSAEWGTVP >OMP03799 pep supercontig:CCACVL1_1.0:contig05971:2168:2614:1 gene:CCACVL1_02259 transcript:OMP03799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5/S7 MGDLDGEQKQLIKKLVNFRMKEGKKTRVRAIVYQTFHRPARTERDVIKLMVDAVENIKPICEVKKVRRAGTIYDVPGIVAKNRQQTLAIRWILEAAFKRRISYRISLEKCSFDEILDAYRKRGIARKKRENLHGLSSTNRSFARFRWW >OMO57728 pep supercontig:CCACVL1_1.0:contig14324:21976:22053:-1 gene:CCACVL1_25693 transcript:OMO57728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEWFREQDHPLIKISHKSDPLGS >OMP11432 pep supercontig:CCACVL1_1.0:contig01292:2764:3819:-1 gene:CCACVL1_00521 transcript:OMP11432 gene_biotype:protein_coding transcript_biotype:protein_coding description:f-box family protein MEPSNSNPIDSARNNLCCLPEDVLAMILKRHDDAKHLLRISLASKQLASLVSDMDSVTLNCEFSRSDHKFDQILHGLFNRFQKLQHFRIVFHAPIFEMHEPLERCQVAIGSEFELAYLLPARPDDSDSPPSIWSRAFDDMARIESESEALPHRVIRELRSKRDRVLVQILQANLGVAHLSSLIMPIVVFKNRKLKSIAISDQLKRWMVCMGEEEIAKSASKPLALAYPEKLDFVEVNLWEAPLLDLPALGLGYALQDVKFLQVKPGGRGKPPIPHPDSLQKFVWSNSDSDPDWTVLSEASKEIMFNPNIKKCSILINSEMLFGLFNPFLKDLYKDVPPTRYVNFDQLVRLS >OMO69658 pep supercontig:CCACVL1_1.0:contig12004:16666:17373:1 gene:CCACVL1_19363 transcript:OMO69658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSGFTPRDILDLLLQSGGDFYDIHIYQIFNQVGAMKAREITTNYNAYNRTQAESQRIMRSSCSWNLWKELMKEVSESSTETQNALMVVAVLIATVTYQAILSPPSGFWSAEKGKSQTINTVQKRGMTPGEAVMASDPEIFAVFTVFNAIGFFASLAMISLLTSGFPLRAGLRLAILSMTGTYVISVIYTGPTKMREIYIVVILMGLLFLAEWVRFTMWLLKKWGVIPYTRRRH >OMO69657 pep supercontig:CCACVL1_1.0:contig12004:1973:3579:1 gene:CCACVL1_19361 transcript:OMO69657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CWPKKQKDALNPDRRDSGDRVIWTSGLIFGKGEGIVMLKTEYGILHVKFLPDCAPRSVAYILELLPLHHCAGCQFYRAESRGNSWDPLGNHIEHATFGPPFALIQGTLEAHGIQFEEIPIEACHTNVRRGSVAWVGSGPEFFISLANHDEWRKTYTVFGYVLPEDMKILEKIAELPTISEVWSNINVSVLERPIPLRFLRMKEKVLEK >OMO69656 pep supercontig:CCACVL1_1.0:contig12004:1381:1797:1 gene:CCACVL1_19360 transcript:OMO69656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQIYRMEVIIRFSVAFKRNSDTMSISSSERLRSDEEEKDGSGCCRGIEHLELWGDAVKWGSEFKVNSSEECCKACKEIFNGDDGPCLCDS >OMO77217 pep supercontig:CCACVL1_1.0:contig10802:4666:5920:-1 gene:CCACVL1_15156 transcript:OMO77217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPQPTRVHESAEILSQICKNLDIKHVFRVARAVNMEAINILENVNNITMSTRNEEGLVDLPRQREENGAVNLETFMLDMAIGQSTTVQLIRGVIEASKKTLQCLSLSFAYERFGGILNLKNLHFNSLKKIRLQNSNQILVLDRLQHLEEVSLVKCNLGKIPFVLNSSSLRIVRVIRCDLRGILKFSIVANRMELLQIEIGIDDVHVLHSCEMQLEISGMLDTFLYSGCILKNNLSFNGIRMVHLSIIADALPLTSFERDLYSFIGSLKSSITMRMDLETSQILSKSLGHKGPLHFLDMQELHVSNCPPEIQQFLIGFLRDGYIFNIALHQA >OMO77218 pep supercontig:CCACVL1_1.0:contig10802:10521:11357:-1 gene:CCACVL1_15157 transcript:OMO77218 gene_biotype:protein_coding transcript_biotype:protein_coding description:f-box family protein MTFVNHRPKDMPEAFSTLFPCRLRQCPETLELSNYYPINLSKLNHPSQSTVLTLTTLYLRKCEISDGTLYRRNREIYDGDGKTTLDPFASCVNLKKLYLGKCSVGERFILKITGPQLVWLVIDGLHIYPKLELGRYDDIMKLEIWAPKLKTFGCNLRMPLDFSSLHLPVLENLYIRFAEATISLRKSFQFLMNMFQGFHHAQSVEICHTTMVILRLVDAALLEQRPCPFTRLKTLTVCQENIPANVMDYFLRAASTSVQVKLARVERTWGGERTWENI >OMP05767 pep supercontig:CCACVL1_1.0:contig05226:569:1023:1 gene:CCACVL1_01833 transcript:OMP05767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSSNSSVLTEAGEKQAERCNKPLQICTLINALQVQYPALRFSGQSKPLYTIQ >OMO73856 pep supercontig:CCACVL1_1.0:contig11177:64690:66613:-1 gene:CCACVL1_17111 transcript:OMO73856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA small subunit methyltransferase E MQSLGPLRPRFTELLQRPWLAKPFHLRAFSSTPTSDYTSQSRGGVPRFFSETLPSSKGGVISVQGDEFWHMTKVLRLKANDRIELFNGKGGLVGGCIQNVDRNAIDFVALEDPKLVSPPSPEWHVFAAFGTLKGGRADWLVEKCTELGASSVTPLLTERSGIISDNRVERLQRVILAASKQCQRLHEMKLNHPMKIDNLVPLVAKSKLSFIAIAEATPLLGALTSTRKEPCGLIIVGPEGDFTEKEVNMVMEAGATAVGLGPHRLRVETATIAILATLMLWSDSQQVTNP >OMO73849 pep supercontig:CCACVL1_1.0:contig11177:21959:23548:1 gene:CCACVL1_17103 transcript:OMO73849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSQHSTPLNNSSASSGSAASSSSNSLSCGEDVDEVVTWESDTSAVRHQFPTTLHNYLPSDENTISKLIDSEPHHMPSPDYLRRFQDRSIDVTARQDSINWILKVHAFYRFSPVTAFLSINYFDRFLSSHSLPQANGWPFQLLSVACLSLAAKMEEPQVPLLLDLQVFEPRFVFEPKTIQRMELRIMATLNWRLRSVTPFDFLHYFICKLPSGSTRLPDSFSSVTSVSSDLILCTTRVIDFLRFAPSTMAAAAVLCAAGESIEFPADDALFHERVNKEMVRSCHQLMEEYLIDTCPSARLKEPIVEQPTAPASPVGVLDAAACGSCDTRSDYPGSSSQEEPPAKRLRSSVPDVQQP >OMO73850 pep supercontig:CCACVL1_1.0:contig11177:31504:31719:-1 gene:CCACVL1_17104 transcript:OMO73850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKARGGGARQCQKSTLVRKAYERATPTPTMRLHLFAATFTAGIPPFHFPTLLTPTPRPFCFPPRAFSP >OMO73853 pep supercontig:CCACVL1_1.0:contig11177:53943:54434:-1 gene:CCACVL1_17108 transcript:OMO73853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKAELQAKFKNCMSPISKAELQSKLKRYMSKPKKALHKAIDFYVKSLEDCASKVGYGGVMGCPGPQVSRLPRSFSVNYSKPNNDEKFMNFLEAMSKKRSMEESNLQSQEETMRDQYGGGLTRSFSTTIGLSRIDEDKPCYFEDDLLYARSRSVAHKRYYHH >OMO73855 pep supercontig:CCACVL1_1.0:contig11177:59707:59910:-1 gene:CCACVL1_17110 transcript:OMO73855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQLENLVESIKSKVRALKKSKSKNKAYIKMDKSSSVKVEIRSRKARKLIDKTLKVADHPGKRTIS >OMO73848 pep supercontig:CCACVL1_1.0:contig11177:10449:15947:1 gene:CCACVL1_17102 transcript:OMO73848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MAAGGGGGGKSDDFQPFPVKDQLPGVDFCVSSSPSWPEAILLGFQHYLVMIGTTVIISSILVSLMGGGNVEKAEVINTVLFVAGINTLLQTLFGTRLPVVIGASYAFVIPTVSIALSRRYTYIIEPHERFEHSMRDVQGALIVASFFTMIMGFFGFWRIFARFFSPLSAVPLVVLTGLGLYAHGFPELARCIEIGLPALVAVVFLSQYVTHLIKSKHAVFDRYAILFTIAIIWVYAEILTAAGAYDHRSPKTQFSCRTDCSGLISGAPWIRVPYPFQWGRPSFNAGDVVAVIAASFVAIIESTGTFIAASRYASATPTPPSVLTRGIGWLGVGILLDGLFGTGSGSTASVENAGLLGLTRVGSRRVIQIAALFMLFFSVLGKFGAVLASIPLPIMAALYCVLFAYVASAGLGLLQFCNLNSFRNKFILGFSLFLGLSIPQYFNEHLLISGHGPVHTRATWFNIVMQVIFSSPATVAIIVAYFLDCTHSYGHSSIRRESGRHWWEKFRNFSTDTRSEEFYSLPGNLNRFFPSF >OMO73847 pep supercontig:CCACVL1_1.0:contig11177:1583:2557:-1 gene:CCACVL1_17101 transcript:OMO73847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYNQQQPPVGVPPPQGYPPEGYPKDAYPPPGYPPQGYPQGYPPQGYPPQYAPQYAQPPPPQHQQSSGFLEGCLAALCCCCLLDACF >OMO73857 pep supercontig:CCACVL1_1.0:contig11177:67214:67726:-1 gene:CCACVL1_17112 transcript:OMO73857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKQYWLLKTETGEWSWEDQAANGGLTKWDGVKNKQAQKHLKSMKLGDLCFFYHSGATARRVVGVVSVVKEWYSESEPESEKSDKNSEVVVDVKAVGEMRRQVDLKDMKQDEELKGFVLFKQPRLSVMPVPQEVWKRICELGNGYEGDGNAIGKDGDGDDDEDTGTEQ >OMO73851 pep supercontig:CCACVL1_1.0:contig11177:41740:42366:-1 gene:CCACVL1_17106 transcript:OMO73851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTVTVNQFASSNVHAVHRKQAKSPPPPPHPKSTSLKQVESAATPHSHSHSDLRHGRRALILSAVAAAPQLSDSGTELLKKYLKKSEENKAKNDKERMENYYKRNYKDYFEFVEGTIKGKSEEQLTESEKGILDWLKANK >OMO73852 pep supercontig:CCACVL1_1.0:contig11177:42943:45516:-1 gene:CCACVL1_17107 transcript:OMO73852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg2+ transporter protein, CorA-like/Zinc transport protein ZntB MRTQPPPPPSRLEDDPDLTPRPTPIPNQPLPVAAHRKKGTGVRAWLLLDSTSQTQVVEAGKHAIMRRTGLPARDLRILDPLLSYPSTILGRERAIVINLEHIKAIITSQEVLLLNSKDPSVTPFVDELQSRILCHYQATKAQEGAIDDTNCIIRTSSQHILPMSSQPHNQNEEAEEKQGLENPDGSKILPFEFVALEACLEAACSCLDNEARTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDDDMAEMYLTEKQLLENSSTSSMNEGDDMDEGVLRPDINDRDSHGTRTSATHSAISKQFDVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVISAFIVVAGIFGMNIHIELFDAGMSEFLWTIGGGTTGTIFLYVIAIAWCKYKGLLE >OMO73854 pep supercontig:CCACVL1_1.0:contig11177:56295:56765:1 gene:CCACVL1_17109 transcript:OMO73854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRGSRANKQGNKFMKIVRSPIRILSKARDLYMRSVEGCAGMVTPGGGGAVCPAPPAASRLPKSFSVNSSKGCGGDDEELRRLLRAASKRQMQQQSSMKQRNMAAMRSYSVGIGRIGRIDEDKPCSFEEDDSMEDLMYSRSRSHAFRRNLIVYR >OMO93022 pep supercontig:CCACVL1_1.0:contig08143:3843:4807:1 gene:CCACVL1_06668 transcript:OMO93022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MLCNCGSTNKYKKLDSKLERKMIEMKRSGSGQSNFKSIDSIILRFPQFKDGLKNLRGIFEQYDEDSNGTIDREELNKCLEKLQLHLTKEEVEDLFNSCDIDGSQGIQFNEFIVLLCLIYLLMKPSTSPDTALKMDSPQLKATFDTIVEAFLFLDKNGDGKLNKKDVIKALNEASPWERSPARVTSTRFKEMDWDKNGKVSFREFLFAFINWVGIESDEELPDS >OMO93023 pep supercontig:CCACVL1_1.0:contig08143:5260:7770:-1 gene:CCACVL1_06669 transcript:OMO93023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNRLCLILPADFDEIAPSKYNPKDDKPPKEEVKKHSHSHRGCGTQVLTFVGDKLRQFYDSKWVHFCHHDVPRKQNSSLFHDLEGVQMSEKEVKGENPRIFSYAELYIGSNGFSDDEILGSGGFGRVYRAVLPSDGTVVAVKCLAEKGERFEKTFAAELVAVAHLRHRNLVRLRGWCVHEDQLLLVYDYMPNRSLDRVLFRRPEKTGEAPLNWERRRKIVGGLAAALFYLHEQLETQIIHRDVKTSNVMLDSHYNARLGDFGLARWLEHELEYQIKTPATKRHQFRLADTTRIGGTIGYLPPESFQKRSVATAKSDVFSFGIVVLEVVSGRRAVDLTFPDEQIILLDWIRRLSDERRLLQAGDTRLKDGSYKLADMERFLHIGLLCTLHNPLLRPNMKWVVEVLSGNISGKLPALPSFESQPQYITFSSPSNTSGSKSTTSSRSSTSTSTSTNTTISFVASTNYVTATEESMYATAEFGIDASSLSNTSCRKSANFFMVETPREIPFSELVSATDNFADSQRVAELDFGTAYHGFLDNHQHILVKRLGMTKCPALRTRFSSELQNLARLRHRNLVQLRGWCTEQGEMLVVYDYSANRALSHLLFHHNRSSSSFLRWQQRYSIIKSLASAILYLHEEWEEQVIHRNITSSAIILDCDMNPRLSSFALAEFLTRNDHGHHAATNTNKSVRGIFGYMSPEYMEAGEATPMADVYSFGVVVLEVVSGHMAADFRRPEVLLVKRVHDFEIQKRPLEELVDIRLHGEYNYEELLRLTKLGIACTRSDPELRPTMRQIVSILDGNDKIFMEKRQRKEGREEWRQRNACSLSLVKRIHALGIQ >OMO93027 pep supercontig:CCACVL1_1.0:contig08143:39524:42519:1 gene:CCACVL1_06673 transcript:OMO93027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNAINMWTFAGLVGAFLDLSIAYLMLCGSTAAYFASKFLGLFGLSLPCPCNGLYGYRDRNLCFQSILVNEPSHKIFSVQSSVRKKLPFDSIWSNFYDDEDEEHRHSQSNLEKWPSGSIELEAEASSCSMNEKKNLVGGKKGSFPKWKGLGNLKHRVGLRRRKRSAADGHFGKVTSFPYDPLASITTPTDGKFGNDITDWSRTSVNSEDCWETSNEIGLSEQCSQGFQMDDDSFAETKPTEKESALTELKRLTTDQDFDGSNKNAIRLLEQALEEEHAARTALYLELEKERSAAATAADEAMAMILRLQEEKATIEMEARQYQRMIEEKSSYDAEEMNILKEILLRREREKHFLEKEVECYKQMFFEKEQVDTDMDDIVAQVLKTSSIYLTEEPVLKSQQITEFVGENEKTQIYSDFSDYEITSVRSPNHTLDLGKELLIPGLNEGASIKNTQAHLSRDNDEINQEFQEKVVASKNKNLNHQERQIKSNQSTTPQGPDLHEKAINPMVEEEPRYGETSPHQLLMPKTTESFDETKTIFPYNNEKVGKHGEDLCGLDSGTKHHIHDVHVINDELNLKNEESGNVSGKKSSSVEHERRRNSLDRSGGLPPIGPSRGKPLAPISRRNSMSAFDYERLKIDNEVGWLRERLKVVQQGREKINLPVGNMDREQVQLQILENITSQLREIRQLTEPGKALRQASLPPPSSKVPCFSLHD >OMO93030 pep supercontig:CCACVL1_1.0:contig08143:80619:83644:1 gene:CCACVL1_06676 transcript:OMO93030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSFAKQSPVPLKEPASNYNPYPPPTARYEDVVASPKLFVSSLEQLHSTMGTKFMIPIIGGKELDLHRLFVEVTSRGGIEKIIRERRWKEVTAIFNFPSTATNASFVLRKYYGSLLHHYEQIYFFKAHGWVPASSESFQNPSITHSPTQGAVRPSIDIHAAAVQQPRVNMSELPTATRPSSTAGSPVVGVIDGKFESGYLVTVTIGSEKLKGVLYQAPQGVATQTQVPQQQYNLFASKTDNPHASMGVQRRRRRKKSEIKRRDPDHPKPNRSGYNFFFAEQHARLKPLHPGKDREISRMIGELWNKLNEPDKAIYQEKALKDKERYRIEMEDYRERQRTGQVISDAVPLQQRHPEPDVNMAEADMKLDENEGGDSPQTPENDSSSGASDSEDDKTAEKDFDMEESPIAGLGRESMNIDMEISAVPVNELPKVEENLGNNKNDKFNIEGEDNLADALSDTKKVPMPSEQQ >OMO93026 pep supercontig:CCACVL1_1.0:contig08143:32341:33419:-1 gene:CCACVL1_06672 transcript:OMO93026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MEKNEKAVSDTTATADEPKIIYRGIKAMPFVIGNETFEKLGTTGTSNNLLVYLTTIFNMSSISATNLVNIFNGTCNFATLLGAFLSDTYFGRYKTLAFASISSFLGMLLLTLTAAVSKLHPPACDSDDGTCSGPTPWQLTFLLSGLGLMVVGAGGIRPCNLAFGADQFDPETESGKRGISSFFNWYYFTFTFAMMVSLTIIVYIQSDVSWAWGLAIPAFMMFLSCVMFFMGSRIYVKVKPEGSPITTVIQVIVAVFKKRKLKEPEQPWDSLYNHIPKNSINSKLSYSDQFR >OMO93029 pep supercontig:CCACVL1_1.0:contig08143:62849:63915:-1 gene:CCACVL1_06675 transcript:OMO93029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLLRVCCAMLILICWRLLVGDVSNLNLLQNYPSANISHLLYVANKSRSQGAG >OMO93024 pep supercontig:CCACVL1_1.0:contig08143:20693:26573:1 gene:CCACVL1_06670 transcript:OMO93024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSASQKKDAEKTAALIELYESLFEFAMNDQWEKVVEAYKEKPESHTAKITEAEDTALHLAVSGGKLEFVWELVETLGKNASNVLKAKNKKGNTPLHIAAELGNAHMCYCMSSKDPNLIAERNKRNETPFFLAAHFGHKAAFLCLYFCYQGNHSEICSRDSCGNTILHAAIAGEHFDLAYQIVCKYPEFVNAVNADGSSPVHILATKTNAFKSGSRLGLLDQFLYRCVIVDEAKEKRYDSKDYYLSRFEPNGSHRRPCFPQNYDTCVHFFRMFLGVVCFGMPSIVEICSVRKSRFVSYIKDSDEENPQADTDKVTDQGAGPKTKAMEEHKNGLSPEEQRERYLPANYATFVQFFKFFMKFLLVVLGLGFQRIKKIRAKRQRHTWATQVMNKLIDNASMYKYFGGQTGFGEMMTEEFPCNPNLMDSPPHDNKINGNGRNSPTDQTSESNKQENCQNETDKKEGNAAMVEKFLEEYSITGTVDSTKTSKQAVMMKFEKKAAIESAKPKSPILIAARSALQMQWELKWYKFVKKSMAKHFLIYYNKKCQTPKQIFTETHKALVKDGSEWLTKTSESCSLIAALIATVAFATAANIPGGVREDTGEPVLRNEPAFGVFAISSLVALCFSVTALVFFLAILTSRFEEKDFAKKLPNKLILGLTSLFSSIAAILVSFCAGHFFELRDKLKFAEFSILYTATCLPVSFFALAQLPLYFDLLWAICKKVPQRGYKEFAS >OMO93031 pep supercontig:CCACVL1_1.0:contig08143:84512:84810:-1 gene:CCACVL1_06677 transcript:OMO93031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MAQTPADKQAACNCAKDAASRVPNIKEDAAASLPAKCNIQVNFPISKNTNCQDIH >OMO93025 pep supercontig:CCACVL1_1.0:contig08143:27539:30837:1 gene:CCACVL1_06671 transcript:OMO93025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAEAKTEEHELFEYAMEDQWEEVVKKYKENPKIHMAKITESEDTALHLAVSGGKLEFVLDLVEILGENASNVLKAKNKKGNTPLHIAAELGNAAMCYCMASKDYKLVAETNEKNETPFYLAAQFGHEDAFLCLYFCYKQENRSDICSRDASGNTILHAAIDGEHFDLAFQIICKYPELVDTVNVNGLSPLHILAMKTNAFRSGSHLGLIDTILYRCVMVDEVKDKKYASKDYLERFEEIGNRRPCYPQNYQTCMRFFRMFTGVVCFGMPSVVKICCIGRNRFLSFIKYNKNKGDEENPRGTDKISDQGGSPNPKAKEKRKNELSTEQYIEGCLAANYATVIQFLKFVMKLVLVVLGLGFQRIKKITIKKQRHTWAAQVMNKLIDNTSMYKFSGGQTGPTTAEFIPFNPTLMTSSRQHTSIETLIKQAGECQNETDSCKKEGNAAIFEKFLEEYNITGTVHSKSTSKQALKMKFERKVAEESIKPNTPILIAASNGITEMVKKILEKFPVAIQDVDPDNKNVMLLAVENRQTHTFQFLIERQILHDSVFRKSDNHGNNALHLAATYGQHRPWLIPGAALQMQWELKWYEEGQTPKQIFTATHKSLVKDGSEWLTKTSESCSLIATVAFATAANIPGGVNDNTGEPVLRNDPAFGVFAISSLIALCFSLTALVFFLAILTSRFEEKDFAKKLPRKLILGLTSLFTSIAAILVSFCAGHFFELRQDLRFAAFPIYTVTCLPISFFALAQLPLYLDLLWAICTRVPRRGYTEA >OMO93028 pep supercontig:CCACVL1_1.0:contig08143:51971:60371:-1 gene:CCACVL1_06674 transcript:OMO93028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMGFIIRDRDIWLLTKQNNKPTNLYIKQLEQ >OMO93032 pep supercontig:CCACVL1_1.0:contig08143:85362:85427:-1 gene:CCACVL1_06678 transcript:OMO93032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAMNKSLDFVEVVEMKALKIP >OMP02129 pep supercontig:CCACVL1_1.0:contig06320:3364:5083:-1 gene:CCACVL1_02918 transcript:OMP02129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKLSLVSAFDSQHLSRGPPRRDRDANWRRTNRKVYPQPNLKQKTLPFLFPNLLFSGWGFQSKGEPSTSDSPFPYSSSANESDAISLGDEMSIGIVNFESHKGIYGLLGLCVIGTPASGLELECDRICFLLLGKTCKLTLPFPCAVNLEWWANQVGRAPKLEQGKLNGKAMRLR >OMP02128 pep supercontig:CCACVL1_1.0:contig06320:856:2824:1 gene:CCACVL1_02917 transcript:OMP02128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKTLRLAVKRVARKKKKGNDDEVMETMDADMADHGLAVAQESLKEQGGQERQEQNNQSSLGRIWWWGIWTPTG >OMO50153 pep supercontig:CCACVL1_1.0:contig16290:56149:56268:-1 gene:CCACVL1_30600 transcript:OMO50153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSKRQCSSSIRVPVKLRHGGAWRSDERKAKPGKLNGG >OMO50151 pep supercontig:CCACVL1_1.0:contig16290:600:14717:-1 gene:CCACVL1_30598 transcript:OMO50151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKSSGVLTLDAVKWWRLRFPDAAIFNIFGEKQINLKMKPKSNGILTLNAAKWWCLRFPDAAIFNFFREMQINLKLKSKSSGVLTLDAAKWWRLRFPDAAIFDFFGEMQINLKMKPKSSGVLTLDAAKWWRLRRFSVVEKWWRAACQTKSESTVNNPVKYNVYSSLPSDSEAFMVSHVIGDIVAGDIVEPEVVVSAAPSVGGLLDSLTGSIGISGISSRAKLVTKFIGENFFWPLDLLLASSVLYTSLTGILLVGRECLVHLVMKKSMLKLLLHGHEVEQLPCFRGCFECQPTSTGSINVDSIFIKQSIIELSYQEFLAYVVKGRQIWKQLEKFQGEVTRDAGLNSPWAPMSLACAPRAFASGPRSPEPIWVLGGTPDWRWAPMRHAWRPMCSSATMPLDISKDEAIDVFANHCIYLEVEEFIHIVFYETNSAPRKVVQDDDDADLPKAWKYGKSHPPDLIIGNPSESVRTRGALREVCEFAAFISQIEPKVFKDAKKEESWMVAIQEELNQFERNKVWTLVPPPVDHLIVGRKWVYAEQPLGVEDSKYPDYVYRLNKALYGLKFLHGTTGLGLRYPKGSLLDLVGYSDADFAGSKTDRKSTSGTCQFLGQMLVSWSSKKQNSVALSTAEAEYIAAGSCCAQLIWIMHKLRDFGITVMKVPLNCDNTSAINIIKNPVQHSRTKFIEIRHHFIRDHVQRGDIKIERNLESKAKLEELDVIEEEETTDKEGIGIAKSADDVSDPTAKYIFSKKNLIDCFNGVEIVIFLKSLGKYLGIDYKGEEEPDESFNGNDVMKDMANKKKKMICGFGHIITEHFKNRATKEQFKHKVTPEEIKPHEKDLVEAINTLHCALNDTEFNRVSTCTTAKEIWEKLRVRHEGTSQVKQSKINMLQYEYETFKMVLEETMTSLIDRFSSITNRLSHLGKNIPEGERVKKLLRALPKSWNPLTTAIRQARDLNETSFDEICCSLLTHEVELRRCEDDEKKMAAEKKRCLALKVSALEKEIDSLTIDDTSLEPDEETEIQGRRRNLLYKRNFKKD >OMO50152 pep supercontig:CCACVL1_1.0:contig16290:16440:16589:1 gene:CCACVL1_30599 transcript:OMO50152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLASTPSSSAVLSTSLCTARRSSLPLSHGRCHHLRHHGRRLVSRRRYF >OMO78600 pep supercontig:CCACVL1_1.0:contig10562:18321:18855:-1 gene:CCACVL1_14277 transcript:OMO78600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGVLPTWETQEMYNVIHVELFERHPSVIKVAVTFFDTNSHVAATHSDGSCYCFERRN >OMO78599 pep supercontig:CCACVL1_1.0:contig10562:13751:15814:-1 gene:CCACVL1_14276 transcript:OMO78599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANPSSSGGSVGGGGGGSCSGSSGGSGGGGPCGACKFLRRKCVPGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLHIPVHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELSYLQAHLATLELPSPPPPPPASLMAPPPLSISDLPSASTVPATYDLSSLFDPMVQPSWPMQQRQIDPRQFGGGGGSPASGGSGDLQALARELLHRQGSPQHGSLNLPVTDASQSQSLSK >OMO78597 pep supercontig:CCACVL1_1.0:contig10562:2259:9391:-1 gene:CCACVL1_14274 transcript:OMO78597 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC7-like protein MASSEADSRMSQVVAPAIEKIIKNASWRKHSKLAHQCKSLLEKLTSPNKSPLSPSDSEPDSSIPGPLHDGGPVEYSLAESELILSPLINACGTAFNKIVDPAVDCIQKLIANGYLRGEADPTGGPDAQLLSKLIESVCKCHDLGDDAIELLVLKTLLSAVTSFSLRIHGDCLLQIVRTCYDIYLGSKNVVNQTTAKASLIQMLVIVFRRMEADSSTVPIQPIVVAELMEPVEKSDADGSMTQFVQGFITKIMQDIDGVLNPVAPGKASLGGHDGAFETTTVETTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKGELADGEVERDDDLEVQIGNKLRRDAFLVFRALCKLSMKTPPKEALADPQLMRGKIVALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNSASTLMIVFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVAQPNFQQKMIVLRFLDKLCVDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGVPPGTATTLLPPQEATMKLEAMKCLVAILKSMGDWMNKQLRIPDTHSTKRFEAVENSPDPGNVPIANGNGDEPVEGSDSHSEASSEASDVQTIEQRRAYKLELQEGISLFNRKPKKGIEFLIKANKVGDSPEEIAAFLKNASGLNKTLIGDYLGEREDLSLKVMHAYVDSFDFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFISADTAYVLAYSVIMLNTDAHNPMVKNKMSADDFIRNNRGIDDGKDLPEEYLRSLFERISRNEIKMKGDDLSVQQKQSVNSNKILGLDSILNIVIRKRDEDQYMETSDDLIRHMQEQFKEKARKTESVYYAATDVVILRFMVEVCWAPMLAAFSVPLDQSDDEVVIALCLEGFRSAIHVTAVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIDAIKAIVTIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQNESEKSKQTKSAVLPVLKKKGPGRIQYAAAAVMRGSYDSAGIGGNTAGAVTSEQMNNLVSNLNMLEQVGSSEMNRIFTRSQKLNSEAIIDFVKALCKVSMEELRSTSDPRVFSLTKIVEIAHYNMNRIRLVWSSIWLVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSSAVEIRELIIRCVSQMVLSRVNHVKSGWKSMFMVFTTAAYDDHKNIVLLAFEIMEKIIRDYFPYITETETTTFTDCVNCLIAFTNSRFNKDISLNAIAFLRFCATKLAEGDLGSSSKNKESGKISPSSPHKGKDARQDNGELMDKDGHLYFWFPLLAGLSELSFDPRPEIRKSALQVLFETLRNHGHLFSLPLWERVFESVLFPIFDYVRHAIDPSGGDSPEQEIVNDMGELDQDAWLYETCTLALQLVVDLFVNFYNTVNPLLRKVLSLLVSFIKRPHQSLAGIGIAAFVRLMSNAGDLFSEEKWLEVVSSLKEAANATLPDFSYIVDGDNVVGSAERVSNGHSNEGSAGSGSDTPQSDSESRRSQRLFASLSDAKCRAAVQLLLIQAVMEIYNMYRTHLSAKSTLVLFDAMHDVATHAHKINNNAILRFKLQEFGPMTQMQDPPLLRLENESYQFCLTFLQNLVLDRPPKFEEAEVESHLVDLCQEVLLFYIETAGYGQASETSLKGQTQWLIPLGSGKRRELAARAPLIVTTLQAICSLGDTLFEKNLPRFFPLLSSLISCEHGSNEVQVALSDMLSSSVGPVLLRSC >OMO78596 pep supercontig:CCACVL1_1.0:contig10562:249:722:-1 gene:CCACVL1_14273 transcript:OMO78596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MELSNQFRHVFKVIDANGDGKISPLELSEVLLCLGHEKSTASKAAEGMVKEMDFNGDGFIDLDEFMHVVHSDEAICGSNGGGGGGEEDCLMDAFLIFDTDKNGLISAKELRKVLISLGFTKCSLQECKRMIKGVDKDGDGFVDFKEFRFMMTAAATK >OMO78598 pep supercontig:CCACVL1_1.0:contig10562:11010:12739:1 gene:CCACVL1_14275 transcript:OMO78598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSIASCSYSANPFINKSNNQIHPESFGNRYSFLFKKQPAKFSSCKVSNQPEIGISNVEERRNPETNEAKRRFKWVEIGPNITEEQKQAINKLPYKMSKRCKALMRQIICFGPEKGCLRDLLAAWAKLMKPKRADWLAVLKELKTMEHSLYFEVAELALLEESFEANIRDYTKIIHGYGKQNRIQEAENVLYAMKRRGFICDQVTLTTMVDMYSKAGDLKLAEETFEEIKLLGHQLDKRSYGAMIMAYIRAGMPEKGEILLREMDSQEIYAGSEVYKALLREYSMLGDAEGAQRVFNAIQLAGISPDVKLCGLLINAYQEAGQSEKAHIAFENMRRAGLEPSDKCVALVLAAYEKQNKLNNALNFLLDLERDGIMVGEEASCVLAQWFKKLGVVEQVELVLREFAAKETYGKVPTS >OMO87897 pep supercontig:CCACVL1_1.0:contig09159:156:230:1 gene:CCACVL1_08687 transcript:OMO87897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWVKEVATSQSPTVTKHAPTHALM >OMO91708 pep supercontig:CCACVL1_1.0:contig08312:44135:48271:-1 gene:CCACVL1_07045 transcript:OMO91708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKRSGSNSDSVRKEDVFQLIGVPLVKNALAGNTAILSYGQGLSSRKVGATTGASSKYFSSSKTSRISLIDLAGLDRNKLEDVGRHHLQEGKNVKKSLSQLGYVVNALAKETQPDNAPYEGSCLTRIIRESLGGNAKLTVICNISADNRFGQRVKLVRNEPVINEISEDDVNGLSDQIRLLKEELIRAKSDVYSSVGNDDCEEELNIGEEDVKELRQQLDYLHSSSETNLRDPYDKRGSIQSSSLKESCEILSEDDIHFPEETKTEETEVEPQKELPPPEDILESTDDLSFTTKTLKAIDTPIRTSISISSCHRSSILQEPTLSESPKIGNNPLKSMAIPSSLLPSQNNVSGSSESEVLGQSLKHSEHIRKFDNNPNGVQDSLKTWIVALDNQQTDGKTTAVVSVKATNREKELEIVCIEQAAKIEQLNHLVEQYKQGTENCAAEQGPESLKNEIIPFEESNNGESGKENFDAPKRKMDLESNRRCAEKVEMELKLEKNVTEELDDDLSRAVLGHARMLRDTAEAVHAAGELLVRLRKAEQAASVGEENFNNVQQENEKLKKQVEKLKRKHKMEMITMKQYLAESKLPESALQPLYREDSDVAHNSNTIPDDDQAWRAEFGAIYQQHY >OMO91709 pep supercontig:CCACVL1_1.0:contig08312:48924:50676:1 gene:CCACVL1_07046 transcript:OMO91709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKEVDRILEDLQVKPPINFNIKALRFHSYTDTFGKEATLLHFGGSNRAEREYSFGCTLKKHSLILKPSGKVGRCVFYPNKFHPLYEWIEAMRQLFEAKTKTEGTEYSKSAWWQFIAPSFKELFKTAITAVMSAGAEFAEQKDIDNAFTEANKFNEFMGDFGISYQEECAYKEVYLLCYKLIEANLKALREDEKINKGTRSALYKFGKWMVDSSLYWNPVEKIDYIKRLHGAIDMSLLPRKELAESFKTKAWNSWEGKIKSSGLLHERHQYIKKEKEKEKEWQRYIKSLKGEEDEEEEEEDEEEVKKKREPFFCHLDFLNNTVKHRNQNSNGSHKPYDQCVYETGVGDEIDQAFPMFYVRTELCLLTLSSKVMTFGKKFYGYLMNGRGRDTMYLTSRPKLVIFLDSCSFSISSMSFYFLYELVALR >OMO91707 pep supercontig:CCACVL1_1.0:contig08312:20290:23243:1 gene:CCACVL1_07044 transcript:OMO91707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGELLWELVQWATLHYKLYTAGEIAIVATCSPSRDSAGSYTIWGHSTRVTEHEETVVVAKIDYSEIQLRRERLPLEKQRWSMLLEEKFQFSLMEECDEEQIFSRQDVLAVFDPVSWEHLMHRCVVPTLQLTLQGFLINPAITNQKWDQFDWVMSWASVIPIHVIVDLLVKFFFTRWLLVLSDRLCSNCKPEAEEVINWYIGWKGHLAPDLLANQSIRHQLSLGLRMMNEAAQLILKEGISSQGLKQKLSYDPTTSEQRLHKAQSRAAKPKMPLRQAIEAYAQRHNLPFKPKFCRMHDGQQIYAFGNISIKLDPVNEYVYALKDQAWSLVSLSGLVKMHNHSLTR >OMO91706 pep supercontig:CCACVL1_1.0:contig08312:4213:9079:1 gene:CCACVL1_07043 transcript:OMO91706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAKELQGIAYAKHGQNMDQMEVDSRILTDLLGNERHGRMRGFGAGPTPTSVFENRAGLFTGSKAGRTSSEVQKLREEFQASLTYYEVQNYVYTLQRELEREQELAKIAEDHMQELARLEEERQQQLARLEEQRQQQVAEINARMDAERRENARNKTKLDRLMRFVAAQFPDGLQNRTASGSGSGSAGGNLFVNTSISSAQSHCGGGLGKYEQGIITPVLPKLRSKNQGLGFNYDSNEESDTECIFQNSARRGENYITITMDDYFLLEKQDDCKVINIMPMPELQHNLRLIIGQIEVDVERIDEELSNERDTAVGLQEEIDKLRIGVAMQKQELDESEQILGALLSLENEYNLLGLGKGKLTDHLGLDMLAKCFEKLQRQYPNYYNMYDLSCIASSYALPFVYNNVSELGSASKSRTVLLAVSNYSSTWDIVRQPEPMLRFLDLWENLLPSSILDIILDTIVVPKLSSAIDTWNPRYQRNYIDDPIHLWVHPWLPILGQKLHSKIWEKLSEFDPCDEFAITMLSPWKAVFDPVSWEHLMHRCVVPTLQLTLQGFLINPAIANQKWDQFDWVMSWASVIPIHVMVDLLLKFFFSRWLLVLYDRLLCSNCKLEAEEVINWHIGWKGHLAPELLANQSIRHQLSLGLHMMNEAAHLILKDGISSQGLKEKLSYDPTTSEQRFQSRAAEPKMPLRQVVEAYAQRHNLPFKPKFGRMHDGQQIYAFGNISIKLDPVNDNVYALKDQAWSLVSLSGLVKMQNHSLTR >OMO68695 pep supercontig:CCACVL1_1.0:contig12195:779:1012:1 gene:CCACVL1_19870 transcript:OMO68695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site MAASGDGEAERPLPRFGEWDVNDPATAEGFTLIFAKASDDKKAAKASGNSPSKKRYLASHKQINHEYKRKWLCCIFT >OMO50529 pep supercontig:CCACVL1_1.0:contig16144:56:1079:1 gene:CCACVL1_30384 transcript:OMO50529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAFIPEVNDELDTQNFEKFDESENPSPTTSKTGPWRKMLSSKDINFVGYTYKNFEIVNDYQMPGIGILPSPLCMYFTCRKKMLGSLGYEEHDAKTFGSW >OMO51758 pep supercontig:CCACVL1_1.0:contig15723:27113:27316:-1 gene:CCACVL1_29610 transcript:OMO51758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PWEASQAPQSPIVRQSNTNPLFMAAPNPLINSPMLISLFITVATTFSSQLCSKSETSTPPSLSSETT >OMO51757 pep supercontig:CCACVL1_1.0:contig15723:2773:3003:1 gene:CCACVL1_29609 transcript:OMO51757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGDKLRQSILTVSHAANIIVSNEKNLEASNVSRFIEFDDFHVFVPSLVAVGWKAEPVGEKKGKTKPTRQKKRNNKK >OMO93895 pep supercontig:CCACVL1_1.0:contig08034:9727:10318:1 gene:CCACVL1_06284 transcript:OMO93895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator/pterin dehydratase MTEESANELISKVDGWTLVNEGGTSKIKRSWKVKSFTKGLEMFQLIADIAEAEGHHPDLHLVGWNNVTVEIWTHAAGGLTENDFILAAKINDLDLHHLLRKKVTA >OMO93894 pep supercontig:CCACVL1_1.0:contig08034:6047:7777:-1 gene:CCACVL1_06283 transcript:OMO93894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCPSIKNILLLDSEGKRIAVKYYSDDWPTNAAKEAFEKAIFTKTQKTNARTEAEITMFENYVVVYKFVQDLHFFVTGGENENEIILATVLQGFFDAVGILLRGTVDKKEALENLDLILLCLDEIVDGGIILETDANVIAGKVASHSIDAGAPLSEQTITQALATAREHLTRSLLK >OMO93897 pep supercontig:CCACVL1_1.0:contig08034:21807:22745:-1 gene:CCACVL1_06286 transcript:OMO93897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MASSNSNHHPYKIYVSAEPYNYFKDDNSLESPLMGFRCFITMTYFPDKPLDKSLKRYIQAHNSLHEFKNPADKLTAEFISSNMFSNNTIIPFSLTNLHWKNKITDKDSVPDMSVDGVVTLTLGACNEMIGKSRESGRKKLLMHINVKKEVIVPHDEYIAMLKAKEAEEKRQEVLQQVETMVRLRGQSWNFSRSEREAMDNAIREVGLGNSISDALNLLAQRAIRESGRAQQVKWVPAASTAIQGLEVKKMTAAGAGGGGDDQEQCVFCMKRMVMGSEVISLPCSHVFHRGCIVRWLNTSHTCPICRFKLPTS >OMO93896 pep supercontig:CCACVL1_1.0:contig08034:13028:14152:-1 gene:CCACVL1_06285 transcript:OMO93896 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MGLNFLLLIAMVATNILSLYHLSTTVQHSPKPPVPPPVPDHLIRQLNTIRATISHLTRHNPSTSTSTTAAAKPTSTVPQDLLLHSQIGPIASSCHNHPDLLHRYMNYTPFSMCPYDPDLQESLILNGCHPLPRRRCFSKTPSKPSSSSLPLNPFPTSLPDSSVIWNKYSCKSFDCLSKNNPIGFDLNAQRASLLKYSSELDLPINQFMQLAKSANSPIRLGIDIGGGTGTFAAIMKKFYNVTMLTTTMNVNAPYNEAVALRGLVPLHVPLQQRLPVFDGTVDLVRCGRAVNRWIPTAVMEFMFYDVDRVLRGGGYLWVDRFFSKGVDLEKVYGPLIGKLGYKKVKWAVANKTDPSGLKHGEVYLTALLQKPTSR >OMO93893 pep supercontig:CCACVL1_1.0:contig08034:1063:4310:1 gene:CCACVL1_06282 transcript:OMO93893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg2+ transporter protein, CorA-like/Zinc transport protein ZntB MKVQTPANGQTEASSTRLDGQKVNEPSSSYESRERENVAVSFEEYRDGQSLQLGQFPAMERYDGSCWVPIGWERISELVKNVQVNGGWASQQFDLMDNEEDFTVADLAAPYWERPAGPIWWCHLAAGHPTAVSWLSNAQWLHPAVSLALRDESRLISERMKHLLYEVPVRVAGGLLFELLGQSAGDPFNEEDDIPVVLRSWQAHNFLLTVLHIKGNVSSVNVLGIAEVQELLSAGGHNVPRTVHEVIAHLACRLTRWDDRLFRKSIFGAADEIELMFMNRRNREDMNLFGVILNQEIRRLSKQVIRVKWSLHAREEIVFELLQHLRGNAARVLLEGIRKSTREMIEEQEAVRGRLFTIQDVMQSTIRAWLQDRSLRVTHNLAVFGGVGLVLTIITGLFGINVDGIPGAANTPYAFGLFTAILVVIGIVLIIIGLIYLGLKHPINLEQAQVRKLELQELVKMFQHEAEAHAQVRKNTERNNLTPTTGDGFLDDAEYILIQ >OMO69023 pep supercontig:CCACVL1_1.0:contig12137:1454:1630:1 gene:CCACVL1_19691 transcript:OMO69023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAEATKYTLKPNKFIWQPIKTELQCSKRCQKLHNDSNISKENGNQTTRKEGRTDIKER >OMO90678 pep supercontig:CCACVL1_1.0:contig08445:2604:2675:-1 gene:CCACVL1_07320 transcript:OMO90678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPDLEECEHGNMCVHIVLKME >OMO89913 pep supercontig:CCACVL1_1.0:contig08582:14168:14380:-1 gene:CCACVL1_07567 transcript:OMO89913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVQQNPCDENWTENDIDAIVDPMVDTFNAEDTPVGFTTYIKITKLADFYRKMYPRKHFTLDELYMKV >OMO89916 pep supercontig:CCACVL1_1.0:contig08582:35040:42798:1 gene:CCACVL1_07570 transcript:OMO89916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVKGDEGKSMTLTAVLRGSTNSLRSSI >OMO89919 pep supercontig:CCACVL1_1.0:contig08582:62846:67797:1 gene:CCACVL1_07573 transcript:OMO89919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIWCLRYALLVANVSFDTPRAYQGLEDDNGDNVKDVYGLYGGMKMQGDQDGLATKLNEKPFDPLSLPEDPMTRVTNEEEALLGLSILYTILGVGAFKLIFEGFSKVGPLALVTKQLVMDCDYLAIHSRAPFAHNYLPAPCFDILSLDYEEIDMRRVKVENLPSKKISELNNRPGIRVITLRVQPPRIRHLVVDRDLALFFLSNTKLEEVLEGLDCFPKYSFNFMNDDTLGSIANSNKYLARCYWNVTLDWWSANHLVVQLRKTTEKRRLYRKTKLDVDDLVKMQPKLIMVFAGMVVKITFVGEASYTLAVPQPQKYVNLDIPETEKFKIRQAGDEGVAKLLDEVQGTQFQTSQLQPLSLAELNDMEALMARAITHDLKVFKFYDSKPIVEVSQTSTPMQAIGVGGKSSTSTLKDNRSSLIQALENGKQNVSLEYYNDGNKLKVGGRRILDGSPLRKLKLK >OMO89915 pep supercontig:CCACVL1_1.0:contig08582:32610:32699:-1 gene:CCACVL1_07569 transcript:OMO89915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDDDANVRSTSTVGQVGAASERGEQQR >OMO89914 pep supercontig:CCACVL1_1.0:contig08582:23679:26520:-1 gene:CCACVL1_07568 transcript:OMO89914 gene_biotype:protein_coding transcript_biotype:protein_coding description:TdcA1-ORF1-ORF2 protein MNNGVCVRGSDSSFDFFGQLQDVVEIKYLGGGEKKNSKSFLRPLFPPPPPPSPTSCLHLYSRATLLPTEIQLASSPCCNPVLSPLQYSWQLFEEF >OMO89918 pep supercontig:CCACVL1_1.0:contig08582:56848:60793:-1 gene:CCACVL1_07572 transcript:OMO89918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAITRRFGQQSFRPTASISSINTIYDHYYGVDHPRYGSTLAAHKGVGHLVRKGTGGRSSVSGIIATVFGGTGFLGRYLVQQLAKMGSQVLVPFRGSEDNPRHLKLMGDLGQIVPMKFDPRDENSIKAVMAKANVVINLIGREYETRNYSFEEVNHFMAEQLAVIAREHGGITRFIQVSCLGSSLSSPSRFRRAKAAGEEAVLKEFPEATVMKPAVMIGTEDRIMNRWAQFAKKYSFLPLIGDGSTKIQPVYVVDVASAIVAALKDDGSSMGKVYELGGPEIFTVHELAEVMYDMIREWPRYVKVPLPIAKAIATPREVLVNKVPFPLPNPDIFNLDQIIAFANDTVVSENALTFMDLGIEPHKLKGYPVEFLIQYRKGGPQFGSTVSEKVNPESWP >OMO89917 pep supercontig:CCACVL1_1.0:contig08582:45912:55785:-1 gene:CCACVL1_07571 transcript:OMO89917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGTPEISLGSLNRVNLEGSNAGATMLIRRGMAGEAEAEIGGACVDAADESAGGYGPGNGTDMGTKIIS >OMP07074 pep supercontig:CCACVL1_1.0:contig04690:895:981:-1 gene:CCACVL1_01383 transcript:OMP07074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FEGGSNAIRNGWAGPSPKKLYPLFPAWG >OMO64351 pep supercontig:CCACVL1_1.0:contig12836:6469:9713:1 gene:CCACVL1_21829 transcript:OMO64351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNDEAKSSKSDKSSSPAPTDQTNIHVYPDWAAMQAYYGPRMTMPPYYNSPVPSGHAPPAYMWGPPQPMMPPYGAPYAVYSHGGVYAHPAVPLATTPAETPTKSSGNTERGLMKKLKGFDGLAMSIGNGTAENAEGGAEPRLSHSAETDGSTDGSDGNTTGTDQTRRKRSREGTPTIGGDGKNEAKSKLVAAGEVTATGMTALELRNPATMNAKSSPTNVPCGVMPPEVWMQNERELKRERRKQSNRESARRSRLRKQAETEELSRKVESLCAENATLRSEINQLTEKSEKLRLENATLVEGLKKAQLGQTKENTMNKKEAKEGEMYEKTPSSGAKLHQLLDASPRTDAVAAG >OMO64352 pep supercontig:CCACVL1_1.0:contig12836:13460:15663:1 gene:CCACVL1_21830 transcript:OMO64352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MGHSAAVWDSRAAIEITKDWNGIEKVVLRNPQGASARVSLHGGQVTSWRNEQGEELLFTSSKAIFKPPKAVRGGIPICFPQFGNCGSLEQHGFARNKIWTIDENSPPLSLKDSQGKSFIDLLFKPSEEDLKCWPHSFEFRLRVSLAADGSLNLISRVRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLCGKERFTEQGDAITFESEVDRVYLSAPNVVAVLDHERKRTYVIRKEGLPDVVVWNPWEKKSKAMADFGDDEYKQMLCVDGAAIAKPVTLKPGEEWTGRLELSAVPSTFCSENLDLQGRGF >OMO64353 pep supercontig:CCACVL1_1.0:contig12836:18645:20732:-1 gene:CCACVL1_21832 transcript:OMO64353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVSPEGLRLDGRRPLEMRQLRAEIGTVSKADGSAVFEMGNTKVIAAVYGPREVQNRSQQMNDQALVRCEYSMANFSTGDRMRKPKGDRRSTEISLVIRQTMEACILTHLMPRSQIDIFVQVLQADGGTRSACINAASLALADAGIPMRDIVTSCSAGYLNSTPLLDLNYIEDSAGGADVTVGILPKLDKVTLLQMDAKLPLDIFENVMGLAIEGCKAVANYIREILLENTKQLEYRRGL >OMO82708 pep supercontig:CCACVL1_1.0:contig09983:5435:8699:-1 gene:CCACVL1_11791 transcript:OMO82708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTSAAFLFVLILTQACSQLCDSQEQAILLELKQYWRNPSPISHWIPSSNSSHHCSWPEITCVNNSVTELHLSDKTIGGTIPSFICDLESLTYIDLNYNNIGGEFPEVLFNCSKLEYLDLSQNYFVGEIPEDIDSLARLRYLNLESNNFSGQIPAAIGGLQELRHLQLSSNQFNGSFPPEIGNLSQLEYLSLAFNDKFLPSKLPSCFKQLKKLKTLWMSETNLIGEITLMIADMTALEVLDLSQNELTGKIPDGLFLLMNLKEIYLYSNKLSGEIPQVIKSSNLKMIDFSENKLTGTIPTDIGKLEHLSGLVLFSNQLSGEIPESIGRISTLTDVRLFSNNLSGTLPPDFGRYSMLQKFEVASNKLTGKLPEHLCNGGKLLGVVAFDNNLTGELPKSLGNCNSLLIVDLRNNGLTGSIPDGLWTSLNLSNLFISDNFFTGELPKKVSDNLSRLVISNNRFFGKIPVEVNQWRNLSVFNASNNFFNGTIPRELTALSSLTILLLDQNQLHGFLPSDIISWRSLNTLNLSQNKLSGQIPESLCFLPNLVELELSSNQFAGLIPPKLGLLKLTSLNLSSNHLIGSIPKEFQNAEYSNCFLNNSGLCANSADMNLDICYSPKSCYPQNLILISSILVAVFVLSLPFSFFMTRFYWRNHELGSILECTAFQTLNFTMGSILLGLKDQANKIGEGGSGEVYRVDLTGNNNFVAVKKISNSNKLEEQMEKEFQAEVMTLSRIKHSNIVNLMCCISGENSKLLVYEYMENEWAQHYFQGSNSIVDALDQDVKEACHLNQMCNVFKLGIYCTRTLPSTRPCMRTVVQLLLQSAHPPHYQVMNAEKKYETTRLIQNSKDGSSSGSSNVESV >OMO82707 pep supercontig:CCACVL1_1.0:contig09983:3157:3408:1 gene:CCACVL1_11790 transcript:OMO82707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSLSRCSPFTSSISSTSITSTPTRRASPASKANLYLVFEHLDTDLMKFIDSHPKGPNPRPLSPSHIQSFFSSFAKRCPLP >OMO82709 pep supercontig:CCACVL1_1.0:contig09983:11003:12019:-1 gene:CCACVL1_11792 transcript:OMO82709 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, NADP-binding protein MPPLPFLLRSLYRYYYQIPSPHFYFLHRTMAASAAAGEPISPSNTRLGWIGTGVMGRSMCAHLINAGYTLTVFNRTLSKAQPLVDMGASLAQTPRELASRSDVVFSIVGYPSDVRQVLLDPTDGALSGLRPGGILVDMTTSEPSLAVEISAAASSKNCSSIDAPVSGGDRGAKTGTLAIFAGGDEAVVNRLNPIFSLMGKVNYMGPSGKGQFAKLANQITIASTMVGLVEGIVYAHKAGLNVPSFLDAISTGAAGSKSLDLYGSRILKRDFDPGFFVNHFVKDLGICLKECQNMGLALPGLALAQQLYLSLKAHGEGNLGTQALILALERLNNVTLDS >OMO82710 pep supercontig:CCACVL1_1.0:contig09983:12731:17860:-1 gene:CCACVL1_11793 transcript:OMO82710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSDIFSDEPLVTQARAGAKFQPKTKRKEKDKNSGSIPSKAPDVGNEEAAKLLSSGLDAAHVIDQCRSSGVTNPSQVATADSLLADDAVPNACDDLHSSFGRSVGESADIFSDLECIDPFLTQSSNNNASIQIDDERMGTQEAGSFPDVDTDDILSDMTILSGQRAGKFKPKPRLQTSVVSSQPAVADSVTHPPNSQFVPSETMCGESSIPDFPPGEVPNCSPINLGAFIPPDPSTSEFLANEELPNLAEASKTGVALPRDPSGVTEKVSSNITQRKAFPVSNPAQTSKQSSTGAEENENGKKRKQLRKEMTSPQVVHDPEEGTCNGDDPAVEFPSNSARDEDKDDDDNGSDEYNVESASPKRRSSRRSKKPVAEKEKPPQKHKNANEKKAQKQKETKEASDQPAKEQPKRFSHSTRRKRKFVDETLLQTPEDEIDFAKVALKDIILLADYKERLAKKEAKELKSSSTNQSNNNTMQEEYAHNEKSSHASEHDQGYKDDQTSIRAQSSSFCLNYQSFMDKEPRARWSKPDTELFYGAIRQFGPDFSLIQQLFPGRSRHQIKLKFKNEERRYPLRLSEALASRAKDHSYFEKVIEQLQQASEAEQQSNGDVSVDLTHEEEVTPETTGEVTKPDQDEDVAGRDQEADVTEDHNGPVAKFVFEAKWPALNDKWLEFPAEAIDFWGKVLELRTEVNKVLEVALTEKLIGSSLEAKVYLHTSDVSLASRLLEMCPASNDADNLRRIFSTSQVEVVPSLGNELVETIQHSGEYLVGEDRFWIGVSRAEGSKCERCWNYSTQVGSFVEHPTLCSRCFNVVAETQLMPTMEAVTI >OMO68023 pep supercontig:CCACVL1_1.0:contig12307:12351:12634:1 gene:CCACVL1_20124 transcript:OMO68023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAKVFVAVFILGLVTEGLCACSLNDINIGTIKTGRSIQGKSEWNVTVIKSCKCSQSK >OMO68026 pep supercontig:CCACVL1_1.0:contig12307:20349:25140:-1 gene:CCACVL1_20127 transcript:OMO68026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDQEMGLEGLGDLALHIILSKLGPEDTVRVSCVSRRLRLSSSEDSLWAQFCFQDLHLSSPQDHQGNPAPSFKAAYQLWREAFAMYPWPLVKRVKRCWDKLKNWLSNNFPEAERTLKRGASESEIQQLQTLLKVKLPLTTRLLYRFHDGQDLTGKIDSETSLGSSLGIIGGYYFYSHFVNVYLLPISQVIVETRAVIRHLGFSSRSKYIVVAASSTNSEKLFFLNCNNGQLYVGTRNLPTDGEMIQCVPNQLIRYVHDLRGDQQQDAMLLWLEEHGRRLEEGIIKVREEEIGRIISLFPEIPPLCSTAVTNGVQVILAEYLHGFPLLVRASAVFVPEYTNLQNEAEKYLFAYSIRMSLLPEGCIINGMTFSSCQLHWRHWIIRANEAVISDVHGEAVIGQFPLLRPGEDEFFYQSCTPLPSSSGSVEGSFTFVPGRLADPKGSPFEVQVERFPLQLPDYVF >OMO68022 pep supercontig:CCACVL1_1.0:contig12307:864:4506:-1 gene:CCACVL1_20123 transcript:OMO68022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKSPGLPPPSGRFSGFSSAGNAPNSFNVKPDSGGFGQTSEPNRFSHDISKMPDNPPKNLGHRRAHSEILTLPDDISFDSDLGVVGAADGPSYSDETEEDLLSMYLDMDKFNSSSATSAFQVGESSGPAGAAASPVPGMGVGAGAGMVAAENVSVAVGSSEKPRVRHQHSQSMDGSTSIKPEMLMSGSEEVSAADAKKAMSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLALLQRDTNGLTAENSELKLRLQTMEQQVHLQDALNDALKEEIQHLKVLTGQAMPNANGGPMVNFASYGGSQQYYPNNHAMHLLTAQQFQQLQIHSQKHQHQHQFQQHQLHQLQQQQLQQEQQQQQIGETRVRGSMPSPSQKDGSSDVSSTASRD >OMO68025 pep supercontig:CCACVL1_1.0:contig12307:18628:19335:1 gene:CCACVL1_20126 transcript:OMO68025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLFLQAMADAASPAYILGTRKTAPGLRLVDKWASLNLLFSSGGISNALRAVDQFLERENLHAFLSLCVSGCVLELISETLIDMLAMCFPKVETRPDTRGSKGGNAVLCISNKDFIDRIMLDNMVVPLANGDVDVSMLKEAVDLISGKFETEVFHGFIM >OMO68024 pep supercontig:CCACVL1_1.0:contig12307:17491:17919:1 gene:CCACVL1_20125 transcript:OMO68024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRHGGRKALSDISGKPRLQEPGKKKKDQSIKLGILAEAAEDIAEEGFLHNHSECIKAQRRSLSTSEFLHILELDDFDSAMSNKMKPMSPPRSSEPGEMPELLTEYLSPLKIKLSSSTLDSPPPSPLFHWDDPSFKLMESP >OMO70833 pep supercontig:CCACVL1_1.0:contig11782:7337:9742:1 gene:CCACVL1_18631 transcript:OMO70833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MASDLEIKAKEAFIDDHFELALELYSQAIELNPNKAELYADRAQANIKLNNLPEAVADANKAIELEPSMSKAYLRKATACMKLEEYQTAKAALETGTLLAPGESRFSKLIKECEERIAEETGELPKQTVEEVTTNVEPANEVEPESVPVAAPPKPIYRHEFYQKPEEVVVTIFAKGIPRECVSVDYGEQILSVAIDAPGKDPYNFQPRLFGKIIPDKCRYEVMSTKIEIRLAKAEPIQWTSLEFTSEVAVPQRVNLPSVSAERPVYPSSKPKRVDWDKLEAQVKKEEKDEKLDGDAALNKFFRDIYQDADEDTRRAITKSFVESNGTVLSTNWKEVGAKKVEGSPPDGMELKKWEY >OMO70838 pep supercontig:CCACVL1_1.0:contig11782:27592:28206:-1 gene:CCACVL1_18636 transcript:OMO70838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MAPININTPMNPNLITCCNNLTKEEISKLESIIKTHHTFPPSPNTCTCLIRQRVNAPLSLIWQFVRDFGNPNKYKHFIKSCTMRGDGRVGSIRDVTVISGLPASTSTELLEILDDDEHVLSFRVVGGDHRLKNYRAVTSVNEFVDQGGKVYSIVLESYSVEIPEGNTEEDTKMFVDTVVKLNLRNLADVAMAAALQKDVESILI >OMO70841 pep supercontig:CCACVL1_1.0:contig11782:51412:51528:-1 gene:CCACVL1_18639 transcript:OMO70841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLLSMGFPSELAAQALAATGGKSTLNLCALLTTLKM >OMO70836 pep supercontig:CCACVL1_1.0:contig11782:21904:23217:1 gene:CCACVL1_18634 transcript:OMO70836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase PHOSPHO-type MAGIVVIFDFDKTIIDCDSDNWVLDELGATELFNQLFPTMAWNPLMDRMMKELYFQGIKIEDIVGVLKRTPIHPRIIEAIKSAHALGCDLRIGMVIESIQASLAKEDEKKTIIYLGDGIGDYCSAVKLGDEDYLMPRKNFPVWDLICQKRSLIKAEINEWSNGEEFEQVLLRLISKVSIEKINSSQPYSVDCKLQTLPGAAHEAFTPALSVRH >OMO70837 pep supercontig:CCACVL1_1.0:contig11782:24449:25734:1 gene:CCACVL1_18635 transcript:OMO70837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase PHOSPHO-type MAGIVVIFDFDKTIIDCDSDNWVLDELGATELFNQLLPTMAWNPLMGMVIESIKASMANDIEKKTIIYLGDGIGDCCSIVKLRDGDYAMPRKNFPVWDLICQNRGLIKAEIFEWSNGEEFEHVLLHLISKISIDKINHAQQFSVHDCRQQALLQGPGHEQGYKFRTKATKLRLVIPTQLTSFGNFNQFRPFSTKTNISTGRYY >OMO70840 pep supercontig:CCACVL1_1.0:contig11782:39899:46687:-1 gene:CCACVL1_18638 transcript:OMO70840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGTFLGNGPVGKHQQRDVVNRKDRISQVVSMCCALTSILYFGVGFWHLIAKNDEFSNLSWLIELVRGFIWITLAVSLLVQRSKWMNIFISSWWVTFSLLASALHIEVLFRTHSFEIFNIFPWLVNLLLLFCAFRNFFILARKQTSDDSISEPLLEEKEEKKQTDQLGQASFLSKLSFSWINSLLSLGYLRPLDLEDIPSIPTEDESNLAYQKFANAWESLLRETKPSDRKNLVLRAIIKVYFKENIFIAVCAILRTIAVVALPLLLYAFVNYSNQEEENLQQGLKLLGCLILCKIVESLFQRHWYFDSRRSGMRMRSALMVAAYQKQLKLSSLGRKRHSSGEIVNYIAVDAYRMGEFLWWFHSTWSLTLQLFMSIAVLFSVVGLGAIPGLVPLLICGFLNMPIAKVLQKCQSEFMIAQDERLRSTSEILNSMKIIKLQSWEDKFKSLIDSLRGNEFKWLSKQQLLRPYGTALYWMSPSIVSSVVFLGCALFHSAPLNAGTIFTVLATLRSMAEPVRMLPESLSILIQVKVSFDRINNFLLDDELKNVEARRIPLQNSDRSMKVQAGNFSWDPEIISPTLRNVELDIKKGQKVAVCGPVGAGKSSLLYAILGEIPKLSGTVHVFGSIAYVSQISWIQSGTIRDNILYGKPMDSDKYEKAIKACALDKDINSFDHGDLTEIGQRGINMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTAAILFNDCVMTALENKTVILVTHQVEFLSEVDKILVMEGGKITQSGNYQELLMAGTAFEQLVNAHKDSIAVVESSNSEGQGDHQEVAILNEGSYPTKQNSEGEISVKGPLGVQLTKDEEKEIGDVKWKPFLDYVSVSEGYFPFTLGLLSQAIFVILQTGSTYWLTFAIQIPNMTDSILIGVYTGIATLSTVFVYFRSYFAALLGLKASKAFFSGLTNAIFKAPMLFFDSTPVGRILTRASSDMSIIDFDIPFAIIFVAAGVTEVIATIGIMAVITWQVLIVAILAMVAVYYIQGYYMASAREIIRINGTTKAPVMNYAAETSLGVVTIRAFNMVDRFFKNYLKLVDTDATLFFLSNAAMEWLVLRIETLQNLTLFTAAFFLLLLPKTQLTAGLVGLSLSYALSLTGTQIFASRWYCNLSNYMISVERIKQFMHIPAEPPAIIDENRPPSSWPSKGRIELQELKIRYRPNAPLVLKGISCTFQEGTRVGVVGRTGSGKTTLISALFRLVEPASGKILIDGLDICSMGLKDLRMKLSIIPQEPTLFRGSVRTNLDPLGLYSDDEIWQALEKCQLKTTINNLPNKLDSSVSDEGENWSVGQRQLFCLGRVLLKRNRILVLDEATASIDSATDAILQRIIRQEFSNCTVITVAHRVPTVIDSDMVMVLSYEKSSGRKGKEAVGGVLLAIFFAINDGKREIEGKARSFDIGNLLNS >OMO70834 pep supercontig:CCACVL1_1.0:contig11782:14167:15567:1 gene:CCACVL1_18632 transcript:OMO70834 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IB, PSPase-like protein MADGIVCVFDFDKTIIDVDSDNWVVDELGFTDLFNQLLPTMPWNSLMDRMMKEIHAQGKTIDDIAEVLKRIPIHPRVVPAIKEAHALGCELRIVSDANLFFIETILEHLGLREYFSEINTNPGYVDGEGRLRIFPYHDFNKCSHGCNLCPPNMCKVGMIIERIQASLEGKKRIIYLGDGSGDYCPSLKLGEADIMMPRKNFPVWDLICRNPMLIKAEIHEWTDGEDLERVLLQIINMISLNNAQLISVDCKLQIISASAHEALPQALPVPQ >OMO70832 pep supercontig:CCACVL1_1.0:contig11782:332:6874:1 gene:CCACVL1_18630 transcript:OMO70832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPEKEGVAYQCERKGDSHSRAGAVNLV >OMO70835 pep supercontig:CCACVL1_1.0:contig11782:18326:19739:1 gene:CCACVL1_18633 transcript:OMO70835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatase PHOSPHO-type MAGIVVIFDFDKTIIDCDSDNWVLNELGATELFNQLLPTMAWNPLMDRMMKELHSQGIKIEDIVDVLKRTPIHPRIIEAIKSAHALGCDLRIGMVIESIQASLAKEDEKKTIIYLGDGIGDYCSAVKLGDGDYLMPRKNFPVWDLISQNRSLIKAEINEWSNGEEFEQVLLRLISKVSIEKINSSQPYSVDCKLQTLPAGAAHEAFAAPALSVRH >OMO70839 pep supercontig:CCACVL1_1.0:contig11782:28953:32454:-1 gene:CCACVL1_18637 transcript:OMO70839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGKITQIGSYTELCTSGTAFEQLVNAHREAITVLGPLDNENKGQPHDIDAILFTKQNSESEISFRGLPGVQLTEEEEKESGDFGWRPFLDYLSVSKGYLLLSIGTIAQLGFVIFQAAASYWLAVSVQVPNISSGLLIGVYTGLSTLSAVFVHLRSMYSAYQGLKASKAFFHGFTNAIFKAPMHFFDSTPVGRILTRASSDMSILDFDIPFSIGFVAAEAIQNLTMFASVFLLILLPKGYVAPGLAGLSLSYALSISSIQVFMSRWYCNLSNYIISVERINQFMNIPPEPPATIDDKRPPSSWPSKGRIELQELKALEKCQLKETISSLPSKLDSSGNGSPHTNTP >OMO70842 pep supercontig:CCACVL1_1.0:contig11782:74120:75887:1 gene:CCACVL1_18640 transcript:OMO70842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHQKSVPIGRHDPWHVAPRPRSAKGFGKTTALDHRFGNNDLKLNILPSKQRLEKREGQLERGKLTVACAAGRGGGD >OMO70499 pep supercontig:CCACVL1_1.0:contig11817:2238:5297:1 gene:CCACVL1_18872 transcript:OMO70499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRLKQSSSSPCFRCLLLIMFLFSCSKTNCARKVATTAPSEVRALNSIFQKWDTQAPKSNLWNTTGDPCSGLALSQLDSVFEDGDNNPAIRCDCTFDNNTVCHITRLRVYALNKVGQIPEELLDLPYLEFLKIDQNFFSGPLPSFIGKMSKLGLLSIAHNAFSGPIPKELGNLKELYLLSFGSNDFSGTLPPELGNLHKLQELYIDSCGLGGEIPETFANLENLETVWASDVAFTGKIPDFIGNNWTKLMSLRFEGNSFEGLIPSSFANLTSLTSLRIGGIYDGSSSSLDFIRNLKNLTDLVLRNVLLTGVFPSHITELQSLQKLDLSFNSLAGQVPSALFNMRNLENLFLGNNSLSGAFPMQKGEKLQTM >OMO74813 pep supercontig:CCACVL1_1.0:contig11078:25016:27263:-1 gene:CCACVL1_16450 transcript:OMO74813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFSFFFSRKKAGLPPEHSPEIDEEVSGIQNTKLFTYRELKMATGNFHFSNKIGEGGFGAVYKGTFRDGTMAAIKVLSADSRQGVREFLTEINVIAEIEHENLVELCGCCVEGNHRILVYGYLENNSLAQTLLDRLFAFALLFQLSNNLPNLCVFLCGLCRGYLAPEYAIRGQLTRKADIYSFGVLLLEIVSGRSNTNRRLPLAEQYLLERAWDLYERGELAELVDTSMNGHYNVEECQKFLMIGLLCTQDMPKLRPSMSEVVKMLTGETAVDGQNISRPGLLSEFMSLRGLKDKSDMGSEGTGREANTSSSSENITTSYATMTFNSIFDRSN >OMO74810 pep supercontig:CCACVL1_1.0:contig11078:13653:14006:-1 gene:CCACVL1_16447 transcript:OMO74810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTEETTKTAEYIAISTTETPMETNTKICHGAAAAVDAPPPSACSKGKKMNKMKKKKRGLFLCCSNMQFENEEQTEKALAFLKGDENTKLRMVMCCANFQVGNEIDEFGVKKKIIK >OMO74821 pep supercontig:CCACVL1_1.0:contig11078:95360:95926:-1 gene:CCACVL1_16458 transcript:OMO74821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase MKKQACSKVLVLICFLFLFVTIEAQSCNPSGKVKGIKPPPGQCNTENDSDCCKEGKYYTTYTCSPPVSSQTKATLTLNSFEAGGDGGGPSECDNQYHSDDDPVVALSTGWFNHKKRCLQYINIYGNGKSVRAKVVDECDSTMGCDSDHDYQPPCDNNIVDASKAVWKALGVPQDQWGGMDIYWSDADD >OMO74817 pep supercontig:CCACVL1_1.0:contig11078:56677:57048:-1 gene:CCACVL1_16454 transcript:OMO74817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTKELSEVDAKKQMAIPTNFVEHLPSHGGGNTQYIPVVDVDGKVWRFGYYIRGENSPYPKPVFQGEWHQFAKAKKLVAGDQITFRIETDAAGNPRYTIAAQKPVSDKLFGHVIRGWGQEF >OMO74818 pep supercontig:CCACVL1_1.0:contig11078:81598:81702:-1 gene:CCACVL1_16455 transcript:OMO74818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSREWRASWPIERKSEGQEWHPAKQKTEDRKRR >OMO74822 pep supercontig:CCACVL1_1.0:contig11078:96909:97409:-1 gene:CCACVL1_16459 transcript:OMO74822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQVYSNALLVLITIEGHICKPSGKIRAKKPKSGQCTVEDDPDCCKQGKLYTIYKCSPPVSNHTKATLTLKSFEDGRDGSGPSKCDNQYHSDDEPLVHFQQDGSTRKQDVSSILISTAMERVSRPKLWMNVTRQPGVTLPMVTIVRAITTLWLHHKQFGRPCKCL >OMO74815 pep supercontig:CCACVL1_1.0:contig11078:39454:49483:1 gene:CCACVL1_16452 transcript:OMO74815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MSSSSNIEDLLDDGFDGSQDEHRIFRQVFFGNDTGSTSKRCLVTGVINFECEPSKNADTSLCSNSVNSAVTSRSCSKNLYEGTNAVNENCGVVSASGSFPEGYDLAERDDQNVSTKRMKFSDGEVSRSKAEKGKALNVPLQQNNVVTGLSMTPTDSVFQTVKLHLVESSSQGVTSSCYLLKQHAEMDRGDKMEDVDGLKSRIHDSDTSNRKEVVVGKAIASPVSQESFASKLVVSSPSATVMEKLESPLCAEEKLSGSGVHKSSNSGETDPRQDPRPLLQSHAFHILKGAGWSIEKHKRASRTYMDTIYVSPEGRLFREFPKVWRSCGEVLLADSYNCMLENEGRKWTDMSQFWSDLLDTLMNIEKEVNQPNVSNALAQQWSLLDPFVTVVFINRKIGSLRKGDEVKAGRSLVIGNSKKNNPVLAERKKVSMGKSCSQEHLPPQLCDSSLAAKSSLTASERSCDDCDELSGNSEAVKYLKGVSVHMTDQVGTCLVNTANRSETFACKVKGLDMASSQACGSDSTCGQLGSFKYHVASGDVTDMLQGSESASPHQDSNKNSPSSDKQISECNIETPSEVPGDVLVESLEGKEKTPGAPDAGKVGNVPQYTLDDHSSYPRDSLFQSGDGQDQFSKSTEALKFERNDKNSAQDVILKKKARRRSRKISEIRLAALYQSGVACSYTPDRNKQQNVDKCQAELNSKEAQESFVTKENVQKSSSLGSCLHEVEKKGSKFKRICGNRDGSSNRKKKLTKCRIQDDDLLVSAIIRNKDLSLGSTKSKRKGPKIKARSKLKSKRGRCKLLPQGTGKGGKHINEIKFYNIGSRTVLSWLILAKVISPDDVIQYRNPKDDTIIKDGIVSWDGITCKCCNRALSVSEFRVHAGYKFNRPCLNLFMESGKAFTLCQLQAWSAEYKTRKNGTQKVEVDENDRNDDSCGICGDGGELICCDNCPSTFHLACLSMQELPEGNWYCSNCNCWICGKFVDDKEASSSFDAFKCQQCEHKYHKACLNDKSHCEEKVSEAWFCGGSCEEVHSGLTSRLGLINHLADGFSWTLLRCIQEDQKVHSAQWFALRAECNSKLAVALTIMEECFQSMIDPRTGVDMIPHLLYNWGSNFARLNFFGFYSLVLEKDDVLISVASIRIHGVTVAEMPLIATCSKYRRQGMCRRLMTVIEKMLISFKVEKLVITAIPNLVETWTKGFGFKPVEDDERRTLNKINLMVFPGTVLLKKPMYQSEKADEQSGACATLSSHQDNLTETFRQKESTDVDILSVGNQLAKSVQPFDDDANEACAKIEAELVGDKNLQELEINGKRETADGGGEEPCDKPGLRVTETARLGIITEGQPIDDAIQLSDNKCCSKEVGTELENRLSIETSQECPSAEMKSAPQLDGCCHDNEAGTESKVESVQPSVMPSSPKFFQARSSLPVTRSRSTVLISALLVVAIVFFLFSLSSFLNSGISGYRCRSSGPRSVRVLWDRTGNGNKASADDNNGPKRHKVMGFVGIQTGFGSTGRRRSLRKTWMPSDPQGLQRTMQSRDKTIGTP >OMO74814 pep supercontig:CCACVL1_1.0:contig11078:30590:33723:-1 gene:CCACVL1_16451 transcript:OMO74814 gene_biotype:protein_coding transcript_biotype:protein_coding description:ThiJ/PfpI MALLQLRHFTPHSLPFSFTSKLHHFSCSPLKFPRVSFSASASASASTTMAKQVLVPIANGTEPMEAVITIDVLRRSGADVTVASVEKELRVDACHGVKIVADALIVDCTKDTVFDLIALPGGMPGATNLKNCGVLETVAKKQAEDGRFYAAVCASPAVALGSWGLLKGLKATCYPSFMEQLTSCATAVESRVQQDGNVVTSRGPGTTMEFAVALVELLYGKEKANEVSGPLVMRPKHGDEYTITEINPVDWKCNNDPQILVPIADGSEEMEAVMIIDILRRAKANVVVASVGDSLEILASRKVKLVADMLLDEAAKLSYDLIVLPGGLGGAQAFASSDKLVNLLKKQAESNKPYGAICASPALVLEPHGLLKGKKATAFPAMCNKLSDQSFIDNRVVVDGNLITSRGPGTSLEFALGIVEKFFGRQKALELAKVMLVVN >OMO74816 pep supercontig:CCACVL1_1.0:contig11078:50588:51298:-1 gene:CCACVL1_16453 transcript:OMO74816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVNPQQPLLPSRPRPDSTCDCDSFKVSLIIMGVLIATIVVAVVALCVWEILEMINCGNNPRFGLNSLSVSNLNISGPEVTGIWDVEFLAKSPDFLHANNYFHPALSVYYKNQQLLLEEYLPRIHVPKKTTISYRVNALAMATSIQDKAVADAIAKDWSQQKLVSFTVKLRSTNEIGLIVKVVCARIKVGFSSNSTSSSSQGTLLPESSANTYRQAFTRCSTGYHVKIPHLRDSH >OMO74819 pep supercontig:CCACVL1_1.0:contig11078:85242:88787:1 gene:CCACVL1_16456 transcript:OMO74819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MSHPGKPVTELGLEKLADQLRDSLSYDVNKPDFRELDLGSPVSPLRTRQPGFTTTTTTTTTSSSSSSSGSVSGRNGSNAVSRGPNNHSGELSGSSETSPTASTRNIKPVQARSESNATATHPLIYSGQSSVNSPPVNVLPTGNICPSGRILKTGMAVNRSSRTDVLGSGSGNYGHGSIMRGGGVGTGPVTASKSSSFEASNSLGSRANGSDFMRKAMGNSEPEEVKRVGNEMYKKGHFSEALNLYDRAIALSPGNAAYRSNRAAALTALGKIGEALKECEEAVRLDPNYWRARQRLASLMLRVGQVENAREHLCLPGQPQDPTELQKLQAVEKHLSKCTDSRRIRDWKSALRESDAAVAAGADFSPQLFMCRVEALLKLHQLDDAESSLSVVPKLEPSTNSCSQTKFFGMLSEAYLFFVRAQIEMAVGRFENAVSAAEKAGQIDPRNVEVAVLLNNVRLVSRARARGNDLFKSERFTEACSAYGDGLRLDPSNSVLYCNRAACWYKLGRWERSIEDCDQALSIQPNYIKALLRRAACNSKLERWADAVRDYEVLRRELPDDNDVAESLFHAQVALKKSRGEEVYNMKFGGEVEEVSGLERFRAAISLPGISVVHFMVASNVQCKQISPFVDVLCGRYPSINFVKVDINESPAVGNAENVRIVPTFKIYKNGSRVKEIVCPSREMLEHSVRHYSF >OMO74811 pep supercontig:CCACVL1_1.0:contig11078:15302:17500:1 gene:CCACVL1_16448 transcript:OMO74811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCKTGWSKDDLKSMQVQNLSETAQVHPHYSSQDYCNDESKEEQRRRKIGLANKGRVPWNKGKKHSAETRLRIKERTLEALRNPKVRKKMIEHPRTHSEQSKAKIGSSLRRLWSKRLKLKRLGERFFLSWSESIAEAARKGGSDQPELEWDSYDKIKQEIALEQLRCAKEKAKGKEMAKVRAATPKAEKIARIAQKTKEQKKRERERKPKRKTRGKLKKDGDEVTDSLELKLKQRLTMIRKNKSIKSNVSIQEDRVHIPALEKLNIEFIKKEKMQNEVSLAEQIKAAKRRRAESISTKVLTVSSSVLSCGGRLD >OMO74820 pep supercontig:CCACVL1_1.0:contig11078:94224:94655:-1 gene:CCACVL1_16457 transcript:OMO74820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSENPEPKQSPDPKTLNDPEPEKPSEEGAPKEGSEENEEEEEEMCGFCLFMRGGGCKESFVNWENCIKDAEENNEDIVEKCFQATSALKICMEAHADYYDPILRAEKRAEEAVAKELEEEKQKEKAEAEEENSKDLDKKTEG >OMO74809 pep supercontig:CCACVL1_1.0:contig11078:6520:6966:-1 gene:CCACVL1_16446 transcript:OMO74809 gene_biotype:protein_coding transcript_biotype:protein_coding description:sodium-dependent dopamine transporter-like protein MLYVVVALMGPYLAGPSTTEKLVTLFILFLFGGLLPWSLHSFALPTPPPPTNSIFTSPPSPSPSPSPHLSSNSGLVDGHDFASLIFLAIFTALLEMRLLREIPLTLVVLRYMLVFLAVSWESFALVLVFGGAYLTYNQYRFDRWVIGR >OMO74812 pep supercontig:CCACVL1_1.0:contig11078:18799:24129:-1 gene:CCACVL1_16449 transcript:OMO74812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKYPEKRKKDPINPAMIEFPMMKFGTKALKHATNELDIKSTIQTTRENEEEARMKIYSVSTRHYFAFGALVSEELSYKIKDLPGVRWVLPDSYLDVKNKDYGGEPFINGQAVPYDEKYHEEWVRNNARANERNRRNDRPRNYDRSRNYERRRENMQQQPNRGMPPPPPNQGMQNGPNPGMPPPPPNQGMQNGPNPGMPPNNMGGMPPNNMGGMPPNNMGRMPPNNMGGMPPNMGGMQQNMGNAPPNQGWSGGNMPGNAQNFQNSPNYGNAPYQGATPNDQYQNNYAPNMGGNMPGGNYRS >OMP01510 pep supercontig:CCACVL1_1.0:contig06427:7838:8011:-1 gene:CCACVL1_03076 transcript:OMP01510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKIRNSTMHPKSTDANHQTLCVQYAIYGLQPFQVSLSSSHTPAQPVSLMAVRFEWK >OMP01511 pep supercontig:CCACVL1_1.0:contig06427:30879:31334:1 gene:CCACVL1_03077 transcript:OMP01511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEMFSILPLPTIGGGLEAALPLQLLDVNGSLGALFRTLVGTENSFDLWVMNGSWSRKLTIESVPQVERPLGFWNGELFLLSSSRELVLFDPATRELKNLGNHAFRNPSSLFTYFESLVPINGSSEQEEQIIRQPMELTGVEDEERNEEK >OMO98834 pep supercontig:CCACVL1_1.0:contig07010:13875:13943:1 gene:CCACVL1_04036 transcript:OMO98834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSNIYKVQPVARYTARCLNV >OMO98836 pep supercontig:CCACVL1_1.0:contig07010:30865:37257:1 gene:CCACVL1_04038 transcript:OMO98836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNIKPSPPMDPSKPGPDPTKRESLVAAREQTIGYGLSNEELRISALVELTRQDRPKTCGNLVTRLGKLVQQANSETGGLLGE >OMO98835 pep supercontig:CCACVL1_1.0:contig07010:15140:24617:-1 gene:CCACVL1_04037 transcript:OMO98835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin SPT2 MADEIQLAYFQMKELKNFYTQLGISKQGKKQALQQWRNTDRYAFYDDDDDVSDMEANFDEVMQEETRSIFKFI >OMP07208 pep supercontig:CCACVL1_1.0:contig04588:934:1032:-1 gene:CCACVL1_01349 transcript:OMP07208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDMPYPPGVEAPVVEPVGEIGSPDEIESER >OMO53050 pep supercontig:CCACVL1_1.0:contig15354:15851:15982:1 gene:CCACVL1_28919 transcript:OMO53050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGKTNLAKTNNEKQREEEGPNRKTTSQENVQEEEHACKPLK >OMO53049 pep supercontig:CCACVL1_1.0:contig15354:14741:15549:-1 gene:CCACVL1_28918 transcript:OMO53049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKIVNSVPRFIPPDKLSAAADRQRRISSRRRSRSGSLININETQVSRTSPSFERISVGDPIVRSVGIHGLGEENRVNDSCNGLPSVTSKGLSRVSLNHYFLLSRVATNGELETVDFSIGLSDLDPRFSMLGSDCNQNQFTPDPTASTSQGGCFSDYCSGFQGPYMSQPSYPYATHVAEPSPQPQQVAQENLDPLPSQRYGIDDQRHMSECRCIFYL >OMO53048 pep supercontig:CCACVL1_1.0:contig15354:6647:8399:-1 gene:CCACVL1_28917 transcript:OMO53048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MLSLAITPFYSPDPRMSKCSVKGVHKYPRHYFLFSSFEDLTSRIDTDEMMTDIIGMLVGATEPFKVKVKRTNEMAEKRNISLRLISGEEISISAWTSCLQGIDIDSLTKQKPKPVMIFAGTTIKSLSGKPQSFYVTTTTATKIYVDLDVPQTTAIKERYKDDDSAVQLLEDDGSGISEERLFNTSPESTIIHLLSQRPANITDKRFKIQARVLDIDETNGWYYSACPACMLSMIEINGILRCRDQKHAGKKPKPTMSLTLFIRDQTAEMEVVAFGNVAERMTAVTAEGMPPIKNTSSIKLPKKAEDILNREYTFIVGLPNQALKRGYLSYKIFAFRQSETAPENQEKRREKRPMEPPLPAITGDQPPELPLSTPADQTSQMALSTPQKQDQLSDRPHLKTDLTAAKKMKHRYLQQYLYITLCKTLPF >OMO53047 pep supercontig:CCACVL1_1.0:contig15354:2217:4028:-1 gene:CCACVL1_28916 transcript:OMO53047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVEEVRRAQRAEGPATILAIGTSTPPNCVDQSTYPDYYFRITNSEHKTELKEKFKRMCEKSMIKKRYMHLTEEILKENPNLCAYMAPSLDARQDMVVVEVPKLGKEAATRAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAIIIGADPIPEIEKPMFELVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLVEAFKPLGISDWNSLFWIAHPGGPAILDQVELKLSLKPEKLRATRHVLSEYGNMSSACVLFILDEMRKKSREEGLKTTGEGLEWGVLFGFGPGLTVETVVLHSIPA >OMO95335 pep supercontig:CCACVL1_1.0:contig07695:14208:14285:1 gene:CCACVL1_05428 transcript:OMO95335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPQPPVLLAWFICFTYDQQEPN >OMO95336 pep supercontig:CCACVL1_1.0:contig07695:15935:16249:1 gene:CCACVL1_05429 transcript:OMO95336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKNATIDAHVSNTIWTPPERGWTKINSDGAFSKDDNRAGIRVVLLDGSGILIDGIAKNVQGNYVLMVESLALKRGVQLAIENKVEKAIFETDSSELYLLSLM >OMP06480 pep supercontig:CCACVL1_1.0:contig04917:190:1386:1 gene:CCACVL1_01549 transcript:OMP06480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGKSKSDTKSAKLSVNKKPTTKAGGRKSGKAAKDPNKPKRPASAFFVFMEEFRETYKKDHPKNKSVAAVGKAGGDKWKSMSEAEKAPYVAKAEKRKVEYEKNMKAYNKRQAEGPQADDEVESEKSVSEVNDEDDEDGSGEEDDDE >OMP06481 pep supercontig:CCACVL1_1.0:contig04917:6527:11826:1 gene:CCACVL1_01550 transcript:OMP06481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRARNLYPSKLKSLFSLFVFFEEAFTSSTTAKTSLPLLPTKPQISVFFEEAFTSSTTAKTSLPLLPTEPQISNRLPHLLFYTNHFCSQSTATLSPPKRHKKPSSSKSLTLNRDGNYDDATPNNVVCPGCGVHMQDSDPKAPGFFIKPPTMTKDPMLKTDSRYLGESPDLEEENLDKEMPEKPVVCARCHSLRHYGKVKDPTVENLLPEFDFDHTVGRRLASVSGARSVVLMVVDASDFDGSFPRKVAKLVSHVTEENYTTWKQGKSGNVPRIVLVVIKIDLLPSSLSPTRFEHWARQRSREVGASTIAKLHFASPVRDCGLKSLVGDIVEMAGPRGTVWAVGAQNAGKSTLINAIGKCVGGKIGFLTEAPVPGTTLGIVRVEGVLPGQAKLFDTPGLLQPHQMTTRLTRACLCHTVHIAGLMRLDIEESSVDSLYVTVWASPYLPLHVGKTENAQRMLEDRFGRQLQPPIGQERVKELGNWVRKEFHVSGNSWDSSSVDIAAAGIGWFAIGLKGEAVLGVWTYDGVDVVLRNALIPHRAKIFEEAGFTVSKIFSKVLIHSYAVRLTLSLLQMRGDIKTFEASISAAQVSIQKRSMFIISVSLYPAMPLNGKESNGVSVISMARPNTRICYGKLPKKNLRFPRRTKLPPELGVNLFLRKPKTGADTATDAQDMDSPEEEEVVVVEAEEEEEDDEEENTVFWETDEVEAISSLFQGRIPQKPGKLARERPLPLPLPHKLRPLGLPTPKKHVRLASPRVISSRATVSKQLYKNPSFLIGLAREINGLASHEDVSAVLNKWAPFLRKSSLSMTIRELGHIGLPQRALQTLCWAQKQPHLFPDDRILSSTVEVLARNHELKVPVNLENFASLSTRSVIEAMVKGFIKGGNVNLAWKLLSVAKESKRMLDSSIYAKLILELGKNPDKHKLVVDLLDDLGERDDLNLSQQDCTAIMKVCIRLGKFEIVESLFNRFKQSGRDITVVMYTTLLHSRYSDKKYREALALVWEMEASECLFDLPAYSVAIRLFVALNDLPRAARYFSKLKEAGFSPSYDIYRHLINIYMASRRLAKCKEICKEAEMAGFSLDKHTLLQLSQLEEEMRSSF >OMO49445 pep supercontig:CCACVL1_1.0:contig16499:18306:23734:1 gene:CCACVL1_30999 transcript:OMO49445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MQSFKFLVSSSLLAFVLILLSSPLLTSPQPQTQPQPQSPSIACRSTPYPKLCRSILSSFGSSPSDTYNYGKFTVKKCIKQAKKLSKVINNYLSHHKYAPASRLDAGAMQDCAELADLNVFYLEKISAELKSADSMTDALVDRITSLLSGVVTNQQTCFDGLVDAKSSFAGVLGEPLANMTRLYSVSLGLVTHALDRNLKKNKRKGAKTPIVLKNGFRQPLESLIQALRQTSHCKNKSTECGPIPRGQRKLVEGDGNHGILINDTVIVGRYDGDNFTTIGEAIAAAPNNSNPEDGYFVIYARQGYYPEYIVVPREKKNVMLIGEGKYKTVIAGNHNRVDGWTTFNSSTFVAHPPSPSLYCHRHRHLHHQDPHFTDTNITFQRPQSFLSQKSSLPLNHTSTKYQLPVLQQITSLCQLKQDLPLAFTLLQQNNGLHESLDSLQQKEAMGLLLQACGRHRDIETGRKVHQMVASSTLFHNDVVLNTRVITMYSMCGSPVDSRLVFDDLEGKNLFQWNAMVSGYSRNQLHEEALRTFIDLVTKTEFKPDNFTLPCVIKACGGILDVGLGQGVHGMTVKLGLLGDVFVCNALIACYGKYGHVHEAVKVFDFMSQKNLVSWNSMIRVFSENGFSRESFSLFRKMLECEESLVPDEASLVTILPVCAGEGDVKMGMVFHSLAVKLGLSQELMVNNALIDMYSKCGWLSYARTLFSKDGNKNVVSWNTMIQGFSIEGDVGGAFDLLRKMQVAKGEKANEVTILNVLPVCLEKSELLYLKELHGYSIRHSFQYDELVANSFVAAYAKCGLLCSAQNVFNGMEEKTVNSWNALIGGYAQNGAPTRALEFYLHMKNSGLEPDRFTLDWTSRRSSTSLSSNDFKWNST >OMO49444 pep supercontig:CCACVL1_1.0:contig16499:5907:8416:1 gene:CCACVL1_30998 transcript:OMO49444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate-related phosphatase MSLPVDLFSAEGQYDLPLEPLLSRGVSTKVPSENVSFRVFVATWNVGGKSPDSKVNLDDVLQVREESDIYVLGFQEIVPLNAGNVLVIEDNEPAAKWLALINQSLNKGCNLQLKGSRSFTSSGGSLVFPKPSLRKVSKAFRTESKKRLKSCNCPVDLDRKNSKDFCFRCPQSQTNENDFSSEEDEDGSSSFAVNTISNPSGTNQMKYSLVASKQMVGIFLTVWMRKELVQHVSHMRVSCVGRGILGCLGNKGCISLSMLFYKTSFCFLCSHLASGEKEGDELRRNLDVVEILRNTQFPRICRTSSSRVPEKILDHEIALSYSDTGKLLKEKAWDALYDKDQLKIEREAGRVFKGWKEGKIYFAPTYKYSLNSDIYAGETVESKSKRRTPAWCDRILWHGSDIYQLSYERKESRFSDHRPVCATFWVSVEASEDGPRKR >OMO82084 pep supercontig:CCACVL1_1.0:contig10074:4904:4984:-1 gene:CCACVL1_12081 transcript:OMO82084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFADAWNSGYDPAFLTAYGLCAYA >OMO82089 pep supercontig:CCACVL1_1.0:contig10074:25291:30443:1 gene:CCACVL1_12086 transcript:OMO82089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTFGIPKKGIFLYFPQSSLYATPTPVKESQTQILVGI >OMO82085 pep supercontig:CCACVL1_1.0:contig10074:5604:8429:1 gene:CCACVL1_12082 transcript:OMO82085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEDHHHHHHHSYGMADLRQLMNGRAAPTHFQAIPQAAAADLFCTGRNLPPPQPHHQHHHFDMMQMVAGRPVGHDPHQLMTRGLHHNDFPSDSTTTPTATVAAVTSASTPSASCGFDGEAAAFGGDGGTGRWPRQETLTLLEIRSRLDPKFKEANQKGPLWDEVSRIMCEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGETSNSVSGPETQLVGNSFRFHGNTPNSINTQANQDVYHSSQKLCDSLSLSNSSDFDTSSSDDNDLTTEAPMHHLNDSSHKRKKKRGWKVKIKEFIDSQMRKLMERQEAWLEKLTRTLEQKEQERVLREEEWRKEEAARIDREHRFWAKERAWIEARDSALMDALQNLTGKQLKGSGQTQSQSPTQELMATEILQNNSDQNGSHNETINKDHGWQESEISRLIQLRSSMESRFQQGGCSSEEIILWEEIAAKMACLGFERNGLMCKEKWDSISSYLMKTKKRKESSNSRGCFYQNNEQSLFNTQGRTYCEITDQQVQPNDGSSPSNSHVGGNAVNVNDSCFRFLMADGEHNLWENYGLKLSNGDQNQ >OMO82087 pep supercontig:CCACVL1_1.0:contig10074:16493:16594:1 gene:CCACVL1_12084 transcript:OMO82087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGSSIDINGRLEMGIQEHRKAFLKVSSKQQP >OMO82090 pep supercontig:CCACVL1_1.0:contig10074:33408:34922:-1 gene:CCACVL1_12087 transcript:OMO82090 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MESPFNSVTRPRATQLLPQLLIIISFLITLTWPCIEAAAATQELLKGFEATPNPSVSSFQSLLEDSSGKFSFGLLRVNSTELALAVLHVPSNEQLWLANPKTLARWSDRTRVFFNGSLVISDPRSRVFWSTGTQGDKLVLLNNSNLQILDNSNNDPTVTWQSFDFPTNTLVETQNFTSTMSLISSNGLYSMRLGRDSIGLYAKFDSDSDQMYWKHKALQAKAPVIDGNGPVHLRVEPDGWLGMYQNGTTPVDVESFNSFQRPLDGLLIVRLEPDGNLKAYYWAGSSWVVDYQAIRETCELPNPCGPYGLCTSGSGCSCLDNNTEFSSSGHCAGSGLLSNDLCTDPNAQKEVKVLRKQGVEVPFKELMSYETMSSLEECEDSCEKNCSCYGAVYNNASGFCYILDYPIQTLLGVDDDSKVGYFKMIEGAKKKKMDAGLGVGIGLLGGAIVFLIAAIGFGSYKIWRSRRGVNRILEEEAGGVISGPYKDLGSASFRSIEMCSGSNR >OMO82086 pep supercontig:CCACVL1_1.0:contig10074:13307:15230:-1 gene:CCACVL1_12083 transcript:OMO82086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase, class-I MSCFISKYADELVKNAAYIGTPGKGILAADESTGTIGKRLSSINVENVEENRRALRELLFTTPGAFKCISGVILFEETLYQKTASGKPFVELMKESGVLPGIKVDKGTIELNGTNGETFTQGLDGLAQRCQKYYEAGARFAKWRSVLKIGSIEPTELAIQENANGLAMYAAICQQCGLVPIVEPEILVDGSHDIKKCAEVTERVLAACYKALNDHHVMLEGTLLKPNMVTPGSDSPKVAPEVIAEYTVRALQRTVPPAVPAVVFLSGGQSEEEATVNLNAMNKLQTKKPWALSFSFGRALQQSTLKAWGGKAENVKKAQEAFLVRCKANSEATLGTYKGDAKLGEGAAESLHVKDYKY >OMO82088 pep supercontig:CCACVL1_1.0:contig10074:23018:23959:-1 gene:CCACVL1_12085 transcript:OMO82088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIASCYSEHAIKVSDSYCSGQGLTINVNETLSSSSSSSPSATKFNSNSQELRTEKGSKTFKSCNSEIEVIWDVSDAQYMNGPEPSTRFYVIVLVDSKLCLLLGDMMNEELLHIQKIQSEKPKFSLVSRSEKFIGNSVYSTKVQFCDNGLAHDILIKCSGEEEGWRNPVLSVCIDQKRIFQVKRLRWNFRGNQIIFLDGLLVDMMWDLHDWLFKQTSGGCAVFMFRTRSGFDSRLWLEEKGSLEHKDQKEQSDQFSLLICACKSPD >OMP03144 pep supercontig:CCACVL1_1.0:contig06142:17911:19554:1 gene:CCACVL1_02547 transcript:OMP03144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGWGFCKRGGCTTTSTIYASKFPRTEGRWGEGDLEDEEGANELAVQGVIEAVIPMGRGAGKGYMLLKTLHNQHMRTKSSRTFEFPAHTTAAAAIGVSSLGTLSAQGYFSHLLTRSFGEWYQGEVARGQGKKSPFAELLTGMMVHGLKYGSTLDLEVFKALYECERDEVEGTIHVMQEDVKLVREARDRFEEHFKEEGHLRIAAQKEIDEMKKQQTELEERYNRECQEIVVQQSPIQVIKAKKELYPAFAESIDTFDFDPLLEIPNEDEGGGDSVVVNQVNGAMVEDQANLNREQPLRLKLEVDQRAYVQLL >OMP02138 pep supercontig:CCACVL1_1.0:contig06319:269:361:-1 gene:CCACVL1_02916 transcript:OMP02138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGRKQLKEKLCGLPNRSRLNTALKGPEGPE >OMO54789 pep supercontig:CCACVL1_1.0:contig14899:10036:10104:-1 gene:CCACVL1_27567 transcript:OMO54789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVVIGQVELPLKILLQRLGQTCE >OMO93967 pep supercontig:CCACVL1_1.0:contig08024:55414:55563:1 gene:CCACVL1_06241 transcript:OMO93967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTSEYHSVRAMKRLNEPHFSFPSPISITTPPPPKLISKGPKLAYPKL >OMO88233 pep supercontig:CCACVL1_1.0:contig09075:6914:8923:1 gene:CCACVL1_08509 transcript:OMO88233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine/spermine synthases family MVDNNGVAPGMSPVIPGWFSEFSPMWPGEAHSLKVDKVLFQGKSDYQDVLVFQSATYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIENPKKVLVIGGGDGGVLREVSCHSSIEQIDICEIDAMVVDVSKRFFPNVAVGYEDSRVNLHIGDGVEFLKAVPQGTYDAVIVDSSDPIGPAEELFKKSFFELVAKALRPGGVVYTQAESLWLHLNIIEEIVSNCRQIFKGSVNYAWTSVPTYPSGVIGFMLCSTEGPVVDFRHPVTRVDVIGNNNKVKGPLKFYNAEIHSAAFCLPSFAERVLRPKLT >OMO88234 pep supercontig:CCACVL1_1.0:contig09075:9341:10306:-1 gene:CCACVL1_08510 transcript:OMO88234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSSIVSGRKERLGVFQIIKESLKITFKNPNFIFFTFLTSLPLFCFQVLYEVILQSILIETQNVLGKTVDVLGKTVDPAFLRMFGDDYEKVQDIGNLLGKVSPKVLLLGFLYLGILHFLDLFNTIATVDVASIIYAGEKPISLKNMLCRPVKETRFKGPLITSICSLSLASLIFLGLLSFATNIYITSADVFFMLLFGVLFIALLAKNIEWSAIWNMAIVISILEEKQGDVALIISSFLTRRNRAGGFFLMLGFYGWRFALRFLYLWDNGGNRIVITVVHIGLVCFANLLMWVSITIYFYDCKKQSSYANADVEHGKVQE >OMP06002 pep supercontig:CCACVL1_1.0:contig05097:3302:3370:-1 gene:CCACVL1_01759 transcript:OMP06002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ACKVSRAKPRKGIDREGGRPTT >OMO76994 pep supercontig:CCACVL1_1.0:contig10855:1864:1932:1 gene:CCACVL1_15240 transcript:OMO76994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIAVEAVKSQMSGPMKRDSF >OMO80252 pep supercontig:CCACVL1_1.0:contig10337:12497:13018:1 gene:CCACVL1_13092 transcript:OMO80252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRRFPCSLFSLQVLFVSHVILGIPLSLSNPGLDMFVSTCRDSKFECGGISLGYPFSGEGITPGCGHRGLELSCDGGATMLEIEGVRYKVLHFSPVNRTLKIARQDLENSLCNPEPEGSIFDSTLFNITSLIPGYANVTLLYDCPFSTGSYGVLNCNMKGTFTRTCRSSSKE >OMO80253 pep supercontig:CCACVL1_1.0:contig10337:19250:21827:1 gene:CCACVL1_13093 transcript:OMO80253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ser/Thr protein kinase MRGSVIVPISETAADNLEANPFTMNDALKGGFDLKWQVDNDQCKNCIDSEGVCGYNQTTNSFICFCRNQTSETTCPLTQGIVKALIRFFLKLFSLMERLVWFCCWGDDVPEITIREVTYRVLDINNNTRNLKLARTDYWDNICPQYLRNTTLISGLGPSSDTQDIALYYYCLPPRTSNPPSTIPLFTQFNCSINGTDNIIGYFMTRNITDPVFNGLETVISSSLGTCNNSVTVPVLKSQVPTVEANPNSDNLTQALRAGFDLQWSVNDASCESCLNSGGQCGQDINSAQFICYCTNGTFPNNCNGIPLTPEGNDSDPGNVEVFISLPCYKKQNLYSVFSIDSFTSRISMRKIHIHL >OMO80251 pep supercontig:CCACVL1_1.0:contig10337:6093:10789:1 gene:CCACVL1_13091 transcript:OMO80251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEPVLLFLAIVLLSSVTVQASNCNRSCGGGKLFPYPFGFSSGCQIPLNCSNRQSFLAGFPIISIADDRIKISIDATCDRPIQDLYHLYGANYAPTYRNTILLQNCSVATPCMIPTTTVYNLFKALSCSSNISCYSENKTNGYVDYDSVIRTNCKSFFSSISEESFNESGVLEIRVVELGYWLEGRCPGSCSENAICDEIYTRVNGRPGFRCSCKPGFVGDGYRAGDGCRRDKKSTTNVPMVTGLTIAGAALAGIGIGMLILCCKQQRKKIINKRGNQNVRAFMENYGSLAPKRYSHSDVKKMTSSFKDKLGQGGYGSVYKGKLPNGQLVAVKVLNESKGNGEEFINEVASISRTSHVNVVTLLGFCYESSKRALIYEFMPNGSLDRFIYDKKHQNTSTNLEWRTLYEITLGIARGLEYLHQGCNTRILHFDIKPHNILLDEQFRPRISDFGLAKLCQRKESIVSMLGARGTAGYMAPEVYNRSFGEVSHKSDVYSFGMMVLEMAGGRRNIDVDISHTSEIYFPHWIYKQLESGQDLKLHRVVTEDDEKLARKMILVSLWCIQIKPSDRPSMKKVVEMLEGSLESLPTPPQPYLSSPVREQLLSSPSSSYLERGRD >OMO60470 pep supercontig:CCACVL1_1.0:contig13717:11066:13933:1 gene:CCACVL1_24126 transcript:OMO60470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin Nup54/Nup57/Nup44 MFGAQASASAFGTPSSTPAFGTPSSTPAFGTPSSTPAFGTPSSTPAFGTPSSTPAFGTPSTSSFATGFGGSSLFSSPFSSQTQQQQQPQQTPLFQQQPAAQPSSGFGFQTPLSTPFPNAQLTTQMAPVAPLPFSLADRDIQAIVDAYKEEPGNPKYAFKHLLFSVTDPQIRVKPAGVSDIMWAEAMAKLEGMESTDRERLWPQLVQGFKDLSQRLKLQDDVILSDAERLRMTQSNVKMLQRHFQAETLPWIQRMRQKEQSLQRRLLKMMRIVEALESKGCRLPLMKGEVELAEKLAAITRQLKGSGAELSRRVQNLLTVSRVQANAIGAGGSLYLPGSTKIHDQSLADMQEVLQQQTEAIARLGNVLKRDIRDMEIIMAEDTEMTDYVS >OMO60471 pep supercontig:CCACVL1_1.0:contig13717:15426:21822:-1 gene:CCACVL1_24127 transcript:OMO60471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 63 MHKLDSKSITVGKAAIANMLGGIGYFYGQSKISVPRNSNVKSRDDFLLYWPAELYTAVPSRPFFPRGFLWDEGFHQLLIWRWDLHISLDIIGHWLDLINIDGWIPREQILGAEALSKVPAEFVLQHSSNGNPPTLFLVLRDLVNGIKKNKFTATESNEIISFLRKAFVRLEAWFQWFDTTQSGKDIGSYYWHGRDKSTTRELNPKTLSSGLDDYPRASHPTEDERHLDLRCWMLLAADCMDSIAELIDKENKPGKDYGSTAKLLSDFDILNQMHFDHTSGAYFDFGNHTEKMRTSSMSYFLRRRNPFPHSLSPSSSSSSSSLASSVRLSWKEVMVGNNHAERELVREVLERPELRFVPHVGYVSLFPFMARIIPPESWILEKQLDLISNRSILWTNYGLRSLAKTSSMYMKRNTEHDAPYWRGPIWMNLNYMILSSLRHYSLEDGPYREKARAIYEELRQNLIRNVVQNHHQTGFLWEQYDQKQGKGKGARVFTGWTALILSIMAEAYPEI >OMO60469 pep supercontig:CCACVL1_1.0:contig13717:8682:10245:1 gene:CCACVL1_24125 transcript:OMO60469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFVRGKKRLTEPNMCLSAQVKQEILIHSKGNPHSSSRAADELESPLLPGLPDDVAKYCLALVPRSSFPAMGGVSKRWRSFIQSKDFLTERKIAGMLEEWLYVLTMDSEGKESHWEVLDCLGQKHRILPPMPGPEKVGFGVTVLNGKLLVMAGYSVINGTATASADVYQYDSCLNRWCKLADLNVARYDFACAEVNGMVYVAGGYGIEGESLDTVELYDPDTNKWTLIESLRRPRWGCFACGLNGKLYVLGGRSSFTIGNSRFVDVYNPEKHTWGEMKNGCVMVTAHAVLEKKLFCMEWKNQRKLAIFNPEDNSWKMVPVPLTGSTNIGFRFGILAGKLLLFSVEGDPSYHTLLYDPNAAPGSEWQTSDIKPSGLCLCSVTIKA >OMO60467 pep supercontig:CCACVL1_1.0:contig13717:57:227:1 gene:CCACVL1_24123 transcript:OMO60467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIPSPTDASFADKAIISLMRHHYLLKSLASDFTSEAACSYSSTKESKTFRDRGT >OMO60468 pep supercontig:CCACVL1_1.0:contig13717:3262:3639:1 gene:CCACVL1_24124 transcript:OMO60468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCGRHKTRNVFIPSVILPSTRVPFPIKKKICPICRQLRPDSTIDILTNLIFSKNSPTHLNRNYVNAMHQLNTTHVTANCHDGCLGVVEFCRRCGATTNVSIPQGSFGTTEKLALTIPGRGIFS >OMP08331 pep supercontig:CCACVL1_1.0:contig03854:3341:4027:-1 gene:CCACVL1_01122 transcript:OMP08331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSDHEASCDVWAMGFQAEDDESVPNPVFQIAITARLTLALEEEDDEEEPFFIHPESVVVEKTEQVGVDQLLDENNGRDSVREILLSMGVPVQDFMVERILGCAHNMATDKCYMNQKVLKMGVQIDAIVAGILEEEDEDEEDEDDDDEEEEEDGDDDESSLSEKGAMVDLTNKVVIEKPNVGCPICLEDFVVGTEAVVMRCSHRFHHDCIIPWIAMKTHCPVCRSELTG >OMO71293 pep supercontig:CCACVL1_1.0:contig11676:1672:1743:1 gene:CCACVL1_18305 transcript:OMO71293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYQVQKHVTNQAKGNKQNPRAE >OMO71295 pep supercontig:CCACVL1_1.0:contig11676:25991:38042:-1 gene:CCACVL1_18307 transcript:OMO71295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type MNAISGVISRQVLPACGSLCFFCPGLRTRSRQPVKRYKKLISDIFPRNQEEGPNDRKIGKLCEYASKNPLRIPKITNALEQRCYKELRNENFESAKIVMCIYRKLMVSCKEQMTLFASSLLSIIQTLLDQTRQDEMRIIGCQTLFDFVNNQRDGTYMFNLEGFIPKICQLAQEIGEGEKERNLCAAGLQALSSMIWFMGEHSHISVEFDNIVSVVLENYEDPRKNSKNLDGTRWVQEVLKDEGHVSPSPDVLARVPSWGKIVNDKGELDVTAEDAQNPCFWSRVCLHNMARLAKEATTTRRVLESLFRYFDNENLWSLQSGLALPVLKDMQLLMDSSGQNTHFLLSVLVKHLDHKSVLKQLNMQLQIVEVTTSLAQLSKVEPSVAILGAVSDVMRHLRKSIHCSLDDAAMGADIINWNRSFKEAVDKCLVQLSHKVGDAGPILDAMAVMLENISNITVIARTTICVVYRTAQIVASIPNPSYLNKAFPEALFHQLLPVMVHPDHETRVGAHRIFSVVLVPTSVCPQPSSVTPVTKKGSSVPRTLSRTVSVFSSSAALFEKLKKERSFSRENICAENKGNVANDGEVNNSNNGILNRLKSSYSRTYSSKVPPIPLPTDENPLSNSNKISEANSLRLSSTQISLLLSSIWAQAISPENTPQNYEAIAHTYSLVLLFSRAKNSSNEALVRSFQLAFSLQSISLHEGGPLPPSRRRSLFTLATSMILFSAKAFSILPIVYCTKVALTERTVDPFLRLVEDRKLQAVNTGSDQPTVYGSKEDDNLALKALSQLQIAPEQRREALVSEIVKCLKNLSEAKISNTRTQLLNEFLPDDVCPLGAQLPIDAPQKVYQVDVEDNNTNKGGGPIFSIDDAFPEPFEGQTKDNSESPLEIPNLLDVNQLLESVLETAHQFGRTSVSTGPDMTYKEMAHHCEALLTGKQKKMSHLMSAQLRQESFITFSFQYPDYETKQAGLLLEQTASTNPFMQTAGTLPMQCATEYQNHPQSFRLPASSPYDNFLKAAGC >OMO71294 pep supercontig:CCACVL1_1.0:contig11676:19811:20137:-1 gene:CCACVL1_18306 transcript:OMO71294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKYIISAVVGSFAVAYVCDQVVSDKKIFGGTTPSTVSNKEWWAETDKKFQAWPRTAGPPVVMNPISRQNFIVKAGSES >OMO77267 pep supercontig:CCACVL1_1.0:contig10793:4238:11111:-1 gene:CCACVL1_15123 transcript:OMO77267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHYSDKKARRMRDDRLSALPDSIIHHIFSLMDIESCIRMSLLSKNLKRLWNSLPDLIFDGRRAPNKSKAIRFRNLVLRALYDRDHHVPINSLRLVFDKEFDKKDGHGDFSRKIAAYLESPETCIRHLSIVFTFGCVVPSYRMPTLTTLYLEGCDFSRNPNCLDPFASCVNLEQLFLHNCSFSEGFTWKITGPQLLRLEITDLRIEQRRSRRFDDIIKVEIWTPKLLSLRLNLFKPLDFSTLHLPALKNLDMQICYATSYNRTTISLLINMFRGFHHAQYVKLCSKIILILSLLHGLAALHKQPPPFTRLKTLTIQRREGDSLNKIPDNGITARLSGRGACNVNYLGQMCKAIFNHIHQKLAEAILGEVAYTCKISGDKWKEKQGSCKDK >OMO77268 pep supercontig:CCACVL1_1.0:contig10793:22017:26207:1 gene:CCACVL1_15125 transcript:OMO77268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLSIWRGNPQAPREENEFKESVEEYEKDERLELDDNEYEPEEYSGVDYDEKEMDPDEVGAEENPEEKWNCIPRDQSSKAAISEEAAKALISITKSK >OMO69305 pep supercontig:CCACVL1_1.0:contig12073:2402:3225:-1 gene:CCACVL1_19561 transcript:OMO69305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTKLFQMLILRYEAQANAEYKRMKELEKRCGGEGSWILK >OMO50172 pep supercontig:CCACVL1_1.0:contig16280:8116:18125:-1 gene:CCACVL1_30583 transcript:OMO50172 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MGKCFKCNYPSHRSSDCTYRKINFVEDYEVNGNNDGCIYGPDGEDDYDSNDDHGTYVVTKMLLAPKVEDENQTCKFFRTRCNIDGEIFSLIIDNEVPEEIYLDLKQNDYTKLHKLWMKMKMIESEFAEKYGAVVQLMKVKFIPATYGLNSVNIVYGEENSKLEAQELRKTLTQMTFVRKGGRDTRVTPEYPDWHWMRVKDIKVLPPTNLEAAVGEEMDDIVMKLTMQLERERDGTEAQRIAEVNWQLMLRIEEAEKRAEEMSAKCREVEEEAKDQIKIGLLQVEYIRDQAKDVLPCLAPFGQRLQPIVDALEDTISKCDETLQTISPGSSGTAETTETENVPSTGITVSITQVNVPILSSSQIDLPIPLEVVSHYPQWEGATCLQGKEVPVDFKAEDDLRKLIEESTEKLWGRIEEKFKGIGGQQTYFKGVDKVALVTDLVLPAKFKVPEFEKFDGTKSPEDHVNTYVRQMQPYCSDDMLMHHFQRSLAGSSFKWYNRIDPSQVKTWNDMANAFIAQYRHIDELAPTRETLHSMKRKPGETIKEYGQRFKDMALEVDPLMKDTEVGNILLKTLPKEYFRELYQAATNSFTRLTIAREAYESGLRAGVFEEAEKKGHAKKKEGDTHEEQKGTRLKTAEIDVCSPGSGQERQVAFWKHSSGHTGPKPRKCPGDYGREGRGPKEEDSQVPWKYDCSYTMQQRDGGLANITGVGGMTRSGRIYSPEDKGKSIPSEKVGEKDQSPSPGEGKEEVVGKVSDLDRVVGNIAAEGFITFSEIEILEGGRKGIKALHITVKCENMGMGRVLIDNGSTLNIMPLNTLKALPVEPTYIHTNHMVVRAFDGTRRDVIGELEICLEIGPIPIKLMFQVLDIDPSYSCLLERPWIYMAGAIPSTLHQKVKFMTADKLVIVNGQEDMIVTQTSATPYVEAVERSYECSFRAFEVDHFKKPKEGTVMAVQVMKKYSWKEGQDHQMLADARKMRRAERLGIPFRKEVKMEIPPLSQTFRSAGWVNGKKSQHEQDKEDVFQKIAELTINTITEEAAKPSSWIYPLAAGEEVGNWRLLNAPLRWLKVQCPHSSLMPNSDECKDASDKPGFNFERPICTDKLDDEDIEDQTLLSDLLRIVEPDEQQIVPHKELTELINLGIEEAPKEVKIGLDPEIAVHRLPTKADMRPVQQKLRKMKPDMLLKIKEELEISSMLGFFQKPNTLNGYNQIKMAPEDMEKTAFVTAWGVFYYRVMPFGLKNAGATYQRVMVALFHDMMHKEIEVYVDDMIAKARTPKEHKENLRKLFERLREVQLKLNPNKCTFGAISGKLLGFVVSKKGIEVDPDKIQAIQGLPPPQTQKEVKGFLGRLNYIGRLISQLTAKCDPIFKLLWKKNPGERNEECQADFEKIKEYLKAIKGSAIADFLADRATEEYEPVNFKFPDEDLMTISHVEEVEKTEKKWKVYFDGASNLSGQGIGAVLVSAKEDCYPATARLKFLATNNVAEYEACVLGIQLALERKVKRVEIYGDSALQVYLEHATEVDKRTLRRMVARYFLSGETLYKKGRDGTLMRCVESAEARKIFEEVHRGPYGSHASGQRMARQIMRTGYYWLTLEPECIGHVRKCHKCQEYDDYIHIPPNPLHVMTSPLPFSMWGIDVIGMITPKASNGHQFILVTIDYFTKWMEAASYPSVTQAVVARFIKREIICRYGLPKRIITDNASNLNRGLIEVTCKQFKIAHSNSTTYRPKMNGIVEAANKNIKKIIEKMTVTYRDWHEKLPFALHAYRVRTSTGATPYSLVYVMEAIVPIEVEIPSLRVYPELKLEEDEWVQERIDQLNLIDEKRVATICHGQLYQKRMERAYNKKCAPDSSKRGIWY >OMO50173 pep supercontig:CCACVL1_1.0:contig16280:31467:41590:1 gene:CCACVL1_30584 transcript:OMO50173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKVKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNEIFQGNYVDHHVSSREQITLQDTMDGVVYSTSQFGLDERFGDGDTSQIGLLDEELFLDKVASGHGGVSVGDVQGSDDPQKQDPNDSEAVPMDCSGVQVEGLAANSEYVEYDQEPATPGLVEVPERVAGDDQMEPGHQNFTELANSECVKDDGLSNLDGDNDAVDVSFQNDKSHDAILGVPAQNSCHIGDLEKEHCEPQGNSVHDAVSLEYKSADGTVGGSDVLDRVEDMRNGGLHSTDRTDGECAESPSCSNVTFDLEDPARRTCSSSTCVPTSDGYMENPQASHKSEFRNDAETTDNLEESISPAKAIASNPSCPLESPNRPTVIDGEAQACEEPNDSEKMKKSVIHEEVSSVEVLRSDNLATLDQNSLDLSRKEEEVHASGASIEVQGEACQTQMSEPAVCDDLLENFNNWATSDLPAPEKLLSVPEGPLDSPSDLLGESTPNKGVLIGTNGTVAGTKLISGKKRSATESTLTMESINSVESFGRPRSRRTAESVPDDDDLLSSILVGRRSSVFKMKPTPPLEVVSMKRARSAPRPSAFKRKVLMDDSMVLHGDTIRRQLVNTEDIRRIRKKAPCTRPEISLIQRQYLEDEIFSEPLFIGISSNLACLHSEPFDVSGIRVSEGDENHASSEVAKDSEFSVTPNIAEEGGMEGSSVAVIREQAESAGTPIQTDTHQDQDRNVVDDAPHLSQHELVDGIKEMEIDRGNAQVENAANHSVLNEFEVASTTGLVIEDASNMTTGEITDTIDGSMLPDASCLPSNQKVSTQPGENASELDTRNDKGTNPNEVLEHDVESNIAVETESKATEEIMLEESKASTSVEVSVDIQAYCCKPIENGTGSLATMQIGEALNGAQNAGEMGYNKVGLVDEAQVEGELLDHDDKDPIWKGSEECKIDSTYSEKVDSVLQNTSLNDGETPHFQEEFLNVDDDELGEDDDNGMPCGDESRLLENSGWSSRTRAVAKYLQNLFEDEAVHGRRVLSMDSLLAHKTRKEASRMFFETLVLKTKDYVHVEQAKPFDNICIKPRAKLMKSDF >OMO94831 pep supercontig:CCACVL1_1.0:contig07796:19826:19936:1 gene:CCACVL1_05777 transcript:OMO94831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILTQTNISRRLSAAIVLENCKGCRGVDAKMLANV >OMO94829 pep supercontig:CCACVL1_1.0:contig07796:4814:7880:1 gene:CCACVL1_05775 transcript:OMO94829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTEAYKQTGPCCFSPNSRYIAVAVDYRLVIRDTLSLKVVQLFSCLDKISYIEWALDSEYILCGLYKRPMIQAWSLTQPEWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHASKGISFTQDGKFAAICTRRDCKDYINLLSCHSWEIMGVFAVDTLDLADIEWSPDDSAIVIWDSPLEYKVLIYSPDGRCLFKYQAYESGLGVKSVSWSPCGQFLAVGSYDQMLRVLNHLTWKTFAEFMHLSTVRAPCSAAIFKEVDEPLQLDMSELCLDDDFMRGSDASDGHFKVRYEVMEVPITLPFQKPPADKPNPKQGIGLLSWSNDSQYICTRNDSMPTALWIWDIRHLELAAILVQKDPIRAAAWDPTCTRLVLCTGSSHLYMWTPSGAYCVSNPLPQFSITDLKWNSDGSCLLLKDKESFCCAAVPLLPESSDYSSDD >OMO94828 pep supercontig:CCACVL1_1.0:contig07796:207:4123:-1 gene:CCACVL1_05774 transcript:OMO94828 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein 10 MASSIASQLQAIKSFIQTDSEPQKRPLTRPSVLYNPKEAADIDIDTILNIALTGLEVLGGVDERFRNYKGDLFSHKSKELDRELMGVDHNNRINASISSYLRLLSGHLQLPASLKTLEYLIRRYKIHVYNIEDLVLCVLPYHDTHAFVRIIQLINTGNSKWKFLDGVNMSGAPPPRSVIVQQCIRDMGVLEALCNYASATKKFQASRPVISFCTAVIIEVLGSLSTIDSDTVNRILPFVTSGLQTGTKGGCDHKAGALMIVGLLATKVALNHKLVNSLIRSVAQVAMEDAKESTGLPWFRLSLMALINLVQSQSVDTIPKKALEILRDIRDIARIFLELSKGFNIDRFLAILLESLVDQSSSDDSYHLALISIIDTVPLKNLVDNIVRKILLTCMKLSEKDRKLASSGTGTWAKKILAAIDKKNPSQFQGAVHKFLQDDKVQSKKEDEVLELCKVLDGNLDDSMSVSDSKIWFASHHPEPKIRRATFSGLNRSAILKIKSLDFQRLVNIKDAVLRQLHDDDLTVVQAALSLDGLTEILSPPDLLEALHNVIKKCLSFLIS >OMO94832 pep supercontig:CCACVL1_1.0:contig07796:21465:21587:-1 gene:CCACVL1_05778 transcript:OMO94832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDNRASEKCLMKSTECSPENVQLLEARAITNVRKKSAQ >OMO94830 pep supercontig:CCACVL1_1.0:contig07796:10288:17681:1 gene:CCACVL1_05776 transcript:OMO94830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MSPRVKRKCSHNKEEETNISSETPDSGCLNQATDYGVDWTTLPDDTVIQLFSYLNYRDRASLTSTCRTFRIVGSLPCLWGTLDLRSHKFDTAAAVSLSSRCKNLQRLKFHAAGSADALVSLQARELREISGDFCRDITDAALSVIAARHEMLESVHLGPDACEKISSDAIRALAYCCPNLRRLWMSGVREVSGDALNALAKHCRQLLDVGFVESENIDEVALGNLTSVKFLSVAGTRNLKWGSAAQVWSRLPHLVGLDISRTDVNLSAVTRFLSSSRNLKVLFALNCPVFEAEVDSITMLKQKGGILLTFLSDIFKGVASLLADNSKNVNDVFQCWRKLKNKDKSLDEIFVWVEWVFSHLLLRIAENNLKEFDGFWLTQGAAVLLSLLQSSQEEVQERAATAVATFVVIDDENATVDSQRAEAIMRGDGIRLLLNLARSSQEGLQSEAAKAIANLSIDSKVAKAVAESGGITILANLAKSMNRLVAEEAAGGLWNLSVGDEHKGAIAEAGGIKALVDLIFKWPTSTDGLLERATGALANLGADEKCSMEVAMAGGVHALVMLARTCKFEGVQEQAARALANLAAHGDSNSNNAAIGQEAGALEALVQLTYSQNEGVRQEAAGALWNLSFDDKNREAIAAAGGVEALVALAQSSSNASQGLQERAAGALWGLSVSETNSIAIGRQGGISPLIELASSDIEDVHETAAGALWNLAFYRDNALRIIQDGGVQPLVHLCSTSMSKMARFMAALALVYMFDARIDGAVPVGPSSQGSSKTLNVDGVGRMALKHVEEFVISFFDPQTFYTAAASLAPTAFAQISEAIRIPEAGHLRCSYYNTTTLKNLPLQFTMPGGRHAMHHSGLLQNAGAPRVLRAAAAAASAPIQAKIFAKIVLRNLEHHHEISS >OMO89560 pep supercontig:CCACVL1_1.0:contig08676:54367:54543:-1 gene:CCACVL1_07772 transcript:OMO89560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APRIGSFSFRIRSVSGFEDLRGLLRSNCQTRRKEDELDVRGSFEGRAMVVVVKEAALC >OMO59415 pep supercontig:CCACVL1_1.0:contig13977:11095:23447:-1 gene:CCACVL1_24841 transcript:OMO59415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCGTREESAVAVVTHAQVQQHLHILSDKKPTQSHNRTLSDRSDPCTPRNFEDSRKNSLLYTHVIAFTLYELETITKSFRSDYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKATIPLSWATRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSVDKTRPSKEQNLVDWARPKLNDKRKLLQIIDPRLENQYSVRAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQCTGSSADEVSSSLNQKLTGGGASPFAMGGVPDYRVHHRFSNNVVPDEGGSHEMLTAVMTMACKDDNQYVCPNETAASYPRLFVGSRLASVVEASTSEYNPVAIEATEYGVLGDDARRRLAPFQLCLLCKCCTTTTSGGAATSICTTMPCCFGIDCQLPNKPFGVCAFVPKTCNYSFLFYRQFTLPIVFPAWAWSTIKSERKKQKKEEIPKPLFDSWVDIDEKLLWFEEDGAFESAADLKGHFHLVDEYTASEKDPESLLDPSLVSWAYICRDDFTTARLVTTFSNGTLRDDNSCCDWVTQELSDPAFRVLLKKSRIFYAISFSQWCSFFVDHKFIGFLFHRWHSGNHNFLTAFDEITVTLEDVAAATLLSVTGDLDPYSIELSPIKLKILTGLPKNLELPKMYEKKKWNGDHYVLHSSWITHVKCSSEIWYVEVRRAAMVLLWLSGFVFGGFPSSRTMPELSYLAVKLSCGSSIPLAHLHIGTLYHHSDTFFADEQLRVPVVYLLSRFIFSSFPHFGSPLSEQLVIVADLDPHEGIPSSNPPADPWEEAIRRVLTDFQADRTIYFFKRKGSHWLAYGKVPYPPPRYILGNGSFERFTDRKAKRWRLVHLGQKFSLGIDDTDASLVGVMLSKEKARTRKPLPGRDDPAKAQQSGEKKAGKTATECESLFVRKIDLPRLKRQREENIDLNQPEYFLVDYLSLLKTSSCGCSLYDAPRAYHGLEEHIGANEDQGDVIDASLEEHGVGGTSDRPLLGEAAQHRPHDRPHDQPSHATSHGATGHNRPSIGAPTGHNRPSIGPSDKTGLLIL >OMO74467 pep supercontig:CCACVL1_1.0:contig11125:6547:9198:-1 gene:CCACVL1_16693 transcript:OMO74467 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MASIEATSQGPTTRSRAHHQRTGSREEPYSLEERMDRMEDAVERMHEAVEGMDTRVVELEGDVKRLRREFQGALNEGFDRLSKESSERDDALEAMIVALRQEVEELPLIVALRKEVEELREELERCKTAVGRGVLASMPTTKVDVPKPKEFKGTRSAKEVDNFLWGMEQYLRASNITDEATKVSTASMYLVDIALLWWRRRAAATPAIETWEDFKKEFKTQFYPEYAEDDARAKLRRLTQRGEVREYVREFSELMLQISDLDMKEAKFMFLDGLKPWAKQELQRRGVEDLNQAMTVAESLIEFKRPEKTNTPKEKGKGKVGGDRDKSSKGGSGKSYSHKSESYNKDKDKEKWPLACFLCEGPHRVRDCPKRSKLSAIAREEQQPEKEKETLKLGSILLSVESKKRRKKGLMFVDIEVAGHKVNALVDTGASDLFVSEGGAKKLGLKVDKGQGWIKTVNSKEVPTMGVVQGVELKLGAWSGKDNIEVIPLDDYDFVVVLDFLDRINALLVPFADCVCILDPRCQCIVPVRRETGQATMTLSAIQLAKGVRKDEETFLAALKLDEQAMEKEQAPLEVLEVLDSFKDVMPRELPKRLPPKREVDHKIELVPNAQPPAKASYWMSPPELEELRKQLKELIDCGFIRPSKAPYGAPVLFQKKHDGSLRLCIDYRALNKLTLKNKYPIPLIADLFDQLGDARWFTKLDLRSGYYQVRIAEGDEPKTACVTRYGSYEFLVMPFGLTNAPATFCTLMNKVLQPFLDRFVVIYLDDIVVYSRTLEEHVEHLRQVFEVLRAHELYVKKEKCSFAQREVPFLCHIVGGGRIRMDPSKVQAILEWEAPTKVTELRSFLGLVNYYRRFIQGYSHLATPLTELLKKGRTWEWSPKCH >OMO73202 pep supercontig:CCACVL1_1.0:contig11287:1644:2597:-1 gene:CCACVL1_17399 transcript:OMO73202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNTIVLDPGEDGKVVKEFTMTGKLITEKAVNRGGVKNILRKAWLEIGEVRIADAPDNVFVFSMKKKEILDDHAKIMHLFMIWRPFCILAITFDPEHRLRRMSRRWKANSESYNFCVLAEDQLERFHGRKCP >OMO66115 pep supercontig:CCACVL1_1.0:contig12583:8324:8383:1 gene:CCACVL1_21294 transcript:OMO66115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IESVSWINRFLITPTRDAR >OMP02247 pep supercontig:CCACVL1_1.0:contig06290:41:139:-1 gene:CCACVL1_02850 transcript:OMP02247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKYEADSKQALMADRKARNNKCSE >OMO60028 pep supercontig:CCACVL1_1.0:contig13811:35495:37492:-1 gene:CCACVL1_24453 transcript:OMO60028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MRTWGSTAYVHDTSHKDGKLGPRGKKSIIRYSEHSKGYVFIGENSDGSVTELESRDATFLENDFPTRGDIDKDFYLDEIEEPDLAAPSKSIEVEDEILPSLDLSGSINRLSGEFSEPRKSTRTRTLKRRFDIEGEAFLVAQLNDEPTVQQALVALDRDEWMKAMEDEMESMKTNQADGSIDKYKARLVAKGYTQQEGIDYEETFSPVVRFGSIRLILAIVAHLDLELHQMDVKTAFLNGDLNEEIYMEQLLGFITQGEERKVCKLKRSIYGLKQASRQWYLKFHQALVSLEFTTVDEDHCVYVKRSKGNFVILTLYVDDILLASNNKEFLLTTKKWLSSSFEMKDMGEASYVLGVKIIRDRSKKLLALSQAPYILKMLEKFRMHNCNIVKTPMVEGIHLNSDMRPKTPEEKKRMEKVPYSSAIGSLLYAVMCTRPDICFAVGLLSRFQSNPGGGYLRLIDYTDASHGDDLDERKSTQGYTFSLSGGVISWSSKKQTCVSLSTMEAEFIASTAAVQEAIWLRRTKHIDVKYNFIRDVVAQKQVNMQYIDTTRMVVDPLTKPVSHEKFFTFLKRIGMLKL >OMO60027 pep supercontig:CCACVL1_1.0:contig13811:12164:19617:-1 gene:CCACVL1_24452 transcript:OMO60027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MESIQSRVETWIKDQRAKILKVSWGPLQWRMKWQWPPWNSGGREHRQKLQQEFERHKRQLQELCRAVKADSLSDLQDILCCMVLSECVYKRPATEMIRAVNKFKADFGGQIVSLERVQPSSDHVPHRYLLAEAGDTLFASFIGTKQYKDVMADANILQGAIFHEDVMEDMGQTEVNEAKIGERKKGNGENQFNSLESKPQQINDRPKPAAHRGFLARAKGIPALELYRLAQKRKRKLVLCGHSLGGAVAALATLAILRVIAVSSSSKETEKVQVKCITFSQPPVGNAALRDYVNQKGWQHYFKSYCIPEDLVPRILSPAYFHHYSAQSLMSSEMASSSTLKNEQGSQKRKAEKLKENEGEQLVIGVGPVQGPFWRLSRLVPLEGVRRQFKRYKGKQVDTVEPSSADSTTASSIEDVAVGPQSLEIQEGADGISLKPFAQTDNGASETGSGKLTEKNNGNGGKKRWRRVPSLPSYVPFGELYLLGNSSVESLSGAEYSKLTSVRSMIVELRERLQSHSMKSYRSRFQRIYDLCMNDTASTFFGMEQLQQFPHLQQWLGLAVAGAVELGHIVESPIIRTATSIVPLGWNGIPGEKNAEPLKVDITGFRLHLCTLVHAQVNGKWCSTTVESFPSAPAYSSGNGEPPELQKIRVLVGAPLRRPPKQQIVAESFMPMFPSIDSETVNLNREHNIGSSHQEKYIRPEGLSEFFIFCTSDFTTAAKEVHVRTRRVRLLGLEGAGKTSLFKAILGQGKLTAVSNIENPQVEPDFQDGIAGGLCYSDSPGVNLQELAMEASRFKDELWRGIRDLSRKTDLIVLVHNLSHKIPRYNHQDASQQYPALSLLLDEAKALGIPWVLAITNKFSVSAHQQRGAINSVIQAYQASPSTSEVINSCPYIMPGAASASLPWGVISEDSDGRMGVQKLLSAPIDLVRRPFQRKDTVFPVEGVNSLCQLVHRVLRSHEEASLEELARDRLSLELAQENAMTADKKDSQAKASSLTSAAVGASFGAGVGIILAVVMGAASALRKP >OMO60026 pep supercontig:CCACVL1_1.0:contig13811:11135:11422:1 gene:CCACVL1_24451 transcript:OMO60026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MLVGNKCDLENIRDVSVEEGKSLAEEEGLFFMETSALDSTNVQTAFEIVIREIYNNVSRKALNSDAYKAELSGNRVTLVKDGANSSKQGFNCCAR >OMO62436 pep supercontig:CCACVL1_1.0:contig13266:5915:7284:-1 gene:CCACVL1_22838 transcript:OMO62436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTTKWPSLGELHVEVCDEVEIIFAANISEYGEELKDRRNIGQGEERQLHSQPLFWTTKDNTFPRLKELELRLNRNLREI >OMO96509 pep supercontig:CCACVL1_1.0:contig07460:10222:10518:-1 gene:CCACVL1_04924 transcript:OMO96509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLQRSAKATSLPINHHHGATYESGNSTNDGLLGSRLKLDFEAQGSSTINKDVVVKYGKSPMVYLQLIPSDLAN >OMO83048 pep supercontig:CCACVL1_1.0:contig09947:1264:2068:-1 gene:CCACVL1_11589 transcript:OMO83048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRIMIPTAALMATIPPTPIPPSPEEFELSGALGLEAFTVGLSDGGVATRGGGGRGGGDAGASGGGGGGEVSAGLGGDKEAQEEERFEVVEQWRKVED >OMO56757 pep supercontig:CCACVL1_1.0:contig14481:4220:7306:-1 gene:CCACVL1_26303 transcript:OMO56757 gene_biotype:protein_coding transcript_biotype:protein_coding description:polyneuridine-aldehyde esterase-like protein MESYPNKVIAGVYLTAFMPNHDSPPGTLLEELFKSTQGESMMDSQLSFDDGPQSPPTTVLFGPNHSAAKVYQLSPKEDLELAITLLRKGKWFMKDLGKESLLTKEKFGSVKRVYIVCNDDLLIKESLQKWYIENSPTEDVKVIEGADHMPMFSKPKELCQCLQEVAEKYN >OMO96340 pep supercontig:CCACVL1_1.0:contig07489:4835:5026:-1 gene:CCACVL1_04996 transcript:OMO96340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELAACKLKGKSGVFACLSVKLSSFALVSPKKQFLKELETRSSFDLLALPFPQPFVTPSVEP >OMO82938 pep supercontig:CCACVL1_1.0:contig09959:4571:5035:-1 gene:CCACVL1_11660 transcript:OMO82938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLRCCLACVLPCGALDLIRIVHLNGYVEEITRPVTAGEILKANPNHVLSKPCSQGVARKILILSPESELKRGSIYFLIPAASLPEKKRGGSHHKIKSSKKISNKKSINISDVPADYLANVTVSDKKSSRKVRRNSHGAGEWRPHLESISED >OMP05175 pep supercontig:CCACVL1_1.0:contig05498:123:3405:1 gene:CCACVL1_02029 transcript:OMP05175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSSGTTLMDLITADPAPVPAASSSSSNAQSNAAATPPPTSSTQPQHLSTKTTLGEKRSKRAALMQIQNDTLSAAKAALHPVRANIMPHQKQKQKKPVSYAQLARSIHELAATSDQKSSQKQLVHHVFPKLAVYNSVDPSLAPSLLMLDQQCEDKTVLRYVYYYLARILSDTGSQGLNPGGGIPTPNWDALADIDAVGGVTRADVVPRIVNQLTTEATNADVEFHARRLQALKALTYAPSSNTEILSRLYEIVFGILDKVGDVPHKRKKGIFGAKGGDKESIIRSNLQYAALSALRRLPLDPGNPAFLHRAVQ >OMO97096 pep supercontig:CCACVL1_1.0:contig07287:21959:27191:1 gene:CCACVL1_04649 transcript:OMO97096 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein MATSNHNTLTKAYGAVKDSTNMGWAMVNSNYKNIEVAILKATKRKEKLPKEKHVRTLFIAVSRTSPRSDVYYCTRHMMKRLAKTHTWTVALKTLFVFHRAIREVDSSFIHELSEMLQCRAKGCRLYLPRFGYETSPQARDYSVWIRKYALYIEERLECFHALRYDIDKDQSRNRRLNTLQLLEQLPVLQELLQCLLACKPEGAAVYNRLIDFGLSIIANEAVKVYIAITDGILNLVDKYFEMQRQHAVKALEIYRRAANQASQLLEFFEFCKNLYYRQGRKYLKIKPLPESFLAAMEEYLKEAPEVLALPYKASKDDQGAEPTEDPSAECRLIIYPKEDTDLQGEDPSVTSSDQNQSEVKQAVAKLEIGDLLSFDDPPEQEGSQLEENNPLALALVESESGTTGWEIELFGGPSSNAVAVTENKLTGELDRLTLDSLYNQAITTTVNQDAYNFGQVSTNPFEIDFNQQQFHVNGNVIPPTDLQMVAVAQQQTYAIHQLQQQQLLNPMLGNNLFGVDYSQVPPYGSGYVISPADVQMAAMAQQQPYIMNPQQQPQPHMVGCDSTIPSANPFLEQNVPSDPPNDAAPSGPLKDSAPSDPPEDIPFLA >OMO97093 pep supercontig:CCACVL1_1.0:contig07287:5802:8590:1 gene:CCACVL1_04646 transcript:OMO97093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MDTGSSLLWVQSRPCQFPQCLNLSPRYDYTKSSTYTPLPCSSEYCNFDEQPKNIMCTNFVPCFYKTTYVQSVDSRGHLATEQLMFRTSDGGMSVIENVVIGCGRPKRKLEMEGQIMTGVFGLGFKTTSLARRLAKFSYCVGNVKDPNYGYNKLILGEEARTEGESTPLYLINDFYHVVLEGISVGETRLSIDPNAFQWNGEEETGVIIDSGSPATWLIKEFYDPILQQVQSLGDTFLTRIPKEKPYLVCYKGTIEQELEGFPTITFHFAGGAELVLDTKSSFFQEQPDEFCLAFLPSYAKTGIGDQTLIGLLAQQNYNVAYDLDGKKVFFQRIESTRLVIKLVHRDSIHSPYYNKNDNVTVRVKRAMESSVLRFTYLQNKVRRTLPDNDLQAEILPSTDSSVFFMNISIGQPPIPQFTVMDTGSSLLWIQCLPCKHCSKQFGPIFDPEKSSTYFSLSCNSQYCHYAPHGRCNFLNQCVYNQTYIHGRPSVGILAEEQLIFKTSDEGSAAIPNVIFGCSHENGNFKDHQISGVIGLGSRKLSLITQFDSKFSYCVGSIFDPYYGHNKLILGNGAFIEGDYTPLEVIDGQYYVTMEGISVGEKRLDIDSNTFRRNKWKKSGVMIDSGSATTWLVRDGFEALREEVQSLLGMWLTRYWYNSWALCYRGMINEDLIGFPVVTFHFAGGADLVLDTGSLFVQLEPYAFCMNVLPSESSGDNITNLSLIGMLAQQNYNIAYDISGKRMSFQRIDCQLLEE >OMO97094 pep supercontig:CCACVL1_1.0:contig07287:9002:11663:-1 gene:CCACVL1_04647 transcript:OMO97094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQGAKKRKEENTRHMANLRRLIIACNVIHVLVRVLIFHSSFTWKHWVGLLLTSAAYFFPYQQLAQMAKPTYAHDGDLLDGGFDMSTGGICGYLHDVIYITSFVQVASIISDKFWYTYLVIPAFGAYQSFGFIKGFLSHGSEGEVEDEKTRKKREKMEKKASRAKFVKTRNR >OMO97095 pep supercontig:CCACVL1_1.0:contig07287:15488:20279:1 gene:CCACVL1_04648 transcript:OMO97095 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AFG1-like protein MKAAVRSVRSIRSAFRYQRNSYSSAFVKRRTHLIQNNSTSFYRLPDNDEIRRFLFPSIFISRAFSTDAAEKVNITVNRAGPLVEYERRIAAGELFDGDACQVGTLKELQRLYDELVESADACRLDRYAVPEKAVRNRWFWSRLMPQSSYSPVKGLYLYGGVGTGKTMLMDLFFDQLPGNWRKKRIHFHDFMLNVHSRLQRHKGVADPLEVVAGEISDEAILLCLDEFMVTDVADALILNRLFGQLFSNGAILVSTSNRAPDNLYEGGLQRDLFLPFIATLKERCVVHEIGSAVDYRKLTSAEQGFYFIGKDLSTLLRQKFQQLIGEHVASPQVVEVVMGRTLQVPLGANGCAYFSFEELCDKPLGAADYFGLFKNFHTLALEGVPKFGLHNRTAAYRFVTLVDVMYENRARLVCTAEGSPVELFENIVTVSDAQQMAPRTSSRSRRNDDSNLCVDNELGFAKDRTISRLTEMNSKEYLEQHAEERQLSQELANADAIQA >OMO97098 pep supercontig:CCACVL1_1.0:contig07287:46860:49377:1 gene:CCACVL1_04651 transcript:OMO97098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDEIRRRLGNDSRLPKRQKQRQSASPTTRMMLGTFSSRTLAFQELSRSRGNTLFGRVRVPHNGAKTIDKIKGFIREQIEETTKVNGAAAPLAQTRTPPIKVMVVRKELEEEGEGMNEMEK >OMO97097 pep supercontig:CCACVL1_1.0:contig07287:27796:29810:-1 gene:CCACVL1_04650 transcript:OMO97097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MVLIEPHIEEQKPEEATNKATPTSSSSATPPCDSVAGSSYAKEGDAASDGFETASERDVSDNEEDRAQDQQPTEQKVPDSYQDALNEEQLIEKALAQANDAKLEGNNLYKNGQYEEALLQYEIALQVSAEVPNSVEIRSICHSNRAICFLKLGKYEETIKECTKALELDPSYEKALLRRAEAHEKLENFEAAIADMKKILELNPSNDQARRAIRSLEPKAEEKREKMKEEMIGKLKDLGNSFLGKFGMSVDNFKAVKDPNTGSYSISFQQ >OMO67801 pep supercontig:CCACVL1_1.0:contig12386:17539:19863:-1 gene:CCACVL1_20308 transcript:OMO67801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MGSQFNGFPDSVNGFKFDDGFILPNSNGFPRLEISNGVRPSDQYLDFSSIGAPFLPSLGLDNSSTSTSFLSMDGEGEGDSFSPSDDSDFSDTVLKYISQVLLEEDMEERPCMFHDSLALQAAEKSLYEVLGESYPPPNQAPLSSDQSVESPDSSSFGTFSDHSTTYSCSSSCTSNSIDSLWNADTGENNTKPSLLRQSIPENFVFQSIGNSGLQSSTSFQNGSVANNRNGLVGSSVTVSELAIPNYFSETELALHFKRGVEEASKFLPKGNQLTVNFESNGFTSELKQDAPKTEVKVERDEDCSPPRLTGKKNHVREDGDLEEERNNKQSAVFGDESELSDMFDKVLICAGRRGQPPASNAEKTLQNGPSKILQQNEQKNGSSSAKARGKKQGKKKEVVDLRTLLILCAQAISSDDRGTAKELLKQIRQHSLPFGDGSQRLAHKFANALEARLAGTGTQIYTSLAAKRPSAADMLKAYQVYISACPFMKVAIIFANNNILKVAEKATTVHIIDFGIFYGFQWPALIHRLATRPGGPPKLRITGIEFPQRGFRPSEKVLETGYRLARYCERYNVPFEFNAIAQKWETIRFEDLKINPNEVVAVNSLFRLKNLLDETVVLNSPRDTVLNLIRKINPDIFVQSVVNGSYNAPFFVTRFREALFHFSALFDMCETVVPREDYMRGMLEKQFYGREIMNIVACEGTERVERPESYKQWQVRNMRAGFTQLPLDSELMKRVRSKVKERYHSDFMVDVDGRWMLQGWKGRIIYASSAWIPA >OMO67800 pep supercontig:CCACVL1_1.0:contig12386:11138:14755:-1 gene:CCACVL1_20307 transcript:OMO67800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MVKPSPLFLRFWVLGIVMDQKFTEFSDYINGFKVEDDTLVLNSGQYPNFTNGFGFNVPSPDLGFMNMNVPVEPPDSGPPGVSLPPVTYQSQSTSQQPSSHFSVGSTNSLTNLGNGLMESSISQLLAQNIFSDKDSVLQFQKGFEEASKFLPSGNKLVIDIESSTFPMGQKAKGPDVVVKVEKDEREKSPDGSRGRKNHERDDEGEVEESRSNKQSAVYIEESDLSEMFDRVLLCNEGNGMCGTNGPTQQEEIKTLQQKEQSNGSSGGKSRSKKQGKKKETVDLRTLLILCAQAVSADDRRTAGELLKQIKEHSSPVGDGTQRLAHFFANGLEARLDGSGTVIQNFYASLASKRTTAADMLKAYQGYLCACPFKKIPIFFANKMIWKMAENASVLHIVDFGILYGFQWPILIQHLSKRPGGPPKLRITGIEIPQRGFRPTERIDETGRRLAKYCQRFNVPFEYNAIASQNWETIQIEDIKINSNEMLAVNCLFRFKNLLDETAEVNCPRDAVLKLIRKMNPDIFVHSIINGSFNSPFFVTRFREALFHHSALFDMFETTLTREDLTRLMFESEFYGREAMNVIACEGSARVERPETYKQWQTRTIRAGFKPLPLNQELMKTLKNKLKDWYHKDFVIDEDNHWMLQGWKAQYMSEGADTLLLFDYSDYDGHARLLPEEESYGVRRF >OMO67799 pep supercontig:CCACVL1_1.0:contig12386:5745:7670:-1 gene:CCACVL1_20306 transcript:OMO67799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MNPYSYPTVGDPAVESDLSNAVYITPFFTEDAVQKTFMFDDSTFQTAHIDILADKYPLSTVPDPLPVCSNLHWSSCMNTAVDNSVQSSFICDLAKGCGELSHMLSPQVIIQRAFQDYPIDVPVIFPFEQPDMFMNDVYAALLTSDISWRNEADSRIMNDSKEPKENEAGTRELRRRSVKRKLVDLRTLLIQCAEAVGSNDFKNAKDFLTQIRLHASPFGNASERKAHYFGRALEARLAGTGSEEYAALVSNRIPAATIEACKLLISACPFMKVSNFFTTEMIMKTAKKATKIHIIHFGVPYGLQWSSLIQRLSEMPGSNPPLLRVTGIDLPDQPGLMESEARISVEETGHPLANCCKQFNIPFEYNAIKQKYWENIQMEDLKIDRNEVIVVNCLYRLRHIVDEMEDLSSPRDTVLNLIRKINPNIFIHGIVNGTYNAPFFVSRFREALYYYSSMFDMLEEIAPSEGKERMVMEENIHAKEILNVVACEGSERVERPETYKQWQVRNLRAGLRQLPLKQEMLMSAKAHVKLHYHRDFLVDGDKNWMLQGWKGKTLFALSFWKASKLGAIIKQVEL >OMO96560 pep supercontig:CCACVL1_1.0:contig07437:6533:6619:-1 gene:CCACVL1_04893 transcript:OMO96560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLDQAQKPLMWQKELQDHQPEQPPQQ >OMO96559 pep supercontig:CCACVL1_1.0:contig07437:865:1041:-1 gene:CCACVL1_04892 transcript:OMO96559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGSRMEQLETLQFNSKISTKQSMIDGTRIKTHSTTKLHMSVSTPERHARNSHPKS >OMO96561 pep supercontig:CCACVL1_1.0:contig07437:19878:20015:-1 gene:CCACVL1_04894 transcript:OMO96561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALDQGQKPLMWQKELQDHQPEMPPQQYKTIYKATRKGKGFYLN >OMO97835 pep supercontig:CCACVL1_1.0:contig07196:5977:14466:1 gene:CCACVL1_04437 transcript:OMO97835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, ribonuclease inhibitor subtype MVKVPSLVSLSIDVVKRELLHGDDFIPHIYELPPELFNSLVKCLPPSTLQKLQTEMPFKTYDDYESTSDYIKSSRKRGRSGNFNKAWKELFTLRWPDLVDRMEPDDWQQIYWETHVQNCLDEAAELALLPSFGGCLGEIQISEKILRYIGYVDHMGNAASDYLKLSYHCQHFGYYARCLRLQNVLCVVESCGNGFRIDIGFMSEELDNRSVMSVFMHRCASLKEKTTFEELQVTKLGCTVDPICGTCINISTFEFHRIEVMDHLFADGLCKLLSQNCKTLTSLKFIHCKLSSTFVDAICESLSLRGAETHQIQHFSISSSSFLEPDPVNSAHRLASFLSSGRSLRSLKLCNNHLDWNFARRVLSTLLDASSSISILDLSENNITGWLLNFNWRSSALLSSLGVAQSLQSLRVLNLRGNNLQKDDASNLKYALVQMPNLETLDLSDNPIEDDGIRCLIPYIAEASKTFSPLAHLNLENCELSHDGVTQLLNALSTLRKPLNSLSIADNGLGSQVAEALRNFWSTSIQVFNLEGIGLGPSGFRKLQDVIMEDLMLVEINISKNRGGIETSKFLSKLIQQAPKLIAVNAAYNLMPVESLTFICSALKTARGHLKQVDLTGNIWDYQPSHDAMLSEFQYNGKPILILPSSVASNVHYDDDP >OMO97834 pep supercontig:CCACVL1_1.0:contig07196:601:5271:-1 gene:CCACVL1_04436 transcript:OMO97834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MRPNCCHVSFAFVLKVLNFLQAFVGVSIILYSIWMLDQWNHHVPISPPPLAPSPESSLSVFFNSRPEIGAQAARVFDDFGVGLVSGLDLSSVKLPTPWFIYSFMGVGIVLCCISFIGCIAAESINGCCLCFYTLLKIVLILVEAALVAFIAIDRRWEKDLPFDATGELDSLRSFIEDNIDICKWVGISVVIVQALALLVAIILRAMVSSGRRHVDNEDDYENLRGPLLNSQSGNSSTSAKGDGRGTHSDIWGSRIREKYGLNSGDRYNSADKYNLVNQNASA >OMO97836 pep supercontig:CCACVL1_1.0:contig07196:17840:18409:-1 gene:CCACVL1_04438 transcript:OMO97836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVVFPNRSFPMDISSFTQIDTFHWVLDMNTFVGEAYDQIREMCIFLLNNFTLPPDKALAVYVQSPGSPFVFCGAVTLQRPSAVLSLIWPEPGGQMLLTAPDASAPLSAKIGVSVEDLAALPSLDVAAEKKIERLALKVGENLFNFMQSFCGVDGSKLVVPMDILDRWFKKFQEKAKRDPEYLKGFAL >OMO97837 pep supercontig:CCACVL1_1.0:contig07196:22045:25011:-1 gene:CCACVL1_04439 transcript:OMO97837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGREESVEDEDEDDSRDDESQLIDEEDSVGPVTDKALIVTSSEEQRCVFGGDVEKQPDLFAGPC >OMO95025 pep supercontig:CCACVL1_1.0:contig07750:16445:16864:-1 gene:CCACVL1_05634 transcript:OMO95025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSHGRVVKKYSRNLKAESLHARIGRSPGLERSHQSVSFGGFVSPNHGARVACSGIQGSGSQSVPSKSVTGGGAAFRNHVNNMVLRGKLMAGALTYENKSCEIMSRVDGKVVEKNSTISGGGSSDGRIRGARFESNHG >OMO95026 pep supercontig:CCACVL1_1.0:contig07750:18684:18842:-1 gene:CCACVL1_05635 transcript:OMO95026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVKMTMRVPSRGAAVPKPISSRLFSKSPMEATIESIPAMLSSPIPPIHYH >OMO93730 pep supercontig:CCACVL1_1.0:contig08076:10689:18349:-1 gene:CCACVL1_06370 transcript:OMO93730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTDHDRSILNLPKYKYNPSMSEDGGDDVDVGECAQPSLFQLPKLIAFQSVPLALPALCVALKIAIFLMNAFAHLFQAPALQLLIVALGPRAPW >OMO68582 pep supercontig:CCACVL1_1.0:contig12207:11252:18501:1 gene:CCACVL1_19898 transcript:OMO68582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIQSTYLIGGAAAVAVAVALYLGIANNGSSRDPEGLGIGLHLWRKIKKKKKKPVRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDAEIIANKGPPVTPLHERMIMVKAVKWVDEVICDAPYAITEDFMKKLFDEYNIDYIIHGDDPCVLPDGSDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCVRERSVSDTNKNSSLQRQFSHGHGQKFEDGGSGSGTRVSHFLPTSRRIVQFSNGKGPGPDAHIVYIDGAFDLFHAGHVEILRVARELGDFLLVGIHNDQTVSSLYGATPNSLSRQPPLRFLQCAKRGVHRPIMNLHERSLSVLACRYVDEVIIGAPREVSKDMITTFNISLVVHGTVAESNDFQEEKDNPYAVPISMGIFRVLDSPLDITTTTIIRRIVANHEAYQKRNEKKAASEKRYYEGKTYVSGD >OMP02953 pep supercontig:CCACVL1_1.0:contig06161:10390:13251:1 gene:CCACVL1_02656 transcript:OMP02953 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MHTHNPMVVFILETKISGQTAANVATSLGFDNVHIVDSKGLAGGMWYLSNSNEVKVDIMPHGDQAIHAMIEERNNPTFNFLWFITGIYGSPILQKRKLLWNELRHVSESVNGPWTTMGDFNDILSSDEKFGGRPPNSYRTKLYKDCMDDCGMIDLGFSGPKFTWVSYHYDGVLIRERLDRIWVNASWCSRFPSASVQHLVRVHSDHNPLLLHLIVEPINLSNCPFRFEWCWFNHLDFLQFVHNTWNDSNLGLSDRIKVFVVAVKHWNSLVFGNVFIKKRKLVVRLNGIQKALYSHHSDSLIKLEKHLFTTSLYSSDLGNSLTANRRITISDELHSDLRKISSRGEVHKALFSMKPRKSPGPDGLHLGFFQKCGKSVAPSFWNDIFKAFSDKAIPTDWSESLIALIPKTLNPETITQFRPIELCNTSYKLVTKILVNRIRSLLQDLISLNQASFIPRRKGTDNVILVQEMVPSFSKQSGKIAGYYMQVVALPVSTLNEIDRASRNFLWGSSIEHRKLHLFNWDIDAPKAYHGRNDTPRTYHGLEDKHGEHGKDVQGLQGNMKMHGDHRDIDNHVPSTKKMPFDPLKMPIGPMTRTRAKRFKDALMGLVRTHLEDLKTIEVHLKSFDDDLSKKTPTNYKFITLLAIDSR >OMP02952 pep supercontig:CCACVL1_1.0:contig06161:9771:10136:-1 gene:CCACVL1_02655 transcript:OMP02952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDAPRAYHGHEEHNGDHGGDDQGVQGSMDKLKREDGIAIHREDTNGFAPKMPLGHGDSIKMPFDPLKMPLGPLTRARAKRFKDALMGLVRTHFEGLKSIEDQLGSIEVDIIKKIPNDSKL >OMO80899 pep supercontig:CCACVL1_1.0:contig10261:11187:17577:-1 gene:CCACVL1_12707 transcript:OMO80899 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MRSRISLPHDILEEILWRLAVKDVLRFRCLSKGCRDLIDGPEFVKLHLSHSLKTNSHRFLIIRDYAKSKPYTLDLDSLENAQIKNTDILPDLQVDSPIIGSCNGLIALRSKKNADAIVICNPTTRKTREIPKHPTLPASNVNYGFGYDPVSDDYKLVMLIQPYNNKQNSSTIRFTASDFLVSVQKNLDGELPSLKRISIVEDFSDVYPEDLPGLPPDREVEFYIDLIPGTTPISKTPYRMAPTELKELKEQLQELLDKGFIRPSVSPWGAPVLFVRKKDGSMRLCIDYRELNKVTVRNRYPLPRIDDLFDQLKGAQVFSKIDLRSGYHQLKIKAEDVPKSAFRTRFGHYEFLVMPFGLTNAPAAFMDLMNRVFKDYLDKFVVVFIDDILVSSKSMEEHGEHLWLVLQILREKKLYAKFKKCEFWLDSVAFLGHVVSKDGISVDTEKVKAIVEWSRPTNAIEVRSFLGLAGYYRRFVEGFSSIAMPMTKLTRKGAKFEWTKECEKSFKELKERLTSANVLNVPDGSGGFTIYSDASKKGLGCVLMQNGKVVAYASRQLKPYERNYPTHDLELAAVVFALKILRHYLYGEKCEIFTDHKSLKYIFTQKEINMRQRRWLELLKDYDLTISYHPGKANVVADALSRKNHGNLAALLTSQRSILDDLIRMEIGVRKHGIEGMLASLRIQPTLIERIKEAQLVDSALQKVRANIETGAPSDFRIHDDGSLRFGDRLCVPNDVEIKKVILDEAHYSGYTVHPGGTKMYRDLKETYWWNNMKREIGEFVAQCIVCQQVKWSIKGQLDSYNRFQYQNGSGSTSQWTLFLGYLDHLEAMSQYGQSERTIQIVEDMLRACAIDMKGAWDDHLPLVEFAYNNSYQANIQMAPYEALYGRKCRSPVCWDEVGERKLLGPEIVQQTVDKIQLIRERLRTAQSRQKSYADIRRRTLEFDVGDHVFLKVSPTKGVMRFGVRGKLSPRFIGPFEILEKVGEVAYRLALPPSLSGVHNVFHVSMLRKFTPDPNHVIELAPLPLRADLTYDEQPIKIVDRKEQVLRRRTIPYVKVQWHNHSEREATWELESKIKEKYPELFETNGT >OMP06738 pep supercontig:CCACVL1_1.0:contig04820:271:543:-1 gene:CCACVL1_01451 transcript:OMP06738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIHHSLSGIGVAYTVFTGEAQFYAYMVLISELTTPEINMRWYLDTAGMKRSSAYLINGVVIFFAWV >OMP11894 pep supercontig:CCACVL1_1.0:contig00733:8237:8296:-1 gene:CCACVL1_00225 transcript:OMP11894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKDVAFEKNACSCNKSERS >OMP06228 pep supercontig:CCACVL1_1.0:contig05020:11288:15250:-1 gene:CCACVL1_01667 transcript:OMP06228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory subunit PR55 MNLTTRQPSIRTSSPPPPLEWKFSQVFGERNSGDDVQDVDIISAVEFEKSGDYLAVGDRGGRVVIFERKYGKDTPDELYSRNQLEQLDFAFSNHPEYQYKTEFQSHEPEFDYLKSVEIEEKINKVKWCTTPNGSLFILSTNDKTIKLWKVKERKIKKANDMDHHQFVSSENALLAESSFMSEQDIPSFTNGSHPELKDNTANNAFLSHGVHAKIANNEDTTYARCRRVYAHAHDFNINSISNNSDGETFISADDLRINLWNLEISNQSFNIIDMKPSNMEDLTEVITSAEFHPIHCNLLAYGSSRGFIRLVDMRQSALCDYSGRILQDAEYHGSRSFFTEIVSAISDIKFSVDGRHILSRDYMNLKLWDINMDSSPVATFKIHEQLRPKKSTLAQWQEQRTNPLGRWFDSGRSSDEFLDIES >OMP06229 pep supercontig:CCACVL1_1.0:contig05020:16291:18777:-1 gene:CCACVL1_01668 transcript:OMP06229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILSVTVPLEGFDIHAAVNPEFIVFGLGQCYQDIRGTGCKYCLGIGKERLETCLPANSGRIYLDGCFLRYDNYKFYNESIDPKYDVATCGSPSDALSNDTYMVQEFDSKLDQAITNLIDITTERKGNGTVEIKGGLITIHAGAQCWKAISDESCKECLTKAGEKIKKCAPAAEGRAAFTGCALRYSTNRFYPREPHRNDQVLDRRQIIGIVAARYVIAILGAVVVIVATTVAFLSYKIITSISKKKDVTYGTWTRDKVSNMVHEQRPKSMSHVWKHYKDDKITEAIDVSLNGQFNKKEASNALLVGLLCTQASVALRPSMEEVVKMIADANYEIPSPKQPPFLNASVLSPEETVSISLMGSLDLEIGQESSSDKPDNKASPDESSPV >OMP06227 pep supercontig:CCACVL1_1.0:contig05020:50:2561:-1 gene:CCACVL1_01666 transcript:OMP06227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIEIVDLSSDDELGEVVVKPVKLEPGSLVQPVELRRSTNQHRKQTSEENRSSNNALSAGQSSASVLEQGQSPGEDTGVSSLSAVSPAPICRQFWKAGNYEGGLGPKVTIQNSKNYLHVHPLFLHSNATSHKWAFGAVAELLDNAIDEIQNGATFVIVDKTPNPRDGSPALLIQDDGGGMDPDAMRRCMSFGFSDKKSKSAIGQ >OMO74532 pep supercontig:CCACVL1_1.0:contig11116:17742:18365:1 gene:CCACVL1_16636 transcript:OMO74532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTTKTSFWLERTLLIGLLYLAVEGDKNHFFPMLAALILHDFILFIVKDVVYSSFKAQSSDSEKRWKLKSINPMDINSIIKSGHEKVNYNYLKEDYIDEYEGDPELGRKENHEEKCKMVVKQEAMKAKGKYKEKYKKKLMEKEEKFKKDKSCLKDQHDEDRDKWEQERKLLKKKYEEMRWT >OMO74528 pep supercontig:CCACVL1_1.0:contig11116:491:3673:1 gene:CCACVL1_16632 transcript:OMO74528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase-like protein MDENGRVHPECANAANPYHECGVYCLEKIAEGKGRKEKDKKKLGNYNGLKEVVPNKRNEDGGRVQPNCPKASNAYHECNESCIPRTSKANTQGVRKESDNRNGIKQGELSIKNDDMGRVHSSCSKAANPYHECDENCFKRTTDAKIQMVKKESDIRNGIRQGELSKKKDVERTSHPSCPKASNPYHECDENCFKRYTDADTQGFRKESGSKILDASRSFGKKKKGSESQPKSSRALEITPSAGGVYPGDPKSPRSHFSRKKMEVENGESFSSSEQHSEEIYSRDQSFDKSQTQYPQSLPMSGKITSPGDTPTKFREAEKVQNYSKVSSGANTEDGRDDVNSSNFSFSGIVRALEQSDDDEEEVESVISDSCVSVGKYHVKASISSILQSIFDKYGDIAANCQLESASMRAYYLECLCAVVQELHSTPFKQLTRAKVKEMFAVLKDVESAHIDVSWLRALLNEISEAVELVSQQQTFEAKKAKHDRSLESARKELESQMEYLAQKEEEVAEARKRVAETKARVDEIEHECSQLDKTISSILSITEKFQGKSLADELL >OMO74531 pep supercontig:CCACVL1_1.0:contig11116:9859:11002:-1 gene:CCACVL1_16635 transcript:OMO74531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MSKEVSEEGQSRKDYVDPPPAPLFDAAEVKLWSFYRALIAEFIATLLFLYVTVATVIGHKKQHDACDGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVAYMVAQCLGAICGVGLVKAFMKHEYNSLGGGANTVASGFNKGSALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNEKAWDDHWIFWVGPFVGALAAAAYHQYILRAAAIKALGSFRSNPTN >OMO74530 pep supercontig:CCACVL1_1.0:contig11116:9027:9443:-1 gene:CCACVL1_16634 transcript:OMO74530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAKGSIPSNETKPKPKAAMGSAQLTVDTCHGIMGSKLECHG >OMO74529 pep supercontig:CCACVL1_1.0:contig11116:4997:6531:1 gene:CCACVL1_16633 transcript:OMO74529 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA splicing factor SYF2 MEERSVHPDCINASNPYHECVEYCFRKIAEAKARKDKEEAETSQPEQVQHVTRAAYQEQDVQAGAPEPEEDSDDDKDHPVEENIEGDVTNLTGRQKRLFELRLKMNEARKANQTAMVAEKKRMEAPPESRGISKQKWLEERKKKIGKLLDANGLDLQKAYMLDTQEAAEAKYKKWEKDPAPFGWDVFNQKTLYNAYKKRTKNIDVDLEEYNKMKEADPEFYREASSLQYGKAPKISEDKIDKMVKELKDRDEKRKSFSRRRKFHEEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >OMO68958 pep supercontig:CCACVL1_1.0:contig12149:2016:5433:-1 gene:CCACVL1_19742 transcript:OMO68958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNQIPDLNLIPDDGCINEHSNQFSNLNIDSRDCMIPNSSSMHVPLGSNTEAQNNRHIKRRKVLTNEERIAVYRVLLQNVVHGRLKRGVISIKTRNCGRKRVQLDTTLVQQIPLAKKTTLRSMATALKTSKSALHRLFKIEVLRRHSNTIRPLLKEENKIARLKFCFKMLESASLTHDPTFKGMYNVVHIDEKWFYMTKKIEKYYLLPDEKEPYRTCKSKNFIPKVMFLVAMARPRFDEQRNEIFSGKIGVFPFITREPAKRSSANRPAGTLETKPITSVRKKEMRSFLIHKVLPAIKEKWPRGALDGLIFIQQDNARTHVDIDDPEFCQAATEDGFDIRLTYQPPNSPDLNVLDLGFFAAIQSLQQKERARNVDDLVSIVVKSFEDFPSDISDRIFLTLQTCMIEIMKKKGSNKYKIPHIMKQMLLREGRLPTTMTCDLALVEEVKEYLNSLTSPGVNSTDANSVRVNSADVNSAGSNSVDVNSAGSNLAGSNLAEAGGVRLREDGHNAKIKILNLCIGSQTKLFDEIQTQNNIRNESSSESGVELLVGLKISLKVCVSKYTSGVEFSVQFHSSNCLI >OMP06340 pep supercontig:CCACVL1_1.0:contig04964:3772:7387:-1 gene:CCACVL1_01621 transcript:OMP06340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MVFWEGYVSDEAMGTFAPIVVYWLYAGFYQLLPPMDKYRLHTRKEEEEKNSVPLASVVKGVLLQQVVQATVAQLLFLLTSTADASGTTTQPSILVQLVQIIVAMFVMDTWQYFVHRYMHQNKFLYRHVHSQHHRLVVPYAIGALYNHPLEGLLLDTFGGALSFLVSGMTARTAVIFFCFAVIKTVDDHCGLWLPGNIFHIFFQNNSAYHDIHHQLQGTKYNYSQPFFPIWDKLLGTHMPYVLLKRPEGGFEARLKKD >OMP06341 pep supercontig:CCACVL1_1.0:contig04964:10150:14529:1 gene:CCACVL1_01622 transcript:OMP06341 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MTVTATVVAARADSCLGDDQVWPPGFRFHPTDEELVLYYLKRKICNKKLKLDIIREIDVYKWDPEELPEQSVLRTGDRQWFFFSPRDRKYPNGGRSNRGTRHGYWKATGKDRTVTCNSRTVGVKKTLVFYRGRAPNGERTDWVMHEYTLDEEELNRCQNIKDYYALYKLYKKSGPGPKNGEQYGAPFKEEEWADEDYVSNTVTVTPPAKLHNEAVPDKNVKANGPVESPLNDIEEFMRQLGDEPAIPEPQPQLGYLLPQVVGEEETQSTLVDPSPRNVLCPEPVRVFKDQASFELSQSPTSKLLSPEAPDVTSVADHFEQLPHVSEEDFLEIDDLIGPEPLTYGIEKPAENLPFNELDGLSEYDLFHDASMFLQDMGPIDQESVLFSHADNMMDQVNYQWEQQSNRSLLDQQLHFQSNVNVMGQQLQPQSNINYMDQQLQPQSNAFGVYQQLQPQLNSFGGNQQLQFQYNTFEDAMLNQVNQELQFQPDENELNQQLQMDQTSSPLWTHDQSSDIFTPGSNLGNASPTSGVVFNGNNQGQGDKKDDGASRLGSAMWSFVESIPTTPASASESPLVNRAFERMSSLSRLRLNARYADASAVTSAATPRRTAERLGLPYLSAYLDSTGTNFRHGANFATGGSCIQPDCGKKVNGTVYGSSCDDPSRHIIAFLNMPLSIQEGCDQS >OMO64198 pep supercontig:CCACVL1_1.0:contig12848:358:1947:1 gene:CCACVL1_21944 transcript:OMO64198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELIISSSSSSSLVSFTQETTPPPSTLQQRLQFVIQSQQEWWAYAIFWQTSNDEHGRLFLSWGDGHFQGVKDTSPRLSANNIPNNDNMNIPGLNNERRKVMKGIQALIGDNHDIDMSTMIDNTDISDAEWFYVMSLTRSFTAGEGIPGKALSTGSLVWLTGAHELQFYNCERAREAQMHGIETMVCIPTSCGVLEIGSSEMIRENWGLVQQVKSLFGGAQSSYVDSEHSDSDCPLLAMNNMEKRTPKKRGRKPGLGRETPLNHVEAERQRREKLNHRFYALRAVVPNVSRMDKASLLSDAVSYINELKAKIEDLESQLQRECKKVKVEMVDATDNQSTTTSVDQTTTTTRPSSNSSSPTAAGGASGGLELDIKIMGNDAVIRAQSENVNFPGARLMIALRDLEFQIHHASMSCVNEIMLQDIVVSVPEGLRTEEGLKSAVLRRLEQ >OMO64204 pep supercontig:CCACVL1_1.0:contig12848:39071:40666:1 gene:CCACVL1_21950 transcript:OMO64204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-ketoacyl-CoA synthase MDRDSIEMDKERLTAEMAFKDSSSAVIKIRQRLPDFLQSVKLKYVKLGYGYSCNPATILMFALILPLFVATLVQFTGLKLDRISELWTNQALRLESIDAATRLAGSLVLFFLFGLYYSKRSRPVYLVDFACYKPEEDRKLSVDSFLNMTEDSGAFTEETLQFQRRISTRSGLGDETYLPRGITSRPPNLTMDEARFEAESVMFGALDSLFEKTGVNPKDIGILIVNCSLFCPTPSLSAMIVNHYKLRTDIKSYNLGGMGCSAGLISVELAKNLLQANPNTYAVVVSTENTTLNWYFGNDKSMLLCNCIFRMGGAAVLLSNKARDKARSKYELVHLVRTHKGADDKHFNCVYQREDDKGNVGVSLARELMAVAGDALKTNITTLGPLVLPFTEQFMFFVTLVRKKIFKAKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLTDWHMEPSRMTLHRFGNTSSSSLWYELAYTEAKGRVSGGDRVWQIAFGSGFKCNSAVWRALRSTPMSESRGNPWKDEIDKYPVKISLA >OMO64199 pep supercontig:CCACVL1_1.0:contig12848:9164:10078:-1 gene:CCACVL1_21945 transcript:OMO64199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRNKLSIAKLFTQTTAIVILLLLSFALGYYSRPSPPHLLQISQETTTPAAAASVSRIANLPTQLENFRVTTHCSTSPVPPHLVFPTILDRVFNGSSPFTKFPPRHLRSSLKQKRVKGWGSNGAVFENLIKRVKPRVIVEVGTFLGASALHMVNVTRKLGLQTQILCLDDFRGWPGFRDRFKDINMINGDVQLLAQFMQNVVYFNASGSVLPVPFSTGSGLEKLCEWGITADLIEIDAGHDFISAWGDINRAYRILKPGGVIFGHDYFTAADNRGVRRAVNLFAELNNLKIHTDGQHWVLATS >OMO64208 pep supercontig:CCACVL1_1.0:contig12848:58325:64807:-1 gene:CCACVL1_21954 transcript:OMO64208 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGKKGGTSWLTAVKRAFRSPTKDTSHDKRSSNRRREDQPDQEEDDEKREKRRWIFRKPTNQETVAQQTPVKATTTTSQATGNNAGAEAEQRHAIAMAVAKAAAAEAAVATAQAAVQVARLSRPSNYAREQHRAAIIIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQARVLDQRIRLSHDGSRKSAFSDTASVWESRYLGDVSDRKSMSREGSSIADDWDERPHTIEEVKAMLQHRKDVALKREKNLSQAISQQMSRARRSPSMGDEDELTEGRPKWLDRWMPGKPWDNRGRASTDQRDHIKTVEMDTSQPYSYLAAPNYRRTNSNQFHHPQPQHQRPSSPLHRAHQHNAAPITPSPSKTRPIQVRSASPRCVREDRSSISSQTPSLRSNYHYTGRVTTNYTSGNAANNNAATLPNYMAATESAKARIRSQSAPRQRPLTPERDRIGSARKRLSFPVPEPYGVGMGYGGGYPVIGFHNRLQASGSCLDSLQDSLISAYCAWDPRVKGEFFHQTVYSVSVYPSSKAFIQDVQKLVALEPKALCGLELYNGILMRYVKASSAYLGKQEDAIDFDMSYYRSKDPMAPRLYQDFLEEIEQIALFKYGALPHLGKNTNLAFDGVIGKYKNAGEFLKVKNQFAILKEGCALEGLCICSQDIHCAPTVQPMATFVDRERLFKVHEFVLM >OMO64209 pep supercontig:CCACVL1_1.0:contig12848:71711:72469:-1 gene:CCACVL1_21955 transcript:OMO64209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGTQEEGWVVCRIFKKKNHHKTLDSPISSSLSAETRNHMLMSNSCNEGALEHILEYMGRNNCKEDSEPNNINSTRFLRPIETAINNNGYPADSFMKLPSLDSPNSTSSQNCYQPMMTDNEGNCSITNQVSGDPNNSVYNHHHHHNDSSPGLTNWAALDRLVASQLNGQTESSRQLACFSDHSIAYCNPTDQPDLQLPAIRSSSISSNRSYHGTQDHYNSEIDLWSFTRSSSSSLSSSVVDPLCHVVNASV >OMO64206 pep supercontig:CCACVL1_1.0:contig12848:44293:47293:-1 gene:CCACVL1_21952 transcript:OMO64206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNAEVDERVDLDDNYMEEEDDDVEEHLEDGVDDGGEGNGEENDEEEYEDSKSAVSGKEQSSEAERSPAETEHVEDEEKPMASVSEEEKEKHAQLLALPPHGSEVFIGGLPKDVAEDDLRELCEPIGEIFEIRLMKDRESGESKGYAFVSFKSKEVAQQAIEELHSKEFRGKTIRCSLSESKNRLFIGNVPKTWTEDEFKKVIDGVGPGVENVELIKDPQNASRNRGFAFVLYYNNACAEYSRQKMTNANFKLDGNTPTVTWADPKSAPEHSAASQVKALYVKNIPDNTSTEKLKEVFQRHGEVTKVVMPPGKAGKRDFGFIHYAERSSALKAVKDTEKYEIDGKVLEVVLAKPQTDKKIDAAYPYTAGLNPTQVAHPGYGGFTGAPFGSVSAGFGVATSFQQPVIYGRGPMPAGMQMVPMVLPDGRIGYVLQQPGMQMPPPPRPRRVDRSNGRGGPGGRGGSSGGDDGNHSRRCERQLNYCLACLVVLHGDPRAKSQNCPNRHEKHSNRRNYSGAADQ >OMO64202 pep supercontig:CCACVL1_1.0:contig12848:27308:30323:1 gene:CCACVL1_21948 transcript:OMO64202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKRTATKTTQGPTRTRVGKYELGRTLGEGSFAKVKFAKNLETGECVAIKILDRDHVLRHHMVEQIKREISTMKLIKHPNVIKIFEVMASKTKIYIVLEFVDGGELFDKIPENLLLDCYGVLKISDFGLSAFSQQVREDGLLHTACGTPNYVAPEVLKDKGYDGTSADIWSCGVILFVLMAGYLPFDEPNLIALYKKIWRAEFTCPSWFSPGARKLIHRILDPNPLTRITIAEILRDEWFKKGYKPPQFEQEEDVNLDDIDAVFNDSKEHLVTERKEKPVSMNAFELISRSQSFSLDNLFEKQTAVVKRETSFASQCPPNEIISKIEEAAKPLGFIVHKRNYKMKLKGDKSGRKGQLSVATEVFEVAPSLHMVELRKTGGDTLEFHKFYKNFSCGLKDIVWNSEEIA >OMO64203 pep supercontig:CCACVL1_1.0:contig12848:31148:34243:1 gene:CCACVL1_21949 transcript:OMO64203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKRTTTAQGPTRTRVGKYELGKTLGEGSFAKVKFAKNVETGECVAIKILDRDHVLRHHMVEQIKREISTMKLIKHPNVIKIFEVMASKTKIYIVLEYGDGGELFDKIPENLLLDRYGVLKISDFGLSTFSQQVREDGLLHTACGTPNYVAPEVLKDKGYDGISSDIWSCGVILFVLMAGYLPFDEPSLIALYKKIWRAEFTCPSWLSPGARKLIHRILDPNPLTRITITEILQDEWFEKEYKPPQFEQEEGVNLDDIDAVFNDSKEHLVTERKEKPVSMNAFELISRSQTFSLDNLFEKQTAPAVRRETSFASQCPPNEIISKIEEAAKPLGFNVHKHNYKMKLKGDKSGRKGQLSVATEVFEVAPSLHMVELRKAGGDTLEFHKFYKNFSCGLKDMVWNSEEIAEGQRI >OMO64200 pep supercontig:CCACVL1_1.0:contig12848:11903:14992:-1 gene:CCACVL1_21946 transcript:OMO64200 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRF1-interacting factor 3 MQQPPQMIPVMPSFPPTNITTEQIQKYLDENKKLILAILDNQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQTTPTMPPQMAPHPAMQAGGYFMQHPQAAAMAQQTGIFPQKVPLQFNNPHQMQDPQQQLHQQHQQAMQGQMGIRPGGPNNGMHPMHSEGGLGGGSSSGGPHASSGPNDVRGGNKQEGSEAGADGQGGDGADDAK >OMO64205 pep supercontig:CCACVL1_1.0:contig12848:43326:43739:1 gene:CCACVL1_21951 transcript:OMO64205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASLTPVGPYLPPPKPKPTKHEPLNRFPTNVTVTVKPRLSGEAAAASNDAKQDEEEGKEISGSAVLWALQRAAAKKKNSKRKKKGLASSSSSSDASRREKESINYSNVRPLEIKSQWSLKLDELEHRLQELENTT >OMO64207 pep supercontig:CCACVL1_1.0:contig12848:48822:52179:-1 gene:CCACVL1_21953 transcript:OMO64207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCCSPPEDPVKCSSKNSNCTITNSYGAFPDRTVCKAGDVAYPKTEQELSSIVSAATQAKRKMKVVTRFSHSIPKLVCPDGQDGLLISTKYLNHTLKVDAAAMTVTVESGVTLRQLINDAAKAGLALPYSPYWWGLTIGGLLGTGAHGSSLWGKGSSVHDYVVEMRIVTPAEPGEGFAKVRVLNERDKDLDAARVSLGVLGVISQVTFKLEPLFKRSITYVTKDDNNLGDEAVSFGKQHEFADIFWYPSQRKAVYRIDDRVSSYASGNGLYDFTPFRSTPSLEETQESLRDANGKCLSAKLIISTLQTGAYGLTNNGIIFTGFPVTGFHNRLQSSGTCLDGLEDSFITACAWDPRVKGEFFHQTTFSIGLSVVKNFIQDIQKLVALEPKALCGLELYNGILMRYVKASSAYLGKQEDAIDFDLSYYRSKDPMAPRLYQDFLEEIEQIALFKYGALPHWGKNRNLVFDGVIRKYKMAGEFLKVKNVYDPSGLFSSEWTDQILGLRNGVTILKEGCALEGLCICSQDIHCAPTKGYFCRPGKTFQSARVCTYVNSK >OMO64201 pep supercontig:CCACVL1_1.0:contig12848:18602:21562:1 gene:CCACVL1_21947 transcript:OMO64201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MEINVFRLCSGLRVLGYFMILLVAAIIGVTYYAVVVVTWGPKLLGGGFHSFLAFAIIIKFHVLLILLLWSYFRVVFKDPGSVPENWRPDPTEENLEVGTSLAAADDGFQRRPRAGYCIHCQNGKPPRCHHCSVCQRCVLKMDHHCVWVVNCVGARNYKFFLLFLLYTFLETTMDTIVLLPSFINFFSEAKSHSSTPANLAIIFLAFVLNLAFALSLLCFLVMHASLLSSNTTSVEVHEKKGTVRWKYDLGRRRNFEQVFGTKKTLWFLPLFSEEDLNNIPALRGIDFPTRSDVEA >OMO94541 pep supercontig:CCACVL1_1.0:contig07844:31451:36240:-1 gene:CCACVL1_05933 transcript:OMO94541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADGELKGTPVIVKMGENGGGNENAAAMHTAMNAVQALGRGFDVNFDTRLLYCKGVAGSRIVEIDEEYGKDIYLDDQIVLPNISRDIKSSQEPGGRQSSGVRSFQEMVEYFNEKANVSGSFPLGSFNSAFSFTGSSNIDSSTTKTLSMDGVYIPLAKFQLTKSPSVLKENVKRAVPTSWDPSSLASFIENFGTHVITSVTIGGKDVIYIKQHHTSPLSTMEIKNYVQDIGNQRFSDKESHTSSGQIKLKDKDVTVIFRRRGGDDLEQNHSQWAQTVRSSPDVIEMTFYPITALLDGVAGKEYLTRAISLYLEYKPPIEELRYFLEFQIPRIWAPIQDKIPGHQRKEPICPSLQFSIMGQKLYVSQEQVSVGRKPVTGLRLRLEGSKQNRLSIHLQHLASLPKILLPHWDTHVAIGAPKWQGPEEQDSRWFEPVKWKNFSHVSTAPIENPETFIGDLSGVYIVTGAQLGVWDFGSRNVLFMKLLYSRLPGCTIRRSLWDHTPNDKSKKNVPIVGTTNTGDSSSGSQENLVNKLAKFVDTSEMSKGPQDPPGHWLVTGGKLGVEKGKIVLRVKYSLLNY >OMO94539 pep supercontig:CCACVL1_1.0:contig07844:3920:4246:-1 gene:CCACVL1_05931 transcript:OMO94539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MATAALSCTDVKLKLFPCLSYLQSSPWSEKDGPPPSCCNGIWSLNEMADNTNDRRMACECLKGLIPKFPDIKRNLVESLPGRCKVKVPYRISPSTDCTKTRKKKRRKL >OMO94542 pep supercontig:CCACVL1_1.0:contig07844:39156:42372:-1 gene:CCACVL1_05934 transcript:OMO94542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSEEETRSVVSNGSLTAAQELTIDQNLLIDPKLLFIGSKIGEGAHGKVYEGRYGDRIVAIKVLHRGSTVEERAALENRFAREVNMMSRVKHENLVKFFGACKDPLMAIVTELLPGMSLRKYLVSLRPNVLELHVALNFALDIARAMDCLHANGIIHRDLKPDNLLLTANQKSVKLADFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPSLPQDISPDLAFIVQSCWVEDPNMRPSFSQIIRMLNAYLFTLTPPSPSIPESDTSETAETSNGTITELSVRTKGKFAFLRQLFAAKKSRNSQ >OMO94540 pep supercontig:CCACVL1_1.0:contig07844:18145:23062:-1 gene:CCACVL1_05932 transcript:OMO94540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MAAALKLVCALVLCMLVVESSALTCSDVARQMSSCIAYLQNGGMLPPNCCGGVRALNGMAKTTPDRQTACRCLQTAAKAIKGLKPNLAEGLPGKCGVSIPYKISTSTNCNNGGPIERPETVRRNLVYDEVSEENRQRQPFCTRCNINGKVCPMTIDNGYCEIIFDENVYRIRKEGHPQTNGQTEAVNKTLGNLNLIYVVYTKAPFHTLDLVKLLKGDGVSVSGMHLAQQVVEVQQTVKQKLEAANQKYKQAADKHRRHETFEVGDQVIVFLHKERFLVGTYSKLKPKMYGPYSIIQKVNDNVYIVDLPDNMGIFKTINVSDLSKFHDSSVPLYPNSRKSFSQVEEIGADEIVVTFMDRLDRQKSIRKGV >OMP11493 pep supercontig:CCACVL1_1.0:contig01234:1680:4670:-1 gene:CCACVL1_00490 transcript:OMP11493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEERYEPLKDLGSGNFGVARLVKDKKTKELVAVKYIERGKKIDENVQREIINHRSLRHPNIIRFKEVLLTPTHLAIVMEYAAGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPTPRLKICDFGYSKSAVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIGRIMSVQYSIPDYVRVSADCRQLLSRIFVPNPAKRITIPEIKQHPWFLKNLPKELVEIEKTNFSESNRDQPSQSVEEIMRIIQEAKTPAAGAKVGEQAVAGSSEPDDADAEADLESEIDVSGEFDATA >OMP11492 pep supercontig:CCACVL1_1.0:contig01234:20:335:-1 gene:CCACVL1_00489 transcript:OMP11492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKSMALMVIVVVAVAGMGEVKTAVAGLSAAQCKQERTLAENACKPVIYGKLPSPECCQRVRVTHLECVCPVITPQLAALIDLNRAIRLIEGCGRKVPRHYKCG >OMO96735 pep supercontig:CCACVL1_1.0:contig07388:4373:6432:-1 gene:CCACVL1_04803 transcript:OMO96735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVNNNVYLELAKLDYNNCQALHQREWESMQKWYLEMNLGDFGVTRRSLLLTYFIAAASIFEPERSQERKLDANRTVEKLIDILLRTLNHLSSDALVAHGRDISSTIRRAWEKWMMKWVVEGERQQGVAELVVQTINLSCGRCSLESHPKYQRLSNLTNSVCHQLCHYQKQKVQENGCYDADTDNIRTQKIDAEMQELVQLVLESSSDDDDDISSDMKQTFLTVTRSFYMLLTVT >OMO62554 pep supercontig:CCACVL1_1.0:contig13248:761:835:-1 gene:CCACVL1_22760 transcript:OMO62554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRGRGREGLNPMEGRGRGHGSF >OMO62555 pep supercontig:CCACVL1_1.0:contig13248:5812:6153:1 gene:CCACVL1_22761 transcript:OMO62555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSNKLFFVVVLVTSCMVVIAPMAATADVLCSYAFEAVTIPSCKAYLTSSGDDQINFRRCCDSLRNDYYSREDSYVGICRCIEEDSPILDGLNMDLVLSLPLKCGSDLGIGC >OMO62556 pep supercontig:CCACVL1_1.0:contig13248:10209:12359:-1 gene:CCACVL1_22762 transcript:OMO62556 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein auxin RESPONSE 4 MAIITEEPESPKASENKKPPKKPTSNESSKPSKPTSNPNPNSQTQSPFSFWFYFTLTISLITFLFVCFSSLSPQDPKSWFLSLPNSLRQHYSNGRTIKVQTSPNQSPIEVFVSESGQFSSSENVVIVHGLGLSSFSYGEMIKLLGSKGVHVVAIDLPGNGFSDKSRVEIEEGINGVLGRFKEVYSLIQEKGIFWAFDQMVETGELPYEEISSRVLVKKSLKVIELGSEEMGRVLGQVIETMGLAPVHLVLHDSAFVMAANWISENSRFIRSVTLIDTGLTPALPLWVLNIPGLSEVVLRFSFVYSRLISLCCSKGIAWSELEAHRALLKGWDARRAVVGIGKKLNHSLDVEEWGGLDGVKGMPLQVLWSNAWSDEWNNEGQRIAKALPEAKFVTHSGGRWPQEGAAAELAENIVQFVSTLPKTVRQVEEEPIPEHIQKMFDEAKDTHHDHDHHHHHGHGHDHGHDHAHGAGFMDAYGLGHAWGS >OMO62557 pep supercontig:CCACVL1_1.0:contig13248:12865:17984:1 gene:CCACVL1_22763 transcript:OMO62557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Creatinase MHSLPSQAMRPLSLTPSLSSSRFPFFSYSIPIFHKFKINPIIYKKSQKGPPFLTLRCCSSGSITAKPFSELRRNRAVQEPDEKVVALRQLFAKPGIGIDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAVVAKDKAALWTDGRYFLQADKQLNSGWILMRAGNLGVPSTSEWLNDVLAPGGRVGIDPFLFSYDAAEELKEAISKKNLELVYLYDLNLVDEIWKDSRPSPPNKPIRVHDLKYAGLDVLSKLSSLRAELVGTRSSAIVISMLDEIAWLLNLRGSDVPHSPVMYAYLIVEIDAAKLFVDNSKVTPEVMDHLKNAGVELRPYDSILSEIRRLAAQGAQLWLDTSSVNAAIVETYKSACDKYLMNRRGKIKKKGYDESNGRSVGPTGVYMPSPISLAKALKNPAELEGMKNSHLRDAAALAQFWSWLEEEVHKKMLTEVDVADKLLEFRSKQDGFLDTSFDTISGSGANGAIIHYKPEPESCSFVDPNKLFLLDSGAQYVDGTTDITRTVHFGEPTAREKECFTRVLKGHIALDQSVFPENTPGFVLDAFARSSLWKVGLDYRHGTGHGVGAALNVHEGPQSISYRYGNMTSLQKGMIVSNEPGYYEDHAFGIRIENLLHVKEINTPNRYGGIEYLGFEKLTFFPIQTKLVDLSLLSAEEIEWLNNYHSQVWKKVSPLLEGSAREWLWNNIQPVAKE >OMO82290 pep supercontig:CCACVL1_1.0:contig10053:2494:8552:-1 gene:CCACVL1_11988 transcript:OMO82290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 32 MYYKGIYHFFYQYNPKGAVWGNIVWAHSVSKDMINWETLEPAMYPSKPFDINGCWSGSATILPNKGPIILYTGLDSKDRQLQNYAVPANLSDPYLRKWIKPDHINPIVDPEQGVNASAFRDPTTAWWLNGHWRMLVGSKRRLRGIAYLYRSRDFISWIKAKHPFHSAPHTDMWECPDFYPVLLSGDKRGLDTSVVGKHVKHALKVSLDATRYDYYTVGTYLTDKDRYVPDNTSVDGWDGLRYDYGNFYASKSFFDPAKNRRIIWGWVNESDTTEDNVIKGWAGIQAIPRIVWLDPNQRQLRQWPIEELETLRGKNIKMTHQQLKTGDHIEIEGITPAQVDVDVTFHIESLDKAEPFNPSWTNAQDLCAQKGSMVKGGVGPFGLLTLASKNLEEYTPVFFRVFKASKNYVVLLCSDARSSSLKDGLYKPSFAGFVDIDLADKKLPLRTLIDHSVVESFGGGGKTCITSRVYPTLAVLENAHFNGVEASHKIYQELQSKSSGVEVQQLHRSGYHFQPPMNWINAPMYYNGFYHLFYQYNTKGAVWGNIVWAHSVSKDLINWEALENAIYPTDPFDINGCWSGSATILPGNKPIIFYTGIDTEENQVQNYAIPANLSDPYLRKWIKPADNPLVTADATMNKTAFRDPTTAWKIDSHWRMAIGSKRKHRGMVYLYRSRDFKTWIKAKHPLHSVPGTGMWECVDFFPVALSGEAGLDTSAFGSNVKHVLKASMDITRFEYYTLGTYFPVRDKYLVDKGMVDNWDGLRFDYGNFYASKSFFDPVKSRRILWGWVNESDTATDDVAKGWAGVQTIPRKVWLDPNGKQLMQWPVEELDTLRGKRVQLKDKELKLGDVIEIKGITAAQADVDVSFSIPKLEKAEPFDPSWTNAQDLCGLKGSKVQGGVGPFGLLTLASRGLEEYTPVFFRVFKGENKHVVLLCSDARSSSVRRKGLYKPSFAGFVNVDLSVTKKLSLRSLIDNSIVESFGAGGKTCITSRVYPQLAVYRNAHLFAFNNGTEPISFDLNAWSMRKPLKMNN >OMP11016 pep supercontig:CCACVL1_1.0:contig01705:2746:2829:1 gene:CCACVL1_00726 transcript:OMP11016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWPYSHSHAWGGVAPCWNHWAAHYFI >OMP00005 pep supercontig:CCACVL1_1.0:contig06749:790:3541:1 gene:CCACVL1_03503 transcript:OMP00005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRANKEFDFAEEIVSNPLQIPVIVT >OMP05687 pep supercontig:CCACVL1_1.0:contig05278:66:170:-1 gene:CCACVL1_01848 transcript:OMP05687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRRLGSIVKDGESEKVRRWWQIWKDEVRRWRR >OMO58224 pep supercontig:CCACVL1_1.0:contig14259:18298:23649:-1 gene:CCACVL1_25531 transcript:OMO58224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLKPNNSEGLTQSKSELPQEFIKVMEDMGGFDAKFVIQKRLSVADTNLPPCCLSIPLKAVKRDFLTEQEEEFIDEYEIPVLCIDPSLNVREMALSRDFTEEEFSIDNNQKNSQTAYKFTQNWHSVKERNGLKTGDLVKIWTFLIQDDDEDGLKRGFALVKVEDGQPRPPKKKPDRELKLKDDNHQKPKSKKEPKKIRPRKRYDVVERSMVTKTDIDLPPEFVTYYYNQTLDHFNYKYESYLTFQQQYVVNSKYWGGANSSSPIFVYTGDEARLYGDVISTSGFMIDLASRFQALILYIEIEGQNASTLGFFSSSQALADYAQLIIDLKRNLSATNCPVIAVGGSYGGMLASWFRLKYPHIAIGALASSAPILYFDDITPQNGYHVAATRDFRETSENCYNTIRQSWFEIDKVALQQNGLMELSNIFNSCMPLYSSEELKNYLSSVFLFSAQYGNPPYDFVSHICNAIDEAPEGTDILGKVAIGLNASFFGGRVLQNISDSIVAVYTKEASFPIPRTMRYDVVERSQTDIDLPPEFVTYYYEQTLDHFNYKSESYLTFRQKYIVNSKYWGGANSSSPIFVYTGGADELFIDIDVVTSGFMTDLASRFQGLLLYIEHRYYGDSMPFGYKEIEGQNASTLGFLSSSQALADYAQLIIDLKGNLSAKDCPVIAIGGFYGGMLASWFRLKYPHIAIGALA >OMO58227 pep supercontig:CCACVL1_1.0:contig14259:38174:39536:1 gene:CCACVL1_25534 transcript:OMO58227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRGGGRGGGVGGGGGGIGGRVGSSSHSPSHGALSGSTVVRDAAAITGGYLGYSLIGSVSNNFAGELWYKRAKVSEFKPSWNDWWTRDLKRNFCRFKSDCLKRVYFSSAGM >OMO58223 pep supercontig:CCACVL1_1.0:contig14259:16812:17716:-1 gene:CCACVL1_25530 transcript:OMO58223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S28 MAMHGIGRVLQNISYSIVAVNTNEGRHCFDLSTPSESAPDWLVAQRDEEIRIIGGWLKAYNAKLGGNQ >OMO58226 pep supercontig:CCACVL1_1.0:contig14259:29506:32190:1 gene:CCACVL1_25533 transcript:OMO58226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQDRSMGRGFSGGSRGGKGRGKGGGKGGGKGGGAGVELIDATFSVLRLKEMLQKLAARINEICLFSDSWCVEFVGICRITLRESHIINDLKSCGIWNREYEGKDAKSCQEYHDHHCLYRVTSHKNIDGEPVQEAISLNGSQKTETPDEHEVLYDKTPIHIDDDLEEAFVNTTSAELKMLAELSSVKL >OMO58222 pep supercontig:CCACVL1_1.0:contig14259:9065:12582:-1 gene:CCACVL1_25529 transcript:OMO58222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S28 MNSPKFSTQWLQFILILIFSTCLSFAGRTDVPRLSPIGVKILEDEPAEAASSAPLSEDLETFYYNQTLDHFNYQPESYATFQQRYVMNSKHWGGANSSAPIFAYLGAEAPLDGDLKVIGFLNDNAARFNALLLYIEHRYYGKSVPFESREEAFKNASTLGYFNSAQAIADYAEIIMHVKKKLHSYFSPVIVFGGSYGGMLATWFRLKYPHVALGALASSAPILYFDKITPKGAYFSVVTKDFREASETCYQTIRQSWSEIDDIASHPNGLSTLSTIFQTCKPLKSAKALKNYLVNMYAGAAQYDRPPTYPVTVVCGGIDGSKKKDILSKISAGVVAYRANRSCYINQPTNQTETDVGWPWQTCSEMVIPIGIGNRTMFQPDPFDLAKFSKDCKDFYGVPPRPHWVTSYYGGHDIKLILERFGSNIIFSNGLRDPYSRGGVLENISDSIHAVYTVNGSHCLDILSEQKTDPEWLIKQRKIEIKIIKGWMSKYYADLKAFKLSRRSFIQDEKLY >OMO58225 pep supercontig:CCACVL1_1.0:contig14259:28451:29043:-1 gene:CCACVL1_25532 transcript:OMO58225 gene_biotype:protein_coding transcript_biotype:protein_coding description:nramp transporter MEDLKPNNSEGLTQSKSELPQEFINVMEDMGGFDAKFVIQKRLSVADTNLPPCYDDEDGLKRGFALVKVEDGQPRPPKKKPDRELKLKDDNHQKPKSKKEPKKIWPRK >OMO96315 pep supercontig:CCACVL1_1.0:contig07491:11655:11747:-1 gene:CCACVL1_05002 transcript:OMO96315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVGMRPTHGFVQRPTTKPCASLMLPVHG >OMO56711 pep supercontig:CCACVL1_1.0:contig14487:30386:30655:-1 gene:CCACVL1_26339 transcript:OMO56711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLKGRVLEVKNNVAENVNIFSPDGMRVNAGRANSLHLVLRSLEEYVEAFRPTTPGHSPGVGHSIQH >OMO56710 pep supercontig:CCACVL1_1.0:contig14487:26538:29236:-1 gene:CCACVL1_26338 transcript:OMO56710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARHLRSKGCRKCSRQRHRNSLETVKIGGYNSNVSGQEQSNKMEYVDDFRPTAPGHSPGVGHSINN >OMO92463 pep supercontig:CCACVL1_1.0:contig08216:17258:19691:-1 gene:CCACVL1_06839 transcript:OMO92463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKKEKEGELDLGRYAELWKAVKDERWEDVKRQLDKQPDAITAPITEFYETILHILVNWKDALWLVKEIIKDIEEEGGPSVVEKTDYRGNTPLSVAASVGNAEAAEMIAQKKPDLLKKFNFRKESPFHLAAKARHEETFKRMLNVGQAKLKEQDIDRLFSGFIGASIVENLLAANLYGLTVSLLNSYPKLGRDDFKHRGNILKILAEKPLAFESGCNFGLWERFIYKVVLVPSGVRYVYETKIKSDQARQVVHLVCSGVIWTFEDAKIALKQPVLRAATLGIAEIVEEILTVYPAATMFHNPKNYNIFQLAVLNRRHKVFNLYLKRCLSQKWVPTFPILDRENNILHLAGRCVPSRHINGAALKMQLEMQWFKAVESVVHPLLKEQRNSENKTPREVFEDEHKKLIEDGEKWMRDTASSCMVVDALIVAMVFAAIIAVPGNVEKGIPNFRNETVFKVFVVADTAALFSSSFSLLLFVRILTSRYAENDFLSALPNRLLLGIITLILSIAAMLVASSSALIMLMDAVPGPKLVIKKSTLIPVTVLAALPVIFFIWSQSYLLIDVLRATYRPPISFKQENDD >OMO92465 pep supercontig:CCACVL1_1.0:contig08216:41714:42075:1 gene:CCACVL1_06841 transcript:OMO92465 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse gyrase MVGRAITELIGIMGKRLELAKERRKSIRILGMIWGFLKFGELRKVRPQTKNVPDGPATGQEIPGIGSGVLGLGIGDNAHVLREEVAASPNIIQNRLKCQ >OMO92462 pep supercontig:CCACVL1_1.0:contig08216:15431:16885:1 gene:CCACVL1_06838 transcript:OMO92462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFLVAHQMFPQAHSLLHFLVSRKGKGSASSVFTSIIETKGQIKDAQMVFDEIGKRGLRATVVSFNTLINGYCKARNLGEGFRLKSVMEASGLRPDVFTYSVLINGLCKESRLDDANGMLEEMCNRGLVPNDVTFTTLIDGQCRNGRIDLAMETYHRILTKGSKPDLVMYNALINGLCKAGDLKQAKKLIVEMRLRGLNPDKFTYTTLIDGFCKEGNMELAMEVREEMVKQGIKLDNVAFTALISGLCREGRVMDAERALREMLKAGMKPDDAMYTMMIDGFCKKGDVKMGFKLFKEMQRDGHEPNVVTYNALMNGLCKLGQTKNANMLLEAMLNAGVVPDDITYNILLDAHCKKGNPKDLDKFKSEMGLVADYSSYKSLISEIGGTSKHRPKR >OMO92464 pep supercontig:CCACVL1_1.0:contig08216:27289:32992:-1 gene:CCACVL1_06840 transcript:OMO92464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHRPPMDRAPQKRAVTGRTTARPGDDSANIVDDETNKPKAEESKDDPEETITRRLREKKEEGNVEVDLDRYAKFRKAAKQGKWQDIKTQILDKISDDHLTAPITEFYETLLHLLVNCKGALWLVKEIVEKIDTTSLGKTDYRGNTALSVAASVGNAEAAEMIAQKNPGLLLEPNHSNETPFHLAAKLTHDHTFKLILAVAESEKKLTNDIDTNLFCSSSGVSIVENLLDANHYGLTVELLNKYRKLGRDGPEERGKILQKLAQKPLAFKSGRYSRTKEGNLAWSDQTNNDHDDDLTCNIDIPDNRDEGHHQNPTDKDNNLSNKQRQKDLSWMFQKLVPSSVRAIDASNMRNSEAHQVVRLMCSGVRWTFEDARKAFKEAAFTAATLGVVEIVEEILTVYPAATMFYNHEKYNILDVAVINCRYMVFDLLYTKWPSILEKVLSYPVSNNLKNNLLHLAGSCIPSRHINGAALQMQFEMQWFMAVESVVPPSFTEQRNNDNKTPIEVFEEEHKGLGKDAEKWMRVTASSCMVVDALIIAMVFAAIIAVPGNVDDNGVPNFRKETVFKVFVVSNTSALFFSAFSLLLFVRLLTARYGVRHFAKDLPEKLLWGTVTLILSLAATLVASSCALFMLIDAVPGPKLVITNSTLIPIIVMAALPIIYFIKSQSRLLIEFLMVTYRSPLPSKKKKIIKQFHF >OMO77716 pep supercontig:CCACVL1_1.0:contig10719:44372:48776:-1 gene:CCACVL1_14872 transcript:OMO77716 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MDNNQKRPQTRRSLKRKLEQDFEGDKPDRCKVTVVGSRRSKQDLLRDVRLHVDLLNSTFSFSESDRAAVKGSIHSLSELAKNEDIVDLIVECGAIPALVKHLQTPPLFTEGDVKPFEFEVEKGSAFTLGLLAVKPEHQQVIVDAGALPYLVNLLKRPKDGCSSRAVNGVIRKAADAITSLAHENAAIKSRVRIEGGIPPIVELLEYDDIKVQTAAAGALRTLAFKNDENKLQIVECNALPTLILMLCSENVSLHNEAIGVIGNLVHSSPNIKKQVLLAGALQPVIGLLSSSCLESQREAALLIGQFAAADSDCKVHIVQRGAVPPLIQMLQSSDVQLKEMAAFALGRLAQDPHNQAGIVQSGGIMPLLRLLDSKSGPLQHNSAFTLYGLADNEDNAVDIIRTGGAQKLQEGEFIVQPTKDCVAKTLKRLEEKIHGRVLNRLLYLMRLADKAVQTRVALSLAHLCAPDDRKKIFVDNNGLELLLGLLESTSFKQQQDGAAALYTLATKGGSLSSVDTAPPSPTPQVYLGEQYVNNPTLSDITFLVEGKRFYAHRICLLASSDAFRAMFDGGYKERDAKDVEIPNIGWDVFKLMMRFVYTGSVKVELDIAHELLGAADQYLIDGLKRTCEYAIAQDISVENVSEMYELAEAFNAMTLQEACILFILEQIERLSTKPWYSLLIERIIPDTRKYFVKALTKPCQLTQDNCK >OMO77717 pep supercontig:CCACVL1_1.0:contig10719:49543:51817:1 gene:CCACVL1_14873 transcript:OMO77717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIAHEVYRTTIRRPRMGAKCCPLFNLNGTN >OMO77715 pep supercontig:CCACVL1_1.0:contig10719:40719:43799:-1 gene:CCACVL1_14871 transcript:OMO77715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSHDHTPHKKEEPMVLNGLKGLTSDVGHYMGRIMLSSWAIVGGKTPTWRQNDDVNGVVEDEFDWAEMDADLYYWTKPLRPVQWYPGHIAKTEKELKDQLKLMDVVIEVRDARIPLSTTHPQMDLWLGNRKRILVLNREDMVSTADRNAWAMYFSNQGTKVIFSNGQLGMGTMKLSRLAKSLAAGVNVKRKAKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGKDLELLDSPGILPMRISDQAAAIKLAICDDIGERSYDVADVAAILVQMLAKLPTVGMEVLQNRYKVDVDGQSGKIFIDKLALRLFNGDSHQAAFRVLSDFRKGKFGWVALERPPR >OMO77711 pep supercontig:CCACVL1_1.0:contig10719:31059:32672:-1 gene:CCACVL1_14867 transcript:OMO77711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, ribonuclease inhibitor subtype MESGTQTFQHRPLSVKLWPPSHSTRLMLVERMTKNLTTPSIFSRKYGLLSNEEAEEDAKKIEELAFATADQHYKKEPDGDGSSAVQIYAKESSRLMLEVIKRGPTAKDGEVGDKTTALQETVFDISGGRRAFIDAEEAEELLKPLREPGNSYTKICFSNRSFGLDAANVAAPILSSIKDQLTEVDLSDFIAGRPEAEALDVMNIFSSVLEGCQLRYLNLSNNALGEKGVRAFEALLKSQNNLEELYLMNDGISEEAAKAVSELIPSTEKLKVLHFHNNMTGDEGAVAISEIVKRSHALEDFRCSSTRVGADGGVALAEALTTCTHLKKLDLRDNMFGVEAGVSLSRALSLFADLTEVYLSYLNLEDEGAEALANALKESAPSLEVLEMAGNDITAKGAASLAACIVSKQFLTKLNLAENEFKDEGAILIAQALGEGHGQLNEVDMSTNAIRRAGARALAQAVVNKPGFKLLNINGNFISDEGIDEVKELFKSSPDMLGPLDENDPEGEDDEDAEEGDAEHEDELESKLKGLKIEQEE >OMO77714 pep supercontig:CCACVL1_1.0:contig10719:38728:39687:1 gene:CCACVL1_14870 transcript:OMO77714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin P/U MGSLAIDTGDVDLDIYLTLGLKGLGKGAVGTPRILSILASLLEKSVEKNDMNSETVDVKDSVAIFHGLRAPTISIQQYIDRIFKYGGCSPSCFVIAYIYVDRFVQQTNVHLTSLNVHRLLITSVMVAAKFIDDACKKNLQKGFKLKGLSELAELKRVGRAKVITHVLPQLQDDDLLSIRLYQSHGDA >OMO77707 pep supercontig:CCACVL1_1.0:contig10719:15814:21597:-1 gene:CCACVL1_14863 transcript:OMO77707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine phosphate synthase MIPFLSLQSPPSSSPPLFFFTPSSPSFVTRFPKAAPLPRFIIPAKASLSNNPLSSTSQQLDPQPPRTLFPGGYKRPEIKVPNFVLQLDPEEVLADANALDFIDKAVSKWVGLVVLNGGEGSGGRIYEAARSLKAVIKDRAYFLITERVDIAAAVGASGVVLSDQGLPAIVARNTMMDSKSESVFLPLVARTVQTANAAFNASVSEGADFLIYDLGEEEHVDVAVKSVSENVKIPIFIVNNNSLVKDTSYSEASKILNSGASGLVLSLEDLRLFTDDVLSQFNIVSTTNNKLQDGSIDELKVAIIDLDSPEKMGLAGFIKVEDREKQLIEKERSILNEAINVFQKAASLMEEISLLIDAVAQIDEPFLLAIVGEFNSGKSTVINALLGERYLKDGVVPTTNEITFLRYSELDGKDQQRCEKHPDGQLICYLPAPILKEMNIVDTPGTNVILQRQQRLTEEFVPRADLLFFVISADRPLTESEVAFLRYTQQWKKKVVFVLNKADLYQNPQELEEAIIFIKENTQKLLNTDDVTLYPVAARAVLEEKLLVSSGVGKEHRDLATSDSNWRTSSFYKLENFLYSFLDGSTSTGMERMKLKLGTPIAIAERILSACETLNRKDCESAEQDLKSANEIVDSVKEYTIKMENESISWRRRTLSMIDTTKSRVLELIESTLQLSNLDLVFSYVLKGGSSTQMPATSKVQIDILGPALSDAQSLLGEYVTWLQSNNAREGTLYKESFERRWPSLAYSDKHQLQTYDLLRKLDQLSLKVIENFSAKAASKLFEREVREVFLGTFSGLGAAGLSASVLTSVLPTTLEDLLALGLCSAGGFIAISNFPAKRQEMIEKVKKTADALARELEDSMQKDLLETTENLEKFVRIIGEPYRDAAQNRLDKLIQVKDELSKVRESLQTLQVEIQNLHVS >OMO77706 pep supercontig:CCACVL1_1.0:contig10719:9390:10427:-1 gene:CCACVL1_14862 transcript:OMO77706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVCQGLQSCLEPRLVESRFLRLKLAPPKPIFSGSIAPNPCNFPSPAPPEPQSLPSVEEKNTPNKNNNANDCKLPVQDVANQNIDMGGWSFLQSLTTATANATANAKESTEHDKVYVHPLVKRSASMLNAKSLEMCTESLGSETGSEVSESHDDISLETLVCNSPTKPRESLMIRKMTRSSSFPPPLTSISGSNGVQVKSHREGGRLLLQAVSIPPCQSYFHAERSEGRLRLSLLKDATPIFDIEGSDDEEQEEVVEEEDAEIVYYEDEDEDEDENGVEGEIEEEEEEEECCWDEDIEGNNGNVGGEIETGKLARPSSCCKESGRGQHQVQRLLNWEPFWVAT >OMO77708 pep supercontig:CCACVL1_1.0:contig10719:22379:24083:1 gene:CCACVL1_14864 transcript:OMO77708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPLAQKRQRNAPTGSKPEVTEPSGGVEKNAESSTPSSEQAKLQSDVTNVQLEPTEPKTKAQRKGKKLVAVGRVRRSERLKFAVTPSQDKDVECIIEEITVSDSEEDEERELPEPSQMPNSLEEKVEYLLQRLEEQQKAIEDLKLKVTMESSPTGRPCAADIRYKNLYFESQKKIEALTEKHQLALKLEHALGKAEAYEKGAGLFAEGLEKLKDVILVTNLTRATEAAMKFSSEAFTSTDAGGEEKRKRAGTTRK >OMO77718 pep supercontig:CCACVL1_1.0:contig10719:52955:53044:-1 gene:CCACVL1_14874 transcript:OMO77718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNKKRQLAKNAHYEMSPPPPLSSTRVSSP >OMO77713 pep supercontig:CCACVL1_1.0:contig10719:37542:37604:1 gene:CCACVL1_14869 transcript:OMO77713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPTTDSIFRVKLQTQQPQ >OMO77709 pep supercontig:CCACVL1_1.0:contig10719:24680:26265:-1 gene:CCACVL1_14865 transcript:OMO77709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVDAPSPTNFTKEPTGLMASSSPLFSPASDKRFWSTLRTRVDSLIDERNAKISTVPNVEPNQINSGEPNRAKKLKEDSLLLLRGFDSISQTLSQLSNNLENALQGTRELAKPPTLTDIFHSNLKNSEAKEEDPKQNIKEEDNKIGVKRKFDSSESSDDNKGDVSQKDNEKSPKEKKMMKKAKNLAISMATKAASLARELKSIKSDLSFMQERCALLEEENRRLRDGFGKGIRPEEDDLVRLQLEALLAEKSRLANENANLVRENQCLHQLVEYHQMTSQDLSASYEEVLRGMCLDFSSPIAEEDEEINGDCDDAENCVKQTTRTDILGLSTSLDKYFDEDKN >OMO77710 pep supercontig:CCACVL1_1.0:contig10719:28525:30251:1 gene:CCACVL1_14866 transcript:OMO77710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALASSSSSLLFSSPPSKLPSPSLPRLSFSTSSFLSSSSSSSSLSLSHSFNAYPISTRRYSASSFTVQASAADKKKVLIVNTNSGGHAVIGFYFAKELLASGHDVTIFTVGDEGSDKMKKPPFSRFSEIVSAGGKTVWGDPAEVGKVVAGATFDVVLDNNGKDLDTVRPVIDWAKSSGVKQFLFISSAGIYKPTDEPPHVEGDAVKADAGHVGVETYLAEVFSSWAVFRPQYMIGSGNNKDCEEWFFDRIVRNRPVPIPGSGMQLTNIAHVRDLSSMLTVAVEKPEAASGHIFNCVSDRAVTLDGMARLCAAAAGLPVEIVHYDPKAVGIDAKKAFPFRNMHFYAEPRAAKDILGWEGTTNLPEDLKERFEEYVKIGRDKKPMKFELDDKILESLKVPVAA >OMO77712 pep supercontig:CCACVL1_1.0:contig10719:33353:33960:-1 gene:CCACVL1_14868 transcript:OMO77712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMANLEEARFLNQKSRKRKEYKVSGE >OMO69995 pep supercontig:CCACVL1_1.0:contig11912:48021:50935:-1 gene:CCACVL1_19158 transcript:OMO69995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MQETKDPAIKLFGMKIHLPADDEILSISADDLDKEKCENRVLVEEEDDEEDEKTEQDSLAERTSETGQEEQDELTPSNREESTNNESSSPEPNVNPKTPSIDEETGKSKTAKTDKEQSDGTNSQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKACQRYWTAGGTMRNVPVGAGRRKNKNSSSQYRHITISEALQAARIDAPNGSSHQYPAFVKNNGRVLSFGVDSPICDSMASILKLGDRKVVNGTRNGFHCLDQEQKVMVPCRDENGDDCTSGSSITVSNSKEENGRNCIQETMMGNNINGFPSPIPCLPGVPWPYPWNSAVAPPPAFCPSGFPMPFYPAPPYWNCGVPGAWNVPWLAPQSPSSSSNQKASSSSPNSPTLGKHSREGDIVRVDDSEKHKSPKQKNGSVLVPKTLRIDDPSEAAKSSIWATLGIKNESLSGGGLFKAFHPKSDEKNHIAEASPVLRANPAALSRSLNFHESS >OMO69994 pep supercontig:CCACVL1_1.0:contig11912:38370:38471:1 gene:CCACVL1_19157 transcript:OMO69994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNNGSNSKSKPTNSAVTAKTDKTTRDARKKL >OMO69991 pep supercontig:CCACVL1_1.0:contig11912:202:7582:1 gene:CCACVL1_19154 transcript:OMO69991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGEFVQLGKSIQQKIVMVEKGASPRTFASCEVLETGSVEELIGIDLSNHVVAATEDYSKC >OMO69992 pep supercontig:CCACVL1_1.0:contig11912:9121:11775:1 gene:CCACVL1_19155 transcript:OMO69992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVEELTGIDLSNHVVAAAEDCSKG >OMO69993 pep supercontig:CCACVL1_1.0:contig11912:33310:35389:1 gene:CCACVL1_19156 transcript:OMO69993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MYERLDHFGYVSSEFERGVKEFVDYAFRHSSFVFDNTIRCPCLTCANREFHGEDVVMYHLYSKGFTSGYWVWSSHGESLPSNPNIGESSRNINDISNPYQRMIIDGVGDFGFDGNEMNYETVEESPNPRAAKFFSLLKDADEALWEGCKKHSKLSVVSQLLNCKSECNMSDASFDRLVGIVKDMMPEDKKLPKNFYRTKKMMARLGLGYEKIHACQNNYMLFYKESESLTECSVCGHPRYKPRKSSSKRQKAIPYKVLCYLPLTPRLQRLFMSNKTAKHMTWHAFNRSHEGELRHPVDGEAWQHFNRTHPEFASDARNVRLGLCSDGFSPFGSNAKPYSCWPVIITVYNLPPWMCMKQPYLFLNMIIPGPNSPGKHIDVFLRPLIDELNDLWNVGVQTFDAYRKQNFQLHAALLWTINDFPAYGMLSGWSTHGRLSCPYCMERTKAFNLENGGKPSFFDCHRQFLPLDHPYRKQRDKFRMGVEKDVSVERLSGEEVFARDKYTSSLKEKHGAESYVQVQFDPKAWTDVIGKRSRSHLYGFGSLEGQRVLLRGSSSQRPTVPKTQNHQNQVTEQMLAAALSNILPGMLSNILPGMLASMSNSPNANQSGDNVVLPSPQHDSEATESEDGNDGENGDGMNMG >OMO64560 pep supercontig:CCACVL1_1.0:contig12800:32576:32665:1 gene:CCACVL1_21669 transcript:OMO64560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQAADLAILWLTWTNYFGASCVILFL >OMO64561 pep supercontig:CCACVL1_1.0:contig12800:50125:51489:1 gene:CCACVL1_21671 transcript:OMO64561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVLSTTLITPPSPTTLDKSRVKPSTNSSLFKVGLRNGKLKCKAVGESSQGSIEPTVYEGVYGPWTVDSEDIREVVLYRSGLVTAASSFVIAASAAFLPDNFALKETIEQNLNLFYLIGAGGLGLSLYLIHIYVTEIKRTLQALWALGVVGSLATFLALAQPAGENLVQYVVDNPTAVWFVGPLFASLTGLVFKEGLCYGKLEAGILTFVIPTVLLGHLTGLMDDGVKLTLLGSWMALFVIFAGRKFTQPIKDDIGDKSVFMFNSLPEDEKKALIEKLEQQNLQ >OMO94726 pep supercontig:CCACVL1_1.0:contig07809:124:1202:-1 gene:CCACVL1_05863 transcript:OMO94726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQAVPSFSLKVNVNCCTTCQLKAKDKLQKIRGVESVVYDSKGVVTVSGKVNPMVIVDKFEKWGKSAELLSFQKPPKQGGTSPKKGNDNLDHNNGSNPKSGKDSSCSNCDVMSNSDDQKDVCKAHADKDSNDATKSNNISWKNPHLQTVTMEKSTKSRGKKSFLGFIWKKKKKADHDRKKGAPLTNNGPSNSKWQFPMVPRPPYDASMPYQVQQQPYGPFPPPVMGRPPYQPYGFMQPMPPYPQMAPPPYGVFNSRPPPKVNPMVHYTSYADNYRFW >OMO94727 pep supercontig:CCACVL1_1.0:contig07809:2022:13029:1 gene:CCACVL1_05864 transcript:OMO94727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MIFAKLDDSPMFRQEIQCLEENAESLRARCLKFYKGCRKYTEGLGEGYDSDIAFVNALEAFGGGHNDPICVAFGGPVMTKFTIALREIGTYKEVLRSQIEHMLNERLLQFVNVDLQEVKAREKFLSLRKNTRMDVAAAIEEELHTARTSFEQARFNLVSALSKFEAKKRFEFLEAVSGMMDTHLRFFKKGYDLLHQMEPFINQVLAYAQQSRECSNYEQASLNERMQDHMRQIDLESKQSLSCYLPHHAAEGMPPVARGSQKVIEAVMQSAAKGKVQTIRQGYLSKRSSNLRANWKRRFFVLDSRGMLYYYRKPYGWSSAAGSQSTIQRNGPSEGGPGLLSRWLSSHYHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPSKIYTLQAENALDQRDWIEKITGVIASLLSSQTPEKCLSAFPMGSGDNCSASDSSSLVDSSDAYQTALGEHGSKSLSSGSHLDLSGNLQHQEYCAKSEKPIDILRRVAGNDRCADCGAPEPDWASLNLGVLICIECSGIHRNLGVHISKVRSLTLDVKVWEPSVLTLFQSLGNTYANSVWEELLHSGRTRTAMHVGSLKPDGHKQFHTMKPSQDDPISVKELFIHAKYAEKAFVPKIQDSQNVLLVAEEVWESVRANDLKAVYRHIVCSGADVNAIHGQASYCDSLDETSRYFNSLHVTEDQIEEFSDGCSLLHLACLTTDIDMVELLLQYGANINASDSRGRGPLHLCVFSRKPAIAKLLLARGADPHAVDGDGNTPLQLASASGMDDSEVSALLTHASR >OMO94728 pep supercontig:CCACVL1_1.0:contig07809:14334:17336:-1 gene:CCACVL1_05865 transcript:OMO94728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIFSRRPCQFTKVRLSRFKSASFCTSAETDAAADEIAGILQKDDWGRVLEDSPKLKWMLNPETLSAVLRQNSVRDPKRLLSFFNWACHRFQIPQNLDSFSVLAILMCNSKLFSSTNVVLDKMVQSGSPVQDVLSSITRSHEKCKGNDASVFEILIDCYKKRGSLDDAVYVLLGTKEAGFLPSLFCYNNVLGDLVKFRKLDLFWKVFNGMMDAKLVPDVYTFTNVINAHCRAGDVEKAKTVFMEMEEKGCNPGLVTYNVMIGGFSKAGAVDEALKLKESMAEKGFNPDAYTYCNLIYGLCKKKRIREAKSMMKEMRHAGLNPNLIAYTALIDGIMKEGNTAEALRLKDEMVASGIKLNVFTYNSLISGVCKAGNLGKAKALFNEMVGIGAKPDAQTFSILIESHCRQQKFNKAYELFNEMKRSNLTPTMYTYNGIISGLCRGGDLGRANHVFEAMIEGGLKPNLVIYTNLMKGHIQKSRFEEAREIMDRMMEKGILPDIFCCNTFISGLCKAQKMDEARNFLVEMVERGLKPNAQTYGAFMHGYAKAGEMGAVERCFKEMEGYGIAPNNVIYSALIDSHCKAGNVTEALSAFTHMSEQGVPPDIKTYTVLIHGLVANGRIDDAMGVFSQLHGKGIVPDVFPYTSLISGFCKLGDIESALNLYTEMCEKGIAPNIVTYNAMIDGLCTAGNTEKARKMFDEISQKGLAPNTKSYSIIIDGYCKTGNLTEALRLLNEMPSRGVPQDGYAYCAIIAGCCKEGKLEKALSVFSDMVEKGIASTTAFNALIDGLCKSGKLDQVNRMIADVVDKCITPNHITYTILIDHHCKAGEMKEAEHLFREMLRRNLVPNVVTYTVLLHGYDRLGRRAEMFALFEEMAANGVKPDEIIYGLMAEAYLKENNLIGVLKLLDEILVKDVVLDQNWASQLLDAVCKRETSDVLKLLDEMAERGLKLNHVTCDKLVRSVQDKASPEKAEKILESFIQLGWVSDSTRTSVNMVACEV >OMP05507 pep supercontig:CCACVL1_1.0:contig05342:4354:4737:-1 gene:CCACVL1_01875 transcript:OMP05507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKASAPIAFFLALNLLFFTLVSSQTPSPPPPPPSPCPGGLLSGFFCLNLLGSALFNITLGTPSPCCSNVLGLLGINAGVCLCPEIARIAQLLGFGTGINITYALGNVLSSCNIPITSGKLCII >OMP04931 pep supercontig:CCACVL1_1.0:contig05563:23835:23912:1 gene:CCACVL1_02098 transcript:OMP04931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVIDAGSKLLKAGPAVPDQAPSM >OMP04926 pep supercontig:CCACVL1_1.0:contig05563:1656:2781:-1 gene:CCACVL1_02093 transcript:OMP04926 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RBP11-like protein MNAPDRYERFVVPEGTKKVSYERDTKIINAASFTIEREDHTIGNILRMQLHRDENVLFAGYKLPHPLQYKIIVRIHTTSQSSPMQAYNQAISDLDKELDHLKNAFEAEVAKHSRDY >OMP04927 pep supercontig:CCACVL1_1.0:contig05563:6216:11478:1 gene:CCACVL1_02094 transcript:OMP04927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MAFHVACPITCRRICFCSLGFPRNIQSPNSKNGFLQEVIRVEEFLKDPWGVRVSREGTVQVPVPKVAPVPAGDGGVGGGGDAVEEAASASSQAKRLALQRKAAAAMVAAEDYARRVESGDIAVASKNSAVEEKGQSNTNVMCRMCFLGENEGSERARRMLSCRNCGKKYHRSCLKSWAQHRDLFHWSSWTCPYCRTCEVCRTTGDPTRLMFCKRCDSAYHCYCQHPSHKNVTSGPYVCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDVCQRWVHCQCDGISDEKYLQFQVDGNLQYKCATCRGECYQVTDLEDAVRELWRRRDRADRDLIASLRAAAGLPTQEEIFSISVYSDDEENGPVMSKNEFGRSLKFSLKGIGDKSPKKNKEYGKKSSSKKYSKKKAYQASLISKGESQPSLDGNQDIQSQGYSMSEDRNNEVVSHRKERQDSPSPVAGVCSTNQPGVLKHKLVDEVMVSDEDRTSRVLKIRSNKPHDLDSGDDTGKHGSKSKTVKAKKLVINLGARKINVTNSPVSDTSNFQREQHMISYDGDRVEHPTKSRGVKIAGREGNLIKLGKIKSEVPESRSKAGVGNTSDGYRNDPVEHTRFVAGKGGIDSSRLAAAPSGEVSTLRGGKVMSGKQLEDRADMRAESNDDYGRTPVFNSLPKDAKPTLKFKLKKPNLENQNSQVQPEEEKSSIKGQRSKRKRPSPFMEKSLFNEDEDHDVTQSHQDSLMDGMMDASWILKKLGKDAIGKKVEIHQASDNSWHKGAVTDIIEGTSTLSVRLDDGRAKTLELGKQGVRFVLQKQKRSKI >OMP04929 pep supercontig:CCACVL1_1.0:contig05563:14638:17893:1 gene:CCACVL1_02096 transcript:OMP04929 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-dihydrouridine synthase MVARRYLPPLFSVAPMMEWTDVHYRTLARLISKHAWLYTEMLAAETIVYQKGNLDRFLAFPPEQHPIVLQIGGNNLENLAKATELASAYHYDEINFNCGCPSPKVAGHGCFGVSLMLDPKFVGEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTRHFIIHSRKALLNGISPADNRRIPPLKYEYYYALLRDFPDLKFTINGGINSVVEVNAALKEGAHGVMVGRAAYNNPWQILGHVDTAIYGAQSTGRTRREILQQYQEYGDSVLGINGNDRPNVREVAKPLLHLFYAEPGNGLWKRKADYAFQHCKTVKSLFEEALVGIPDSVLDAPIGGVPSGREDLFTNVHDLMPPPYEHDAREEEALYA >OMP04930 pep supercontig:CCACVL1_1.0:contig05563:18558:22927:1 gene:CCACVL1_02097 transcript:OMP04930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITMAASLVSSSSIQHGQRRLVVANASKVESVKLSGSGESSKRQGNNGNGNGNGRRELILAAAAAAVSSVAAGSIGIGIAAAEGEGPPPKAGTPEAKKFYASVCVTMPTAKIPKALMEALHHYDTSWSSSTNWSIAHGSLLSSVTFESSFAPITDSTEHEHHLDDPNAVVDSTPKSPLILCPDSPDSGPCEITITFAQKHEIRQVYVRSTARVYEIYYAPKPQSSNEYLCTVRCGIACRNDEVLHAATLDESSLAHQKGTNKELDERRPKNDSNSSSNEDDWVEVKAPDTPLLDSASSVPAKFSVNSISTQDLYEATAEITDASPCMSITLRLLSLQNKGCVCVDELYVFADPVDSAGSENEVGQVGNASGSSLMAMLAPTFLQLSKTAELRRIQNENILAAREKEKTRENGSKAIEAPTFANELPQEGKSNLANQQDVELQEASTANIGPNLHEIPPQLKDKEAKADASCGHIERILDQLVCRVSRVEDLLLKFEENIMKPISSIDARLQNVELQLEELTKKPKNSEFPSCARYAAPEFSCHDSDNYSPCNIDATQSFPSLVVSAPEFSTGDDEEDDHASGTSSPKDKPKQTSGTDSPKEKPKQTMSIDDALASALSSFLSSTSVEPQKYTQALVFKAPDFSNEEDGSSDKKVSPKSLSGVTSEPCCLDRREGMNSTTASVSSNCPSERIVEALCSLDDNDSEERATKVGEDCQVKSTSHDTFDCTTSQGSHEVHQIADEVGNGEVSSGRGKTLVLDEAVILNEFLENHVEEGCDTDEDGVVRNTEIEAELTEQDPQREIVQKQNVLELSYASSVVDFETPILDVKKMLMDLKLVKNAT >OMP04925 pep supercontig:CCACVL1_1.0:contig05563:91:699:1 gene:CCACVL1_02092 transcript:OMP04925 gene_biotype:protein_coding transcript_biotype:protein_coding description:cation/H(+) antiporter 28-like protein LLRSAPCSIGILVDRGFGSVERISRSSICNLAIIFIGGKDDREALAFAGRVARHPGVKLTVIRFLVDKNSENAPRRVNHRATVAEQEEEMRLDDECFAEFYERYVAGGKVAYMEKHLANSSETYTNLRSLGGQYSLIIVGRGGRVNTVLTVGLNDWQQCPELGPVGDVLSGSTFSCDTSILIIQQHSIKGQLDGLSDEFSIM >OMP04928 pep supercontig:CCACVL1_1.0:contig05563:11965:14159:-1 gene:CCACVL1_02095 transcript:OMP04928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKNRDLLSERLASGGVAVAERRKLWRQENRVLSPHPVLKLNLTCPQRIFNATLNRMGWAGVSMHLDLVESMTISNFRPNSSISESSVSVPKESEFEEEEEDDPSAELSYLDAETDPQSITEWELDFCSRPILDIRGKKIWELVVCDPSLSLQHTKYFPNNVINSITLKDAIVSITQDLGVPIPQKVRFFRTQMQTIITKACKELGIKPVPSKRCLSLILWLEERYETIYMRHPGFQKGSKPLLALDNPFPMELPENLVGEKWAFVQLPFSAVREEISSLDKRFIFGAGLDLDLLGIEVDDNTLIPGVAVASSRAKPLAGLLSLISKSCSSINLSINAEANCVSAAWMNGLEVCSVEADMARACLILSVGTSTRYVYATYKKTAITTSEAEAWEAAKKVCGGLHFLAIQEDLDSDDCVGFWLLLDLPPPPV >OMO78269 pep supercontig:CCACVL1_1.0:contig10611:4242:7261:-1 gene:CCACVL1_14533 transcript:OMO78269 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-5 cytosine methyltransferase MDFTAKDKMVAILVNMGYPAVDALEAVEKCGLQAEIMELTDYIYAAQMEKQHDVILQEPAYIWPKPPHDNLPSRSRGKRKFCDSRVSLPKEMIGFGVPGHPRYIVERRLPKSALGPPYFYYENVAYSPKGTWETMSRFLDEIKPEFVDSKYFCAAARKRGYIHNLPILNRFRLSPKPPCTIQEAFPDSKRWWPSWDRRTQLNCLLTVVSGFKLSEDIQKRLESCPGATPPKEVQEYVLAKCRKRNLIWVGKNNVAPLEPEEMEMILGFPKNHTRGGTTRLDKFRALGNSFQVNTVAYHLSVLKKEFSYGMNVLSLFSGIGGAEVALHRLGIPLNHVVSVESSEVNKNIFRSWWKQTNQRGTLIEIGDIQHITIDMVGQWIKAFGGFDLIIVGSPCNNLAGGNRVSRNGLDGELSSLFYHYYRILHIVRDMMGR >OMO84287 pep supercontig:CCACVL1_1.0:contig09757:11187:15254:-1 gene:CCACVL1_10904 transcript:OMO84287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIADRNSSPFKEIGAAVKSDKSVDIARSNGCCTPQKRRLRSDSVAPRESPVSTPMKLKSPRRRLNSSPNSLANGIKDDFSEKTTKGNWNPTDVEHMRAVKEALHVSTAPSTVVCREDEQKRILEFCRACVEQEKAGSLYVCGCPGTGKSLSMEKVKDQVMNWATNEGLQRPEVLVINCTSLANTSEIFSKILGKHQPRKKIVGSASPLQQLQKLYSNKQASSGSKMMLIIADELDYLITRDRAVLHDLFMLTTFPFSQCILIGIANSIDLADRFLPRLQSLNCKPMVITFRAYSKDQILSILQERLLALPYIVFQQQALELCARKVAAASGDMRKALCVCRSAVEILEAELKEAASNLSLASAEGELISQQTAPVRIDHMALALSKTFRSPIVDTIQSLPQHQQIILCSAVKFFRGGKKDTTVGELSKSYTEICKSALIPPVGILEFLSMCRVLNDQARLSSMVNLDSSWEPSITAFFQRLKVNPHNIEGNDMMDGTIVHYILPSNAQKLNC >OMO52057 pep supercontig:CCACVL1_1.0:contig15638:67144:74433:1 gene:CCACVL1_29395 transcript:OMO52057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAWLSGSSSSRPEENPASPYYLHSNESPTLVLVSSVLTGNNYHQWNRAMTMALLSKNKLQFVDGSIIAPELTDSTFAAWKRCNNMVISWILNSVSSQITQSILWIDSAFEIWGDLRSRFAQNNYFRVCDLQLELFNLQQGTMSVQEYFTRMKILWDELLILKPLPVCSCSTPCSCNPFKKFRDYYDNDHVLVFLKRLNDSFDTVKSQVLMMDPLPSINKVFSLVIQQEKKIMPIVPAPETAAMAVKSQGFVKKFANNSKRPLCTFCGKEGHTVDKCYKKHGYTTGVKNNFKKSSAHCVESLDVPAYLSNPQSNLQSVDSGSNDTFRFTKDQYDNLLSMIQGLASQQHHVNAVQTQSGPAFGVSGNILLLDPHISLNVFNNSYNKSHEWVIDTGATDHIACNIHSFVDSHVVKDSYVSLPNKTRVRVTHIGTVKLSESLFLYNVLHVPEFTFNLISVGKLTSDINCCCITLSNICLIQDIPRWRMIGTARKIHGLYKINADDITHATSSTDVSVSFVSKDVSSTADSSLWHRRLGHIPYPRLKLLFPNSTFVEPCDVCHMSKQKRLPFPVSYSVSSSVFDLLHIDIWGDNDTIFDISEVIMQPTNEPHPVSRTISNPETQATEPVVEDLSLVHHNLATSNHQLDLHDTSENSNIASNTETSLTKPVSANHQMLRRSTRKRTKPSHLANFKCLAPTRTSPHTLDKVFSYDQLSSQHLAFAASLDQTQEPKTYKEAAMSNDWQLAMKSEIKALEANNTWKVVDLPPGKTAIGCKWVFKVKRKADGSVERYKARLVAKGYTQQEGVDYMDTFSPVAKMTTVRTLLTVAAAKNWFLHQCDVNNAFLHGNLDEEVYMKLPEGYSHEKGKVCRLQKSLYGLKQASRQWNAKLTATLLQFGFQQSSADHTLFTKTTGEIFMALLVYVDDIVLACNTRSKKGINLCQKKYTLDLLKDADFLECKPCPTPILPETRLSKEGGTLLTDSTQYKKLVGKLQYLTTTRPDLSFVVQQLAQFLDSPTDIHLAAIHRVLRYLKGTLGQGLFFPANNDLKLQAFSDSDWGTCIDTRRSVTGYCVLLGGSLIAWKGKKQNTISRSSSEAEYRALATTTSRSAWEKLVASFAAGSKPQIRQLRSALLKIEHKNDSITVYMRRSKSYFDQLAALNSPIDEELLVDAVLGGLGDMYRPFTRALEARLEPIKFENLYGLLLDEEAQLGADQTAVQITPTAYYGTPDLENPGIHNEYTGNDSVTIGNGKTLPISNIGSSTFSIDNHSFHLNDILHVPSASNNLLFVNSFTKHNNVSLELFPHHFDVKDIPMRQASLRTCRQALNASSISFQSSNSSHLCHAYSVSKAKKLAFLESLFQNKQPLELVCSDVWGPSPINSVDGFRYYVLFFDHFSKYSWQTGVESTSLNHISSPKWHNPTQLMSVHTRTKWMCRKKTQACSGNRSCTSKSCLNPIKILVFAFDAAIYIINRLSTPKLKHKSPYEILFHEQTKYDSLRTFGCLCYPWLRPYAAHKLAPRSTRCVFLGYSKLHKGYRCLDFSSGKIYITHHVIFDEHVFPFKVNSEPVAPPATSTTNHLPLALSRVENNPISTPQPSTIPTQAEPNITDSPSQNTTPSSQELPDATSNQSTPPSPKSPGLSLIVDLVKTNQQPPPQPAKQSTHPMLTRSQTGKINPKTKYSLHATSNYVEPTTVSQAMKILEWRQAMTNEYKALLQNGTWELVPRNLAQNIVGNKWVFRIKRKKDGSVDRMKAWLVAKGFHQRPGIDYHETFSPVIKPQTIRLILSLAVQHNWIIKQLDVSNAFLHGKLKGKLL >OMO52053 pep supercontig:CCACVL1_1.0:contig15638:52839:54464:-1 gene:CCACVL1_29391 transcript:OMO52053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKISEQHRDIREGHSKMREEFEAFKSKCDQLRKETEIVLRRTARTQIKLALMARILKAKEQADLDTAAHLTQLLREIVERENEEEEEEVSEDS >OMO52052 pep supercontig:CCACVL1_1.0:contig15638:46056:47528:1 gene:CCACVL1_29390 transcript:OMO52052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSVSHEELDREMNRPIALSRPPDINLIPLSAERSPPQSWNSDTIDMLDVSLGTQVNEADTLVTVSKSGRKCAKRLDSVWGAWFFFTYYFKPVFNEKSKVKVTRDGSGISGFDKSDLELEVFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSADKGFVRSHRMQRKHYRGLSNPQCVHGIEVVASPNLKGLDEEEQKRWMELTGRELNFIVPPEASEFCTWRNLTNAEFEIDRPLPPLKTHPQPQPHAKKLLNGSGLNLSTRPSNHTNGGVMDLSPVSNKRKKDLFLNGNDEDCCLLINQHNDRVNDTETHPFEAPWVNDFSGAMRNIFGPVTGAKTIYEDEQGYLIIISLPFADLKRVKVTWWNNLTHGVVKISSTSTARQQLIKRNDRTFKLTDPFPEHCPPGDFIREIALPTRIPDDAKLEAYGDETGTGLEIMVPKHRTGPEEHEVRVCLRPHLGANELLLSSIGDIQR >OMO52056 pep supercontig:CCACVL1_1.0:contig15638:62539:64991:1 gene:CCACVL1_29394 transcript:OMO52056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MDSFASSIVKNILEKVGSYAYEQIVSAWGLEEEIRKLKNTLEEIQAVLSDAEDRQGRNDEQGKLIKIWLQRFKDVLHDVKDLFDDLEIQDRKIMMANHGAAMNKVRGFFSSSNSIAFCFKMSQRISNIWERLDEIKTSKDRFKLSKTPKKEIGMNKSRETHSYVDAHSVIGRDEDVEKIIRSLLHDNSNNVVFIVSIVGLGESDVKNMTKEILKSTKAGLLSYDDSNFQKLQGELRKALEGKKFLLVLDDVWNDNPKVWEELNQLLIGRSGSKILVTTRSKKVASSMKSHLEYDLGCLSHDDCLSLFLRWASIEGQEERHKTLVQIGNEIVKKCKGNPLAAKTLGSLLSLTTDEKDWLFIKDNEIWELKQEDDDIMPVLRLSYNQMPPYLKSCYAYCAVFPKDFRFNSVDLIQLWLANGLISPHKNCEVEYIGMQYLKELFSRCFFEDVEEFVFVYTFKMHDLMHDLALSVAQNELLAVDSGTDSVSESVRHLSLLNSNLFQQKLKHSTQKKLRRTLRTILCPLAVLGSICESDVGNFVMGCKYLWVLDLSSSSFEELPSSIGQLRHLRYFSLSGNPRIRRLPTSICKLQKLQALGLVGCKKLERLPQGIRNMISLRFLEFTTNEEFLPNGEIGCLEYSLQVLSISGCQSLKFLFYDMEQLTALRTLSITDCHQLRRLPCSVNDLTSLENFLISNCAELDLEAKDKDAKSNQGHEKLLSSLRSITITKMITFKALPQWVQRSANSLPSLIIEDCPNFEKLPDWLKTFKSLQKLQIIGCPKFKPSEA >OMO52050 pep supercontig:CCACVL1_1.0:contig15638:26757:32055:-1 gene:CCACVL1_29388 transcript:OMO52050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 31 MRPFTVSLIFLLFLASQTVHSWKKDEFRNCNQTPFCKRARSRKPGSCNLIAHDVSISDGDLTAKLIPKAPQDQEQDQIKPLTLSLSVYQDGIMRLKIDEDPSLDPPKKRFQVPDVVMPEFEAKKLWLQKASTEKLDGDGGSLSSVVYLSDGYEAVLRHDPFEVYVREKAGKGRVLSLNSHGLFDFEQLRAKKEGEDWEERFRGHTDTRPYGPQSISFDVSFYGSDFVYGIPEHAASFALKPTRGPGVEESEPYRLFNLDVFEYLHDSPFGIYGSIPFMVAHGKSGKSSGFFWLNAAEMQIDVLGNGWEAEDGILMPTGQNRINTFWMSEAGIVDTFFFVGPGPKDVVRQYTGVTGLPSMPQLFATAYHQCRWNYRDEEDVENVDSKFDEHDIPYDVLWLDIEHTDGKRYFTWDKLLFPHPDEMQKKLAAKGRHMVTIVDPHIKRDEAFHLHKDATERGYYVKDASGKDYDGWCWPGSSSYIDMLGPEIRSWWGDKFSYENYVGSTPSLYIWNDMNEPSVFNGPEVTMPRDALHLGGVEHRELHNAYGYYFHMATSDGLLKRGDGKDRPFVLSRAFFAGSQRYGAVWTGDNTAEWEHLRVSVPMILTLGLTGMTFSGADVGGFFGNPEPELLVRWYQLGAYYPFFRGHAHHDTKRREPWLFGERNTELMRDAIRTRYTLLPYFYTLFREANVSGVPVVRPLWMEFPSDEATFSNDEAFMVGNSLLVQGIYTERAKHASVYLPGKESWYDLRTGTAYKGGKIHKLEVSEESIPAFQRAGTIVPRKDRFRRSSTQMAHDPYTLVIALNSSQAAEGELYLDDGKSFDFKNGAYIHRRFVFSKGQLTSSNAASSSLGKNSFPSDCIIERIILLGYTPGPKSALVEPGNKNAEIELGPLRLGGRGAAAVTIRKPGVRVAEDWTIKIL >OMO52046 pep supercontig:CCACVL1_1.0:contig15638:4325:6861:1 gene:CCACVL1_29384 transcript:OMO52046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIIQILGLLLSKDVTKQNPGDHVATPGRAIERNQSSLFDKLQSSESAKQRISGPLAALTFNLVVAVGIIFMNKWVLKSVGFQFPVFLTVIHYAVSWALMAILKTFSLLPSSPSSKLAPLSLLTFGVVNSVSTGLANVSLKYNSVGFYQMAKLAITPLIVLMEFIWYTKRIPFSKVIALTIVSVGVAVSTVTDLQFSLFGACVALAWIIPSAVNKILWSNVQQLENWIALALMWKTTPITLFFLVLMIPFLDPPGALAFHWSLSNTSAILMSAFLGFLLQWSSALTLGATSAISSVVLGQFKTCVILLGNYYLFGANPGSTSIFGAFIAIAGMSFYTYLNLHAMKRQSSKGNKENHNAYGAETV >OMO52054 pep supercontig:CCACVL1_1.0:contig15638:56174:58603:1 gene:CCACVL1_29392 transcript:OMO52054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MDSFSIVENILEKVGSYAFNQIVSAWGLEDEVRKLKKTMEEIRAVLCDAEERQARNDEQGNLIKIWLQEFKEIVHDIKDVFDDLEIQDRKMMMMEGCKGTVNKVRGFCSSSNSIAFRFKMSQKISKIWGRLNEIRNRQDTFKLISIIIPRMETGMISSNRETHSSVDVQTVIGRDEDKEEIIGKLLLEKNSNNNVVSIISIVGLGGLGKTTLAKLVYNDLRVVEHFDLTMWVCVSPDKFDVKSMIKEIFKSTKPGLVYAGYNLQALKDQLQDALKDKKFLLVLDDVWNDNPKVWEELNQLLIGGNSGSKMLVTTRSEKVAFAMKSHVKYDLGCLSPDNCLSLLLRSAFNEGEDEEHQNLVEIGKDFVEKCKGNPLAAKTLGSSLYMKTDERDWHLIRDNEIWELEQRDDDIMPVLKLSYNLMPPHLKSCFVYCAVFPKGFRFNSLDLIQLWLANGLIISPSRNSEVLEYVGLQYLKELFSRCFFEEIEESVFLYIFKMHDLIHDLALSVAHEEILTVKSDNISISESVRHMSLPNSNLFKPKVTQKKLRTIVCQLAVLGSICETDVGNFIMGCKYLWVLDLSFSSFEELPNSIGQLKHLRYFSLSGNRRIRRLPTSISKLHKLQALGLIGCKMLERLPRGLRNMISLRFLEFTTNEELLPNGEIGCLDSLQVLTISGCQSLKFLFGDMNQLSSLQTLNITDCRQLRCLPCSVNDLTALENLLISNCAELDLENLLSSSSLRSMTITKMTNFKALPQWLQRSANSLSSLIIEDCPNFQTLPMPDWLKTFKSLKKLQINGVSQTSSNVRLN >OMO52049 pep supercontig:CCACVL1_1.0:contig15638:24297:25944:1 gene:CCACVL1_29387 transcript:OMO52049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGENEIHEAARSGDLAKVQSILASNPLEINSRDKHKRTPLHLAAWSGQAQVVSYLCKQKADIGAGSMDDMGAIHFAAQKGHLEIVKTLLSSGVSVKATTRKGFTPLHYAVQGSHLEVIKVLLKKGASLSAKTKAGKTPLDLAKSDEIRSILKECEQSPMKVNRNAKEKAEKSDSRQPADKMENSGDEATAAECEADGQDDERVKRKSGEDNQESLSEPKKPRVVLSHLDEDDAQEEEEEAS >OMO52047 pep supercontig:CCACVL1_1.0:contig15638:8696:16181:1 gene:CCACVL1_29385 transcript:OMO52047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation, RCC1 MAAEEEMSVSEVTGPFRQVLFISAGASHSVALLSGNVVCSWGRGEDGQLGHGDAEDRLSPTQLSALDGHEIISVTCGADHTTAYSLSRAEVYSWGWGDFGRLGHGNSSDLFTPQPIKALHGLRIKQIACGDSHCLAVTMEGEVQSWGRNQNGQLGLGNTEDALVPQKIQAFQGIAIKMVAAGAEHTAAVSEEGALYGWGWGRYGNLGLGDRNDRLVPEKVSTVNVCKKLGEKMDLVACGWRHTISVSATGGLYTYGWSKYGQLGHGDFEDHLVPHKVEALAGSFIKQISGGWRHTMALTSCGKLYGWGWNKFGQVGVDDNADHCSPVQVKFPHEQNVVQVSCGWRHTLAITEEKNVFSWGRGTNGQLGHGESVDRNFPKIVEALSIDGSSGQQIESSKLDPLSGKSWVSPAERYAVVPDESGQTAPSEKGNGSDINVPENDVKRMRM >OMO52048 pep supercontig:CCACVL1_1.0:contig15638:16988:18994:-1 gene:CCACVL1_29386 transcript:OMO52048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MGENAATRNHNNHQAFGVVSIDVPSQDTSECFDDDGRIKRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPAVMFLFSFVTCYTSSLLSECYRTGDSVSGKRNYTYMDAVRSILGGYKVKACGLIQYLNLFGISIGYTIAASISMMAIKRSNCFHESGGKNPCHMSSNIYMIMFGVSEILLSQIPDFDQIWWLSIVAAVMSFTYSGIGLGLGIAKVAENGGFRGSLTGISIGTVTQAQKIWRSFQALGDIAFAYSFSVILIEIQDTVRSPPAESKTMRKATKISIVVTTAFYMLCGCMGYASFGDFAPGNLLTGFGFYNPFWLLDIANAAIVIHLVGAYQVFCQPIFAFIEKLAIQRWPDSYFITKEFKIRIPGYRYPYKLNLFRLVWRTIFVIFTTVISMLLPFFNDVVGILGALGFWPLTVYFPVEMYIQQKKIASWSSRWICLKMLSMACLLVTVVALAGSIVGVIFDLKVYEPFKTTY >OMO52051 pep supercontig:CCACVL1_1.0:contig15638:32553:37015:1 gene:CCACVL1_29389 transcript:OMO52051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLISFTSTPTSTFPSFHNKSSFPSFPISVPCQNPINSSASAPFPLCFAAKSQTGGPVKKRSSSAAGNKKRRKGKSGVDDDLKELTLRDVEIVEENDDYYDNDGGSSSITTSNSARSLAYHPPPLPKPPAGFVVDDAGRVLMASNKRIATLVDPADNSPLECVIRRIFNSSRGDECMLLCPVDMPVQILKSTNIDGWSAVSDEEVEAILPVADYALAKVHMHLVHSGFCYTARGGFCYTEDDIFDLRSDDGQDIEGVPTEGIEITCFHHDGAHYMLYTPSDPLLFVAVKDQNGMLQIADDDLLEDPAITSAIDEETEFNALVEEEEALLESLMGLR >OMO52055 pep supercontig:CCACVL1_1.0:contig15638:59255:60968:-1 gene:CCACVL1_29393 transcript:OMO52055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHEGLIQPHWHQSPKQGYNESEKHQVPTKISSSSAHQSQVQQEFLRGQYKNSDHIKPEDYQSPTSSTFQTHQSPQAPPLSSVQEEIPSRSIRIPHDQVPGRKQTQFSEHYALPKLQFPEQISSQAPGKQSLEENQSLSISSLQPQFPKQDSDRKQSYGDSKSAPQPPKLIHEHPKQAPTPQNQKSDPVIPYPEASENKEKHTSDLFPKNVYRFRLPPEPPSPGPPCCMICCAACINGFKHGFKESIDAAARLKVQLETDVAEAEQQQQHVQKSQTRPFAPTCAKLKLCTKQIQISFSYVFYYKRYVKQLEHGMEMVCDIRDALGIEVAIAQCQKKSVSPVVVNWIAGVDKIEPETRRFIGEAKGRKHYLCWPMARYWLSFKCVKKREQLREIEREGKLFRKVADPAVPPPRIGSTSVRILMPDFSRLG >OMO62568 pep supercontig:CCACVL1_1.0:contig13246:11772:13249:-1 gene:CCACVL1_22749 transcript:OMO62568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MASPNNNKLLCVVVLVVSCMLAVAPMATAARCSRIVCDLHGIVDGVITTAGNVVNGIIGGKNKPQNIKGTVVLTKKNFLDFNALASSAMDNVYELLGQKVTLQLISADIADPANGNGGKLGKAASLEKWNPTLLTMLTSPLASDSTYGVSFEWDEGFGTPGAIIVRNNQASEFYLKTITLEDVPGAGRIHFVCNSWVYLDNQYKKPRIFFSNKLYLPHEMPAPLRKYRQEELEVLRGDGKTELKTGDRVYDYATYNDLGNPDSSSDLARPILGGSAEHPYPRRGRTSRPPSRS >OMO61897 pep supercontig:CCACVL1_1.0:contig13395:3839:3907:1 gene:CCACVL1_23170 transcript:OMO61897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AQLQIHFQGRNKNQGRHQFVFD >OMO99905 pep supercontig:CCACVL1_1.0:contig06776:5336:5458:1 gene:CCACVL1_03556 transcript:OMO99905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLSLGSKMETLGRIRGGSTVGKGSGLAAAFLGGWTGGN >OMO77961 pep supercontig:CCACVL1_1.0:contig10664:19384:25530:-1 gene:CCACVL1_14730 transcript:OMO77961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MDKMKMVLVIICMMLVVFKFESSEAVQNGSLITYLPGFNGVFPSKHYSGYVKIGGEKNLFYYFVVSERNPGRDPVVLWLNGGPGCSSFDGFVYEHGPFNFEEGKPKGSLPTLHLNPYSWSKVSNIIYLDSPVGVGFSYSENISTYTTGDRQTAADTHAFLLKWFELYPEFVSNPFYISGESYAGVYVPTLASEVVKGINGGAKPTINLKGYIVGNGVTDRFFDENALVPFVHGMALISDDMFKDVEAACKGNYSKYSQACDRNLEKVYAAIEGVNIYDILEPCYHNPTNNAQRGGSGSGSGSGSKGNTSLPLSFQQLGVTDRPLPVRTRMFGRAWPYRAPVRDGFVPSWPQLAAGTKIHVACINDEVATIWLNDPAVRKAIHAEPENVAGPWELCTARISYNHDAGSMIPYHKDLTSKGYRALIFSGDHDMCVPFTGSQAWTKSLGYNTIVQWRSWISNAQVAGFLQGYDHNLTFLTIKGAGHTVPEYKPREALDFYSRWLDQKPI >OMO50842 pep supercontig:CCACVL1_1.0:contig16041:16310:16408:1 gene:CCACVL1_30210 transcript:OMO50842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEETGEWTQSSAAVPHHDSSGTRECKSRASG >OMO50841 pep supercontig:CCACVL1_1.0:contig16041:9537:10850:-1 gene:CCACVL1_30209 transcript:OMO50841 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MSPPLLGVEEEGGQSNVTLLGSSGSGSTMESVCQNSAELKERNYMGLSDCSSVDSSVASPLPEGKKASLNLKATELRLGLPGSRSPERNPELCLLSSQLDEKPLFPLHPSSDGHCSASQKTVVSGNKRGFSDAMDGFSEGKFLSNSKVDVLLSPRPSANLGLKPGSVLENLGTQPTKAKEITNQKAVQDRPLAANETRPNPNASANNNNGAPATKAQVVGWPPIRSFRKNSLATTSKNTDEVDGKAGPGALFVKVSMDGAPYLRKVDLKNYSKYQELSSALEKMFSCFTIGQYGSHGTGRELLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWE >OMO50844 pep supercontig:CCACVL1_1.0:contig16041:27039:32711:-1 gene:CCACVL1_30212 transcript:OMO50844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNYDQQFLEELLALRRDSTWDTIPTEMNEIFSSSSSSGCWNFDAFDDYNSASAAAFHPNSFCQNDFSAPAFEQVDFNNYNFNEIYSPFGDEFCAAPQPQVTDSSNNTFDTPPFPVQEDYCLNMVEEEESGFLADELHKLDVQAATCKAEPIHESPELAPPFTIGTCLDRKNSRARKLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERIKTLQEEIESGSDELNMAHIFKDVKPNEILVRNTPKFEVERRNVDTRIEICCTGKPGLLLSTVTTLEALGLEIEQCVISCFNDFAMQASCSEDLEQRTIMSSEDIKQALFRNAGYGGRFQSSDIMKQRPHLMTTESQNVIETMLVVLKDKKKSSKLNIIEQNREIIWNCKGVLNADSTKNDIGSSDFSQGPSFSFPRVDSKPAAEAANRGGAVDNGEVIKEEIAEQSVKSGREYIVEKNQETVSQFKQNVAGGSSKNSSASEIQNYIGPAGLDAGLEKVEFTFNAGTNRKEKGIKWKKEAR >OMO50843 pep supercontig:CCACVL1_1.0:contig16041:20168:20664:-1 gene:CCACVL1_30211 transcript:OMO50843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGEESSSSRRPSISFPLGFALLLILLICISLVLVCYVKWHKLRALFLQSPYEDENDDDSLSETSHSPALEAASPVMKAKQKVGGESLPVLMPGDRVPRFIAMACPCEPPILEKITIQIHKPPSDLAVPFYYS >OMO70992 pep supercontig:CCACVL1_1.0:contig11755:9418:15291:1 gene:CCACVL1_18524 transcript:OMO70992 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MEIDLECGSENGSGLSKFVVIGRIIADRVLNRKGVKAILRNIWPETVAPCIREIGDNKYSISFKKQDAMERAIADANAERIGNKIGRLIEIEDPSMVGFGKGYLRIRVALPILESLVDGFWVPKENGDRIWAVVKYERLMDFCFNCGRLGHVLKFCGAELLELPRFGPQMRALPPRRGFNRLDSGFGGSIGAWEDDEVNSARGSQKHWEGENSIGLSRSDGLTLGRVQGSMLKDDIQGTNWKDRLEERKARDRALSKGISHSLSLHSVAEKNIYVKDVTGDLRLVRDTENFMSKNSNVVLKILEPNETGVLESKEREEFCADNDQLNNVLEELGNFNAGDNREKGTYELEKYVVESPLVGEQIAGNNNDVLEDGQNWALVEYDGKGCQSLEVVNSELSADLRKLKIRKGREDGNFDEVSKKKQKVEVLKEINVVETACQEVLFSGKGAGSPLTRNVLKGMVKDHDPDVIFLMETKNGFKKMECLRKGCKMDNSLYIDPEGLSGGLALWWKGEVNVQVITGNKNVIDTKFVCSDTGDFNDIMVGSEKEGGRSKERRLMDNFVDFINDCGLNDIKAHGPLFTWMGIGEGEVIFNLEALGSDHAPILVDTDYKEEKAPRRFKFEATWLTYPDCMDVVRKGWGEQVDGTMAFKFMRKLQKCRSLLIQWCKDAVQNNKKVISNLKKEVASLKEQAQTEEDYKVMQEIVKRVKEAWHREENGDGSWSEKEDQVIRSFADYYDTLFRTDGRRDWGDILDCVPQLVTREMNEELVKEISNDEIRDAVFQLGAYKAPGPDGFSGIFYQRCWNVIKKDVCEVVRSFFRSGRMLRELNRTDIVLIPKIKGPTSVSHFRPINLCNFIYKVISKVMVNRMQPFLGELISEQQSAFVAQRMIHDNILIANEAFHHMRLKKRGRKYEVAMKLDMNKAYDRVEWDFLRSVLLKLGFDPLWVSWILECVSTVSYSLILNGKQAFNIFPSRGLRQGDPLSPYLFLFVVDVLSRSVQQKVLDGSISGIQLSRQSPMLTHLFFADDSLFFFDANLANCRNMISCVQDYCRASGQSINMENSRLIFSSNVPEDERQQVENCLGIKVAANPGTYLGIPTFWGKSKYDAMGFIKEKVLTKLKSWKQQTLSYGGREVMIKAVASAMPVYVMACYKLPKRLCDDINSAMAKREGCDKLKWIHAKDGNYTVKSGYQVMKQVEVMRNNQVPTSSYRINVDIWKYIWNLKIPSKLQVFMWRLCHNAVATMYNLWKRRLKDNPLCPICFSYEETVEHMIVQCDWTRGIWFAVLGIRVVKEHIIHFEDWLLDIHKQLQESGKDCKTADSLIAYVCWSIWLERCAVSFGLDNGNVLVDNLVKDTEVNADGKQSWQKPEFGWLKINCDGAFIKETHKAGIGVVVRDSGGRLLDGACESVKANSAEMVEAYALKKGVELAVQRKFDKVVFETYCRTVYTGVTDSKTTCNWQIGPIVQEIRFLMQQIPVKKFKWIRRTCNAAAHWATSEAVKGMCNLGWLRLPPSSLMFILDKDGLPAPPPLV >OMO69329 pep supercontig:CCACVL1_1.0:contig12062:13923:14012:-1 gene:CCACVL1_19553 transcript:OMO69329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVKLLARKKDRVALDSAIDELVQLEMQREM >OMO50565 pep supercontig:CCACVL1_1.0:contig16129:3623:5263:1 gene:CCACVL1_30375 transcript:OMO50565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MTEEREAALQAEIQGLQKDQGEMKEQLDRTKNQMDRMMGMMESLTKRMKGKANQEEQNPVSERQKMVGLGMDDGPSNAAQTTVSPTTQTLPTSSPQSLFPPQTSSLYPYPPYLLPYVTQDSGAFKPRAPTDTSFMSDPNTMAIKPIEIPVAEKSKKDTSEDSKRLFNVLEEMIKGLEGPYGYYDVMDASELSLVSGLVVPEKFKVPEFEKFDGTKNPQNHLRSYARKMHPHTQDDKLLIHCFQFSLTDSASVWYNQLDPNKINSWTDLAKAFMTQYRYMLDLAPTRESLRNMERKPSETWKEYAQRWRDAASQVQSSIPEQELTSLFLQTLKPIFFEKLFHLKRFAEVVVAGEMLESGLNSGKIDGGEAVGFKKGQGNKKKETEVNVASQEGYQPKSSYGPYYPPLNYCHPYHYPINYYQPPSNTYQYPYPSANNVTSGASFMSKSSIVQPSALSSSLKAKERTPIEPIPYTYTELLLQLLQQGLVTRIQYTKTWQPPYPKWYDVNAYCDFHSGAQGHSTENCTRLKYVVQDLVKSGKLSFSKEKS >OMO50353 pep supercontig:CCACVL1_1.0:contig16205:11429:11560:1 gene:CCACVL1_30494 transcript:OMO50353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFLTCCVMLVVTGTQSSGISDMDGNAEDNNEHVPNDEAAMF >OMO50354 pep supercontig:CCACVL1_1.0:contig16205:12108:12362:1 gene:CCACVL1_30495 transcript:OMO50354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLIDDPSQEVPRARDEIHIGAMGGRSGYYRGFEYGKQGLIKGNRASRSLLEQQEEKEARTQERFGELEKRQAGQLEKSVPTF >OMO50352 pep supercontig:CCACVL1_1.0:contig16205:8884:9704:-1 gene:CCACVL1_30493 transcript:OMO50352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYSLFYPVHGAQKLQQLNLSSDEIDVLERNFLTYLFQEMEKSNFKIATDEEIDVALAGQYLLNLQITFDESKIDKTLLKRYFPEHPQDNLPDFADKVVISL >OMO85765 pep supercontig:CCACVL1_1.0:contig09581:8277:9215:1 gene:CCACVL1_10006 transcript:OMO85765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQEYFSTPSSSSSSSSSDIQLSLEPLTICPKKNQTEKHCKSCMIASTVVFSSASSLIGDYIGMESCFDVDKTEEQLELFLSKSSVTSSDGDLISGVCSKIRHRCRRTKKREFPPPIPSLARTENLNSHMPWVLKRYYTSDGRLILREERVKHHEYFRAHRSNGRLTLHLVPLDDDYDDEINDLGPIKEDIEIEDDHHEIDQQEEEEERMGNNGTHQDSDFNSNIIANADDDNSNVVAEGIEDINKSGILIEESNSMVKCSSVAENPSIENGIAAAAAATGNFLNYSSVSVRASPTCFLGMPMPAIRPVHS >OMO85767 pep supercontig:CCACVL1_1.0:contig09581:25098:26087:1 gene:CCACVL1_10008 transcript:OMO85767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSFSRFPAAITPMQIQKQCSTTPSPASYNIEHLLLPAGLKTLIFTPQTQTPTTLIIHSCTIPKTRSPSSPDFQSLFRTLSTHSSSYSSCSSGMDDMLGTESGVYMSPNELQVPETESSSGNGKRKQQRGSAMTRQFPPTIPLLARTGNLPGHMPWILTRHYKNGRLVLKEEKVKHHEYFEAHRENGRLILQLVPLDDTVKCHHTVYEEINGDEELELEENIGFCQGEELDKVFDEEIDETGDDDYDEEEEEEKGSITISSAFSVPKFCHGNERFGDPRKCLTYSGRFISESSSMFRNGGAGEQQEGTSDPLAFCNIVPSDVACLVNA >OMO85766 pep supercontig:CCACVL1_1.0:contig09581:17737:17874:-1 gene:CCACVL1_10007 transcript:OMO85766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFSIADNRNFGGNSSLPLLAAPIATSLARFTPIDYNKEKPNPN >OMO54628 pep supercontig:CCACVL1_1.0:contig14927:2636:8023:-1 gene:CCACVL1_27710 transcript:OMO54628 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase PIF1, ATP-dependent MDQVGAGKDHGNSDNIVDVGVFDLSFYSQQQVAQNLALFQAVFPDFDVSYSQPSYLAQHAVVTPYNETINIVNDYILDQIPGEERVYYCSD >OMO50761 pep supercontig:CCACVL1_1.0:contig16059:5074:5886:-1 gene:CCACVL1_30274 transcript:OMO50761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MEFQGGSEEEVEIELVAAGVEVSEATQFALLGKIVVGRDLNRKGVINVLRSIWNARDLVDVRELGNNIYGISFANEKGMEFAMENGPWSVFGHSLVLRRWEVEIDVKKPLMSGFWVPRVDKERIWAEIRYERLADFCYNCGRLGHIEKNCEYAENQDRVQKYGAWMCVGPARDKGRGDGVWKRWDKKGSPMLQADYEKEKIAEKAAWV >OMO50762 pep supercontig:CCACVL1_1.0:contig16059:14769:18433:1 gene:CCACVL1_30275 transcript:OMO50762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKVKPLLTCFTQEGDYLAILSPDGTVKIWSTTTGSLLAECKQPDSNSAETYSCMACSFIGKKRKKGKGTFLLAFGTKEGEIFAIDVFTGDRKWNSTCSYPGGIAGLSFTNKGHSLYVVGNNGKASEINSETGDVIREFKASKKSISSLTFSQDGKYLALASGKTCIISMENGKELLKFPDDLDAVQHISVSNDAKTIVTSGFGETNLQVWSCDLSSKTVSGGSVISMPRPPFAFECKNNGSEDGGCAILAVSESGIAYVWNFETLPQDDVKPTKITLKADKAEMDHPQKSASSRKSRISVIAARLRAPGMGQQVDALIAYGPLDSPQFSLLNVGKTGENNVINAADATGNETIQENTAASVKGLQVPDSTAAVDANGKLNKKRAAPDPDLATTRGIDDTGHGENVDGVLVHDDLNEPTMGEKLASLNLVENGNSEIHESQEREESSPRAKPPTADSVNVLLKQALHADDRALLLDCLYTQDEKVDFPQLLVMEVCLGYMY >OMO64177 pep supercontig:CCACVL1_1.0:contig12856:13247:14528:1 gene:CCACVL1_21976 transcript:OMO64177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arv1 protein ENCKAVADEYIECELMIVLIDLILHKPKAYRHLLYNVLSQQTTHFQGLLWMALFGFLVLDAYRSSIMKTHEEEWDTSMSFSSFFWVYRKVQRYFACSSIIKLLQDFSRSHD >OMO64179 pep supercontig:CCACVL1_1.0:contig12856:43889:50942:-1 gene:CCACVL1_21979 transcript:OMO64179 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MFMIAAYLLHDGSARGFGSSGLRVIRVSGHLGCESFGSRVRRLSGRVGSVVYFFWSNRVGRVIGFGFLPPDEVIISHFLLNKMIGNHHLTHHIGEVDLLKQEPWDLPALSKVESRYPEWFFFYKLNKISQGKTERITEAGYWKSTGQDRVIKDGARVIGSKKTLVFYKGRTPRGVKSEWVIHEYRATPASIPPNAENNYVVGVLRRKVPEKPENATSNSGLSSSHLTNSSSQSNSVGVAPMETPPQLQPNNMGYSEDYDFALAMQTQMESEGIPWHEFGLFECDLPPSSGTAKNGLVPMEEDVLSLEDLPSPDGLIDNEEDELPNHFNDNTTEQEMVFMRYTFPFQDKYPYEGTHGSSLLSVSDYSTLDHAENNILYDCVLSMSDGISHGYSEGYNQNRLENEFHHDDILIMDSTVDSATMTTHRINDLELPKEERSVKPKSHKSVVQGHPVMYELQSGKLEPNDLELLKEEWSVVNSRTFKSHKPVEQGHPEGVQYILQSGNDMTWTGERRAVVEHVRNRKSTVQSNKDRKVDNGRRNAKSDLNSRFNGSAGSSRKNTFNVVETSQPRCKCTPKPPLVYVGNVLLGVILVIFIIREVMLQMFEVFQDWRDECCCCNDTVGYRFHPTDKELVDHYLWNKALDRDSAVQAIGDVHGDICDWEPEELTRFSVQRSNDQVWYLFCQRNQCRRVNRTTKLGYWKLTGKHRRIKANVGIGTKKTLVFYQGRPKGKWTPWVIHEYNLPDTLPNQKGIFLCKLKRKHDEKAGLLSGEEDQPSNVEDDNDSNNSMKINVNDLLTELDETNGSDEVASAQKPQMSGEEAPPCNAALSSYNFNGNFDGVQNQSSTNEHDDELHSSTLLPDMHGEHVPCCDNSSVFCGFNGNFDGVQNQSSTNEQDDELHSSTLLPYMHGEHVPSCDNSSVFCGFNDGFDGVQNQSSANEEDDELHSSTLLPHMQAEHVPSCDNSSNFSGFNDDFDGVQNQSSANEEDDEFWNNLLVDENDAYPDEGSNQLVVGVESSGLLAGNLEESSRKRLRVDDSGLDGVTETEVSQARYGKELSISSMFVLTDSNKFLEISLVEATNVTLFAMDHNLLASERRLGILNESRKGSAPPFDYAADVPVATRIYKPHNQRKAHNRKMNEPSVKGISMQRNKEGIYPLNTR >OMO64178 pep supercontig:CCACVL1_1.0:contig12856:15503:26089:-1 gene:CCACVL1_21977 transcript:OMO64178 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MTINNGKFPNWKNVNTVLLGSTRLESYSTRSYLTSHYLVPTPRFSVFTALLYKLHPVGYYLWKKILTLTGYRFHPTDFELLHYYLHNKNLGRDALVQAIAEVEDICGLEPWELPGHSNIHSGDQVWYFFYRPSYKYRNSTRIKRTTNQGYWKPTGNFRKVMSRDLETEIGKKRTLVFYKGRVGDNIKNKTGWIMHEYELTAPLPNQTSFVLCKLKKKFGKAEVPCIEESQSNQYLPPTLGKYLANNEAPAEAARCLMGQSDPNEILSKLQEFNAHEGVELPGYQSTESWNSYLVGDHVPYNESSNLPFNSGNPNSVNSVPNNESSKYLPFNCGNPISETTVPNDQLNSSEVPMEQEVHQDQSGTAEQDMNEFGKLVPADNERSNQHNLVLESDGSTSSNLEIPATEDSVQMDMSNMAVESMDELFAELGALPQGNRHVQENGYGEALDDWISVDMFRKFEIPNLDHQSSTNEDQNTATANGGCGLSFAGIVEPPDSINHPSRKSGNIVGYRFHPTDKELVDHYLWNKVLGHAGLVEAINEVEGLCNRDPWDLPGCSKLESVDQIWYFFSRRKDNTRVKRTTENGYWKVTGKPRHVEGKNGSGIKRTLVFHKGRTPNAKRTPWVMHEYIFTSTLLENKEGIFLCKLKNKEEEKDNTSSSEVFQPSVVADDVTPQSSPMFDPDEILAMLEEPDRDKGRNNFPSLQLPMHEEQLPHSEEYAYFHEFTDGHNKVQHQSNTSEQNDELWMTYLNDEVYPDERSNKQVDESVDCSLASDGIHMTLPGESSRKRSRFENGGQCGAFGNEECQATYEQVANASSMLCEHAGSKKIHAMAMAMANAQNVTNTAVENESHGRDKLLSVQNDSKETDAPSVDPAVDVKCVFHIALVESLDYPPATNFYEPQYQECSEILIEQEEDPKRISKQGKFSGKSASLDKARDVKQQVTAANLPQKENTIRESDIKLKTAKQTNEKTSLQMQGRESAASDEKGHHMIHVFSYGIPFNAWDAVMSILTLNRGFPFPTIFDISSSNLKLPMFGNLDEMNFVKGFRFHPTDEEAIELLYDKRVVGRDLTVQVGDSIVPVITQLKDICEFEPWELPGLSELASGENVWFFFCSPRFKYRKSIRKNRVTKKGYWTPTGKPRNIVTTYDGMKITGRRQTLVFYKDRVSNKKKKENKTSWVIHELELTLNLPNIKSITLCKLKKKHGKVDVSRGEEVQSSQSSPSNVENHSANNTIAEGQLNCSELLTESEAVNENSGIQTQFTTNEQDDDDEFVSSLLVNNDEMINPEERSNQSYLVVENEGSKLPPKFPKNHEDFGELINQKPKGTSGSVGTQDQAYTTTEYDNLSWSSIFNANNQICSKEGSKQHNIFAENKDPATLFLDIIPMEHSGLDEFLQNGIFMADLFTVPEAVENPDRVEHDKFSTNEQDDKFRNSIITTDEVEAPKIFRSKEQNFATKTEAFDFPPP >OMO64176 pep supercontig:CCACVL1_1.0:contig12856:4719:5879:1 gene:CCACVL1_21975 transcript:OMO64176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFAQEEKGYCNNNEEEDDEEVIREMNHFPFSSSSSSASSKYKDMASHHHHHHQDLWLGSQEDYKTQEPAINFDRKLEQMDLTLGPNNNNNNNEESNVGSCRVGDSSSRCIIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSSNEKGLLLNFEDRNGKSWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFQRGVGESEKDRLFIDWRRRPNAPDPTSLAHMQLQNHFNFPQSVRWGRLYSMPQSMCVSRSYEPLHRLNYSIYPFNHHHQQQFHHHQQQQQQQQQQQHQAIGYGNVSQYYNLRTSTTGSNQVHHQIGGMQEPMVIDSVPVVHHQANKTAGKRLRLFGVNMECPPQQDDIESTTSTFFSSWRRHK >OMP11031 pep supercontig:CCACVL1_1.0:contig01674:628:702:1 gene:CCACVL1_00716 transcript:OMP11031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYALSASGYNRRDLIDRMEEYIDK >OMP01454 pep supercontig:CCACVL1_1.0:contig06450:3483:3551:-1 gene:CCACVL1_03093 transcript:OMP01454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQQDSQSQQSKHGKSIGFAG >OMP00793 pep supercontig:CCACVL1_1.0:contig06616:257:352:1 gene:CCACVL1_03296 transcript:OMP00793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKALWFAKSKTIQNSSNGPRKARNNKCSE >OMO49390 pep supercontig:CCACVL1_1.0:contig16517:5685:17698:-1 gene:CCACVL1_31035 transcript:OMO49390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKDFFVLLFSRRSLKDLVLDEDDIELIQENRNSGLCLLTNESEKFKRLKKAAGTSTNLAEQSHVSDDEGMLFDDILGEEDNAKDNEEDEMADFIVDDGETYGQRSPIRGHQILLPTTKKNKRSPIRCFKVNQKKLKQVVTICSSGLNDMGPVIGDADEILKQQKQVLAIKFKPDDPSEFEPFFLAEKYMTEWNHHVRENDVPERMQMIEDIVGALPLDQMSREEESVWILNQLVMNPNLLFYKKLAPEGSEDGSILMNKIKKADIMKFLELHHMEKFDIPFIAMYRKEECLSLLDDIEQDEADNEDNDGVVQSRQLKWHKVLWVIKELDRKWLLLQKRKSALKMYQKKCYEEYLNSDDGERLTLDKQLFESISKSLDKAESKEEINDVDAKFNLHFPPVGEIMDGKFRRPIRKSLYSNCMKAGLWKVANQFGYTSEQLGLQIALEKMDAGFQDYPDKPPKEIALDFTCAMFETPELVLKGARHMAALEISSEPCIKKHIRSIFMNKAVVSTSPTPEGNLTIDCSHQFSGVKWLRDKPLSKFNDAQWLLIQKAEEEKLLQVSIKLPESILNEVIASFNDVYLIDGAGRHAQLWKEQSKLILDDAFNFIFPSMEKEARNLLTSRAKHWLLMDYGKELWKMVSVAPLSHNENTFGSMESVAPKVMSCCWGPGKPPTTFVMLDSSGELLDVLEAGSFLLRSQTIDHQQRKKNDQQCILKFMKNHQPHVIVIGAGNASCVRLKDDVKEIISKLEENLRDLGQAMNGISVVYGDESLPQLYELSQISSDQLPGQPGIVKRAVALGRYFQNPLAMIATHCGADREIVSWKLSSLDHLLAPDEKYEIIEQVMVDVTNQVGFDLNFSVSHDWYFPLLQFISGLGPQKAAVLQREIVGNRGINNRKELANFGLKTKEDGTRIHPESYELAERLAKAVCKDVAEEPIEYVKKEPQVLSAFDINDYSDDYEIEEGENKRETFYHIKVELLHGFLDPRKPYKVPSLDEEFNMICGKNGTALVEGRVIQAVVRHVLSKRAFCVLDSGLTGIITKEDFSDEVHEDDGFSLEDKLREGDKVSCKIKQIDKSRYQVLLTCKESEMKGSSLEDIHPYYHEGGSILLKQAKITSMDEKLGKKHFKLRIISHPCFTNMTTDEVMEFLSDKDVGESIFHPSSRGPSYLTLTLKVFDELFVNKDIIEGGKDHRDITSLLHLGKLLKIGDETFGDLDEVMERYVVPLVKHLKEMLGFRKFKRGSKAEVDEALKAEKSEHPMRVVYWFGVSYEHPGTFILSYIRSINLHHEYVGLLPNGFSSSGAYTGGGWQDQLNSSKDGSASRGRNDYDRDGDGLKKHPSGLHRPPNGLGRGSSSDATKFGSCSEDLPGKWSSGGNWDSGDRRDSGGKWDTNEDNNNRGWNKSYGGGDDGCTSGWGSTKSGDSDGNNGVWHAGGNNARGCGCGRGRGRGGGGRDHGPGRGGGNSGWGQSEEEESGGSFNSNEGVWHASGNNGGGRGRGRGRNGRGGGRNSGWGGSNEDEIGGSLGSNEGGWHASGNNRGGRGRNGCGGGRSSGCSDSKNYESGGSFGSNEGAWHASGNHESERGRHGRGRGRGPGHGYGGASSGWGGTKEGESSRSFGGDEGVWHAAGNEDGPGHGRGGRGGRWDSDRGGRRGSGRNWRGSGRGRGRSNEGVIIATGKSTGGSWNGNAGASVGGSSWEPHEAVGSGGNGGGGSWGNINNNSNGILGSRPDNMGGNVARDEGGWNSGSQNANAVNGDSETVNTGGWGGSGNKWGGGALSFGLYFFLSTEKMTAQTDKEIEEILAAHLDQQKIDSEQPEQPTVEDDDEDDDDDDDDDKDDDDAEGHHDGDATGRSKQSRSEKKSRKAMLKLGMKPIPGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVVFGEAKIEDLSSQLQTQAAEQFKAPDLSHVISKPESSTMAQDDEEVDETGVEPKDIELVMTQAGVSRSKAVKALKAADGDIVSAIMELTT >OMO49389 pep supercontig:CCACVL1_1.0:contig16517:306:434:1 gene:CCACVL1_31034 transcript:OMO49389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GHQVEGEKTWDLHNIEDKKGHCSGKQPKKVSEKAAEGEGLEK >OMO78798 pep supercontig:CCACVL1_1.0:contig10539:7577:8042:1 gene:CCACVL1_14095 transcript:OMO78798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGFPVGYTEVFLPKLFVHTLSFLGFIRNLIFALFNYLGLSDFLETDTVWPENPPRTTPENPPVSALLIREILPVIKFEELVVVGDPPESCAVCLYEFEAGEEIRWLRNCRHVFHRACLDRWMDHDQKTCPLCRTPFVPDELQDEFNQRLWAASGV >OMO78797 pep supercontig:CCACVL1_1.0:contig10539:11:362:-1 gene:CCACVL1_14094 transcript:OMO78797 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein RIC1-like protein MYMAYGYPQVIPLEQGEFPSSQKIIYLKLINRLLLVVSPSHLELWSSSQHRVRLGKYKRDADSMQREGENLQAVWSPDTKLIAIL >OMP07626 pep supercontig:CCACVL1_1.0:contig04304:913:1074:-1 gene:CCACVL1_01266 transcript:OMP07626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGTSQRTKGADYGLESIHNIKQAIPELWETDMKKSEVKYPNQVPVETSKQTW >OMO53384 pep supercontig:CCACVL1_1.0:contig15210:371:2580:1 gene:CCACVL1_28674 transcript:OMO53384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase I, TruA VEGAPATASKPLPLVMAKPTVALPHYKWRLLIAYDGTHYAGWQFQVSPPTVQCIVEKALVQVTKLQREDLHLVGASRTDAGVHAWGQVAHFVTPFNHDSLETIHAALNGLLPSDIRVREMSAAMPEFHARFSAKSKVYIYKIYNDSIMDPFQRRYAYHSVYKLNTAAMREAAKTFIGKHDFSAFVNASRNDRVPDPVKTIFRFDVTETGALLQLEVEGSGFLYRQVRNMVALLLQIGREAIPPDIVPKILATRDRRELAKYALSAPPHGLYLVTVKYNEEHVLPPLGCPTTSFVRGLSTLHFIKSFPCS >OMO53387 pep supercontig:CCACVL1_1.0:contig15210:33336:39421:1 gene:CCACVL1_28677 transcript:OMO53387 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase MATNNLQNPFLIPTISLASLLIRAPTRVFKCACSSSVQQDAFSLTSSTKYDVDYLGQSTKGDLNVNFDHLQAFGLDGQATLQGPIEQVARIEAEEAEGLLRDLGIPSPTAARFSPRGIFCSRTLNLRSISAIGYDMDYTLMHYNVMAWEGQAYDYCMDNLKNMGFPVEGLAFDPDLVIRGLVIDKEKGNLVKADRFGYVKRAMHGTKMLSTRAVSEMYGRELVDLRKESRWEFLNTLFSVSEAVLYMQMVDRLDEGAIPADIGPLDYKGLYKAVGKALFRAHVEGQLKSEIMSKPELYVEPDPELPLALLDQKEAGKKLLLITNSDYHYTDKMMRHSFNRFLPNDMTWRDLFDMVIVSARKPEFFQMSHPLYEVVTGEGLMRPCFKACTGGLYSGGSAHMVENSLNIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEEYNALIHSRCHRAALIELINQKEVVGDLFNQLRLALQRRSKGRPAQTLAATNMDDQELTESMQKLLIVMQRLDEKIAPMLEADGELFNKRWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLNYTPFMYFRSQEQTLAHDSYSHYCSQQNEQWNQE >OMO53385 pep supercontig:CCACVL1_1.0:contig15210:2997:9310:-1 gene:CCACVL1_28675 transcript:OMO53385 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative G protein-coupled receptor GCR1 MATMLSIHDRRILTAVNSGASSLSFVGSGFIVLCYCLFKELRKFSFKLVFYLSLSDMLCSFFNMVGDPSKGFFCYAQGYTTHFFCVASFLWTTTIAFTLHRTVVRHKTDVEDLEAIFHLYVWGTSLVMTAIHFITFYIPLWGAILYNGFTYFQVIRMLNNATRMAVGMSDRAYQFDARTDMKALNRWGYYPLILIGSWAFGTINRIHDFIEPGHKIFWLSFLDVGTAALMGLFNSIAYGFNAYVRRAIHERIELFWPERLRRWFPNSSRYRNQQQQSELRQRQRRTKLSKRRMKKLRWAMDGDFWELDASTPRTLEGEARAVPGKALPLGFSRGIRLSRPKQIDFMQRFMAAPFVPSYSNHLGLTLERVLTIPFTDNWFAILLAQFNLRNFLSSFRGQPHRDLKTIARLLRDKSLYALGFSSELLLTPDDALLLSSDSYYAHNTPPRKKAVFHHKFPHHNFTLDLACPALFLDNNSANYWDLPFSMALDWASLPSHSPLTYHLCLRHNHGSPNHFEGDPMAQAPASLLPSSYVKAAFSYKKNFDIWRGKAQKLKMVQPYDLFLSDPHVSASGIIGAALTATFGENSVTSLEDTENIKYFSFHDPTLKSALVGDIFASMSFTAQHGHFQRLFSDLTKFHVRLDFPSGSKFLSGAIRVAQNIADSRQPNSEAVKMICPSATLSLQQQIAGPFSFRVDSGVVIDFKNKGWHIQTDEPVFAIEYALQVLGSAKAVAWYSPKHKEFMVELRFFDT >OMO53386 pep supercontig:CCACVL1_1.0:contig15210:25112:29528:-1 gene:CCACVL1_28676 transcript:OMO53386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQENERISRSMIPHYKLFSFADSLDYLLMFLGSIFAVGNGICKPVLTIFFAELIDSVGKRVAIATEVHEVEEVSLKFLYLALASAVASFFLSVRRVVGDTLALLSQSTATAVAGLVIAFQANWQLALAILGLLPLIGISGYAQIKSMKGFTANAKKMSEEANQVANEAVGSIRTVASFSAEKKVVKRYEEKYQGPLKAGIRHGLISGIAFGISSFFLYFAYAISFYVGALLVHHGKTTFHEVFRVFFALTTAAIGISQSNSLAPDASKARISAASVFEILDQKSKLDPSKINYGMVLKHVKGNIEFKNVSFKYPSRPEIQIFRDLSLVIPSGKVIN >OMP06752 pep supercontig:CCACVL1_1.0:contig04812:66:899:-1 gene:CCACVL1_01445 transcript:OMP06752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCISNSRSSVEDVCSEMIEKELLLFILHQCYFGDVTRQPLTFRNEVYSVLNTSAKSRFICQSENVVYHKKSIDEEDESKQLKHLEKRETHLSDNNDLIDENLSLKKELRRKETLLEGLLFDLHLLQESASNSMEIKDENEKLMLALKQVRHELEMRRNQVDDLLAQHSKLEVRLSDAENALLISNSNLDQAKETIDSLLDQSTEMKMLLEDLYLKKAEAEEQLEEQKEVVKGLEKEILHLNYSVEKDLLSSVEGIEEDLRKALVREMNSVKKFSL >OMO84790 pep supercontig:CCACVL1_1.0:contig09698:19013:20736:-1 gene:CCACVL1_10661 transcript:OMO84790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMETRSAKRKKYWVEGENGIDRISDLPDVVLHHILFFLPIKTIAQTSVLSKRWRSLWSSFPDLDFTSVNPIGVSSTNIDQSSDEKRTFSSKPMDFINQVLALRDKHSDLRILRVRASLSFSRLNGLIRLAIRQNVQELDVEVATNDYFNFPRSVITSETLRVFKLRSRSPGFRLPPPPVMKAGFQCLQSLSLSLVYLSSQPSLLDLFTDSSFPRLKKLSLDNCFGLKNLKVSCLGLEDLTLENCYQLHGLDVSGPKLKRLRVACCFGPYCDKSWVKINAPSLRIMVWENNPITRTSCFENLSLVHEASIGFFVSENVSVARLQSVSSLISGISHAHCITLESRCIEKWNKDLWATPSSEEEQFWESQTQSLNSFLNHLSVVKMHGFLECENEVSLAKFLLKHGKALQEMTICSGHNNYRDSLRRQKIRSQMMGFSRASSNAKITFL >OMO84789 pep supercontig:CCACVL1_1.0:contig09698:6178:9128:-1 gene:CCACVL1_10660 transcript:OMO84789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIALPCDLLSAAVLEFVFAAVGRQKLQNLFNQPADIKSGNNDGGCMVLNQTFTIASFKRNRQALKK >OMO88427 pep supercontig:CCACVL1_1.0:contig09021:12473:24711:-1 gene:CCACVL1_08395 transcript:OMO88427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVRRNESFYKSPVTNHLLTAALKDDEAILGVERQTK >OMO88429 pep supercontig:CCACVL1_1.0:contig09021:28775:28864:-1 gene:CCACVL1_08397 transcript:OMO88429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFGSLFVLVLQKLSFGKLSGIGLEFV >OMO88426 pep supercontig:CCACVL1_1.0:contig09021:10988:11615:1 gene:CCACVL1_08394 transcript:OMO88426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPTVEFSSRDTVSDMLNGFIELLQSQCQISYPPTRLASSNELRQDPSLVTIVKIILKFVEDYTPLGTNDSVLLRFWLGVMGNLDMDSFNVPVILNDDLILEQLYTRGAADSWPPQLSLQDCYTQIIDFNATERVVDMDIVGEQRTGSSSPVHQHLQLLYILASI >OMO88428 pep supercontig:CCACVL1_1.0:contig09021:26054:28243:1 gene:CCACVL1_08396 transcript:OMO88428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILKRSGRDQAKSRSFLSLTAEKINALQQVLLSFLAVGERKPLLKKKK >OMO86060 pep supercontig:CCACVL1_1.0:contig09538:35624:36794:1 gene:CCACVL1_09824 transcript:OMO86060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYFHCAGSCLSSNYKLVSHYSDAVCDCGTRMRNWTTLVTRKDSDAEVGVFVKGLARLMVSDDLQVVPSSTAASFSILSKLGIIDGTATEERSFDIGVNEGISKKPKLEAASKNQNGKIVVRLMISKSKQMENKEMLISPKLAPGFSYENQLLGIEEYKPAYYCCKKSKSNSMLLVSDKTLLPSDSSKTVSPVTFLDPKSHDSHHKDKKSGRGFMRGPAQFTITDDLTVTAISSISGLTVLSNLKVPFGDIEERTVHMWVKMR >OMO86061 pep supercontig:CCACVL1_1.0:contig09538:38162:39196:-1 gene:CCACVL1_09825 transcript:OMO86061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MLPSKPLNLFLILTVTINLCSAELPSFREAPAFRNGRECPKTAWSSLDKKIHNPSIIHIAMTLDTAYLRGSIAGVFSVLHHASCPENIVFHFITTHRRGAELTRAITSTFPYLNFHLYHFDINLVKGKISSSIRRALDQPLNYARMYLADLLPAGVRRIIYFDSDLIVVDDVMKLWSINLGSHVLGAPEYCHANFTNYFTSKFWSNPVFAASFRGRSRNPCYFNTGVMVIDLWKWREGKYTEKLENWMRIQKRYRIYELGSLPPFLLVFAGDVEGMEHRWNQHGLGGDNLEGLCRALHPGPVSLLHWSGKGKPWLRIDSKKPCPLDSLWAPYDLFRHPSLFSDS >OMO86064 pep supercontig:CCACVL1_1.0:contig09538:60665:62522:1 gene:CCACVL1_09828 transcript:OMO86064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLFTVGLITACYIAVAWLKVAPLQVIRSQLQLIKISALGLIFCLSVVGGNISLRFLPVSFNQAVGATTPFFTAVFAYLMTMKKEGWVTYVTLIPVVTGVIIASGGEPLFHLFGFIMCIGATAARALKSVLQGILLSSEAERLTSMNLLMYMAPVAVIFLLPAAILMEEGVVGITIALARDDWKFLVYLIFNSALAYFVNLTNFLVTKHTSPLTLQVLGNAKGAVAVVISILIFRNPVSVTGMLGYSLTVAGVILYNEAKKRNV >OMO86056 pep supercontig:CCACVL1_1.0:contig09538:388:2371:-1 gene:CCACVL1_09820 transcript:OMO86056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin MREILHIQGGQCGNQIGAKFWEVVCAEHGIDPTGRYQGDLDLQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSIRSGPVGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEDEYGEEEEPEGYDD >OMO86058 pep supercontig:CCACVL1_1.0:contig09538:7663:12056:-1 gene:CCACVL1_09822 transcript:OMO86058 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like protein MGFDNECILNIQSLRGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLSYVVSTTRACPYDGYLVTEADSKPLLGSNKTLAETIGKITVHCLYHRSGCTWKGPLSECTAHCSGCLFGNSPVVCNRCGIQIVHRQVQEHAQNCPSVQPQSQQADGGQETAATGPTATADQTQLASQAGKATSEPQASQTTTSSTPGQDPSQQANPHSQSQAVSQAAVGTSDQWYQQQYQQYYQQYSGYELYYQQYYPYQQQAFPQYQQQPLQVTAPQMAGPSPMLQAQPQPQTQVQPQTQAQPQSHPSVQGHVAAQPQNKAQVSQQQQANLTVPEQSQNLSQTAAQGHPQPHPHVQTTQPQLQHGQIPQYQQHNSQMQQLQPQPQLVPPAQPPSHAQPGQPLNPSLLPQMQHPSAHAVTGHQTYSQPQPHQQMQLVTPQHPMQVHAHGGLQPQLQPPQSHAAISNQQQPGLLPSQGPMLQQGHPHSLQPGLPVQQRAVMQPAALPMSQQYVQQQPPSSQPVGLAQAQINQQGPFVQQQLPLQSQLRHPVPLHSFQQPPHAYAQPQQNVGGPHAVHPHPSHNLVGRPMTPNHGAPVKSVQLGVSQPSSYQNNAIRNNNQSGVVSQPISEVPGDHGTDTTVAEQEAESASHGYAKKEANEVDMASTLIPDIVETTTAKSKADLKSVDGKHTGDLGHSSGGVGISTKETPESRQTFGTDLEPQKDSMSKNMVKGEGSENQKTVHNGEHKVEENKIKDGLLLKSPPLEEAKLSEEQNGKMQKDKFLTQEQGTPNGPAVNGFRGIPESSQVQPGGFLQPSHSVPVFDQGRHQPLQMPYGSINNQQRPAASAMLQAPMAGLQSLAQTPGLPSNQFRPQGPGQAVLPPQTLPPGSSGKGPSNYGPQAPYNNGEPLVGPPFGTPPPAFDSHGAPSHAPEGHSLQQHSANMGNYHADNRRLDPRSSDLGSTSKFSLRAERLKPVQDERLNPFPLDHARGQFEEDLKQFPRPSHLDTEPVPKFGGYFSSSRSLDRGPHGFGMDMGLRAPEKDPRGLNFDPMIGPGPSRFLPPFHPDDERPVGHPEDTLGRPDFLGKVPSYGRHHMDGFVSRSPGREYPGISPHRFGRHPGEEINGRERRFNDRFPDLPGHLHRGVFEGSDQMEEHFRGRDMIGPDIRPPHFRRGEHFGHHSMPGHLRMGEPISYGDFPNRERMAEFGGPGNFRHSQLGEPGFRSSFSLQEFPNDGGVYTGDMDSFENLRKRKPVSMGWCRICKVDCETVEGLDLHSQTREHQKMAMDMVLTIKQNAKKQKLKFNDHSIRTDASKSKNAKSEGRVNKH >OMO86062 pep supercontig:CCACVL1_1.0:contig09538:49825:53930:1 gene:CCACVL1_09826 transcript:OMO86062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutral/alkaline nonlysosomal ceramidase MGYANTEQIASGIHFRLRARSFIVAEPQGKRVAFVNLDACMASQLVTIKVLERLKARYGDLYTEQNVAISGIHTHAGPGGYLQYVVYLVTSLGFVRQSFDVLVDGIEKSIVQAHENLRPGSIFVNKGELLDAGVNRSPSAYLNNPASERSKYKYDVDKEMTLLKFVDDQWGPVGSFNWFATHGTSMSRTNGLISGDNKGAAARFMEDWFEQNNTKSSFSNELETDGIPRRVSNIIPNIHNNHHELLELAASFQSSRGRPATKILSVARRVRSALRQADKPGFVSAFCQTNCGDVSPNVLGAFCTDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGDRQFRKAVDLFNKASEQLKGKVDYRHTYLDFSQLNVTLPKQGGGSEVVKTCPAAMGFGFAAGTTDGPGAFDFKQGDDKGNPFWKLVRNLLKTPDKKQVDCQSPKPILLDTGEMKQPYDWAPSILPIQILRIGQLVILSVPGEFTTMSGRRLRDAVKTVLTSSGNGEFGSNIHVVIAGLTNTYSQYVATFEEYEVQRYEGASTLYGPHTLSAYIQEFQKLANALIKGQSVETGPQPPDLLNKQISLLTPVVMDSTPAGVNFGDVSSDVPANSTFKRGSMVTVVFWSACPRNDLMTEGTFSLVEILQGKDKWVPMYDDDDWCLRFKWSRPSKLSPRSKATIEWTIPQSASPGVYRIRHFGASKGLMSSIRHFTGTSSAFVVA >OMO86066 pep supercontig:CCACVL1_1.0:contig09538:70000:73205:1 gene:CCACVL1_09830 transcript:OMO86066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate carrier 2 MADLKFSFAETFLCSAFAACFAEFFTIPLDTAKVRLQLQKKAVGDDVTLPKYRGLLGTMATIAKEEGLSALWKGIIAGLHRQCIYGGLRIGLYEPVKTLLVGSDYVGDIPLYQKIIAALLTGALAIAVANPTDLVKVRLQAEGKFPVGVARRYYGTLDAYYTIVRQEGFRALWTGLGPNIARNAIVNAAELASYDQVKQTIMKIPGFSDNVLTHLLAGLGAGFFAVCIGSPIDVVKSRMMGDSGYKNTLDCFIKTLKNEGILAFYKGFLPNFSRLGSWNAVMFLTLEQARKAFRGELYYD >OMO86059 pep supercontig:CCACVL1_1.0:contig09538:23000:33534:1 gene:CCACVL1_09823 transcript:OMO86059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLVKGLSLKALVDVEKNRVVFVESEDDFIDILLSFLTMPIGSIIRLIRDQPPVVGIGCMGNLYESVENLDMQFFRTEACKSMLLHPRNGAAAQCASLKLTIDNSEPLQYFCCESEDCTFSQHKLLSHYRNANCGCGRLMSRKIDLTENKEILFDARNRGVFVKGLARMIVSDELKIMPPATATSFSLLSNLGLTDGSTIEERVFNIGVNQVLNLLRFSLVSRNPLTETLLKKSVDELHGEGYNQESLAYNLSQVVEESSNKYKSIVSYLVPHLVSRDKSIVAKLIISKSRKMVCYAEVDGDFVDLLFSFLTLPLGHVAKEMKNHTSTRGCINHLYNSVQDPDFERFLKSDDHKAMLISPKLAPGFKLGNQPLGVEEYKHHRKFYYSRYIKLGSDVCFRPSLACDETLLPAGYRKTLLSVMDPKSQYTDNATSSGGFVIGSTMFKVTDNLIITPISPMSGLSVLSELKVPFSDIEERVVHVGREEFSDTNFVPGDYIVHLSPPATQAVFSVWVTLLSQVDTSSNIKFPESSHFSEATANCKAVSSRLMQFWRGWEAPKVGAELPMKNNNISLKAVVDKSNNRVVFVESDEKFVDIVFSFLTMPMGSVVRLTRNRPPAAASIGCMNNLYNSVEILDKRYFRTQACKTMLLYPRNGAGNECKNLKLVINDGVQMQYLVCETDDCAISNYKLLSHYRNAICRCGNRMNWRIEVKERKPTRVVFDDQDEGVFVKGPIQLTISDELQVMPSSTPASLSLFSKLGIKDTSGIEERTFLMGELEALSLLKCLLVSKTPLTEALLELEYSNLVVLGPNNMEDVEKISFRKSVILEGPASDEDDGKIYVKLMVSKSRNMVCYAEASEKFVELLFSFLTVPIGFIVKEMQESSSRGNHSINNLYDSIQDLDATQHLRSKKTTAMLVSPKLAPGFSYEGQLLNIEEYMHQPYYYVIIQQSGHFVTSDEMLIHSEEVISSSVLTVIDPKSPRYDTLSGRGFLKGPAMFTITDDLTITPLSSVTGLSAIRKLKVPFSDLEEHVVYVGKEEDLDAKQYLKSQERNAMLLSPKLAPGFGYENQLLGIEENRHPQYYYSLEDGMLVSDKTLLPSGILCTVSDVTVMDPKSDHKDKKSGRGFLSGPALFTISDDLNITAISSISGLSLLNKLNVPYDDIEECTVNVGEEELLKSLVLKVENTGEYLLMILLALRLLVASFISKSALTNALLLKEPTNAIPLKEPKQEI >OMO86068 pep supercontig:CCACVL1_1.0:contig09538:80344:80454:-1 gene:CCACVL1_09832 transcript:OMO86068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCCTVNCGIVELYYDVSVSCHVSMKGATDTYGVAT >OMO86067 pep supercontig:CCACVL1_1.0:contig09538:74528:77861:-1 gene:CCACVL1_09831 transcript:OMO86067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWKKKGNRDSLETEAKDLDFCPPPLSEKRSTREGEEERGNGDQSSNFSDLIQRVAASCLLHPLAAGRQEPGDVDDAVTHSVIEEDPDGEEYYEYGNSSEDDEEKENGGKDLEKSRRFTTRVWNNWEKTKEIVTLMEEVFDAVAEMKKAYVRLQEAHCPWDPERMRAADVAVVGELRKLGVLRERFRREARRGGCGGGGKGNVAMLREVVAPYEAAVEELKRDVKVKEVEIENLKEKLNTVTCLSNSNGGKKGRGFSKRKVSCSQVLAAAPAPELFEATMSQVKEASKSFTSILLSLMREARWDIAVAVRSIEAATASPENATVTTTITPSVIANHHSKYALESYVSRKIFQGFDHETFFMDGSLSSLLNPDQYRRECFNQYRDMKAMDPVELLGILPSCHFGKFCSKKYLGIVHPKMEESLFGDLEQRNQVLAGNHPRSQFYREFLGLAKAIWLLHLLAFSLDPSPSQFKASRGAEYHPHYMESVVKISGGRVPAGQTVGFPVSPGFKLGNGSVVKARVYLVART >OMO86057 pep supercontig:CCACVL1_1.0:contig09538:4539:4625:1 gene:CCACVL1_09821 transcript:OMO86057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLFRNSMLSSESPSRLWKGALQLQQK >OMO86065 pep supercontig:CCACVL1_1.0:contig09538:63238:64678:-1 gene:CCACVL1_09829 transcript:OMO86065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proliferating cell nuclear antigen, PCNA MDSSHVALVALLLRSEGFEHYRCDRNLSMGMNLNNMAKMLKCAGNDDIITIKADDGSDTVTFMFESPTQDKISDFEMKLMDIDSEHLGIPEAEYHAIVRMPSAEFARICKDLASIGDTVVISVTKEGVKFSTRGDIGTANIVLRQNTTVDKPEEATIIEMNEPVSLTFALRYMNSFTKATPLSNTVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEDETKPQV >OMO86063 pep supercontig:CCACVL1_1.0:contig09538:54726:59430:1 gene:CCACVL1_09827 transcript:OMO86063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase MSVQEYLDKHMLSRKIEDAVNAAVRAKTPDPVLFISNHMKKAVPSVITKVKARQILDSRGIPTVEVDLFTNKGMFRASVPSGDSTGMYEAVELRDGDKGIYLGNAVTKAVKNINEKISEALVGMDPTVQLQIDQAMIDLDKTEKKTELGANAILAVSIAACKAGAAEKEVPLYKHIADLSGKSNSILPVPAFTVISGGKYSGNSLPIQDILILPTGASRFEEALQMGSETYHHLKAVITEKYGANGCSVGEDGGFTPNISSIREGLDIVKEAISRTGYADKIKIGIDVAATDFCIGTKYDLEFKSPNKSGQNFKSGEDMIQMYKELCIDYPITSIEDPFDKEDWEHSKHFCNLGLCQVVGDDLLMSNPKRIERAINESACNSLLLKINQIGTVTEAIEVVKLAKEGNWGVVVSHRCGETDDSFIADLAVAIGAGQIKAGAPCRGEHLAKYNQLLRIEEELGDQAVYAGEDWRSS >OMO99220 pep supercontig:CCACVL1_1.0:contig06932:2158:13759:-1 gene:CCACVL1_03887 transcript:OMO99220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHDNFVQPSIPRFDGHHYDHWSMLMENFLRSKEYWTVVETGVPELAAAATDAQKVEADSLRLKDLKAKNYLFQAIDRVLLETILHNETSKQIWDSLKKKYQGNARARRQLLQSLRGEFETLQMKPDESVSDYFSRTMAVTNKLRIHGDSVADIKIIEKLMNCRTHCRFMSRRSEGKRTRNNCCRLKHRLYKSQLNQDHQIKARVTGKGKSSKDHEGDRKGSWEKQKGAGNKGKSKAHIECFRCHKRGHYKSECRTNLSKGGERSNYIEQEEKEAEISLLMVCHTKEESAKNMWYLDTGCSNHMSGDKKAFAELDETFTDTVKFGDDSTVEVKGKGNVRIQTNSGQVQSILNVLYVPDLKTNLLSIGQLQEKGYEIVIKNGVCQVKDAKLGLIVQAKMTSNRMFPVYLQNSIFNCLAVEQGDLAWLWHYRFGHLYFGGLQQLQRHDMVRGLPKFEQPSDVCEECVLSKQHRDAFPKGKAWRAQYVLELVHTDLCGPITPTSNGGKNYFITFIDDYSRKTWVYFLQFKSEAFEMFKKFKQLVEKESGKYIKMLRSDRGGEFTSDEFVTFCENAGIKRQMTAPHTPQQNGVCERKNRTILDMVRSILRRSSMPRTFWPEAVAWSTHVLNRSPSRALNSTPEEAWSGVRPAVHYFRVFGCIAYAHVPDQKRKKLDDKGEKCVLLGVSEESKAYKLYNPTTKKLIISRDVVFNENEFWPWDSPREMSKTASILDDEVDEVVVQPVVPQQQMQQEIGVSTRPQRIKRRSTLLEGFEVTGLPNFDSDHVSQLALFADCDPVLFEEAVKEAKWQKAMAEEIKAIEKNNTWELVDLPEGEKAIGVKWVYKTKVKPNGEVDKYKARLVVKGYKQEYGVDYTEVFAPVARLDTVRMVIALAAQNSWSIYQLDVKSAFLHGELKEQVFVEQPSGFIKYGDEHKVYRLRKALYGLKQAPRAWYSRIDSYFVKEGFLKCPYEHTLYVKHDGDKMMIICLFGDASSLSWFGDYSIRGRHFCLPKKYVNEVLDRFQMGDCNCATTPMEQSLKLVKNPGGEYVNSSLFKQMVGSLMYLTASRPDIMHSVGIISRFMEKPMQQHLLAAKRILRYLKGTPDFGKSTTGYVFKLGSAAIAWSSKKQPIVTLSSTEAEYVAATSGACQAVWMRRTLAEMKMEMRGATRMFCDNSSAIKLSKNPVFHGRTKHIHVRFHFLRELVEKGEIELSYCRTEDQAVDIFTKPLKAASFFKLLMASSSVVEDRVELTRAFLSELYPGKMSFVNNISIIFSSGEEIRVTFSEHHMNKLVLDQLIGQQTKPVLVVAATIVQDYLGNKFLATSSASTLFVNPSIKEAEEIRTRFAHDRTPVLVLGDGSVGGGGANEPQQISIDRLLYLTTPYVQLVPKGVVDPILDFNKGVAGKDWLEAYTRINVPFPCDCINGDFLGPVFEYELKPGDTYDKIASSHFANLTTPEWLERFNIYAGSSLPEGSKLNVTVNCSCGDASVSKHYGLFITYPLRYGETLDTVLIQTNLSKDVGELVQSYNEGVNFSRGDGLVFVPGKGAVAGLLLLASGVLYFGFKRKRLSTFKVQPAQSRHAHRRKGNGPKATFIDTSIEFSYEELDQATNSFSMSHKIGEGGFGAVYYAELRGEKVAIKKMDMQASKEFIAELRALTHIHHLNLVHLIGYCVEGSLFLVYEFIENGNLSQHLLGAGNRDPLPWSTRLQIALDSARGLEYIHEHTVPRYIHRDIKSANILIDRNFHGKVADFGLAKAKLSSKAGSAALIPSRLVGTFGYLAPEYAQRGEASPKVDVYAFGVVLCELISAKPAIVKTNDSEIAARALLNLFGNVLNQPDPREDLCKLIDPRLGDNYPYDSVYKMALLAKACTQENPKLRPNMRSIVIALTTILSPTDNGNVERDPLFYENLARLNIIITTRPESEPFKSGLSCSTFGSIGSISINR >OMO79127 pep supercontig:CCACVL1_1.0:contig10485:15743:16485:-1 gene:CCACVL1_13893 transcript:OMO79127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRNCLFSSCKSLEKFKKTRAEEAGRLGEGVVINDDHLWVKIIGIHKRLCYGLGNLITEMVVFRTRSQSSQSTSTMDTDQSSLKEEVVELNQLIQQQNKTQELILAALQSGGITLQAGSIPVGLGESVSQPQQVPLPDQQANEDVELVVEVD >OMO79126 pep supercontig:CCACVL1_1.0:contig10485:6374:15212:-1 gene:CCACVL1_13892 transcript:OMO79126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIAMPHTVVAMSVAKPRFATTYSRKWPSQLTGFLVPRYALET >OMO83820 pep supercontig:CCACVL1_1.0:contig09849:205:351:1 gene:CCACVL1_11155 transcript:OMO83820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVGAKVRMRIHVAVREKGSGKEGDPCKYQHRHWACNPSQLRRRPCK >OMO83821 pep supercontig:CCACVL1_1.0:contig09849:4028:11669:-1 gene:CCACVL1_11156 transcript:OMO83821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/proton exchanger MQSLDVMSMNDIDEQIQIDERNGGEHSPCYSNIGIGNVVCRSIKTVVLSKKFNMLIPFGPVAILVQKWSGHNGWVFILGLLGIMPLAERLGYTTEQLAFYSGPTVGGLLNATFGNATELIISIYALRIGKIRVVQLSLLGSLLSNLLFVLGCAFLSGGLVNKEQLFNKATAVVNSGLLLMAVMGLVFPAFLHSTHSEVHFGISELALSRFSSCVMLPAYLASIFFQLNNLNDLEGILVGHNSDHEEEEETPEISKWESVIWLAIMAAAISILSEYLVDAIEGASLAWGVPVAFISVILLPIGGNTAAVTTSVMFAMKNKLDVSLGVAIGSSTQISMFLIPFCVVVGWIFGRPVDLNFGLFETATLFMTVIFVAFLMQMGSLDDRPMHDLDVECLYSPEMDAQKTQSNDSVQQVSLPGRLPVGDTKMIRNGVYKSIKTVVFSNKLNLLMPFGPLAILVHKMTAHNGWVFFLSLLGITPLAERLGYATEQLAFFTGPTVGGLLNATFGNATELIISIYALKSGMIRVVQLSLLGSILSNMLLVLGCAFFCGGLVYHGKEQVFSKATAVVNSGLLLMAVMGLLFPAVLHYTHTERHAGKSELALSRFSSCIMLLAYAAYLVFQLKSQKDLYVPIDEEGSQNEENSDDDDEAPEISKWESVIWLAIMTVWISILSDYLVDAIQGASEAWDVPIAFISVILLPIIGNAAEHASAIMFAMKDKLDISLGVAIGSSTQISMFGITLGVSSKHSRICHILIIALSCLVDAADSILCGDWVDDGARNGPKLPAF >OMO81739 pep supercontig:CCACVL1_1.0:contig10116:5524:6916:-1 gene:CCACVL1_12252 transcript:OMO81739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSILESFRLGDNKDVRWYVMGDDDSLFFVDNLVELLGKYDHNKYYYIGANSEAIRSNYDFSFDMGYGGAGYALSYSLIETLVPMMDSCIERYSYVWVSDQLSSFCLADIGVALTIAKGIHQSKTPPLFMFNARKLTDNPCEAPHVFFLDSIKQMEDNLVITTYNRTLPWNLQPCSASANYSADSITQIQVFLQSTKRKEAGRIECCDVEYVDGTNVADVKLRSCLIGELIA >OMO53623 pep supercontig:CCACVL1_1.0:contig15178:5913:7277:-1 gene:CCACVL1_28490 transcript:OMO53623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGIKGGGRIGVGEVIDDMGDGMQCSDHPYRNNPGGICAFCLQEKLGKLVSSSFPLPIRGSSSSSSSPSFRSDTGAAAIAASCGGGSNGGGVVGTSSSSASSLSLSLSVRPTGTKSRNDNGGNNNNHNNNSHYHHEYYTRRARIPFLLAKKKKKIMVASSDHPHHHHHHGASAAAADIVFKRSKSTTTPRRARFMDDGDDFSPRKRSGFWSFLYLSSKSHHGPKKLDKVASIATPSAAATAAAVTSSSSVVRPKEKCLGSSLSRKGGIVIVEEDDDDNSPNNSQATPSAASSSFERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKPKVGASSSAMKERVKCGGIFGGFIMTSSSSSSSSSSYWVSSSAADDVNGKPSAGAGALVHGRSKSWGWAFASPMRAFSKPSSKDGKRDATIIRESNSKNTTPNLSAIPSLLAVRG >OMO53627 pep supercontig:CCACVL1_1.0:contig15178:32237:37887:-1 gene:CCACVL1_28494 transcript:OMO53627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKKFAVSSSCYAWRETTHRREILRLSSRQKLLPGKDIYMYK >OMO53628 pep supercontig:CCACVL1_1.0:contig15178:40438:40649:1 gene:CCACVL1_28495 transcript:OMO53628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKMEMEPWSAFCQVYERFFTQSRRQRYRVPNTATCLA >OMO53625 pep supercontig:CCACVL1_1.0:contig15178:25474:25689:-1 gene:CCACVL1_28492 transcript:OMO53625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSLFPINFDHQYQRPEHITKTNHLIVAAKTTMGQAYSSRTWAWATQLSSPFMTNSSFGFGSHRPHSSRH >OMO53624 pep supercontig:CCACVL1_1.0:contig15178:12636:21629:-1 gene:CCACVL1_28491 transcript:OMO53624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MGSKKGRTMIEVGADGVAVITIINPPVNSLSLDVLDSLKESFDEALRRDDVKAIVVTGANGKFSGGFDITAFGGIQGGNVKQPKPGYVSVEVLTDTLEAAKKPSVAAIDGLALGGGLEIAMTCHARISTSTAQLGLPELQLGLIPGFGGTQRLPRLVGLSKSLEMMLTSKPVKGEEALELGLVDAVVSSHELVNTARRWALDILERRKPWVASLYKTDKLEPLGEAREILNFARAQAQKRAPNLKHPLVCIDVIEEGIVAGPRAGLWKEVEAFQGLLVSDTCKSLIHVFFSQRGTSKVPGITDRGLVPRQVKKVAILGGGLMGSGIATALVLSNYTVILKEVNEKFLEAGIGRVKANLQSRVKKGKMTQEKFEKTLSSLRGVLDYESFRDVDMVIEAVIENVSLKQQIFADLEKYCPPHCILASNTSTIDLNLIGERTKSQDRIVGAHFFSPAHIMPLLEIVRTNQTSPQIIVDLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQAGLFLVDRGTDVYRIDRAITKFGMPMGPFRLADLVGFGVAIATGMQFVENFPERTYKSMLIPIMQEDKRAGETTRKGFYLYDNKRKASPDPELKKYIEKSRSISGVAVDPKFVKLSEKDIVEMIFFPVVNEACRVFAEGIAVKAADLDIAAVMGMGFPPYRGGIMFWADSLGSKYIYSRLQEWSNMYGEFFKPCAFLAERAAKGATLADFDCCPAHCSRSPSRDTFWRIIKHRSTEDFESLPYICTLLNSSLWTYYGITKPGGLLVATVNGFGIVVEAIYVVLFLIYAPQKMRVKTGIIVGLLDVGFLGAAVVATQLALQGESRIDAIGFICAGLNIIMYGSPLAAMKTVVRTKSVEYMPFFLTFSLFLNGGIWAFYALLVRDLFLGIPNGIGFLLGIAQLLLYAIYRKPKSSNDN >OMO53626 pep supercontig:CCACVL1_1.0:contig15178:27509:28706:1 gene:CCACVL1_28493 transcript:OMO53626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain, type 1/2 MSTEEAKRSLNGALTVKPNSDDRKPSMAPIAASAAPTGKKVIIKSADMKDDMQKEAIDIAISAFEKNNVEKDVAEHIKKEFDKRHGPTWHCIVGRNFDQLIYFLNVPNYLIPVTALLGLVYGIKDQPLVNFVMKRGARLFIYLILFAILLAMLAIGLNRVL >OMO53622 pep supercontig:CCACVL1_1.0:contig15178:1062:1484:1 gene:CCACVL1_28489 transcript:OMO53622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-5 MARSLSSLKFLAASVSDSLSLTISRRGFSAAPQGAMAASFGKGGSRPGLVGKMDQRATVMKEESGVSSAWAPDPVTGYYRPENCAAEIDAAELRDMLLNHKVIRPH >OMP08319 pep supercontig:CCACVL1_1.0:contig03862:1678:3456:1 gene:CCACVL1_01123 transcript:OMP08319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MEFQEAVMKVMIHKTPNHHKAFFHDHQGKEVKKDQKEKGGSGKHKWRISFYRSSSTNASKQSQSQSRPKQKQINTPKEFSCPISGSLMADPVIVSSGHTFERAIVEACKSLDFTPSLGQDGSDPDLSTVIPNLALKSTILNWCQKHSLNPPKPLEFSTALELVRTLTAQTRNTQIEKGDEKVTNSEKELIQGVKNRPSVKFDHAVTDLTRTMPTRNHSTSCSEESVAAADATPSAAAAAANVLTPPLHLATRPSCYSSASSSSEIETLTPNLNEEEGAFLTKLNSSQAFDAEEAVVSLRKITRTQESSRIALCTPRVLSALRPLLVSRYVNIQVNAVAALVNLSLETVNKVKIVRSGLVPVLIDVLKAGSPEAQEHASGALFSLALDDHNKTAIGVLGALQPLMHVLRTGTERTRNDSALALYHLSLVQSNRSKLVKIGSVPVLLSMVKSGQMTGRVLLILCNLASCSAGRAALLDSGGVECLVSLLKGSELDESTREGCVAVLYGLSLGGLRFKGLAKAAGAVEELVKVERMRSERAKEKARRMLEMMKGRSEEEQEDVDWEELLDSGLATRNRFRRGGGMDESCANSSEF >OMP11067 pep supercontig:CCACVL1_1.0:contig01607:1097:1171:-1 gene:CCACVL1_00689 transcript:OMP11067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYALSASGYNRRDLIDRMEEYIDK >OMO93811 pep supercontig:CCACVL1_1.0:contig08057:3432:10310:-1 gene:CCACVL1_06339 transcript:OMO93811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEAERSTTYEFFLLEFPSSTSIKFLITTSVAVFKNSDQSLVTTKTNFISLE >OMP07790 pep supercontig:CCACVL1_1.0:contig04195:849:7441:-1 gene:CCACVL1_01224 transcript:OMP07790 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPla/RYanodine receptor SPRY MNSSNSSNGESNNGKNSSLDSGNRDLGLYFLELARLGSSKAPTDMEEDEEEAPKELNTINSSGGFLVVAPDKLSVKYTSVNLHGHDVGVVQANKPAPVKRLLYYFEIYVKDAGAKGQIAIGFTNENFKMRRQPGWEANSFGYHGDDGFLYRGHGKGDAFGPTYTTGDTVGGGINYASQEFFFTKNGAIVGTVSKEKEMKAPLFPTIAVHSQNEEVYVNFGQKKFAFDLKEYEAQERLKQQMTIEKISLPPNISFGLVRSYLLHYGYEDTLSSFDLASKSTVPPIYIAQENGFDEQNIVYALNQRKTLRQLIRNGEIDAAISKLRDWYPQIVQDEKSATCFLLHCQKFIELVRVGALEEAVKYGRKELAKFFELAEFTDLVQDCVALLAYEHPLESCVGYLLEESQRDVVADTVNAMILSTNPNMKDVQGCLLSYLEKLLRQLTACCLERRLANGDQGEAFHLHQ >OMO92308 pep supercontig:CCACVL1_1.0:contig08240:20862:20987:-1 gene:CCACVL1_06884 transcript:OMO92308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPRVDSRPIDKAPKNKNQRGLATGGWENGISPSGVAAAV >OMO92310 pep supercontig:CCACVL1_1.0:contig08240:38002:44200:-1 gene:CCACVL1_06886 transcript:OMO92310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MAASADTNGGSHTVELIVCASESAADGGSVEPASEEITPLLTQSDRPKISIFSVHRSRKRPREQVIKVTETEISPVSQFLLWVWNGSRYSGLVCMALSSVIYFFMEVFSDNFTAQSIPLLETAFARCTVTMILSYLWLRRSGQPILGATHPRNLLVLRALVGYLSLLSFIYCIRRIPSYLAILLSFTTPIMASIMARIILHEKLRIAEIGGLACSFFGVLFIFQQMLTTQGGVAKAKEANNVNSKGSHHIYAVLIGLFSSITGGISYCLIKAAAKASDQPVVTVFSFGILASPAAGICMYAFEEFVLPGFYSLSLMIALGILSFLAEVLLARGLQLEKIGKVTNVLFIEAALSQLWGIGTSNLALSFGRLVGVLLILISISFTLYFGPEKEME >OMO92307 pep supercontig:CCACVL1_1.0:contig08240:18627:18770:1 gene:CCACVL1_06883 transcript:OMO92307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVAAKEELWITAKQRNAEWEKEEGERIYLEPKNVGILSFPFGSKR >OMO92311 pep supercontig:CCACVL1_1.0:contig08240:44682:50478:-1 gene:CCACVL1_06887 transcript:OMO92311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MWVATVLHQPVVPVPRLFSSHLNPNLFRKPKTLGLGFRFPPVKFKVSATKNQLETQKSDDEDLPIQEKSGDQSVDLGWLPAFPHVLTASMSNFLFGYHIGVMNGPIISIARELGFEGDPILEGLVVSIFIAGAFIGSISCGSIVDKLGCRRTLQFDTIPLILGAIVSAQAHSLDEILLGRFLVGLGIGVNTVLVPIYISEVAPTKYRGSLGALCQIGTCLGIILSLFLGIPVEDDPHWWRIMFYIASVPGFLLALGMQFAVESPRWLCRVGKIKDAEAIIRNLWGESEVDKAIEEFQSVVKNDSSDGDSRWLDLLEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFKDVGISSGALASSFVGLTNFAGALCASYFIDRQGRKKLLIGSYLGMAVSMFVIVFAINFPLDEDLSHNLSILGTLMYIFTFAIGAGPVTGLIIPELSSNRTRGKIMGFSFSVHWVCNFLVGLFFLDLVEIFGVSPVYATFGSVSLLSAIFAYYFTVETKGRSLEEIEMSLNPNFQGKRSLSIVV >OMO92309 pep supercontig:CCACVL1_1.0:contig08240:22084:26511:-1 gene:CCACVL1_06885 transcript:OMO92309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MIITKLLPAAETILLAFQNYILMLGTSVMIPSLLVPAMGGSDGDKARVIQTLLFVAGLNTLLQALFGTRLPAIVGGSYAYVIPIAYIINDSSLQRISNSHERFIQTMRAIQGALIVASSIQIILGYSQVWGLFSRFFSPLGMAPVVALVGLGLFQKGFPSLGNCVEIGLPMLLLVIGVSQYLKHVRPLRDVPIFERFPVLICVSIIWIYSLILTASGAYRDKPEATQRSCRTDRANLISTAPWFKFPYPLQWGPPTFSAGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGVLLDGLFGTCTGSTVSVENVGLLGLSRVGSRRVVQISAAFMIFFSTLGKFGAVFASIPFPIFAALYCVLFGLVASVGLSFLQFTNMNCMRNLIITGLSLFLGISIPQFFNEYWNPTHRGLVHTNAGWFNAFLNTVFSSPATVGLIIAVFLDNTLEVEKSKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >OMO92306 pep supercontig:CCACVL1_1.0:contig08240:12174:14450:-1 gene:CCACVL1_06882 transcript:OMO92306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPEKSLGKNVGTDHKLHTHSIRDTLRQYQKKHRHVDLRALKKWSGYGLARSWRVFSIFIVMTPQ >OMP07593 pep supercontig:CCACVL1_1.0:contig04325:838:900:1 gene:CCACVL1_01276 transcript:OMP07593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FWGKGNGPAFRFSSRTAFVF >OMO71817 pep supercontig:CCACVL1_1.0:contig11545:43115:45314:1 gene:CCACVL1_18056 transcript:OMO71817 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MEMDYSAAANESGIGAVANESGIGDQVGSSAFPTVPRKSASKWSVVWDEFSMLNAQELKDYEEKLGITRTRERAKCMHCGKVLIAESKSGMVTLGRHLERCPERPNNLNELGGNRNIDHNVCREMIAEAVIEHGYPFEWVEHKKTRDLCYYLNKKFKPVCRNTCLDVIRESLVKVRNCVKHVEGSEARKIKFRECVVQAGLSYSRGLWLDVATRWNSTYLILERFMFYHSAFRNSARADQTFSFLLPDEREFPWLESIFTFLKPFYDITNLFSGRDYPTANLYFENVWQIQRNIDKELISQDPIMREMATTMKEKFSKYWDEHTLVLSFAAILDPRFKIQFLQYTLPLLGTQYANRAECILVQMKLMFEEYQSKNGIFPESSVLNDNVEQAGRQRRVISQDMCGFSQFDFQSNSTRSELDIYLGEAREDYNKEIDILDYWKNNQSRFPILSRMAMDLLCIPITTVASKSTFSMGGRVINKWRNCLLTENAEMLITTRNWLYGCEVEENAATVFGRGIAKV >OMO71818 pep supercontig:CCACVL1_1.0:contig11545:63463:63534:-1 gene:CCACVL1_18057 transcript:OMO71818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSPGHTSNNKFKGQKFKKGAT >OMO85643 pep supercontig:CCACVL1_1.0:contig09595:1408:1995:-1 gene:CCACVL1_10061 transcript:OMO85643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2/LPE10 MADLKERLLPPKPQSAINLRDASYRASASGRQPFQGMDLLGLKKRGQGLRSWIRVDTSGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTSGAGECWQPEGPELNRRRSSRSFDNVFGSPSPDYLPFEFRALEVALEAACTFLDSQ >OMO85644 pep supercontig:CCACVL1_1.0:contig09595:4907:6267:-1 gene:CCACVL1_10062 transcript:OMO85644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAIGLIAGKGSSSSWLQVKEKGKKKKTVNRVRVSCSSSVMDPYKTLRIQPGASESEVRKAFRKLALQYHPDVCKGSNCGVQFHTINEAYDIVMSNLRKGESNDSQMSQAHKYDVEIDEPMRGMHEDWDLWEEWMGWEGAGIRDYSSHINPYI >OMP06871 pep supercontig:CCACVL1_1.0:contig04774:210:314:-1 gene:CCACVL1_01418 transcript:OMP06871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEIRELTKQRDLAQSRIEDLLRMIGQDQDSGQS >OMO55355 pep supercontig:CCACVL1_1.0:contig14720:7615:23520:-1 gene:CCACVL1_27302 transcript:OMO55355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELYRGLSPSVNTWQAQQQQQQWRTSQVSAALPIAASGTHRLSKHSRFRVLCQSVEAQAKVKQEIYEHASELGAKVGQDRLLKVPVSNIRNFCIIAHIDHGKSTLADKLLQMTGTVQKREMKEQFLDNMDLERERGITIKLQAARMRYMLENEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGAEPDHVIKEIEEVIGLDCSNAIRCSAKEGIGITEILNAIVERIPPPRDAASSPLRALIFDSYYDPYRGVIVYFRVIDGTIKKGDRIYFMASKKDYFADEIGVLSPNQMQVEELYAGEVGYLSASIRSVADARVGDTVTHYDKKAESSLPGYEEATPMVFCGLFPVDADQFPELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYRVNCVNGDTVECSNPSLLPEPGQRKSIEEPIVKIEMLTPKDYIGSLMELAQDRRGDFKEMKYITENRASIIYELPLAEMVGDFFDQLKSRSKGYASMEYTFVGYRESELIKLDIQINGDPVEPLSTIVHKDKAYGVGRALTQKLKELIPRQMFKVPIQACIGAKVIASESLSAIRKDVLAKCYGGDISRKKKLLKKQAEGKKRMKAIGKVDVPQEAFMAVLKLEKEVL >OMO55354 pep supercontig:CCACVL1_1.0:contig14720:2386:6498:1 gene:CCACVL1_27301 transcript:OMO55354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor 38 MANRTDPAAKSIRGTNPQNLVEKILRSKIYQSKYWKEQCFGLTAETLVDKAMELKHIGGTYGGNRKPTPFMCLVMKMLQIQPEKDIVVEFIKNDDYKYVRVLGAFYLRLTGIDTDIYRYLEPLYNDYRKVRRRSPDGKYSLTHVDEVIDELLTTDYSCDIALPRIKKRWTLESLGALDARKSVLEDDFEEEEEKDENEQDGLEEEPAHEKDYYQARSPARERDRDRRHDNHRHRRDRDRGRRRSRSRSRSRSRDRKRHARSSLSPQRHEPEDGSAREETNKKKEKKEKKDDGTDHPDPEIAEANRIRASLGLKPLKL >OMO74436 pep supercontig:CCACVL1_1.0:contig11128:34052:37840:1 gene:CCACVL1_16730 transcript:OMO74436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar complex protein 2 MGVLFSFLRIFSATEFSPLEGWKDPEFYQYLQEHGNDLLNFEVEDEDDDIDFDDDVDVDMDDAETQSGDGAAAHEEEEQGEEKPYSKNDAETQSGDGAAAHEEEEQEEEKPYSKNVITSAMVDSWCNSIREDGKLSAVRSLMRAFRTACHYGDDTAAGNDPSAKFSVMSSTVFNKVMLFTLLEMDRVLRQLMKLPASGGKRETINELMSTKQWKSYNLLLKSYLGNALHVLNQMTDTEMISFTLNRLQCSSVFLAAFPSLLRKYIKVALHFWGTGSGALPDVSFLFLKDLCVSLGSDCLDDCCRGIYKAYVLNCHFVNAVKLQHIQFLANCVIELIRVDLPTAYQHAFVFIRQLSMLLRDALNMKTKESFRKVYEWKFINCLELWTEAVCAYSSDADFNPLAYPLTQIIYGVARLVPTARYFPLRLRPPTGGVGKAVDLHTTLKVSKPILKTRSFQEACLISVVQELAQHLAQWSSSVAFFELSFIPAVRLRSLCKSTKVERFRKQMRHLIRQIEANVEFTNKKRGSVTFLPDDHAATTFLEDEKKMGTSPLSKYVVTLCQRAQQRNDSIMEPSVLVGEKSAVFGSKVVSRLPGGDSSAKLIPREEEEEKENKKKKKGKSEDGEDIVEDLVLSSDEDEPLSDSQSTEEDDSEEEQSKKQKPKNLLKKNARIFHANKSNKRKRAG >OMO74435 pep supercontig:CCACVL1_1.0:contig11128:31559:31852:-1 gene:CCACVL1_16729 transcript:OMO74435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGVRVASMVSSAKQILKLRPLIAREKPDHVPKGHVAVYVGETQTRRFVVPISAVNHPSFKDLLKRAEEEFGFDHPMGHLTIPCREDTFLNLTSSLHA >OMO74431 pep supercontig:CCACVL1_1.0:contig11128:2916:4122:-1 gene:CCACVL1_16725 transcript:OMO74431 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein MCFGYAYPAYECYKAVEKNKPEMEELLFWCQYWILVAILTVCERIGDAFISWLPLYNGAKLALVVYLWHPKWRGTTYVYNSFLRPYVKTHEPEIDRNLIELKGKARKVGGLYWQRAVTYGQTRFVEILQSFASQKSFEPYLDQVYIYIYLSLSILQELNFDICHCQKASITRPEILKQAVPESSSLSEQQSGATNERTCLLTYNKRMVSAQSCVKTGSQLLSVKRVETGVIQPTSSSGNGNSNLSQEQTPNFKEAAGARAKLHGIWRMFKSA >OMO74434 pep supercontig:CCACVL1_1.0:contig11128:15902:23709:1 gene:CCACVL1_16728 transcript:OMO74434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKSIFFSSTVQSSSSLLSSANLPLISRQFLGFNHRLRPPGSASSSSSLRKKHKHLAFLRLPSPRFTVRASVDSNLVLVVIGVTALSALSLACYNRFFSNTQSSKKASGSSSSALPHQKNGTVVEIQTGNSQILEIGDLQSEAFAKETDNLEEKLRENTEAHENKEVVFQFQETTVVSEDLLLTKTSESSGADCLVFTADSPNACEESGMSDPPFQPTALLESGPLQPLIFATEMSELHIEEVESINEFEAGLPRIAVVPMSTASSVLEKDDHVLVEESEVTRHYNIFKESVREELHTFYEADQVLENSLTNLNGLKPVSSHVSFSTLKQNSERNRAQLSSKTSLQTADMVEGKVAQAHSNRGSTHKRKDFGRGGKFQRDKENKHLIQKDTKLPPYSFPNGMPANDKHHPEEHFSSYNRLLRDGRLSDCVALLEDMEQKGLLDMNKVYHAKFFKICNKKKAVQEAFRFTKLIPNPTLSTFNMLMSVCASSQDSEGAFEVLRLVQEAGFKADCKLYTTLISTCAKSGKVDTMFEVFHEMVNCGVEPNVNTYGALIDGCARAGQVAKAFGAYGIMRSKNVKPDRVVFNALITACGQSGAVDRAFDVLAEMMAETQPIEPDHITVGALIKACSNAGQVDRAREVYKMINKFDIRGTPEVYTIAVNCCSQNGDWEFACRVYEDMKRKGVAPDEVFISALIDVAGHAGNLDAAFEIVDAAKNQGINIGIVSYSSLMGACSNAKNWQKALELYENIKALKLNLTVSTVNAVITSLCEADQLPKAMEVLSEMKELGLCPNTITYSILLVASERKNDFEVGLMLLSQARKDGVAPNLVMARCIIGTCLRRFQKACMVGEPVVSFNSGRPHIENKWTSVALAVYRDAIVAGTVPTMEVVSQILGCLRLPLDDSLKSRLVENLEVSADSSRYSSLSSLIDGFGEYDPRAFSLLEEAASFGIVPCVSFKESPIVIDAREFPINIAEVYLLTILKGLKHRLAAGARLPSISIVLPLVKAEILTPEKEKSINLAGRLGRAIASLLRRIGLPYQGNESYGKIRINGLALNRWFQPKLASPFTGKPGEWNVSQMRLGKGIFHQQRNIRTGNLSLD >OMO74430 pep supercontig:CCACVL1_1.0:contig11128:574:684:-1 gene:CCACVL1_16724 transcript:OMO74430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTRLVPRSHDGDNIRTLIRLGWLANARVSGVESE >OMO74432 pep supercontig:CCACVL1_1.0:contig11128:12094:12900:1 gene:CCACVL1_16726 transcript:OMO74432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Disease resistance protein RPP13 MGRLRHLYLPRETSSGKSKFKLDNLRNLQTLINFNTEISKLPKPQHISSNIASICLSFSELHEDPLPTLEKFPKLRVLELSDQAFTGIVMVCSAQGFPRLVFLSITSLENLEELKVNEGAMPCLQNLTIARCRKLKMLPVELKFITTLKELKMEEMPKAIKDKLVEGGEDYYKVQHVPSVIFQNCDD >OMO74433 pep supercontig:CCACVL1_1.0:contig11128:13799:14481:1 gene:CCACVL1_16727 transcript:OMO74433 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MASTPKKIIVLKSSDGETFEVEEAVAFESQTIKHHLVENIETPLPCVTGKILSKVFEYCKKHVDAAANNLEKKPEAEDDLKAWDTEFVKVDQDTLCDLFLAANNLNIKSLSDLTCKAIVHILMVKTPEEIDKFFDDRHSR >OMP11295 pep supercontig:CCACVL1_1.0:contig01407:1411:1533:1 gene:CCACVL1_00581 transcript:OMP11295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRVLGISERLWISSFDGQVKLRKNGSKIRRIMEESVEFGD >OMO86026 pep supercontig:CCACVL1_1.0:contig09544:4951:11640:1 gene:CCACVL1_09855 transcript:OMO86026 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA/THIF-type NAD/FAD binding protein MIPSYQLDTRGIATLDKITNAAMPHDIVIFQVYLTLCAALIASAVGVYLYILFNVGGNLTFFSCLGTIIGLSLTPSHQEGKRVTFDGSCNNLALLNSFSPKRERELPLMVAAISIPGPEKELLVAIKHVLGTDFKRSLFPLIDALLEERVLVGTWCACYETSPAAMYQPQNLLANIFVPSERTHVPQLNFLPSNPPALRNTDQCWQPTTLGSQLYLDGVNLNYLLGMIGTMQPPSPTQSVSAQPAAVPSPPPPTVQTVDTSRVLAYLKPVITTLTRLFIETSHALAGTYLNLINSLYWLLLRKRACEGEVVEGESENNNNSNNIKQVAVTSPIKKHRIVATAGTDLMADNNLAVYGRDTMRRLFASNVLISGMQCLGAEIVCTQCWCSSLNDEAVLFEFDHITVSEFVSCFYNFTENDIKILHATKNLILAGVKSVILHDEGVVELWDLSSNFVFSENDVSKKRALASVQMLQELNNAVVISTFNNKVDQ >OMP06616 pep supercontig:CCACVL1_1.0:contig04872:327:407:1 gene:CCACVL1_01492 transcript:OMP06616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVLWRVKKKAVFGVKEVIMAKGPI >OMO79420 pep supercontig:CCACVL1_1.0:contig10418:41228:51237:1 gene:CCACVL1_13689 transcript:OMO79420 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase, alpha subunit MTSMENDLHEEQLAPAAIVTGIKFNVSNDTDNEKMSVMEIATPSEVSDPKLGFPNLSNSCTTCGAKDLKHCEGHFGVIKFPVTIIHPYYLSEVVQVLNKICPGCKSDRKDLWSKVRELSRYPEYINARDANIVQKSAIIVEVNENALMKGHKRGKLALPADYWDFIPKDQEQEEGVITRPNRRVLSHRQVCQLLNDVDLENYKLSKETIFLNCFPVTPNCHRVTEIMHASHNGQRLIFLHLEKPSTEEPALLLAQKRNRDTVSTMSGLRYMKDVILGKRNDHCFRMVLTGNPNLKLSEIGIPCHIAERLQVAEQLNRWNEERLKTCCHWRLIEKGEIYIRRAGSLVRIRHNEKLQLGDTIYRPLVDGDTVLINRPPSIHQHSLIALSVKVLPVSFVVSINPLICSPFRGDFDGDCLHGYVPQSISTRVELNELVSLNSQLINGQSGRNLLSLSHDSLTAAYLVKEDGALLNLFDIQQLQMFCRNDLPLPAIIKAPPLSSSGWTGKQLLSMLLPPDFDYEFAPNDVVICDGELMSSSEGSAWLRDADGNLFQSLIKHDQGKVLDFLYAAQEVLCEWLSMRGLSVSLLDLCLSSESTSRKNMMDEIFCGLQEIEQTCNFKQLMVDSNHDFLVGDEETDSFMALDSERMSYEKQRSAALSQASVDSFKRVFRDIQNLLYKYADKENSLMTMCKAGSKGNLLKFVQHSMCLGLQHSLVPLSFRFPHQLSCAAWNNQKSHGLSQKIDDTVESAKNYIPYAVVESSFLTGLNPLECFAHSVTSRESSFSDNADLPGTLSRRLMFFMRDLCTAYDGTVRNAYGDQVIQFCYSTDKGTSNKSISDSSSLPDGIGGQPVGSLSACAISEAAYSALDQPVSLLETSPLLNLKRVLECGSKKSNADQTMSLFLSDKLGRRRHGFEYGALEVKNHLERVMFSDILSSVSINFFPQISSGNQFSPWVCHFHVCKDTMKRKRLKVKSIIDSLHMQCTTAKTVWKISLPDLQITSRACSLIDMTDDMFCITVTFVENTKSSHIELDLVRDIVIPILLEAVIKGFPEINKVDILWNDQLKVEKSHRSTPGELYLRVSVSGNFGITKLWGVLMNDCLPIMDMIDWTRSHPDNINQFCLAYGVDAGWKFFLNNLKSAISDTGKTILNEHLLLVANCLSATGEFVGLNSKGLRQQREHAYVSSPFMQACLSNPGATFVKAAKAKDREPDKLQGTIDALAWGRIPPIGTSGHFDIVYSMKDQKLTEPVQVYKLLRSAISSQKQDVQFKVPKALNYKSEKYDLPHPLGALGLAAASEELKKMESKIRSMCRERLTLNDILRLSNKLRDILHKYPIDHEVSDADWTTLMKALYFHPRRDEKIGPGASEIKVVNHPEYKNRCFSLIRTDGTAVDFSYRKCVIGALEIIDPRRAKSYKSRWFRSASS >OMO79416 pep supercontig:CCACVL1_1.0:contig10418:13727:22007:1 gene:CCACVL1_13685 transcript:OMO79416 gene_biotype:protein_coding transcript_biotype:protein_coding description:UAA transporter MLKDYLRDDLSSCSSNGFKSFPRRQCCTTIRFLLEADLKKSKDYYSSGSAKRLLKRRSSKQGSTAIKTLQKASEAFLKAVKLLPFASVKPSSRALQSNGSSKKGLLIPRSFSRRLFSRSFWRKAEKIDGGGDGDGEVIEIRQWRSFREFLEEKNQPSDQNNICNVNTTDTCSSIITTTTSRVSTSSTSGNSNSWADSEFTVDILQSSSGNSENSSSNDVVEDKANLPEKEKVSNIVGVTVGEEDSINYTKEQNWRNEEGKEQFSPVSILDCPFDDEEENNSPSFQDKLERVEGTKQKLMQKIRRFESLAQLEPVDLEKRIALAEHEDKQENYTEANEKLLKQLKSKYPLDCFNWADNLLSDFFSERMVEDDDDNVKGVLIELELVKVAEDWVNGNPQELILGWEVQEGRKAYVKEMETIENWRSFDEEKEEVGLKVELEEYVYNRLQFSYGWYFTFIQGFVYLILIRLQGFTIKQMVNPWRTYVKLSAVLMGSHGLTKGSLAYLNYPAQIMFKSTKVLPVMIMGAFVPGLRRKYPFHEYVSAMLLVVGLILFTLADAQTSPNFSIIGVIMISGALIMDAFLGNFQEAIFTMNPDTTQTEMLFCSTVVGIPFLLVPMILTGELVKAWNSCYQHPYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMITTARKAVTLLLSYMIFTKPLTEQHGSGLLLISMGIILKMLPDSKPQPRIPISNVNAKQPKTSSKELETVELEDEERKPLV >OMO79418 pep supercontig:CCACVL1_1.0:contig10418:24497:24676:1 gene:CCACVL1_13687 transcript:OMO79418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFRNKRIMAGSPFEAQRAEPSQQQSTATPPLDPQRAELSRQHVKALNTQFARSYLSS >OMO79419 pep supercontig:CCACVL1_1.0:contig10418:25514:31755:-1 gene:CCACVL1_13688 transcript:OMO79419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIATRDDISLSSIQFRNFFKVANLTKTILDTTQFSNLRDRYVLGEQLGWGQFGVIRACSDKLTSEVLACKSIAKDRLVTSDDFCSIKLEIEIMTRLSGHPNVVDLKAVYEDEDFVHLVMELCAGGELFHQLEKYGRFSELEARVIFKHLMQVVKYCHDNGIVHRDLKPENILLATKSSSSPIKLADFGLATYIKQGKSLHGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGMPPFGGKTKSKIFDAVRAAELKFPPDPWDRISVSARNLITGMLCVDPSKRLTAANVLAHPWVEDCRQIAQESFKHGNLNCRELEVEEVSFSIPFIERNLDYSFGEGSPMSANGQPGTPAFTCKSSFSSFLVEEGSPCSESVGFSFSSCCQSSAVEFSSPVPSMPSFTFFSPMAANEPLSISLETKSETTKTGKGYGGSNLEKLFMLPDSPVPVVKQVAGELEQKIEVRRGGLNGSRHAGIHSKRNNTIGLGAYSWIAVASLFRSSADFVLIKKLDQLTDTTKGGKAVTQETGAWLLETELQGFAKVVVMPDEVKLVGLAEVIFVAVVPNIKPEFKPAAGKLEFEPAVAKLEFEPAVAKLKFEPAVAKLEFEPAAAKLEFEPAVAKLAVELAEKKLVVVPTGEDFLVVSGGVGFVVVVPREKLGVVVPGEKLGVVVPGEKLEVVVPREKLEVVVPREKLEVVVPGEKLAVVEPGEKLVVVVPGEKLGVVVPGEKLGVVVPREKLEVVPEEKLAVVVPGEKLVVVVPIEKLGIVVPRPKTVLVLIGVNPDFP >OMO79417 pep supercontig:CCACVL1_1.0:contig10418:23689:24059:1 gene:CCACVL1_13686 transcript:OMO79417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTKRFALSSSAADTNDSAVG >OMP10542 pep supercontig:CCACVL1_1.0:contig02250:140:211:-1 gene:CCACVL1_00885 transcript:OMP10542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AHDSTLIVMDWYQDRVLDRQTSF >OMO71381 pep supercontig:CCACVL1_1.0:contig11656:3354:16326:-1 gene:CCACVL1_18248 transcript:OMO71381 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein VGLADKINTVVRALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKKIKKGRIILLTTHSMDEADELGDRIAIMGNGSLKCCGSSLFLKHQYGVGYTLTLVKSAPTASVAADIVYRHVPSATCVSEVGTEISFKLPLASSSAFESMFREIESCISRSVSNSETSIVEDKNYLGIESYGISVTTLEEVFLRVAGCDFDEAESVKQGKDFVSSDSMPSHEQMPSRISSAKLLGSCKMFIGVISSIVTRICGLFVAIFLSFIQFLGIQCCSCCMISRSMFWQHCRALIIKRAASSRRDRKTIVFQLLIPVIFLFFGLLLLKLKPHPNQSSVTFTTSNFNPLLSGSGGGGPIPFDLSWPIAKEVTAYIEGGWIQKFKQTSYRFPDPQKALSDAVEAAGPDLGPVLLSMSEYLMSSFNESYQSRYGAVVMDDQYEDGSLGYTVLHNCSCQHAGPTYINVMNSAILRIATHDNNMTIQTRNHPLPMTKSQHLERHDLDAFSAAIIVSIAFSFIPASFAVPIVKEREVKAKQQQLISGVSVISYWVSTYIWDFISFLFPSTFALILFYGFGLDQFIGRSLLATVIMFLEYGLAIASSTYCLTFFFSDHTMAQNVVLLIHFFTGLILMVISFIMGLIETTASANTFLKNFFRLSPGFCFADGLASLALLRQGMKDKSSDGIYDWNVTGASLCYLGVEGLLYFLLTLGIELLPSCKLSPVTLTKWWSRKSFQGDASVSEPLLKSPFEAAVHLDEDIDVKTERHRVLSGSVDNTILFLRNLRKVYPGGKHYREKVAVDSLTFSVQAGECFGFLGTNGAGKTTTLSMLTGEESPTEGTAFIFGKDISLNPKAARHHVVMEKLVEFDLLKHANKPSYTLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRQGKTAVILTTHSMNEAQALCTRIGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLENLCRIIQERLFDIPSHPRSLLDDLEVCIGGVDAVRSENASVAEISLSKKMIIIIGRWLGNEERIKSLISSRPISDGLFGEKLSEQLVRDGGIPLPVFSEWWLAREKFSAIDSFVVSSFPGATFHGCNGLSVKYQLPYREGLSLADVFGHLERNRNQLGIAEYSISQSTLETIFNHFAANS >OMO95465 pep supercontig:CCACVL1_1.0:contig07674:6121:13722:-1 gene:CCACVL1_05403 transcript:OMO95465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myotubularin-related protein MRVIVFGFRPRTKQRRIIFDALSRCTKPASIWDLFAFTCGPSRFSNPNPMVRLLNEYFRLLGKGFHRASMDMIEDGSFTLSNDLWRISDINTNYTMCQSYPFALIVPKNIRAKELIFIYATDASDEEVMQASTFRGRGRIPVVSWCHPGTGAVLARSSQPLVGLMMNMRSNADEKLVAAVCTQLTDGKGSRRKLYIADARPRKNALANGAMGGGSESSSNYFQSEIVFFGIDNIHAMRESFARLRDYLDTHGAASSDGMSSFLRHGGWTWGGGNLSSMSASVSTLGDSGWLIHVQSVLAGSAWIAARIALESASVLVHCSDGWDRTSQLVSLANLMLDPYYRTFTGFQALVEKDWLAFGHPFSDRVGMPSISGTSFELSRQSSVGSFSSSPIRQSSGSFTAQPSNSSHGQNNYSPIFLQWVDCVSQLLRMYPFAFEFSSSFLVDFLDCVLSCRFGNFLCNSEKERKKCGVYEACGCLWAYLADLRSSQGSSHPHYNLFYDPLKHNGPLFPPAAALAPTLWPQFHLRWACPSESQASNLEAECRNMATKFSELQKAKDAAEKKVKEYSIAMESLNAELQNEKQVSSSAMSLANRASKECAAIKRAIQSLGCRVNFAGSGDCTVDVESNITETQQNLMHSSRTSSRRDSDSMMGRDEKSDLSVSITVVADDAVSSSPFGRVCENLCPLRTRDGGCRWPDAGCAQLGSQFVGLKANFDAFDRLSIYDGYFQSE >OMP08734 pep supercontig:CCACVL1_1.0:contig03629:1004:1315:1 gene:CCACVL1_01098 transcript:OMP08734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MHPSAHESRLNVDKGCPRTFGPQTSKLKDIFNIFKRFDCDKDGRFSKEELKKAFSELGSRVPVLRTLAALHHADENGDGFISDEELEALLQYALGLGYTIKME >OMO80746 pep supercontig:CCACVL1_1.0:contig10277:30449:33263:-1 gene:CCACVL1_12784 transcript:OMO80746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta subunit-like PLP-dependent enzymes superfamily MEPKNQAKSRKVPFPSLGHLQLHSSSAVFTRSTVRKKYSEKMAHENVGIAKDVTEVSSDEAIETAKLLALKEGLLVGISSGAATAAAIKIAKRPENAGKLIVAIFPSFGERYLSSVLFESVRKEAESMTFEP >OMO80748 pep supercontig:CCACVL1_1.0:contig10277:35849:36871:-1 gene:CCACVL1_12786 transcript:OMO80748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MMLNLLRKAIAYPSIGNSVTRLRSFCSRVPPLINSEDAKPTPSSNYSSRIHLSPLFNDSSSHLGRYDIDLVDDETWQLSSALSHAHKGNDREREARPLLEEVDQRVVDGSSPDDQDFDDIDNMRIRGNLFYKIDRASKEFEEYSYDFHRKKGSKSKDDHKESEKKESLKKKDDTKQSKRNEKSNVKLLETVRNGSFIILDKVEGSSVEKKKVRTPTFNQLTGPYHEPFCLDIYISKASVRACIIHRATSKVVAVAHSISKDMKFDLGSTRNASACAAVGEILAQRALDDDIHDVIYTPRKGDKIEGKLQIVLQSIIDHGVNVKVKLKQRRPKKAAHASTT >OMO80740 pep supercontig:CCACVL1_1.0:contig10277:4016:7395:1 gene:CCACVL1_12778 transcript:OMO80740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLTANELGSLLLSAISNRSLLFGRATHAHILKTLQTPLPSFISNHLVNMYSKLNLLNSAHLVLLQTPPHSRSVVTWTALIAGHVQNGHFTSALLHFSQMRKDCIFPNDFTFPCAFKASAALRLPSVGKQIHALAIKSSQIFDSFVGCSCFDMYMKTGLRDEARNLFDEMPERSIAMWNANISNAVLDGRPSIAVDVFIQFRRIVAEGVCLNHISRESSDIRRLANFYNEVFGFEEIESPKFEFKVIWLTLPGATPMHLIERSPDTKLPEGPYSATSAVADPTHLPRGHHICFNVSNFDSFVQSLKDKGIETFQRTLPNGKVRQVFFFDPDGNGLEVASREDP >OMO80744 pep supercontig:CCACVL1_1.0:contig10277:25282:25504:1 gene:CCACVL1_12782 transcript:OMO80744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKSCSLPPFLLIQTALKLQWWRQMIFQPVSDSSTVS >OMO80745 pep supercontig:CCACVL1_1.0:contig10277:27532:29372:-1 gene:CCACVL1_12783 transcript:OMO80745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MALTGKNRFLFDLPLILRRGLLLEGNGRRQRQKGVGFDCTEIGEGAALVVEDETGKNFSDTAILRRELFFFFLISDKLMGLGIIIWNSVTRLCSFCSRVPPLINSEDVKPTPSSNYSSRIHLSPLFNDSSSHLGRYDIDLVDDETWQLSSALSYTHKEYDRERKTRPLIEADDERVVDGSSPDDQDFDDIDNMRIRGNLFYKIDRASKEFEEYSYDFHRKKGSKSKDDHKESEKKESLKKKDDTKQSRRNEKSDLKLLETVRNGSFIILDKAEGSSVEKKKVRTPTFNQLTGPYHEPFCLDIYISKASVRACIIHRATSKVVAVAHSISKDMKFDLGSTRNASACAAVGGILAQRALDDDIHDVIYTPRKGDKIEGKLQIVLQSIIDHGVNVKVKLKQRRPKKAAHASTT >OMO80750 pep supercontig:CCACVL1_1.0:contig10277:44605:51150:-1 gene:CCACVL1_12788 transcript:OMO80750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPNPPKQPSSSANNHRKSRWESSSSAPPNKNVSSTTNDSKSSTKPNPSPRTGPSPAYAAQKKSQSGPSPAHPPFPSFPDPAALGPPPPPAYGFHMLERRTIVLYDGSVRSYFALPPDYQDFPTRPILGPPEFGSPQLGFRENRDYRNGPGEGRSPLKRKYGEEEKVPREEKKEELARQRFGHSNAKVYSLGGGSDRQAGTSSPFRNEGTRAAKYMRVGGGFENNNSGFNNKHLEVDQNKLKKAFLHFVKTVFENAAQKKNYLEDGKQGRLHCLACPSLFGMDIADLSLSGTDIADLRSSKDFPDMHALIMHTYYSDNADLRVDHLGLHKALCVLMGWNYSKPPDSSKTYRFLPAEEAAANQEDLIMWPPVVIIHNTITGKGKDGRMEGLGNKAMDSKLRVFQDMENSAISIDLEKPIPKESHSVPLWLEYKGDVGLRKYTLLKHLNAGLIVLEFDRKFMFSLCLILLVRGSSLQALLPIIVHFKPGLSSYAAGLCCKSGYTHFRLLTIKFMVFDLWISVFVFAGNSAGKMLKGTKGFDHEDMPDLFWRRCLEKGCTRECQVPVIDSVAFSSLFFKFPRATADDIQFMPYMFCESNLGFGSGKSKAIYGREGHQGITVVKFAGDQSGLKDAVRLAEHFEKENRGRKGWSHVQPMTLGKDDEKNPSLVKVDERTGEKKRIFYGHLGTVADLDKVDFDTRKRVVIESRREHKGPR >OMO80741 pep supercontig:CCACVL1_1.0:contig10277:8467:9435:1 gene:CCACVL1_12779 transcript:OMO80741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed maturation protein MSQGQPRRPQPQAEADQGDQNQEPIKYGHLFNVQGELANKPIAPQDAAMMQTAETEVLGQTQKGGTAAVMQAAATRNEQAGLVGHNDITDIAGEQGVSVSETDVPGRRIITEAVAGQQSAITIGEALEATAHTAGNKPVDQSDAAAIQAAEVRATGSNVITPGGLAATAQSAAAHNAAVYHDDEKIKLNEVLTGATAKLPADKAVSRQDAEGVVSAELRNNPNVATHPGGVAASMAAAARLNQNVNV >OMO80742 pep supercontig:CCACVL1_1.0:contig10277:12794:13477:1 gene:CCACVL1_12780 transcript:OMO80742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIFWKNLHLCFSTKLKSDNESSILPPSPTKQDDATSSRTTSTLLLKNFNSLYDMSSSASTSKSAMTASTTTDADDLFVSSESDSDAESPPPDFATIYASQRFFFSSPGRSNSIVESSADTRPEAESSSSTEEVPAALDGGVAVKKYSPDPYKDFRLSMQEMIEARNLTDVKKDWEFLHELLLCYLTLNPQNTHKFIINAFADIVVCLLSSSPDSDSHPRPETHRR >OMO80743 pep supercontig:CCACVL1_1.0:contig10277:18301:24642:-1 gene:CCACVL1_12781 transcript:OMO80743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 48 MALTGKNRFLFDLPLILRRGLLLEGNGRRQRQKGVGFDCKEVGEGAAVLVEDETGKNCSDTAILRRLPVSTELFLLSIMAMSPSNGTETGSQSSPPLDYEPYNIIPTNNLHLDHPSHRCPQVGAAISTLRFTVCNLRKPPCSQWLPSMDLLDWLGLFFGFQRDNVKNQREHLVLHLANAQMRLSPPPDNTDAIEITVLQNFLKKLLKNYFKWCSHLGINSNVWIPEGSSFCHGRELLYIGLYLLIWGESANLRFMPECICYIFHHMAMDLNKILEDYIDENTGMPAKPSTYGENEFLNSVVKPIYEVIKVEVESSKDSTVLPKVEMESSEEGSPPHSTWRNYDDFNEYFWSKRCFQDLKWPIVLESDFFLMAYGTRKTKRKTGFVEHRTFWNVYRSFDRLWVMLALFFQVAVILAWQEMKYPWQALNNKVVKVRLLTLFITWSGMRFLHAFLEAAMECSRVSREAFGFLVRMVLKILVAVAWTYAFVVCYRRIWMQRNYDQQWSDEANKRVIFFLQLAFLYVLPEFLEFALFFLPCVRSFIELRNWKICYLMSWWFHGNQFVGQGLREGLVDSVKYILFWAVVLSTKFVFSYFLQIKPMISSTKQLLDLNNFEYEWWHEPFSVHIGRKIAIGLLWLPVVLIYLADTQIWFSIFSTCVGAGVGLFQRLGEIRNTQQLRLRFQFFVSAIQFNLLPQEQSEISSRSLRGILTDAIHHLKLRYGFEQPFKKIESNQVDARKFALIWNEIITTFREEDILSDREVELLELPCNSWNIRVIRWPCFLIGNELLYARVLARELRDAPDNWLWHKICKTEYRRCAVIETYDSFKHLMLEIVNNSTEEHSILKNLFAEIDHFVEIEKFTKTFEMNALGQIHSKLIRLVELLLEPEKEASQVVYTLQALYGMIVHDFFKEKRTINQLREDGLAPPYRTGMEKSLFENAVELPEPSHKNFYRQVKRLQTILTTRDLMQNIPANIEARRRLAFFSNSLFMEMPRAPQVKRMMAFSVLTPFYDEDVLYRKEKLKTKNEDGVSILYYLQTIYDDEWENFMERMHRAGLVRDDEIWTTKLRDLRLWASYRGQTLARTVRGMMYYSRALEMLAFLDSASEMDIREEEQKLGFMGRGGTFDGFRSRGPPPRSLSRTNSSVSSLSDGHEYGIALMKFTYIVSCQKYREQKAEGDPCAEEIADLLKHNEALRIAYVDEVSIGRVKEYYSVLVKYDQKLQREVEIYRVKLPGPLKLGEGKPENQNHAFIFTRGDAVQTIDMNQDNCFEEALKIRNLLEEFSHYNGIRKPTILGVREHIFTGSISSLAWFMSAQETSFVTLVQRLFANPLKIRMHYGHPDLFDRFWFMTLGGLSKASKTINISEDIFSGYNCTLRGGSVTHHEYIQVGKGRDLGLNQISMFEAKIACGSGEQLLSRDVYRLGQRLDFFRMLSFFHTTVGYYFNTTVVILTVYAFLWGQLYLALSGLENYVLGGGNNDKKALTAVIHQEIVIQFGLFTTLPAVVESSVENGYLQAIWEFFLMQLQLSVVFYTFSMGTRAHFFGRTILHGGAKYRATGRDFVVKHTSFAENYRLYARSHFIKGIELGLILTVYAKYTQGAKDTFFYIDMAVTCWLLVLSWIFAPFLFNPLGFDWLKTVNDFDDFIFWIWNRAGVFAKAEQSWERWWYEEQDHLRTTSLWGKLLEIMLDLRFFYFQYGIVYHTGHSNSFSVYLLSWIYILTAFGIYLTMSYLREKYEAKEHIYFRLAQSLLFAVGILVITALLMFTNFELVDLFTSLLAFIPTGWGLVSIAQVCRPFLQHTRLWDCVVSVARLYDLLFGLFVMAPVAALSWMPWFQSMQTRILFNYSFSKGLQMLKIITEKKHVRPALRIGD >OMO80747 pep supercontig:CCACVL1_1.0:contig10277:34555:35001:1 gene:CCACVL1_12785 transcript:OMO80747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHFTPSPSFILTKPKLPIKLPTSQLNPRRRHGSLRFSSFIARSYKVVIDHEGQSTELEVDPDETILSKALDSGLELSHDCKLGVCMTCPAKLLSGTVDQSEGMLSDDVVERGFALLCASYPRSDCHIKTIPEEELLSMQLATAND >OMO80749 pep supercontig:CCACVL1_1.0:contig10277:38437:41571:-1 gene:CCACVL1_12787 transcript:OMO80749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein MGSIPDPGELTELTQPSFDDFQRQTSLMTSCTLLWKELSDHINSLEQNLMRQSEDLKRKIETLDSETKASLDSLKKREVSIEDSVKIALDRVEFHTKAALKTLSDDAEDNPDGEVDDGEVDDGDGLLQLLKARCLKMEAREFWNFVTGKKKEIDLLREKIPVALSECVDPAKFVMEAISEVFPVDKRGNERGNDLGWACVLILESLIPVVVDPVIGKSRMLVTPSVKKKAKEIAETWKRSLEERGGIENVKTPDVHTFLQHLVTFGIVKKEDLELYRKLVVGSAWRKQMPKLAVSLGLGDEMPDMIEELISRGQQLDAVHFTYEVGLVDKFPPVPLLKAFLRDAKKAASSILEDPNNAGRAAQLAARKEQSALRAVLKCIEEYKLEAEFPPENLKKRLEQLEKTKTEKRKPAAVPANKRTRASNGGPMPPAKAGRLMNAYVSSFPAPPTFVRSPTHTQYPAPVPAYPSPPPAMYGSRSPPTNPYAYSPEAAPPPLAGSYPGAPMNYPAYGGYGNGLAPAYHQQAYYR >OMO72277 pep supercontig:CCACVL1_1.0:contig11474:24605:24691:-1 gene:CCACVL1_17871 transcript:OMO72277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGLFSLLTGSSAKNLPSSQIGRTQA >OMO58839 pep supercontig:CCACVL1_1.0:contig14105:27832:28005:-1 gene:CCACVL1_25320 transcript:OMO58839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKARSRAVSTERTILSPFTKEYRVLAALSGIRLFLGGPFPLGTGPTITSAKFFWHCS >OMO58841 pep supercontig:CCACVL1_1.0:contig14105:52354:54852:-1 gene:CCACVL1_25322 transcript:OMO58841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKEDAPVNPRAQSCQLSGSRKMFWRSASWSSSRTSGQIPENEETDLGADPNGNDGTNNGLPRRFPPPPLTPRSQQNCKARACLPPLQPLSIARRSLDEWPKAGSDDLGEWPHPPTPSGNKSGERLKLDLSSIQRNNDKNGGLVKRDKIAFFDKECSKVAEHIYLGGDAVAKDREILKQNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRIYRIAPHSPYDPLHLVPKMLNDPSPSMLDSRGAFVVHIPSAIYVWVGKNCESIMERDARGAVCQIVRYERVQGPIIMIKEGEEPAYFWDAFSNLLPLMDKSGNKVGVGELAIKICPGERKVDAYNVDFEIFQKAIKGGFVPPFASSENEHETHLPARESSWSMLRRKFASGVMKEFVSAPKILSRVYSDSMMIVHASSPSLTSSSSSTPPYLSPDSITSDSSSSSKYFSESSLDSPSGVSCSLPVSSTLSNFSNLSLVSSQTCSFPKSNSSEIVGVNLTTQPCSQSASSPLKKVPPSLAERRGSLSKSLKLPVMSDNIRVTNDPPCFVVKQDGARINDNTNSSCELDIEIVFDSKRGVRKGGDTLSQGSDSKIIPGRIAYADSSDKECALVNSCEPQRNHPPHDGFGSAVPNGMEESIPACTSVIQPLVYRWPSIEKMTNFNRSDLDSKSAFAIFSPTTAVGNNEDRILYFWVGNCFHHESLIQIDSSRVPGDREETDWNQVGCELLTQVGLPKDTPVQVHINI >OMO58837 pep supercontig:CCACVL1_1.0:contig14105:7031:7249:1 gene:CCACVL1_25318 transcript:OMO58837 gene_biotype:protein_coding transcript_biotype:protein_coding description:larval cuticle protein LCP-17-like protein MEARCGPSPSPKSNSSQSIVMIFGPSSFKVVGPIVMVFGPRPGPKGRLSPCPVNEFLVQSLSVVATHPEGDL >OMO58840 pep supercontig:CCACVL1_1.0:contig14105:37214:37336:-1 gene:CCACVL1_25321 transcript:OMO58840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNAIAKVIKYCLMIFLLIGLVGLSTEEAAKNKARKGCNV >OMO58842 pep supercontig:CCACVL1_1.0:contig14105:60514:67375:-1 gene:CCACVL1_25323 transcript:OMO58842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFSQKPNFKFSFFILLFSVSQLLVLNSGFELDESLTYIWPLPSEFTSGNETLTVDPTLSLSVSGKRGDSKILKEGFERYKKIIFKHVYGVSVFDKLRGIRSAYDITELKIIVNSDSEELQLGVDESYTLFVANNDGKSIVGEATIEANTVYGALRGLETFSQLCSFDYGTKSVQVYKAPWYIKDKPRFSYRGLLLDTSRHFLPIDVIKQIIESMSYAKLNVLHWHIIDEQSFPLEIPTYPKLWKGAYTKWERYTVEDAIEIVSFAKMRGIHVMAEVDVPGHAESWGAGYPDLWPSSSCREPLDVSKNFTFDLISGILSDMRKIFPFELFHLGGDEVNTDCWTSTPQVKQWLNDHKMTAKDAYQYFVLKAQEMAISKGWTPVNWEETFNAFASNLNPRTVVHNWLGPGVCPKAVAKGFRCIFSNQGVWYLDHLDVPWEEVYNAEPLEGINSVSEQSLVLGGEVCMWGETADTSDVQQTIWPRAAAAAERMWSEREVGSVGNVTLTVLPRFHYFRCLLNQRGVQAAPATNYYARRPPTGPGSCYEQ >OMO58843 pep supercontig:CCACVL1_1.0:contig14105:72158:76360:1 gene:CCACVL1_25325 transcript:OMO58843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MVETAARECDEELSLLPLHGNGDGSWRLNFDGFQLSPEHKDKKPPRSLHDCLGVLGPEDNVAEYYQQQVEMLEGFNEMDALAERGFVPGMSKEEREKLAKSETLAIRISNIANMVLFAAKVYASIRSGSLAIIASTLDSLLDLLSGFILWFTAFSMSTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVRTMVSDEDEFNLTKEQEGWVVGIMLGVTLVKLLLVFYCRTFTNEIVKAYAQDHFFDVITNIIGLVAVLLANYIDDWMDPVGAIILALYTIRTWSMTVLENVNSLVGKSATPDYLQKLTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPASMPLQEAHDIGESLQEKLELLPEIERAFVHLDYEYTHKPEHAQAHAF >OMO58838 pep supercontig:CCACVL1_1.0:contig14105:23049:23201:-1 gene:CCACVL1_25319 transcript:OMO58838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKARSRAVSTERTILSPFTKEYRVLAASSGIRLFLGGLFVLDLAHQLRV >OMO96519 pep supercontig:CCACVL1_1.0:contig07450:2378:2470:1 gene:CCACVL1_04916 transcript:OMO96519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGALGRKQNTMMSREQKLDTALHLWHGDDS >OMO98620 pep supercontig:CCACVL1_1.0:contig07067:32308:41566:1 gene:CCACVL1_04148 transcript:OMO98620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MEAVDPTDKLLHPLDLDLRHYIIHYLEKAAAGDIFNGNKESKGYKFSLYPPEGLFATSALEVGNPYKYRVTNFIYAVVENEPEWIGYVAVTTNEGTTILGKRDILVSWRGTVTKADLDDDLRITLVPTRESFPREILKPLVHVGQFKNKLTKYQNEQAISITITGHSLGSALATLNAIDIVYNGCNKPTGNSDNFFMVTGFVTAYPQVGDQSFSKPYLTNSIRFMISIPCAS >OMO98621 pep supercontig:CCACVL1_1.0:contig07067:42601:42741:-1 gene:CCACVL1_04149 transcript:OMO98621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVTTTTSTNSTATQSSSLPNIAQQISLKLTPANFLLWPFSNNIY >OMO98619 pep supercontig:CCACVL1_1.0:contig07067:29162:31562:-1 gene:CCACVL1_04147 transcript:OMO98619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRPVDPEEELQWMSEALPHEA >OMO52905 pep supercontig:CCACVL1_1.0:contig15402:8093:15659:1 gene:CCACVL1_29018 transcript:OMO52905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclases/protein prenyltransferase alpha-alpha toroid MWRLRVGEPNRNDPYIWSTNNYLGRQIWEFDPDAGTPEEKAEVEAARENFYKNRFKIHPDSDLLWQLQVPQSKQMTHSRTHAG >OMO52906 pep supercontig:CCACVL1_1.0:contig15402:28061:31554:1 gene:CCACVL1_29019 transcript:OMO52906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase/squalene oxidase MWRLKVGEGNENDPYIWSTNNYLGRQIWEFDPNAGTPEERAEVEAARENFYKNRFKVHPDSDLLWQFQFLREKNFKQTIPQPKVEDGEEITFEATTAAVRRSAHLFGALQSKDGHWPAINAGPMFYFPPLVFTMYITGTLNNVFPAEYRKEILRYIYYHQNEDGGWGLYVGGHSMMFCTSLNYICMRLLGVEPDGGLDNACERARKWIFDHGGITTSASWGKTWMAILGVYEWAGCHPMPPEFWLFPDWFPLHAGNLHIKYKLI >OMP07693 pep supercontig:CCACVL1_1.0:contig04265:179:250:-1 gene:CCACVL1_01247 transcript:OMP07693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AHDSTLIVMDWYQDRVLDRQTSF >OMP05397 pep supercontig:CCACVL1_1.0:contig05409:8928:9038:-1 gene:CCACVL1_01920 transcript:OMP05397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSNNLEPKVRNNKKQKTKSFDLSPPSIDIRLGK >OMP05398 pep supercontig:CCACVL1_1.0:contig05409:9708:10196:-1 gene:CCACVL1_01921 transcript:OMP05398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGCPFVSAGRRSSPNFGAGRTTPPPKQPSPPPTSPPSMGTFQTFTNRLAKLGGMMSGLEYSLILFGAGYTGYLYGPQVVWEDLTRRVDRRRVSTDTTLVLQLGNNNADLIRQMLVKADQQWGKMDERMTKIEEEQSKQAQKMEEWMTKMEEESKKRKGVFC >OMP05399 pep supercontig:CCACVL1_1.0:contig05409:11658:16386:1 gene:CCACVL1_01922 transcript:OMP05399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGRTRAELRALYFCHEPSSNLALLGSARLDYTPIDERCSNGNSSIVNGEMSSVSEENWKAEEAIGGNAQALQALRELIVFPFLYSREAQKLGLKWPRGLLLYGPPGTGKTSLVRAIVRESGAHLIVLSPHSVHRAHAGESERILREAFSEASSHANSGRPSVVFIDEIDALCPRRDSRREQDVRLASQLFTLMDSNKASPTSVPRVVVVASTNRVDAIDPALRRSGRFDAEVEVTTPNEEERYQILKLYTKKVPLGANVDLLAVAASCNGYVGADLEALCREATMFAVKRSTYIGENPGVLSLTMEDWKHAKSVVGPSITRGVTVEIPKVSWEDIGGLKDLKKKLQQAVEWPIKHSAAFARLGISPMHGILLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSMYVGEGEALLRNTFRRARLAAPSIIFFDEADVVAAKRGGSSRNSTAVGERLLSTLLTEMDGLEQAKGILVLAATNRPHAIDAALMRPGRFDLVLYVPPPDLEARYEILCVHTRNMKISGDVDLRRIAEDTELFTGAELEGLCREAGIVALRENISATVVCNHHFQTVKESLKPALTREEIESYSSFMKDQGLMSPVAMSKPSSHKHAVRHKSTSLGLGFPIKIGVLSVIMLVAGRYMFMHAGQTRHELVVT >OMP07621 pep supercontig:CCACVL1_1.0:contig04308:587:652:1 gene:CCACVL1_01269 transcript:OMP07621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNDNYLNTFVSPEKPKGQQ >OMO59689 pep supercontig:CCACVL1_1.0:contig13904:53527:58343:1 gene:CCACVL1_24660 transcript:OMO59689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MCFWIEHVPLRQIGIRAGWNLVVVVKLIFPNQWMMRLETILESLDALGIDANNSRNNRDPRVEATLGGPVNRQGQRVEDRVAVDNQIAPLNHAKNHVVAVVNDDSEEEELEPNRVFRYQPQQNHGRRFKNNNRQNYREEKAVGKRVVAEGGDNKKGDNPFANGSYENIISKEAMRKLNLRVKKHPTPYSLGLINSESRQLDYDLDVLHSVRSNQYRFEKDGKNFLLLPMQKSDKSKEQNTFLFVAKDFGSEMKESNELYALVVKQRVELQVEEHPDLVQPLLLEFKDIMPDDIPDGLPSMRDIQHHIDLILGKDGSWRMCVDSRAINRTTVGYKFPIPRLDDMLDRLSGSAWFSKLDLKNGFVALKEKMCTAPVLALPDFDKVFEVDCDASGVGIGAVLSQEKRPVAFFSEKLSDSRRKWSTYDKEFYSVVRALKMWEHYLAGNEFVLYSDREALKYLKSQKQITSDMHARWCPKAYEDFSEIWSKVSSKQTSSDFYLLDGYLMHENQLCIPRTSLREQITRYLHAGGLAGHMGCDKTLEAVKERFYWPHLRRDVCRFVEKCYTCQTSKGQSKNTSLYMPLPVPENIGKDLSMDFVLGLPRTQRGAGSVFVVVDKFSKMTHFIPCKKTSDAVAIARLFFMEVVRLHGVPKTITSDRDSKFLSHFWHTLWKIFDSSLNFSSTVHPKTDGQT >OMO59690 pep supercontig:CCACVL1_1.0:contig13904:69619:70143:-1 gene:CCACVL1_24661 transcript:OMO59690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II Pbs27 MASPTLVTPTSKLKPLLPIKAKATSAAQPPSQRPQQQQPLRRQFLSLAATATALSLAGILPVAPAAFAASDEEYVKETEQVINKIRDTITMDRNDPNVASAVAELRDTSNYWVAKYRREKALLGRASFRDIYSALNAVSGHYISFGPTAPIPAKRKARILEEMDTAEKALLRGR >OMO79570 pep supercontig:CCACVL1_1.0:contig10399:5444:7447:1 gene:CCACVL1_13574 transcript:OMO79570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MAILSSFSPIQCLGTRNEAIDQISTSNGHVAITFPEKGLSKRQVLRSSPPPPRPRRIILVRHGQSEGNVDESVYTRVADPKISLTAKGKAEAEECGWRIREMIEKDEADDWKVYFYVSPYRRTLETLRHLGRAFERSRIAGMREEPRIREQDFGNFQDREKMRLDKALRLRYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFQPPGEQSPNMNLIIVSHGLALRVFLMRWYKWTVEQFERLNNMGNGNMLVMEKGYGGRYSLLMHHNEEELREFGLTDEMLIDQEWQKTARPGELNYDCPVVNSFFDHFEDDDDGCPTLNQ >OMO79571 pep supercontig:CCACVL1_1.0:contig10399:9816:12030:-1 gene:CCACVL1_13575 transcript:OMO79571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTTTTSISTKTSSAQLKVQVQPIKPKRRKCKETTISSSASTAAAPPTATTNGYSDLGLNRKLEPPTIVSPDNSWCCPASKPLPTPPPPPPSPPPLPQQGRRFPEQGLTDSLTGFRIRYSPGSVSPVMDFTGGTTLSNGHSPSSFTKFNSALTAGLLNPMSPPPPPDKTRSSPTLFEMMASEPDVHPRNQAQNQAQIQVPISAARHNQPPPVIDKQALTMQRISDLLSTRSPGNQFNDPGSSDIKLTLSSKDGISVSMNVHRQILVAHSRFFAVKLSDRWAKQQRNGPSGPYIVEIADCDDVEVYIETLRLMYCKDLRKKLMREDVSKVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLAELRLENVGAGEVLKRVSVEVTNGADEGGDNEEVLLKLLHVVLEGKDEKARREMKGLVSKMLRENSSQNDLRKESLYSACDGCLELLRHHFLRAASSDMQDVSQIARQADNLHWILDILIDRQIAEDFLKSWASQSELSEVHSKIPAVHRYEVSRVTARLFVGIGKGQLLASKEVRCLLLQTWLVPFYDDFGWMRRACKGLDRHLIEDGLSNTILTLPLAWQQDILLAWFDRFLNSGEDCPNIQRGFEVWWRRAFWRRSGEQELPRQLQVTAATIENS >OMO64740 pep supercontig:CCACVL1_1.0:contig12741:4028:21874:-1 gene:CCACVL1_21612 transcript:OMO64740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSFEFKQSIIGKIKVQFKGGIFAVIEIISELLWGEDKVQGSGEVAGYLAELDQWRRRTKMTF >OMO94037 pep supercontig:CCACVL1_1.0:contig07999:6665:7402:-1 gene:CCACVL1_06222 transcript:OMO94037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S28e RSSRCNPLVFLARLSSRSPGSFRMDFTIKHVVVVKVMGRTGSRGQETQVRVKFLDDQNRYIMRNAKGPVREGDILTLLESEREARRLR >OMP10952 pep supercontig:CCACVL1_1.0:contig01757:1243:3017:1 gene:CCACVL1_00745 transcript:OMP10952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPYICDERNKEASAFKLFMDHGDFNHPIDIPYHSPHALQ >OMO70456 pep supercontig:CCACVL1_1.0:contig11825:10329:11720:1 gene:CCACVL1_18904 transcript:OMO70456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MRLVMADQGGNNNIVMREYRKGNWTVNETLVLIEAKKMDDERRMKKSGDGSERSKPTELRWKWVEDYCWKKGCLRSQNQCNDKWDNLMRDYKKVREYQRRLAENSNNEGPSYWEIEKNERKEKNLPSNMLRQIYERLEEVVEKKGVQNRVVLVASPGGSAPNPANIPYAVTSMQQPSLPPLLQQQLSSAPQPLTALPLPVPPAAPPLPPPSASPPPVLQPPPLPYAQPLPTVDSDTSEYSDSPAKRRRRSTGGNGEGTSATASANNSNEVGTAISKSASIIAEAIQASDEREERRHRDLVNLHERRLKIEESKTEINKNGIEGLVDAINNLANSILALASHKNQSSAPK >OMO70458 pep supercontig:CCACVL1_1.0:contig11825:17949:18186:1 gene:CCACVL1_18906 transcript:OMO70458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGFSVLVKTGSRRRIKLLLKVNL >OMO70460 pep supercontig:CCACVL1_1.0:contig11825:22841:25519:-1 gene:CCACVL1_18908 transcript:OMO70460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMPKETVMAIKSYHSQAQMLVKNYILADPFIPYTSILGGILACKVAYDLTQLISNFYIKTYPGLTKIQRVEWNNRGISTIHAVFVSALALYFVFWADLFSDRKLAGLVVFRSSPLSIFGLGVSVGYFLVDLGMILWLYPSLGGMEYVIHHSLSGIGVAYTVFTGEAQFYAYMVLISELTTPEINMRWYLDTAGMKRSSAYLINGVVIFFAWVIARILLFGYIFYHIYLHYDQVIQLHTFGYLLVLGVPSILAIMNLMWFGKIVKGLVKTLAKSQRRI >OMO70457 pep supercontig:CCACVL1_1.0:contig11825:15054:17155:1 gene:CCACVL1_18905 transcript:OMO70457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MATTGNKNINAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQASFERAKKWVQELQAQGNPNMVMALAGNKADLLDARKVAAEEAQTYAQENGLFFMETSAKTASNVNDIFYEIAKRLPRVQPAQNPAGMVLMDRPAERTASASCCS >OMO70455 pep supercontig:CCACVL1_1.0:contig11825:6070:7731:1 gene:CCACVL1_18903 transcript:OMO70455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle, SRP19 subunit MNGELANIKKWVVFYPVYINSKKTVAEGRRISTAKACENPTCAEISDCCNHLKLVNAIEIDKAYPRDFMQRGRVRVQLRKEDGTLCNPAISSRKQLMLHVAELVPRHPGRNKKQEAPSSSSTSTAGTSQSKKGGRKKR >OMO70459 pep supercontig:CCACVL1_1.0:contig11825:18749:20188:-1 gene:CCACVL1_18907 transcript:OMO70459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MATSCCTTILFLLFIIALFMNFSQTLAWNRNLNSVVLGLKHSAITPYPILKPSKYSRKRLSEVSDMVEPLRAVRDGYLITLNVGTPAQVIQVFMDTGSDLTWVPCGNISFDCLDCDDYRNNKLVSTFSPSRSSSAVRDSCASSFCIDIHSSDNSFDPCIEAGCSLSTLLKSTCSRPCPSFAYTYGEGGLVTGSLTRDNLRIHGSSPEITRDIPRFSFGCVGSTYREPIGIAGFGKGVLSLPSQLGFLQKGFSHCFLAFKYANNPNISSPLFMGDVAISSNENLQFTPMLKSPMFPNYYYIGLEAITVGNISSSGVPLNLREFDSQGNGGMLIDSGTTYTHLPEPFYSQLLSMLQSSITYPRATDVETGTGFDLCYRVPCPNNRFTNDLFPSVTFHFLNNVSLVLPQANYFYPMSAPSNSTGVKCLLFQSMDDGDYGPAGVFGNFQQQNVKVVYDLEKERIGFQPMDCAAAAASQGLHKN >OMO70454 pep supercontig:CCACVL1_1.0:contig11825:4530:4607:-1 gene:CCACVL1_18902 transcript:OMO70454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLLNKTMVETMRIIVFPLEFEFA >OMO96923 pep supercontig:CCACVL1_1.0:contig07346:5177:10194:-1 gene:CCACVL1_04737 transcript:OMO96923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MENESKKWVFKENKALEVANSISIRGKMPLLMLFDQPTSTIILITLVFFQQEGLYLSQDLPYKLSPDDVYLTVGCNNSIDVIISVLASPSANILLPRPGYLMYESRAALSNLQVRHFDLIPDKGWEVDLDSVEALADENTMVMVIINPGNPCGSVYTDQHLKKVAETARKLGIFVIADEVYGYLTFGSNPFIPMGKFASVVPVLTLGSISKRWIAPSWRLGWILTCDPNSILKNSGIAENIRRYLNISTDPPTFIQVKLNVSLLEDIDDDMEFWLKLAIEESVVVLPEADDCEKGNLDRMGEVISDLVMWRDVAKSSLWFGVGCLCFLSSCFTKGVTFSISSMISQIGLLFLGVSFFSNSIHHNVEKRNELKLREADFLRLARLILPAANFAISKMRELFSGEPSMTIKVIIHDTGLAQSGYK >OMO64097 pep supercontig:CCACVL1_1.0:contig12864:9381:12106:1 gene:CCACVL1_22051 transcript:OMO64097 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MGLPPTRGHDHHIPLKDESQAIKLRPYRYPTVQKNVIEHMVQEMKDSGVIRDNNSSFASPVVLVKKKDGTWRLCIDYRQLNKLTIKDKFSIPLVEELLDELGKAKFFSKLDLRSGYHQIRMANADIHKTAFRTHHGHFEFLVMPFGLTNAPSTFQSLMNHIFQPFLRKFVLVFFDDILIYSENWDSHIQHLHQVFKVLEEHQLFLKLSKCDFAARQELRGFLGLTGYYRRFIRNYGIIAKPLPDLLKKNNFHWNELATAAFEQLKTSMTSAPVLALPDFDKLFVVESDASHLGIDHESLKYMLDQSTHTHAQHKWLVQMMQYDFEIQYRQGHTNVVADVLSRQPVAELLTNSVFSTDLLARIQQSWEQDKSLAGHITKVKSHPMAGAKFTWNSGQFRRKGKLVVGADTKLRRELLELFHSSSGGGHSGMDATTKRIAAVLYWKGMKKAVRQFVRECSVCQQYKYDNSAYPGLLQPLPLPKRIWTDISMDFIEGLPKSGGKDVILVVVDRLSKYNHFIALSHPFSALQVAQVFLDSIYKLHGAPESIVSDRDKVFISKFWTELLKLMGTKLKLSTAYHPQTDGQTEIVNRSLETYLRCMCGECPKEWLKWLPLAEWWYNTSYHTSAKATPYEIVYGQPAPLHRPYLPGDSSVAAIDRSLQAREATLKLLKFHLTRAQERMKAQADKKRSDRAFEIADMVYLKLQPYRQSSVATRVNMKLCTRFYGPYKLPMFDSDGLIAKEPVAVLERRMVNRKGKPVTQVLVQWSNTYPEDSTWETWFDL >OMO71339 pep supercontig:CCACVL1_1.0:contig11666:57602:62876:-1 gene:CCACVL1_18278 transcript:OMO71339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich MACNSATCNSSGCYKEYDEEQRQPTTRNNGSAAAHKNLCVKCKSNEPVCCGIAGDDARFCKDCFKTNLYGKFKQAVSYNALITPSDKVLVAFSGGPSSRVGLQFVHEMQCRAQNNYDASKDKSLAVFGVGVAFIDESSVHSFTSIEIEKAIEDIRSIVLNLSPPEKELYVVPIENIFSSDSIEGKERLKKLVDGVSDVTGKEDLLVHLRMSALQKVASENGYTRIVLGTCTSRIACHVISATVKGQGYSLSADIQYVDSRWEIPVVLPLRDCPAQELNTLCSLDGLEIVELINGPCSSINGLVSSFVKVLQEENPSRECTIVRTAGKLTPFHFNRIPDIHDSNIPSATRKRLKRQSLNPNGSISSESFCPICNTPLNKSNFLSSLSNLESDQISNNFAAACCSSCQFQILPKDPSLMEQFLSLLPQPLIARAKRGDQGDFRLLRLAHWQGYQHPIFNSSSLLVFRLLLQVAWLGTPKGIAFAGNSHEPRSVASSCLCAMPLGSWLTSFCPSAQKVCFSLVPCGFQPLRVSVIMDETQKSDPKGVLVTDIIPMVSRITEHKLTGPNFLDWSKTIRIYLRSISKDNHLTPTSATRDTRREWLRDDARLFLQIRNSIDIEVLTLINHCEYVKELMEYLEFLYSRKDNLSRIYEVCKGFYRAEQNEQSLQTYFMNFKRIYEELNVLLPFSLDVKVQESQREQMAIMSFLAGLSSQFEIAKSQILSSAEISSLHEVYSRVLRTDTSIAPPAQSSSALASRSDSAKLINWAGSKIDQKSTTGYCVFVGGNLVSWKSKKQNVVSRSSAESEYRDMAQSTSEIMWIFHLLTEVGLKVSLPAKLFCDNQAALHIASNPVFHEGTKHIEIDCHFVREKIQQNLISTGYVKTGEQLGDLFMKVLNGSRLEYLCNKLGMINIYAPA >OMO71337 pep supercontig:CCACVL1_1.0:contig11666:44628:46414:1 gene:CCACVL1_18276 transcript:OMO71337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICASLGRSWSPWQLDVAEKKKVHLTGGEIDIKKDGAYAGIGEDVDASMEIEDENGYSIDDFQSLSKKNHATRPVELTDTERIVDAEQLINDVLAEAEAEVLI >OMO71334 pep supercontig:CCACVL1_1.0:contig11666:14971:18785:1 gene:CCACVL1_18273 transcript:OMO71334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSDGSSYYNPPAQGTRVELPDLNITVEEGFHTQIPDLNIGVEANTIELSSATPLICDLNIEPATEDDTSIEGETTMLPSNNGTQMPHEHGSNVEESNSNMEEADFDMEDADFDAEEAYFDMEAYLDFVEAGFDMEMAYLDMEEADFDMEEAEVQGSSHKRPHNMLSNEERMTLYRVCLENSIYGKLKDGTKRMLAASFGVCTKTIQRLWKRAKETGNVFHMKTKNCGRKRIQLDSDQFRQIPLSRRSTLRSMADALKISKSTLHRLLKIGAILRHSSSLRPNLTPENKVVRLRFCLKMLESDDSTFKGMYDTIHIDEKWFYLTRKSVNYYLLLDEEEPHRMCKSKNFIPKVMFLTAVARPRFDAAGNVLFSGKIGVFPLVTTEPAKRSSVNRPASTIETKPITTVNKDVMKKFLIDKVLPAIREKWSLDDMSFNRLESLANGIAEFVGSVEVGKLAGLVLWKPSFFGAKPEMVIKGEFLQSGNDDSDVRVPLIEFTLDRSSSTENAAALRLENGVSAGSSKNTVRFRRLYTTSK >OMO71346 pep supercontig:CCACVL1_1.0:contig11666:104583:118926:-1 gene:CCACVL1_18285 transcript:OMO71346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQCAAAMNVGVGSFCDPDGLEGLAHFLEHMLFYASEKYPVEDSYSKYITEHGGSTNAYTASEHTNYYFDVNTDCFEEALDRFAQFFIKPLMSADATMREIKAVDSENQKNLLSDAWRMNQLHKHLSLESHPYHKFSTGNLDTLDVRPKARGLDTREALLKFYEENYSANLMHLVVYVKEGLDKIQSLVEDKFQEIRDYDRSSFKFPGQPCTSEHLQILVRTVPIKEGHKLRIAWPITPSILRYKEGPCRYLGHLIGHEGEGSLFYVLKSLGWATGLSAGESEWTKEYSFFKVTIDLTDAGHDHMQDIVGLLFKYIQLLQQSGVCKWIFDELSAVCETGFHYQDKIRPIDYVVNIASNMQIYPPKDWLVGSSLPSNFNQDTIQKTLNQLVPENVRIFWESKKFEGLTDKVEPWYGTAYSIEKVTPSMIQGWMSSAPNEKLHLPAPNLFIPTDLSLKNAQEKVKFPVLLRSSSYSKLWYKPDTMFSTPKAYVKIDFNCPYASNSPEAELLADIFARLLMDYLNEYAYYAQVAGLYYGIKHTDSGFQVTLVGYNHKLRTLLETVVDKIANFEVKHDRFSVIKEMVMKDYQNLKFRQPYQQAMNYCTLILEDQTWPWMEQLEVLPRLNAEDLAKFAPMMLSRAFLECYIAGNFENVEAELMIQHVEEVFFKGLKPICQPLFPSQHLTNRVVKLERGMNYFYSKEGLNPSDENSCLVHYIQVHRDDFILNVKLQLFALIAKQPTFHQLRSVEQLGYITFLMQRNDSGIRGLQFIIQSTVKDPGSIDMRVEAFLKMFESQLYAMTNDEFQSNVNALIDMKLEKHKNLREESRFYWQEIADGTLKFDRREAEVAALRQLTQQELIDFFNENIKVGAARKKTLSVRVYGNQHLSEFNTEKSEPVKPQTMRIEDIFSFKRSQPLYASFKGGFGHMKL >OMO71335 pep supercontig:CCACVL1_1.0:contig11666:31581:32403:1 gene:CCACVL1_18274 transcript:OMO71335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAHTKYFNDWFRNRVARQIEQGNVNIHDRVKCLARGPDEVVTRYTGYMVNGYRFHTKGRERCLKTQNSGVVVTAKAISYASRRDEQPIEGEVNYFGRLTDIIQLHYSGTYNVVLFKCDWVDINRGCKKDKFGLALVNFSHLTHKGDDLADDPFVLASQVKKVFYMKDERHKDWYVAKPVRLRDVGNEWSFEKQQYGREMPANNDTSSWARNEVDDDGVDIIQEMENEEEEDEFVDPHG >OMO71340 pep supercontig:CCACVL1_1.0:contig11666:63838:67126:1 gene:CCACVL1_18279 transcript:OMO71340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPNASGDLSTEVEVDAFRCLFPLRFYEKHLSESIRPDARPLGRARDTTIALGAVASANGSALAKIGSTTMLAAIKMEVMTPSPETPDEGCIAIDFHMPPICSPIVRPGRPAEAAPVVSKQLSDTILSSGMINLKELSLVSGKAAWMAYLDIYCLDADGSLFDAALLSAVAAFSHLEIPVVSLNDDGKVVLLSEEQEGMSEREPVNKDKRKLILSSIPFSLTCILHKNYILADPTAEEESIMETLVTVVLDSSSQLVSLYKPGGPVLAYTTVVQDCIALTRQRVKELQKILEEAISGMEVD >OMO71338 pep supercontig:CCACVL1_1.0:contig11666:52762:53520:-1 gene:CCACVL1_18277 transcript:OMO71338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQACTESTTAADSLPPRRRQLCIHCDRPLPVCLCHVLPSTPLPNRTKILIIRHPHESRHKLNTTPLLSKALLNATTISSRRLLRHHLPDPSPPAIYLFPPSPSSPAVTLSQLKSTNLLNYQTTPLLLIVFDATWKHAKEMVRASEGVLKGFAVRVCLDGVDESVEGGSIYDSELVLRKEPFGGCVSTLEAVARCLGIIEPNGDEVQGVLIGVLREMVRLQAGFLKPVNPRIKMLKKSNHKEEEETNEIG >OMO71343 pep supercontig:CCACVL1_1.0:contig11666:89447:93445:-1 gene:CCACVL1_18282 transcript:OMO71343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQDRGAPKSPKPTQIRTLPTTLQQHRFSESKSLDFSTWLSENYYKIITIFVLITTIAAVFFISTSSRTSSLLCLQSQTQQAIDSLTLPQINWNSIKPIADKTSPYANFRSEQWIVVSVSSYPSDALKSMVKIKGWQVLAIGNSRTPKDWNLKGAIFLSLDMQANLGFRVVDHLPYDSFVRKTVGYLFAIQHGAKKIFDADDRGEIIDNDLGKHFDVELVGEGARQETVLQYSHENPNRTVVNPYIHFGQRSVWPRGLPLENVGEISHEEFYTEVFGGKQFIQQGISNGLPDVDSVFYFTRKSGLEAFDIRFDERAPKVALPQGMMVPLNSFNTIYHSSAFWALMLPVSVSSMASDVLRGYWGQRLLWEIGGYVVVYPPTIHRYDRIEAYPFSEEKDLHVNVGRLIKFLVSWRSNKHRLFEKILELSYAMAEEGFWTDQDLKFTAAWLQDLLAVGYQQPRLMSLELDRPRAAIGHGDRKDFIPQKLPSVHLAVEEIGTVNYEIGNLIRWRKNFGNVVLIMFCDGPVERTALEWRLLYGRIFKTVVILSKQKNSDLAVEEGKLDQLYKHLPKIFDRFSSAEGFLFLEDDTVLNYWNLLQADKNKLWIADKVSSSWTTASTSGNSDWISKQADMVKKVVSTMQVHFQVNYKEVVKSEKSLIICSSEIFYIPRRFVADFVDLVNLVGHLDIHQKVAIPMFFLSMDLPQNFDSVLSTMVYKQDLPSNSSLTHYSAQAPAVHPWKVSSEQEFIKLIRIMAEGDPLLMELV >OMO71341 pep supercontig:CCACVL1_1.0:contig11666:69520:74934:1 gene:CCACVL1_18280 transcript:OMO71341 gene_biotype:protein_coding transcript_biotype:protein_coding description:CobN/magnesium chelatase MASLVSSPFTLPSSKADQLSSFSKKHFFLHSFLPKKINGQPNSKSSLRVKAIGNGLFTQTTPEVRRIVPEKKGNLPTVKIVYVVLEAQYQSSLSSAVQSLNQTSNFASFEVVGYLVEELRDENTYKTFCKDLEDANIFIGSLIFVEELALKVKAAVEKERDRLDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKRKKQGAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFVKMISGSYVPALKGTKIDYSDPVLFLDSGIWHPLAPSMYDDVKEYLNWYGTRRDVNEKVRGPNAPVIGLVLQRSHIVTGDESHYVAVIMELEARGAKVIPIFAGGLDFSGPVEKYFIDPITKKPMVNSVVSLTGFALVGGPARQDHPRAVEALMKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGKSHALHKRVEQLCTRAIKWAELKRKTKTEKKLAITVFSFPPDKGNVGTAAYLNVFASIYSVLKDLQKDGYNVEGLPETAEALIEDVIHDKEAQFNSPNLSVAYKMSVREYQSLTPYATALEENWGKPPGNLNSDGENLLVYGKQYGNIFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDACFPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDSGRGQQIVSSIISTARQCNLDKDVELPEEGEEISQKERDLVVGKVYSKIMEIESRLLPCGLHVIGEPPSAMEAVATLVNIAALDRPEDGISSLPAILAATVGRNMEDVYRGSDKGILKDVELLRQITEASRGAISAFVERTTNSKGQVVDVADKLTSILGFGINEPWIQYLSNTKFYRADREKLRVLFEFLGECLKLVVADNELGGLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAAMQSAKVVVDRLIERQKIDNGGKYPETVALVLWGTDNIKTYGESLAQVLWMIGVNPVADTLGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAIKMVAELDEPLEQNYVRKHALEQAKELGIDVREAATRVFSNASGSYSSNVNLAVENSSWNDEKQLQDMYLSRKSFAFDSDAPGAGMTEKRKVFEMALSTADATFQNLDSSEISLTDVSHYFDSDPTNLVQNLRKDGKKPSAYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMSSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANSTFIQDENMLNRLMSTNPNSFRKLVQTFLEANGRGYWETSQENIERLRQLYSEVEDKIEGIDR >OMO71344 pep supercontig:CCACVL1_1.0:contig11666:97057:98841:1 gene:CCACVL1_18283 transcript:OMO71344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPSPLERTVASALLLLSTPPASELITCYEDGEKQGKSMSGLQSLSFMLASDESKSCASSLTADQFSSRKQKLRVIAAVARCHDIKLKVVKKRRSKTYRSSDNWKISAFKSPISSDMASETSCLSSSSSGISSARSQCKTTKAEKIQTGSNQRKRSSGGSTHIGRRAEAILKLLSGGCFPEFYIRRLLGDSPDTSKALRMLLKKEEVKRSGTGGHKDPYIYM >OMO71342 pep supercontig:CCACVL1_1.0:contig11666:79474:79620:1 gene:CCACVL1_18281 transcript:OMO71342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVKDERKWKLGMNIDARGQKRALYRPSPIKSPRRANIAKSSRTQST >OMO71336 pep supercontig:CCACVL1_1.0:contig11666:32727:33549:1 gene:CCACVL1_18275 transcript:OMO71336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVAPQQQIQVTTSISQGQEQASAKASQSQAEEQAPIEPSSGSQMSKNVRGATRMQEVWTMNAEKRIAVQLNAQYIPIGDESNTLSNFIGSMVRKFNYAPINYLGWTEMSIHYKTDMWNIVESKFEFVPYKDESDEEITEEIEQEQLEAAKSWVFRNMSGKWRQWKNYLKSTRFDPSKTVDEMVDMLDDSMVAKDQFKTLVEYWCSEKAKV >OMO71333 pep supercontig:CCACVL1_1.0:contig11666:936:2897:1 gene:CCACVL1_18272 transcript:OMO71333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPIPPWMRKLWDTWDVRAMILFSLTLQATLFILGERRKYTVKVWIRIILWFAYLIADWIATAALGKLSEAQAEPKPDPSSVLRAVWAPLLLLHLGGPDTITAYALEDNQLWKRQLLGLVVEVILVIYVLLLSWTKSWLSLAINFAMFVAGIIKYIERTWSLKLASSGLAEETIPVFDIDTLVEDPKAISDDEKLLVGYQFFSAFRPDITNYISTRENLSSSGTKLIIRFMDNIQKTGLWNVNMDYLLHTLEGLNSADLFEIIEVELGFLFDVLYTKAPITYTKLGFIFRFISVFCSSCALGILCFVITWIQPQKHYPIADVTITSILVIGAIVVELYALGTILSSNWAVLWTNSRNLRNLFPQASWIFRGETALEKHKLKDKLKWSTELDFDKSIITWHLVTDICFHGIENSSSSEEDSESKNTCKTVSDYVMYLLVMQPDMILPGYRKDFWFSNVSETLGGFFERQGISWMSRKEARKGQEEAFVKVYGERLKSRGALELEVNAWKIAEILKVTRKKWEILEAVWSEMMCYAAIACPHLNHARQLRRGGEFLTHLWLILTMSFLRDT >OMO71345 pep supercontig:CCACVL1_1.0:contig11666:102979:103053:1 gene:CCACVL1_18284 transcript:OMO71345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTTTTSTWRMSTPPPPPPRLSS >OMO60206 pep supercontig:CCACVL1_1.0:contig13760:38705:39043:-1 gene:CCACVL1_24330 transcript:OMO60206 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger protein MGRTNLGRIGSLGQIKAIFSFFSSTSSSYSQHAAALLSDRESPPPQAPPWTTTSKLRRSSNEAQNLQTSSPIPPEFEPCLTFSKSHRERLQMKLSRTPFSFSDPDNPTTAST >OMO60205 pep supercontig:CCACVL1_1.0:contig13760:4839:7224:-1 gene:CCACVL1_24329 transcript:OMO60205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCQSRILQQSDLMVLSEPPTPTKLPNQRRSANYHPTIWEPKLIQSFTTPYSYEFHGNKLEELKKEARILLAISQDDPCATLKLIDLMQRLGVAYHFEKEIDEALNKVSNSKPTVHTGDLYTTSLLFRLLRQHAFPISTDVFNKFRDEDGKFMDSLKGDVEGLLSLYEASHLEMPGEDVMEEAKKFSAQHLNSTLGKVDGTNYLAVQMQQSLQVPLHWMMSRVKARTFIDVYQKEYDMKNSVLIELAKLDYNLVQAVHQQELKELATWWRGLGFKEKMSFSRDRLMENYIWAMGIIFEPQFSKCRIYLTRFVCILTAIDDMYDVYGSLDELELFTTAVNRWDFSAMKDLPEYMKACYLALLNFVDQMGHDIQKDHELDTTHYIREEWKKLCRSYLREARWFYKGYTPGLKEYLETAWISVGGPAAMVHAYILQADSSSMTQKSLDDCFKNARELIYWSSLITRLSDDLGTSMAESARGDVTKSTECYMIEAGVSRQEARKHIKELISNSWKKLNEESYINNGLLPRSMINMCLNMSRTALCIFQHGDGIGTSTGVMKDLLNSLIVRSITLE >OMP04059 pep supercontig:CCACVL1_1.0:contig05841:11012:11329:1 gene:CCACVL1_02203 transcript:OMP04059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSMGWSRMVEGDMPTEAGEVEGWMNRNLAALHAIQISCAQHVLDQIRNEIFAKKTCSFWPCFTANHPHRLRFNQ >OMP04058 pep supercontig:CCACVL1_1.0:contig05841:7831:8886:1 gene:CCACVL1_02202 transcript:OMP04058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTVIFKVRMSCEGCVGVVKAALETLEGLESIDINMSEDKVIVKGEVKAEEVLEAVSSETGKKVSLWEAEPKSADIVEAPTPVPQQVEAEAKPEEDNAAVAA >OMP04057 pep supercontig:CCACVL1_1.0:contig05841:5242:6778:1 gene:CCACVL1_02201 transcript:OMP04057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTVVLKVGMSCEGCVGAVKRVLGKMEGVESYEVDIKEQKVTVKGNVQPDAVLQTVSKTGKKTAFWETEAPAEPEAKPADAPAEPVAAA >OMO78546 pep supercontig:CCACVL1_1.0:contig10569:22288:24514:1 gene:CCACVL1_14311 transcript:OMO78546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MTTGNLLHIQPTELKFLVELRKQSSSSLRLANNSENCVAFKVKTTNPKKYCVRPNTGIVLPGSTCSVTVTMQAPKESPPDMQCKDKFLLQSVVAPEGATVNDITSDMFNKGNGRVVEEFKLRVIYIPANPPSPVPEGSEEGTPPRSVHENGIQNATSFEAVSRSLEETKQKSSEAWSLISKLTDEKSSALQQNQRLFKELETLRKEASRAGGFSLSFVVLIGLLGALIGYLIKKV >OMO78548 pep supercontig:CCACVL1_1.0:contig10569:27298:27483:-1 gene:CCACVL1_14313 transcript:OMO78548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIRTAFSLMAGTLFGVYLAQNYNVPNVRKLANTGYVIAKHYEENYRKPSTSHGDDGLQK >OMO78549 pep supercontig:CCACVL1_1.0:contig10569:28858:30279:-1 gene:CCACVL1_14314 transcript:OMO78549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAELGGSLSKAIHRMTNAMIIDDKVLDDCLNEIARALLQADVRFNLISDMQANIRKTVNLNDIAAGHNKRKIIQQAIFDELCNMLNPGKPPCFTPKRGKTSVVMFVGLQGSGKTTTCSKYAHYHQKKGWNPALICADTFRAGAFDQLKQNAAKVKIPFYGRPMESDPVKVAVEGVERFKKENCDLIIVDTSGRHKQQAELFEEMRQVSEATKPDLVVFVMDGSIGQAAFEQARAFKQSVAVGAVIVTKMDSHAKGGGALSAVAATESPVIFIGTGEHMDEFQVFDVKPFVSRLLGMGGDLSGFIDKINQVVPMDQKPEIGQKLLEGNFTLRIMHEQFQNILKMGPFWAMVPGFSDELMPKGREKESQAKMKRYMTMMDCMTDKELDSSSPKLMNESRMMRIARGSGRNIREVMEMLEEYKRLARIWSKMKKSLKIPALSRNMNAQHMSKVQIGAMGGLQDLMKQMGSLKNI >OMO78554 pep supercontig:CCACVL1_1.0:contig10569:49955:53533:-1 gene:CCACVL1_14319 transcript:OMO78554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein Atg8 ubiquitin-like protein MAKSSFKIEHDFEKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDSVLPPTGAIMSTIYDEKKDEDGFLHTDPIRADMSTPPFPESPFLLEHEPVPPSLPPKPNITNININIHPPIPIASCYKQNINAKYMLHHDYENVHLATPTIKNAGLLLALATSRS >OMO78542 pep supercontig:CCACVL1_1.0:contig10569:396:2565:1 gene:CCACVL1_14307 transcript:OMO78542 gene_biotype:protein_coding transcript_biotype:protein_coding description:YABBY protein MSSSSAAFSPDHLSPSDQLCYVHCNFCDTVLAVSVPCTSLFKTVTVRCGHCTNLLSVNMRGLLLPAANQLHLGHSFFTPQNLLEEIRSAPPNLLINQPNPNDSILPAIRGGVEEIPKPPVVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQPVKKTNVRQQEGEDVLMKDGFFAAPTNVGIAPY >OMO78543 pep supercontig:CCACVL1_1.0:contig10569:5898:7634:-1 gene:CCACVL1_14308 transcript:OMO78543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYPAPTTPKPPQISEMFQKFALAFKTKTFEFFADEENNNGNNPSDSDGFSLLDSTEDFITDQKVVVIKPDPPPNSSSSVNNSSRRRTIDTQMADSLISSVFAAVSSFESSYLLLQTSHVPFVEESVMAADRALVSHLQRLSDLKRFYRELRKNPKFEDGLSLGSCLEAQVQENQSKLRTLETVSNRLQEEIDEKDNEVSSLRKKLAEIQWANTKLSKKLSVSLGSRRMTLIRQLLGYVLILNYISRL >OMO78552 pep supercontig:CCACVL1_1.0:contig10569:47253:47997:-1 gene:CCACVL1_14317 transcript:OMO78552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKLSNTQTRVANCKAGKRRRHGKSRVAEGRGRVGYVLCRDINQ >OMO78550 pep supercontig:CCACVL1_1.0:contig10569:31140:42686:1 gene:CCACVL1_14315 transcript:OMO78550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFHAHHHPKNHRLRLRLPLSQRSSRPNVPKTLLNSEFAGAGLGLNEVNPIPILPGALFLLYDNPRFCLLPKKGIIVSRGQNKASAFTCDNSLASYLLVLKAIVFAILVLEIKIRKIKVVYIIHRDIVLSVNMAS >OMO78545 pep supercontig:CCACVL1_1.0:contig10569:18883:21928:1 gene:CCACVL1_14310 transcript:OMO78545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MTAGNLLDIQPTELKFLVELRKQSSSSLRLTNNSENCVAFMVKTTNPKKYCVRPNTGIVLPGSTCSVTVTMQAPKELPPDMLCKDKFLLLSVVAPEGATVNDITSDMFNKGNGRVVEEFKLRVIYEAMTAGNLLHIQPALTGNLLNIQPTELKFLVELRKQSSSYLRLTNNSEKYVAFKVKTTNQKNYCVRPNIGIVLPGSTCSVTVTMQAPKDSLPDMQCRDKFLLQSFVAPEGATANDITSEMFNERSGRVVEEFKLRVICIPAKPPSPVPVGFEEARILVLGLGLQQG >OMO78553 pep supercontig:CCACVL1_1.0:contig10569:48518:49061:-1 gene:CCACVL1_14318 transcript:OMO78553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKSCFLVFLLCLSLHACSARRLRAVDNNKNKLEKELHFSIKNDMKDGFKKNMPTGVAEMTSTSSKEEHGSIKEESIEKLEDAVQTVKKAKGSKGSGAVVVQTNTKKSVVSVSWRVPHRKRGEKHPGFNLDYSPPKTHPPSHN >OMO78551 pep supercontig:CCACVL1_1.0:contig10569:43769:45836:-1 gene:CCACVL1_14316 transcript:OMO78551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKQAGSSLDSLVSSFNTRIAELQELVIARNMYPASSVTDLSAIDAALKGMELQVQVIKDRLREETEAIPKAKKLIAASLRQQKKLQSMSVYAPPYLPEKMTTLSLDFDKGLALEASKQQHQLQPNSGYSKLDEEPAAVPKEKKGRGSPPLWYITAGELDSLSSYMRGRLTLEKVNAAINDMATYAEANAQLVAAPKKKLAENLWERALELRDIATTEAVKGKHFFLESDMKGPSLKLDNTGKAILTVLRHLGRISETRIGHHRVIILLKPQ >OMO78547 pep supercontig:CCACVL1_1.0:contig10569:24959:26859:-1 gene:CCACVL1_14312 transcript:OMO78547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase FMO MAQSLQVAVIGAGTAGLAAARELKREGHRVTVFEKGNKVGGLWLYDPRVETDPLGLDPNREIVHSSLYRSLRVNLPRHIMGFTDYPFVKKADGDPRNFPGHEEVLRFLEDFAVDFGLVELIRLGHEVIRVELVDELRHEWVVESRTQGKESIEEIFEAVVVCNGKHTEPKIAEFPGRDTWPGFQMHSHNYRTPEQFKNQIVVVIGNKSSASDVLKEVSPIAKEVHQAVRGPEIQLKRLENLNNAWQHPMIECAREDGKVVFQDGSIVDADAIIHCTGYKYHFPFLRSNGVITVDNNRVGPLYKDVFPPSLAPWLSFVGLTNGAVPTIVIELQARWVAKVLSGKLKLPSQQEMAKSVQELYNHMDKSGLPKHQTHTLQQTKFDYENWLVALLDLRPLEKWKEKMFYLSKKIKSYYSDNYRDEWDVDKWIEEIESSN >OMO78544 pep supercontig:CCACVL1_1.0:contig10569:11074:15617:1 gene:CCACVL1_14309 transcript:OMO78544 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc ion binding protein MTKFKAEITVKILIEQATDSDSRNADILIAREGSCASVNSYSILNEEIMTGEVETAGPAAQPLINVTPSPAENKNNALCDVMGEEEESHNPLNVTPVPRWLQVLLSEKFFNACIIHEEAKKNEKNIFCLDCCISICPHCLSPHSSHRLLQVRRYVYHDVVRLDDATKLMDCAFVQSYITNSAKVIFINQRPQTRQFRGSGNICNTCDRSLQDPYLFCSLSCKVNFLLRTEDGLSKFLFECNFLPLSESGFDDGLVTPDSVLEPSGSTKTSSGSGGYGEVWCRALSCTATTEIVRKKRSSLTAACRPTFPPVSEVSGSLMNRRKKTPQRAPLY >OMO98712 pep supercontig:CCACVL1_1.0:contig07051:23338:30519:-1 gene:CCACVL1_04095 transcript:OMO98712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ia MESVWWVFSQLHQKGLIYKGFKVMPYSTGCKTPLSNFEAGENYKLLPDPEIMVAFPIVGDPDIAAFVAWTTTPWPLPSNLAFCVNANFVYLKFASSKDLIYLYPVPFGRKEIQLVNVICYTMYENLTVVVDDDGCFTGKITDFSGRYVKDAVKAKGSLVKLGTFTHSYPLYWRSDTPLIYRSVPSWFARVEQLKEQLLENNKQTYWVPDYVKDKRFHSWLENARDWAISQGRFWGAPVPVWTSEDGEEVVVMDSVETLERLSGAKENGGQPDNKWPTTISRKTQLVVDAVKLSIDKGFQPAEINTLAKRGARRKKWNRVPFENRLGEEQSGGKLGFEMSYATNMFLFNLLFTHQGLLELTSCNYVSKGDFMMGL >OMO98713 pep supercontig:CCACVL1_1.0:contig07051:30913:32802:-1 gene:CCACVL1_04096 transcript:OMO98713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAFLNKLQNLWPFSVFKVDDLGASDELVRKLSIPDHTKKFVFAVRLPHSQSVIYILSAQNLSERSADDAECLIRELRPDAVVAQIGHDALADIQSEDTEFGDNLDKTVPTSSFGVLKRCFVDKINKDKYEDVAGKLVLREIFGVGFHGHFLAAKRTAREVGSSFMVLESPFSNNFLVQDPSREVDPGSKVQGLVNSLVPQKGASVLVSSCRRFCLTNDIQSKMVKFLSSHLDLLESGSVSEVGSNEIQPVRSYEAPPFAQSFYPLLLDLHDIFVDLPSIGRALALSQQMLLDVNRGESVDTRILSEVYTFRIAVEALRIALNNAGRLPIGKLQNANTSKIAFSELPVEDKSHALIAQALQSLSKKFKTIVAIVDASSLAGLRTNWHTPVPPEVKDLVANLVTEPAGNGDGETSNNADRKRLLSNKPVVAVGASATAVLGASSISKVIPASTFVKVITWNVPASMKLVMTQTQKAVAIALSKTLGPSKLVAPGLANSGVNSSLFKAAVSAEKIRTVVHGVIASAEKTSFSAMRTSFYEIMRKRRLQPIGVLPWATFGCSIATCTSLLVYGDGIECAAESVPAAPSIASLGRGIQSLHQASQAARQTDGNRIQKSIESLMYRLKKVKIQ >OMO86805 pep supercontig:CCACVL1_1.0:contig09410:50761:51876:-1 gene:CCACVL1_09452 transcript:OMO86805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MEKGVSTIEEEEDDDDSDIDIDSDYDNDNDNDNNDTEKDQEMIAIYEIEKYLAVKRWKRKMQQILKGVLEGVQKEGDDRNDDDDDDNGGSGGVDDKILIALYEIEKYLAMQGKFVLKNYPYARDGMEIWKAIETWVSAYCNIFYKNNESVENDAEIQAWWSEIREEGHGDQKEGWYPLSNLGNLTMALTTLIWITSGLHAALNFGQYAYVGWPPNRPMLLRKPIPNHKQQREEDNQSPNQQERDDASTWLKPQVLPEKFDMAFVIAVMDVLSRHTSDELYLGQRPPSNEWKEYDQVNKKFEEFKKELVEIEKNIKERNKSYELMNMRLGTAKIPYKILYPDTSKANSYPNVKGGLSREDITGRGIPNSISI >OMO86803 pep supercontig:CCACVL1_1.0:contig09410:42420:43373:-1 gene:CCACVL1_09450 transcript:OMO86803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MAVKAEEITEKPAEKETEKEKEGEDQVERDEGEAGIDENVVELDDNIPATETDNSTDKKVKYPGGVKLILEDYPYAKDGIEIWDAIETWVRAYCKIFYESDESVKNDGEIQAWWSEIRNKGHPDQKEGWYELTDRESLARALTTLIWITSGLHAAVNFGQYAYAGWPPNRPMLLRKFIPKKGTPEFHELKNDPDKFLLQMWPDKFQMAFVIAVMDLLSRHTSDEVYLGQKPPENNWEDNKDVDKEFENFSEKLKEIEKNIMERNKKYNLMNRWGYAKIPYKLLYPDTSKTKAPASKEKDGPEKVDITGRGIPNSISI >OMO86798 pep supercontig:CCACVL1_1.0:contig09410:2293:2358:-1 gene:CCACVL1_09445 transcript:OMO86798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDRQRSAIEIVRSNSQIVA >OMO86807 pep supercontig:CCACVL1_1.0:contig09410:69186:69254:1 gene:CCACVL1_09454 transcript:OMO86807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGGLNRKIGGVEFGSAKSRF >OMO86806 pep supercontig:CCACVL1_1.0:contig09410:62079:67292:-1 gene:CCACVL1_09453 transcript:OMO86806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MEESAGEPQNDIIEATFKINYSRWLSLCAPGRSVAFQIYSNDNVDSRTEKGMASEKVYLKHGKVMDRAKLPLKMRGRKINIPWKIMEFKLKFEVGRGFGIPGAILVENKDKHEFFLESAILHYIKKLDRDRHFYFDCKSWVYPLHQTGVKRLYLPNETPAGLKQLRKKELEKLRGEKLKSSGDQRKPWDRIYEYDCYNDLGDPDNGREYARPVLGGRPHPYPRRLKTARPRCHDDPESESRPVGGCFEIYVPPDERLSHCKLKELENNLVDALVRFLTPKVETSTNHQDFSIIGSILKLLRGKSSPSPSKSHEDSRPVKDILLELFSDKPFGKEMEASVKEKLKKLVPHDIYNKVISSATKKHLVYSQLPSIIEAESDTWLTDEEFVRQLLAGTNPVAIRCLKTVEEFPSQLKQRIFLDMDTDDEVRTHYY >OMO86809 pep supercontig:CCACVL1_1.0:contig09410:74025:77470:-1 gene:CCACVL1_09456 transcript:OMO86809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MDMDGHHLCSGMEEFFSSRRRNRLDKQYIKISGKFVIEHSPGLSGPGKSASVQIYSSTELDPNTSKGKLSQKACLTRGKGSKKDGTEVTTYKIKLYVEPEFGNPGAFVIENNHNHRFFLQSATLETPDKLAIHFDCRSWVYPIKKTKTSRVFFSNTCYLPSQTPPALVELRKEELQSLRGDGTGERQEWDRIYDYQVYDDLGNPDKGPDYVRPVLGGSVSHPYPRRGRTGHPATKTGSINLNTYVPPDERFSPKKLSEFIANVIQATAHFLLYEAKSLSRDSSSFESFDEIRDLYSENRSQVKKGQVREKMKKLLPNELLKQVSHASIEDHIKFPLPHVIRENEWAWKEDEEFGHQMLAGTNPLRIRGLKIFPPRGSYIKKSDIAHNLDGLSFEQAMGQWRIFILGHHDYLLPFLTEMNSEGVCPCASRTLLFLRNDATLKPLAIELTYPVSSDDNELRSRVLLPAKEGTDRALWQLAKAHVAANDSAYHQLVSHWLHTHAVVEPFIIATRRQLSVMHPVHRLLDPHFKDTMHINALARSILINAGGIFEKTLFTGKFSMELSSELYKEWRFDEQALPSDLVKRRMALEDPESRAGAEILFIDYPYGLDALDVWKAIKTWVEDFCDFFYKDDDSVKSDTEIQAWWSEIRNVGHGDKRNETWWCQMSTKMDLTETLTTLIWIASALHAAVNFGQYAYAGFPPNRPTQCRKFVPEEGTMEFAEFLKDPDKYFLNMLPERFQATLGIALAEVLSRHTCDEVYLGQRPTSEWIDSNEIKQKFEKFKASLQEIENKVLERNRDSKLKNRWGLIKMPYKLLHPDVTKVGYRAGITAKGIPNSVSI >OMO86802 pep supercontig:CCACVL1_1.0:contig09410:38043:41240:1 gene:CCACVL1_09449 transcript:OMO86802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease CAF1 MVALIRSRLFCTKISQNNNQHRLQWSVKQVTKSNFNESLQEFRSHLSSSDFVAISLQNTGSFSSAWHRVSSFDTPEIAYLKARRAAERFQILQFAVCPFTISGSKVTAHPYNFHLFPRDEFSIGMPSFSFSCQTSYLTAMAQQGFDFNACIYDGISYLSRAQELVAKVKLGNPIGVHDVMKPSSTPPSVADTIFVERVKLRIKNWKKACTDSSGRMKDEALIKSLRKLVLGSEQYGSRPCMTIDVCSERQVQLVLEMLAEFSDDLVALKIPTKGGGIQAVRVVLTDSKDDKSLFERELQNVEEDQNKKIRGFREVIDLISASQKPVVSHNSLNDFSVIHSKFISPLPFNMDEFLISLRSVFPHILDVNHLMKRIGPLENVTSIPAAIAYLKNRFFAPIDMEVYQRAFLNEGKVQGQTVLRICHLFAKLCYVLRITPGAIQSSDDNVTSLLDGYGNAIKSCFGNSHESVDGGIRIWTKSPRKVGCEDLVFLWGFRDRLSAGMLKSLLQGSHDIFSEEFDVCLVDKSCAIVAFMQPNLSQTLLDIMNSEGISGSLRELVSEGLRAAGYETYKKACSLGLWEVDLASSLEKAFAAPDCSSQSVSETKPSEIYWCSDLMINFDDL >OMO86800 pep supercontig:CCACVL1_1.0:contig09410:20091:20667:-1 gene:CCACVL1_09447 transcript:OMO86800 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA binding protein MGWATNSTSLQIRNARSHGPNNDTFGNGLHKTYGPRMLVAGEAVPVVVVVLTMSLCLGRDRRQRTYHAC >OMO86808 pep supercontig:CCACVL1_1.0:contig09410:70436:73608:1 gene:CCACVL1_09455 transcript:OMO86808 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD dependent oxidoreductase MAAVAVNSPSSHHFIKDGVASFHPNHRLCSISIQSSLLRSKTPMKKFSLSVSGTRTTRPEPTRYAGSEPVKASSHSFDVVIVGAGIIGLTIARQFLIGSDLSVAVIDKAVPCSGATGAGQGYIWMVHKNPESETWELTKRSHQLWKMLAETISEQGMDPLQALGWKKTGSLLVGRTPEDSVMLRKRVRQLSEAGLRAEYLSSNELLSKEPDLFVGSDGAAAFLPDDCQLDAHRTVSYIEKVNRSFAPEGRYAEFYHEPVTGLVRSASSGEVEAVQTSSNTLYGKAIVVAAGSWSRSLMHDLVRGTDVELDVLVKPRKGHLVVLENFNSLQLNHGLMEVGYVDYYQNATLTSGQDDQGQALSVSMTATMDVMDNLVLGSSREFAGFSTEVDDSIILRIWERARYFFPKLKNLSLQDFIENKKVRVGLRPYMPDGKPVIGHVPSLSNVFLATGHEGGGLSMALGTAEMVVDMILGNPTLVDSSPFAAQGRCC >OMO86799 pep supercontig:CCACVL1_1.0:contig09410:3240:16828:1 gene:CCACVL1_09446 transcript:OMO86799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MVSGSPCFRVSSFFFVLGCLLTSSLAGDPYVFYDWTVSYLSTSPFGDKQQVIGVNGQFPGPILNITTNWNVVINVKNDLDEPLLLTWNGIQHRKNSWQDGVLGTNCPIPPGWNWTYEFQVKDQIGSFFYFPSLNFQRAAGGYGGIIINNRDVIPLPFGMPDGDVTIFISDWYTKSHKRLRKDIENGVDLGVPDGIVINGFGPYRYDPNLVKDGIAYQIINVEPGKTYRFRVHNVGISTSLNFRIQNHNLLLVETEGSYTVQQNYTNMDIHVGQSYSFLVTMDQSASNDYYIVASPRFVNSSDWAKVTGVAILHYSNSQGPASGPLPDPPNTFDTYFSMNQARSIRWNVSAGAARPNPQGSFKYGQITVTDVYVILNRPAELIDGKRHTTLNGISYLPPSTPIKLAQQFNIPGVYKLDFPNRLMNRPPKLDTSLINGTFKGFIEIIFQNNDTTVQSYHLDGYAFFVVGMDFGVWTENSRGTYNKWDGVARSTTQVFPGAWTAILVSLDNAGIWNLRAQNLDSWYLGQEVYLSVVNPEVDQTEVPLPENSIYCGILSSLQKDQAQRVNFSGAPTISHSSKTVFILLIIALFAHSLSFLVLTQSAPDKPLVTQLPGFTGTFPSKHYSGYVNIDESRGKNLFYYFVESERKPSEDPVVLWLNGGPGCSSFDGFVYEHGPFNFDKAKTKGSLPQLHLNQYSWSKVSNIIYLDSPVGVGYSYSKNRSDYQTGDLQTATDSHAFLLKWFELYPEFLSNPFFIAGESYAGVYVPTLSYEVVKGIDAGAKPVINFKGYLVGNGVTDNDFDGNALVPFAHGMGLISDELYEEVKNECNGNFYNPLSETCESKLNKVDEDISGLNIYDILEPCYHDPTAPEAMDIKIRLPFSFQKLGLTDKPLAVRKRMFGRAWPLRAAVREGIVPTWPQLLNSQSVPCTDDEVATQWLNDAAVRKALHAEEEAVIGRWELCTDNILYFSDAGSMIKYHKNLTSRGYRALIFSGDHDMCVPFTGSEAWTRSLGYKVVDEWRPWTSNGQVAGYLQGYENNLTFITIKGAGHTVPEYKPTEALDFYSRFLAGKTI >OMO86804 pep supercontig:CCACVL1_1.0:contig09410:44352:46204:-1 gene:CCACVL1_09451 transcript:OMO86804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MKGKINLPTKIIKFKLKFEVEKQFGTPGAFVIENEDKHEFFLKSATLQYIVPERKHESFHFKCNSWVYPLDKTGVKRIFFLNQLYLPSKTPPGLVELRKKEVEKLRGKRLKDEIKPWDRVYDYDYYNDLGDPDNGREYARPVLGGHQFPYPRRLKTGRPACQHDESAECRPAGCFQVYVPPDERLSHEKQEEVINNLADALIHFITGKPRSESHRDTSKFDSPEEIFDFFSDKEVRELEGWVMQKLQKLVPTDILNQVVATTETSRNLVNSQLPSIIAEDKYAWLYDGEFGRQMLAGTNPVRIRLLKDYSEIERTNWPNDDTGKTIQEVRKGRIQEGRSKILNVSSGCAGVDR >OMO86801 pep supercontig:CCACVL1_1.0:contig09410:21241:21339:-1 gene:CCACVL1_09448 transcript:OMO86801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFERSFSSNDATSVIVICYHQQWDECDKEHH >OMO50674 pep supercontig:CCACVL1_1.0:contig16081:83:157:-1 gene:CCACVL1_30323 transcript:OMO50674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVAASTITSFSCPKNHVGSWAWA >OMO86702 pep supercontig:CCACVL1_1.0:contig09430:25644:26633:1 gene:CCACVL1_09520 transcript:OMO86702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MNTTQRSESINSFFDSFVDSTTTLKQFVVRYEKALASRHEKERKEDFESRHKYRILRIGSKMEYHGALVYTKKVFTLFQDELVKSNQFIKEKISKNGSCYEYKVSSCFDSRDSFLVSMDLSSKVGTCKCNLFEFKGILCRHILAIFHLKNVVEIPSHFILKRWTKEANKGNVIFENTPSFEDDLEKSAAARCLHVCRLINQLSSFAEKSKEQYKVIVGDLDQIFKKVLTMEEDNISSEKPVDDLIHDAMESQQNQSNHIPLVNIGDPHISQTKGRKKSGHESQNNRFKSGLEIALANQTVKKRTCHSCGGYGHNKRTCKGKQNSTDIPT >OMO86701 pep supercontig:CCACVL1_1.0:contig09430:4647:5270:1 gene:CCACVL1_09519 transcript:OMO86701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEVDDFHARATMTSNYRGVRQRKWGKWVSEIREPGKKTRIWLGSYETAEMAAAAYDVAALHFRGRAARINFPELVESLPRPASSSAEDVQMAAQEAALMLRRRPKMMSSEVGAAGGAAAGGGGGGGDGRSLGPIRVGLSPSQIQAINEFPLDSPKMWMELAGALLMAEQPMEMVDDNINDAEFISHEYEDHMMQVDSIWDSYPSF >OMO66845 pep supercontig:CCACVL1_1.0:contig12507:12472:12726:-1 gene:CCACVL1_20966 transcript:OMO66845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QSNHQPPKTKAQPATTKTKENSTGKIGERRSEKMREQGNTNRARTEGDDNENRDQMTQKESGKIRRESRGERRSNGERKKLRKE >OMO66844 pep supercontig:CCACVL1_1.0:contig12507:480:4146:1 gene:CCACVL1_20965 transcript:OMO66844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment MAHLLQTCFLPSTCSLRRTPISGYKGKPESFKVKAKIREIFMPALSSTMTEGKIVSWIKSEGDKLAKGESVVVVESDKADMDVESFHDGFLAAIMVEEGGVAPVGSAIALLAESEDEIAEAKSKSQSSSSGNAQAVEEKPNPKQEAATPVAVGSPVSSPKPVAVGSAAHPASEGGKRIVASPYAKKLAKELKVDLGAVVGTGPMGRIVAKDVEAAAAAGVAAPLPASPAKAAPVAPGIELGTVVPFTTMQAAVSRNMVESLSVPTFRVGYTITTNALDALYKKIKSKGVTMTALLAKATALALVQHPVVNSCCRDGNSFTYNSSINIAVAVAIDGGLITPVLQDADKVDIYTLSRKWKELVDKARAKQLQPHEYNTGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASEPTVVASKDGRIGMRNQMQVNVTADHRVIYGADLASFLQTLAKIIEDPKDLTF >OMP05465 pep supercontig:CCACVL1_1.0:contig05373:7059:8714:1 gene:CCACVL1_01891 transcript:OMP05465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLNKHILQVHISLAGEKHIRISWVTDEKSAPSIVEYGTSPGQYTSSGEGESTSYSYLFYKSGKIHHTVIGPLEHNTVYFYRCGGQGPEFQLKTPPAQFPVTFAVAGDLGQTGWTKSTLDHIDQCKYDVHLLPGDLSYADYMQHRWDTFGELVQPLASAKPWMVTQGNHEKEMIPLFIDAFESYNARWKMPYEESGSTSNLYYSFEVAGVHVIMLGSYTDYDELSDQYSWLKADLLKVDREKTPWLVVLFHVPWYNSNYAHQGEGDGMMAAMEPLLYAAGVDIVFAGHVHAYERSKRVNNGKSDPCGAVHITIGDGGNKEGLAQKYRNPQPEWSLFREASFGHGEFKIVNSTHAFWSWHRNDDDEPVKSDEVWITSLVSSGCLAEKNYESMKILMSP >OMP05464 pep supercontig:CCACVL1_1.0:contig05373:973:3363:-1 gene:CCACVL1_01890 transcript:OMP05464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MAKFSVSSFIIFCLLFFAATSHFRQVSAIDDNIGAPDANNATAPDVNGGEQDDCPHSIVISVDEFGAKADGTPDNEAFEKAWEEACSSDEGVAVVVPEQKTYRLKPIRFNGPCKSSISIEIYGTIEASDDPSDYDQDLKHWIVFDKVENLLVEGGGTLKGNGKIWWDNSCKKNKDKALTFNENKNLVVNDLKIQDSQKMHLSFDSCENVQAYNLVVTAPEDSPNTDGIHITGTKNILVADTTVGTGDDCVSIVSGSENVQIRNIVCGPGHGISIGSLGKGNSEAHVSKVIVDGATISETTNGLRIKTWQGGSGSAEDIIFQNVQMNDVKNPIIIDQNYCDQDSPCEEQDSAVQVKNVAYKNIFGTSKTKVAIMFDCSKSHPCEGITMENVKLDGQGNEAATATCNNVQATTLGDVSPACQQSNNFMSWFLGKLYGDNKH >OMP05466 pep supercontig:CCACVL1_1.0:contig05373:9561:11170:-1 gene:CCACVL1_01892 transcript:OMP05466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLLQCPLSNQTKPLSSFFFLSFSKTTYDPPFSPTSKPLKPAKPKPKPPDHTPNPNPSVPLKSNLPFDFRHSYSESDPILEPIGFRETKRFSPFGPRKLDREWTGTSAPARDDEIDSVRFEEERKRVLGEPLTAEEVAELVEKYRHSDCARQINLGKDGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKAGGKIIYRHINVLLLYRGRNYDPKNRPVIPLMLWKPYPPIYPRLVKNVADGLTFEETKEMRNRGLNSPALMKLTRNGVYVNVVARVREAFQTEEVVRLDCTHVGTSDCKRIGVKLRDLVPCLPILFKDEQIILWRGKRHLEENSDNSDANVKSVDT >OMO53348 pep supercontig:CCACVL1_1.0:contig15223:10399:10581:-1 gene:CCACVL1_28703 transcript:OMO53348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37ae MTKRIKKVGIVGKYGTRYGASLRKQIKKIEVSQHSKYLCEFCGKYAVKKKAIGATRTVGQ >OMO53347 pep supercontig:CCACVL1_1.0:contig15223:1029:1445:-1 gene:CCACVL1_28702 transcript:OMO53347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLISERAVNRGGVKNILRRAWMEFGEVKIADAPKNIFVFSVRNEEVMNQILQECPWSAMGQLVNIKRWESKDSLEDLDFSKAVYWIQIYGLIKDYLTKKNAEKIGKSLGGVIEVEDPVRNGGLVRGFSVAELRLT >OMO53346 pep supercontig:CCACVL1_1.0:contig15223:157:663:-1 gene:CCACVL1_28701 transcript:OMO53346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNNLITNADVDKRTEDGEVSICQLLKDKAQISSQDPDVMIGPAISKVVEVDKVQSSEKGAETSRNKRYVIRKPASIVVHEISDENGLSGTEAKLAEDNNITTGTPIVSTAVSFAEKRSISDTGLTANMRAMLLKRARDVELQSIDDMDICYEKRHRQSEEEPEQSTTS >OMP05723 pep supercontig:CCACVL1_1.0:contig05250:1820:2588:-1 gene:CCACVL1_01842 transcript:OMP05723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGKQKVCGLDSIEEDSSLPVLLRLKSLEVQLLTLYQAERYIIWLLPTKILTMPPRTGEFSVLDEAGNFHGLKCGRSSPASIAKLMKKAPIVAENRAGDASQFSKAPVRRSPRNLTKTNVASSKELKGKKKLF >OMO94915 pep supercontig:CCACVL1_1.0:contig07783:1168:2416:-1 gene:CCACVL1_05700 transcript:OMO94915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPGGSAISGGGEGGMISEKEKKFFFRL >OMO85966 pep supercontig:CCACVL1_1.0:contig09555:20861:24289:-1 gene:CCACVL1_09903 transcript:OMO85966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribokinase MAKNLTAGGVEDLPAELNAMSVNSNSLVVCFGEMLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGISRLGGSSAFIGKVGDDEFGYMLADILKQNNVDNSGMRFDHSARTALAFVTLRADGEREFLFFRHPSADMRLLESELDINLIKRAKIFHYGSISLIEEPCKSAHLAAMKIAKGSGSILSYDPNLRLPLWPSEEAARKGIMSIWDQADLIKVSEDEITFLTGGDDPYDDNVVMKKLFHPNLKLLIVTEGSEGCRYYTKAFKGRVSGIKVKAVDTTGAGDAFVSGLLSSLASDLKLFEDEKRLREALFFANATGALTVTERGAIPALPTKKAVLDAITKFAAS >OMO85964 pep supercontig:CCACVL1_1.0:contig09555:8495:13852:-1 gene:CCACVL1_09901 transcript:OMO85964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPSLKAEIGPDGLAREAPVIAYTEKIIEEGRLELKKIIDEKYSKIQELERELANLSMEMKLTTGPKKAALELMRKKIEMSTERIRIAKQKEEQARKIWEAALKALNDEEAIKQQLCEDLNQLVQESSNSQFARLEELKRRLESLNSSGLSISSHHDGNAIGSAQAVATVDASSVPQTTQPSSTVSENVPNQGNGENVPATDGQNQQPTNDGEVKGKKRSQFHGRGKGIGAVPKGRGPAAPGWTGAGFDVDGQETGKLNCDKNLLFKIQNLNVLVHAIMFLPSSIYEGSVPPQVGHWQMPCCCAVYTIPVIEDDKKYSIRKLLLALRCAAASTVNKFLARSLFDEKQPRPVYVTINSVFSSLFVFSLE >OMO85963 pep supercontig:CCACVL1_1.0:contig09555:3176:5734:-1 gene:CCACVL1_09900 transcript:OMO85963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDWYWGSGAKTSSSRKAAAAAAAAERDQSTAGAPSGCISAVFQLFDFHHFQFPLNHSTGSSSSCGCFKPDSFYSPNPTPTAVTTTTLKGTEAPRNSLESEEEGSTTSTSVSVSLTSTSKEDENLNIPVGIQIKTRGSKVDTSSEISNSPGTKTPTLVARLMGLDLLPESRSPSFSSSSASKSQQLKHHLHMQYSPKPNFFQGKTTTPHRHSIDGDQIRPGTRSSPETPRISSGRRSDVDYHHRFSLQINKENMNAAGEDLVMSRLSSLKRKELIKHEDENNRSPGHYARQIMKQVKESVSRKVGMDITNTVRNREQAREELVNQFKYKKISKALAKVNGNDSNSNSSPGKLHSSTPSCSPRLRFMESKNTNPPNPPKPEMINIQPPQPIRVSSPKPKLQAVQEEQEQQQPPPRGAAAASKCKKFSSSIKKPQQKQEEPFVRPSTANRVNIPDKKCRKTPLSNDLVNNIKIPQKQVLLDAAQGPKRRSSQLSSCSSQTYNKQEATHGHVSQDKPNIIGDRCNDAAVSSSAAAATTTTGDELAEYYEYISRILRRTGLELDKDTPVSFISWFSPSHPLDPSIFYFIEHLTNFSANYYKTTKSKSSLRHRCNRKLLFHLVDEILVEILKPHFNLKPWVITHDYYYQVGHMNGSRLIDTLCSKIRSFPQADCLVLEDIDALIDKDLPEMKLQSCLEAYEEEGEGIVTEIEKDILEALVHETAVDYFAMR >OMO85965 pep supercontig:CCACVL1_1.0:contig09555:15224:17942:-1 gene:CCACVL1_09902 transcript:OMO85965 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribokinase MLVDFVPTVGGVSLAEGPDLRISPGGAPANVAVGISRLGGSSAFIGKVGDDQFGHILADILRKNKVNNSGMRFDRNARTALSFVTLGADGEREFLFFRHPSADMRLRESELDTNLIKNAGIFHYGSISLIEEPCRSAHLAAMKIARKSGSILSYDPNLRLPLWPSAEAARQGIMSIWDQADIIKISDEELAFLIEDDGPYDDNVVMKKFFHPNLKLLVITEGSKGCSYYTKTFKGRVPGVKVKAVDTTGAGDAFVSGMLTSLASDINLIEDEKRLREALLFANACGALTVTQRGAIPALPTKEAVLDFLKGIAAS >OMO76030 pep supercontig:CCACVL1_1.0:contig10937:8211:8375:1 gene:CCACVL1_15954 transcript:OMO76030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKAHVPLFGRREGVIGAGHRFFSISEKCQQRVPPGLRPVANCRQVSRQNPPS >OMP05401 pep supercontig:CCACVL1_1.0:contig05406:2126:2197:-1 gene:CCACVL1_01918 transcript:OMP05401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEREFRARGRNGNYGDRAERA >OMO51669 pep supercontig:CCACVL1_1.0:contig15757:1112:2644:1 gene:CCACVL1_29647 transcript:OMO51669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MDKLYTLLLITLIFPSTLISSHNVPAIFIFGDSIFDAGNNHFNKNCSAQADFPPYGSAFFHRPTGRFTNGRTVADFISQFMGIDLQKPYLEAQIAVVNGSKKDYPSNGINFASAGSGVLQGTNQDMGVIPIQEQLRQFQTLANQNRIDKKVIQKSLFFLESGSNDIYNYFLPFTPPTLVPDTYVEAMLKEVTNFTDQIYKLGGRRIALFSLGPVGCVPARALVPSAPITNNRCFGKMNVMVKKYNKGLENLVNDIPSRYPGAVGVYGAVYDIVQRFRAIPSRYGFSDVSNACCGDGPLRGELQCGKEGYKICENPNGFLFWDYFHPTEHTNKIISKALWGGNKSQIRPINLKNLANITVTLV >OMO51671 pep supercontig:CCACVL1_1.0:contig15757:7289:9445:-1 gene:CCACVL1_29649 transcript:OMO51671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase MGFENGGMSSLEDLIDKAGGCAVIDGGFATQLESHGASINDPLWSALCLIKDPDLIKKVHLEYLEAGADILVTSSYQATIPGFLSRGLSLEEGQSLLKKSVKLAVEARDKFWDAKGSVPGNSYNRALVAASIGSYGAYLADGSEYSGCYGPEVNLDKLKDFHRRRLQVLVEAGPDLLAFETIPNKLEAQACAELLEEENIQIPSWICFSSMDGENAPSGESFMECLDILNKSEKVTAVGINCAPPHFVESLVCKFKQLTTKAIVVYPNSGEIWDGRAKKWLPSKCFGDDKFETVATRWRDSGAKLIGGCCRTTPATIRTISKALKEKS >OMO51672 pep supercontig:CCACVL1_1.0:contig15757:16670:18160:-1 gene:CCACVL1_29650 transcript:OMO51672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-ketoacyl-CoA synthase MPPTLPPFSNSVRLKYVKLGYQYLVNHILTLTLIPIMIGIFIEVLRLGPEEIINLWNSLHFDLVQILCSSFLIIFVATVYFMSKPRSIYLVDYACYKPPVTCRVPFATFMEHSRLILSNNPKSVEFQMRILERSGLGEETCLPPAIHYIPPTPTMEAARGEAEIVIFSAMDALFSKTGLKPKDIDILIVNCSLFSPTPSLSAMVINKYKLRSNIKSFNLSGMGCSAGLISIDLARDLLQVHPNSNAVVVSTEIITPNYYKGNERAMLLPNCLFRMGGAAILLSNRRSERRRAKYRLVHVVRTHKGADDKAYRCVFEEEDKEGKVGISLSKDLMAIAGEALKSNITTIGPLVLPASEQLLFLLTLIGRKIFNPKWKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSSEHVEASRMTLHRFGNTSSSSLWYEMSYIEAKGRMKKGDRIWQIAFGSGFKCNSAVWKCNKTIKTPTDGPWADCIERYPVHIPEVVKL >OMO51670 pep supercontig:CCACVL1_1.0:contig15757:5270:6438:1 gene:CCACVL1_29648 transcript:OMO51670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRNRTPHHPQSFHHGPLSAFNQEPGPMPYDPAALEELVEMQHMEMQRIISDNRVVIDSNTHLQRELTAAKDEIFRLNQVTCKLQTENEIQTRELIDGEMKLQAEIQASDLLRAEVVQSRIEIQQLNALRLELTTQLQGLTKEVNHLEAENQQLIDMMAPIEGMHKELIDARRAINYEKRLNEQQAEEKKAMKEKLISMACELEKLRAEKMNTESRARLLGGYESRNGSPEMGYPAGDYESRNGSPEMRYPASEGYHGDWKPYSNNGPWR >OMO85499 pep supercontig:CCACVL1_1.0:contig09613:35021:37713:1 gene:CCACVL1_10149 transcript:OMO85499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MEKVSKSKPRRSVLFLCDFCNTKPAVLFCRADSAKLCLFCDQQVHSANALSLKHARSQICDSCKTKPSSFLCSNDNLMLCQDCDWNSHNSNNCSVSALQHDRSPVEGFSGCPSVTELASLLGLDLKPKDLMNLNPGFSFCEQELLNLEDFMVPTENSSALLSSVKLNHEVYRQLVEMGKRDLVRVSGDGAEMGPETPSSRSSEHGKVGSFEMENGDDEELELLHKQTPFTDLLMLQNNGVSRKNDYVAEGELMYDCKSSYQASKIWDFQSGRSKDCEESGPEDAGCINGISDRGFVIKNYGEFAEEEGDLSKRKVFQDLFEMSHSMRCEDALSRNNNCNQPFSSYTLTTEESNNVPVVGSSSDSKVVEPLKSDSNRYVQVMEHCVPAGNESINEARTKFDMELLAQNRGNAMLRYKEKKKNRRYEKHIRYESRKARADTRKRFKGRFVKASDQAPPDVQV >OMO85497 pep supercontig:CCACVL1_1.0:contig09613:20150:20606:-1 gene:CCACVL1_10145 transcript:OMO85497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSLWTFLVNSPDHAVPWPWERIIDLQTEVLFYRNDLSQSLVFDLRNRVNLGGGMFYENPDWKEMARMGLYSRYFHIYNRYDQDHPFVIQANCCFGPSVFLIVPFPVWTCPVCDYPFPTFF >OMO85501 pep supercontig:CCACVL1_1.0:contig09613:42849:43611:-1 gene:CCACVL1_10151 transcript:OMO85501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEHARDKASFMLETKSMGFSMSNSSKTSFFTAQIMLRVFAAVFTLAAICVMVKTSQTIVILGFSIKAHYTDSSAMRFLLVSDSLVCAFSVLSVIFVYRLSRSGSNLNSCFYLFLHDMVIMVLAISGCAAATTVGYISKYGQEKSGWMAICDRVAKFCNQMMIAMVLSYLAFFSYFALAIMSTNKVMYQANE >OMO85500 pep supercontig:CCACVL1_1.0:contig09613:40012:42144:1 gene:CCACVL1_10150 transcript:OMO85500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTYSVYGLGRKKKLSIPEVVVFVPSMRIPAQCDLQRALKGLIPRDLIDRLTCLRNRIALVAEDTGGSAITELRQALEEYLAILIGLTKKENGVEDSVEFKWKNLEDDRQETSVANAWFELLSVIHMMAMLTLSEADSLMIPKDYSGSGFRVVSTDCKRDAVDLLLKAAGYLEFCVRNVLSRIPAEIKKSLSKDLQEGVLEAISIQALGQGTEIQLGLAVESQKATLSVKRRLACEQLIYFSQAYQCLSGCDLNQGYGKKHMWFIKWKFLESKAAAYYYHGLVLDKGNEPSSHVSAVCCFLAAQELLVESKKACISFCLAAPVTRAPPLWGVMKHLNQKIPEVASRKSQMYGYLLEQEKALQSLPELPDFQLSLRPDDYELPAIDSAWDSEKWENQSQPLKEHLKDCEEEIETE >OMO85498 pep supercontig:CCACVL1_1.0:contig09613:25467:27467:-1 gene:CCACVL1_10146 transcript:OMO85498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon-associated protein subunit beta MARLFGTPLIKALISFLLISAATATGDAPFILAHKKASLTRLKSGVERVSVSIDIYNQGFSTAYDVNVVDDYWPQDVFDVVSGNTSQTWERLDAGALVSHSFELEAKKQGMFYGAPAVITFRIPTKAALQQAYSTPILPLDVLAERPPEKKFEWAKRLLAKYGSQISVVSIVGLFIYLIVTPSKSSAGKASKKKR >OMO85502 pep supercontig:CCACVL1_1.0:contig09613:51230:51585:1 gene:CCACVL1_10152 transcript:OMO85502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRSRVGVCQTICYSKSQKLDLASGSDLESDQAFVLRSFLLKRLKKIRTNHYLLAKNGFSVFESSEAGLKGEI >OMO85496 pep supercontig:CCACVL1_1.0:contig09613:12392:13459:1 gene:CCACVL1_10144 transcript:OMO85496 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH interacting protein 1 MNSNGKESQQPQYPSIEEDTNPFHQAIKQEKQAAQMQPEVAAAATAEPSSSSAAPPPPPPADQNPEKWGTHIMGAPAVPTCHPDNKKAALWGAAAAGDQAQYYHHPYLQYSPIEKSNSSPMESILHTFNSWSQKAETMANNIWHNLKTGSSVPGAAWGKMNLTAKAITGGGFESLYKQTFTTFPNEKLKKSFACYLSTSTGPVAGTLYLSNVHVAFCSDRPLSFTAPSGQETWSYYKVMVPLGKVGTINPVVMRENKSERYIQIITVDGHDFWFMGFVNYEKACKHLTESLSSFVASGVAVPPPPPPAGAALPPPPLA >OMO85495 pep supercontig:CCACVL1_1.0:contig09613:5828:7940:1 gene:CCACVL1_10143 transcript:OMO85495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYFPDEVIEHIFDFITSHKDRNSVSLVCKSWYKIERYSRQRVFIGNCYSISPERLIARFPGLKSLTLKGKPHFADFNLVPHDWGGFLDPWIKALAKNRIGLEELRLKRMVVSDESLELLSKSFVNFKSLILVNCEGFTTDGLAAIAANCRNLRELDLQENEVDDHRGHWLSCFPESCTSLVSLNFACLKGEINLAALERLVTRSPNLKSLRLNRSVPLDTLQKLLMRAPQLLDLGTGSYVHDPSSEAYNELKAAIQKCKSIRSLSGFLEVAPRCMAAIYPICANLTFLNLSYAPGLHGNALTKLIQHCNKLQRLWILDCIGDKGLGVVASTCKELQELRVFPSDPYGAGNAAVTEEGLVLISAGCPKLNSLLYFCQQMTNAALITVAKNCPNFIRFRLCILEPTKPDPVTNLPLDEGFGAIVQSCKGLKRLSLSGLLTDQVFLYIGMYAEQLEMLSIAFAGESDKGMLYVLNGCKKLRKLEIRDCPFGNAALLEDVGKYETMRSLWMSSCEVTLGACKTLAEKMPRLKVELINDSDQMEFNLDDDQKVEKMYLYRSVVGHREDAPEFVWIL >OMP10506 pep supercontig:CCACVL1_1.0:contig02367:98:1208:-1 gene:CCACVL1_00918 transcript:OMP10506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGEYGSTKTCENCTSWRRLEPFEHIEKGTIERGACGRFQLSPHELAPDEIAKPADPGRHDYVIGGMGYHAHAICMALCRDGEARNSGYRLELVEPGTARDRNNPSPGDDWAANTLSWLAMMLGVMVWGRAFPTAGCTGAGILVKSCAAHAASTCSPEGDFARYLDNEVKQEHISIKNDKAVLRTFEASHRLASVSDKDLRLLTEEHATVKFDGDGKNGVRSFDLVSEKGDRLYMMAIDCNAKTNFEGKAAQYIENPSVGAWVRVRNAQM >OMO91524 pep supercontig:CCACVL1_1.0:contig08334:8890:13271:-1 gene:CCACVL1_07086 transcript:OMO91524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSRAELKLQWSEFGAEVEQRLKGNGKVETAQGNGENERGMRKN >OMO91525 pep supercontig:CCACVL1_1.0:contig08334:15810:34449:-1 gene:CCACVL1_07087 transcript:OMO91525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIILIGVTGLEGIDVVFSNTGYPVVFSDTGWEKAMKEVLALKQQLDVATKKHAAVEDRVGHLHGALKKCVSDNLKKLEKSKTGKSMNLLPINGMNWNFLSLRVRFYTSRLNLKPFIQSLRLLRKRIQLSYDSPMKHTPFNFAAVPLSDMKRLDLHVPSPVVTKQTRIPFPASRI >OMO76088 pep supercontig:CCACVL1_1.0:contig10924:3690:7819:-1 gene:CCACVL1_15927 transcript:OMO76088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate-sensitive fragile site protein Fra10Ac1 MASLQSLKSAIFDKEEKKQQYQAHICGLNAYDRHKKFLNDYVSFYGKEKSTDVKLPIRTDHDALREGYRFIRSEEDDMNPSWEQRLVKRYYDKLFKEYCIADMSQYKSGKIGLRWRTEKEVISGKGQFICGNKHCDGKDGLASYEASLHLSIYLVNFGCSFSFATFFRCAEKLHYKKRKEKEQLDRKQEKEYKRKRDPSKDKDDTDEERESSKLKKKGKRASSSAVNHGSDDEDEGFDKFLEGMFP >OMO55856 pep supercontig:CCACVL1_1.0:contig14578:5654:5782:1 gene:CCACVL1_26951 transcript:OMO55856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRYSLVKGERIVRSVLTTRLREYFRNDALLYIDGEEPAT >OMO55857 pep supercontig:CCACVL1_1.0:contig14578:7954:9333:1 gene:CCACVL1_26952 transcript:OMO55857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEKEKEASKDRTVDLIKANFYQAVQGINRGIFGVQSARKSEIEELVELLESRNPTPDPALHLDKVGGCWKLVYSTITILGVKRTKLGLRHFLTLGDIYQTIDVAKAKAINVIKFEVRGLSLLHGQLTIEASFKISSKSRVDICYDKSTITPDQLGNVLNKKYDLLLSIFNPEGWLQI >OMO55858 pep supercontig:CCACVL1_1.0:contig14578:11210:11464:-1 gene:CCACVL1_26953 transcript:OMO55858 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein MELVNIDFCEYRRHVHPLLKEVEISGFRNTKAGVALALSLIRNAIALEKMTLKWEWDKESKATKSGQIHEYVRVILLKEIADSD >OMO55859 pep supercontig:CCACVL1_1.0:contig14578:12747:16767:1 gene:CCACVL1_26954 transcript:OMO55859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MAPMPLPLNWKHLLKILTRGIYRHLRASDLALKPSFSLTSERLIGACCKSNVCLRIYMFLLTIWLVGLLVAAGFMFYVAGSNPQTKEHVQKTWVQNMVGKNWPSLKNCLVRGKVCEAMKNSTATNIIEFMVEKKTPIENDGDCKVWENQPDAQCFDCDRCKEAFVGLGDLREDAKYIGICLIVEVVFVLFILSIGCCAKKNNDRNAYP >OMO55860 pep supercontig:CCACVL1_1.0:contig14578:18097:19002:-1 gene:CCACVL1_26955 transcript:OMO55860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isy1-like splicing MARNEEKAQSMLNRFIAQKAEEKKKPKERRPYLASECRDLAEADKWRQQILREIGRKVAEIQNEGLGEHRLRDLNDEINKLLREKTHWERRIVELGGPNHAKHAAKMTDLDGNIVDVPNPSGRGPGYRYFGAAKKLPGVRELFEKPPELRKRRTRYDIYKRIDASYYGYRDEEDGVLEKVEGPAEAKMRAEAEEEWRRVDEIRREARKGAKEVVTVGAAAREVLFEEEEDVVEEERKEREREEMEKKVKEREFVVHVPLPDEKEIERMIVEKKKMELLSKYASEGLIEEQSEAKDMLNIHR >OMO50554 pep supercontig:CCACVL1_1.0:contig16132:9128:10377:1 gene:CCACVL1_30377 transcript:OMO50554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MPPKRGKSRQNAPPPHNHSVSPAPHGPAGEPQPPPPPPPYIPNPAYAQWWNVYAAQPPSHVNFLSPQMARGSIPQFPHPPYFHAASDAEKLRVAVRLLSGSARDCWEDVKAKSTETFTWKDFVDEFNNEYYNRYDRKKKVREFIELTQGDMSVAEYETNLKRLARHEASTRRNDQLMNQKLKQGLNLEIKQQVPILVLEDDFRKCVDTAQNIEDLLEIKKKLMSDGVGSSKKKEKFNSSSRQSSKKIRSTSSSSLETSSVNYGVFGEMGLAKGSRQPLTRTQTRQQELAPEVVQSDKSQSDVDSSGESQYVESHLSEDDEDDDKY >OMO68009 pep supercontig:CCACVL1_1.0:contig12312:12146:13209:-1 gene:CCACVL1_20140 transcript:OMO68009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRCHRPQELEWRLAEVVILEASRVAQNAAEVEEGEVVSRRRDKENVGGIRHFDQAGRDLGGKGKQVVGKIDVVSSAPGCANHALLLNFVAEGSGETPSSRPAAVNFEDGGQRVLGRIIPGNGPPNVGSNLGLGNALDGSSRALSPCSQNDQVRNKRDSHNYSGMIINQLGVVKRTKEHEQVVVGSVDSFHGVFPAVRTTFMGAVGNLFPDAGGAGVDEGEGNHLADDEQETVRRELVLMLDRRRWSANTISAGSR >OMO68008 pep supercontig:CCACVL1_1.0:contig12312:2475:3701:1 gene:CCACVL1_20139 transcript:OMO68008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MSTIEKLNGSNYNTWSTRIQFYLLGQDLWEVVGGHSTTPPTEVEALRQWEIKAGKALYVLSVTIEEGLLYRIKDANAPKEAWDTLATLLARSNDAKQQQLKNELLSTSQQNTRPQQGGAQHDRDGNNNEERRYRRQNYQCYNCGKVDHFARDCRFKQAQGNVATTSRGEDDHGEIAVACTVEPEEEAALSMVSKINYNDDWIVDLGCSNHMTGDKEKLSNASTYAGKNNSRLPITHIGRTKIAPRTSQHQVQLDNVFHVSGMKKNLLSIAQLTDSGNYVVFGPKTVKVYSSIKPTSPLIMV >OMO57221 pep supercontig:CCACVL1_1.0:contig14426:22116:22292:1 gene:CCACVL1_25903 transcript:OMO57221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECSWTSSNTSSEDNDSSTKSNFMGFDPPLSPRMCGRRSTREEITSSQLTQAGEEAF >OMO57222 pep supercontig:CCACVL1_1.0:contig14426:32275:50176:-1 gene:CCACVL1_25904 transcript:OMO57222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MAGSTGGTGSSNPLTNPSAPKILLAKPSAGPVPGKFGRGGAEDETAAHRARLPPVGSLNLLSDSWEFHIDRFLPFLTENTDFTVVGIIGPPGVGKSTIMNELYGFDGISPGMLPPFAIQSEDTRAMARHCTVGIEPRISAERLILLDTQSVFSPSVLSEIMRPDGSSTVSVLSGEPISAELAHEIMNIQLGVLLASICHILLVVSEGVHDNNMWHLMLTVDLLKHGIPDPSSMTPLHSQNSTAGPDKDFKEKVLEGEEYMTTPVFVHTKLQDQDFSPSNIVQIKKALLHYFSSTSFMRRKCGNKATDLSSMTQSNAKDSAMLNFFAIPYNNKDDLPRDECESYVSSLWKLRDQVLSMNCPPFTKNVSERDWLKNSAKIWELVKSSPIIAEYSKTLLSSVPIDHYDRYLKTLMLELADGSCKCAHVGMGNTESGYNDDFHTDYWHQPSYESSSDAGSSMDHNYHPRQQATFIPDNFSSLDQVITALREAGLESSNLILGIDFTKSNEWTGKYSFNRRSLHAIGSVPNPYEQAISIIGRTLSPFDEDSLIPCFGFGDASTHDKYVFSFYPDHRPCHGFEEALARYREILPHLKLAGPTSFAPIINAAIDIVDRSNGQYHVLVIVADGQVTRNPDTPRGRLSPQEQATVDSIVAASHYSLSIILVGVGDGPWDSMLQFDDNIPQRAFDNFQFVNFTKIMSENTDTSKKEAAFALAALMEIPYQYRATLNIRFENRELVGGNPRPLPPPQEVIDHDNAVNSMRHTRNHEILAPTAPTEPVVGSSAEPVCPICLTNPKDMAFGCGHLVRQFEEGLRGSWHPGVVVGVSNLSRSIKYDELLSETGKSKLIESIPVTEAIEGLHSRRHIPSTYRGHIRPLPPPSQTHLNQKLGFGVCVDALYEDAWWEGVILDNDDNASERSVYFPDEADDRKFSVSQLRASLEWDEYMGIWRDRGVWVLVQLAKELEGDIPLACSVKKVWSSLRLNYGFIKMISEWTCGVRTTWRKYFMEVVQEIAFGSSRRNLANRKILAWIVGKKGNKIKDSGQANFHLSNSFVQAGSGNDLKAVRSREKGEGRGPRSKHKGRKQSVMSNLRCEELSTISTSARKSVCADKSCGEKKKTSSASLIQSNHGIVRDESVPLPDHRTDVHEEACSSPHSKQIKESDELETVIDRQKSTKVCENEVNHFSVDSSGGSKTISLVQDKQSICRSITTHGQIDSNIAVISPDYSKEQAGREAIFSVFHKRRRSSLMRKKYSKKYQQPYSKVTNPLKVEKGNKKASLFVHNDSLMRKRFLRKPKQPDSKVEAIPKEGDLVTGLRRPSLSSDNCAKKARLRDKVSRSRRRKRKWHCGGSRLCDTICSVCHYGEDLIACDHCPCSYHLCCINLKDVPSKKWFCPSCCCGLCGLRDSKSDTDSEHFTNPCLQCTRQYHVACLSEVQHRSPTDNPSRSFCSEACYKLCSQLHQLLGISNPTTVDGVSWTLVRSLRTRYRFLKMTKPRTWIKLSNVLRVIHECFEPVKEPHTQRDMVRDVVYSSLSNLKRLDFRGFYTMVLHSDDEILSVATVRILGVKAAEMPLVATPFQHRRKGMCRLLLQELEKLLTQMGIERLVLPAIPQLMETWRTSFGFLEMPSVERLQLLGYPFLAFQGTTMLQKFLKNSINDKEMRGFAEESLDLGGNVSNVCMEHKGGSDSEDRFSGLCYMRRLKLEVVGKENLVNNCGRLIGRSANLHKRRRVLVS >OMO57219 pep supercontig:CCACVL1_1.0:contig14426:5748:11450:1 gene:CCACVL1_25901 transcript:OMO57219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-inhibited division protein A-related protein MSMLSVHLSRLTRQHFPFPSSLFSPSSRPRHHAFVSYRRSLRFSTPKKFSFRCFCSFSPTNSNGNGHVGAGEERYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGLAKSQLVHEVDALGGEIGKIADRCYLQKRVLNASRGPAVRALRAQTDKREYAMQMKKVVESTPNLSIREAMVTDILLGKNDNVEGVCTFFGMNFYAPAVILTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQRLGFESDRLKTGTPARVDCRTVDFSVLEPQHGDEEVSWFSFDPDFHIEREQMCCYLTRTTKSTHKLIEDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFKDKESHQIFLEPEGRTVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDYLPAHQCSRSLMTKKIEGLFFSGQINGTTGYEEAAAQGIISGINAARYSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKIYQEKQAKISEEKKRLKTVKISGGDLAAEVSQLSGQPVKDNSTLETLLKKPHIEYKVFDKHGFGNKMLSRIEKECVEIDIKYEGFIIREKQHLQQMVNQQNRRLPEDLDYYAMTTLSLEAREKLSKVRPQTIGQARRVGGVSPADITALMIILETNRRRAQEQRRHQMLASVMADTVENTSDTLTEAVSN >OMO57220 pep supercontig:CCACVL1_1.0:contig14426:12873:21283:-1 gene:CCACVL1_25902 transcript:OMO57220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase/Fumarate reductase, transmembrane subunit MSSVTNFLLTESIPVGWKSGFQSDSVSPQPEDKKQQTLRPLSPHLPVYQPQLNATLSITNRISGAFLATMEKGAKLEGILTK >OMO57223 pep supercontig:CCACVL1_1.0:contig14426:51053:54927:1 gene:CCACVL1_25905 transcript:OMO57223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asparagine-linked glycosylation protein 1-like protein MGKRGRACVVVLGDLGRSPRMQYHALSLARQASLEVDIVAYGGSEPHSAVLENESIHIHAMMQWPKQPRNLPKILYPLMLLLKPIIQFIMLLWFLCFKVPSPDVFIVQNPPSVPTLVAVKWASSLRKSAFIVDWHNFGYTLLALSLGRSSRFVSLYRWIEKHYGQMADGSLCVTRAMQHELAQNWGIKATVLYDQPPEFFRPTSLEEKHKLFCRLNRSLYHPLAPKVVGDVQNETLFTTQVGTDIFLKPNRPALVVSSTSWTPDEDFGILLEAAVMYDRRVAALLDEKDSSDEGVLWKEISNGRQYLYPRLLFIITGKGPEKEKYEEKIKRLNLKRVAFRTMWLPAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSFSCIEELVKVEKNGLLFSSSSELADELLLLFKGFPDDCESLNSLRNGTMEMGSSARWATEWEEHAKPLILEVSQNHP >OMO57218 pep supercontig:CCACVL1_1.0:contig14426:1674:4735:-1 gene:CCACVL1_25900 transcript:OMO57218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVISSSSVTFSSHVNPFRVCNERFQQPVFAIPSSSVAHCKFPWSVTLKNHNGLRNKSPNVLKPVRDSINQNSQFVTEDNTITFDWEDQEEVEDIGSPWEGAVTYRRNPSITHLEYCTTLERLGLGELSTDTSKSRASIMGIRVTNAVKDYPNGTPVQISIDVTRKKKRIRLDGIIKTVITLGCNRCGGPAAESIFSNFSLLLSEEPIEEPEIIDLGATLEERFKSEDNMEEDDDASIDWEDRLYFPSEEKEIDISKHIRDLVHLEITINAVCDPKCKGL >OMO50967 pep supercontig:CCACVL1_1.0:contig16020:14514:17051:1 gene:CCACVL1_30099 transcript:OMO50967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKTIGRGASSRFLDGRSFKEVVVNGPLPCQNIEGPNLDISGEKLPSLAHLHDELRNERGKIDGDCVDTGTKSLVLDVDIPDSNMEWLNRSMVGKIKVGFSCNIVQESLLLHEISVIVCPFNEDNILLTFPSIEDLMVRLSSQKDLLDKWFESLVSWAEFNEKRKFTSWIWLEEVPLELWHVNFFMALCNLWGSFLNIREHTLNRWNSEAAWIRVKEIEVDSSETSNNFNIASKELNLTHGNVKSGFNVTDQVVEDEMADAKLGPSNTNGFSNSGLKDSGLGFVGDFGSVGLGANLGPSQDSISHISPTPTDGAWQKGKASKKGINFLPLRKNSKESLLRIRIRVCVLLLVSQGLQMRIRRTHLISPREEEAVEMGVNGRVALASIYAPNVDAERSSFLISLSEVIVQNNVLWCVCGDFNAVTCSDERIGASYSVNSAQTLVDFIQNCNLVDLPLQGIVFGIDRKNWGPKPFRYFNHWKYDDNCKEAVHEAWSKAYETYASNANIPMMLREVKTGLKEWRKRYGANDSNDIKKIELEIQTLKVEWEEDLDNQDLRNEIIKRKGDMWKLYKAEERSWFQKSRFQWL >OMO99506 pep supercontig:CCACVL1_1.0:contig06879:3337:16548:1 gene:CCACVL1_03769 transcript:OMO99506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLWLRLSERRGPMNQSARAFCSSPKAALHYHFNWPRRSTANAPGIQLRLQWVTVLSPNGGPLWFSGIRGWLSKVKRDSHGASDGCS >OMP09072 pep supercontig:CCACVL1_1.0:contig03401:2245:2304:1 gene:CCACVL1_01076 transcript:OMP09072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKCSMNPGLSSFHLSGIYV >OMO81958 pep supercontig:CCACVL1_1.0:contig10082:66322:71396:-1 gene:CCACVL1_12129 transcript:OMO81958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MKVLLTKGARRYEFLSSAAFLLYRIFETLYREEGKISRGVRESSTEPSNRMEMQKWGRSNLATPTKPAEYLGFTSYPPAYLSKDARGNALLTGANFASAASGFFDRTANLYRAIPLTQQLNYYREYQTKVVNLVGKAKANDLFSGAIHLLTAGSSDYIQNYYINPLLNRIYTPDQFSDILMRSYATFIQNLYGMGARRIGVTTLPPTGCLPAAITLFGAGSNECVAKLNQDAIAFNNKLNSTSQSLQNKMPGLKLVVFDIYQPLLDMITKPTDTGFFESRRACCGTGTLETSLLCNARALGTCANASAYVFWDGFHPSESANQVLAGSLLSQGVSLIS >OMO81962 pep supercontig:CCACVL1_1.0:contig10082:104431:111129:1 gene:CCACVL1_12133 transcript:OMO81962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSSRAEVIIKPMLLKAGIPLALSLAGFVYARMIAKRCINSEASSMETPVSSLETDSNEELRGENGLNSMSSTCSEGEEKVVTSTQIMNMIRSSEIQDRMVNEDEILGLRTRIEELQRKEWELERKFIRFCDLKEQESMLMELRNLMLLEKLYVEFMDREISSIEAENKRVEKIVMEYLRVVEQLENWKSQNGLLERKVKRLLRKTKCQEKIIREKDLKIEAKDAEIERNGELLEGKCNVIKKLEDEVRELKSLTSQLEQQKVELSEKLELAEKSNSSISKTEEEGMINIEDYKQLADEYEQLQKDRVAERKELTYLKWCNACLRYELKRYQMLQDYMQENKDYNFEQEFEGNEEILGFRIDDDQAKALTEQGEPCLSVATNSGQVCSKRQKLLKKFKKWVEGSEKMKSNEKEREKEKHEGKCFGRHLGKIKLAKKNSFVLPCHCTLSSSDHDNTSKAEVLSLQNEGRRALVASLLTAAAAMYVCDVAEAVSTSRRALRGAKIPESEFTTLPNGLKYYDLKVGSGLKAVKGSRVAVHYVAKWKGITFMTSRQGLGVGGGTPYGFDIGQSETGNVLKGLDLGVEGMRVGGQRLLIVPPELAYGKKGVQEIPPNATIELDVELLSIKQSPFGTPVKIVEDIWGALDMLKQTEQSSVQVDLIHAALSLQVGCLQHTKYGFTIQVVKLSEAYFIWIQKNQQMNGKRGWKFNGLLQLNQSVKANLIAPGLQGVHQQVQMALLAAFAIRATTGTLLVELA >OMO81964 pep supercontig:CCACVL1_1.0:contig10082:119689:123620:-1 gene:CCACVL1_12135 transcript:OMO81964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRSRSKRRSDDDDSASDSESDESGSGDSSPDTKSRSRRKERSRRKESKSSRHKRSRSHRDRDSDGDYSSDDSDESDRGRSKKKSSRNITEEEIAEYMAKKAQKKAMRAAKKLKAQSVSGYSNDSNPFGDSNLNEKFVWRKKIERDVSQGVPLDNFSVKAEKKRQRERMAEIEKVKKRREERALEKARHEEEMALLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDVLSKQLSGADDLDIDLNEPYMVFKGLTVKEMEELRDDIKMHLDMDRATPTHIEYWEALLVVCDWELAEARKKDALDRARVRGEQPPAELLAEERGLHSSIEADVKNLLDGKSYRELEEMQSQIESQMRTGTAKVVEYWEAVLKRLHIFKAKACLKEIHAKMLRNHLQRLEQPSEGEDKLESDHGLRPIEEAIEEDSDHDVKDAETYSPELILQEETHEVEEEAGSFSPELLHGDDNEEAIDPEEDKALLESKRMAVREEQQRRLQEAMAKPPPSEDNYELKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKTKAPVYTIEKDGNSSETCIIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >OMO81963 pep supercontig:CCACVL1_1.0:contig10082:112035:114139:1 gene:CCACVL1_12134 transcript:OMO81963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVDQKLVGGIRWWASCREFGCNVGSGNNGGGNKLGGRRNGTKKRGREQIKVVSFYLEESKISTGRNNNEEAKGERF >OMO81956 pep supercontig:CCACVL1_1.0:contig10082:52819:58852:-1 gene:CCACVL1_12127 transcript:OMO81956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVIHQQNHQLLRHHFPPKPPPPSPPPPQSSSLSLPKPKSRHRPLLLSSTTSLPRYTPPKPFIFNDVNSLLSLLNLSLQYSDADLAKVVHACSLKFPEDTHLGNSLVLAYLKLGLVNHAFKVFNSISFTNVVTYSSLISACAKSNQGNKAIKLFMKMRNEGIEPNEFTFVSVLSACIRVLELELGFQVHSLVIKMGFLDNVYVVNALMGLYGKCNGGLGLVLNMFEELPQRDVASWNTVISSLVKEGMYEKAFEFFRLMQEIGPFRADYFTISAILSACKGSIDLMKGKEAHAHAIRVGLGGNLSVNNALIAFYTNCGSVSDVVTLFESMPVRDVITWTEMITAYMEFGLVDLAMEVFSKMPEKNCVSYNALMAGFCRNGEGLKAVKLFIQMVEEGLELTDFSLSSVINACALVMDAKSSEQIQGFCVKFGFGSNVCVEAALLDMCMRCGRIADAEKMFNMWPSEQDSSVVCTSMFCGYARNGQPDEAISFLLRGKAKANDLFSGAIHLLSAGSSDYIQNYDINPLLNRIYTPVQFSDIFMRSYATFIQNLHGLGARRIGVTTLPPTGCLPAAITLFGAGRFFESRRACCGTGTLETSLLCNARALGTCSNASAYVFWDGFYPSESANQGDLVKVFANEHKKITLDPEMQSHIENTLYSSHASYKVLFSTGYLDIWEPATLTIKREGYSIKCTGANGLVVTEKFSPSTQIQVTFGDPTEFVIIGSNGVQRTLKADRS >OMO81953 pep supercontig:CCACVL1_1.0:contig10082:27082:28829:-1 gene:CCACVL1_12124 transcript:OMO81953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synuclein MASRQEKEHRAEAAARQAVDDLKDVNRDNQGPVEERVVYKKEWHETTPSQQQQQQERPGVIGSVLRAVQGTYEHAKEAVVGKSHETGDFTDRTGATAEKTWDDAADTAKEYNDYTTGKAKETTDQAGRKMGEYKDYAAEKGREAKDKTADKTSEYASYAGQKAKDAKDAVTGKAADYSNYAAEKAKEGKDATAQKGSEYRDYTAQKAKDAKDATVDKASEYGNYAADKARQAKDATADKASEYGNYAADKSRQAKDTTMDKAAEYGNYAADKARQAKDATVDKAAEYGNYAADKARQAKDATVDTAKGAAERAKEGAQYAAEKTKEGAAYTGEKAWEGAQYAGEKTKEGAQYAGEKAREGAAYTGEKAREGAEYAGEKAKEGKDASVSKLGELKDSAAGAARRAMGYLTGKTEETKQKASETAEQTKQMASETAEKTKQKASETADKTKEKFGETTESARQKMGEMKLGNEDTAERKGYGARLGHEASGQGQGGVAERIVIKVEDTQPGAVASTLKASDQMTGQTFNDVGPLDDEGIVKTDRSGKTKM >OMO81955 pep supercontig:CCACVL1_1.0:contig10082:36284:47692:-1 gene:CCACVL1_12126 transcript:OMO81955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MGDGNYRNWFACWIPTTTSSSNKLVFFIVPLILVSFFVFILVPKNSTWVVISSLPPSSSSSSAASAASAVVSDAPKPVFASNSSEKIGVASHAEESEGVNLSSKLGTVHGSLEEAAAAAVADEAELNRSASPPLALDEAVTKIPEFNETREDGFNISLTGTPNATMNESIALPVKQSKRVRTKLDKLEAGLQKARAAIKEAKNGSQLQDRDYVPIGPMYWDAKAFHRSYLEMEKQFKIFVYKEGEPPVFHDGPCKSIYSMEGNFIHQMDIDSKFQTNDPEKAHVFYLPFSIAKMVQFVYLRDSRDFSPIRRTVVDYVNLVAQKYPYWNRSLGADHFMVACHDWGPEASFSLPYLGRNSIRALCNANTSEKFNPVKDVSIPEINLQTGKLTGLIGGPSPSRRPILAFFAGGVHGPIRPILLEHWEGKDEDIRNDRNEDNNPNITLSSENFNFTTLTEENESNDFPSLKQRVRTNLDRLEDGLQRARAAIKEAANGSQLEDPDYIPDGPIYRNAKVFHRSYLEMEKQFKIFVYKEGDLPLFHDGPCSLSYSMEGHFINKMEINKKFRTKNPEKAHVFYLPYSVTRLVQYNWVKGTRIKVLGGIILDYVNVIAGKYPFWNRSLGADHFMLSCHDWGPATSFFVPDLAKNSIRALCNANTSERFNPMKDVSIPEISIKTFNLEVGLIGGLSPSQRRIFAFFAGGNHGPVRPILFEHWEKKDPDIRVHSYLPKGVSYYDLMRQTKYCLCPSGYEVASPRVVESLYNGCVPVLISKTYVPPFSDVLNWKMFSVMVSLEDIPNLKKILMSIPDKQYIRMLKRGVQVRRHFELHATPKREHSPISISSNNINLDAVPKQYIIMSTTLASQGSKWGSIYTLSTSSSAYASLDSAKNSSLHAKESENPADLHSNEVATAHSNSVSTPIVLQFNDRNEDSNPNITLSSENFNSTTLTKENESNDDFPPLKPRVRTNLDRLEDGLQRARAAIKEAANGSQLQDPDYIPDGPIYRNAKVFHRSYLEMEKQFKIYVYKEGDLPLFHDGPCTLLYSMEGHFINKMEINKKFRTQNPEKAHVFYLPYSVTRLVQYNWVKGTHFQNLGGILLDYVNVIAGKYPFWNRSLGADHFMLSCHDWGPATSFYVPDLAKNSIRALCNANTSERFNPMKDVSIPEISLKTFKLEIGLIGGLSPSQRRILAFFAGGNHGPVRPILFQHWEKKDHDIRVHSYLRKGVSYYDLMRQSKYCLCPSGYEVASPRVVESLYNGCVPVLISKSYVPPFSDVLNWKMFSVMVSLEDIPNLKKILMSIPDRQYIRMQKRGVQVRRHFELHATPKRFDVFHMILHSIWLRRLNVRIGNDPGEILD >OMO81950 pep supercontig:CCACVL1_1.0:contig10082:941:1021:-1 gene:CCACVL1_12121 transcript:OMO81950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKCSYCKKDLDLTAQEFTVHFNQCK >OMO81954 pep supercontig:CCACVL1_1.0:contig10082:31951:34991:1 gene:CCACVL1_12125 transcript:OMO81954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQYTGKEITSEKHHQQARIGRVLAGFEDFHLGTFIGSTEEEAAIAYEYFAEAMSRAGLTGVVIYTIQEEISVLRYGLDRVLGLRGRK >OMO81951 pep supercontig:CCACVL1_1.0:contig10082:12853:14592:-1 gene:CCACVL1_12122 transcript:OMO81951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKEETILKKEFNKQQETHVNSRGELLIDTEYEPLVPKEEKSELQILCLNLRWKRLLVLATVWVLFTFIQVIKNDLVACSTWYWVLFCLQVASATATFVMMFSSSLSVIEFYLLKRFPIPYALYLMGVSILAGFWGQYFVRKLITILRRASIIVFILSGVIFASALTMGVIGIETSIKMIQNHEFMGFLDFCSSQ >OMO81960 pep supercontig:CCACVL1_1.0:contig10082:79450:80957:1 gene:CCACVL1_12131 transcript:OMO81960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVVPVVEQRRPPHLLRRPQLPLPNFDGIEFSSPWLISGFSTRKNNGICRFFNPINNHTLETNMNPQFSYNSRICFAKYGWLLMIQDNKNELLLFLFEPLTKEKILLPPLLDVDEMKLLITSSPQSPDCMVIGLTALNSSSNTTIEICTCKLGDLDWQFYERIVLDGLKLRMACCTPTFYKGKLYCLEKMGNLLTFDPTSVDGSFKCIQNEDLVECTDDEDEDGVESCTEDEDCVGNEDIGTMSDLVTLTFDISPLLGEMKGYQIFMVENDGDLLAIFVTKRGRTVYVYKWDESHRSFGSVKSLGDNMVFVSYGGAAFSQKAVVRGTGNKIYFPTFFLNGAYAFYSLATKRYHSFFGFSPANPCCPPSQHVESVWLCPIQQ >OMO81952 pep supercontig:CCACVL1_1.0:contig10082:23204:23329:-1 gene:CCACVL1_12123 transcript:OMO81952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAQKTVASKTQTHDHLHELFHRQRSSLSLNMQEQEDKRR >OMO81957 pep supercontig:CCACVL1_1.0:contig10082:59232:65586:1 gene:CCACVL1_12128 transcript:OMO81957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase 7 MEEPRKHQTGFKILLDSINSTDSRKNICSLAVGTIEERRTWAYQAQKLISICSFLLGECDTSCAGSTDVVVLTSLALRLVVALTDLKSWKIVSDNNIGDADAAVKNLVCFMGNCKSGLYVSMRRYITKLDVCFSPKVKNVVQTDDKFLITTSAVTLAIRPFSLTTIDATGPGQFDSHSAVEQYCLYILTIPWLTQRLPAVLLPALKHKSTLSPCMKILLISRDKIVKQMSDIDLSSMDCSLKVIPPFGWALANIIGLASGNEKDFLDSKSLNEGLEYASYVHVVTVLADNLLAWLHNVGWNEKGNQNLDGKDGASEGPVSMQEAETAYGSLKTSFIDLFRPVCQQWHLKKLLEISKRYTHTDEAKILPPNNVECFGNLELLDIAYFYSYMLRIFAAFNPMVGPLPVLNMLSFTPGFLGNLWGVLESSIFGGNTHAIGDASQGKSKVSGRKKEEIDKKLKQPNKDGVNKWVNVLQKFTGKSQAEVNLADSADDHQVDEDSSDVWDIEPLRHGPQGISKDVSCLLHLFCATYSHLLLVLDDIEFYEKQVPFTLEQQRRIASVLNTLVYNGLSSSVGQQNGSLMDSAIRCLHLIYERDCRHQFCPPVLWLSPARKSRPTIAVAARTHEVLSANIRSEDAKVVHSAGSIITSMPHVFPFDERVQMFREFINMDKVSRKMAGEVSGPGSRAVEIVVRRDHIVEDGFRQLNSLGSRLKSSIHVSFVSESGLPEAGLDYGGLSKEFLTDISKAAFSPEYGLFSQTSTSDRLLIPNAAARHLENGIQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNLMYVKHYDGDIKELCLDFTITEESFGKRHIIELKPGGKDVCVTNENKMQYVHAMADYKLNRQILPFSNAFYRGLTDLIFPSWLKLFNASEFNQLLSGGDHDIDVDDLRNNTRYTGGYSEGSRTVKLFWEVMKDFEPKERCMLLKFVTSCSRAPLLGFKYLQPAFTIHKVASDAPIWATFGGPDVERLPSASTCYNTLKLPTYKWSSTLKAKLRYAINSNAGFELS >OMO81959 pep supercontig:CCACVL1_1.0:contig10082:76157:76459:1 gene:CCACVL1_12130 transcript:OMO81959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKASVLGETIKQARELKEKVKEMKAVRRGSAGELDNLSLVKVTFSCDDRTELISDITKVVKTVKGSIVRAEMVFIGGRQRSVLWPVGERAYWKQRDRDA >OMO81961 pep supercontig:CCACVL1_1.0:contig10082:95341:99112:-1 gene:CCACVL1_12132 transcript:OMO81961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRLTPAQPLLLRHNERVPQTLFTGPHITKRCSRPWIRAAVSKQTAEISSPTVTSRESSVVSSSSSSSTLEAVSSAPRKKVSPNSLQYPSGYLGAVAERSADGGSDDVMDAMGYLTNILSSKVYDVAIESPLQLATKLSERLGNQVWLKREDLQPVFSFKLRGAYNMMAKLPKERLDRGVICSSAGNHAQGVALAAKRLGCDAVIAMPVTTPEIKWQSVKRLGATVVLVGDSYDEAQAYAKNRAKEEGRSFIPPFDHPDVIMGQGTVGMEIVRQMQGPLHAIFVPVGGGGLIAGIAAYVKRVNPEVKIIGVEPFDANAMALSLHRGERVILDQVGGFADGVAVKEVGEETFRICRDLVDGVVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYGLKGKNVVAITSGANMNFDKLRVVTELANVGRQKEAVLATILPEEPGSFKQFCELVGHMNITEFKYRCTSEKEAVVLYSVGLHTVSELEAMKQRMESSQLRTFNLTASDLVKDHLRYLMGGRLDVENEVLCRFTFPERPGALMKFLDAFSPRWNISLFHYRGEGETGANVLVGIQVAKSEMEEFIHRADSLGYDYELVTDDKNFQLLMH >OMP05342 pep supercontig:CCACVL1_1.0:contig05428:6647:14546:1 gene:CCACVL1_01943 transcript:OMP05342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MMYLRLRKAGVSLLRRVGLPRQFISTSPPTATPLSRYVKFPYQINYGSSWRTNHSDPPIWIILSVPAATILGLNFNYVLAEDVSIQTSSGSDVQGADFDGLRKVEDGSVISNGKLEQAEKFFLSALQEAKEGFGERDSHVASSCNNLAELYRVKKAFDKAEPLYLEAISILEEAFGSEDIRVGVALHNLGQFYLVQRKLEEAQMSYEVKRRVLGLNNIDYADTMYHLGMVLYLQGKLKDSEALMQDSVRILEEGGQGESMACIRRLRYLVQGWDSLDTVIAAEGLALTLQSSGSLKEAQELFERCLGVRKALLPEDHIQVVFSSYVEL >OMP05341 pep supercontig:CCACVL1_1.0:contig05428:3357:5854:1 gene:CCACVL1_01942 transcript:OMP05341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSDLVNLNLSDCTDKIIAEYIWVGGSGLDIRSKARTLSGPVSDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRCAAAKIFSHPDVVAEEPWYGIEQEYTLLQKDVKWPLGWPVGGFPGPQGPYYCGVGVDKAFGRDIVDSHYKACLYSGVNISGINGEVMPGQWEFQVGPSVGISAGDELWIARYILERITEIAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRCDGGYEVIKKAIEKLGLRHKEHIGAYGEGNERRLTGRHETADINTFLWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTLLWKP >OMO70418 pep supercontig:CCACVL1_1.0:contig11830:9220:10151:1 gene:CCACVL1_18929 transcript:OMO70418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like protein MGASQSSQDFQPNLDENNYSIKPQYQESNNVQPIEKTAHSELGDHAKPMEKTRKTLQLPHNCETILKDADSPVNKSSMDNLVSQLHSGVFLNQKRKKYWVNKKLNKNCFMLFARELSITWGGDYRYWHWCYQKDTTSDEYIEVAELLQVCWLEVHTKFDIAKLSPGTLYQVVAMVMLRDEAYGWEDAVNFRLTLPNGHKVERKETLMNKPKGLWIEIPVGEFTTSSGNSGELDIYFHEYTAGTWKGGLILKGVAILPKN >OMO70420 pep supercontig:CCACVL1_1.0:contig11830:24407:24694:-1 gene:CCACVL1_18931 transcript:OMO70420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 LYR protein MAASGLAAPTRSEVLQLYRSLLRVARQLCDYNIREYTKRRTMDAFRDNKKLTDPCQLSAAFSDGKAQLEVAKRQAVVYSLYAPKVKSIMDVKPWN >OMO70417 pep supercontig:CCACVL1_1.0:contig11830:7424:8170:1 gene:CCACVL1_18928 transcript:OMO70417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like protein MASKPHHDADPDAIQEFSSKDGFRLKPRAFNIVWGNDTRYWRIPARSTIPNDNDEFAELVQVSWLEVTGSVKLNTSTSYKLTFKLSFKSDAFGWTGCPVFLMAKLGKKGRYKWKRLKDLEALPKEPITVPSADDPFVIEVPSENQLDTKLYFGLYEVWSGKWKGGLRVHEAIVQEIKKQ >OMO70421 pep supercontig:CCACVL1_1.0:contig11830:28847:32888:-1 gene:CCACVL1_18932 transcript:OMO70421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol/phospholipid:diacylglycerol acyltransferase MAMLLEDIVQSVEMWLKLIKKPQSYVDPNLDPVLLVPGIAGSILTAVDDKNGKEERVWVRILGADYKFRTKLWSRFDPSTGRTVSLDEGSRIVVPEDRYGLYAIDVLDPDMIIGRECVYYFHDMIEEMIKWGFQEGKTLFGFGYDFRQSNRLQDTMDRLAAKLESVYKASGGKKISMISHSMGGLLVKCFMGLHSDIFEKYVKNWIAIAAPFRGAPGYIASTFLNGMSFVDGWEQNFFISKWSMHQLLIECPSVYELMACPHFKWEHVPLLELWREKQDCSGYSRTILESYGPGESIEIFKQALKGNWIDYNGESIPMPFNLEILEWTKKTRKVLAEAKVPHGVKFYNIYGINLETPHSVCYGSEETPITDLEELRLYEPTYVCCDGDGTVPAESAKADGLHAEARVGVPGEHRGILCEPHVFRILKHWLKAGVPDPFYNPVNDYVILPTAFEMESHKEKGLQVTSLKEEWEIVSEDQVDLGDVTSQKPFVSSISVSQGGNRQSSRAEAHATVIVHPQNEGKQHVELNAISVSVDA >OMO70416 pep supercontig:CCACVL1_1.0:contig11830:3658:4960:1 gene:CCACVL1_18927 transcript:OMO70416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H2, subunit C MEEERGTTGIINLSQKDNEETVNISGQVHHLPCCIKLNGPCSVSQYFKPKPKGMEIDGLAVEEAHFRGRELQGTTISLPNGYSGFILGKNNSGKKKACDASEGSSNVWQMKAKFDKLTYWNHDSPPSKDDAFLRSFHWFAVAEALHKPVTAEDMAAASDALGKN >OMO70419 pep supercontig:CCACVL1_1.0:contig11830:11029:14444:-1 gene:CCACVL1_18930 transcript:OMO70419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase MGRSLVFSTNIPLRFSQTPRSFSPRIHPNQFQAHKFTANSQFGYQKVGFFNPNSTRKLCTRALISEVSNQRQYPKVGAKSTGPIPPSQLIEVVETAAKTGAEVVMDAVNKPRNISYKGLTDLVTDTDKRSEVAILEVVKKNFGDHLILGEEGGIIGDTSSDYLWCIDPLDGTTNFAHGYPSFAVSVGVLFRGNPAAASVVEFVGGPMCWNTRTFSATAGGGAFCNGQKIQPSQTDKVEQSLLVTGFGYEHDDAWITNIELFKEFTDISRGVRRLGAAAVDMCHVALGVAEAYWEYRLKPWDMAAGVLIVEEAGGAVTRMDGEKFCVFDRSVLVSNGALHAKLLERIAPATEKLKDKGIDFSLWYKPENYATDL >OMO63600 pep supercontig:CCACVL1_1.0:contig12937:27485:28102:1 gene:CCACVL1_22386 transcript:OMO63600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-trans-poly-cis-decaprenylcistransferase-like protein MDGNRRYARKKKLEIVGDGQDASFLAFLLMFIYCYEVGVKYVTAYAFSIDNVKRKPEEVQRLMDLMMRKIELLTTIVNNFEVRVHFAGNLELLSCDLRDATKELMEATLDYSKVVLTICIAYTSTDEMLHAIQQSCDKCKDGDVINLVDLERNMYMAMAPCPDIVIRTGGESRLSNFLLWQTSCSYLSTLVSLWPEISFRHLLWQ >OMO63599 pep supercontig:CCACVL1_1.0:contig12937:11878:18985:-1 gene:CCACVL1_22385 transcript:OMO63599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKKAPPFVSQPPVTVGYNLQRPKRDPFLLSLFLSFLPKPKWNPTPTSPETSTIAPLLQRSTITVATGPKAIPFSSQSQNLKLQHLKLETQNLTH >OMO63601 pep supercontig:CCACVL1_1.0:contig12937:45240:48213:-1 gene:CCACVL1_22387 transcript:OMO63601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase type 2/haloperoxidase MAWTKLRSLFSLLNFQHMLQKRRQEREKQAESRRSREAEIGRHYIKTHGAKVAKDHMHDWIILMLLAVIEVALFVIHPFYRFVGKDMMTDLKYPMKSNTVPIWSVPMYSVLLPICVFLLVYHRRRDVYDLHHSVLGLLFAVLITAVITDAIKNAVGRPRPDFFWRCFPDGKDVYDRWGNVICHGNKADIKEGHKSFPSGHSSWSFAGLGFLSLYLSGKIKVFDRKGHVAKLCIIILPLLAASLVAISRVDDYWHHWNDVFAGGFLGLVVATFCYRQFFPNPYDDDGWGPYAYFEALIEESNRNNGEASQTTNALHVQAMEVIHVVDHQTPPSNGDNFSSPHLDLDQNSRLDDLEYGRR >OMO56481 pep supercontig:CCACVL1_1.0:contig14514:2361:7097:-1 gene:CCACVL1_26517 transcript:OMO56481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MESFLNENFDVTKHSPEEALQKWRKVCGFVKNPKRRFRFTANLSKRDEAAAMRRTNQEKLRIAVLVSKAAFQFISGVQPSDYVVPEEVKAAGFQICADELGSIVEGHDVKKLKFHGGVGGLAEKLCTSTDNGLTSDAALLNKRQDIFGINKFAEPEAKGFWVFVWEALHDMTLMILGVCAFVSLIVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKISIQVTRNACRQKMSIYDLLPGDIVHLNIGDQVPADGLFVSGFSVLIDESSLTGESEPVVVSAENPFMLSGTKLQDGSCKMLVATVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKVGLFFAVVTFAVLVQGLFASKLQEGTIWSWSGDDALKLLEYFAVAVTIVVVAVPEGLPLAVTLSLAFAMNKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKSCICMRVKEVGTENRASFCSDIPESAVKLLLQSIFTNTGGEIVINKDGKREILGTPTETAILEFGLSLGGDSQAERQASKIVKVEPFNSTKKRMGVVLELPEGKLRAHTKGASEIVLAGCDKVINSNGEVVPLDEGSLNHLNDTINQFANEALRTLCLAYLELENGFSPDTAIPMSGYTCIGIVGIKDPVRPGVKESVAICRSAGIAVRMVTGDNINTAKAIARECGILTDDGIAIEGPVFREKSQEELFTLIPKIQVMARSSPMDKHTLVKHLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGRAPLTAVQLLWVNMIMDTLGALALATEPPTDELMKRSPVGRKGNFISNVMWRNILGQSLYQFMVIWYLQAKGKAMFNLDGPDSDLILNTLIFNSFVFCQVFNEISSRNMEEMNVFKGILDNYVFASVLGATAVFQIIIVEFLGTFANTTPLTLSQWFVSILIGFIGMPIAAALKMIQV >OMO56480 pep supercontig:CCACVL1_1.0:contig14514:194:1646:1 gene:CCACVL1_26516 transcript:OMO56480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVLEQVMDHLLDCTDKLFEAGDSEKNGKSPKESKQEKSKRATRSGQKQREPRVDVSSSSSNGSVYTKQKQTSKKVKMVTAVLKFIVDSIGMGFASRNHGRCLKFTSAYMQYIISSFRQLSTDKSQLKEEHLKELILCVKSSFSYATKLLNLVLNAANQASPAPVESFNLANDLLDLIVSGELFLGSSYVARLVATAKPWLPDLILALGSATLLEQSLERAYMNALDHIKLHFPSWPLILAKIELAEMKEGDPEEEDDRVPEPEFPEFKKLMGMIVSMLKGNRSILDAVGMIFMVSSIVGLERKDFGLLLGLLRFVCLKLIGQEDREWTGLDRMLISLPDIYPRIEREVEELGDEDGNHKLHDAKALLEPVWLYHVYETERFSEMEE >OMO56484 pep supercontig:CCACVL1_1.0:contig14514:14887:15327:-1 gene:CCACVL1_26520 transcript:OMO56484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L26/L24P, eukaryotic/archaeal MKYNPRVSSSRRKNRKAHFTAPSSLRRVLMSAPLSSDLRSKYNVRSMPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGINPSKVVITKLRLDKDRKSLLDRKAKGRAAADKDKGTKFTAEDIMQSVD >OMO56483 pep supercontig:CCACVL1_1.0:contig14514:13538:13702:1 gene:CCACVL1_26519 transcript:OMO56483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQPWKAYYLQHKSRWLSTFKFCDLRSCAVVTVGLEPILFPFVFNSPRPSGHP >OMO56482 pep supercontig:CCACVL1_1.0:contig14514:11271:13180:1 gene:CCACVL1_26518 transcript:OMO56482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGPGLFSDIGKKAKDLLTKDYSSDQKFTISTSTGAGVALTSTALTKGGLSTGDVAALYKYKNTVFDIKVDTDSNILATLTFSEILPSTKTIASFKVPDYNSGKLEVQYFHDHATFTTAIGLNQTPGVDVTATIGTPAIAFGAEAGYDTTSGNFTKYTGGISVTKPDSYASIILGDKGDSIKASYVHYLDQLKKSAAVGEISRKFSTNENTFTVGGAYAIDNYTLVKAKLNNHGRLGALLQHEIIPKSLLTISGELDTKALEKSPRVGLALALKP >OMO56485 pep supercontig:CCACVL1_1.0:contig14514:17066:25046:1 gene:CCACVL1_26521 transcript:OMO56485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTWVCLGGKSLSDVTLNHLVGQMPPEWPSSKSEYLSITVKHLSRCISGYFGNITTLRLIGAYAGHGAVCLKDSEGKLWVGESGHEIVEDVTATKGNEVEDYFLKGELLMGIYENVFERPISIQKESIPIALTWSDILFRAKNRTRRTAAFCIPSLEKIDQNNNVIQGADGAQKVYNARLPMKQRYLKIRYRYNVADASRWALIMDAGTGFTSQVYELSLSLHKGWIMDQWEKNCYISSIAGYTSGSSLVVMSKVDKTKTGEGFYVTSMATAGSRWGVVMSRNAGFSDQVVELDFLYPSVGIHRRRDSGYRITSTAATSDQAAPISSTTKHKPVDETQETLHTAQFLSIHVKIYGQNAIVMNESMVANNAVRMINVTTVGEAFLKTRDLLLRAICLGFVSEHTLAMGDSKAVVINEDENKKKKGPHSAGRIPEGFNMQVKNSSESGGGPKKGMVLPFQALSLASIWGYAGGFIETSVFEKKRWTFSMGSLSPEIYELQMLSESQGDESHLLLLNHLARKDTIYGAYRFAMVQIIAAMDVSRYCISSLNVQLQLHFFPCIKLRSDFEHGILHILSVAVVSLLVLLMKVVLVAMRRVWNVRNGSCYFEWGTNGGYFDNVDNNRSDRRGSGRYSKWPSAANEVVLMEMRVWNVRNGSCYFEWGLESIYLPFTIHVVKRCPCLLSTFLSVKNVMCLL >OMO49331 pep supercontig:CCACVL1_1.0:contig16536:745:807:-1 gene:CCACVL1_31071 transcript:OMO49331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVAEGLVSVYLLRVAFDFTSE >OMO90891 pep supercontig:CCACVL1_1.0:contig08411:4704:5111:1 gene:CCACVL1_07264 transcript:OMO90891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDDEELNRNVPTLDLDRLEVVSALGRGAKGVVFLARDQVEDEILALKVISRDSIEKKSNKGVTNSPGNGGNEYRRVSFEQQVLKNFSHPLLPRLRGLLATDKVVGYAIDYCPGRDLNSLRRKQTEKMFSDDLIR >OMO98327 pep supercontig:CCACVL1_1.0:contig07123:19625:24443:1 gene:CCACVL1_04251 transcript:OMO98327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNDESLKIAIAISLLRSKLIRHPPPPPSQSDALKWKRKAKERKQEILRLRDDLKEAEDASQCDLFPQAASCKCYFFDKLGILSPNRVGDASDRRFNDVLRRRFLRQVRLKERRKTAGSSLKRHFCLNITGFNDEDQAEQLRAAVDFLVDLCDTSSPVQVSKFENWSHQAVDFILATESFDSVVFEEWAASLVQARKALEVLENRNGLYALYMDRVTGELAKIVGQVPLLQKLNSDIIDALFR >OMO98328 pep supercontig:CCACVL1_1.0:contig07123:44100:44366:-1 gene:CCACVL1_04252 transcript:OMO98328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPITLSLQENKNTNLEKQQIKRKQEIDAKEKRRPRKGTVSKSKKTQRKRAKDTVRHRNYKTEKIQDESLRNEKKQSEEDEETMDKP >OMO98329 pep supercontig:CCACVL1_1.0:contig07123:45466:49361:-1 gene:CCACVL1_04253 transcript:OMO98329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSLLLLIIALNLLSRQVVVGDIGTAASYNPPYLPTKCNGNREDQFPAGNLFVAVSEGLWDNGAACGRRYRMRCLSGNRRPCKADTVDVKVVDFCPISPCPSTILMSNDAFATISRSGQKINVEYVQNFWEVGWTAFHVEKKDYRNIL >OMO98326 pep supercontig:CCACVL1_1.0:contig07123:12183:17203:1 gene:CCACVL1_04250 transcript:OMO98326 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G-like, type 3 MQQGDQTVLSLRPGGGRGGSRLLGGLSSSSSSSSSSSLAFGSLSSDLPLFRPHGGAPPPFSIQAGDSRFEGRERVRYTRDQLLQLREAVEIADEILRIKREIDVELFGEDQNWGRGESNPPTQSQNRYSEPDNRDWRNRSSQVPAAGEERSWEALRDREFVNRPEANQFNRQDQLNSQFSRAQISSHQGGGPTPALVKAEVPWSARRGNLSEKERVLKTVKGILNKLTPEKYDLLKGQLIDSGITSADILKGVISLIFEKAVLEPTFCPMYALLCSDLNDKLPSFPSDEPDGKEITFKRVLLNNCQEAFEGADKLREEVRLMTAPEQEMERRDKERMVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGQDVKACPEEENVEAICQFFNTIGKQLDESPKSRRINDMYFSRLKELTTNPQLAPRLRFMVRDVLDLRANNWVPRREEVKAKTITEIHSEAERNLGLRPGATASIRNSRVAPGGPMSPGPGGFPINRPGAGGLMPGMPGTRRMPGMPGMDNDNWEVPRTRSMPRGDGSGVQLGGRVPPPLINKSASMNTRLLPQGSGGLMSGRTSALLQGSSTPPARPSNSILGAEPVAQPPVYAKPAPVSPVVEKPQVPAASLNTDDLRRKTKALLEEYFSIRLLDEALQCVEELKSPAYHPEVVKEAINIALEKSPPCVEPVTKLLEYLFMKKVLTARDIGTGCLLYGAMLDDIGIDLPRAPNNFGEIIGKFVLAGGLDFKVVKEILKKMEDDYYRKVVFDATMRIVSSDPSGQALLEAQAADVEACQKLF >OMO73618 pep supercontig:CCACVL1_1.0:contig11208:11304:12401:1 gene:CCACVL1_17200 transcript:OMO73618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLAESEIEIFFPQKTNPSKFSFTNCSKTAAKIAVARIKLLSNKRQAMVKQMRRNIILLCQDATARIRIKRGTKFPLPLLRTHQRPPISNSLFSGSGRKEAIVEEEELMF >OMO69412 pep supercontig:CCACVL1_1.0:contig12037:7962:10947:-1 gene:CCACVL1_19518 transcript:OMO69412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSDPTCVVNLILVVRQHRKRIMGTKDGNGNWVTEPNAIEKIISDYYRDIFSSSCPSTKSIDAVLEYMDERVDDDMRALMNDDFTREDIRQAAFQMEEAKALGPDGMTPTFFHQCWHIVDEDITSMSLAFLNEGDKEGVIRGVSVARSVPRVSNFFFADDSILFLRAGLFDCSESFIHELNMVIANFWWGSTDSKRQIHWKSWESLCISKSDNTLNWNGSSLGIFSIRSVYYMAHRILGCEVQQEEGMRRFWKIVCNSNVMPKVKYFIWRLVRDILPIKLVLQCRGLTIEDICDVCGDQDLAFMFSSTAILVR >OMO69413 pep supercontig:CCACVL1_1.0:contig12037:15891:17169:-1 gene:CCACVL1_19519 transcript:OMO69413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGPDDEEDHKEDIKWPPWLRPLLQTSFFVQCKLHADAHKSECNMYCLDCMNGALCSLCLAYHKDHRAIQIRRSSYHDVIRVSEIQKFLDITGIQTYIINSARIVFLNERPQPRPGKGVTNTCQVCERSLLDSFSFCSLGCKIVGTSKNFIRKKRMSKETDGSSDAESLNGVSNGSTKSKLQSFTPSTPPPTAVNYRTAKRRKGVPHRAPMGSGLIIEY >OMP05837 pep supercontig:CCACVL1_1.0:contig05186:5403:5483:1 gene:CCACVL1_01814 transcript:OMP05837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQRFQNHKQQTQSVLSDFVVLELE >OMP05838 pep supercontig:CCACVL1_1.0:contig05186:17816:17923:1 gene:CCACVL1_01815 transcript:OMP05838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGRGLEIDGFKNMVMEIGGRFKRMPVVFFKKLMK >OMO87277 pep supercontig:CCACVL1_1.0:contig09258:9869:13272:-1 gene:CCACVL1_09151 transcript:OMO87277 gene_biotype:protein_coding transcript_biotype:protein_coding description:maltose excess protein 1, chloroplastic-like protein MADSLFSPLAAKAPGDYSSLVFRNCPFSSRLLTPKSFSLQLGGSKGKLNVLAPSQYSLVSIRRRLDPICALDSDVPHPLRKGSVNFKSTKNYEQWDSLTAKFSGGANIPFLLLQLPQIILNARNLLAGNKTALFAVPWLGMLTGLLGNLSLLSYFAKKREKEAVLVQTLGVVSIYVVLTQLAMAEAMPFPYFVATSVVIGAGLILNFLNYYGKLNATIWQFWEDFITVGGLSILPQIMWSTFVPYIPNSILPGAISFVLALTAVTMARMGKLSEEGAKFVGAISGWTATLLFMWMAVSQMWTNFLNPDNIKGLSATSMLLAMIGNGLMIPRALFIRDLMWFTGSTWGTLFYGYGNIVSIYLFNNISREFFLATTMGLFLWLGMTLWRDSVAYGYNSPLRSLKELVFGP >OMO87276 pep supercontig:CCACVL1_1.0:contig09258:8168:9337:1 gene:CCACVL1_09150 transcript:OMO87276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHHLPDDLMLAILIRLPAEELVKCRRVCKNWRALISNPEFIEKHFNLFSPIVFVQYCPMDHRERKKLKFYFLDEKLKENTKKVNCNALFHKASYKYPLLIDSCNGLLLFRDSDSKILVCNPVTKQVVKLRDPSPFPDLYSWEKEIGIFFHSSTMEYRLLFARRVKGDIGGDKEYFILTLGSKSWRRLGTFSRHAGYQKPFVVHDKFLHWVGRPNYTCKNSILVFNMDTEEFKALPHPSFKCPCPWEMSEYCYQRMSLTKMEDNGLSLCVSEFEHTNIISIWVLKDHGNWMWDRMYEVELDWDVKRTRNCFQCYEFPIIQGTIIKFGNIHNKELLLVTNTGQVFQYNIQTRSVREIQIRRSWTANLETELVMRKLQREFGLFEGFICSC >OMO87278 pep supercontig:CCACVL1_1.0:contig09258:16075:17754:-1 gene:CCACVL1_09152 transcript:OMO87278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MATLSTSLTFTVQKCKPAELVRPAKPTPRECKLLSDIDDQESFRFQAPLIQFYRYNPSMQGKDPARIIREALAQALVYYYPFAGRLREGPNGKLMVDCTGEGTLFVEADADVSLEDFGDPLQPPFPCFDELLYETPNSQGMLNSPLLIIQVTRLKCGGFVFAIRFNHVMSDASGMMQFMFAMAELAQDHHHVPSIFPVWERHFLNARDAPRVTFTHHEYDQVEATNIITPSANMVQRSFFFGPKEISTLRRLLPNHLRKCSRFELLAACLWRCRTIAIKPDPEEDVRMLIMYDVRSKINPPLPSGYYGNVVVCPAAITKARKLWQNPLGYAVNLVKKTKGSVTEEYVKSVADLMVIKGRPHYPLLNSCAITDLSRARFDDVDFGWGKALYGGLASATMGVGQTSFCNRVKNNKGEEIGIVMPICLPAPAMERFAKELDSMLNEHHQVDKLEHKSVFISSAL >OMO89617 pep supercontig:CCACVL1_1.0:contig08658:20765:25484:1 gene:CCACVL1_07734 transcript:OMO89617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MASDPEEPRLSSLGRVYSLLYSIWVYFFIFFNCLGDKKMHEAVGKSSGEIGTEHEPLINGTHSSENYSVSSAILPFLFPALGGLLYGYDIGSTSCATISIESATLSGISWYDLSSVKVGLITSGSLYGALIGSVLAFNIADFLGRRRELITASIMYLVGALVTAFAPNLVVMVIGRFLYGIGIGLAMHSAPMYIAETAPSQIRGLLISLKEFFIVLGMVAGYGIGSLLVETVAGWRYMYGASTPLSVIMGVGMWWLPASPRWLLLCAIQGKGNMQELREASIHCLCKLRGQSIGDSASEQVDEILNELSNVGEEREATLGELFHGKCLKALIIGGGLVLFQQITGQPSVLYYAASIFQSAGFSAASDATRVSILLGLLKKSFSLTFPKAYEYSLVFTDNGNASAGRSFWHVLVLHGAAVVVVDRLGRRPLLVGGVSGIVISLFLLGSYYLFLNDVPAVAVVALLAYVGCYQKTCIPSLPYGFMRLLVTSETVIDKTLL >OMP10009 pep supercontig:CCACVL1_1.0:contig02830:78:422:-1 gene:CCACVL1_01022 transcript:OMP10009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPEIAVVGAGLFVQTEYIPRLAEISDLLTLKYIWSRTEESSRAAVEIAEQHFPGVECKWGEQGLNDIIQDASLLAVAVVLASQAQ >OMO95190 pep supercontig:CCACVL1_1.0:contig07723:3217:10623:1 gene:CCACVL1_05508 transcript:OMO95190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPTSNSSSRPGDNPSSPYFIHSSENPSLVLVSSVLSGRKRYGDNLRIHMGEVAPIKPAAVIKPVYVEIVESKSEENQPKTLLVEELDFLIEDEVDSKKIEFIEEKIKFEASEKAVMKEEKIESETIEKVYMKEEQEEITCDMTSNFNQLPVERFVDFTMFIVLNLLSSNPVAIFGEICFFQQASLRILKLSLCRHSSSSQPTMAASSQRERRLQKAGEIPCLLYDAWVEVDDTLLEFNKVDTKGSAAARQGQYNLLEEFIAGSEDPAALLDAALMSWSLIRRGDFTAAPWHVGHHTFLTAFGEITVTLEDVAAATLLPITGDVDPCFIDLTPQETKTLTVLTDLISRFVFGGYPYNRLMSELATLAVKISCGYSVPLLGTLYHHLDTFHEDELQGVGRYTIDTFMNVPVLQSFIWEHYPKLAPEFVDKETMDKNYDSEWEFDDSRCEKYPRICSWVNKKPLFEPRIHSMFDDHGSFAFRPYIHYGYSPSVPSHILIPPISEQLAVTADSNPHENLYHAAIRRFLTAFQVDSFQDGRTFKFMGNAVMHIMIPAMIEHWEGCCVALRSFIELGPQPITPLPPNQTGNPRFVNHSTLQTYMERHFTTGVFKQKDSHWWAFGNLPYPPPSHILCHGGIEKFANHDAKRWRMEYLGSKMSLGLDEGDAELIATLLSLNKAESRKPIPSLQEPVKSVKEPAKKRKKSGGSSGPSKKKYKTLASLTKKASVAAAVLAEAMTTDIPVPSSRPTMTKFYGTRSKNKRVQESPATEVEELMLAGDAAGSVRADFDLNQIASEVDKSVEDPPLKGPESKTKGKVQDEPEVAMAEEEENLDSNPGSDLEEDDDGFEEIEGSSDEGVDGDDEDYEPLGFTVEEAEMLSQERISEPLDVDSGIGPVRGEVLPEQVIEGPLAASGDIPMTNIVDAGSGKSAAEAEASGAAVQSKESGKVPYRTLLVGKASRPSSFFHSYMGKGGTMVPVENTHFSVFSHLKPIAEKIIRAYPDFLANVGPFSAGAAFALGLLCQALEAKGFRFDVEPFQHHVFYLAKVFCGRDAYSSSEVEQNKAYTLELNKLKEELKQHEERVAVLKRTIQEAEEAHKKKLAGVLNSDCIPAFLHKRNKTAAFTFNE >OMO71218 pep supercontig:CCACVL1_1.0:contig11702:8000:8203:1 gene:CCACVL1_18353 transcript:OMO71218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPISRSPKNEAFHKYLKPGALAQLRDSKINAKSHKLNSVQLDPVPTQGSSTRLAVHVAPKEEAICC >OMO71221 pep supercontig:CCACVL1_1.0:contig11702:13503:13790:1 gene:CCACVL1_18356 transcript:OMO71221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNGFIPSSKSYNSLVNALALAGEVEEAVLYLREMIEKRKSADFVTYRTMLDEICRRGRVEDAMGLLKELQAKDLVDGHTYRKLLYAIEDDSGN >OMO71223 pep supercontig:CCACVL1_1.0:contig11702:16310:22640:-1 gene:CCACVL1_18358 transcript:OMO71223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavodoxin MKMNSKKLLILYATQTGNALDAAECIAREAERRACLVAIRSTDEYDASSLPEEDTVIFVVSTTGQGDTPDSMKVFWRFLLQRNLGSHWLEGVHYAVFGLGDSGYQKYNFVAKKLDKRLSDLGATAVIDRGLGDDQHPSGYEAALDPWMALLWSRLSEIKPNFFLEGPDFLNVELIGQPKFQVSYHNVDNMDSQLSTAGDFEYLAMQIGRARSMSAGRVSHEKSKPDAFLKMIKNYPLTRASHEKDVRHLEFEFLSQVIQYEVGNVLEVLPSQNPAAVDSFLQRCNLDPESFITIHPREMENHHLDNNVNTLEVPIKLKTFVELTMDVASASPPALFLRGKILYVMSFFATAEHEKEKLQYFASPDGRDDLYQYNQKERRTVLEVLEDFPSVQVPFEWLVQLVPPLKNRAFSISSSPLVHPNQVHLTVDIVSWTTPFKRKRGGLCSTWLASLDPEQRICIPVWFQKGLLPRPPPSLPLILIGPGTGCAPFRGFVEERAAQSLYGSIASIILFFGCRNEEDDFLYKDFWLSHSKNDGVLSEAKGGGFYAAFSRDQPQKVYVQHKMQEQSQRIWNLLCEGAAIYVAGSSTKMPSDVMLAFEEIISKESGAPRESAVKWLRSLEKAGKYHVEAWS >OMO71222 pep supercontig:CCACVL1_1.0:contig11702:14903:15166:-1 gene:CCACVL1_18357 transcript:OMO71222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRSMCKNCNITELLFYSPSTLFSCRLRDLGSSWILLLNTLVLMTPIATVWRTSITAKRPKGGVFRESLHNHGLGGNHLHHTSITH >OMO71219 pep supercontig:CCACVL1_1.0:contig11702:8772:8855:1 gene:CCACVL1_18354 transcript:OMO71219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAKAAAAEVAVARVGLEREKGAALF >OMO71217 pep supercontig:CCACVL1_1.0:contig11702:6941:7150:1 gene:CCACVL1_18352 transcript:OMO71217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHERRREAVKKQRSKAGEILHANQEMGIHGNFERVIEEIMEGEVDRDGVLQDLSCSCLASSCTAKAA >OMO71220 pep supercontig:CCACVL1_1.0:contig11702:9780:11214:-1 gene:CCACVL1_18355 transcript:OMO71220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKK >OMO97191 pep supercontig:CCACVL1_1.0:contig07263:16176:16655:-1 gene:CCACVL1_04633 transcript:OMO97191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEDFSFPTTADLFPCGIDSPPLWRLSPAASPDVFLDRKSKQEEEEECFLDIVADKDHDQERKSFSYIEKSKKVVVDSELEEDAEEKMDILWEDFNEELARSGSSKEALKLSKTNAASAMFAPRRPAGMLVFMRGFRKLFLLHNSHRSVNHRTWQTPS >OMO84564 pep supercontig:CCACVL1_1.0:contig09739:78390:78476:1 gene:CCACVL1_10773 transcript:OMO84564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCSVICCRKVLRPSSLYPDPFPEPLR >OMO84555 pep supercontig:CCACVL1_1.0:contig09739:7373:10248:-1 gene:CCACVL1_10764 transcript:OMO84555 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MLVDSGSTHNFLDCNVAKSLKLPYQKGFKKKVIIANGEAVCTAGYCKSVEWEVQGVKFCTNFFILPLHGCDAVLGVQWLVTLGPILWDFSLLTMQFELDGVWRKIQGIQQGHIELENEKRALKSLYSICAKKGPQPSALMIAASPVAELKGAEEMQPQIQAILVEFEELFGVPQGLPPKRLQDHRIPLTDESKAIKVRPYRYPSIQKDELERMIKEMLAAGIIRNNSSSFASPVVMVKKKDGSWRLCVDYRQLNDITIKDKFPIPLVEELLDELVAARWFSKLDWRSGYHQIRMDECDVHKTAFRTHEGHYEFLVMPFGLTNAPATFQSLMNSIFKPYLRKFVLVFFDDILVYSATFEEHVNHLKTVFEILQSNQLFVKKSKCDFAAKEVEYLGQVISNGEVYMDKKKVESILDWPRPKSIRELRGFLGLSGYYRRFIKSYGVLARPLTNLLKKDAFKWGEEADEAFKQLKEGIPIAYFSKGLSPKHQSMSVYDKEMLAVLFAVKKWNSYLLGRHFVIKTDHQSLRFLSSQQATTPAQQKWIAKMMGYSYDVIYRIGATNTVADVLSRKPTDGEGKLVVGNQAELRKELLGYLHNSVVGCHSGINATTSRITSVLYWKGLRKDVKEDISMDFIEGLPKYFGKDTILVVVDRLSKSAHFLALSHPFSAISIAQIFMENVYKLHGMPQSIVSDRDKIFVSKFWQELFKQLGSSLKLSTAYHPQTDGQTEVVNRCLESYLRCMCHESPKEWSKWLHLAEWWYNTTFHSSIQTTPYEAMYGQSPPLHQPYLAGESNVEVLDRSLKARENAIRMLKFYLEMAQNRMKSLADKSRIGKEFDVEIEFL >OMO84556 pep supercontig:CCACVL1_1.0:contig09739:17362:18936:1 gene:CCACVL1_10765 transcript:OMO84556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQLLLFLQLPKPPPLTSLPLLNLNKSLNPSNNNIVRCNPTGSNKTGGQFRFDPQNFDDYDEDDEFEFSSSARQRTWWSDSDDVWDFDDDDEFWVFKVFRAFGWMLPAIVISLLLGTGPNAFIMALAVPLGQTALSLVFDKVSGSTSKRWRPTPRPKTKKKPFTRSANNVRTNKEQQEAKKNGQEKANYSSWLNMDSDIRDNSAKRSPKFGGWDQLDDQVDTQKRAPSQKRNGIPKQQKKTKYSRLGRVRETPLLLRLLIAVFPFLGSWTRFLF >OMO84559 pep supercontig:CCACVL1_1.0:contig09739:38609:39055:-1 gene:CCACVL1_10768 transcript:OMO84559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDHHEDMVVLAFCTHIGCAMTKISRFYGSSSNFFLKLFFDNKDITFVGVHIKDDIKRLEKCFGLQIRNAVDITELAADVLHQPRLRAFGVRKLASKVLLVSFQARSSSMDQDDCTRNRNMQIIEDRIECATIDAYAAYKTGKKLLGV >OMO84560 pep supercontig:CCACVL1_1.0:contig09739:42566:42667:-1 gene:CCACVL1_10769 transcript:OMO84560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAPEESSLESLTWSLDKVMWGVDSAADFGHE >OMO84562 pep supercontig:CCACVL1_1.0:contig09739:66388:66744:-1 gene:CCACVL1_10771 transcript:OMO84562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYSFRLIRQFFDNKDIVFVGVHIKDDVVTLRNCYGLIIPNAVDLSEMAVDVLHEPRLRAFGARLLASEVLLEPFKARSASVARANWVTEELTHEQIECATTDAYAAYKVGKKLLRV >OMO84557 pep supercontig:CCACVL1_1.0:contig09739:20845:24936:1 gene:CCACVL1_10766 transcript:OMO84557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiaminase-2/PQQ biosynthesis protein C MAIPPKSAAAAVIPTEEGLARRFWINFRRESVLSLYSPFAICLASGSLKIDTFRHYIAQDVHFLKAFAQAYELAEDCADDDDAKLAISELRKGVLEELKMHDSFVQEWGSGSVKESPVNSATVKYTEFLLATASGKVEGLKAPGKLATPFEKTKIAAYTLGAMTPCMRLYAYLGKEFQALLEPNEQDHPYRKWIENYSSESFQASALQTEDLLDKLTVSLTGEELDIIQKLYHQAMKLELEFFYAQPLSQPTVVPLTKEHDPAQDRLVIFSDFDLTCTVVDSSAILAEIAIVTAPRSDQNQPEGQIARMSSAELRNTWGLLSRQYTEEYEQCIESILPPEKVEFNHEALHKALEQLSDFENRANTRVIESGVLKGLNLEDIKRAGERLILQDGCISFFQKIAKNENLNANIHVLSYCWCGDLIRAAFSSGELDAPDLDVHANEFAFEESVSTGEIVRKVQSPIDKSQAFDDILQGCSDDRKNLTVYIGDSVGDLLCLLKADIGIVIGSSSSLRRVGSQYGVSFVPLFPGLVKKQREYAEGGPLIWKKQSGILYTASSWDDIHAFILGW >OMO84558 pep supercontig:CCACVL1_1.0:contig09739:33641:37490:-1 gene:CCACVL1_10767 transcript:OMO84558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MKFSRLWILSLILLITIQSKAALGARAQPSSTEAYVTLLYGDEFLLGVRVLGKSIRNTGSTKDMVALVSDGVSDYAKKLLKADGWIVEKISLLANPNQVRPKRFWGVYTKLKIFNMTNYKKVVYLDADTIVVKSIEDLFKCEKFCANLKHSERLNSGVMVVEPSEAIFNDMMSKVKTLPSYTGGDQGFLNSYYSDFPNAHVFDPNLPPDLLKSRPVPKMERLSTLYNADVGLYMLANKWMVDESELRVIHYTLGPLKPWDWWTSWLLKPVDVWQNVREQLQETLPGTGGGKNPNDELLVKILFLLPFCALLFCFYRSFSQVRGALCRTSLCNQLRHLYYLIRSRGTVAYIGVSSTPTIGSNNQSADSAQTKVPTYLGGISIVVCFIAAAVSLGIALSIVPRQVMPWTGLLLMYEWTFTIFFLLFGAFLHLVYQWGTRTATQSGSFHSRTDSFDSDSRKGHQRQASFCDTVTWFYGLGMAFLAIAAPSLPCIFGITALFLRLGLMVVGGLILASFMTYASEHLAIRSFLKGLEDRDTTRSRSPCFLC >OMO84563 pep supercontig:CCACVL1_1.0:contig09739:76103:76435:1 gene:CCACVL1_10772 transcript:OMO84563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVNRAKLHNKKYLKELYVTSMDSKVEEDVIVQALDPPSNLQVKFGDMREETDVILMEAMLLFLDAMMSSSDDEE >OMO84565 pep supercontig:CCACVL1_1.0:contig09739:80720:80860:-1 gene:CCACVL1_10774 transcript:OMO84565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVESSFGKLKLDSLEKLEAQNSTRTRRTSIFQVRARLVTNSSER >OMO84566 pep supercontig:CCACVL1_1.0:contig09739:82727:88011:-1 gene:CCACVL1_10775 transcript:OMO84566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSNFQDQAPNIESVPAVPSSDIVPLPASTLPAPIPASTPLEKPCAQPSMCASPSPAATESPSHQDQSFMTLTRRGVLHNDSRSAVITTVPILRCFNEITSSLYGNAPI >OMO84561 pep supercontig:CCACVL1_1.0:contig09739:44452:61550:1 gene:CCACVL1_10770 transcript:OMO84561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAKQQQGVYREIRDNLSQIRSKNVPSPWAQVVRSESESITVVNHSRSSWSSTTSLLPLSYRSKQLNPIAPSELK >OMO92034 pep supercontig:CCACVL1_1.0:contig08276:29389:30316:1 gene:CCACVL1_06933 transcript:OMO92034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEMSRSEPSPDFTRVCYTTADLVFTNCLNLSTIYYAASLLRRCNGGFSRLGSLIIKCRTMIP >OMO92033 pep supercontig:CCACVL1_1.0:contig08276:8376:13455:-1 gene:CCACVL1_06931 transcript:OMO92033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVALRREVEELPLIVALKREAEKLKEELKRCKTTVGRGVLTSMPTTKVDMPKPKEFKGTHFAKEVDNFLWGMEQYLQALNITDEATKVSTTSMHLVDIALLWWRRREASTPAIETWEEFKREFKPHRLRDYSKRSKLLVIAREEQQPKKEEETLKLGSILFSVEPMKRRKKGLMFVDMEVVGHKVNALVDMGASDLFVSEGVAKKLGLKVDKGQGRIKTVNSKETPTMAVAQGVELKLETWSGKENIEVILLDDYDFVVSLDFLDRIRINALLVPFADCICIHDPRCQCIVPVKRDSGNATKTLSAIELAKGVKKDEETFLAALKLDEPPMEKEQAPLEVLEVLESYKDVMSAKLPKRLPSKREVDYKIELLPNAQRPTKAPYRMTPPELEELKKQLKELIDASFIHPSKAHYGAPHLRQVFEVLWAYELYVKKEKCSFAQHEVPFLGHIVGGGRIRMDPSKVCGYAKGTSDCLLGLRKQCTTNGHPLKEMTAVVHCLRTWRHYLLGSKFVVKMDNVATSYFQTQKKLTPKQARWQNFLAEFDFEFQYKLSKANMVADALSRKAALAAISHLEMDLTARIKEGLQYDPITQAIVEHAKEGKTRRFWLENDFIYTRGNRWTAALLADFGRSCSSSWGYPEPASITVLNLAAYKFAKEWQEQADLAHVCLHKAAKRTKKWADKKRRDMEYQVWDLVLVKLYTTLKNPGLHTGLVRQYKSPFRVLRRVGKIVYKLNIPRGYKFRMHPVFHVSLLKPYHADEEKPERSKSRRALFRVKTRGNPKMRRVGKLVYEGPFRVLRRVGKLVYKLNIPRGYKFRMRPVFHVSLLKPYHADEEDPERSKSRRALFRVKTRFEKEVQAILAERAVGRKRKGRERKKEYLVLWKGPRPSDASDEAIRARHAEEFLETIDIHRTSMRLPDTWVTYWPKMYEACAQQGPSVRDPLSGPCAAGGPARGTGH >OMO54610 pep supercontig:CCACVL1_1.0:contig14942:41230:49743:-1 gene:CCACVL1_27719 transcript:OMO54610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVNTITNTSLIMKNPQRSAGGISVG >OMO54609 pep supercontig:CCACVL1_1.0:contig14942:8363:9238:-1 gene:CCACVL1_27718 transcript:OMO54609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MAQPQTLRELNFNCELPPLCIDYPAMDMSYKLKSGVIRMLPIFQGESHENPHEHLQGFFMKCATITGMPEEEVKLRTFPLTLEVRARDWFLRLPPKSINSWKKMEAVFLNKFFPEAKRADVRKKITTCQQLHDEKWYQYWERYNKIYVICPYHNLSESLLIQNFYDRLLYDDLMYIDSASGGSFTLKTPEEARKLLDTMAENIHQFSTTNEVDTTKESEILEIPKELSSLAKMFADFINTQSRVSTQIPSYLPQKHMNENILGCRINAIGETDRLLIKSQDKFHTNQLTNI >OMO92800 pep supercontig:CCACVL1_1.0:contig08169:4752:6294:1 gene:CCACVL1_06744 transcript:OMO92800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIDLIEEQKLSMRLLKMVGITETCNGAP >OMO67864 pep supercontig:CCACVL1_1.0:contig12361:16923:19404:1 gene:CCACVL1_20255 transcript:OMO67864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MQKKDEEIFSKFSKGLKSLVRRKQVDSVHVRREGHQLARKLSALDLTAIGVGTTIGAGVYVLVGTVAREHTGPALAVSFLIAGLAAALSAFCYAELASRCPSAGSAYHYTYICIGESVAWLIGWSLILEYTIGGSAIARGISPNLALFLGGEDKLPGFLVRFTIPQLGVVVDPCAAVLVIIVTILLCAGIKESSLVQTVVTTVNVCAMVFIIIAGGYLACKTGWVGYENHSGFFPFGVNGMLAGAGVVFFSYIGFDAVTGAAEEAKRPVRDLPLGIGMTLSLCCILYMLVSVVIVGLVPYYALNPDTPISSAFSANGMQWAAYIVTAGAVTALCASLLGSLLPQ >OMO67862 pep supercontig:CCACVL1_1.0:contig12361:5431:5505:-1 gene:CCACVL1_20252 transcript:OMO67862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKLERGKRASFIRLYLRVMYA >OMO67863 pep supercontig:CCACVL1_1.0:contig12361:10832:15280:1 gene:CCACVL1_20254 transcript:OMO67863 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MPSPLGSPTSSRSVTQTVNGSHRFTIKGYSLAKGMGVGKHIASESFTVGGYQWAIYFYPDGKNPEDNSTYVSVFVALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRAMLETSDFLKDDCLKINCTVGVVVSEIDCPRLHPIQVPESDIGSHFGMLLENEEGSDITFNVFGEKFHAHKLVLSARSPVFEAEFSDRMEEDDDEIVVTDMEPKVFKALLHFIYKDTLIDDEEFVGTSSSGMPSVSDALTAKLLAAADKYELPRLRLMCESVLCKDISVNSVANILALADRYHAMDLKSVCLKFAAENLVAVMRSDGFEYLKENCPSLQSELLKTVAGCEEEFSGGGKSRSVWAQFSDGGDTIDRSVRQQTWENGGEQNQEMWVQLDVGDAGEGSPRQGE >OMP04342 pep supercontig:CCACVL1_1.0:contig05759:9114:9440:1 gene:CCACVL1_02169 transcript:OMP04342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGSDPMERVVKLASESAVVIFSVSSCCMCHAVKRLFCGMGVNPTVYELDQDLRGKDMERALMRLLGNPQAVPVVFIGGKLIGSMDRVMASHINGTLVPLLKEAGALWL >OMO90315 pep supercontig:CCACVL1_1.0:contig08496:60:203:-1 gene:CCACVL1_07411 transcript:OMO90315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEPANGHAFPPIPMTNLQQQVPVRSAPQQIRHTPSTGASSSTCQ >OMO90316 pep supercontig:CCACVL1_1.0:contig08496:374:1729:-1 gene:CCACVL1_07412 transcript:OMO90316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KFFLFAATTEMGDLNPYEEARQKRIQENQKKIEALGISNMANSLAQGKKSK >OMO90317 pep supercontig:CCACVL1_1.0:contig08496:8821:10584:-1 gene:CCACVL1_07413 transcript:OMO90317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICKDKFLIKSTVAHARTIDEDISSATFVKDDGRYPEENLLKVVLVMPPQSEGVKAVEDLKLIKGVLEEDLKVVKGVVKDIVEKVNSKVNELELLLLDAEAKHSFFLMENETLKQKAVVLGKEVMKMANALHRSEQERGTLHQEVTLLRTKTKKKLSSELPSDMNDLPPLTRIVIMNFEDILQLAYPAGSLSEAWITISSILDAVSTTTQHNIQIILDLVGPTTSEIASTSWESEDHPQQSNSARFNC >OMO83348 pep supercontig:CCACVL1_1.0:contig09900:12426:12530:1 gene:CCACVL1_11418 transcript:OMO83348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSASSRDAGYISGARSSSLNESGPVSLTAYNAL >OMO83346 pep supercontig:CCACVL1_1.0:contig09900:114:227:-1 gene:CCACVL1_11416 transcript:OMO83346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYFAWLHGNNDVRATRYRFARKWRIATCEAKSFLA >OMO83347 pep supercontig:CCACVL1_1.0:contig09900:2761:4497:-1 gene:CCACVL1_11417 transcript:OMO83347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKAPTTLFLVFLCLVFTVFCSLLLSRNAISLSELRFHPQNINARPKDPDYSLNHVIAEDSINHLTHRTRHVSSIQGASVSVSVDSVSVLLPDWEVLVLVSPVAAQLTSPAHSGESFYCLFPNKETSPANFSGVLPFSNATAFKCILPEGNRRHKPFRQPVLTRSPEKEFAVVSPAPVMPRWDFLVYESLSTETDVILFAKGVNNRQGINRPPEELSCVFSNEVKNTTVKTPVTVSMQEVFRCQHPESTAAAENLVKVSLEIKREKQVIPSVAYYINPRRTEATTQRAKSKICATTMVYNVGKYLREWVMYYSKIGVDKFFLYDNQSNDNLESIVKELNQEDDSYNIQRILWIWPKTQEAGFSHSAVYGKDSCTWMMYVDVDEFIYSPSWLLNNSTQPSKNLLPSFLPSPSNQPIGQVSINCNDFGPSEQRKHPAEGVTQGYNCRRRVEQRHKSIVRLDAVDDSLVNVIHHFGLNKTSGYGWKYLPMEVAAVNHYKYQAWPEFMTKFRRRVSAFVADWTTAVNPNSKDRTPGLGFQAIKPQRWEKMFCEVKDERLKVLTQRWFGFETPEGLKMAWQT >OMO84080 pep supercontig:CCACVL1_1.0:contig09797:9306:13069:-1 gene:CCACVL1_11008 transcript:OMO84080 gene_biotype:protein_coding transcript_biotype:protein_coding description:snurportin-1 MAPHDLRRPFKRAAISDQQKRRELSLLRQAQNRRDAQQQARCLASSILSLQSPVPESEPEPEQPDIELETATEAEQESGASSKDLDVRRASKLRRPQVRKWFARQLMLPEWMIDVPDRLSQDWYVLARPSGKRCFVVSSNGTTVSRQRNGSILHHFPSALPAGAKTRDGSGSAQSYCILDCIFHELDQTYYVIDVVCWNGYSLYDCTAEFRFYWLNSKLEESGACSPPSYYHKYRFSAVPVYNCDQDGLYTAYTGAVPYVKDGLLFYNKHAHYQTGNTPLVLVWKDENCSQYVIDTDSKGEIPSQQQVVLELQDNRQLVTSDDPPVVFGCLDADMIQQSGLDSGNLLRFAFSDGGLSFSDGKLEKADLNYLGKSNRARAFADSYSKVLFQYTVRHSPLKIDDLLASINSPDDQENKSCDIEMAG >OMO84079 pep supercontig:CCACVL1_1.0:contig09797:5196:8872:1 gene:CCACVL1_11007 transcript:OMO84079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVFWVFVLLGTNLLNFGRADIHGGEQPLAKINILKTTLAFHYSSAQLTAQPSLLGSKGEDTQWVTVDIVNHHPTVDDWVGVFSPAKFDPSTCPADDIEEPYICSAPIKYKYANESDSDYLKTGKGSLRFRLINQRADFSFALFSGGLFEPKLVAVSNFITFANPKAPLYPRLALGKSWDEMTVTWTSGYDIDEAVPFVEWAKKGDLPVRSPAGTLTFKQNSMCGAPARTVGWRDPGFIHTSFLKNLWPNFQYTYRMGHLLTNGTVVWSKTYSFKAAPYPGQTSLQRVIVFGDMGKAERDGSNEYANYQPGSLNTTDQLINDLENFDIVFHIGDLPYANGYVSQWDQFTAQVEPIASAVPYMIASGNHERDWYNSGSFFDTDDSGGECGVPAETMFYYPAENTAKFWYSADYGLFKFCIADSEHDWRKGSEQYQFIEKCLASADRHKQPWLIFAAHRVLGYSSNYWYGQEGSFEEPEGRDDLQRLWQKYKVDIAFFGHVHNYERTCPIYQNQCVKKGINHYSGVVNGTIHVIVGGGGSHLSDFSSLTPFWSLYRDYDWGFVKLTAFNQSSLLFEYKKSRDGQVYDSFTISRDYKDVLACVHDGCEPTTLAT >OMO84081 pep supercontig:CCACVL1_1.0:contig09797:13572:15683:1 gene:CCACVL1_11009 transcript:OMO84081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPLARYQCISLDQIHTSSSFPSSNHKVLRTKPFFSGYCFRFGGRKLNNPFDKIKCVSPEQGLQPRRPKPKPSRTSDPELKGTEETRVKKPSVGICSQIEKLVLCNRYREALELFEILEIEGGFDVGLSTYDALVSACIRLGSVRAVKMVCGHMINNGFEPDQYMSNRVLLMHVKCGMMIDARKLFDEMPERNFVSWNTIIAGLVHIGDYLEAFRLFMIMWEEFSGCGIRTFALMITASAGLELISVGRQLHSCAVKMGVNDDVFVTCALINMYGKCGSIEDARWVFDDMPQKTTVGWNCIIAGYALHGYSEEALSMFYEMRDSGVKMDHFTFSMIFSICSRLASIEHAKQAHAGLVRSGFGLDIVANTALVDFYSKWGRIEDAQRVFDRMPCKNTISWNALIAGYGNHGRGAEAVDLFEQMLKERMRPDHVTFLAVLSACSYSRLFECGWEIFQSMSRDHKIKPRSMHYTCMIELLGQEGLLDEALALIRGAPFKPTVNMWAALLTASRVNENLELGKFAAEKLYGMKEHKKLCNYAVLLNIYKRSGKLKEAATLLQTMERKGLIMLPVCSWIEVNKKTHVFYTVDKRRIQTNEIYQKVDSLILEISKHGYVPKEKTFLPDVDEQEQHVLLYHSEKLAIAFGLLNTMNGSPLQIMQNHRICNNCHNAIKLIALVTRREIVVRDASRFHYFKDGSCSCGDYW >OMO84082 pep supercontig:CCACVL1_1.0:contig09797:16478:16540:1 gene:CCACVL1_11010 transcript:OMO84082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAASSAKCSSSKMTPTSTP >OMP06531 pep supercontig:CCACVL1_1.0:contig04902:5404:5574:-1 gene:CCACVL1_01528 transcript:OMP06531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLKHLHTCMNIIFGKAAPKHGSAYKPRPKAPLLMSTHRNLVDAQVIVAGLTMGS >OMO98535 pep supercontig:CCACVL1_1.0:contig07099:5231:6248:1 gene:CCACVL1_04195 transcript:OMO98535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRWDFGCYLNVGLDSSAERIVQGSPLRLKLKEKEDFDKEEV >OMO98537 pep supercontig:CCACVL1_1.0:contig07099:11602:15239:1 gene:CCACVL1_04197 transcript:OMO98537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter NIPA MGEWVIGAFINLFGSIAINFGTNLLKLGHNERERHSLMDGEGIAGKIPLKPIIYFQTWRVGILFFMLGNCLNFISFGYAAQSLLAALGSIQFVSNIAFAYFVLNKMVTVKVLVATAFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNITFLFYCLILVLVVALHHTIYRRGELLHTVSGQDLRPYWKMLLPFSYAIVSGAVGSCSVLFAKSLSNLLRLAMSNGYQLHSWFTYSMLLLFLSTAGFWMARLNEGLALFDAILIVPMFQIAWTFFSICTGFIYFQEYQVFDALRTTMFILGMMSVFIGISLLAPDESRGGELKDNSHLDSVKSSSNPAETDRLILPSEDAQNKEMKSFTHGMMMKIADMLGKAKSAFSLSLGFGEDSINASAVLVMPMVSSKITGFRGGGFERSRIFSMRNSSWSRVPMDEDDVKLL >OMO98539 pep supercontig:CCACVL1_1.0:contig07099:21158:21808:-1 gene:CCACVL1_04200 transcript:OMO98539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHTRTPAIAKRLWKVLRITFLMIRKGLIAKRKLMMDMNLMMKRGKLLRKSLSNLISHHHHHSSSRRGSFGIQEYEFSCSNSPNPVFFHVHKQRKHNNYFPCINAPDVIEEQLDDIDPKAVVLLPKTPDHYTFNFPITAADHINASDQFGSGDKLSPLLLSPFSVRVSNYSSEDDETDIAPNRSQVDDEAEEFIKRFYEQLRAQSRIQLLQYQQG >OMO98536 pep supercontig:CCACVL1_1.0:contig07099:7987:8115:1 gene:CCACVL1_04196 transcript:OMO98536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRIWDHHVKSCDAEENYLKACGRCDKACDRAHEAAALCIS >OMO98538 pep supercontig:CCACVL1_1.0:contig07099:18268:18754:1 gene:CCACVL1_04199 transcript:OMO98538 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MESSFIEIKVISCKDLKAFNFFQKLSVYALVSIARDDDKKVDEKQQQRTPTDREGDGNPEWNHTVRFDLSKALLQDLDNLLIHFDLRHEGLMFGYKTIGEVRVPLKDLIQESNGVVRFVIYEVVYGRNRNPRRRRAR >OMP08953 pep supercontig:CCACVL1_1.0:contig03490:1135:1230:-1 gene:CCACVL1_01079 transcript:OMP08953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDVESEMKDSEIKQTMDLYNVIRKEALSTKQK >OMO55559 pep supercontig:CCACVL1_1.0:contig14665:10269:10604:-1 gene:CCACVL1_27185 transcript:OMO55559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLRYTSSEPVPDVLHKDILSLLPVKTLMRFRCVSKPWSSLINDRDFSKSHVQRYMNGGINTTNEFTLLIPSSLGLYCMDSNSLGNMVKLKPPLQTSPVDTFNIIGSCNGL >OMO55561 pep supercontig:CCACVL1_1.0:contig14665:16549:23945:-1 gene:CCACVL1_27187 transcript:OMO55561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTNRKTTDEEEDSGRKEKKGNHMGALAN >OMO55560 pep supercontig:CCACVL1_1.0:contig14665:12370:12639:-1 gene:CCACVL1_27186 transcript:OMO55560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPVPGDVILDILRRLPTKTLVRLKLLSKHWCSHISTYPRVASLNPSSAAKHRKALFLIGIGVEEVKEKFPEEYELWTKEIESWLKKP >OMP04622 pep supercontig:CCACVL1_1.0:contig05700:5073:5549:1 gene:CCACVL1_02150 transcript:OMP04622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S14 MFRQASSFLARTTTTISTTWRMGRAFSTDVPANTASSIINPRPAFPPKVPSASMISSKISEKRNLRDHKRRLLAAKYELRRKLYKAFCKDSDLPNDLREKNRYKLSKLPRNSSFARVRNRCIFTGRPRSVYEFFRMSRIVFRGLASRGELMGVKKASW >OMO84482 pep supercontig:CCACVL1_1.0:contig09744:37198:39437:1 gene:CCACVL1_10802 transcript:OMO84482 gene_biotype:protein_coding transcript_biotype:protein_coding description:C1-like protein MNINTQQQLLIDPIIHEHPLRFHEEATEKLKFCSACRLELRGASYICTKCPDRYTQNYDRYVQYHLDPERKFYLHEKCAKLPYEIQHPSHSSHPLNLYTKYPYKGFKICDECGDIPRGFIYLCEECNFMLDVKCAAQTAQENGVSSMQHKGIESSRHHFGHEHDLLIGHCSDPINQVGCNICELPISGQAHFCPGSSCHYIVHESCLRLPEKMQVPFHAGHMMVMRSKDSQKYPNYFFRMSPPRCYACHGFFSSIVGYECEECPLYFLHSTCANSLRRPLMKLESHEHNLYYLGTDCQLLSANYNFPFNCSKCRKNCRGQPFYRCMECNDINFHLECVPLLHIVKSRHHIHPLTLKDSFIEDDSGEYYCDICEEERFAKDHVYYCEECKGLFLAHIECALAKVEYVVSYLDGQEREESRIESEPSSSDNEYDSSVNSESSYDDDEQSVQDKEEEDSSAQES >OMO84484 pep supercontig:CCACVL1_1.0:contig09744:73643:73861:1 gene:CCACVL1_10804 transcript:OMO84484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDFTFIFIYRMIGTGTKYSHIGCNNGCWHKSGDRLVAHFIVQAQQHWLANKQINKGKHGHKSGDRLVAHNF >OMO84481 pep supercontig:CCACVL1_1.0:contig09744:4463:7827:-1 gene:CCACVL1_10801 transcript:OMO84481 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing protein MALKASKKHVKEILELFKQGEPEFALTLTGDARTGKTWMAREMSKSAIKEGCCYAVIWLSLDQKYEEKSLYKTIAHQLLLLSEEEWEDSADNKKKEESADDEKKEDTVENLKKKIKINLNVKAKDQKFLLLVLDSEGEEMTEDDISNDLGLNALLKENPAISFKVLITTKKSEEGPITRESKKVEPLCGEEASSLLIESFKGRVLASESFMKLSAAIRRRSNVLPDEIIMLAGALNYFAKDKSRASELGRALESAVDAAANVQTSLLQYAYDMLPSDCMINCFWHCWHFLSKHRGVNYNELIAHWILEGYLDAADNMEMAYEMGHHILMELIDRGVLRMQEDNMIAIEAATLKIIDHHCHGFSRLANLGLASVLEDEERKVFERIKPGNSMIKTLGGDKKEEAISSLVIDGSHLCRELPETFFQTKQHLKVLAIVNPRLKTFPFSDSKMENLVVLVLRGAYLLEDCKHIAKLKALKALEISGATYLEEIPDGLFNDVPRLRSLNLSALGIKVLPSSVSNLTELRRLIVRQCSCLEELPKLDKLVMLEVIDVSQCTSLKKIQDKCFKSQLRLQVLDFSETKIEKLPIVRFLKDLTRLTLRGCKRLALLRFLKALPKLKVVDLSGAVRIEEINDDNFENTENLSVLDLSYTRIHFLPSSISNLCHLKLKGCSNLQNLPSTNKLGDLESLDLSGATSLRNIQDVSFEHLKHLSYLNLSNTKVATLPSLCNLGNLRKLLLKDCSWLHSLPEMRGLIRLEVFDLSGCKALSDIPEEAFDDMSQLQELNLSETKIKHLPSFQSLRNLHFLVLRDCFELQSLPALDPLSELEVLDLSGTKSLNEVKAESLKQMTHLQTLKLSNLAFEDISFISNLINLHQLYLNDCSSVQTQAGDVKKPSLVVYSTKFFRRLKRDPKLLEATSKVFKQLIFSIQSSKLQCQDKLESQGKAAKPEECKGLLDREMH >OMO84483 pep supercontig:CCACVL1_1.0:contig09744:42505:46344:1 gene:CCACVL1_10803 transcript:OMO84483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYFQGSNKQVVTILIGTKILRWKRRPNPKQEDQSHFWSQNLERAQSRLRANLPQVKGLKKSVKKVVSIAVPASKIAMP >OMO52098 pep supercontig:CCACVL1_1.0:contig15605:6671:10255:-1 gene:CCACVL1_29361 transcript:OMO52098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNNMNMGNMDSNSSPQNWLAFSLSNQQQQQQQQQPSSSSSHLCLFEAFTSSSSTTKSSAQEDDVTAAATAGGGATELSMFRGGPKLEDFLGTSSSSAAVAAAADHGGEVAPQHFPGETPVTLSDTDILYDSELKTIAASFLRGFSSQQADTHKLQQVPPTLPAASKKAVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGPTTTINFPICNYEKELEDMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVNSIANSNLPIGGLTNKSKNCSDSASDSKSTDDDRDQSSASSTMTFASQPPAAAAAAASSTLSFAIPIKQDPSDYWSNIFGFNNNTGKSKNVAAAPTLFHQQANNGSGFQSPSGFGMEFGGNTSATVNESSNGLFNEVGFVQQTSTSSLPFATPIAINSNNNYEGSSNSGYGSSWIAQSLHSYQTAKPSLSVFQTPIFGME >OMO52097 pep supercontig:CCACVL1_1.0:contig15605:5508:5573:-1 gene:CCACVL1_29360 transcript:OMO52097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKSDPTHQCEKFEVNNGRIS >OMO81538 pep supercontig:CCACVL1_1.0:contig10150:579:656:1 gene:CCACVL1_12371 transcript:OMO81538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKLKMKFKALFESMHCKEEEYLLMT >OMO81540 pep supercontig:CCACVL1_1.0:contig10150:76223:79114:-1 gene:CCACVL1_12373 transcript:OMO81540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine/spermine synthases family MADMNNIVSGANLHINNSRKIVSITNTNVDHEEESNCLPLSLSTTNHVEKAAPTPNGWFAEHCPIWPGEAHFLKVEKVLFEGKSKYQSMMVFQSSGYGKVFVLDGALQLTEKDECSYQEMMTHLPLCSIPHPNKVLLIGGGDGGILREISRHNSVQSIDICEIDTMLIDVYKEFFPDIAIGYDDPRVTLHVRDGTEHELFESPFFELAAKALRPGGVMCIQAESLCGVIGFLLCSTQGPKVDFKNPVNPIDPNQTSGVAKGPLKFYNSEVHSAAFCLPTFAKKGN >OMO81539 pep supercontig:CCACVL1_1.0:contig10150:46007:61149:1 gene:CCACVL1_12372 transcript:OMO81539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSRREPPATIKMPGPGPHLMYAMSSGLALTHLSKGRFTPHHTLTYTLNAFFGPDIGSFSDWLSSTLFPASSFLSSLPDAIHHPFYYVLILGLPLCVLYSCSKVDKIPQKAVISIDETNFDDSKPLLLVVCKPNILGESSSASSRRRG >OMO99990 pep supercontig:CCACVL1_1.0:contig06755:30655:30750:-1 gene:CCACVL1_03510 transcript:OMO99990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICARVTGNGGGGLWRWQKLLGMFRCMQET >OMO57648 pep supercontig:CCACVL1_1.0:contig14343:14304:14675:-1 gene:CCACVL1_25721 transcript:OMO57648 gene_biotype:protein_coding transcript_biotype:protein_coding description:desiccation-related protein PCC13-62-like protein LASSILATASARFALLRTLTFLNRDVTVSPYDFDLATLVDRAAQLVNRLGMCGVKDEGLTDPSQFGNTTNIIPLDNNGRVSSRTAREVLRVLYGTGNATAPGGVYPRGANGKIADQNFRLRLN >OMO57647 pep supercontig:CCACVL1_1.0:contig14343:2029:8013:1 gene:CCACVL1_25720 transcript:OMO57647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQGCVGFPMEERICGCNSWLAAIS >OMO70679 pep supercontig:CCACVL1_1.0:contig11798:3937:6361:-1 gene:CCACVL1_18702 transcript:OMO70679 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MEEMSPAVAVTLSLGNAMCDNSGLATHVEITRIKLVTDAANLLSDSAKVMSQETFSSSNEDGKNEVNMVIMSAPKEGGEGADMLKTLPENGNETVAASESMTHESEEDEILSVDTNGIINEGLLVLNAGSDISLPNAEIESGRILAKAIILGESSIEQVPTAEVLLTTVSPDAKTSNGFDLKASEVVIQLPSEKNLNRGSRSVFELDCIPLWGSVSTIGKRTEMEDAVAAVPRFIKIPIKMLIGDRVIDGISQSLTDLTGHFFGVYDGHGGCQVANYCRDRIHVALTEEIGSIKDSLCDGTSMETRQVQWEKTFTSCFLKVDDEIAGKVSRGVIGENGEVSDTSFEPIAPETVGSTALVALVCSSHIVVANCGDSRAVLYRGKEAMALSIDHKPNRDDEYARIEASGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAREDECLILASDGLWDVMSNEEACEVARRRILLWHKKNGVPSLVERGKGIDPAAQAAAEYLSMLAMQKGSSDNISVIVVDLKAQRKFKTKS >OMO70683 pep supercontig:CCACVL1_1.0:contig11798:21335:27170:-1 gene:CCACVL1_18706 transcript:OMO70683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1, alanine aminopeptidase/leukotriene A4 hydrolase MDQKQNIEQFKGQPRLPKFAVPKRYDLHLKLDLSACTFSGLVDINLCILEPTKFIVLNACELAVHQVFFTNSLNHKFTPCDVVLVNDDELLVLVFDEMLGTGEGMLRIEFTGALNEHLKGLYKCTYVDDGIRKNMAVTQFEPVCARRCFPCWDEPALKATFKITLDLPSELIALSNMPINDEKINGNVKTVYFEESPVMSTYLVAITVGLFDHIEETTSDGIKVGVYCPVGKSDEGKFALEVAVKSLDVYTRYFSMPYPLPKLDMVAVPEFSGGAMENYGLIIYRENEMLYNDLRTAAARKQIITIVVAHEVAHQWFGNLVTMEWWTHLWLNEGFATWISYMAADIMFPEWKIWSQFLQQTNGGLRMDAQEQSHPIEVEIQHAHSVDEIFDAISYKKGSAVIKMLQGYLGDEIFQKSLSLYMKRYAWSNAKTEDLWSVLSEVSGIQVNSMMDSWTKQKGYPVISVKSKEQILELEQSQFLSSGFHGDGQWTVPITLCLGSYDRCKSFLLDSKSEVLDISELFPSPAEKNEDEYGKASWIKVNVEQGGFYRVKYGDELGARLRKAIQKDCLSATDRYGILDDTYALCVACEQSLSSLLSLMDVYRNEIDYIVLSKLIDVCYNVLEVLKDAIPNLVNAMKEFFINLLLFSAEKLGWESAPGESHLNALMRAEVFMALAAFDHGRTHEEAMQCFQTLLNDKNTPLLSADTKRAAYIAVMRNANATSRDGFESLLKIYREADAVQEKERILRTIASTPDPDILVEVLNFLISDEVRDQDITYGVAGISLEGRNMAWRWLKENWNFIVDKYGSGFLITHFIGGIITPFCSHEKADEIEEFFVSRMRPTFAMNLKQSIEQVRIKARWVESLKQEQQSLQDLLKQLAH >OMO70681 pep supercontig:CCACVL1_1.0:contig11798:15213:17560:-1 gene:CCACVL1_18704 transcript:OMO70681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 12 MRALTVGIAFAGSYCRLTPSMYLISTAHQCHRYFSSTAIEAARRYPNLDEYYQKNACKFWDNFYKRHKNKFFKDRHYLEKDWGQYFSDDAHSSNGKVVLEVGCGAGNTIFPLVAAYPKVFVHACDISPHAIALVKSHMEFKEDRVNAFVYDVTVNNLLKRINPSSVDVITLETYLYALPVKGNAYIKTNIVKQIFVLSAVSPYKMPLILQNIKRPGGHVLLRDYAVGDFAQVKLNNKNQMISEGFYVRGDGTCSFYFSEDFLSTLFLQAGFNTVDISTYCKQIKNHRRNVTMDRRWIRAVFNLG >OMO70686 pep supercontig:CCACVL1_1.0:contig11798:46905:78943:1 gene:CCACVL1_18709 transcript:OMO70686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDGSFSVELSLRRFLARCPKVGSVPRFESLAKKGQLVTEEEVVNCLAELLLHPRYTIPLIGCFRPITQKVVDKAVTLLRLVPNLRFNSDVAVTESGYLDDDEVINVIEFHIQHGRGLDLHELACLAFCRALDLVPFLLGSILNYFKFAPPPFERILMKGSVIELSGKVITYYLHVVRTSYRLLIAGTEVFSKYWDWSCFLDLVKKVVNIDEGSDVKFEKDIMDIRWCGIQILSVVLKMNDRAVAKFGVGADEAHSCSLRWEQFCQDIAVEMAGAYIGTLENIKLDSENRELVFSQENCLQSFGLCSFTSSRSHGNEPPLRSRRLLEWDDKLAGNPFIMTSTLKRSFEMVLLAVSQKWPVLLYGPAGAGKSALISKMARDSGNQVLSLHMDDQIDGRTLIGSYVCTEQPGEFRWQPGSLTQAVLNGYWVVFEDIDKAPSDVLSMMLPLLEGSSLFVTGFGEEIRVAESFRLFSTISTSKSDISRGLEGGNLNGGLWRKVMIEPPTNQDLQKIVKAWFPSLEPLAEKLVETLDGVNLCLHQLVGFQPGNSVSLSSFSKFSLRDLLKWCTRIAGMGFGSTRDVLTASECCAIYQEAVEVFAAFSTSIGNRLTIMKEIAKKWAVPISRAESLYPHDEPIIQDLRSELRIGRVMLERTEATLYDERRFVKIHSSLHMLERIACSVKYNEPVLLVGETGTGKTTLVQNLATRLGQKLTVLNLSQQSDTADLLGGFKPRDAKSICIPLYNEFKFLFSKAFSIEHNSEIFARLKEPLDSKNWEKLLRSLRNGVKLFKKYVEEVKSGSARKRKKPLDVEKNVNAWEDFSVRLETAHRQIASSGMVFSFIEGVFVTALRNGQWILLDEVNLAPPETLQRLIGVLEGENGSLCLAERGDVNNINRHPNFRVFACMNPATDAGKRDLPYALRSRFTEYYVDDILDDHDLDVFIQNFLGDSGSNSELVEKIRCFYKVAKKDSEERLQDGANQKPQYSLRSLYRALEFTKKAERKFGFPNAIYDGFCMFFVSLLDRPSSKKMKQRILQYLLRGNKPLDVPFHQYLMIKENSSSDEFLKNYVLTKSVKKHLGNLSRAVFIKRYPVLLQGPTSSGKTSLVQYLATITGHEFMRINNHEHTDLQEYLGTYIVDAHGKLVFQEGVLVKAVRNGYWIVLDELNLAPTDVLEALNRLLDDNRELFVPELRETIRAHPDFMLFATQNPPTFYGGRKMLSRAFRNRFVEIHVDEIPEDELSTILKQRCQIPESYAKKMVEVMKELQLHRQSSKVFAGKHGFITPRDLFRWADRFRISGISYEDLARDGYHLLAERLRVEDEKCVVQEVLERHLRVKLVKDDLYKSPVLLVGETGGGKTTVCQLLSIALGLNLHILNCHQYTETSDFLGGFYPIRDRSRLSSEYKCATLRLKLLKALTHFPQYLDISSDISHASTTLDRLDLVVNKYRQGLLSHPEVTLQDIDTLEEVKQELTLIHRQWQSIFTWQDGPLVQSMKAGDLFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGDVLERVTAHENFLVLATMNPGGDYGKKELSPALRNRFTEIWVPSVGDLSELRSIASYRLSRLELSHIVNPMVNFYEWFNQLQVGRFLTVRDLLSWIAFVDVSKLGPEHAFLHGAFLVLLDGLSLGTGLSKKDCGELRERCLSFLLELLQVTCTNLLYPKLSKLENYGWGDPETPVEHNANSMPCDNIFGIDPFYIETGSEKSEAGGFEFLAPTTRKNALRVLRAMQLSKPVLLEGSPGVGKTSLIVALGKFSGHRVVRINLSEQTDMMDLLGSDLPVESDEGVKFAWSDGILLQALKEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGRTYRCPSSFRVFSCQNPSYQGGGRKGLPKSFLNRFTKVYIDELVEEDYLFICSSLYPSIPRPVLSNLISFNRRLHEETMLYHKFAQNGSPWEFNLRDVLRSCQILQGTSGQVDSFLNLVYVQRMRTAADRRQVMQLYEQIFGVKPSVNPFPRLQVNSEYLIVGSVAVKRNFMRPSRISSELKVLPSVLCNLEAAAHCVQRGWLCILIGPPSSGKTSLIRLLAQLTGNILHELNLSSATDISELLGCFEQYNAFRNFRSVVAQVDRLINEYNSLLLETSMETFLTDRKDLIAGWLAFISDVNSDLMPSFSSMCPETWNSISKYLPSLTGIIEQLKSDLEKHVLPISWTSEDLDRTMKTILKLQDHQRRPFSAKFEWITGLLIKAIENGEWIVLEDANLCNPTVLDRINSLVEPDGTITVNECGVVDGKPVVLHPHSNFRMFLTVNPSFGEVSRAMRNRGVEIFMMEPYWIFGEGSGYNSEELEMKDVQRFLVLAGIPGAKLVESMAKAHVYAMVEGARLNVRITYLELARWVQLFHHLLINGNQPLWSLEISWEHTYLSSLGEAEGVYIVKHAKNAYFSVTELYRSEYSALGIALCLPGGWPMPLTLRGLAWHSRDAYVKQNCSYLAFLGAQYASHQFAISSGVYPVEEVLLRSESKGTYLLDWKMLYRIAYPQVSKGMTLDTDGKTEFNLNIVNKMMFFAANWVVEQATENDFQLYLQWFSWLSFLLEPYGQFFKSFLTSLEQERMHPIWTYIIQCRQELILLNQLDLDLHPIPMLSLELVDLTSLNHSNTSSKLLHDAIHSVSLVRLSYRQWNVESSHNFSDESRCFIPFLESLRALEEEILHMLVGSPSFDTLYQLYSNLLEDHILFWEGLVSWQLERLLISWRFLLKDAGKLKDFCPLAVNMLVSKNLAEHSSLRLQSERSLLWVHGGHPFMPPSSKLYHQQRQLLKLCELVWPTKRRFCKQAINEFPLDMVASFDPEFRFLALEGICMSSFIMTNGDEDEIKVSHQVEEVYQMLSKRFEHEKCKSLNKHGSHDATFEENSAACCILSSGMLHTRSGFDSWLDILPIVDCSCCFLDMELLQELSLLPLADSEELKRCLVGISSLLESDLKYSLTHSSRPPQSFVAHQKLLWLVDAWTSVDAVHRKVSGFVLEMWFWWHSTLWSQYPASVENFSIIDGYDVPLPNLLIHPVITESIAKILRSTPDIKEFSMHGLKLKAASCVLWQISSPGTNANSFLLSSACSVFQQIIYSHRKSFDAEKFASIKSILHSSPNSLMEESISDVSSLIASSRHRSLKSLIPLLIEPLLRQLYLNCSTGDYFSYLNLGFAWLHIGGLRFNLLLSCDSLDPASKYSCKLSCLEEKIVSHKLELNVRQECNYLSGWSSSKESDNRIFQALEKLEIERRKLQRKIVFRPDPAKFKALRKECDEFLVLVNSLISLVNKSEAMELQLVVDKVCNWQETASCFIDRLLNEYPEFIDVAQPIQVAVYEMKLGLSLVVLSALQKRILDKIQEDNMDRVMEFVYSFMRFPRCCSSKLVSISDGGSPNMFSSLDIPCITNFSEMELGLLEKIAAISSGVDAEKVSVLQLKASLYNNVLVRVAHSVANAKLMDNESFMVLDKIFSEFARIWMHMKIQGKNQEDSDGQHYKFRPRAFRIENVMEVDISALGKFLANESFIEWKELLSEEEPTETIEDTEKHENLEDEWSLMEESILINMINMHNQLFGSIDLVLSAGSFQITDMDRLHSFIGSYTLGVALIKGFGGLFSSALDAKLVQEHLLRLCWEHEEKFPSSRKADRKYNFYKDSNTQIMAKMVELLTTLKQRVLTLLSEWEDHPGLQKVLELIEMLLAIPLSTPLAKALCGLQFLLNRMRMLQENGSKFSLSDQLDPLLSLVCSWQKMEFDSWPVLLDEVQDQYDINAAKLWFPLFSVLRPRCSSEIAVYDQTIKRHVLTIYLEEFIQTSSIGEFRKRLQLLFAFLGQIMTSRRLEIYCVVEFVEANRRNIETELKEVLKLCRWDRFESQLSLDSLRKPRQKIRKLIQKYSDILQQPVMLILNEEVGPKGFKVVSPETPKPLNDTSESIKMLNAVLNLTQFNDEDRSLWYTNWVKEVNDTLQNLHFERISELQLAKSRGIAGGINQCLFSGFACLSYPDEFRDVWHTLEKIGRVTMDCGNLWMDINRNLGKKRALSELLKLLESSGLQRRTFEIMEPAYDVQHLLMERTRLSNGVANVASSVENCLPKENLDADWKIANEFYFKSLAAVQLLQQIRLKHHQDFASEQVSRSVSYLIHLVIEQQSQREAVYDFARQTKTLRKCAKALESSYSGCTDFENTSGACLFAKSQHATFNCMWQQKRLFDDMDAMLVEESLLLRTVESTHFDSCQKVKVSANRILAFIEEFIPVVKKSKESLDSYFIGSDGSIITLVGTIHPCVITEQMEQVVHHNFQVLQECEEQLAAFNKQDFEKSAIVDSILSHFDERFSKGKEIANQLNIASESKSLHELANSCCERCSQLETQFGDACRESFRHVTDVLQKLGSLSNQGCQPEASLGSITAWKSLFKSSNMNFGVDELCKKLLETIHSAENLINHSGITVSSLSFQIGALLKCIHASVDLILSFINRFLEDFLVMHKTVSILTYGLANILAALYAKGFGGSTKDQEDDTSHDMSQNASGTGMGEGAGVNDVSDQITDEDQLLGASEKPSEEQAASDDVPSKDQKGIEMEQDFAADTFSVSEDSGDDNDEDTEDQQLESAMGETGDNSEVVDEKLWDKDNDENPNNNEKHESGPSVTDNNTSSREFRAKEDSADPSLNKEEGFADPTGLEPDKLNQSSSEDMNLDQNEENDIEEENGPDEEQESAEDGNDEGSANPADETMEETESEQNDGTSEKDERVDATTEKDDPDRHHEDSGINQMASRENDSGSNVENIPNGGAAMQSNSVSLEVRNVAPEANWSNSSDIYNDLAPQKNFPSTNNSDLSIMVADSSNGGKLADNHTKTEFPRLDAAQKKQANPYRNVGDALQEWKERVSISVDLQDDNKDPQGEIEDENANEFGYVSEFEKGTAQALGPATAEQIDADVNVNKPEENALGEDGNDVADMEIDEQNSEEYDSKHYSSVTTNKMDGQIQASELEESMDHQSPEARNQDGSGPGILSESLVSVRKSYLSEDVYQLKELSINEEEMGKALDLEEVSGDVKNNATALWRRYELQTTRLSQELAEQLRLVMEPTLASKLQGDYKTGKRINMKKVIPYIASHYRKDKIWLRRTRPNKRDYQVIIAVDDSHSMSESGCGEVAIKALVTVCRAMSQLEVGNLAVASFGKKGNIRLLHDFDQPFTGESGVKMISSLTFKQENTITDEPVVDLLMFLNKKLDAAVSNARLPSGQNPLQQLVLIIGDGRLHEKENLKRCVRDVLSSKRMVAFLILDSMEESIMDLQVIPFQDKNNQFKISVSKYLDSFPFPYYVVLRNIEALPNTLADLLRQWFELMQNSRE >OMO70689 pep supercontig:CCACVL1_1.0:contig11798:92968:93176:-1 gene:CCACVL1_18712 transcript:OMO70689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGRKQSSVKEEEDDIIDLAEFCVAATCDGLPSEETRRIQAFL >OMO70687 pep supercontig:CCACVL1_1.0:contig11798:79357:81213:-1 gene:CCACVL1_18710 transcript:OMO70687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase, FGGY-related protein MERRKEKTLRQQQHHQSNHKYRIISSSPSAFSHSISSPVFLEMAAAISSSSAPNSVFLGVDVGTGSARAGVFDEKGKLLGSASSPIQIWKEGDCIEQSSTDIWHAVCSAVKSACALAQIGGEEVKGIGFAATCSLVAVDADGSPVTVSWSGDSRRNIIVWMDHRAVKQAEKINSCNSPVLQYCGGSVSPEMQPPKLLWVKENLQESWSMAFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQQKNEKDSKDMEACGWDDEFWEEIGLGDLIEGHHAKIGRSVAFPGHALGSGLTPTAAKELGLLTGTPVGTSLIDAHAGGVGVMESKPETENDDQNDSICHRMVLVCGTSTCHMAVSRDKLFIPGVWGPFWSAMIPEYWLTEGGQSATGALLDYIIENHGASARLANRAASQNTSVFSLLNDMIESMMKQMQCPFVAALTQDIHVLPDFHGNRSPIADPKAKGVMCGLSLDAGEKQLALLYLATMQGIAYGTRHIVEHCNANGHKIDTLLASGGLSKNTLFIQQHADITGCSIILPRESESVLLGAAILGAVAAKKYSSLSEAMKALNAAGQVIHPSKDPRVKKYHDAKYSIFRQLYEQQLSQRSIMAQALA >OMO70680 pep supercontig:CCACVL1_1.0:contig11798:10094:14587:1 gene:CCACVL1_18703 transcript:OMO70680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETPVATAVAIDRHKNSHLALKWAVDNLLYNNFGSQCFLVHVRCQNSLGFNCALLAFTENLEEEPLTEAELQKFFLPYRAYCARKGIQSNEVVLLDNDVSNALLDFIHNKNISSVVVGATSRNVLTRKLRNPDVPTSLLKFAPEFCSVYVIYKGKVQFSRLASGSEAPNDNASVNKSPYLKTFLSKLTFESPRSEELIRTVSIRQGSGYRSNSVRSTATFITDSFKVTPLEKLMKMDEIQLPTPSTGSQAPESPQRSSISEHSTFSGPISCRSTDVSGRNSACTEEDSNMFHPLHDLKQCKEDEMRKLREELKKTVEMYNTVSREADEAKKEARKLQEWKAAEERKLEEAKLCEEAARAVAAAERQKTKAAMEAAQMAQLIAEMEVQKRKLAELKAFREAEAKQRALDQLANNKAAYRKYTINEIEAATDHFTMSYKIGEGGYGPVFKGTLEYTDVAIKVLRPDISQGQKQFKQEVEVLSCMRHPHLVLLIGACPEYGSLVYEYMENGSLEDRLFRKDNTPSLPWKTRFKIAAEIGTGLLFLHQTRPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSFADSVTQYRLTAARGTFCYIDPEYQRTGMLGVKSDVYSLGVVLLQLITSRAPVGLAVHIQEAIENGTFADMLDPTVPDWPVEEALSLAKLALKCCELCKKDRPDLASVVLPELNRLRNLGMKNEANISEKVVHDEALNYHSEPQIESQGNQITLRSKWQRLKSMTRTWKASIFPPSRRPSGKWDKKALGVGCWSMTSCSGAPYDMKCARSFST >OMO70678 pep supercontig:CCACVL1_1.0:contig11798:919:2590:1 gene:CCACVL1_18701 transcript:OMO70678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MHRFSKNLYSHLSRHFSTLPKLPHLTKIPSKYRTRAIKDAQQALTDYLHGTRYVPFVYAENICKYSLYSLSGLISDIDFSSTGFTRNILEFLKHHPINEFEFFFESIGIDYKEVSGLLPANKFSFSKDERLLDAACALCGYGFPWNSIGKLYKEEVSILRISSGELKAKLSRIKERGFSNTSVIGICLAFPYVLRGDGEICALVDDLKTVFVDFDLGSCVEANVDAWYEICRKMRLFYDLGYEKGKIGELMGRSKDLFLQYPQEVLVQKVEYFQRFAVRDKDIEERNSIAQEYPYVMGRNKMANLPYVMRALSLHKWFFNKIKDGNHQLLAKSAISDPNEDVNEDFRDGLEKIQSSRTPVHTMNKLNFFQRIGFGENSLTLKVLTDSHGTSIELQERFNILLGAGIEFSKACKMVKVSPRVLNHKPEILEQKLNFLCHEMGVSLDYLDIFPAFLRFNLENRIKPRCRFHKWLTEKGWCTRNYSIASIVATSEKAFVARIHGIHPDAVKEWYEKYSCSKPGNNG >OMO70682 pep supercontig:CCACVL1_1.0:contig11798:17989:18552:1 gene:CCACVL1_18705 transcript:OMO70682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHHGSDRENDSKVRLYNPYQDLNLQGPISNLYKLPTSPEFLFSEEALHQRRSWGENLTFYTGTAYLGGSVAGAAVGLLSALRNFEQGDTLKLKINRILNNSGHTGRSWGNRIGVVGLIYAGMESGIVAATDRDDVWSSVAAGLGTGAICRAARGARSAAVAGALGGLAAGAVVAGKQVVKRYVPI >OMO70685 pep supercontig:CCACVL1_1.0:contig11798:33961:45529:1 gene:CCACVL1_18708 transcript:OMO70685 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MAGPDNIILGSHVWVEDPGIAWIDGEVVRINGNEVHVKTTNGKSVVTNISKVFPKDTEASPGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDTHMMEQYKGATFGELSPHVFAVGDAAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPPEDIERYKLGSPKDFHYLNQSNCYELDGVNDAHEYLATRRAMDIVGINDEEQEAIFRVVAAILHLGNINFAKGKEIDSSVIKDEKSRYHLNMTAELLRCDAQSLEDALIKRVMVTPEEIITRTLDPENAVASRDALAKTVYSRLFDWLVDKINVSIGQDPNSKSIIGVLDIYGFESFKCNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTDFTISHYAGEVTYQANQFLDKNKDYVVAEHQALLTASSCSFVASLFPPHPEESSKSSKFSSIGSRFKQQLQSLMETLSSTEPHYIRCVKPNNALKPAIFENTNVIHQLRCGGVLEAIRISCAGYPTRRTFYDFLNRFGLLAPEVLEGNYDDKVACQLILDKKGLKGYQIGKTKIFLRAGQMAELDARRAEVLGNAARTIQRQIRTYIAWKEFISLRVAAISLQSYLRGNMARKIYEKLRQEAGALKIQKNFRRYVDQKSYLTMRKSAIILQTGLRAMTARNEFRFRKQTKAATIMQAHWRCHQAYSYYKSLQKAVLVSQCGWRCRVARRELRKLKMAARETGALKAAKDKLEKRVEELTWRLQLEKRLRTDLEEAKAQEVAKLQDALHEMQLQVEEAKSMVIKEREAARKAIEEAPPVIKETPVIVQDTEKINALTSEVEELKALLLAEKQTAEEAKQAHATAQAQNVELTKKLEDAEKKTDQLQDSLQRLEEKLSNLESENQVLRQQALTMSPTGKALAARPRTTIIQRTPENGSVVNEEIKKALPNPQVQETEEKPQKSLNEKQQENQELLIKCISQDLGFSGGKPVAACLIYKSLLHWRSFEVERTSIFDRIIQTIGASIEVPDNNDLLSYWLSNSSTLLLLLQRTLKASGAASLTPQRRRSTSASLFGRMSQGLRGSPQSAGFSFLNGRMLGGLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEIAPLLASCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNNYLKTMRANYVPSFLICKVFTQTFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCHDATEEFAGSAWEELRHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIASMRVMMTEDSNNAVSSSFLLDDDSSIPFSIDDISKSMQQIEVAEIDPPPLIRGNSGFTFLLQHSE >OMO70684 pep supercontig:CCACVL1_1.0:contig11798:28574:30136:-1 gene:CCACVL1_18707 transcript:OMO70684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta subunit-like PLP-dependent enzymes superfamily MAAFSLFSSAHVTPKPSLHFIKASTSDLSKTSAPPSQPSTQSQQPPLKTRHNIREEARLHNAATTATNHPRFTAKYVPFSAIADPSSSDESYSLDEIVYRSQSGSLLDVHHDMAALKHFDGAYWRNLFDSRVGKTTWPFGSGVWSKKEWVLPEIDDDDIVSAFEGNSNLFWAERYGKQFLEMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNKPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANKISMAQLVQPIANGAFVLSIDTDFDGCMQLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFSMCKELGLVDRIPRLVCAQAANANPLYLYYKSGWKEFKPVKANTTFASAIQIGDPVSIDRAVYALQKSNGIVEEATEEELMDAMAQADSTGMFTCPHTGVALTALIKLRRSGVIGSTDRTVVVSTAHGLKFTQSKIDYHSKDIKDMACRYANPPVQVKADFGSVMDVLKKYLLSKSH >OMO70688 pep supercontig:CCACVL1_1.0:contig11798:83486:84442:-1 gene:CCACVL1_18711 transcript:OMO70688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGTKKSSKLFDTLKKKSMDDDVDFNQFCVAAACGEWLLYKQRDPEPTYITFKSDPFYPLYLDLSEEIKKKIHRLAIKRGKKVRFACFLWNSNSRKKINLPDLKGLRKYGKCIITAPPTSSECSILFLLKNNECKFLFCRPGDTNWRETSYEGLLFQDAIIYREKLYVVNRREFCEVVINHDSKELRLLNNYDAVLPQISPLSKEYLIESSGALLVVQRKSLFDVAVFEMDFKQRRWKRVSSIGDDKILFLIGNHSTLYSTEELGMTGSGNTIVFTVEDDEQTLYSFSLGDRCLTPLRNNPSNNIWVSHDELISTLR >OMO51833 pep supercontig:CCACVL1_1.0:contig15698:5988:9628:1 gene:CCACVL1_29562 transcript:OMO51833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLLHILGDLDPRIVQLDADEQAIETSLVAAHSTIAAGKKFNDAKTTKLFDWLQYYGKPDSDIALLHRRAALVLLWLSKFVFGGFLGDRVLIELLDTFFDDEMEGRGCFLIETAVSYPLLQVFLYEHYTEFAVPFNTPREIDVPHSYEWSGEGNEYVSVMTPAMIEYWEKFISDFGAFMCSAAEPIRRPIDRRSMLLSGDLHKIFVERHRGTGVIQIVNLRDNLRDKPVDGDDSDDGAIVELGTPKKRKLRRSTPKKNVKSAIPKEKKCASSVKKTRADVASKSNPRYTSARLAHGLKSESNTHGQPLDTAGLDVVSSEPLPNVLPLAVDRSGAFGPAILVTPIVSVSTFSQLARAPNPFAQLTSTEKGTSSMALDFFFLSLSPSCPPVDASTVVDHGTASFRAKDNQPPGDLGATGPKLCAETGDSSTPPSVVEKASAVVDLALASASLDTPMEVDAVTAMTRGKDIPTAELVNLEKGGDNSTAMADDATGIIKEKDASATEAMTLEKDAASPAIVDDTAGVNTQGGETLDGDAAVAMKRNVAAVEYIISRLGAAPLVTLKPTAFPGHDTTKKTVK >OMO51832 pep supercontig:CCACVL1_1.0:contig15698:220:819:-1 gene:CCACVL1_29561 transcript:OMO51832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MKGENNNPLFTSFPKLFNAQLHFFNVLSSLILFLSGLTLGIILSFHLKNFSLDLHITQLSLTTTSTSEPPPLLPPPPPPPPQSLNPPPPPTDQTSHQHHGVGHIGLTEFLMPPNAMHNMDDEELLWRASMVPKIAEYPFHRVPKVAFMFLTKGPVLLAPLWEKFFKGHQGMYSIYVHSNPSFNLSEPQGSVFYGRRVPSK >OMO53997 pep supercontig:CCACVL1_1.0:contig15075:19172:20922:-1 gene:CCACVL1_28151 transcript:OMO53997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prohibitin MNLNNVKVPKMPGGGALPALLKLGVIGGLGVYGIANSLYNVDGGHRAIVFNRIKGIKDEVYPEGTHFMVPWFERPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPDAAKLPTIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQRENVSREIRRILTERARYFNILLDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKLSAVIRAQGEAKSAQLIGQAIANNPAFITLRRIEASREIAHTMSNSSNKIYLSSDDLLLNLQDMKLDSVNSKK >OMP12388 pep supercontig:CCACVL1_1.0:contig00030:897:1146:1 gene:CCACVL1_00013 transcript:OMP12388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMQDEEVPNSNLAFDDDAAYVGRNDERLLHQG >OMP03300 pep supercontig:CCACVL1_1.0:contig06104:4786:6642:1 gene:CCACVL1_02477 transcript:OMP03300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQKLISFCILIFLIRHVYSQQPYDESDCDAESEVPGSKYNCTPEDFPCQAYIVYRAQKDFQSISSISSLFNLSMPDILEINRMAEADSRNLTLGREIIIPVNCSCHNSFSQSVFIYNFSRTDHSLASVACGVFEALLKAQSLKEENPDFGRDDSASFMLKLPIRCACPNANQTRDGIKYLVTYPLIQNDHTDLIARKFGVSEAVIWDANELKSFTAIFPQTALLVPTKDVPTVNWDVQEDSPPTPRVATPLRKIEPSRKSGHLKLYTYLALGLLALIILLIAAVFTFTSIRKRSHPMSFQLLPPRTSVSSNVSPDLLNKMSELKQSLRNFSLEELKNATEHFNNEGCLIGKAVYKGKISGYDVAIEKMESKEATRDVIYILTKISHLNIVKLEGCCYGSSPYLVLEFAKYGSLRDCLSNIDVARQLTWARRTQIAFDLVVGLHYIHHCTKPSFVHRNVHSKNVLITKDWRAKVTGFRLAKPVTSIEENGIISWNESRVVGRKGYLAPEYLTYGLASLKVDVFAFGIVLLELLSAKEASTDGKLLMDSLNFLQEAGVEHSSGFLEKLNKFMDPVLEGDYPLADAVCLIFLAKACLHQDPNDRPSMDNVLKVLSRFV >OMP03301 pep supercontig:CCACVL1_1.0:contig06104:7185:8842:-1 gene:CCACVL1_02478 transcript:OMP03301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MSPGIMFQQWFQVQQLNADVLFNPVIFFPLFFSILYLFKLSTSKKLNLPPSPPKLPIIGNILQVGKQPHRSFRTLSEKYGPVMLLHMGQTPSLVISSAEIARQVLLTHDAFIERPRIRVVETLFCGCTDIAFCPYGDYWRQAKKICVVELLTQKRVRAFQLVREKEVSRMIEEIRQLSQSGSAAALWEMFQAISNNIISRSVLGRVYEREDGNKGFGELSKQAMDLIGAFCFKDLFPYLGWMDNLTGLTARLERISSELHSFLDDVIEEHLAMMADGDKLDSKKDFLDILLHLQQNGMLDIGLTQDNLKAILLDMFIGGTDNTAATMEWAMAELIKNPSIMKNAQEEVRRVVGKKSSVSETDINEMNYLKCVVKETLRLHTPVMVTRQNPSATKLGGYDIPAKTIVLVNAWAIQRDPKLWDKPEEFIPERFLNSSVDFIGQHNQYIPFGVGKRVCPGISFAVASAEYTLANLLYWFDWKLPGSQSCEDLDMDDCYALIIRKKAPLHAVPVLHSFS >OMP10587 pep supercontig:CCACVL1_1.0:contig02155:17:904:1 gene:CCACVL1_00860 transcript:OMP10587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem antenna protein-like protein MGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSAGLAENQSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKTWRSNDKKTSRLMEYCFLIFRFYFLFVI >OMO72790 pep supercontig:CCACVL1_1.0:contig11371:3313:3372:1 gene:CCACVL1_17591 transcript:OMO72790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTITFVSKFNNLYAFEF >OMO59014 pep supercontig:CCACVL1_1.0:contig14068:8232:8306:-1 gene:CCACVL1_25160 transcript:OMO59014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQADSRRNLLLTPCNSSKTTTLQ >OMO50991 pep supercontig:CCACVL1_1.0:contig16009:46067:46263:1 gene:CCACVL1_30083 transcript:OMO50991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSARAANKAANRRALKTTFDKESQE >OMO50989 pep supercontig:CCACVL1_1.0:contig16009:15894:22816:-1 gene:CCACVL1_30081 transcript:OMO50989 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSTCLLSLLGESGTGIPPLSGTQRGRSRKGVPDSRLYSLFMADLGESQGGVADELIVELEVSREEIQATTEYGLIGKVIVDRILNKRGVMNVLQAIWPIKVLQRVFDLGPNLYGFSFADRKSMELALSCGPWTVIGYCLCLQRWDASKAVTKIQFNTVNYWVQVHNLPLEFMTYNNAKKIGENLGSILEIENPDWVRGYGRSFIRIRIAVDLKKPLISSCERVVKPDGIENGKSRYGPWMRVEPVRGSRKEEEVKVVQERAVPELPYEQMEAIKNGRAVPELTPEEFEAFRAAKENCNPTKPGNVRRNLFKGKEKYDREALQELNPASKQSGKSLSNYHTHNKSPNSDHFKAPSSSTNPNTTSILTELHQIPFENPSNCLTPKKRLIGNNSSLTLEDQYSLTQSPSKKQHNLSVTQYSHQSTPPLHSPTSSHIQFPMVNLIHTPSPKKRIVSPIPISLHENQTQYIPDITSSPAKRLHTFPVTIITDQKIHYQSSETQQGLPIFDEYMVEPPDEEDEAEEPKGNQDLETVVEETISQQLVPVLRGLSLKRQFQLIEWEEGQGERMKARQIEANETRQKLYNEEIVVRSHQANMRHISWNCQGIGPALTVEALHELKRDYSPQLMFLMETKNREDKLETLRRKLRFNGKFYVEPEGIGRGLALWWNEQISVELIGFCKYMIDVIVKDEENDSTCRIFWVHGPTDFEERQFIWNMIVDRGKTVEGPWMCAGDFNDILYHHEKEGGNLKAERKIKGFRSMIERSSLIDLNYHGQKFTWINRRDNMLIKERIDRALVNLDWMETYPKSQVFNLPIIGSDHSPLLIDTNVVDGKATKLFKFEVMWTESPECKMVIKDGWSAEFNGSRSFQLVQKLKKCKRLLIDWSRKVFPNNKKAIDELKKKVAEIQDKDVSEQCCAKAEELIKEIGNLFFHQSTIQRRQRNKILKLKDSNGDWIENEEDIQQLFKEFYSDLFRSDGNSEEVLDCIPNLVSDEMNDKLTAAITEAEIETATFELGTFQAPGPDGYNGVFNQKYWSIVKEAVIKAVRGFFESGNMLKEINTTNLVVIPKVKKPDEVGQFRPIGCCNFIYKVISKVLVNRLKPFMDDLITPNQSAFIAGRQIQDNIIIANEVFHYLRLKKKGKKADMALKIDMNMAYDKVEWGFLEAVMNRLGFARRWVHWIVECVSSTSFNILFNGKRSDVFFPSRGLRQGDPLSPYLFLFVIDVLSRIINKSLESGTITEFICILQIYSAASGQAVNFEKSCVVFSSNTNEQVREELAQFLQIPAAEHPGTYLGIPSFWGKTKCEAMKFIKERVVQKVKGWKQNLLTQAGREILIKSVATAVPLHTMQCFKIPKRIFEEVIKGLYYPNATILTARKGGKASWTWTAMLEEIRNKEDRSWQLDSIRALIMDKSADAIEMIPLGSEMKEDRLIWPFNSDGRYSVKSGYHSAKDQMEKQANRSSSSSHIVNDNVWRSVWKTTGPNKVRNFLWKAVINRLPTAEGLWKRRINNSPMCPICQQKEETTEHALLLSDWTEKVWFGMDFGYKIDKRAITTFDAWFEKVIQNAGGWLKLNCDGAFDKASNEAGIGVIIRDDNGRVVQGCNKTIKAKSATCAEALAVYEGLKLARNIGAQRLIVETDCQLVYQNAISIRDRCWEIEPISEDIKNLLKDFQQSKMRWISRTANQAADWVACQARKKMCPEGWVDQPPSSLVYILSRDGLPAPPL >OMO50990 pep supercontig:CCACVL1_1.0:contig16009:44438:44518:1 gene:CCACVL1_30082 transcript:OMO50990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLAFSFDNLQEVPDFDSLRLNPSS >OMO53069 pep supercontig:CCACVL1_1.0:contig15347:1811:6668:1 gene:CCACVL1_28900 transcript:OMO53069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MKRSFLNLYRFPDEVTVTKRKEGIGRAMSWEAPNIGWLKFNVDGSARGKPGLAGIGGILRDENGKILLKFSKAIKTAESNEAEVCAIKEALLIFLASKWASSAGLIVESDSQNVVAWINQSCEAPWRLRRAIVEKFFGWPIKSFQANWGREYQALTEYLAQNGITQHSSCPHTPEQNGCAERKHCHVVETGLALMYHAGGMGCSTGVIAVDLVKDLLQVHRNTYVVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAILLSNKFKDRRRAKYELIHVVRTHSGVDDKAFRLKPYIPDFKLAFEGTASAFTEMELVLLPANASVATLFPDHNLEISGDFNVPHTFAQNVIGCKWVFRVKKHQDGSVERFKARLVAKGVHQRPGLDYNETFSPVIKPQTIRLILSLAVQYNWPIQQLDVSNAFLHGKMEETVFMEQPIEFIDSNNPSHVCKLLKSPYGLKQAPQTLTLKDLGSVSYFRSVEAVRDSSGLLLTQQKYIGELLDKAKMSAANSISSPSCPQQRLIQNEGSAFSDPTLIEVSAYSDSDWGGCLDDRKSTTGLAIFYGTNLITWTSKKQRTVSRCVSVIRHN >OMO53070 pep supercontig:CCACVL1_1.0:contig15347:7068:7190:1 gene:CCACVL1_28901 transcript:OMO53070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIFNSRSRHLDIDFHYVRDKVMKKELQVKNISNTDQLAD >OMO86819 pep supercontig:CCACVL1_1.0:contig09409:9689:9835:1 gene:CCACVL1_09444 transcript:OMO86819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPINLGRNLRPIMAIGCLRHRPNATASFPSPNIQYPHLQRPIWFRP >OMO96114 pep supercontig:CCACVL1_1.0:contig07525:17137:19522:-1 gene:CCACVL1_05070 transcript:OMO96114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MADAMVSAVMQQLTAVVYQEIGRGVTLVVDVRKEAQKLKTTLQTIQAVVVDAERRQVKEEAVKLWLDELKSTSYDMDDLLDEWNTCILKSQIVNRKVCFCIPSPWFCFNRVALNWDFAVKIKDLKKRLQVIAEEKNLFSFDLNRGTEELVERPISTSFVDVYEIYGRDLDKGTVISMLLAENSQEALPVPVISVVGRGGIGKTTLAQLAYNDQRVKAYFDTRIWVYVSDPFDEIRVAKAILEALTQVAPSVVELETLLQKIHQSLERKKFLLVLDDVWTEDSRKWESLKHSLKCGSPGSKILITTRKENVANIMGSTTMFPLGQLSEEECWKLFSQVAYFGRAREDMNGLEDIGRKIANKCRGLPLAAKILGGLLRFKKTKEQWQSVLDSELWELEEAEKDTVIQKDKLIRLWMAQASVCLKKYQKRLGQLIRLRYLKLSNNHNLLELPETLCDLYNLQTLDLTRCRSLMALPLGIGKLVRHLDNRETSRLRFMPKGLERSTCLRTLKELVVSDGCTDNKTFTLGNLANLIHLGGDLKIRGLGNVTDLTEAMKAKLCNKKDLSGLTLCFDFNGRTCSEDVILEALQPPPQLERIEIRCFKGPVIFPSWLKSASLSQLRHVILGHFRNW >OMO96112 pep supercontig:CCACVL1_1.0:contig07525:4644:5821:1 gene:CCACVL1_05068 transcript:OMO96112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNLEEVDKRSFENVQKIIQDD >OMO96113 pep supercontig:CCACVL1_1.0:contig07525:6903:11800:-1 gene:CCACVL1_05069 transcript:OMO96113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQLRANFGSYHQSNDMVAAAGGTTSICLNSFSPLLFKGSKKIALQKTLGFEKRRKKEGRRENGVVVVASSSSNVAAPLWDSWKPDKSSSAPSLSDILWPSAGAFAAMAILGKVDQILVPKGISMTIAPLGAVSAVLFATPSSPGARDQEGQFQALAQFQGDPSRPP >OMP04239 pep supercontig:CCACVL1_1.0:contig05772:3852:5031:1 gene:CCACVL1_02177 transcript:OMP04239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPLIQATSHESTSVVTVDGPNMETFKRDFKAEILDEFRDMLDTMLAKRDSLGKKSVGEFTPEPPPPPPYNNSSSLPPAGHPISPTSHLYLQIYHLYPKMWHLYHQIRHLYTKMYKLYPFPPISTDLECISTTYMAGPSLSPWHTGNPYMYYPKPYKIDLPKFSGENFKGWYLKLEQYFVAERVPEYLKVKVTLLALEDNALAWDQFYINSQGGLDAVTWDMYLAALKDRFACEEFSNPLMDLVQLKQTCTINEFHYEFMKLLALVKNIDGSQALSISLANLKPDIAQKILLHRPATINHALKLANLVEKSIEANAKRGSSVSKGSYASPVSTSTYSRPSLPMLPAAKSSSTTLTTTNKKPTPV >OMO62179 pep supercontig:CCACVL1_1.0:contig13329:12165:12608:1 gene:CCACVL1_22978 transcript:OMO62179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQENEREAADQDAEMESNNFVQDDVGLDEMDVSTTPSMFSKRRRKSGEFEPISADCIISAAKLIGDGIGEAGKDLNMSIGSEMVIQEKVQELDIILGEIDGLTEEERDIALSKIWITLHKCSSLVAFRLTENLHGSGDFYVAIKLA >OMO62180 pep supercontig:CCACVL1_1.0:contig13329:15189:45385:1 gene:CCACVL1_22979 transcript:OMO62180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEYVKKSVLSILQKLSRNQHYKLHKHFKKFSSAEVARQKKPADGNLTQENWDSLCDLFSDPEYQRKEEQEPDRIEVFKATHYSEAKGWFQISNLQRRPCPFLSKASPPPVIATVSPTLAAVNAFHRNPRCRYPKQVNLQASFLLCGQFLLLIDLCRWQCIMLRDDTVVVSSHNPLPVLFPYINLTSYSPAYPHGAYADDVVLYGVVTYGVLYGAIVPYGVVPHGAVGGVAPDPTLTHGSSIASSSMVSPNKNNLLATGGHPFTFYKAAISALLKGNLVSNRGRNNSQGKLQDVKTVLVFRSSTTVVPNGAAFPIKFFWVSYKWRTPNQFVERGMSSGDRSCRPPYEGNAFKGESPTSSV >OMO62182 pep supercontig:CCACVL1_1.0:contig13329:51348:54851:1 gene:CCACVL1_22981 transcript:OMO62182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 2 MALKFNPITFQSQKLPSFALPPMASLRSPKFYMASTLRSGSKEVENIKKPFMPPREVHVQVTHSMPPQKIEIFKSLEGWAEENILTHLKPVEKCWQPQDFLPEPSSEGFDEQVRELRQRAKEIPDDYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASLTSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARLAKEHGDINLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTVLAFADMMKKKISMPAHLMYDGKDDNLFDHFSAVAQRLGVYTAKDYADILEFLVERWKVKDLTGLSGDGRKAQDYVCGLPARIRRLEERAQGRAKQGPSIPFSWIYDREVML >OMO62183 pep supercontig:CCACVL1_1.0:contig13329:55885:67655:-1 gene:CCACVL1_22982 transcript:OMO62183 gene_biotype:protein_coding transcript_biotype:protein_coding description:xylose isomerase family protein MEKRVEAELEVKMHREFAIAENPHPLPLLIKSVASLPFSTVDQGAKEDFGVRVSLPSSEAAVYAYAVAQVKKAMEVGHGKFEYERE >OMO62181 pep supercontig:CCACVL1_1.0:contig13329:48669:50423:1 gene:CCACVL1_22980 transcript:OMO62181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 2 MASTLSCGSKNVNYETFVPRREVHPQVTHSMPAEKIEIFKSLEWWAEKNILTLLKPVETCWQAQDFLPDPASDGFYEQVRELRERAKEIPDDYLVALVGDMITEEALPTYQTMINTADGVRDETGASLTSWAIWTRAWTAEENRHGDLLNKYLYLTGRVDMRQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARLAKQHGDINLAKICGTIASDEKRHATAYTKVIEKLFEIDPDETVKAFAEMMRKKITMPAHLMYDGRDDNLFDHFSAVAQRLGVYTANDYADIVDFLVETWKVKELTGISAEGRKAQDFVCGLPARVRKLEERAQATAKEAPNIPFTWIFDRHVKL >OMO62177 pep supercontig:CCACVL1_1.0:contig13329:1871:2363:1 gene:CCACVL1_22976 transcript:OMO62177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLNVEESTLPNLGIRNSLQPNYEWAHFCVELQIRLTAQFRFIP >OMO62178 pep supercontig:CCACVL1_1.0:contig13329:5661:5756:-1 gene:CCACVL1_22977 transcript:OMO62178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGEIKRNNGKVDPTERWPNAKASEAIQEM >OMO73136 pep supercontig:CCACVL1_1.0:contig11296:14582:17810:-1 gene:CCACVL1_17448 transcript:OMO73136 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MKLTGWLKGHQVRILIDSGSTHNLIQPRLAKHLGLLLEPAPPFSVLVGNGEKLHCFGKIPTIAVELQGHQFDLDLYVLDVWGAEIILGVQWLATLGPVLADYQALFMQFYSNGQLITLRGDKPIQVSFASKKKDGTWRFCVDYRALNAATIKDRFPLPTVYELIDELHGAKVFSKLDLRAGYHQIRVASEDVHKTAFRTVDGHYEFLVMPFGLTNAPSTFQAIMNEVFRPYLRQFVLVFFDDILVYSSSMEVHLEHLSIVLNLLAHHHFYAKLSKCTFGQTSIDYLGHMIGEQGVHVDPSKISAVTAWPIPTNLKTLRGFLGLTGYYRKFVKNYAHITAPLTNLLRKDSFQWSDETEASFQALKNALTTAPVLALPDFSLPFSIEADASNVAIGAVLAQAGHPVAYYSKKLGPQKQLASTYVRELYAITEAIHKWRQYLIGRPFVIYTDQQSIRNMMNQTVQTPEQQKWLVKLLRFQYSIEYKPGHQNSAADALSRCHSLQATHLAITQLVLSFLEDLRKFYLATDEGKKLWAKGTSKADAGFTTMDGLLLFKGRIVKYETTRPGGLSTPLPIPTQIWPDIAMDFITNLPASHGKTDIWVIVDRLTKYAHFIALPSSYTASQLAEIFCKDVCKLHGMPASIVSDRDPKFMSNFWSELFKLQGTKLLHSSAYHPQSDGQSEVLNRCLQTYLRCFASEKPRLWTKFLHWAEYSYNTSFHTAAGLTPFEDVYGRSPLTVLSYLPGATKVAKLEESLVERQQILTLLKNNLERAQNRMKMQHDHHRTEKQFEVGDLVLLRLQPYRQIFVSKRSSQKLAKRFFGPFEVLRKLGPVAYELKLPPEAKIHPVFHVSLLKRYYGSSPVNHASLPSTFVEDKPVLEPMAVLDCRETVTNGITNKQFLVQ >OMO73137 pep supercontig:CCACVL1_1.0:contig11296:18026:19108:1 gene:CCACVL1_17449 transcript:OMO73137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MPPKPQTNPDEIVAQVTAAVAAQLQEFQASLKSKYASLDASLDSRYSALTTAIADRLNLQPSLSSPSPSSPPKDPPSQNHSSSTFPSPPDNLHIKTPKIHLSTFDGSNPLDWLFQAEQYFSFYAIQPHQRLSLVAFYMSGIALSWFQHLYRNNQLTDWSAFARALELRFGPSSYFNHEAALFKLKQTSTVAHYRGEFEKLANKVDGLSPTSLLNCFLGGLLPEIQHEMATQKPQNLSDAGDFASLIEEKLADSTSTTSFLIPSPAHVNLPKPLSSLPPPRPPQLSVPRLPAPPATKPVPSLPIKSLTPTEMQARRAKGLCFNCDDQYKPGHRCRTTPFLLLQTEEDSYLESTPTNHLLSQ >OMO73645 pep supercontig:CCACVL1_1.0:contig11200:5302:8121:-1 gene:CCACVL1_17194 transcript:OMO73645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVNGRFGLGFQINCITRLHPLLPKTYFGVFGNAGVKHYFENPKFRFPAAP >OMO83949 pep supercontig:CCACVL1_1.0:contig09818:28325:28390:-1 gene:CCACVL1_11071 transcript:OMO83949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLKRQQDLQKRHDLGFERI >OMO83950 pep supercontig:CCACVL1_1.0:contig09818:29578:29712:1 gene:CCACVL1_11072 transcript:OMO83950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATASEDNDIVHIEKENNEELPIQVGEPDNDVEVDDEDNNNPK >OMP12047 pep supercontig:CCACVL1_1.0:contig00517:1621:1716:1 gene:CCACVL1_00161 transcript:OMP12047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGSSESREVSSILYSATVTNTYPTTSSMTKS >OMP11355 pep supercontig:CCACVL1_1.0:contig01363:6626:6892:-1 gene:CCACVL1_00566 transcript:OMP11355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKDDIKYAVGEARLSEDEGVRVAYKHGTPLEGGKIADSSPVDLFSSAHNINSQSQSQSQSAQSQSTRPPHDVSNSPQNNPQSTSS >OMP11354 pep supercontig:CCACVL1_1.0:contig01363:5469:5561:1 gene:CCACVL1_00565 transcript:OMP11354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEIRYMLIGYPTNHRGWGALCGLRAPWP >OMO88736 pep supercontig:CCACVL1_1.0:contig08950:18707:18832:-1 gene:CCACVL1_08237 transcript:OMO88736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFFSFSSFFVLPLSTTSIVSTTRPPRLCPALEFLVIVVR >OMO88735 pep supercontig:CCACVL1_1.0:contig08950:6642:10113:1 gene:CCACVL1_08236 transcript:OMO88735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MIQQNCAGAIDKEMLPEKSTDKEIKEINSKAHSVILLSLFDEVLREVVAEKDATSLWKALDDKYMKKSLINRLYQKQGLYTFKMAENTPIKDHLDSFNRIILDLGGVRVKIEDEDLALILLCSLPRSFQNFRDTMLYGRDTIALNDVKDALLSKEMQNKVSADVDGEAGLIVTRGRNKEKSSGTARFRSHSKSRVSSLRCFYCNEKGHLHKECPERKKGNSSEKKESNVKATAAIVQEGSSLVESSDDEVGTNVLTVSTAGSANKWVLYTGASYHMTFSRNLFTTFKEWNRSVMLGDETTLSVKGSGSVQIKTHDGTIRTFDAWLVPELRKNLISLGTLDKQGIYTLIGNSVIGEVAVSESLGDSNDRTELWHRRLGHMSEQGLAILSKKGLLDGLELGKLKFYESCFLGKQRRVKFSSGKHISKGILDYVHSDLWGPAPVESHSRCMYFVTFIDDYSRKGTDQRVVFDAPPLKSPSVTTKEPKTETDDLSKSIAKRRTRREIKKPQRYADCISVNVGEIDPIAYALLVAEIIDSNEPRSYKEAIKSENVAEWLLAMNEEMQSLAKNETWKLVPLPKGVRPEGIDYNEVFSPVVKHKTIRVLLAMKSLYGLKQSPRQWYKRFDSFMVSHDFARNKYDNCVYSKKLSDGSYIYLLLYVDDMLIAAKDLAEINSLKALLSSEFEMKDLGAAKKILGMKIWRDRKAGLFALPSSDDEVNCMSRIPYSSAVGSLMYAMVCTRPDLAHAVSVVSRFMSNPGKAHWESVKWIMRYLNGTKNVCLVYGSDGNSGLIGYADSDYTGELIRRRSLPVDVDQIDRTT >OMP03565 pep supercontig:CCACVL1_1.0:contig06040:11835:11894:1 gene:CCACVL1_02361 transcript:OMP03565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTNNINRGSAGGGGAGAR >OMO54971 pep supercontig:CCACVL1_1.0:contig14833:2286:2369:1 gene:CCACVL1_27442 transcript:OMO54971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKFSKEKGREWVREGEPLSRECRIGS >OMO95143 pep supercontig:CCACVL1_1.0:contig07732:23506:27116:1 gene:CCACVL1_05547 transcript:OMO95143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSREDSPDWLRSFQAPTSALTLSSDSYNSSPNGSPLRKDKTDDDDDTLLHSSSTLEKSNRNRDEVDQTPSKKKKKNVLKKSEGNGDDGDVATEETSKKRSRSHAANRSIWTLSSDSESSPSHSPKREETISLTQESEEANDPVMTGRGEESPQKKTSKGKSPKKGLKVKGQTPKKEKNVKDEAKLTENDGNMETAEEEASEKNIEPHVSTSRLPLVLSEKVHRSKALIECEGDSVDLSGDLGAVGRIVISDSASEDHDMLLDLKGTIYKTTIVPSRTFCIVEAIMNDFIQLKPQSNVYEAETMVEGTLDGFTFDSEDELDKMPKTNDQTDQNEDIVGEMNGKAKGKGKGKADKASTSRKRGKPAGAKQQPATKARKKTAVSKKSKAKK >OMO95140 pep supercontig:CCACVL1_1.0:contig07732:15566:15901:1 gene:CCACVL1_05544 transcript:OMO95140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAMAVAHHEPKPINAKRKEEEEELKKRNEELEKALKESREREEEMRKELQKTWERLRVAEEAEERLCTQLGELEAESVNQARAYNARIISLMDQLSKANHRSPVSISIP >OMO95145 pep supercontig:CCACVL1_1.0:contig07732:36829:42860:-1 gene:CCACVL1_05549 transcript:OMO95145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFAAVGTICGYPMNIIRKRNVYFKVSSSMEDHNQSRVKCDSLRVLEWDKLCDSVASFARTSLGRQATKTQLWSLDQTFEESLRLLQETNAAILMHNHGSFNLDLTSIDLALVDSAIKHARRSLPLEPQEALALLSLLEFIEALQLNLKAAIKEDSDWYQKSMPLSELITQMVVNRSIIKFIQQVIDEDGSVKDSASSALKKARDQVRTLERKLHQLMDNLIRNETKDASLLVASNIDGRWCIRSGTDQQTSFKGLLLSSGSGLGSIIEPLAAVPLNDELQQARALVAKAEADVLLMVTEKIQTDIDDIEKILRSVIKLDIIYARATYSRSFGGAYPTIFLPNDIDGPLMAESYTSKENTSQTSNPKNEWIFYLPKAYHPLLLQQHRQKLHLARKDVRNATSEIRRRKLQGENISVVGEADAGLSSLEMQVRALEESPPVPTDFLIAQKTRVLVITGPNTGGKTICLKTVGLAAMMAKSGLYVLASESAKIPWFDSVFADIGDEQSLSQSLSTFSGHLKQISEIQSQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFAESGALLTIATTHHGELKTLKYSNDAFENASMEFDEENLKPTYKILWGVPGRSNAINIAERLGVPSIVVDNARELYGAASAEIDEVILDMETFKQKFQELIHESRHYLMLSRGLHKKLLLTRTKLKDLGADLRYKNVQELSKAAALARSDLHKKVRQLRTSTTKQSQLSKANKDTLASKYKRASRVDSEHQNNNTSSSSIQVIKQPQSEKISELPKVGDMVHVSSLGKRATVLRVDAGKEEIVVQAGNMKLKVKLVDLQT >OMO95139 pep supercontig:CCACVL1_1.0:contig07732:5210:11198:-1 gene:CCACVL1_05543 transcript:OMO95139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C78, ubiquitin modifier-specific peptidase 1/ 2 MEMESAKVEQIVSQFRSKILHVILDSRVPSFHHHSHQQQQQEPLSLSRVRKTDRWFNLVLGDRPAALENLNFSLRNLLDPMIIDIILVQHGSCSSSVDNVYSPSAAAVGPSNETVIERWVVQYDCPRVSSFSHPFSREEEAAMKDYCFVPVEAIPGRLCVSVTYRTTLSDFNLEPMVSLPPKIITDYVGSPTTDPMRSFPSSEKGVRATSFPLRGARPPSPAPAAFQRPHSWSSGFHRGFPFTPNQSVAGSPPAYRTSPMPYDIASPPSDMYGNRIQNQRLSTLQQVTAYDEYQLSPPFSPSASPSPPTYLSANPLLTHHRSETAPVSIPLPLTSRSSRYLSPNSSDPSRHSLPPMSPRSSKHDTSSQESPSAIRAYRKMESLRAGESPSGLSPHYSAHKVVRDSKEDSGRFSGLLSSSGSPRIGFSRSSSRLSFQDDMDDCEFSCPFDVDDVDVSDSLASRNVEGKKASEFNAAVVQNQATQAANRRLSGCRPIFSAVVPASAFGVPCSELQRHANSHFEDEDEEVKDLKLAIQIASSSGSSNTDDISSLIALQTRSNFYHVKDGLISLLRNCLELEAQHNSSVTILSGYVDHFQSLRSEDVGWGCGWRNIQMLSSHLLAHRQEAREVLFGGAGFVPDIVCLQRWLEIAWERGFDPPGAQQFNCKVYGSNHWIGTTECASLFCSFGLRARVVDFGPKESQTFYLSVPGSALGQEVVKRKAIKVSGPMDRYVHQKQGLKKPRPSCDSSGSRKSDNSKGKSEGPQVLVDWVWNYFADEGLNMSGSSRVVVSDRAPLYFQHDGHSRTIVGIQAKHQQNGKHQFNLLIFDPADRTIALERSLRENFGWQKLIKRGIHTLKKPQYQLCYIDHGIASGEELNQLKTVNSVFIEL >OMO95149 pep supercontig:CCACVL1_1.0:contig07732:51905:52144:-1 gene:CCACVL1_05553 transcript:OMO95149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGAPTPVGNSVFPANRVSLEAVDGARIGLGRPGACIAVGGQPDERKRLLQSDGGGRGEGDNNQKQQQQCSTKGNADL >OMO95146 pep supercontig:CCACVL1_1.0:contig07732:45064:45207:1 gene:CCACVL1_05550 transcript:OMO95146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTIMQSNKRPPEPCVTNPAASSPGIKNSLAIDFSDQFIRKPLTSD >OMO95144 pep supercontig:CCACVL1_1.0:contig07732:34475:35295:1 gene:CCACVL1_05548 transcript:OMO95144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAYHLWLLYRIVKHPTKTVIGVNAMNRRFWVRAMMEDPGKNGVLAVQTLRNNIMASTLLASTAIMLSSLIAVLMTSGRGERSSWLVFGDRSDLTYSIKFFLILVCFLVAFLLNLQSIRYYSHASILINVPVKKISQHQRHHHLTAEYVATTVNRGSYFWSLGLRAFYFSFPLFLWIFGPFPMFLCCIALVFMLYFLDVTFQFGWAVGVIDDNNGHHKDDEESGGLASA >OMO95148 pep supercontig:CCACVL1_1.0:contig07732:49341:49840:-1 gene:CCACVL1_05552 transcript:OMO95148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCASRPKTNEDAPVEAPPSHENPQVVSETLVQEENKNDGGDQNQNEQPLVDLSEPEKADDVVVAAEPAVAAEEAPKPAEGDVKADVDEVKVEATATTVPVAKEAEADKSDAAAPAKEEDKKDDAPLVTL >OMO95141 pep supercontig:CCACVL1_1.0:contig07732:17991:18314:1 gene:CCACVL1_05545 transcript:OMO95141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSMAKKKIEEEDQLRKRNEELERELKQSREREEQMRKELQKTWERLRVAEEAEERLCCELGELEAESVNQARAYNAQILSLMDQLSKANLSAAVNNHRASLSVL >OMO95142 pep supercontig:CCACVL1_1.0:contig07732:19655:22710:-1 gene:CCACVL1_05546 transcript:OMO95142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGKERGMVFRMPQNTFRQLQVKYKELENGFKAWLAKQSLPVEAAVVTAATAIQGAAIGGFMGTLTNDFSSTLPTPPQGSLNPQAMASLQQAQALSGGPLVQARNFAVMTGVNAGISCVMKRLRGKEDVQSSMVAAFGSGAMFSLVSGFGGPNQAANAVSSGLFFALIQGGLFQISQKYSQPRAEDPYYSRTRSMLNNLGLQNYEKNFKKGLLTDSTLPLLTDSALKDVRIPPGPRLLILDHIQRDPELKEYRARRGLKLDNNFSQSSKPKQRYDSVQTSGRICGGNEDGEMMQSIH >OMO95147 pep supercontig:CCACVL1_1.0:contig07732:46566:46897:1 gene:CCACVL1_05551 transcript:OMO95147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGCRMKSWGRRTLLWKGSSIRLDEPSSRALNYSSSARLTTRVVRARARLEFSKPNSNFSRVDAE >OMP12373 pep supercontig:CCACVL1_1.0:contig00058:6762:8058:1 gene:CCACVL1_00019 transcript:OMP12373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDIDSLIPYLLHLIVLHVSVAVGAIYLVYKYKSAAGNLPPGRKGLPYAGETLEFASASRSGCPEKFIRERSGKYSADVFRTSLLGEDMAIFCGAAGNKFLFTSQNKYVTSWWPKGLVKPFMGDFDNQQDQAAKLHLPSVLPLLKPDSLKQYTPIMDMMAKEHLHKYWWSPNPNPNNEQQVIQVFPLSKKYTFALACRLFMSVEDEQEVENLATPFVLATAGLVSVPIDLPGTTFHRAIKAGRLTRQQLLALVTNKKNELLAVNGRQRQTDLVDSMLMEGLPEIEVVKILIALFVASYDTTSAVLTFTISYLSDYPHIYNRVLQEHKDIVRSKEVGEALTWEDIQKMKYTWCVVCEAMRLTPPAYGAFREAKTDFTFAGYTIPKGWKVS >OMO64576 pep supercontig:CCACVL1_1.0:contig12797:49777:49977:-1 gene:CCACVL1_21667 transcript:OMO64576 gene_biotype:protein_coding transcript_biotype:protein_coding description:tripartite motif-containing protein 35 MEKSMPSTSLFAKEEAYARHMMKRILQSELMKQITMDHILLLQDIEKLHEEAKEDRSRMIKNEKAT >OMO64575 pep supercontig:CCACVL1_1.0:contig12797:31173:32590:1 gene:CCACVL1_21666 transcript:OMO64575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSNHFYFADDCDVAYQLSTSPGVNFCGSPALLAIAF >OMO64574 pep supercontig:CCACVL1_1.0:contig12797:25459:27786:1 gene:CCACVL1_21665 transcript:OMO64574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAMVTALSQVMGTDQQLLSFPQSSESTVKQEPAVQDQPENTRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAALAYDRAALKFKGTKAKLNFPERVQGNTEISYLTGQGDSSSAAAAVGPEQNPTTTPAHAVPSPWSQDSYPDLFQYAQLLSSSNDADISYYTSNLFKQDQLPLSPQFPSMSASSTFTSQHHLRPHQDQTRFSTMYDQSSSGYDYLNHQYGKDFDPSNPSE >OMO49876 pep supercontig:CCACVL1_1.0:contig16369:44877:46131:1 gene:CCACVL1_30779 transcript:OMO49876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MLHSLRISDGKATYCSRYVKTYKYLLEQDSCFAIVPNIASGFFGFGDIVRKSHDRGGQPRGIESNKVPKIGILPKYAMNDSELKWFRIPGFNTIHVINAWESEEDDDENILSVDNIFNLKIYASLEKVKINIKTGEVSREKISPRNLEFGTINPSYVGRQTRYAYLGLLEESPKMSAVVKIALETGREVARRFYGPNCYGGEPLFVRRDGESNINNDEDEDDGYVMNFVHDEKANESKFIILDAKSPELEITVVVKIQRRVPYGIHGLFLSK >OMO49871 pep supercontig:CCACVL1_1.0:contig16369:3597:6899:1 gene:CCACVL1_30774 transcript:OMO49871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MKETLRYLAGIAGPSGFGSKSTAEQVTQISSNSMVQLTAIITGATSGIGAETARVLAKRGVRVVIPARDLKKAVELKERILKESPNAKIILSEIDLSSLASVKRFCTEFLALGLPLNILINNAGIFSQKLEFSEDKIEMTFATNYLGHYLLTEMLLEKMIETAEQTGIQGRIINLSSVIHSWVKRDSFCFSQMLNPNNYNGTRAYAQSKLANILHAKEIARQLKAKNAKVTINAVHPGIVKTGIIRAHKGFITDSLFFIASKLLKSTSQGASTSCYVALSQKTEGISGKYFADCNESNCSALANDESQAQRLWRHTRALIHRRLQVCNIKHQL >OMO49873 pep supercontig:CCACVL1_1.0:contig16369:24798:27803:1 gene:CCACVL1_30776 transcript:OMO49873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNPWTLFSSLFLSFSILIPFLYTALAVNQQGEALLSWKTSFNGSPPEALNNWDAMDETPCKWFGISCNFNNQVVELELRYVDLFGKIPSNFSSLVTLNKLVLSGINLTGSIPKEISTLTQLTHLDLSENVLTGQIPSELCSLFKLEQLYLNSNQLKGSIPIQIGNLTSLKWLILYDNQLSGEIPSTIGNLKNLEVIRAGGNKNLEGPLPSAIGNCTNLVMLGLAETSISGFLPSTLGLLMKLQTIAIYTAYLSGQIPPELGDCTELQNIYLYENTLAGSIPRSLGNLKNLQNLLLWQNNLVGIIPPELGNCNQLLVIDASMNSLTGSIPESFGNLTSLQELQLSFNQISGEIPSALGNCRQMTHIELDNNQVTGTIPSELGNLTNLTLLFLWQNKLEGNIPATISNCHNLEAVDFSQNSLTGPIPSGIFQLKKLNKLLLLSNNLSGQIPPEIGNCSSLIRFRANDNKITGSIPIEVGNLENLNFLDLGSNRLSGFIPEEISGCRNLTFLDLHSNAIAGNLPVSLSKLVALQFVDFSDNLIEGTLSPTLGSLSSLTKLVLANNRFSSSIPIQLGSCSKLQLLDLSSNQLTGSIPASLGKIPALEIALNLSCNQLTGEIPEEFTALDKLGILDISHNQLVGDLQYLAGLQNLVVLNVSHNNFTGLVPDTPFFSKLPLSVLSGNPSLCFSGNQCSAAEYGGRGGSSSKRTAARVAMVVLLCTACALLLAALYIIISSKKRSRGPHHDCDIDGDADLEMGPPWELTLYQKLDLSIADVAKSLTASNIIGRGRTGVVYKVTIPSGLTIAVKRFRASDKTSAGSFSSEIATLARIRHRNIVRLLGWGANRKTKLLFYDYMANGTLGELLHEGGGREMLDWEIRFKIALGLAEGLSYLHHDCVPPILHRDVKAHNILLGDRYEPCLADFGLARLVEDENGGSFSANPEFAGSYGYMAPGNFVGSYVPVSMRLTFGFFVIIMFNMLIRRLIFDSDETNLRLCLDSKG >OMO49874 pep supercontig:CCACVL1_1.0:contig16369:30827:32598:-1 gene:CCACVL1_30777 transcript:OMO49874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSYSSASDCKVLETVIKKNFERFASSSAIAVVDDDDPFS >OMO49872 pep supercontig:CCACVL1_1.0:contig16369:8992:11555:-1 gene:CCACVL1_30775 transcript:OMO49872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromosome segregation protein Spc25 MESLKTICDREVPIQQQKLDSFAESFPTSLHSIKAQAQDTAQNQAKLAKMKAYLREAEDELVKVLAAKTRKEAKQMATRDTISDVKARVEELKRTVQVQRARKDEYAAIISQQSLVLAKTEEEVKHEMEENREIQEAISWYNRVLGFHIEGGHGVKFTFNDIDIKNPKQEYSFTIRHANDTYTLLDCEPHLNGIKELINELNRTNGLFKFVRIMREKFQEAAALGLQSQSTSFDQDSSTMSMSGPALSVSTTRSESSARKNEHHIPHREVNRQFKKVNHGSKSSGKINENQIHDGEFNRLPKIVAKSDILSPHVRRSPRLKD >OMO49875 pep supercontig:CCACVL1_1.0:contig16369:33088:37508:1 gene:CCACVL1_30778 transcript:OMO49875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKIGGQIERVNGRELSYSEFAERYLAKNQPVVLKGLMDDWRACKDWVFTNGQPNLHFFSTHFGKSKVQVADCDTREFTDQKRLEMSVLEFVNHWLQSSVESLDGDGNGKSVLYLKDWHFVKEYPDYVAYRTPLFFIDDWLNLYLDNYRMHDDPEAHQENNDICCSDYRFVYMGAKGSWTPLHADVFRSYSWSANVCGKKKWLFLPPPQCHLLFDRNMKSSVYSIFDDISETKFPGFKKAIWLECIQEQNEIIFVPSGWYHQVHNLEDTISINHNWFNGYNLSWVWDLLLRDYKEAKEYIEDIKDICDDFEGLCQCNLAANTGMNFKDFFIFISRFALANVVELCYLLGESKSKSWCSSAMIEQFALNLASIMKIASKMISEGGVQGNLGILDLREALNDPKFLKLCRDLGRIYAVIHEEEKWSSIVKEALMDDFEYGSQVCSPEDLVRFIEYFVSKLSANCTDQNTLLSVFCAADDNHV >OMO61712 pep supercontig:CCACVL1_1.0:contig13424:27084:29080:1 gene:CCACVL1_23308 transcript:OMO61712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MATAKVTSVKALADLPGLSSIPTTYSIQSTPNIVNEEAVSDATESIPTIDFSLLTSTNPEERSKAIQELGKACEDWGFFVVTNHGMGESTMKGMIEACRAFFELTEEEKKEFEGKTVVDPIRFGTSTAPGDKVLFWRDYLKVLLHPNFNSPNKPPTFREFALEYGKGARYVAREIVRGICDSLGLEEDYIDKVFNLENGVQRMVANFYPPCPQPELAMGLPPHSDHALLTLLIQNGIGGLQVEHQGKWININPIPNTFLANIGDFIQILSNGKYKSILHRAVVNNRDTRISIAIPHGPAIDAVVSPASKLIDPVSNPPIYRAVKYKEYLELNQSNTLDGKSCLEHIRIR >OMO84171 pep supercontig:CCACVL1_1.0:contig09780:9359:12925:1 gene:CCACVL1_10967 transcript:OMO84171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKYALARVDDQEISFQKSVFVWPSGAVGGYGDG >OMO84170 pep supercontig:CCACVL1_1.0:contig09780:5755:8307:-1 gene:CCACVL1_10966 transcript:OMO84170 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase MSEPVVCVTGASGFIASWIVKLLLQYGYTVKATVRDPSVFHTTSPCYYDPKDPQVELIDPAVKGTLNVLRSCAKVPSIKRMIITSSLRAVVFTGKPLADNDIVDETWFSDPDFCEKLKLWYMLSKTLAEKAAWNFAEEHDETIPWMDVRDVANTHILAFENSSTCGRYCITGKPMHSSELVQILRELYPTLNLPKKCADENQLKISCQFSLERVKSLGINFIPLELSLKDTVESLKENSFL >OMO84172 pep supercontig:CCACVL1_1.0:contig09780:16108:26801:-1 gene:CCACVL1_10968 transcript:OMO84172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant MDNNVPSTSNEVELEDFGGDSVDYSPLFVTDQTFETDSKAIDWAKHIAIRNGFELTISSQKDGGRKKILQVELEDIEKSIKSVPVSSKPPRIGWSRQLDRPLASVDKDDDYLLAKENIFLPLLVHYQGRFEWQGPRLRYVDGIVDDIRIDPDKLSYFEIVGVFEDNGYQNVKNIYYLRPGFRLEQGLTRCSRSPEIYLALPPPENNGNEENGEGNDEGPEGGVQEEPVDHIEENDEAADIEEPVVDQEVNEQAANIGEVVHNEEEVENHVEVETEEYVGEAAAETSNVGDKAGPSIDEDEGGYFDVRVGVDPAYYTQGGLGEDSDDDEFVDSRQRILRELKSLFNSMKRASTGDEGNGQDNQERPENTDGAFDEDWYYNEEVFGEVVNDDDEKFDDATRRAGKYPVYDGTAEKPYIEKGMLFTSTEEFKHAVSLMALHAKKAIVWVKNDRRWVTGKCKAVDCPWRIYGTFDKHLQSFQYRTFNSNHDCGKAIVNPRLSRKLLKELYEDEIRDDPFIQTEKIVKDVRKKYGVGVKMTMVRRAKKEVFDDVIQNYSKEFSLLWDYALAIRKSNPGSTTLLQVHQTDPEGNSNPVFDRFYVCFAALKKGFQDGCRPFIGLDGCWLKSLTKGELLVAVGRDANNQMYPFAWALVEKENTVTWKWFLELLIEDIDIVQEGSGWTLMTDQQKGLDKAIHRVLPRAEHRYCARHVYVNFYHRGFKGEEMRQCFWRIAHAITMREYLAGLEELKKKRPLAYKDLMEVEPPHHSWCRAWFKDDSMCELVDNNICEAFNGSLLGARRLSVVSLFEEIRRKLIERIASKIKECSNWKGNHGRRIWNVIEKNSRVANNCEVMFNGDDGYEIQHGEDRYVVRLDEKKCSCRRYTLSGIPCAHAICAIRERGAKIEDYVSSWYHASTYMACYANVLQPMTGRSDWPKAPGGQLPIQPPPFERVPGRPKTARRKKPDEPNKDKDDTHLSRVGQIQTCSKCQQQGHNKKSCKKDMEGTRAEMTSQPTPTPTVQQGRIDATGEFVEVATSGSFIFSGSQSMPHTIFTNFSISASATGSIFMPASVSTQVSAEKPTAPSQQQTSASTSVPKKSKPPKATSRPLPKILTMPPRTGDCSWIDEAGNHHGLKRGRSSPASVAKFKKAAVAQNRVQSSQAPVRRSPRNLQKGSVE >OMO84169 pep supercontig:CCACVL1_1.0:contig09780:2731:4328:1 gene:CCACVL1_10965 transcript:OMO84169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-ACP thioesterase MKNIVGMAKLGFCSSGNLIKPKRRSLLLIASAQKPQSLDMINGKKVNGISVGEGPYTGIKKIELIKENVADKSDHSSLVGRFVEERHVYRQTFIIRSYEIGPDKTATMETLMNLLQETALNHVRSSGLAGNGFGATREMSLRKLIWVVTRIHVQVERYSSWGDVVEIDTWVDAAGKNAMRRDWIIRDYNTQEIMTRATSTWVIMNHETRRLTKIPEQVKQEVIPFYLNRTAIADEKNDIGKIDKLTDENAEQIRSGLAPRWSDMDANQHVNNVKYIGWILESVPLPVLEEYSLTSMTLEYRRECRQSNLLESLTSSTANVTEDSNKNNSSNRKADLEYTHLLRMQDDKAEIVRARTEWQSKQKQNYE >OMP05835 pep supercontig:CCACVL1_1.0:contig05188:21:80:-1 gene:CCACVL1_01816 transcript:OMP05835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPCRSVCWGGGGEQSER >OMO86397 pep supercontig:CCACVL1_1.0:contig09490:20840:21588:1 gene:CCACVL1_09604 transcript:OMO86397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGNKEKRLKVEQRQQQKKEFSCKYCNKKFSNSQALGGHQNAHKRERAIGKIEKGMMDFYHQPRFPNDLPRVNMGMGALTHEAAYNYSPGYLYNGHGWYPNFLGSKAAMPQSDQLSYWNPNNGLCLSQQGTTPFPFNSPPISIQFQSLSFKYFSGKEQWVKIKHRWNSRNWYMLSVLSTGINFHN >OMO86398 pep supercontig:CCACVL1_1.0:contig09490:24805:25290:-1 gene:CCACVL1_09605 transcript:OMO86398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTLQKLSSQIHRLPSLSAHILTRSSATSASPSASTSSKKVADRIVKLFAIDPEGQKREVVGLTGQTLLRALTNSGLIDPASHRLEEIDACSAECEVNIAQEWLERLPPRTYDEEYVLVRNSRGRILNKHSRLGCQVVLTPQLQGMVVAVPEPKPWDIP >OMP10486 pep supercontig:CCACVL1_1.0:contig02420:6:785:-1 gene:CCACVL1_00943 transcript:OMP10486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVAISSSRLRPLSTNPAAVVTSERRKCRRDGIQALHGAAVVVLVVAGDQLLRQAGQLGRIACQRLHLGSHGSLAPIQWWSSGYTARPINAAPATITGSVSFLNLSSSATVTSASNTVGTSTMERLASTITAPVMAAHGGGCDTVDKRQDGGQLAVLLEVRRRQDGEQVARQERASRCYASAGQARDQVADEAHRDDHRPRRDHCHGHRIDELFIVEPVVFGDHAAIEEWHDGQARAKHEGARFGKEHADLRQQRPVD >OMP01468 pep supercontig:CCACVL1_1.0:contig06445:3827:3892:1 gene:CCACVL1_03092 transcript:OMP01468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKNHQFILQRHAHGNQRQM >OMP11579 pep supercontig:CCACVL1_1.0:contig01135:4057:6897:-1 gene:CCACVL1_00427 transcript:OMP11579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain HTPRVNGEIPDVNDATLDHERLSERLATYGLAELQIEGDGNCQFRALADQLFRNPDYHKHVRRQIVKQLKHSRKLYEGYVPMKYKTYLRKMKKSGEWGDHITLQAAADRLLEALGLKDCNYIS >OMP11580 pep supercontig:CCACVL1_1.0:contig01135:10814:13519:-1 gene:CCACVL1_00428 transcript:OMP11580 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGAKCTLKAKKPKIILVPYPAQGHVTPMLKLGSALLSHGFEPIVVTPEFIHHRIAANMDPIDEIRFMSIPDGLEQEGPHDFFAIEKAMENIMPTHLEGLIHKVDEEEEGEDGRVVCMVIDLLASWAIEVANRCRIPAAGFWTAMQATYRLIAAIPEMVHSGLISKTGSAYAINRRPSMANWNPSCKKSKIQILDSNFRTFNISSMAPH >OMO63714 pep supercontig:CCACVL1_1.0:contig12913:7143:7625:1 gene:CCACVL1_22338 transcript:OMO63714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLTLESIPLQLWHDLFFMEIGNLWGSFITLDDSTSKKCRFDLARILVSIKRYTKIPSKIVISHNGGHFEVPISTEPFRDFISFKSDRNLPYSDNDQISEDNSSTDNLSDSKLNDDSSPSMQDVEAYEVDNIVGKRSCYYEMNDVGVCLSYRDREKQLI >OMO72827 pep supercontig:CCACVL1_1.0:contig11356:16941:19765:1 gene:CCACVL1_17576 transcript:OMO72827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPRPPPPTELRHVDSRIWRACAGNSVQIPTVHSRVYYFPQGHVEQSCGSTSLLSPLVLSRPLVPCVISEVHCLADPKTDEVFAKLLLFPVEPSRLPNQFLNINGEDDDSDKIVSFAKILTPSDANNGGGFSVPRFCADSIFPPLDYNAEPPVQNLDVTDVQGGVWEFRHIYRGTPRRHLLTTGWSKFVNNKKLIAGDSVVFMRDSRGKMFIGVRRATKRGEVGGDSGRWREANNGGAMRWEGRGRMTAEAVAEAAERAVKGLPFEVVYYPRAGWADFVVRAELVEAAVNTFWAGGTRVKMAMETEDSSRMTWFQGTIMSAAVPDSGPWVGSPWRMLQVAWDEPEVLQNAKRVSPWQVEIVSSSPLHPSIPSAKKFKYSQDSGLTDPEGEIFFPMTGFTNSTLGYMNPSLLNYNSFPAGMQGARQNHFHLQSLTNSVSENSPMIYTDSISGNFMVPNLKRISTELNIGSSASDNLSPDSQSSILSYGTELTENGGSNSSKAGGSSIQLFGQIIHQTEPVGSRFDDVGHDLGKRYEEGADVTGYSKLLDRLDVQCQRASAVEGFSL >OMO72826 pep supercontig:CCACVL1_1.0:contig11356:11398:12729:1 gene:CCACVL1_17575 transcript:OMO72826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF2/IF5 MALQNIGASNSDDAFYRYKMPKMITKIEGRGNGIKTNVVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGAHDTAKLAGLLENFIKKYVQCYGCGNPETEIVITKTQMLTLKCAACGFISDVDMRDKLTTFILKNPPEAKKSAKDKKAMRRAEKERLKEGEAADEELKKIKKESKKKGTSATSKDASSKGATTKKKGKHSDEDHSPAHSQADENEHVASEDDDDDVQWQTDTSLEAAKQRIQEQLSAVTADMVMLSTDEEEKKKTAKKSPEREVKVHGNGVGAHEKLLHEIKEHLNKGSSASQLKSFLGSLSGTPQEVMDALFEALFHDVGKGFAKEVGKKKNYLAAATQEEGWETVLLHSIESFCGKARPDAAKEVALVVKVLYDNDILEEELIMEWYQKGLAGSNKSCPIWKNIKPFIEWLQNAESESEEE >OMO89235 pep supercontig:CCACVL1_1.0:contig08816:6165:6299:1 gene:CCACVL1_07968 transcript:OMO89235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNLAFDFPVGNRIYCDKKVLLVKFGRTWVSKTQCRRFKSYGV >OMO89237 pep supercontig:CCACVL1_1.0:contig08816:14195:18505:-1 gene:CCACVL1_07970 transcript:OMO89237 gene_biotype:protein_coding transcript_biotype:protein_coding description:WASH complex, F-actin capping protein, beta subunit MEAAMGLMRRMPPRHSETALSALLSLLPQHSSDLLSQVDLPLQVLCDVDIGKEFILCEYNRDADSYRSPWSNKYHPLLEDALYPSSELRKLEIEANDIFSIYRDQYYEGGISSVYMWEDDNEGFVACFLIKKDGSKNAQGRRGCLEEGAWDAIHVIEVGPEQEGATRYCLTSTVMLSLTTDDESSGTFSLSGSLRRQMHMNLPVADGHLCNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPAEVAQMRLPDS >OMO89236 pep supercontig:CCACVL1_1.0:contig08816:10762:13196:1 gene:CCACVL1_07969 transcript:OMO89236 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperone MSRHPTVKWAQRSDVVFITIELPDAQDVKLKLEPEGKFYFSATSGADKIPYEVDIDLHDKVDVGESKASLGKRNICYLIKKAENKWWSRLLKQEGKPPVFLKVDWDKWVDEDEEDEDNKLAPDMDFGDFDFSKLNMGGGEGFGGAEGEDDDDSSDTEEENVELPPLHKDASSAGGEAEIKKD >OMO90411 pep supercontig:CCACVL1_1.0:contig08479:105578:109197:1 gene:CCACVL1_07374 transcript:OMO90411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKASSGTKPSRVLPYETPSLTEHYSLGKKLGQGQFGTTYLCTHKSTGQNFACKSIPKRKLICQEDYDDVWREIQIMHHLSEHPNVVRIRGTYEDQLSVHLIMELCEGGELFDRIVAKGHYSEREAAKLIKTIVGVVETCHSLGVMHRDLKPENFLFDTVEEDAALKATDFGLSVFYKPGESFCDVVGSPYYVAPEVLRKHYGPEADVWSAGVILYILLSGVPPFWAETEMGIFKQILRGKIDFDSEPWPAISESAKDLIRKMLDRNPKRRLTAHQVLCHPWIVDDTIAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDSSGTITFEELKDGLKRVGSELMESEIRDLMDAADIDNSGTIDYGEFLAAT >OMO90407 pep supercontig:CCACVL1_1.0:contig08479:55510:62957:-1 gene:CCACVL1_07370 transcript:OMO90407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFSTIIERWWLLTVSGFLLFLLVFISNSRNGYKVSVFQNLILPKTTNSADQSPIPSNYYIVNKEPEKFSNQIPNLELQPCSIPLNFTPIAGLQNSKPAIPSLPSSDLEISRPSNFPAAKIKPETTTPSSRQNHLPGLKNSKPSRISNRDKPLPRTTEKKMGRCNMFEGKWVYDPGASPLYDSTMCPFLSSRANCQGNGRQDKEYEKWRWEANECKIPRFDAKDLLERLRGKRVVLIGDSINYGQWESLACLLYSAIPDKSHVDLRNRVFISKSYNLIVESRWAQFLVDVIVNETNGKKILNLESISSTAWEWKGADILVFNTGHWWMGQRWDWILYKQKLYTDMKLEKGFKLAMQTWARWIEQNVDTTKTTVFFRGLSPVHSGRQRCYRAKQPIKPDEPFKLKFIESLIKGFTLVLVFSMINNNRSSSGSNISVFRNLYLTLPETVSSDQSLTLECNIFEGKWVYDPGASPLYDSAMCPFLSRRAICQANGRPDKEYEKWRWEANECKIPRFDAKDMLERLRVEFRSAQFLVDFIVNETSTGKNILNLDSISPAASQWKGADIMMFNTGRWWLTRRKWDWFLYKKKLYADMQLEIAFKLGMKIWARWIEKNVDSTKTTVFFRSLSPAHSGIDKCDTAMQPIKPDRPFNLHLDESLIKGVVERTIKGMRTPVKYLDITKLTEYRNDAHFSFYWVKNETRPNPDCTHWCLPGVPDTWNQLLYATMVLDNSNSISDS >OMO90408 pep supercontig:CCACVL1_1.0:contig08479:71231:71554:1 gene:CCACVL1_07371 transcript:OMO90408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILKKIVRDVNVSSKKEKISGDAEIPIKREKNLSNAKGSFGNLIQEECLMSWKRSRGRRSASCVICRARWSVYQEKYPNLTTYISQDEAAGDVGDSGGGDISLCAG >OMO90410 pep supercontig:CCACVL1_1.0:contig08479:100415:103068:1 gene:CCACVL1_07373 transcript:OMO90410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLFFKKLGASELYRSCEGVAEASKKGFCWLQLKRLGMPEWGVSRQKFMGPLGDSTAKQSSGLIKEEYDEDDEKPLIAELNSCRQLRKRKCLSSDQIKTEIAGRCGRERSDSDRKKSKQKKRNSSAERWSTDRYKLAEENMLEIMKAQGAVFEKPISRPALRTAARKLIGDTGLLDHLLKHIDGKVAPGGTDRFRRCYNTSGVMEYWLESAELANIRKEAGMSPFWRKPDGGSFQDPACAVELKLLKEEMAKMKRDMQELLSKQQEQGQANSIEEMQKEMVKWKAKTDERLTEFSSSLNGMQEMCKELVPWKARVEQQLLEMSNSLSSLQASKQCDIFSPASERWEDWLESSNLDNLQGGNLAPWFESPIDFALDAAVQDIDVATIAWPRPSHSPSHGPISVGDLDVLDGEMAKKKRDVQELAPNRQEEDQANVTPDSSVTTNSKLDLDNSLMLFQEMLKDWMKWKAKIEQQMMEMSGAISALQTSRQ >OMO90406 pep supercontig:CCACVL1_1.0:contig08479:52570:54960:1 gene:CCACVL1_07369 transcript:OMO90406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLEVEEVMYQDTVKYVENQVQTVGASVKRFYSDVMQDVMQDLLLPSSLEEPMKEVAASDFPVEEDAESLKALNICLKEDAIRADGEQLTDDSELSADINKDAAHVPLTSQIHIADNVFESCSGNVMERASSDLLSGEHNNESIPSKLNVENLPLAETSSEAACLENEFSQGMSSFCGNDNANHDMSGHQIPATLDPVSVEDECESIEEGCNEIETASDSIPEISTDGLHLVESIGEKEVEIRCSPSVTGSAEPIDGSSNSGKVSVIGCSVNDDIQHKEFSDKINSASSPGQSNNWTMDDSGSSSVPEQEIETVQQLDKARVDESCIMVNGAEFYFHPQREGKQKPYQKKIRDAISSRIRSARKKEYERLALWYGDDVKSDQDCEGSSMSASRKEDTRRSLTHDVNDSEWELL >OMO90403 pep supercontig:CCACVL1_1.0:contig08479:36916:38535:-1 gene:CCACVL1_07366 transcript:OMO90403 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MAIAGPSGAGKTTLLEILAGMIPLSSLSGNVLVNNQPMDPSHFRRVSGYVTQDEILFPLLTVEETLMYSARLRLREGHDKAAAARVRELLKELGLEHVANVRVGGESNRGISGGEKRRVSIGVDLVHDPAVLLIDEPTSGLDSASALHVALLLKSMATKQGKTIVLTIHQPGSRILELFDQVLLLSNGTVLYQGSLNLLEERLRIAGHSIPRHVNILEFSIEVAEALGIDAEEEINPDIEQGFHEDSTNYVKESNISYANTQFKEVLILGQIFSSNIFRTKQLFVARTVQSILAGIVLGTIFLNAANNSKLQTQIGFFAFTLTFLLSSTTEGLPIYLQERRILMRETSRGAYRISSYVISSTLVFLPFLLIVALLYTVPVYWLVGLRREVNAFLYFSIVVWIVLLMSNSFVACFSALVPNFIMGTSLIAGLIGAFFLFSGYFISKQDIPKCWIFMHYLSLFKYPFECFMINEHGGGNGQKRCLKVVEGQCYLYGKELLMQQGLKESQKWSNIIVMLSFIIGYRFLSILILSFRSYKTKG >OMO90405 pep supercontig:CCACVL1_1.0:contig08479:44693:48457:1 gene:CCACVL1_07368 transcript:OMO90405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adaptin ear-binding coat-associated protein 1 NECAP-1 MEKEKEPKANETKEEVKIDEKEETEDALELILFQVPECYVYLIPPRKSAASYRADEWNVNKWAWEGTLKVISKGEECIIRLEDKSSGELYARAFLRNGEPHPVEPVIDSSRYFVLRIEENIGGRLRHAFIGIGFRERTEAYDFQAALHDHMKYLNKKKTAEEMEQQFQKTSSSVDYSLKEGETLVLQLKNKGSSSVKSKVLEQGVGNLSLEDKGKQTEPVTGIKLPPPPPAPLSPVASSQKSPTSSPTSLSLEGNSKHKAPDSAKEQPDEQHSPETQSTEDIMDDDFGDFQVAG >OMO90402 pep supercontig:CCACVL1_1.0:contig08479:27100:29827:-1 gene:CCACVL1_07365 transcript:OMO90402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIDGGVHVGHSCFKDERGESRVITIAGADTGFSVRKENEIYSDHGIRCASAAVGKVTELKCLKGVSNVPSYTVKGAGSYIGCLISYDVHSVDRREEEHRLDKTLSIVLQQLEERKIHIISISMNAWNKKTAAALLYARDLVFENGLKAVKHGALICNSAGNNGKLGLSTVTGGLAPWVLSVGSIQTHGKPVTRIVLDDEDGTECDPDICAPGDEILCAEGEKGEYGACSGTSIANACVAGMLAYIKAIHQDWTSMRLKSAVMTTASYDHLVFNDPTDALGYGAGIIDVAKAMDPGLVFDVTNVDCDRYMRNIEKNIPRPGMDFNIPSFSIILDKEKRSYTFKRRLTNIMNYSCTFRGYFKPYGIFPSSIKVEPKPDQLEFQPGAQKGFELKVKAKTGGKAIIDKVAFGRLIWEEVGADCSHKVECTVVLLSPDFRKNREVRMNNFEELLESEATMRSRSLKLNYFIYS >OMO90409 pep supercontig:CCACVL1_1.0:contig08479:80098:89417:-1 gene:CCACVL1_07372 transcript:OMO90409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGILSKDGVVLVGEKKVTSKLLQTSSSTEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTFAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYSGWKASAIGANHQAAQSMLKQDYKDDITREEAVQLALKVLSKTMDSTSLTSEKLELAEIFLTPSGNVKYQVCSPDSLNLNLRIIRWVGTFPKLQGDTKVLAAVYGPKAGTRKNENPEKACIEVIWKPKTGQIGNLEREYEMILKRTLQSICILTVNPNTTTSIIIQVVNDDGALLPSAINAACVALVDAGIPMKHLAVAICCCVAKSGYVILDPTKLEEQKMKAFAYLVFPNSVHSVLPEGSLRVQGEPLQHGIITSATHGKMSVDDYFYCLDRGRAASAKLSDFLRRNLQLHPTNPSKAGPPSNNISVLQNLTMPITATDNRFAMQGSEEAEAAKSSNQFPISRLQSSCIPLNNKDFPETMSSSSQSQVPSSGLRNPNPSDSLSSDNVKQATTFPFQFPSSGLKDAEQSEVTDKTEAGETIPSQTQVPLLGMQNQEQPKDNDDVKQGTIPSFQVPFPGLKNAEQSEVTGKTEEVQTQVSQNQEAPKEDNDEEESINERKECNLFEGKWVYDPQEYPLYDSSMCPFFSDIVSCKRNGRPDKEYEKWRWEPNDCKIPRFDAKDMLERLRGKRVAIIGDSINHGQFESLACFLYSAIPDKSYVDSRNRVFKSEAYDTEIQNHWAEFLVETIVNKTDGSKTLKLDSLAPTAQKSKGADIMVFNTGHWWSNRKRWGKLQYKRKVFEDMKIETAFKVAMKTWARWIERNVDPTKTKVFFRGMSPPHFGKDWCYKVTKPIMDDSYKLTFGVTLKQIVEKTLQGMRIPVNYLNITQLSGVRPDAHSSIYARKDGKLIIATKQQNPALVADCSHWCLPGVPDTWNHLLYATLVFDDSSSDISTT >OMO90404 pep supercontig:CCACVL1_1.0:contig08479:40436:43192:1 gene:CCACVL1_07367 transcript:OMO90404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MALCASSSQKLFLASSSTENGRAKERNGRRVSINYGSRREFGVHVRMAAASETAYEEGQLEMPKWAGENTLSRLVKALISIKPLFSLLKLGARQVLISTAEKNNIPWREKAREILESDVYLELPTIENPSLQYPDYYLSPFHAYDEGNLSWLAAAEAEVATMSVARRALPDASSIEEATKILRGNWLQAVEQHHMKYSGNSTVESILDIGCSVGVSTRCLADKFPSAKVTGLDLSPYFLSVAQFKEKKGAPRKHPIRWIHAAGEDTGLPPKSFDLVSFSYVFHECPERAIVALVTEAFRVLKPGGAIAITDQSEMPPALFTLLRSTEPFLNEYYLTDLEGRLKEIGFVNIETVLTDPRHLTMTATVPHQHD >OMO89815 pep supercontig:CCACVL1_1.0:contig08601:74:1024:1 gene:CCACVL1_07615 transcript:OMO89815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTTAARLPSYRLFAEHLLDPDQSTVTRILNLAQNRPTTHPLLLDYFSQTANASLLCGLLLKVIDHTRVKYRSFRTSFQALEVVQFSNKNQFSGIITCLIEFSNCPNPFISSSPSSSRVQIIQAGCCELLKRLETNRDKARAKLHLVNSLQHGSGIFLVALTTSLTIIVASHALALLVTAPGLIAASLELASSRRLFRESAQLDAAAKGTYILNRDLDTISRLVTRLNDEVEDMRAMVKFWLERGEDRLHASCEVARRLKKNDANFTQQLDDLEEHLYLCFMTINRARNLVVKEILDPGPPTIHTRTRNPDLMSR >OMO89816 pep supercontig:CCACVL1_1.0:contig08601:8629:10911:1 gene:CCACVL1_07616 transcript:OMO89816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MAAEVGKVKITGKSHVKPSKVIGRKECQLVTFDLPYLAFYYNQKLLFYKGGDFEEKVEKLKDGLRVVLEEFYQMAGKLGKDEEGVFRVDYDDDMDGVEVLEATAEGISVEELAADEGTSSLKELIPFNNILNLEGLHRPLLSVQPFLERTKARNTRVKLDLSLPPNPVANGDAANHGPVLREKLFRFSEAAIDKIKAKANSNPPSDGSKPFSTFQSLAVHIWHHATRARNLKPEDLTVFTVFADCRKRVDPPMPESYFGNLIQAIFTGTAAGLLLANPPEFGASLVQKAIEAHNAKAIDERNKEWEAAPKIFQFKDAGVNCVAVGSSPRFKVYDVDFGWGKPEGVRTGSNNRFDGMVYLYQGKSGGRSIDVEVTLEAAAMEKLEQDKEFLLEA >OMO91869 pep supercontig:CCACVL1_1.0:contig08294:11:4809:1 gene:CCACVL1_06988 transcript:OMO91869 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MFLHCSKARMSGREETLANLVGRKRRNVKKKNASETSNNRLEQDSNENRTNAPVTQADVVGISQVNPPQFEGGADALVAETWVKELEKVFKAMRIPNESRVDLAITQLKGNAEYWWDTTKPAYESSDEPLTWIKFKEIFFEQYFPKSVRKVKENEFLQLQQKPGMSVLDYANKFNELGRFCPPIIDTDENKATRFEHGLLPSIRSRLSSHIIESYQDALQRAIKIETDLKRYEREMGQRKRPRFDGGHNNRQQNAKSAGDKKWREKCKTCDRFHSGECYKKWGVCYECGIYGHIARDCPNKKNEAAKSKPMESSQKSNARVFAMTRQDACTNEDVVTGTIPINNVNACVLFDSGASHCFVSVEFAASLNCVPEKLDEPLFVATPVRKTMYADLVHKNCVVHIKGCDLVADLVQLRMKDFDVILGINWLSDNHAFIDCHGKRVIFRRPGQPELVFNGGRVNNPIQVVSFLNAMQSLKKGCHGFLAYVKDTQIDESVRLEDIPIVKDFPDVFPEDLPGLPPDREIEFEIDLVPGTTPISKAPYRMAPSELRELKEQLQELLDKKFIHPSVSPWGAPVLFVKNKDGSLRLCIDYRELNKVTVKNKYPLPRIDDLFDQLQGSQVFSKIDLRSGYHQLKIKADDVPKTTFRTRYAHYEFLVMPFGLTNAPAAFMDLMNRIFKQYLDQFVVVFIDDILIYSKSDEEHENHLRIVLQTLRDKQLFAKFSKCEFWMRSVAFLGHVISKDGISVDPKKIEAVVNWPRPTNVTEVRSFMGLAGYYRRFVEGFSRIAVPLTRLTQKRANFDWTEECEQSFQELKNRLVSAPVLTLPTDGGKFTIYSDASRKGLGCVLMQDGRVIAYASRQLKPYEQNYPTHDLELAAVVFALKIWRHYLYGESCEIYTDHKSLKYLFTQKELNMRQRRWLELIKDYDLSINYHPGKANVVVDALSRKSTGSMAALITTQRRLLEELDGEQIEVVMQGEGVLLASLVVQPSLIERIKVAQKDDLELCRIKEDVENGLKTDFNIHIDGTLQFGSRLCVPNDFVLKREILEEAHGSRFTIHPGGTKMYKDLKENFWWNNMKREIAQFVSQCLVCQQVKAEHQRPSGLLQPLEIPEWKWEHISMDFVMGLPRSTKGNNVIWVIVDRLTKSAHFLPIKSTTPLDKLAQMYVDEIVRLHGVPVSIVSDRDSRFVSKFWASLQRAMGTDLKFSSWDDHLPLAEFANNNSYQSSIGMAPFEALYGRKCRSPLYWDDIGEKKLLGPEVVQMTVDKIKVSPTKGVMRFGERGKLSPRYVGPFEILDKIGEVAYRIALPPQLSSVHNVFHVSMLRKYVPDPSHVVDYEPLEVREDLTYPEHPIRIVDRKEQVLRRRTIPFVKVQWSNHTPREATWEMEEDMRKEYPYLFADED >OMO73248 pep supercontig:CCACVL1_1.0:contig11275:21378:26739:-1 gene:CCACVL1_17362 transcript:OMO73248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSNIGHGEEDQRKKESLDSRFNQTLRNVQGLLKGGSIPGKVLLTRRSDPLDDSGLQDRSPSYQRSFSQNDAGTSEDMDKSIEGKLRNTNNSDSNTTVNKLRSSSSNVKNSAKEVQKSAVGARATGSARITKFNKELSGQMIILGSLCQQLLLFILWISSFQDVVALQTFVDPSHISSTSVLANPPITGLFEPIEISPAVFPRYPYPDESLPPMYPSFPTTYEPNLTGRCPVNFSALSNIMGKTASDCSLPLAALVGNVICCPQLASLLHIFQGYYSNSSDKLVLRNAVANDCFSDIISILASRGANSTIHTLCSVKSSNLTGGSCPVNDVITFEKMVNTSKLLESCSSVDELKECCRPVCQPAIMEAALQISGSQMMLNDNKNMVGETNHIDALNDCKGVVYSYLSRKLPPDAANKAFRMLSACKVNKVCPLEFNQPSEVIKACRNVAAPSPSCCSSLNTYIAGIQKQMLITNKQAIICATMFGSMLRKGGVMTNIYELCDVDLKDFSIQGCLLRSFPADVVFDNSTGYSFTCDLTDNIAAPWPSSSSMSSLSLCAPEMSLPALPKSDTLKNPVQFCTEESEL >OMO73256 pep supercontig:CCACVL1_1.0:contig11275:89607:92558:-1 gene:CCACVL1_17370 transcript:OMO73256 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPX2 protein family MEVAKNSKLGTPVKDPAANSQSKTQKFSKSSENSNPNISHSSSPLTKSAKPQKHASKNPVVYSPRNKLRERKFVVAKKNSKKERSDSNPAVSVDCKCKEKFGGNIKKCLCVAYENLRASQEEFFKNKAGNEAEEEVEKEKGVLIEDLHEGYGSDNQEFDEIENSNQTGSSTVKRRRDKLMEEARNSAPESGKVMHLVKAFEKLLSIPNAKESEKKEDDEKEPAEEKKEISKKKPVAWALPGLQPPKVPEADAENQDEVNDSSSSFCPSDFVLTAENLGLDRRFSVSSSWDSSQGSISSRTSNGGRRSRRNSSDSVGTFGGRRWKKQLKPTSQKPFKLRTEQRGKVKEEEFMKKIQEMMAEEEKLRIPIAQGLPWTTDEPEVLIKPPVKEHTIPVDLRLHSDVRAVERAEFDHQVAEKMSLMEQYKMERERQQKMAEEEEIKRLRKELVPKAQPMPYFDRPFIPRRSSKHPTIPREPKFHMPHHKKIKCCISWNDLSSTYSFQGSETREI >OMO73257 pep supercontig:CCACVL1_1.0:contig11275:96450:97892:1 gene:CCACVL1_17371 transcript:OMO73257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISVSRINAWNSDQLPIYEPGLDGVVKQCRGKNLFFSTDVEKHVREADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIDDLFNPDRVLIGGRETPEGNKAVQALKAVYAHWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVTQVSYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKNRFVNRVVASMFNTVSNKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKARVSIYDPQVTEDQIQRDLTMNKFDWDHPLHLQPMSPTTVKQVTCVWDAYEATKDAHGICILTEWDEFKTLDFKKIYDNMQKPAFVFDGRNIVNVDQLREIGFIVYSIGKPLDPWLKDMPAVA >OMO73252 pep supercontig:CCACVL1_1.0:contig11275:65216:77486:1 gene:CCACVL1_17366 transcript:OMO73252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MSYPSSSAGASDPEMMGLGHRGIGLSNTIHSEVAQCLPLPSLPVCCGASTPDLRLFDDPAAGASRSLNRPEIIAQASRIADLLRDTDVSYLNLRDEASSVTHDYVEPLELHAQVLQYNPAAFEYVTPGLVKEQVSGSAVVERKPPESSDHLISQFRREISSTHHQQTDVIANETPKSSSRKPKVKKKAADDVGSSIRADPTELQDAIIGNFRDMLEDFCGKAQILSDDRDEMEYLSLPVNDVRMLVNEIMSIRAKRLLHLVPVDILVKLLRILDHQIHRAEGLSVDECEHQDSDVFSLVFCALESIHASLAVMAHSDMPKQLYHEEIIERALEFSRHQIMDVMSAYDPSYRALHKPTVNGAADDEDLDLDAELGSASKKRRTTKTVKAKKPALNKVSGAMNAILQKLCTILGLLKDLLMIEKLSDSCILQLLKTSFTTFVVDNIQLLQLKTIGLITGIFYSYTQHRTHIIDELVQLLWKLPSSKRALRAYHLPDEEQRQIQMVTALLIQFVHASANLPEALKQTTGGTTIFEVSVDAGYLTKCHESVQDTCCHFWTRVLQRLASVKTQDASELKVMIENLVTDLLTTLNLPEYPAAAIALEVLCVLLLQNAGLKSKDISARAMAIDLLGTIATRLKHDALLYRKDKFWISDELLDGDDGDQSYPKGACAICLDGKVEKVLYKCQGCQRFFHADCMGVREQEVPNRGWNCQFCVCKKQLLVLRSYCESQYKDDENKNRGRSKGSESSDAITQVEIVQQMLLNYLQDSASTDDTHLFVRWSYLCLWYKDGPKSQQNFKYYLARLRSKAIVRDSSTVSSLLLRDSIKKIALALGQNNSFSRGFDKILYLLLASLRENSPVIRAKALRAVSIIVEADPEVLGDKRVQAAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYFEKVAERIKDTGVSVRKRAIKIIRDMCNTNPNFSGFTSACIEIISRVSDDESSIQDIVCKTFYEFWFEEPSGVQTQYPGDGSSVPLDVAKKTEQIVEVLRRLPNHQFLITVIKRNLVLDFFPQSAKAAGINPVSLAAVRRRCELMCKCLLERILQVEEMSNAEAEVPTLPYVLALHAFCVVDPSLCMPASDPSQFVITLQPYLKSQVDNRVVAQLLESIIFIIDSVVPMMRKLPPSVIEELKQDLKHMIVRHSFLTVVHACIKCLCSVSKKAETGGTVVEYLIQLFFKLLDSQATDNKQVLGRSLFCLGLLIRYGNSLFSGSTSKNIDVASSISLFKKYLRMDDFSIKVRSLQALGFALIARPEYMLEHDIGKILEAALAPSSNVRLKMQALQNLLEYLLDAESQMATDKASNDAGHHPIEGVSVAAGAGDTNICGGIVQLYWDNILGRCLDFNEEVRQSALKIVEIVLRQGLVHPITCVPHLIALETDPLEVNQKLAHHLLMNMNEKYPAFFESRLGDGLQMSFIFMRAISGNARENLNEKSQSKFPGNMKGKSDAGSLTQARLGVSRIYKLIRGNRNARNKFMSSIVRKFDNPSWNDSVIPFLMYCTETLALLPFSSPDEPLYLIYAINRVIQVRAGALEANMKALTSNMLQADAQKPTYENGIAQMDPSLAGSNYMATVDLNGTIQEEPLVQHAFYSMTSIDLNGTVQQKPTHQSFSHYTPAMETTMHKMNPPENRALSEEDVQKVQADCLGAIALQLLLKLKRCLKIVYSLDDQRCQAFSPTEPLKHGDVLSRQNIPFEIGEVHSKLPSTYQELVQRYQEYKNALREDTIDYAIYTANIKRKRPNPRRGGRAGRATAVDEDDDYDDEEWTGSARRLSNSGRKSYGGRSGRQRW >OMO73253 pep supercontig:CCACVL1_1.0:contig11275:78421:78852:1 gene:CCACVL1_17367 transcript:OMO73253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVISVNPKEYAQQTLSEIIAKLTMNKEGKECNVNAAVAPGIFEIESERFRTPTGRENRIPEPLTCPPAPRRGLKVASSLSTETLSDIFAKLRIDVKEQGKEWNVVSDSGFSETEKEENFRTPTGREYKIPEPLTCPPAPRRP >OMO73255 pep supercontig:CCACVL1_1.0:contig11275:84265:88204:-1 gene:CCACVL1_17369 transcript:OMO73255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKYLLSLARRSHKPSSRFDFFRSSSSAAPLAAATTSDAPKRSPPAPTVMPYDRLALEVKSKLQKLENPDPRFLKYGSPHPTVASHTHILSAPETKITTLPNGLRVATESNLASHTATVGVWIDAGSRFETEETNGTAHFLEHMIFKGTFRRSARELEEEIENMGGHLNAYTSREQTTYYTKVMDKDVFKALDILADILQNSTFEDHRINREREVILREMEEVEGQKEEVIFDHLHATAFQYTPLGRPILGPAANIKSISHENLQDYIKTHYTAPRMVIAASGAVKHEEVVEEIKKLFTKLSADPTTASQLVAKSPTYFTGSEVRMIDDDAPLAQFAVAFEGASWTDPDSIALMVMQAMLGSWSKNAGGGKHMGSDLAQRVSINEIAESMMAFNTNYKDTGLFGVYAVAKPDCLDDLAYAIMYETAKLAYRVSEDDVVRARNQLKSSLMLHMDGSSPVAEDIGRQLLTYGRRIPFAELFARIDAVDPSTVKRVASRFITDKDIAIAAMGPVQGLPDYNWFRRRTYWNRY >OMO73250 pep supercontig:CCACVL1_1.0:contig11275:47413:47793:-1 gene:CCACVL1_17364 transcript:OMO73250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQKTLKSSLKKAQGKYSTSRRARNIARKRVVHARSCSNINNKRRRSFVKGCSKQQKVSDKLEALKSLIPHRVENGSSSGVDDDEIVKTEQLFQETADYIVVLKTQVFVLQKLIEIYDGSKNVKQ >OMO73251 pep supercontig:CCACVL1_1.0:contig11275:56512:57261:1 gene:CCACVL1_17365 transcript:OMO73251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCEEVLKLVFPILDGTDLAACMVVSKQWRDIARDDYLWKCVCAKKWPSICKRPNPPTVTYYKLYQTFYKRQHQRTLLPPRLSFDDLEFFIDIWAEDKLIFSEVVPGPVLQTGIKIPPTGISDMLKFHLEGPEYQMILPVEPRFTVPWSQTVSVSVLVGRKDSNKVACIINKSLFDYIDRSAYRALAFDYLDFSPNYPFISGIRAWISLLFMDDGNDGVMDVFGIEMDFCDAANSKEEVLWLLDMLDWK >OMO73249 pep supercontig:CCACVL1_1.0:contig11275:39285:44995:1 gene:CCACVL1_17363 transcript:OMO73249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIB MAADNFCLQCEKPTGIIIDHEAGERICSECGCSLLESSMEIDSAESETLLQSDSTEELETDPNPVFDFENYSTIPNNIPNQASDDHKIVKKPRRGYKLIGIMADRLGQISEIKDKDRAEIKDRAREIYNKVNDFKTCRGRSLNSILAACLFIACRELELPSTLKELSDVANKVPKKDINRAVECIKSKLVVETGGGVQPKQLVKRYCAKLGMQEKDIKAVLYAQNKSEELDIRRSPKSVVAAIIYMIIQLSDHQVHIKDIAMATEVTELTIRKSYKEVYRHALKLIPAWDQLQNLTRGRRREYEFLALNWKGEENLIAAANHVLRALGFNDKYLTQDVKKTGQLSNMATIDDNVVEDEKSEIEEQLSIVHEEILSWVVDESMTWCSGPDEVAEYLTAADEARKLTERLKDQCLNSEEDKELLRRAHDVLQMAMLRLEKEFKHILLQHKRPFEFELMSFCSSEDDAVDEGSRVSFGDDSFEEPAHRDSISRTSEEYIIDLVHPDVIPDLKCIANLMFMSNYDHECCQAYVNVRKEALDDCLFNLELEKLSIEDVHKMEWGILSFKIKRWVRTINFLVRLYLASEKWLCNQIFADLGSTSLVYFVEATKASMLQLLNFAEAISIGSHQPQKLVRILDMYEVLADLVPDIDALFSDGAGSSILTEHHEVLKSLGDSVRAVFVEFEKAIASNASTNPFAGGGIHPLTRYVMNYIRLLAAHDDTLNILLKNQDGVAASPLSPDTSPATEDNSISSCSPMALHFQSLTSILEVNLYGKSKLYRDASLQHFFLMNNIHHMAQKVKNSELRLMFGDEWIRKHNWKFQQHAMNYERATWSSTLSLLKDESIGGSGPKALLKKRLQSFYVAFEEVYKTQTAWIISDVQLREDLRISTSLKVFPAYRSFVKRFGHDIGEKHIRYNAEDLQDYLLDLFEGSQKSLHSPRRR >OMO73258 pep supercontig:CCACVL1_1.0:contig11275:102998:103900:-1 gene:CCACVL1_17372 transcript:OMO73258 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MGIERIEEGELSRSLIVKEKEYGERQGGTSTATTTVIFSTFVAVCGSYVCGTAVGYSSPAQTGITVDLGLSVAEYSLFGSILTIGAMIGAIMSGRIADYMGRKWVGL >OMO73254 pep supercontig:CCACVL1_1.0:contig11275:80323:82573:-1 gene:CCACVL1_17368 transcript:OMO73254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment MASSISVPCPKISSFLKPKQQQTQAQKISLALPQSSKSSSKSSLSFGSSVRVPAFSGCQWPNGRQATIFKGHAHINDVTAEKSSNSVAVVDTKPKVELPKENDKSEEKNIPDVAAISAFMEQVSDLVKLVDSRDITELQLKQSDCELVIRKKEALQPPQPASPIVMPQYMAPPAMFQTPPPAAPAAAPAPAPAPLALPAPSPSSPPPAKASGSSHPPFKCPMAGTFYRSPAPGEPPFMKVGDKVQKGQVICIIEAMKLMNEIEADRSGTITEILVEDGKPVSVDTPLVVIVP >OMO73259 pep supercontig:CCACVL1_1.0:contig11275:107823:109359:1 gene:CCACVL1_17373 transcript:OMO73259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSGYEELYRLSRYLRSSSDDDEWQPAECGIPVDDDDSVVESPTDQGTSAHYEHNHEEDFHEDIEDDDEDRVGSSENVVADICRTTTDEEDEGDIDEISGPHVENFIDKKICVTWWFLGRRFSPLGIIYRLNGNSKSEWIPVDGIPTL >OMO73260 pep supercontig:CCACVL1_1.0:contig11275:114249:115820:1 gene:CCACVL1_17374 transcript:OMO73260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYSGKGKEKENMYFQGYGLRDNPKKSWKSSGFVDGSSSSAVMFSCKVCGKEFEAMKALFGHMRHHSKKETKGRFACKECGKKFQSLRALTGHMRLHSVKLRASESPRKDLVLESKTVRRKRSKRPRYSNTPNSSFSSLNASSGVVEIEIDEELEYVALCLMMLSKGVRNGIEFNSFDDNPDSSEIKSSYQNKEIMEINENDDPFCDGNEFFQVKKPRVYKSDSDVSDSVNVSFENEISGCKELDFGIVAYNEKKVGTKVDVESGFELYDAEIEERISGEVITLGSIEVESGQVLIKGMGLAGLGATEIELSSCKDAMYDACDAETGGDSLNKLICVPFNSKMSDDFLKKNKYKCKICNKSFKSHRALGGHQTFHRKMNNCAVEQTENCDNNTQGSSAPETDSGLKLVKVDYAKNPLQQEMTGVTDYGTRVYKVHRCQICSKVFASGQALGGHKRCHTHISKESESRDRQLKKQLEFADICDAMDLNHPFIHTEEANGDVGFMACRVGSDCKREALLSLVAN >OMO73261 pep supercontig:CCACVL1_1.0:contig11275:121155:131584:-1 gene:CCACVL1_17375 transcript:OMO73261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 35 MATWRFSTTPSGTEAVSSCNAVARFIDFSRGRNGGVSGGGSGNKAKRLMLMRKWQVRPLRRSFSVRNVSSEPQQKVKDPVTEQEESSSALSPFPPDASSIASSIKYHAEFTPLFSPEKFDLPKAFFATAQSIRDALIINWNATYDYYERLNVKQAYYLSMEFLQGRALLNAIGNLGLTGAYAEALSKLGHNLENIAFQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYRYGLFKQRITKDGQEEVAEDWLEMSNPWEIVRNDVTYPVKFYGKVVTDSNGQKHWIGGEDIKAVAYDVPIPGYKTKTTINLRLWSTKVPSEDFDLSAFNAGEHTKAAEDLYNAEKICYILYPGDETVEGKILRLKQQYTLCSASLQDIIARFERRSGTKVKWEEFPEKVAVQMNDTHPTLCIPELMRILIDVKGLSWKEAWNITQRTVAYTNHTVLPEALEKWSLELMQKLLPRHVEIIEMIDEELVRTIVSECGTADSDLLEKKLKQMRILENVELPAEFSDLLVKPKDNVVAVPSNKLEKTEEDDDDDEGEAEEVEPADGEEESVEEGTKAKKKVPEPVPEPPKMVRMANLCVVGGHAVNGVAAIHSEIVKDEVFNDFFKLWPAKFQNKTNGVTPRRWIRFCNPELSKIITNWTGTEDWVLNTEKLAELRKFADNEDLQGQWRAAKRSNKLKVVSLLKEKTGYIVSPDAMFDIQVKRIHEYKRQLMNILGIVYRYKMMKEMSASERKEKFVPRVCIFGGKAFATYVQAKRIVKFITDVGATINHDPDIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEDNFFLFGAEAHEIAGLRKERAEGKFVPDPRFEEVKEFVRSGAFGPYSYDELMGSLEGNEGFGRADYFLVGKDFPSYIECQEKVDEAYRDQREKKQKKETQDRSSDLNGTVDPFCEITKICHGAFGEDSQLQSCSYFGQSGSSDVSGFDSGRKTPPDIPVASTGIIMASPDDDDDGDTVSLHADSSDSMKVVDLGGDTDPVFFSEVESTLRFEAISTPKGALLRKPEENVVSKRGFSPKGQSSTEPPSKKLKSLDFELPSVRLGSPEEEFELEGQQNHELKDEVGGASVKGKRVIECGSEERFVESQNDNGEGNSVKNKRSLGDANQFGSNSGEMEPMELKTRNKRVLPPWLTTIMRSEGSVEVNGREPARADTDSDGVSEERNMKSQNDNDVGNSGRNKKSLEDTNQFGSNSGRMEAQTEKKRVLPPWLTSMMRREERVEVNEREPVQVDTDSDSVNEEVSVLEVLADLEEDGEDDDDGNLECLSLLEVAQRAWGPDFLTK >OMO63660 pep supercontig:CCACVL1_1.0:contig12924:1884:7967:1 gene:CCACVL1_22365 transcript:OMO63660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQRTQEILWIIQPALVSEERRKSIIGYIQRLIKGYYDIEVFPFGSVPLKTFLPDGDIDLTALSCPNVEEALARDICSILGNEQDSEFEVQDVQYIRAQVKVVKCTVDNIPVDISFNQTAGLSALCFLEQVDRIVGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLILYIINIFHSSLCGPLVVLYKFLDYYSTFDWENYCVSINGPISISSPSAVFAESPEIDADELLLSQDFLRYCREIFSIPMQSTEIGTHVFPIKHFNIVDPLKENNNLGRSVSKGNFHRIRCALSYGAQRLGEILMLPGENMGMGLEKFFINTLERNGKGQRPDVQVPLPAFGTGRSEESDLSGDYDGYYNALIYSQWYHSNVLSVPVQLSAASPSSHTPKKSAWDALRRFVRGKRNIFYRRGTNVFIPRLPISHPCALQLPAATSGIDKMTKSRGTGTYIPDTAHLCYREKQSWVNTRNPESSTRGLLQYVPKNTNPIETDSWVSARNPESTTHGLSQNSPKKTNPTENFQETNSWVSTGIPESTTHGLLQNSPEETIHIENSLQTESWVSTRDHKSANRGLLQNSLRKANPIQSSLETDQSEDGSCLDLDLSPEQFPCLPCTKRTTTTHQSSQPMVKCTHTENCSRSLPGIRFGEYECSLPIGMHSPPEPKDSSLSMPTIGKHKQEELCELNEERDIVKPFQLTDNDDFPPLWSM >OMP11026 pep supercontig:CCACVL1_1.0:contig01688:3390:3467:1 gene:CCACVL1_00720 transcript:OMP11026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLGVIECFWPEASKTPRPFFSSSSKS >OMP11235 pep supercontig:CCACVL1_1.0:contig01458:2750:3817:1 gene:CCACVL1_00612 transcript:OMP11235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein particle (TRAPP) component MFLGVTASVTNWDADGTSCSIVLEDNPLVDFVELPDTCQGLYYCNILSGVIRGALEMVSMKTEVTWIRDMLRGDDAYELQVKLVKQIPEEYPYKDDE >OMO54509 pep supercontig:CCACVL1_1.0:contig14982:12260:12322:-1 gene:CCACVL1_27760 transcript:OMO54509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVELNKRKDLFSYPNPETGVS >OMP07889 pep supercontig:CCACVL1_1.0:contig04145:121:180:1 gene:CCACVL1_01200 transcript:OMP07889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFLRAVSYRKRAIALNLIN >OMP11075 pep supercontig:CCACVL1_1.0:contig01580:631:3757:-1 gene:CCACVL1_00682 transcript:OMP11075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTESVKRVSRQDIQLVQNLIERCLQLYMTQKEVVDTLLAQAKIEPGFTELVWQKLEEENREFFEAYYLRLVVKQQIMEFNRLLEQQVRMMHQIPPTAVAPISNSNGPHIPPMPQNSACYAPENTGAALKQENMQHPLGSSLPNVFTNGSSSLHVGMHTAVELPSHPSRIDGPPATLSTQSSNMGLMQGINGKM >OMO74629 pep supercontig:CCACVL1_1.0:contig11107:10962:13620:-1 gene:CCACVL1_16568 transcript:OMO74629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein MESYTTSSQSSPKLLFRQLFEKESSTYTYLLADSAHPDKPAVLIDPVDKTVDRDLNLVKDLGLKLIYAMNTHVHADHVTGTGLIKGKVPGVKSVISKASGSKADVFVEPGDKICFGNLFLEVRATPGHTVGCVTYVTGDGPDQPQPRMAFTGDALLIRGCGRTDFQGGSSQQLYKSVHSQIFTLPKETLLYPAHDYKGFTVTTVGEEILYNPRLTKDEETFKGIMENLNLPYPKMIDVAVPANMVCGLQDLPSNAS >OMO74628 pep supercontig:CCACVL1_1.0:contig11107:3908:9527:-1 gene:CCACVL1_16567 transcript:OMO74628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDEKNRGVVADHQHNQYGTFQGVANYPPPPQQPAIGFPQPVPPPGLHEVPPPPPHYYPQGYQTVPGYAIAEGRPVRERRLPCCGFGCGWFLFIIGFFTAAIPWYLGLFVLLCARIDHREKPGYIACTVAAILATIAIILGVTKGADECKYEVYIMLNYLPHLLCNVIPHKESVLRHRIRASSVVDVDNQPGAVSSTQKNGLDDHDFISKLPNPLLSEILSRLSADEVVRTSILSSRWKDSWRYVSRLDLDPKRMLNLDKQVITQQNLLNEYLGVNNDNSSMNRRQIKKAISLASLMIDKVLFSQKCNLKSCKISHFSDTTKSAQLEKWIEFLESEKGIQELALACDEFIFSEVYPTGRYLDNCKLSLKSGIFNCSTLHALELTHYKLENESPFHNCPNLRTLKLKWLSLSTETLDGILASCPFLEHLSLSCCIGLDQVRIYKNEIVKTVELESLNLVAIYLSSKSLGVLVIHSLKCSPKNLIINAPKLRVFQAYFDLNLLRPQPTQFLRAAGDQPPLKVTSILEHCSGLLRSPDENDKFSSFFEELWTLSLDLDLNNIRELLILSIILRLCNNLQKLEINVKSDNGAQTGWLPYPESTLWEVRELCDCITHTLKVVTIKGFEGREREVEFVRHLIKNACAMKRIDIWCNNSCSRKGAEATLGLLSLPRSSIDVSIVLKPGPGLRSAE >OMO74630 pep supercontig:CCACVL1_1.0:contig11107:14507:37291:-1 gene:CCACVL1_16569 transcript:OMO74630 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting PVDTATDDLMRKFFKNYTNWCKFLGRKSNIRLPYVKQDAQQYKILYIGLYLLIWGEAANLRFMPECLCYIFHHMAYELHGMLTGAVSMTTGDTVMPAYGGSYESFLSNVVTPIYKVIYEEAEKSKSGTADHSTWRNYDDLNEFFWSPDCFQIGWPMRLEHDFFCTKSPKKCKLKIPKTAKEKRKEKGIEDEEQGLNEREPKWLGKTNFVEIRSFWQIFRSFDRMWSFFILSLQAMIIMACHDVGSPLQVLEAVILEDIMSIFITSALLKLIQAILDITFTWKARNTMDLSQKRRQVLRLAIAVIWTIVLPVIYAHSRRKYTCYSTHYGSWLGEWCYSSYMVAVVIYLMTNAVDLVLFFVPAVSKYIEISNWRICRIISRWIQPRLYVGRGMQETQVSLFKYTFFWILVLSTKLLFSYTFEIKPLIAPTRLIMKIGVKAYDWHELFPEVRSNAGAIVAVWAPIIVVYFMDTQIWYSVYCTVFGGLYGILHHLGEIRTLGMLRSRFHSLPSAFNLCLVPRPSKHGQKSRTRSFFKNIFCKVSKSEVLDQKFVLVWNQIISTFRSEDLISNREMDLMIIPTSGLFSGIIRWPIFLLANKFSTAVRIARDFVGKDEKLFRKIRKDEYMYFALKECYESVKYILEILIVGDVEKRVISSIINEIEGSIKDSSFLKDFKMSELPALQAKFVELLELLVEGDENQYGKVVEVLQDIFELVTNDMMANDLFEPQLFESATGKTSVYFPLPDDGPLNEQIKRVHLLLTVKDKAMDIPENLEARRRISFFATSLFMDMPSAPEVRSMLSFSVITPHYMEDINFSMKELQSSKGQVSIIFYMQKIFPDEWKNFLERMGYQNLNELIDDSKEEEIRNWASFRGQTLSRTVRGMMYYREAFKLQALLERPENKDILEDAIERSNPKLSAELDALADMKFTYVISCQMFGSQKASGDPRAEDIKDLMRRYPALRVAYIEEKEEIVGDKPQKVYSSVLAKAVNNFDQVIYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKVRNLLQEFLQNHGRRPPTILGLREHVFTGSVSSLAWFMSYQETSFVTIGQRLLANPLRVRFHYGHPDIFDRVFHITRGGISKASKTINLSEDVFAGFNSTLRRGCITYHEYLQVGKGRDVGLNQISKFEAKVANGNSEQTLSRDIHRLGCQFDFFRMLSCYFTTIGFYFSSMISVIGIYVFLYGQLYLVLSGLQKALLVKARMQNIESLETALASQSFIQLGLLTGLPMVMEIGLERGFLTALKDFVLMQLQLAAVFFTFSLGTKTHYYGRTIMHGGAKYIPTGRKVVVFHASFTQNYRLYSRSHFVKGFELLLLLVVYDLFRRSYQSSMAYVLITYSVWFMTITWLFAPFLFNPSGFDWDKIVDDWKGWNKWIKEQGGIGIQQDKSWHSWWNDEQAHLRRSGYGARMFEILLSLRFFLYQYGLVYHLDISQQSKNFLVYVLSWVVILAVFFTVKAVNLGRQLFSANYHLMYRFFKAFLFLSVLAVVITLSYICELSLKDVIVCSLAFLPTGWGLILVAQAVRPLIENTGFWQFSEVLAQAYDYGMGSVLFAPIAILAWLPIISAFQTRNKIYFYSNARKDYKPTEPLFTLIINKLADAQDFDSIENIMEKLKREKSCLLSDSFFQNVIKQYGNYGGRIGKAIETLFSMPEYGTWPSAKTFNIILNMLVSNKVFDRIHEIYGKAPKLGIEIEACTLNILIKGLCENGKLELAFQVLDEFPKQGCKPNVRTFSTLMHGLCEKGKVDEAFELMGRMEKEGIEVDAVSFNILISGLRKQGMLEDGMKLLEGMKRKGCYPDAGSYQQVLYGLLEAKRFMEAKMLMERMISERLSPSFDSFRKLIYGFCKKNLDLLALIFALRKDPIDLIRYAAVCKSWQPIALKVYRESFPLCLNIPTEKNRDFKGIVSLSNISAKETGKIHIAEARGRWICECRNNWLLTMNVAFPHDLHLLNIISRVQIDLPPSWSFGLEPFLYQIHIYQFYLSKSPTSPDCLIIICYDSIRAGRKISFCKPGDLMWTNCDFPNLPDFFFHGFSFFPSKSTIYAPTNDIDLSEYDLNTELKLVAVPFPMQYYWILHEEFDRFYLVKSLNDDLLWVGLKGDKADPKYLEFIVFEFDFSTEQYKKVNDLGGCALFLTYNGDSLLVETSDLEKTWYKGNCIYYFRDCIEDYVVYDLVLTESESVRWLNHAIEKIWPICMEQIASQKILLPIIPWFLEKYKPWTAKKAVFQHLYLGRSPPLITEIRVLRQGSDDDHLVLELGLNFLTADDMSAILAVQLRKRLGFGMWAKMHITGMHVEGKVLVGVKFLRHWPFLGRLRICFAEPPYFQMTAKPLSTHGLDVTELPGIAGWLDKLLSIAFEQTLVEPNMLVVDVEKFVSPQPENWFSVDEKQPIAHAKVEVIEASDMKPSDLNGLADPYVKGQLGPYRFRTKIQKKTLSPKWHEEFMIPICSWEAPNVLLIEVCDKDHFVDDTLGKCQVIISDYRSGQRHDMWLPLKNVKIGRLHLAITVLEDKPKGNDHAADGEPINEEDIENSFASKAADKGSPSPMSPKKSPTLTDHFEPINVEGQRETGIWVQHPGSEVTQTWEPRKGRARSLETQVHGVPNDSFGSTVSVASGSPKSDRSSADDNPDAKNQGNRVKRGIRKLSSVFQRSPRNEDHSGSLAEVVESPRVNLRAVNEKEAMVKFVVEDSLSAPSSDKVSNEGSLSPESPESPSRMKGMAKSILKHAGRSARGIKHALSRKGSRKNRDLSAVSEKDLSIESDSSIDESSSSPGLKSVPVVSNSMSSSSGVDDTQDTQEHVLAVNAVNVEAQVEDTSVEGPNKPDDEEVSSSASIKLGDGVIESPEAPKPSEGNLECEKKVEDLPKNDS >OMO56236 pep supercontig:CCACVL1_1.0:contig14547:20065:22099:1 gene:CCACVL1_26684 transcript:OMO56236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSAPAFAVSSIPLRKVIRSRNDGAEVNRNLGIKGKITTFNGSSSLKFISCSASKDGNTSVESDVPFPSDYIELLNQAKKATELALKDGKQLMEIEFPTAGLESVPGDGEGGNEMTGSMQLIREFCDILISPEKSTRTRIFFPEANEVQFAKQVFEGATFKLDYLTKPSFFEDFGFTEKVKMADRVKPDDELFIVGYPYFNVNEMIVVEELYKEAVMNTTRKLIIFNGELDRIRSGYYPAFFYPKLAALTKTLLPMMETIYYIHNFKGRNGGTLFRCYPGPWRVLRKVGNQYFCVHQQETMPSLKEVALDILPAAA >OMO56239 pep supercontig:CCACVL1_1.0:contig14547:46211:47037:1 gene:CCACVL1_26687 transcript:OMO56239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDQMQPNILDLSVLSSADDSRSDVSENQYYLRSETQMKKLQKNYQGGTVMSEEEWKIRGELESDIERELEEEIKDGIYHMALRLHRLYQHKRERKLFGHTKYSESGGGHKKDKALSEVNISIKMEGGTKIEIKETKKEGGLKHLLPPRSSSSRSSRSNNNVLGNGSNGKKFDWAKSLRDGAGPSSIIIRRIERLDQAKESSN >OMO56235 pep supercontig:CCACVL1_1.0:contig14547:17071:19664:-1 gene:CCACVL1_26683 transcript:OMO56235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCTSLTRAIPTVSTDRFLIPTPSLQSKPSLPLSLHLPPLSRSTARGLRSRGLFSSTSLLPKATTSEESSSTGPNRFFGEDSDGVATLEEVPEVDKNVYNEKPPVEEAKEEWAADQESPISEFLEKLNIKLDPEDAYPLLINGSGALAVFWLASAVVGAIDSIPVFPKLMEVVGLGYTVWFTSRYLLFKKNREELVAKVEELKQQVLGSDDE >OMO56240 pep supercontig:CCACVL1_1.0:contig14547:51727:52829:-1 gene:CCACVL1_26688 transcript:OMO56240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEDQEEQDEEMGLAPSYDSLGNPSRVKMSGVEPGSITPTGQQQQQRKPRYRECLKNHAVGLGGHAVDGCGEFMAAGADGTLDALKCAACNCHRNFHRKESELGSPNSVPTTDLYFHHHHQPPQFAPYFRAPAGYLHVAGQQRPLALPSTSGGGGGHSREDQEDVSNQGSSRKRFRTKFTQEQKEKMLALAERLGWRIQKHDEAAVQQFCNETGVKRHVLKVWMHNNKHTLDMAALKQSGNMQMQFKEA >OMO56233 pep supercontig:CCACVL1_1.0:contig14547:1791:2771:1 gene:CCACVL1_26681 transcript:OMO56233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate carrier 2 MGVKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGETNVVPNPAVQNLRPALAFHHHTTTASSAIHMPPPPPPAAVRVGPLATGIRIFQTEGVKALFSGVSATVLRQTLYSTTRMGLYDILKQKWTDQETKTMPLGRKIAAGLIAGGIGAAVGNPADVAMVRMQADGRLPVAQRRNYTSVVDAITRMSKQEGVTSLWRGSSLTVNRAMLVTASQLASYDQIKEMILGNGLMKDGLGTHVAASFSAGFVAAVASNPVDVIKTRVMNMKVEPGQKPPYAGALDCALKTVKAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >OMO56238 pep supercontig:CCACVL1_1.0:contig14547:38676:43689:-1 gene:CCACVL1_26686 transcript:OMO56238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLSNAISASSCAKLTLPGNDDVSRRLLLHRHRHGSVSALNLPTLRSQRKSRAFSKVECSQSSNSNIDFSDPDWKSKYQQDFEKRFNIPHITDIFPDAHSFPSTFCLRMRTPVNEEFASGYPSDEEWHGYINNNDRVLLKVINYSSPTSAGAECIDPNCTWVEQWVHRAGPREKIYFKPEEVKAAIVTCGGLCPGLNDVIRHIVITLEIYGVKNIVGIPFGYRGFSDKDLAEMPLSRKVVQNIHLSGGSLLGVSRGHPPVTDIVTSMEERGINMLFVLGGNGTHAGANAIHNECRKRNLKVAVVGVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGIVKLMGRSSGFIAMQSSLASGQVDICLIPEVPFNLHGPHGVLRHLKYLIETKGSAVVCVAEGAGQNLVEQTNAKDASGNIVFGDVGVHIQQETKKYFKEIGVPADVKYIDPTYMIRAVRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYFPIPEVISHAREVDPNSRMWHRCLTSTGQPDFI >OMO56241 pep supercontig:CCACVL1_1.0:contig14547:53348:53431:1 gene:CCACVL1_26689 transcript:OMO56241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDFHTSRYGGRWDLEVRSGGAWVAR >OMO56237 pep supercontig:CCACVL1_1.0:contig14547:32688:34810:1 gene:CCACVL1_26685 transcript:OMO56237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPDCSVSNLLCSENTSSCFDGDLDFNAINEFEVSPCQDLLKNQIFNQQDPSFVNNRSTPLMGSSGFAIQSDERIKEIVEKEMEHLPRDDYLKRLRSGDLDLSVRREALEWIWKASAYYCFGPLSICLSINYLDRFLSLYELPRGKTWTVQLLAVACLSVAAKMEETKVPMSVDLQVGEPKFVFEAKTIQRMELLLLSTLEWKMQALTPCSFIDYFLSKICNDQNPAPNSISRSLQLILSTVKGIDFLEFRPSEIAAAVAISVSTEMQTLAIDKAISSLILVEKGRVLKCVELMKDLSLINRSAIGNGNGNGVNVASLVPSSAVSLVPQSPIGVLDSAACLSYKSDEITTVGSCANSSSHTTSSPDIKRRKQDNSSSQLDFNKS >OMO56234 pep supercontig:CCACVL1_1.0:contig14547:6283:14587:-1 gene:CCACVL1_26682 transcript:OMO56234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTQGLDKNALRWVRELSLFSEVPKESDLNVLNLYKGGGAKKIDVSDMTMNARQGTRPVPSLRNAGWHSGFPPSAPSSIQVSRGRPVSGNDGSPGSDMDTSSDDENVYERQYSFISSPQDDKVPTAATATHAASVQKQGACGYRGIQSKLGNSSQRPAAGVYGGKTDTQEEQLSDSASSIQVSYMQYRSSNGVASLREVYNSDSYSSTVTSRVFHNGEMQKTKPSYNDNTRDENFEPSVRTAESVVNDPTSVLPTRRPIFHASGLGPWCAVLSYEACFRLCLNSWAKGCTEEAPFFLNKECSELRQAFGLQQILLQPEEELLAKQSSELVSETAALKPKKTIGKMKVQVRKVKIGLDPPPGCNLSIVKIESLHQRFNNVNSMLYSGWEALRKVRVTPNAPANASLSKQSLAYLQASGQYIKQVSKLLKSGVTTLRSNSTSYEVVPEQYCCSLKLKSSSEDDVIRMQPGSSETHVFLPDGLGDDLIVKVHDSKGQYCGHVLAQVVSVADDPGDKLRWWPIYHEPEHELVGRIQLYIHYSTSLEENNLKCGSVAETVAYDFLLEVAMKVQNFQQRNLLLCGPWKWLVNEFASYYGVSDAYTKLRYLSYVMDVATPTEDCLTVIHDLLYPVKSNSKHKLSHQENRILGEIEEQIQQILIEIFENYKSLDESLPSGMMDVFRAATGSVPPALVPAVKLYGLLHDILSPEIQQKFCGYFQAAAKKRSRRHQAEADDFILSNNDGALMDPVALSTSYQKMKLLILSMKNEISTDIEIHNHNVLPSFVDLPNLSAPIYSGDLCSRLRSFLVACPPPGPSPPVIELVIATADFQRDLSSWNINHIKGGVEAKELFHSYITCWIEEKRRSLLDLCKLDKVKSCVKTQQSTTPFIDDMYDQLVETLNEYEIIISRWPEYTIVLENAVADVEKAIVKALEKQYADVLVPLKDSLAPKIIGKYVQKFTKGTVATYIVPDELGVLLNSMRRMLYALHSKIESQFKSWNSCSPPGGNAIQGERLSEITVMLRANFRNYIQAIVEKLVDNTKIQSATKLKKIIQDSNETAVESDVRSRMQPLKDLLITTIENLHSVFEPHVFITVCRSFWDRMGQDVLHFLENRRENMSWYKGLKIAISILDEIFASNMQKLLGNALQAKDLEPPQSVIEVRSMFARIL >OMO56242 pep supercontig:CCACVL1_1.0:contig14547:56819:56935:-1 gene:CCACVL1_26690 transcript:OMO56242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQTSLLALLAVFGVHMETKLFPFALSPLAVTFKFQP >OMO97018 pep supercontig:CCACVL1_1.0:contig07324:20532:20606:1 gene:CCACVL1_04706 transcript:OMO97018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKKGNSAHSTRALRLLLLLLL >OMO97019 pep supercontig:CCACVL1_1.0:contig07324:22867:33348:1 gene:CCACVL1_04707 transcript:OMO97019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLCLLSAEASFLMESSDDEKDGVYYMPKELGHSLASNGTKFVDEVLNGKSERCLENFRMDKPVFYKLCDILQGKGLLRHTNRIKIEEQLAIFLFIIGHNLRTRAVQELFRYSGETISRHFNNVLNAVMAISLEFFQPPGSDVPPEISQDPRFYPYFKDCVGAVDGIHIPVMVGVDEQGPFRNKNGLLSQNVLAACSFDLKFHYVLAGWEGSASDLRVLNSALTRRNKLQVPEGRYYLVDNKYANMPGFIAPYHGVPYNSNEIPSGYHAQDARELFNQRQFLLRNATDRTFGALKERFPILMTAPPYPLQTQVKLVVAACALHNYIRRENPDDVVFKMYEQETILQIDESLPPLEGEQAMMRIDTHDLEVGFEAEQLELSAQLRDSIATEMWDDYIRDLAAMKQMASTNSENGSKNEAPSSSEAEQQPQIRMPTLEEVRAQEVWNNCAVRSVASGVIGGGFGLFMGMLLGAVDNPLMQEQMTGRQQLVYHAKQMVRKSWSSAKTFAVMGVIFSAVECVVEKSRAKHDTTNTVVAGCVTGGTMSAKGGPKAACAGCAGFAAFSVLIEKCCMPNTRSSGTKDSEFNSEHERTLFALRKENLFRDQGGDSSTPSSQASSPRSTTSSSSLESQPPRENMAEENNNNRTLRELATSNVTTQRLAIQYPTNEENFEIKSGFIHLLPKFHASSIRKEISGIKQSQGETMYEFWERFKRSSIDSASGGAFIDKTPTNAWTLIENMAANTQQFGHHTDKCPSLVEDDVEEVNALGMQEGFQRKQKSFPRSYNSYGNQGAYQARPNNYSRQSSHYYEVPPKENVSAITLRSGKELEEPYPTKPTMDEGTSKKEEELHVLAKEVKIEDDEPIILEFVKDDGEAKETSVVKAKLDSKKEPFPTKSMKSMKENEDQDILDIFRKVEVNIPLLDAIQQVPRYARLLKQLCTNKKRLQGKLNVGATVSAVLQRHLPPKCKDPGMFSITCSIGNTIIV >OMO97017 pep supercontig:CCACVL1_1.0:contig07324:18815:19051:-1 gene:CCACVL1_04704 transcript:OMO97017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSKAVRDISFCNDGTKFLTAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDEDKQNVLLAGMSDKKIVQWDIK >OMO62070 pep supercontig:CCACVL1_1.0:contig13365:969:1064:1 gene:CCACVL1_23045 transcript:OMO62070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQEVVELRKSSMISMPSTSNVAAQFVQQEE >OMO96012 pep supercontig:CCACVL1_1.0:contig07553:7984:8711:-1 gene:CCACVL1_05120 transcript:OMO96012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MAKFVSLLLLALLGISFVATMVMAREESQYHLDGRNYGPGSLKAYRYYGNKAVCPCYNNWKTKEGGPKCP >OMO96013 pep supercontig:CCACVL1_1.0:contig07553:9101:9716:-1 gene:CCACVL1_05121 transcript:OMO96013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYVHSLYDLAMSKTNSRWQPLMEE >OMO67500 pep supercontig:CCACVL1_1.0:contig12422:2085:6405:1 gene:CCACVL1_20491 transcript:OMO67500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSNNELSEIKTLDSATQNPQTNGQDSTPKDPEPLDEAIQPLNAQSTADTNTGEKRKRDDQDPTQESTLHPLWKTSLCSYFRRNDGSCSHGSNCRYAHGEEELRPRPDNTWDPTSERAKKAMKGENGEKLAAKEEEEEEEKEVMMTEMVMDGEDGDGSEEGGDPQLSKCLVNLPRKWHSDNLRKFLNDQGISYKSAKKKKGMVVGFVSFESAEELKSAVQELEGKSFGNKNLKVANVIPRPFERKVKSAMEDASVKENAGVSINSNGVEDGAQNDDGSVLDDSASKAKSARDAVTPLAHMSYGDQLEHKKNSIMQVLKKLTRNARKACPNGVSLPEWVLTSRERGGLPCELEGIIESPVVNGYRNKCEFSVGYSQQGKPTVGFMLGNFREGVTAVEEPVDCPNVSRIACKYASILQESLQHSSLPIWNRFKNTGFWRQLTVREGRSAGKVVDESLEANISEVMLIVQVSSAGFDDAQINTEFERLAQAFAAGAAENSLPLTALVVQDHQGISNVAPADAPLRSLPIPKAESGLELKTSDPSVEARIRDCISNLRFSISPTSFFQVNTLAAEKLYSLAGEWAGLGPDTLLFDICCGTGTIGLTLAHRVGMVIGIEMNASAVQDAHKNAEINGIKNCRFICSKAEDVIGSLLKEYLNMSEKQDLPSSAVESSDKEKGTCEEKDTCANNGHDAGESPCQEPEENGGKEPHNQLQESSTSKDENSSVQQFKNVVAIVDPPRMGLHPTVIKALRTHARLRRLVYISCNPESLVANAIELCTPSPDKVEKGKKDNRHWRNMSSAGLARHRAKSMPISEPFRPVKAMAVDLFPHTPHCEMVMLLER >OMO67499 pep supercontig:CCACVL1_1.0:contig12422:1273:1749:-1 gene:CCACVL1_20490 transcript:OMO67499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLPQHDPKSSMKARYIGSCMGRLQFAHSDSDSTLRIWALSLDEPEWIFRHSINLETLANHPRLLALRNKIDPEIRPRTSFFPEAFDPHNDVVIIWTVNCIFAYHCNSGNVVVLRRGNGRKDGRVIDPPSRSFPFTRCLVSLANWGGQTLDKPSLSD >OMO67501 pep supercontig:CCACVL1_1.0:contig12422:8762:8827:1 gene:CCACVL1_20492 transcript:OMO67501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVRLVDQIKRIMKMMMDSVT >OMO80854 pep supercontig:CCACVL1_1.0:contig10265:137:250:-1 gene:CCACVL1_12723 transcript:OMO80854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPKKILQTSYKGGAYHNSHRNSPPPPPNVLPFPTLPH >OMO72767 pep supercontig:CCACVL1_1.0:contig11383:28087:28206:-1 gene:CCACVL1_17606 transcript:OMO72767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAEEEYCAPRNDNEDAARGERLRDLIAKAMWRDYRK >OMO72766 pep supercontig:CCACVL1_1.0:contig11383:26844:27615:-1 gene:CCACVL1_17605 transcript:OMO72766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFSWNPDTKIWCAEPEVWERLIEKHPEAEEWRFKVVPNYDKMVILHGNDRATGEHSETASELRRKRSNSGTSDEFRDSIDDIDNLVSQNEVTLENTDAAGDDFIDFMTSPEIRSRATSDVPSGSVSKKSKKSKDDKDGDLIREGLELVANALVAGNAIYEKVNTRFDPISEEEIWKLLENIGIDEECISTAYLYFIDKPEKVRAVLGCPWEKRKQLVEKLVFGSSGH >OMO72765 pep supercontig:CCACVL1_1.0:contig11383:25557:26280:-1 gene:CCACVL1_17604 transcript:OMO72765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVIRLLSSAVPEFKCSTNGAPKLQISSSHTSKVATTPGFLNRSLKL >OMP10512 pep supercontig:CCACVL1_1.0:contig02349:57:598:-1 gene:CCACVL1_00912 transcript:OMP10512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAVRKRGGAGVLINAERAGYVRKGDDIRCSFNFITEDNPNCRCLDLTFEEEVDDEGANKILSTLAYTATLMLTGLACIIEKVGGTVSVL >OMO61110 pep supercontig:CCACVL1_1.0:contig13594:17578:18200:-1 gene:CCACVL1_23739 transcript:OMO61110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prohibitin MLANSLLREREIRRILTEQARYFNILVGDVSITSLTFEKEFAAAIEAKQVAAQEAERAKFIVEKAEQDKLSAVIQAQYMRLSVGALGISRQYCPTDTFCSNGMVYIAQ >OMO61109 pep supercontig:CCACVL1_1.0:contig13594:11940:13043:1 gene:CCACVL1_23738 transcript:OMO61109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDVENPVVNGPSASSLSGEAQVTWYGSLLKQISVYGIAAGYCISASLLSIINKWAVMKFPYPGALTALQYLTSAAGVLLCGWFKVIEHDSLHLLTMWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSVVPMFVAVGETIFLHQPWPSMKTWISLATIFGGSVLYVLTDYQFTLTAYTWAVAYLISMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGELKKIKHEISEESDWHSFQVILPVGLSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSTFVGTVGLLICMLGGVMYQQSTSNKPKAVNEAKVEEREEEQQKLLEMQSNNNEKEVSEIEEK >OMO61108 pep supercontig:CCACVL1_1.0:contig13594:2380:7395:-1 gene:CCACVL1_23737 transcript:OMO61108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTYITKTRESSKATVTQQHKNNDKMACGDKSKS >OMO58816 pep supercontig:CCACVL1_1.0:contig14126:6973:7158:-1 gene:CCACVL1_25340 transcript:OMO58816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRPLTWQQEELQGHQVVNNKRQYIQTWNKCHLSNRRQFTKQHNKEGFCLSRAGKLPLGR >OMO96548 pep supercontig:CCACVL1_1.0:contig07442:36879:36965:-1 gene:CCACVL1_04907 transcript:OMO96548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTPDPTGHSFVSPSTDNIKKFNLPNT >OMO96544 pep supercontig:CCACVL1_1.0:contig07442:14550:14684:-1 gene:CCACVL1_04903 transcript:OMO96544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPMMTNTPATTMPPIPKPTRSNQQRVLSMWEPERPLARLNSS >OMO96541 pep supercontig:CCACVL1_1.0:contig07442:2331:2534:1 gene:CCACVL1_04900 transcript:OMO96541 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger protein GVLVVLEARKLRAEKVRAAKVGAVLVVLQVGCADRYTTTTTTITASKLFPICPFSNSLVSKLCLTLL >OMO96543 pep supercontig:CCACVL1_1.0:contig07442:8479:8616:-1 gene:CCACVL1_04902 transcript:OMO96543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVGDGRYDGLVAFARYKLQRVTELKYGWFVVMPKDEPPPLSAE >OMO96547 pep supercontig:CCACVL1_1.0:contig07442:24553:36220:1 gene:CCACVL1_04906 transcript:OMO96547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSSSVVSGIPLPQRRWEGRRSTVRVNCPVPAAASLPLTRFHLSPTPAASRWQGDVRNSNTIGWAFQNKTHNNTSIRNRKLKKSNAPKASKWNYPVSLSQRYNKSTPCCSSLNRKCYLKTPHLPLTTSAPTFFLDKSWFPLSNSNTVSGNRISAPRATVGPDEPHAASTTWPDGLLEKQDLDSLYPQLQTELEAFLSTELPSNPKLHRGQLKNGLRYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTCTKDSDEDLLPLVLDALNEIAFQPKFLSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPGNATLYIVGDIDNISKTIHQIEAVFGQTGIENEIASPPTSSAFGAMASFLVPKLSAGLAGSLSHERSSNSVDQSKIIKKERHAVRPPVTHNWSLPGHHTEMKSPQIFQHELLQNFSINMFCKIPVNKVQTFGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPKNWQSAIKVAVQEVRRLKEFGVTKGELTRYMDALLKDSEHLSAMIDNVSSVDNLDFTMESDALGHTVMDQRQGHECLMAVAGTVTLDEVNSIGAEVLEFISDFGKPTAPLPAAIVACVPKKVHIDGVGETEFQITSSEITAAIKSGLEEPIEAEPELEVPKELISSLQLQELRMQRNPSFVPLSPEINVTKLQDKETGITQRRLSNGIPVNYKISKNETRGGVMRLIVGGGRAAETSESKGAVVVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFISMEFRFTLRDNGMHAAFQLLHMVLEHSVWLDDAFDRARQLYLSYYRSIPKSLERSTAHKLMLAMMNGDERFLEPTPKSLQNLTLKSVRDAVMNQFVGDNMEVSIVGDFSEEEIESCILDYLGTVRASRDFEREPGCSPILFRPSPSDVQFQQVFLKDTDERACAYIAGPAPNRWGFTVDGKDLLESVAHTPTADEPHSEEGKDVQKDLQRKLRGHPLFFGITMGLLAEVINSRLFTTVRDSLGLTYDVSFELNLFDRLKLGWYVVSVTSTPSKVYKAVDACKNVLRGLHTNKIAPRELERAKRTLLMRHEAEIKSNAYWLGLMAHLQASSVPRKDISCVKELTSLYEAASIEDIYLAYDQLKVDEDSLYSCIGIAGVNAGDGTMVSVEDEESDEGFQGVIPTGRGLSTMTRPTT >OMO96546 pep supercontig:CCACVL1_1.0:contig07442:18083:18673:-1 gene:CCACVL1_04905 transcript:OMO96546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQQEEQNQADLATMLQALMQRLDAMDTKFDALAIDVQQVKDGQNQQVQPPQQRGNAANNERVQPPPPRQVIPRIDPMERLRQQELGGQTINENMRLRRGVEREEPKDNIKYKISKFNGRGSLSDYLEWESKLDMYFDYHPHAESKKVQIATLEFSENALNWWNQLVQSKRRNLERLIDTWLAFEVGFGFPCYLN >OMO96545 pep supercontig:CCACVL1_1.0:contig07442:15071:17274:1 gene:CCACVL1_04904 transcript:OMO96545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAELSNLCSNLSLQEADRPKVIISKDLIEEGDSGAVFFSLIGKLLTKRKANLEGMRTTLFNAWKLEMGLIVKELGDKLYMFQFEDDAERDRVLVTQSWHFNRILLVLKEYDGVERPEEVWAAVEELLGPVLEIDDQHENDCLVATEMRLRQGFVTKRYTTRIKAESLRFESSSLEGGGGRLIQQSLTVGDNQRSSRPHVDSMLLRGKQVAHALFSEDDSCEVLSRMPEKEGPKIVEQGETVINSGLSNVDSGLVGEIPSVGLSNAGLGEATAPVVSSPMEGALSEQNLALLQNDKVKQLLSNLADSVPFVFGTHSFSSSSTRQVRKWKKAARGSQVSSLDVVAGQNNVKERRKRLSSSSILMQNNGGLVKRCREHAMEVDLVGFVARDAEGLATHTSGNNVLEAVAEQEDACGTAEVSGRLGQFVGYDFCFAMDCNGRSGGLAFLWMADNNVSLLSNSFFHIDVRISIDDNDGWRFTGFYGRPETCKRHESWSLFRSLRDRSSLP >OMO96542 pep supercontig:CCACVL1_1.0:contig07442:4675:4770:-1 gene:CCACVL1_04901 transcript:OMO96542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRIGPPPGIVIQFIRSGSRFFCGPGTCSG >OMP06830 pep supercontig:CCACVL1_1.0:contig04788:718:792:1 gene:CCACVL1_01433 transcript:OMP06830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGKWLSSIKKAFSPDSKDKKNK >OMO62149 pep supercontig:CCACVL1_1.0:contig13334:4657:4740:-1 gene:CCACVL1_22996 transcript:OMO62149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPSPRRSSRVVRMPAKLDGFVVGKN >OMO62152 pep supercontig:CCACVL1_1.0:contig13334:18304:19781:1 gene:CCACVL1_22999 transcript:OMO62152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVPSDYVIAAYGTDASETARMAFFMGEIRFHYSGRFVGDGESLEYVDGIVNNLTVDPDKLSHIKLLGILDDAGEVLVMCDDLSIHGSVDIYVEHGVDVPEDAPLLIDGVEDVLENGAMGDQNAEQPAGDDHVGEQGLGDNGDANLNGQADIGDGQLGGDGGNGQGENNNIDDRQNVEVPVEDIDEVAINATFDDFETILEEMVQQGMNKVSGVSVEVETFGTGFREENVGNDDEGSVEGASDDEVEEGYWDLLSSSYYSSEDLGDYPSDEEEMVDDATRTKSKFPVFNPDNPHPHIETEMVFKNVDQFKQAVSLLSITEKKAILWVKN >OMO62151 pep supercontig:CCACVL1_1.0:contig13334:9411:16470:-1 gene:CCACVL1_22998 transcript:OMO62151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVCSATPGCSSHSQISFNGGLRPFTPFQKDFQYRFNAQDKSVLGMSNGNHLLRMSFKPQAAESFYSNFVESTEQPVSMDLINSYSCSEELDGVRCNISNAWSSSLEAINESTPIRVGELEYIETSSLSAAEENLVDLTDLAENTDSSIGVVGPETVTTVDTMPDNPTEASSSLTFDNNSVTSVKAGLDDFLAEINETFNSSVNKGEKAVESLLDNIKSSINSVTTSASGAVDNAQASANNKVSNLSNDLNGALSKANVFVLDLLRRTIIVVEDSLSSGASSLAYYYGSAKECLPPDVNDALTLYEERTGKALKPVGAAFQQIYTVIEGLERSLGFDPNDPIIPFFLLIGSSATLWAFYWVWAYGGYSGDLSPTVTLELLSGKENALLIDVRPEDLRERDGIPDLRRAARFRYASVSLPEVSGSMLKLFKSGRDLEDSLIAAVIRNLKTIKDRSKVIILDADGSRSKGIARSLRKLGVKRPYLVQGGFKSWVKQGLRIKELRPETTLTILNEEAEAILEDIRPSPAQVLSYSVGCVAGLYALIEWEKTLQLVGVLGLFLTIYWRVSSYENSEDLKKDIRLLLIPARVGAQALSWAAGKLENNRIGLPTSPSSLDVQNRVLQAAAKLESQPSDAEGNQDPSPINEKVDLSEA >OMO62156 pep supercontig:CCACVL1_1.0:contig13334:42032:57951:1 gene:CCACVL1_23003 transcript:OMO62156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPVGGSRSTSVVVVTLDTGEVYIVVSLSTGINTQVIYVDPTTGVLRYRGKFGFDVFRSENEALDYVTNGSPWQSKSKIHARAILGYAALGSYGLLLVATKLASSIPYLPGGGCVFTVTESQWIKIPLQNPQPQGKGELKNVQELAELDIDGKHYFCETRDLTRPFPSRMSLLSPDDEFVWNGWLSMPFRNIGLMQHCVILLQGFAECRSFGSSGQIEGIVALIARRSRLHPGTRYLARGINSCFSTGNEVECEQLVWVPKRAGQSVPFNTYVWRRGTIPIWWGAELKITAAEAEIYVSDQDPYKGSSQYYQRLSKRYDTRSLDVGIGENQKKKPFVPIVCINLLRSGEGKSECILVHHFEESINHIRATGKLPYTRIHLINYDWHARIKLQGEQQTIEELWKLLKAPTLAIGISEGDYLPSRQRLKDCKGEIIYYDDFEGAFCLRSHQNGVLRFNCADSLDRTNAASYFGALQVFVEQCRRLGISLDSDLAYGYQSVNNYGGYTAPLPPGWEKRSDAVTGKTYYIDHNTRTTTWNHPCPDKPWKRFDMTFDELKRSTILSPVSQLADLFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFKQFSAAQNMKITLQRRYKNAVVDSSRQKQLEMFLGIRLFKHLPSIPLQPLHVLSRPSGFFLKPVSSMFPASNGGASLLSFKKKDLIWVCPQAADVVELFIYLGEPCHVCQLLLTVSHGADDSTYPSTVDVRTGRNLDGLKLVVEGAFIPQCGNGTNLVIPLSGPISAEDMAVTGAGARLHDQVRSPLSLLYDFEELEGELDFLTRVVALTFYPAVSGSPMTLGEPVTGNAAYNGDDLLDFLDQAVVDYHGPEINHKSSTSQDLNPQESGAQKYINCLKSLAGPHMEKKLDFLEAMKLEIERLHLNLSAAERDRALLSVGTDPATVNPNFLLDESYMGRLCRVARTLALLGQAALEDKINGAIGLGKIEDSVIDFWNISRIGESCSGGVCEVRAETTVSASSIVSSVEGSKPVLLCSQCHRKACRVCCAGRGALLLRNISREATSYNGLSSHGGSSHGGQLDLSTNRPVLLDSVICKECCNEIILDALILDYVRVLISSRRRARADSAAYKALDEVAGSSFLDGISDRSRSSDNKQAAKVLKQLLAGEESLAEFPFASFLHSVETASDSAPFLSLLTPLDSGSQHSYWKAPPNTTSVEFAIVLGTLSDVTGVILLVSPCGYSEADAPTVQIWASNKIDREERSCVGKWDVQSLIVSSPEYYGPEKSTNKLPRHIKFTFRNPVRCRIVWITLRLQRPGSSSVNFDKDFNFLSLDENPFAQETRRASFGGAIDNDPCLHAQRIVIAGSPVRKEMERTSSQSTDQMNYRNWLDRVPQLNRFKVPIEVERLMDNDLALEQYLPPSSPLLAGFRLDAFNAIKPRISHSPSSDVDIWDTSITYLEDRHVSPAVLYIQVSALQEGYNMVTVAEYRLPEAKPGTAMYFDFPRQLQTRRISFKLLGDIAAFTDEPAEQDDSGLGASPVAAGLSLSNRIKLYYYADPYDLAVSPSNFSASQSQIKATTMPYVKAQKTRAYFKRFQVQFKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDITAQIISASIAGDMVLAAAYSHELPRYGLEVGLSNYAAAYCTGLLLARRVLKMLEMDDEYQGNVEATGEDFSVEPTDTRRPFRALLDVGLIRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFSKDNKQLDAEVHRKYIYGGHVAAYMRTLMEDEPEKYQSHFSEYIKKGIEADDIEGLYKKVHAAIRADPTAKKSEKEQPKEHKRFNLKKLTYEQRKAKLIERVQALNSAAGSDEED >OMO62157 pep supercontig:CCACVL1_1.0:contig13334:60106:65749:-1 gene:CCACVL1_23004 transcript:OMO62157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, ZPR1-type MENNKEITVDDVGSVVEAISIDEGNSAPLYQVESLCMRCNKNGTTTFLMTSIPHFRQVLLSSFRCPHCHESNNEVQFAGEIQPKGCHYRLEVPSGDQKMLSRQVVKSESATIKIPELDFEIPPEAQRGSLSTVEGVLVRAIDELQALQEERKKVDPQTAEAIDQFLLKLRACATGESPFTFILDDPAGNSFIENPFAPSPDPSLSIKFYDRTPEQQASLGYLVDSSQVVESSSGASMEETSNTVDQVRRQPHGSVGAVAGQRAIAQSNSAEIAEALFRYSAPEEVMTFPSTCGACAARCETRMFVTRIPYFQEVIVMASTCDDCGYRNSELKPGGPISEKGKRVTLCVENINDLSRDVIKSDTASVKVPELDLELASGTLGGIVTTVEGLITKISESLERVHGFTFGDSLDEGKKAKWLDFKARLNKILSMEEPWTLILDDALANSFIASATDDIKDDHQLMFKEYERSWEQNEELGLNDIDTSSADAAYQQG >OMO62158 pep supercontig:CCACVL1_1.0:contig13334:74185:77367:1 gene:CCACVL1_23005 transcript:OMO62158 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IIA, putative 3 MANCSAASAASSTGPLFQTLTGLQHLAQTPRFKAWFLDQFGVLHDGKQPYPGAISTLEKLATTGAKMVIISNSSRRASATIKRMTSLGFDPSLFAGAITSGELTHQYLQRRDDAWFAALGRSCIHMTWSDRGAISLEGLGLQVVENVEEADFILAHGTEALGLPSGAVRPMNLEGLEKILEHCATKRIPMVVANPDFVTVEARALSIMPGTLAAKYEKLGGEVKWMGKPDKIIYESAMGMVSVDASDSIAVGDSLHHDIKGANAAGIQSAFITGGIHATELGLDTFGEVADLSSVQALASKYDAYPTFVLPSFTW >OMO62155 pep supercontig:CCACVL1_1.0:contig13334:31118:35197:1 gene:CCACVL1_23002 transcript:OMO62155 gene_biotype:protein_coding transcript_biotype:protein_coding description:CWC16 protein MGERKVLNKYYPPDFDPSKLPRVRRPKNQQMKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEITMKTDPQNSDYVVESGATRNFEPWRAEDEEADEERRKRESEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDAMLDALQRTAAQKEEKLEEEDEALIKSIFQRPKEIVRRISDEVFDDDGDLTHLSSKNGETSTHESKRRKVEDVSRNPTDALTKASPADGSSSKESSSVSGAREVKAPFKSSVMISVIKKPVVAANGNIPGKSEENSANTTSIGLQSLCQNYESDED >OMO62150 pep supercontig:CCACVL1_1.0:contig13334:5439:8445:1 gene:CCACVL1_22997 transcript:OMO62150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaK MASSTAQIHVLGGIGFASSRKPNHHSPRTVFFGQTLGKTNPLNAAFLRLAKAHNAKRYNVGPLRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYRVVRDENGNVKLECPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLADQFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRVKFEELCSDLLDRLRTPVENSLRDAKLSFKDLDEVILVGGSTRIPAVQELVRKMTGKEPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPNDEVDRMVKEAERFAKEDKERRDAIDTKNQADSVVYQTEKQLKELGDKVPGPVKEKVEAKLQELRDAISGGETQVMKDAMAALNQEVMQIGQSLYNQPGAGGAGGAGAGPAPGGETGPSDSSNKGPDGDVIDADFTDSK >OMO62154 pep supercontig:CCACVL1_1.0:contig13334:24281:25964:-1 gene:CCACVL1_23001 transcript:OMO62154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKMSIQEEEKDKVIIADEWIDNPNGAEANIYLIGKLLLKKPVIAVGLLVVFKQFGDALERDRVFVSQPWLYHKALLVLREYDGEQQPENMVFESCPFWVNVHGVPFKMMNEKVGLGIGETMRNVLDIEPITGQILRIRVDMDLRLPFRRGTTLSAASGDVEIGLEYDKRPDYCWVCERVDHQETDRTESIAQIMEYGFSVRRFKPKDASPRSSAKMGTSSNQELMGSRAASKGRGTSQGEVNSKRVGRVALSRGSHAEGSGTERVGGKIVTTPLQKQQQSGGEGGEDNYLGLQQTRQGSSRPNTVEQLYGNIPGVGPSVMDLYFLSQADFGLVGKRHRASMMEIDVVSGIGSEDEFYKAMKNQAVASPFVMGSDTSGTSRQVRKFKKTAAVTSQGVKTNLCQGGRLCMVCANLGEQGLEKYRVDAGVGGTQSCGEDFISIGGDQAIAIARSSKLAAVALEAGREYDQETAGVDKGSHSCQGP >OMO62153 pep supercontig:CCACVL1_1.0:contig13334:20402:23648:-1 gene:CCACVL1_23000 transcript:OMO62153 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MDHLCTSVSDHLPLLIHCLDQPVSNLHVSKRFRFENMWALHGDINSVIDEVWNKDCNQDIKSTINDCAETLQQWDCHIFGNVKFNIWQRKKDLQRLYNEVQLGADLNSLNQCLDDLNGLYDKEETKWRQRAKINWLKEGDRNSKTFHATATIRQRRNVISSIVDENGVRVVENAKMEKIIADYFRDIFTSNNPDRVDLESVVALMNSRVSPGMNKLLCRDFDEEEVRAAAYQMGPNKSPGPDGFTPFFYQRFWSIVKDNVCRSVLDFLNRGVPIPDINHTLVVLVPKCDNPQSPKDFRSISLCNVLFKIISKVLVNRLKMVLPQIIGPNQSAFVLGRQIFDSSMIAYETIHYMKNKRQGGKRHMALKLDLSKAYDQVEWPFLEGIMRKMGFTERWISLVMICVRTVTYSIQVNEVQTSTIFPSRGIRQGLVKIREWGSTDTNRKIHWKIWDSLCIAKLDGGLGFRDFEAFNLALLAKQCWRLIREESSLCCRVFKARYFRDETFMRATLGSNPSFVWRSLMAGREVLKKGCRWRIGDGMSVDIWRDEWLNNPPAFRPTPRPGTICQSNPVSVLFDDQGQWDVDLVRELFVDDDVARILSVPFFTQVSRDELIWSHSKNGYYTVRIEYHVARMILGRSSPVMVLREVKWRLLWGALVLPKVKYFMWRLVTGILPTKKTLQQKGIEISSTCEMCGAMEDSLFHIFFSCPFSQRVWDTVCPWLRNYLNNWERRDKSWECLLIKAQNLGTIEIVCVVLWLLWMNRNKVIHERICALPSVLVIKATRLVHEMEQSQCRRENNGVLQRMVHVWSPPARNCFKVNADAVFHASRNEAGLGVVIRDSRGVVFLCATARIDHVRDVLFAEIYALQFGLLVAKSYNLVDCEVESDSSLAISEINKLEGSLWEGGVLVDQIRHIASLFHSCKFSYVNRVANKFAHELAHLDCEVGFNCVWSGDSLPVCNPDC >OMP12312 pep supercontig:CCACVL1_1.0:contig00137:1109:1177:-1 gene:CCACVL1_00044 transcript:OMP12312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSAISHDLKNNKGAQQLIRR >OMO52827 pep supercontig:CCACVL1_1.0:contig15422:79030:79140:-1 gene:CCACVL1_29068 transcript:OMO52827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEEVVVGSSNNSRQEGRQGRFRTRLEGGRRSDRR >OMO52821 pep supercontig:CCACVL1_1.0:contig15422:2970:6032:-1 gene:CCACVL1_29062 transcript:OMO52821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFSRKLTACSKRLKRGEKAEDRISELPHEVLVYILSLLPMEEAARTCILSHRWRKVWTFVPVLNFDGSKTLYDYEKIDLDHNEKKKILDLEKHRYINWVNTVLKSYKGPAIEEFKVRFDLDLHFCCEIDRWIRFALDKKVKRLELDLKNYQGCRQQKCYQFPLLSNNYTMKRLRSRTLGFPSCKSLTALILKEINVNGDVLQHFISSCKFLERLSIRGSNSLISLNFGSPIFLKYLEIIYCFNVRNLELSACNLASFRYFGPRMSFPFEKFQNLVELSLGGSYCDYFIYKIRHFSAFLTQVNKLELHLLEIKEDMEFQDWPVLSHLRQLELIVDGNFDDNLLVFSPLIHASPNLYRLALQVDWNEPSVKAKAMTVPESPHYQLKEVEMVGFMGLGADTEFITYLVKNAVMLEKITVDPREPDLLGSPWEYKDLKRIKAARIHAERLRKRGFLEEKLVVL >OMO52822 pep supercontig:CCACVL1_1.0:contig15422:11635:11736:-1 gene:CCACVL1_29063 transcript:OMO52822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFYHLKLVDPVGVFVPQVGFALAVDLEDGFKW >OMO52825 pep supercontig:CCACVL1_1.0:contig15422:56633:57011:-1 gene:CCACVL1_29066 transcript:OMO52825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYRFGSVPLQNRGIIFGTEDRPEETWNRLGRFLGFSVRTEESNIKSVLDRKN >OMO52823 pep supercontig:CCACVL1_1.0:contig15422:13923:14027:-1 gene:CCACVL1_29064 transcript:OMO52823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDRAKEIRSRAKAFAEMARKAVENGSSYHTPI >OMO52824 pep supercontig:CCACVL1_1.0:contig15422:14372:14440:1 gene:CCACVL1_29065 transcript:OMO52824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLTMTMLDLEKEKWKTKPL >OMO52826 pep supercontig:CCACVL1_1.0:contig15422:59724:65001:-1 gene:CCACVL1_29067 transcript:OMO52826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRRHSVDLPISRTLIALRRVRSLRDPSTNSMSKFSSLLDNVKWETNSSNGISLQFESGCQEDGCKPNELQGLQCIGFDRQREEQGHDFRLHSVPETSNSQLIACENAEQVGNTASPARTKKVDELNDCNGNIKHYGSHDEDFLGKKQLGENRNSNLKDKGMNLMPTCNSMEDVDSCNGPIVGSSPMERVNHCASKQKLQSRNQVKLYGANGDLASRVGSPCPSVDAVSNPSMSLYVDEDDFANGNHRGCGITYCWSKTPRLRESNPSSDFEDLPLLSGDTGETTLCGQSFWKRNNAEINPYSETPRSLSQKFRPKTFDELVGQSVVVRSLLSAISKGRITSFYLFHGPRGTGKSSASKIFAAALNCLSLAENKPCGRCRECILFFSGRSRDVKEVDSLRINRMDRLRSLVKNAVVPPVSSRFKIFIIDECQLLHGETWATVLNSLEKLSQHIVFVMSTPELDMLPRSAVSRSQKYHFPKIKDSDVSNRLGKICVEEGIDYDQVALDFIAAKSNGSLRDAEMMLDQLSLLGKKITMSLTYELIGTVSDDELLDLLDLALSCDTSNTVIRARELMRSKIDPMQLISQLANLIMDILAGNSEEGSSEARRKFSGKHSSEVDLQKLNYALRTLSETEKHLRVSKNQTTWLTVALLQLSSAESNLLDVNDPKLCLANSQQKEGDSNSTSSTGERSSHPFTCICNGNTSSKLGKQEESERRLESIWKRATELCQSSSLKKFLRKKGRLSSLSVNQGLAIAELEFCHPSHVSKAEKSWKLIASTLQLIVGCNVEIRINLSVTDQVSKGAKLRKPSFSLFSCSRRFQMKSRSSTKSGSDSEVSQYASEKPMISDRSFLTYYSDHGFEIPHNCSHGREAVRAFRNSEGNILSTGATPSCGSLRDDTSLTPAYGVDSSKGEGRDCECQIISVQEPDYQPHCFPRSLRPQKKDHLTDSVKMASVASQEENKLALSIPAPGMLPFGNPLGSSDSYVLCCSNDENTSRENSEVLCWRTPTFPKKLILLPLLFTGLTYKYNDKLLAWQLSHQRRRSHLVDWVLPCSTAK >OMO51008 pep supercontig:CCACVL1_1.0:contig15999:8373:10839:-1 gene:CCACVL1_30070 transcript:OMO51008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVGSNELGGSGTKMGVLNGGGVRSEIDTSAPFESVKEAVSRFGGIGYWKPSQHKLISETEHDMEEVDIAKLEEQAAQLEKDLIIKERETLDVLKELETTKTTVEELKSKLQKASEANVTLEMNTDAKNVNSAVKEEEKENHQGSHPNMAGSLSPLPSSAPGLILMELKQAKLNLSRTTNDLADIRGSVDTLNKKLEKERLSLEKTRERLTQNSSKISSLEDELNQTRLKLQVAKDGQTKSSADNPVDISRELKRLSSEAEEFKKIGEAARSEVSRSISEIEQTRTRIKTAEMRLVAAKKMKEAARAAEALALAEIKTLSRRENSSGIPPEKAEGVTISFAEYSSLVSKAQNAEELSNRRVVDAMLQVDEANVSKMEILKKVEEATEEVKTSKKALEEALNRVEAANKGKLAVEESLRKWRSEHGQKRRSVHNNTKFKNSYPSHNRKDSRLIDVNGLNLVTDGPTPVLKPTLSIGQILSRKLLLPEDFESEMQAEKGTVRRKVSLGQMLSKTNGDCPPSQKMEREGGHKQLSGKRKKFGFARFSTLLAKQNKKKKKPTLNLR >OMP09243 pep supercontig:CCACVL1_1.0:contig03295:1:652:-1 gene:CCACVL1_01070 transcript:OMP09243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKDAQKIVVICSGDKCLNPYIYDAGKDQWVENGPLSYVNLEKDICSLPGITVPLIYDHCARQDIPSMPGITVPDPSAPGSLLVRNHRCFVDFPFSWMIDYGITGERDCNILLNPDGGHNDASAALMCDFDFAHGLLLIPLQRDSKV >OMO91231 pep supercontig:CCACVL1_1.0:contig08367:45570:48281:1 gene:CCACVL1_07191 transcript:OMO91231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGGGVDKNEADPPVRVTDRQLVRFIPRSVTKTMVWRY >OMO91227 pep supercontig:CCACVL1_1.0:contig08367:4883:7883:1 gene:CCACVL1_07187 transcript:OMO91227 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MKYVLLEMDRILRRNGYALIRESSYFMDAISTMTKGMKWGCRKEDTEYDVEKEKIIARGPSGSDSHIQSNNRVHDERGNIEAKCLNKNQEPIKLQEISNSQDTQGISEDKALQRNVVGIVRFPDTINGIDDQLLSDGLSTKVFVTIMGGDMALISFEKLDLPIVVLVVTLLLRMLFRILILSFPITEVDDRQHFENVIAVPNIEATSDTQCDGNLKEHEDVDELVGFDDDKDGSNEGNILNDKQTHSQRMRGSGRNSRDLEETKENIEEDWFSNLPEKNKKRSKKLNKKLKLQRTKSPEI >OMO91228 pep supercontig:CCACVL1_1.0:contig08367:24830:25794:1 gene:CCACVL1_07188 transcript:OMO91228 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit M MAATYSYMACTKFAMLGWGGGKRELSRKRRAFSVSAQQQAEVGEAQEAAKLQEEQQQKVNQQSTTQPRPVEPQINVESKNMSREYGGQWLSSVTRHVRIFAAYIDPVTSAFDQTQMDKLTLILDPTDEFVWTPETCNKVYSYFQELVDHYEGAPLTEYTLRLIGSDIEHYIRKLLYDGEIKYNMNAKVLNFSMGKPRILFNNDDGQFQDVQ >OMO91229 pep supercontig:CCACVL1_1.0:contig08367:27537:28766:-1 gene:CCACVL1_07189 transcript:OMO91229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVEGRDYLDDLEFIVLHCGGNSGGNEKESREYCDEFDMEESGNDDSDYIQYLTDEGLLSNEADSDYEFYLNKLGLNGESSSSEIPSEEVERMRTSNRDLESEKAKVPECSAGLSKKAKAVKKVSKIGDGGSKGIVRDVLSKARKGMVAVDEIREVDEDEDDSMPCKSNGEPSKENDSVNLDETYHLKIVAKKEKKRKAVETNSDTSGDCSEKLFLEKQAEKDEDEDEDAMPCESSGEPLKKMNCVMIDKSRDEHQNSLTKQGRKAKAVSKLLNSGDKRSKSKIRDVLSEGRKNVVNEVEIKEEEEDEDDAMPCKSSREPLKNSNYRHLNSLAKQGKNMVFSHEIDLKSIHQKDDNSSSDSEMIILEEIPFDEGGYTPFVSSKCYQSLVYIFSLQLSVIAPVLVFYFF >OMO91230 pep supercontig:CCACVL1_1.0:contig08367:42381:43799:1 gene:CCACVL1_07190 transcript:OMO91230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNGLIARVPTAILDRRMVKSKGRAMTELSSSITNTGDHAAVQLYKSPPSDQIRLSKDFRPLSIKSQISFSSYFSTRQV >OMO66819 pep supercontig:CCACVL1_1.0:contig12514:993:7515:1 gene:CCACVL1_20975 transcript:OMO66819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MSSQSYAIPTSTPKTMSKEKRPLANFVPEHWGDVFLSCPSELNMDATTQVHYEELKQEVGRMLAKAKDIQTSQKLHLIDVVQRLGVAYYFQKEIEDTLETIYCDFKDDKNHDLHTTALQFRLLREHGFKVDCESFNKFKDEKGNFKASLISDVRGLLELYEAAHLQFHGEDILDEALDFATFHLKSAAETMVEYPDLSAEITNALKRPIRKSLPRLVTRSFIPIYEAYGTKDENLLKLAKLDFMFVQHLHRKELSELTRWWKRIDIPKNFPFIRDRLVECYLWMMGAYFEPHYSFARIFVIKVMVLTSAVDDIYDAYGTYEEHLMFRNAIHRWDISCIDQLPANYMKVLYREILNVYEEMEGLLNEQGKSYRIKYAREVMKKIVEAYYTEAKWLHENYTPTLEEYMPVSLVSCGYYLLAIISFVGMQDSSITEETFVWSFDDPKIIRASAVICRFMSDITTHKFERLREHIPSAIEIYRKQYEATEQEAYDYLNKKVKEAWQDINQEFLKPTVVPESILTRVLNLARALMLSEVYGAKEHQHRHGSKNKISLLVFGDSYVDTGNWRKNDGSSWKEPYGSTYPGKPSGRFSDGRVLTDYIASHLGIGSPIPYQSWKSVKRSYLRNGMNFGYGGTGVFDTLDKEPNMTTQIDFFQRLVEEKVFTEQQLNSSIALVSLAGNDYAAFLARNGRDIQKLTAFMKTIINQLAINLKRIRGLGVKRIAVTAIEPMGCLPQETAISSYRNCNEVWNSFSKSHNQVLEQTLQKLNDHERIFITLDLYNAFMSALKGKHAGMHS >OMO99239 pep supercontig:CCACVL1_1.0:contig06924:7856:8023:1 gene:CCACVL1_03877 transcript:OMO99239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFGWKDTITGAGKLNGNRMNRGKGHAACADWQTKRFQTKRPSTGNGNNMEPSP >OMP05601 pep supercontig:CCACVL1_1.0:contig05309:2513:2575:1 gene:CCACVL1_01862 transcript:OMP05601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLGGRRRSHNSAGIPTWDD >OMP11444 pep supercontig:CCACVL1_1.0:contig01283:2553:4419:1 gene:CCACVL1_00515 transcript:OMP11444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MENGRVGYLVRTRLPFPEKLFFCRAKFADSEVDGSFSLRVVPQTLIAGEKEEAKAVLTLFLKKQGLSNAVAARIINKSDLFIDHLVSRLHSVHKSRYLVGRELTTLEIRDALIPYLESLLEEHGRILVDVVENFPDPPVKDNPVTQVTPPDSGNDSKKLKAVSRVSETGPAGKLRPQVLYLMELGMDLEKIKVITRRFPSFAYYSLEGKIKPVVEFFLELGVPKSDIAVILSKRPQLCGISLSENLKPTMTFLEDLGVDKKQWAKVIYRFPALLTYSRQKVKMTVDFLYEMGLSSENVGKILTRCPNIISYSVEDKLRPTAKYFSSLGVDIAALLLRCPQTFGLSIEANLKPVTEFFLDKGYTMEEVATMISRYGALYTFSLVDNLMPKWEFFLTMDYPKSELVKFPQYFGYSLKDRIKPRFAIVNESGVKLLLNQVLSLSSSDFEKALKKKMEKQRVK >OMO84903 pep supercontig:CCACVL1_1.0:contig09681:3347:3663:1 gene:CCACVL1_10578 transcript:OMO84903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase MEICHHPFPMLSEVASCYRITIVGGSIPELCNGRLYNTCCVFGSDEKLKAKHRKIHLFDIDIPGDISYKESDLFAAGD >OMO84904 pep supercontig:CCACVL1_1.0:contig09681:5447:5989:1 gene:CCACVL1_10579 transcript:OMO84904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIASWITLLPLYLFWKRQGNQYS >OMO87318 pep supercontig:CCACVL1_1.0:contig09249:10636:12984:-1 gene:CCACVL1_09132 transcript:OMO87318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGATSKPSLSSGKGAKGRNGVVEVKEYPDPLASHDDIVKDPIVFWDTLRRFHFVMGTKFMIPVIGGKELDLHVLYVEATKRGGYEKVVAEKKWREVGSVFRFSATTTSASFVLRKHYFSLLYHYEQVHFFKVKGPLHTPKVASPVSDPSCRPELALVEYSPNPIREFPDPLIEVIGTIDGKFDCGYLISVRVGSEVLSGVLYHPEKPGPSVSAPEYNKALVPYKHIHKSRHPGRRRRRRSRRTGDPSYPKPNRSGYNFFFAEKHYKLKSLYPNREREFTKMIGESWNSLSPEERMVYQNIGLKDKERYKRELKEYKERLKTGQGVEIEKPGC >OMO87322 pep supercontig:CCACVL1_1.0:contig09249:25418:26620:-1 gene:CCACVL1_09136 transcript:OMO87322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDWTQLPPEILEFISTKLKIYTDYLRCRAVCTSWRSSIPKTPFHLPPQLPWLMLPPSRSHQSHRSHRAFYDLSTHKFRYLSFPESFNPNKRHCGSSHGWLIILDDSPSILLLNPLSRAKLLLPPLSTFPNVDGFNYSDIGKEYSLRSPSGGRYNRNLRQMRDSFIKKIVLSANPSKDPHFVAIAILNQTGELAYCRNGDKAWRFIEGAQSYSEDVIYCKGLCYAVDKHGGILACDVRDDLPRISFIETPRKLGGDMQYLVYSEPEDELLLVTRYLDLDINFELAQSYLIFRTKRFEVSRLVSSGPHWEKVKSLNDKMLFIGENSSLSLSACDFTGCLGNCIYYTDDYSETNYDGAFGEHDIGIYKLWDGSIEPLPCYPRNSYFRLGWPTPLWVALSPC >OMO87321 pep supercontig:CCACVL1_1.0:contig09249:22628:23456:1 gene:CCACVL1_09135 transcript:OMO87321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLLPSPSFSLSETEQEHLLEKLDVFKVQGRDKVGRKVLLIIGKHFPARMVSSEVIKNYLEEKIYPKLGEKQFSVVYVNTDVQRSENFPGISALRSIYDAIPTNVKDNIEAVYFLHPGLQARLFFATFGRLFFNGGLYSKLKYVSRVEFLWEHVRRKEIELPEFVFEHDEELEMEYRPVTDYGLESDHPRVYTAPSVDPISMYSMRCIA >OMO87316 pep supercontig:CCACVL1_1.0:contig09249:6249:7447:1 gene:CCACVL1_09130 transcript:OMO87316 gene_biotype:protein_coding transcript_biotype:protein_coding description:U11/U12 small nuclear ribonucleoprotein 25 kDa protein-like protein MESGTTEEGTEVGYNSENVKKAKLHSTLAALLDDPILADVPRKPTLSDVDTLISLEMGSAMRISILKLDGTSFDVAVMNSATVKDLKLAIKKKVTELEQSKMGHRHISWRHVWSNFCLAHHNEKLLDDQAALQDFGVRNNSQVQFLPYVVSKVSGSHSKSKRRKHRFFHGLNEH >OMO87320 pep supercontig:CCACVL1_1.0:contig09249:16585:21691:-1 gene:CCACVL1_09134 transcript:OMO87320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root cap MTYPIAVGLILTATICLLGGCPSLCEVDCRICKPYCACDRPGAVCQDPRFIGADGIMFYFHGKKDKDFCLVSDFNLHINAHFIGKKGKKGRDFTWVQSIGILFDSHQLYIGAERVAKWEESVENMVIKFDGKDVLVPAGEGEIWKSPEAGLKIERQEETNKVRLRVEGLLEIVARVVPITSEESRVHGYDVTEDDCFAHLELNFKFDSLSTVVNGVLGQTYKPNYKSRVKLSVPMPIMGGAEKFATSHLFSIDCAVSNFGLKAKKVGDGETLSFECGDGSGGKGIACRR >OMO87323 pep supercontig:CCACVL1_1.0:contig09249:28082:31735:1 gene:CCACVL1_09137 transcript:OMO87323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha amylase MGNMNNTVEENIDLGAVLRSGREILLQGFNWESHKYDWWRNLERKVPDIAKSGFTSVWLPPAYHGIAPEGYLPQNLYSLNSSYGSEHLLKALLQKLKQYKVRAMADIVINHRVGTTQGNGGMYNRYDGIPLAWDEHAVTSCTGGLGNRSTGENFHGVPNVDHTQYFVRKDIIGWLQWLRSVGFQDFRFDFARGYSAKYVKEYIEGAKPIFSVGEYWDSCSYNGYGLDYNQDSHRQRIINWIDATGQLSTAFDFTTKGILHEAVKGQFWRLRDPQGKPPGVMGWWPSRAVTFLDNHDTGSTQAHWPFPSNHIMEGYAYTLTHPGIPSVFYDHFYDWGNSIHDQIVKLIDIRKRQDIHSRSSVRILEAKNNLYSAIIGEKVCMKIGDGSWCPTGREWTLATSGERYAVWQKQ >OMO87317 pep supercontig:CCACVL1_1.0:contig09249:7882:9175:-1 gene:CCACVL1_09131 transcript:OMO87317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSRFARSVIPLVLRPQCLNTRCSLTLVKTPKFQVEQGATRNSFLKYGTIANAEEIEEKGDVKPISVPVKAAYELLQGGHRYLDIRTVEEFKAGHPPSAINIPYYHVFINGSREVKNSKFLEEVSAEFGKEEKFLVGFTQPIDVAGGYTAWKENGLPSTESE >OMO87315 pep supercontig:CCACVL1_1.0:contig09249:207:5604:1 gene:CCACVL1_09129 transcript:OMO87315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTESCSSRAVDFAPVQSVQSRKQRQKVEVYNGILCRLRDLNIEEASFPAFEDELWAHFSRLPSRYAFDVNVERAQDVLMHKRLLEKARDPACRPAIEVRLVQVHSASDGNRVESVKFARKADPQGSDYPNKKRVHPPPAFGTLSDLELLHEDKRGLKDMDVVNNVKPIHSGLMHEITISTNDKPKLLTQLTSLLSEVGLNIQEAHAFSTTDGYSLDVFVVDGWALEETEQLRNVLIKKISKVEKQSWLQSHAVCHSRELEQGGSKLASSHLYMPPGGDNVWEIDTSQLKYESKLASGLYGDLYKGTFYGQDVAIKLLRSEHLNENLQKEFSQEVNIMRRIQHKNVVQFIGACTRPPKLCIVTEFMSGGSIYDLLHKQKSGLKLPLFCKVAIDISKGMSYLHENKIMHRDLKSANLLMDEKGVVKVADFGVARVQAQSGVMTAETGTYRWMAPEVIEHKPYNHKADVFSFGVVLWELLTGKLPYENLTPLQAALGVVQKGLRPEIPKHTHPKVVELLERCWQQEASLRPEFSEILHLLQDLARM >OMO87319 pep supercontig:CCACVL1_1.0:contig09249:14008:14232:1 gene:CCACVL1_09133 transcript:OMO87319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYVIKLWTENCRALPRREPFQKFSKARVKPRPKRGFGNSFQPAIDAPRLEPHELRHCPKRKDARLLLAFLLL >OMO70010 pep supercontig:CCACVL1_1.0:contig11906:1721:3040:1 gene:CCACVL1_19147 transcript:OMO70010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRFTSGGIVLRSTRQAKQKRDDNKTIGCPAISLTQCTYAAMCQRVDISQTPETNGPYKNF >OMP01645 pep supercontig:CCACVL1_1.0:contig06399:17070:17141:-1 gene:CCACVL1_03043 transcript:OMP01645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDITARQPLKTASHRPTDIDQ >OMO97272 pep supercontig:CCACVL1_1.0:contig07253:18014:18202:-1 gene:CCACVL1_04614 transcript:OMO97272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALQLATEIRVTNGKLQDLSVNAVEDGSDDVCPWIYPVESGSEVDNWTEFEFPVLINNEEM >OMO97270 pep supercontig:CCACVL1_1.0:contig07253:11608:13408:1 gene:CCACVL1_04612 transcript:OMO97270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDETFSDEDPEPIRVEGVPFVKVDKEKRDALRRPWRFSVIVKRLGRSLAFLVFHQRLVKLWSLKEEDEMIDLDYGYYVIMFRTRATAAKILSGGPWKIMDHYLVVQRWKPNFRSSTALIGKMAIWIHLPELPIKYFNMEMLMNVGRQLGKPIRLDTNTSKVVRGKFARICVEIDLFKPLVSRVQKRLQGTLGDHADSRRVSALKLSRNTGRSQRSQANISIEAFKEHWEITEISGGGMGLKSGRLYLLRKANSSDWSNETSRKLQ >OMO97271 pep supercontig:CCACVL1_1.0:contig07253:14026:14400:-1 gene:CCACVL1_04613 transcript:OMO97271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLARAGAGPDSPWAPMSLAYAPLALASSPRLPASIWVLGDAPDWRWAPMRQAWRPMWFASRLKCSSATTPLDMSSRLEIQLFSSLQTLENQSYDDKIDSSSSSITIILAPFFIQIVIGKYLCK >OMO97273 pep supercontig:CCACVL1_1.0:contig07253:29504:29581:-1 gene:CCACVL1_04615 transcript:OMO97273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPWAEQVKGDTGWSVEERTEGVVKN >OMP11080 pep supercontig:CCACVL1_1.0:contig01574:928:1011:1 gene:CCACVL1_00677 transcript:OMP11080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSIPERKPLRYATSSFAATLRKSVNRT >OMO60740 pep supercontig:CCACVL1_1.0:contig13672:21869:27024:-1 gene:CCACVL1_23898 transcript:OMO60740 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MKRVFDEISDEEWENHTFKPSRVLKKNPNPPAIESFAFNSRTPPADLSDQSSDDCVEIEQLEDDDSDGDLKLEDDDVLPEKAAPVNRARRFVVDDDEDEDEDVEEGEDEIGHEVYEIESSEEEEGLQEEEDDVVGKALQKCAKISAELRKELYGSSGASCERYSEVETSSVRIVTQNDIDEACGAEESDFQPVLKPYQLVGVNFLLLLHRKGIGGAILADEMGLGKTIQAITYLTLLKHLKNDPGPHLIVCPASLLENWERELKKWCPSFSVLQYHGAARAAYSKELSYLSKAGLPPPFNVILVCYSLFERHSMQQKDDRKILKRWRWSCVLMDEAHALKDKNSYRWKNLMSVARNANQRLMLTGTPLQNDLHELWSLLEFMMPDLFATEDVDLKKLLNAEDRELIGRMKSMLGPFILRRLKSDVMQQLAPKIQRVEYVTMEKKQDDAYKEAIEEYRTFSRARIAKLSESDLNNVVGILPKHQISNYFIQFRKIANHPLLVRRIYNDEDVVRFAKRLHSMGVYQCTLDRVIKELKTYNDFSVHRLLLRYGITGGKGTLSDEHVMLSAKCQALAELLPSLKKSGHRVLIFSQWTSMLDILEWTLDVIGVTYRRLDGSTQVTDRQTIVDDFNNDTSIFACLLSTRAGGQGLNLTGADTVVIHDMDFNPQIDRQAEDRCHRIGQTKPVTIYRLVTKGTVDENVYEIAKRKLTLDAAVLESGMDVDKEGEMAEKTMGQILSSLLMS >OMO60743 pep supercontig:CCACVL1_1.0:contig13672:43076:45231:-1 gene:CCACVL1_23901 transcript:OMO60743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MEANERRRHSTLSCNGATTIPRKPLIPKAKVSLPKASLLVEKLCNGDYIVNHKFCLKDLLNPEAAAAKNGNELIKVVMKMAASNAQKTLNIITQMASHSLPKELGVLKSCMNDYTHAIRSFGMVPDEMVQDRMTANYNIRFVSTDALHCDTAIVAAKIQLPQISAGNRLLRYYSSISSKLTN >OMO60744 pep supercontig:CCACVL1_1.0:contig13672:49424:50879:1 gene:CCACVL1_23902 transcript:OMO60744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MAGKNPLFSVASRATAIVTLLVLSSACQAKLSSTFYDKTCPKALRTIRTAVRAAIDKEKRMAASLLRLHFHDCFVQGCDGSVLLDNSDTIKSEKFAPQNGNSLRGFVVVDQVKSAVENVCPGVVSCADILAVIARDASIYVGGPTWTVKLGRRDSTNASPSLASRNLPRFSNNLDELISTFAAKGLNAREMVALSGAHSIGQGNCFTFRDWIYNNKSIIDPAFRTKLKRICPANPALGNSNLAPFDPTPNTFDNRFFKSLMQKKGLLKSDQVLFSGGSTDRIVKEYSKNPSKFNSDFAAAIVKMGDIQPLTGSAGIIRKNCRRVN >OMO60742 pep supercontig:CCACVL1_1.0:contig13672:34696:36737:1 gene:CCACVL1_23900 transcript:OMO60742 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MSNHPPPPLPSTHHHHHHHHHQPPHITTTKATTQTPATATTSTTTSNREYRKGNWTIQETLTLITAKKLDDERRTKPSTSSPSKPGELRWKWVENYCWDHGCFRSQNQCNDKWDNLLRDYKKIRHYQSQILPQQQQQESSDQQQKQHLPSYWSMERHERKLHNLPTNMSPEVFEALSDVLQRRYNTQQQEQQQQQQPQQQQTSAGSIQQQQQQQQQKQLPTVSQSSEQLGVRRDQQAPEIEAPVTLSEDQSDSSETESSENQGSETKRKRVRKIGTSIMRSASVIAKTLKSCEEKKEKRHQEVMELEQRRLQLEETRNEVNRKGITDIVAAMTNLSGAIQSLIANHYDQT >OMO60739 pep supercontig:CCACVL1_1.0:contig13672:2141:5496:-1 gene:CCACVL1_23897 transcript:OMO60739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSTPFFGNREENQNQMIQQQQSSGATPTSSTAPPTTAPAQKKKRNQPGTPSKFICEVCNKGFQREQNLQLHRRGHNLPWKLRQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTNLSAMGSHLFGSNHVGLGLSQVGSQIPSLQDQNQPSSNMLRLGSAAGAAKFEHLMPPSNPSSLQNMPTSAFFMADANQGFHHQDHHQSHHGHGGPFLNKPLHGLMQLPDLQGNTNNSNASANLFNLGFFSNNSAASSMSNSENASGGTPNMPNSGFLSPNQFSGGNGGGGQGSAMFSTNMGDQVGSGLSSLYSTSMQHENISPHMSATALLQKAAQMGSTTSSNTSSLLRGLGSSSSSGTKSNFGSNYGGGGGGGGGGGETLRSQMENDSNLQGLMNSLANGNSSIFGGGNGGHGQDGSFGGFSGSGITLDHHQQSSNASYCNVNEAKLHQNLAARIGGTDKLTLDFLGVGGVVRNMGGGFSQRDQHGMNMSSLDGAEVKSDQASQQFGSAKLL >OMO60746 pep supercontig:CCACVL1_1.0:contig13672:59548:62230:1 gene:CCACVL1_23904 transcript:OMO60746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase MAAVTVSSSASKVAAVVVLLLLSSACQAQLSSTFYANTCPNALSTIRTSIRSAIAKERRMAAYT >OMO60747 pep supercontig:CCACVL1_1.0:contig13672:64098:64643:-1 gene:CCACVL1_23905 transcript:OMO60747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MARFHFVLVFFLCYYCLPFHSSAAISNVPTKLVNDICNQTSNYSFCVESLYSDPRTPDADAYELAYVSFGLAYLNATATQDSIAKLLKNKTASAASLKRLKICKRDYHKAVSALEQAFNDLNSETYFQLAKLAGRAAAAADNCQSSFEGTHDYSPLATMNACLKALSGICVVVSKFFTGSG >OMO60745 pep supercontig:CCACVL1_1.0:contig13672:51685:56046:-1 gene:CCACVL1_23903 transcript:OMO60745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERMDVRVARYFCSSQPF >OMO60741 pep supercontig:CCACVL1_1.0:contig13672:28905:33054:1 gene:CCACVL1_23899 transcript:OMO60741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVLSASDKQSMVSSFLEIAVGQTAETATQFLQATSWKLDDALQLFFVGNETGAIGSASESPAVENADFEHNASDFKEPANDNVGSIVGDEVRPPLPVVRETLYDDAILYGASRMGFPPQQAGSLIAFRNFDEEMKRPGVWESDGSASSSVDTPRDNLATLYRPPFHLMFHGPFEKAKSAASVEDKWLLVNLQSTKEFSSHMLNRDTWGNEAVSQTVSTNFIFWQVYDDSSEGRKVCTYYRLDSIPVVLVIDPITGQKMRSWTGMVQPETLLEDLVQFMDGGPRDYHATLSHKRPRGSSVTPPQKIKVLTDETKEAEETPKEVAAASMESEKDSSKTHSEDKVLSNTEKEEPCSTQKPEYPPLPEEPKGDRNLLCRVGVRLPDGRRVQRNFLRSDPIQLLWSFCHAQLGEAESKPFRLTQPIPGASKTLEYDSKLTFGESGIANSMISVAWE >OMO57542 pep supercontig:CCACVL1_1.0:contig14357:741:866:1 gene:CCACVL1_25745 transcript:OMO57542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKLRHKVHKEKQKMIEQNQGQQKAQDLTIKIEAFKQAVFFA >OMO57543 pep supercontig:CCACVL1_1.0:contig14357:53378:55923:-1 gene:CCACVL1_25746 transcript:OMO57543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding protein PEBP MPRDRDPLVVGRVIGDVLDPFTRSISLRVSFGGREVNNGCELKPSQVVNQPRVDIGGEDLRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPATTGATFGQEVVCYESPRPTVGIHRFTFVLFRQLGRQTVYAPGWRQNFNTRDFAELYNLGLPVAAVYFNCQRESGSGGRRR >OMO58979 pep supercontig:CCACVL1_1.0:contig14072:27864:34394:1 gene:CCACVL1_25176 transcript:OMO58979 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase II, eukaryotic-type MVTDSQLPLQSSNIANMKSSKTIEETYQKKSQLEHILLRPDTYIGSIEQHTQRLWVYENDEIVLRDIKYVPGLYKIFDEILVNAADNKQRDPSMDCVKVVIDPEQNLISVYNNGDGVPVEIHQEEGVYVPELIFGHLLTSSNYDDNEKKTTGGRNGYGAKLTNIFSTEFVIETADGKRQKKYKQVFSNNMGKKSEPVIAKCKESENWTKVTFKPDLAKFNMTHLENDVVALMKKRVFDLAGCLGKTVKVELNGKRIPVKSFLDYVNLYLTAASKDKEPLPRVIERVNPRWEVCVSLSDGQFQQVSFVNGIATIKGGTHVDYVTNQISNYVMNVVNKKSKNANIKAHNVKNHLWAEFKHSKDLKKTDGTKSGKVRGISKLEDANEAGTRNSEKCTLILTEGDSAKSLAVAGLSVVGRDYYGVFPLRGKLLNVREASHKQLMENAEIQNLKRILGLQQGKEYTNVKSLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVPSFMVEFITPIVKAKKGNNKISFYTMPEYESWKESLGGNAKGWTIKYYKGLGTSTSEEAKEYFKNLEYHKKEFVWENEHDGDAIELAFSKKKIEARKNWLRQFESGTHLDHNQKLIKYTEFINKELILFSMADLQRSIPSMVDGLKPGQRKILFCCFKRNFVKEAKVAQFSGYVSEHSAYHHGEQSLCSTIIGMAQDFVGSNNINLLRPNGQFGSRNLGGKDAASPRYIFTNLSPITRYLFPKGDDGLLDYLNEDGQSIEPTWYMPIIPTVLVNGSEGIGTGWSSYIPNYNPRDIIANIRHLLNGEPMEPMHPWYRKFKGTIEKTTSKEAGVTYTVSGIIEEVDETTLSITELPIRRWTQDYKEFLEAILMGNDPFITDYKQYSTDLSVKFEVTLTRENMMMAKQEGLLKKFKLTTTISTSNMHLFDSRGTIKKYDTPEQILEEFFHLRLEFYEKRKKFLLDNLEMELLKMDNKVRFILGVVKGEIIVNNRKRADLFLELQEKGFTPFPKKSKSAEVSVAGATDDVEETENSEVGIKEVQVSDYDYLLSMAIGTLTLEKVQELCAERDKVQNDVEEVRKATPKSLWLKDLDDLEIQLEEQDKEDEKAFLEEEADVAKRKGKGEAGKRVQRQAPKNARKINKKETSSEAAAEAMEFATSSAMETENVAPVVKPKGQGGSRKAKKVVSDDDDDDEDFPDLRERIAKLNSSTDNSTAMETEKPQEPAGKKQPTKRAAATRKKPAASLSAISESISEIDINDEDSEVIEVAAPVAGKKGGRKAAATSKAAKPPAAAKKRGPAASKQSQQKLLTEMLKPAQDSGISPEKKVRKMRASPFNKKSGSVLSRVGISKEIEAIDSEDTSASGSSPMSENSPSSEEPVVIPARARPQRANRKQTTYVLSDSETDNASDDDFESDNASDDEDF >OMO58995 pep supercontig:CCACVL1_1.0:contig14072:134392:134541:1 gene:CCACVL1_25192 transcript:OMO58995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPARSEAMTTTHDQARDGVPVGCFAAAPNFLFIALLVFFPCGCPALV >OMO58986 pep supercontig:CCACVL1_1.0:contig14072:81174:91027:-1 gene:CCACVL1_25183 transcript:OMO58986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELTIDLADNKEENDRNMDGRLSETATAGKEMYATEAL >OMO58980 pep supercontig:CCACVL1_1.0:contig14072:35752:38169:1 gene:CCACVL1_25177 transcript:OMO58980 gene_biotype:protein_coding transcript_biotype:protein_coding description:GINS complex, subunit Psf2 MAGQSDPHISLFSAQEVEFMAEDELIEIVPNMRMDSLNFICGDFGPFLPQIATEVPLWLAIALKKRGKCTIRPPQWMSIENLTRILEGERDSQGAFQALPFHYVEISTLLFDHARDDIPDMYMVRSLVEDIRDVRVHKVETSLEKFSGTSAVKIPNLSAMEVNIIRPFVGRALQAFYKHDNPEKIPDVDRASSGQTRVPNNEPREDMSEGGKIVPWMIIYSKNIQLDQASKVIFLLYSGL >OMO58987 pep supercontig:CCACVL1_1.0:contig14072:94466:98962:1 gene:CCACVL1_25184 transcript:OMO58987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESCVESDSGAAFIFFLGSTGKNVIPSKLEIKDMTDQYQSQLLEEICMEILERLSVKSLIRFKSVCKSWKSLISTPYFMDRHFDRNAANSNKLGIVEMIQVARYNSFYIQTLNFSPTSLGETSIIDQSLEECNDAKVLGWCRGLLLVGVDCSDYKLLLWNPSTSKCKEISDPQYQQLEYEYIDSSTLGYDFNIKSHKIVLIYKLGKFERCISVYTLKTNSWTSVELDTEHKVADYDGYPITLTANGAPHWVINHRDVVTRPESEYNITHRRIEYFDFGVNKLLVVQQPGDYDNCRFAVTPQLYDTEGSLCIGYRKGGFILEIWVMKRYGVKDSWFKWMSYEDEYVPFPICFAKNNINVSFFVGRGKKCCAIYNGKEKGIELEGMGELVSELREMGSLVFHEFKKQASFFFKEKFKTARLALTDVTPVELLTEEATNGNMLSPNASSMSAISRAAFEVDDYWRIVDILHKRLSKFDTKNWRASYNALVLLEHLLTHENEAFLKEERARARKLTMGIKGFGSFSQLSSPRDGRFDSQLFYEDHQNEKENNFLEFKENFSSKEEIKITEENQNKEMKSKSSGINGGIESEREHPFCEDEQETAESLISSIM >OMO58977 pep supercontig:CCACVL1_1.0:contig14072:9300:12677:-1 gene:CCACVL1_25174 transcript:OMO58977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSEKRRHREKKDKNRDRDRDRERDRERERERRRSERERSSDSDRDRDRDRERERERRRSEREKSSDSDREKEREKEKRRERKEREREKEKERERAREKREREREREREREKREREREREREREREKEKERERKSREREKRREHDSDDSREERERHRKRRRKERDDEDDDYKERDSKSNREESPGRKKSGDDETEKAENKSREEEMEDEQRKLDEEMEKRRRRVQEWQELKRKKEELEREKGGEGNVEVEAAKVGKAWTLDAESDDDEVGPTKFETDMDVDENDNSEPDRKEVGDPMSDDGKDETHVTENGGTTVAEEDDEIDPLDAFMNSMVLPEVEKLSNAAVVPPPSLDNDKNDDLKKDKKYGLSNGGQQPKKGSNKALGRILPGEDSDSDYGDVENDEENVEDEDDEEFMKRVKKTKAEKLSIVDHSKIDYEPFRKNFYIEVKEIARMTPEEVAAYRKELELKLHGKDVPKPIKTWHQTGLTSKILETIKKLNYEKPMPIQAQALPTIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVAGDGPIGLIMAPTRELVQQIHSDIRKFAKVLGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYFVLDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEVRPESERYLRLLEILGEWYEKGKILIFVQSQDKCDSLLKDLFKQGYPCLSLHGAKDQTDRESTISDFKSNVCSLLIATSIAARGLDVKELELVINFDVPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVVPDDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRKAAKKAQAKEYGFEEDKSDSEDEDEGVRKAGGDISQQTALAQIAAMAAATKSSTALMQNPLSSAQLLPNAVLPISLPSVLGVSVPGTPAVVPGSGLPVLPNEEAARKAALQAALNLQHNLAKIQADALPEHYEAELEINEFPQNARWKVTHKETLGPISEWTGAAITTRGQFFPPGRIPGPGERKLYLFIEGPTEISVKRAKAELKRVLEDFSHQALQLPGGNQPGRYQVL >OMO58983 pep supercontig:CCACVL1_1.0:contig14072:57982:59816:1 gene:CCACVL1_25180 transcript:OMO58983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MGFTTLSLHHLFLLLATVLHQFTSSAAIGVNYGMVADNLPPPSEVANFIKTKTIFDSVKIFDCNPDVLRAFADSGISVTVTVPNAEIPSLTNIRAARRWVNANIKPFYPQTKIKYISVGNEVLFFNTPEHVNNLVPAIRALNRALNKVGIHDVKVTTAHALNIFEVITLPSLTRFRNDFAKPVFAPLLLFLGKTKSPFMINPYPYFELNTLGNNLDFAVFRGGPGMFDKHSGKTYTNAFDVLLDRTFSAMSALGCGDVDIVIGETGWPSMSDAGNVATVENALSYNGNLVKEILAGKGTPLMPNRKFEAYIFGLFNENQKSGPLAERNWGLFRPDYSPVYNAGVLRDGQPFVPAQPQPQPTPGQSGKKFCVAKPEANDGQLQAALDWACSQGTDCGPIQPSAPCAEPATARSRATFAMNSYYRNHGEVDSACDFSGTAQITTVDPSYGNCHYL >OMO58978 pep supercontig:CCACVL1_1.0:contig14072:17462:23418:-1 gene:CCACVL1_25175 transcript:OMO58978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triosephosphate isomerase MARKFFVGGNWKCNGTTEEVKKIVSTLNAGQVPSEDVVEVVVSPPFVFLPYVKDSLRPDFHVAAQNCWVKKGGAFTGEVSAEMLVNLCIPWVIIGHSERRQLLKESNEFVADKVAYALAQGLKVIACIGETLEQRESGSTMEVVAAQTKAIAEKVSDWTNVVLAYEPVWAIGTGKVATPAQAQEVHSELRKWLQANISAEVAASTRIIYGGSVAAANCKELAAQPDIDGFLVGGASLKPEFIDIIKSAEEKKKIASEPAQTWRSGIEFLGFRRMEDSDREKEREKEKRRERKEREHEKEKERERAREKREREREREREREKREREREREREREKEKERERKSREREKRREHDSDDSREERERHRKRRRRERDDEDDDYKERDSKSNREESPVRKKSGDDETEKTENKSRGDISQQTALAQIAATKISTALMQNPLSSARRLPNAVLPISPPNVFGVSVPNTPAVVPGIRLPVLRNEEAARKAALQAALNLQHTLARIQADALPEHYEAELEINEFPQNTRWKVTRKETLGPISEWTGAAITIKGQFFPPGKIPGPGQRKLYLYIDGPTEISVKTAKVELKRVLEDFSHQALQLPGRNQPGRYQVL >OMO58981 pep supercontig:CCACVL1_1.0:contig14072:44164:46246:1 gene:CCACVL1_25178 transcript:OMO58981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDFLENNCGSAGADSWCSSDSESGFSDLLHLADKISYSKHSVGQFEIDLLSVVHSLILSMSEMDLHYVKSGACNASCIRFLLVKMLRLSGYDAAVCASRWQGSGKVPGGDHEYIDVINYNNGSSERLIIDIDFRSHFEIARAVDSYDRILNSLPVVYVGSLTRLKQLLQLMVEAARSSLKQNSMPFPPWRSLAYLQAKWQSPYQRQFAPDEHNISGNVSFDHKQCNGHLRGLQSSLQSELEAERLLKPMNFDSHRRLKLDRRRHSSFRAL >OMO58991 pep supercontig:CCACVL1_1.0:contig14072:111896:113077:-1 gene:CCACVL1_25188 transcript:OMO58991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQIFGENSDRIVSGYSEEFEQNFLDLMKRSHRFSRVAATVVYNEFINDRHHVHMNSTQWATLTEFVKHLGRTGKCKVDQTEKGWFITYIDRDSETLFKEKMKNKRLKLDMVEEEKQEREIQKQIQMAEQLKAPLESDQENEQKAVPKELNLESGVKIGFSLGGGIIRKEEKGESSSKAARIVNGAYRGSNARLLGVDTEKFCAKVQIEKGVYDGRLIKAIEYEDICKVA >OMO58988 pep supercontig:CCACVL1_1.0:contig14072:100185:101860:-1 gene:CCACVL1_25185 transcript:OMO58988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MAEAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >OMO58982 pep supercontig:CCACVL1_1.0:contig14072:48850:55791:-1 gene:CCACVL1_25179 transcript:OMO58982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKARKKYPRKSKIIVAMVRGQSSNDVPSMHQMESLSLRTLDIVMDRQAGRTERRTPGATVKFFDRAIMEKPTGQASSSNNADDGKHLSELAYQTWKIVKDKEKNDNRWRGRKSADNDVKYFDLTDWRYGWLLPGWLAEERRMPTGRLYKV >OMO58990 pep supercontig:CCACVL1_1.0:contig14072:111271:111354:1 gene:CCACVL1_25187 transcript:OMO58990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQTSGSLTTALAAVTAVLQLLQSYR >OMO58985 pep supercontig:CCACVL1_1.0:contig14072:77368:80710:1 gene:CCACVL1_25182 transcript:OMO58985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 2 MGFLLTIVELLAALILIVLFGLVSAIVFEAYRRRNNNAHVEAPAIFEDPKSLKQVPCPHISDPAEKYLSLVIPAFNEELRLPGALDETMNYLQQRAAKDKSFSYEVLIVDDGSRDGTKRVGFDFVKKYGVDNVRVILLGKNHGKGEAIRKGMLHSRGELLLMLDADGATKVTDLEKLENQIHAVARKDYRRQDSAASDTSFRISDIQLVAFGSRAHLEEKALASRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRSAARKLFTNIRLKRWCFDVEVVFLCKWFGIPMLEISVNWSEIPGSKVNPLSIPNMLWELALMSVGYRTRMWKVNP >OMO58996 pep supercontig:CCACVL1_1.0:contig14072:136245:136352:-1 gene:CCACVL1_25193 transcript:OMO58996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRNMINDEPLPPFVTFKLCSNWFEARGLETSS >OMO58984 pep supercontig:CCACVL1_1.0:contig14072:68085:69976:1 gene:CCACVL1_25181 transcript:OMO58984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MGFTTFSLHHLFLLLLATVLHQFTSSAAIGVNYGMVADNLPSPSEVANFIKTKTIFDSVKIFDCNPNVLRAFANSGISLTVTVPNGEIPSLANVRAARKWVNANIKPFYPQTKIKYISVGNEVVLFNIPEQINNLVPAMRALNRALNKAGIRDVKVTTAHALNLFEGVTLPSLTRFRNDLAKSLFAPLLLFHRRTKSPFMINPYPYFELNTIDNKMDFALFKGGPGLFDRHSGKTYTNAFDVLLDKTFSAMSAIGCGDVDIVIGETGWPSMSDAGNVATVENALSYNGNLVKEILAGKGTPLMPNRKFEAYIFGLFNENQKPGPLAERNWGLFRPDYSPVYNAGVLRGGQVLPIVPAQPTPRPSGKKFCVPKPGANDGQLQAALDYACRQGADCGPIQAGAPCAQPATTRSRAAFAMNSYYRNHGQVDSACDFSGTAQITMVDPSYGNCHYL >OMO58993 pep supercontig:CCACVL1_1.0:contig14072:128598:129504:1 gene:CCACVL1_25190 transcript:OMO58993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMSFFAEFVIGKLAYSVTPALAIKVNNLHVKSCYNGSLEDGASSLKNIAEGKILDIKLSSFPLV >OMO58989 pep supercontig:CCACVL1_1.0:contig14072:104734:107287:-1 gene:CCACVL1_25186 transcript:OMO58989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNIVSRSGREFIKGGLELNDSATVADLQEAIHKRTKKFYPSRQRLTLPVQPGSKERPVVLHYKKSLKEYSDGNQNTLTVVFKDLGPQVSYRTLFFFEYLGPLLLYPVFYYFPVYQFFGYKGERVIHPVQTYALYYWCFHYFKRIMETFFVHRFSHATSPLSNVFRNCAYYWTFGSYIAYYVNHPLYTPVSDLQMKIGFGFGIVCQLANFYCHIILKNLRSADGSGGYQIPHGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYVFLVVATSIMTNWALAKHRRLRKLFDGKDGRPKYPRRWVILPPFL >OMO58992 pep supercontig:CCACVL1_1.0:contig14072:119966:121769:1 gene:CCACVL1_25189 transcript:OMO58992 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box protein MERKKQLKHGHAASALCEISATSLVSFMLHGKTDLTLKSTPSLVEISIAGLSYGLNNNKDFIIKFSQLFSSSLSQLTKLTLDCEWMELDFPENFPPLIYLKQLELHVTEYEHQSILPWLDLIEACPMLSRLKMKTTECADFKGSLEWKAPKESHKSLQVVEMVGFIACSTSGEFLINLIRNTASLKKIIINPPKYCRVLSFLYEKDHEVEARRFAKERLKVAPSVEVVII >OMO58994 pep supercontig:CCACVL1_1.0:contig14072:132554:133021:-1 gene:CCACVL1_25191 transcript:OMO58994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNDFLTPKEIANRIKAKGLQKLRSYCQICQKQCRDLNEFKCHCMSESHQRQMQIFGGNPIHRIVVGYSEKFEQNFVDLMKRSHRFSRVAATVVYNEFINDRHHVHMNSTQWATLTEFVEHLGRTGKCEVDQTENGCFITYIDRDSEGYIPQNA >OMO57936 pep supercontig:CCACVL1_1.0:contig14293:3648:3800:1 gene:CCACVL1_25647 transcript:OMO57936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTENTQKPNPAAASNLSPQLPSAAPTTAGTLATDAGEPQSHQKSLKSSS >OMO57938 pep supercontig:CCACVL1_1.0:contig14293:31045:31886:1 gene:CCACVL1_25649 transcript:OMO57938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MACVMAMALNNSCRLWLRWHRALLPPQSHRCGKGTSLMPESSHESCANIMSMMKWKKAELPFQITRLSVRFSSAATNSLPYINSSLSTFVYVPPAKTTAEQLCRNPLEYAVELVKHANASVTEEYVKSVASLMVIKGKKLKFPMHGSFLLSDLKNMGFKYVDFGWGKAEFAGVAKAVGPISFVNSAKDKKGEVGVVVSICLPAPAMERFVKELEKMLMQPNQGDEGR >OMO57937 pep supercontig:CCACVL1_1.0:contig14293:11132:11254:-1 gene:CCACVL1_25648 transcript:OMO57937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSGTDTVADDGSGGSDDFGPMGVATGKGKKKVEKKKGF >OMO57939 pep supercontig:CCACVL1_1.0:contig14293:39880:40008:1 gene:CCACVL1_25650 transcript:OMO57939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASAWCNIRPSEASRSDGSCAWGQLCWAACHRLNCGCFT >OMO65637 pep supercontig:CCACVL1_1.0:contig12633:2600:2728:1 gene:CCACVL1_21462 transcript:OMO65637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSTTEDTFAKSTKFMHPDMSNDSIFGQEISSLRPSPSGLP >OMO65640 pep supercontig:CCACVL1_1.0:contig12633:24860:33949:-1 gene:CCACVL1_21465 transcript:OMO65640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFVETSSKRQRVCEEEIVDRISSLPDSVLVHILSCLPTKDAVRTVLVPRFRHLCNFLTTLTFDSSWYGGDEYGCKEFFDYVRLVLIYHQNGTIEKFALKVDVNFLFSKKVDNANDEDNHNDDDDDDDEVNHNDDDDDDEDNHVEYEDLYLAGLEKRKASEVDSWIHLAMRKNVKVLHLDFLLYGEPKPNASYRLPSVVFRGKYLTELKLVACEIKPVGKIQLNCLKRLFLKDVVLNDETINRILSGCLVLEELSLIHCYGLSRLGFGNPSIKSLILNHALEDDRVEISCPNIESLDIAGYTDLVDLVDVSSVVDSSISLGYFTGSLEEYKSLEALFKKLGRCKMFSLCNSCILVYSIWQLRNQTGLLFGWKCLEFEVELTKWHLPGISCLLRTSPHLETLAMYIYPEDDKTFRLDNCEWAKQYDFDGGSFWRLQEGTFHCLEKQLKTIKIYGGYITEPYVIDTVEFLLKNATVLEKLEISTNKTLKHSHQAYYSRRKVELTTEQRLEFSQKLLSLPRASPRAVIRSLMQEMGEIVGTSSKTPRVLEEEVVDRISSLPDSVIIHILSFLPTQDAVRTVAVPIFRHLWNFLPILTFDHSQYSDKYEVNGGGFHVNEYFLAFIRHVLMFHQNKTIDKFFLKMDLNLGYFRGAKPQHLFFAISDLNREKSMSSEVDSWVHFAMRKEVKDLDLAFVTPRYYVEPKARYRLPSVVFRGKHLVKMKLVGCEIIASDRIELNCLKQLVMGTVVVNNENINKILCGCSVLEELSLDFCYGLNKLSFRNPSIKRLKVFQGNPEGEGRRLEISCPNIESLDISGCMNLVDLANVSSVVDFSIAFMWGLEESREHQTIKEHFNKLSGGKIFRACARCILVFTSWQLLNQPNELFGWKSLEFKLCPSKWYQPGIYGLLRSSPCLETLAMYICTECEPRYPLADDECQWMKSYDFDGEKFWRSQEGTFHCLEKNLKSIKIYGHISEPYVIDMIEFLLKNAMVLEKLEISSQKTFRPFPKTNCCPQKVELTTEQRQEFSQKDYEISKHDLINLWMAQGFIQPPNRGQSLEDVGHDYFMALLWRSFFQEPKEDGSGNIESCKMHDLMHDLGKSVAGIECSLTNLEGEHVDENTRHVSLGETFYLSSWEIPVRVSCATETFSSRRSNRHSGRLSELSMLNNLRGQLEIFLLSDTYHPEIGASYLKEKLYLESLFLVGLNEMVFESLQPHPNLKNLKGWWKEDKESIEATPTTTQELLLPYFPHLSTLIIRDCPKLTSSFPLFPTLNNDLQWWNTGTRPLQQTLRMRIKGEITSASNTLLPLSNLKCLSLYGIENVVLEELLQDGRFTCLESLHINSYPELTLFPNEVNGLTSLHELSLYNCPNLMDLPSWIPSLTSLRELAIGYCPKIATLPPGMSRLTNLHHLKITECPHLTERCQSDTDANWSQISHVPDIVYY >OMO65638 pep supercontig:CCACVL1_1.0:contig12633:5667:7635:-1 gene:CCACVL1_21463 transcript:OMO65638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIVETSSKRQRVCEEEAVDRIRSLPDSVLIHILSCLPTKDAIRTVLVPRFRHLCNFLTTLTFNHSWYGTGEEGCKDFLDYVRRVLLDHQNGTIDKFALKVDVNFLYSKKVENANDDIDDGYIVDYEDLYLAGFEERKASEVDSWIHFAMRKNVKVLDLDFLVYGEPKPDASYRLPSVVFREKQLKTVKIYGGYITEPYVIDTVEFLLKNATVLEKLEISTKKTLKHSHQAYSSRQKVELTTEQRVEFSQQLLSLPRASPRAVIHIS >OMO65636 pep supercontig:CCACVL1_1.0:contig12633:1473:2069:-1 gene:CCACVL1_21461 transcript:OMO65636 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein MDLADDECQWMESYDFDGEKFWRSQEGTFHCLEKNLKSIKIYGHITEPYVIDMIEFLLKNAMVLEKLEISSQNTFRPFPKTNCCPRKVELTTEQRQEFSRKVFSLPRASTSA >OMO65639 pep supercontig:CCACVL1_1.0:contig12633:19009:19176:1 gene:CCACVL1_21464 transcript:OMO65639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDIEKPPMLPPPITSTTVAALSFKATIPSRMGASRNGDWQIVAHQKKKLFQII >OMO77051 pep supercontig:CCACVL1_1.0:contig10835:3196:9251:-1 gene:CCACVL1_15217 transcript:OMO77051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSFFREPLDKLEAYCNGKKRKKRIFKEKRMENNLH >OMO77052 pep supercontig:CCACVL1_1.0:contig10835:50828:65750:-1 gene:CCACVL1_15218 transcript:OMO77052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFSFWRSRDRFSLEELRYLTDQLQKVQIVNEVNKDFVIEALRSIAELLTYGDQHDPSFFEFFMEKQIMGEFIRILKISKTVAVSLQLLQTISIMIQNLKNEHAIYYMFSNEHVNYLITYSFDFRNEELLSYYITFLRAISGKLNKNTISLLVKTQDEEVVSFPLYVEAIRFAFHEESMVRTAVRALTLNVYHVGDDFVNKFVTSAPHSDYFLNLVSFFREQCINLSKLVSDCPKNPSSESVSAILATVDEIEDNLYYISDVISAGIPVVGRLITDNMLQLLILPLLFPALQIDADSNMKIGAVTSLYLLCCILRIVKIKDLANTIAAALFCPLEAFVPNSEAKLNGYVSGSDFTDENKELGIDSVAPVNGGQLCLDIPNKASSSQVHPEDFITEKNFRSSHLSLRDTLLSYVTDGDDVQALGSLSVLATLLQTKELDELMLDVLGILPQRKQHKKLLLQALVGEGSGEEQLFSSESGSIRDGVSNELDGYLLNLKEQYGVSCSFAEAGASPRVHRNQVLDALVSLLCRSNISAETLWDGGWLLRQLLPYSEAEFKSHHLKSLKWMVSDYEEGLSRRLLDLGLSQEVSMLPCVQKVIYKDSYRNCTTILLQEIKGIWPDLLITMLCDEWKKCKRAIEASSPQKEPKCILLPLQKLTSEDVPAESSFAAGERMKELVKVFVLLHQLQIFSLGRPLPEEPPICPPIDNLEVSRAKTAGLDVSGPKPGTEIRLVHALPCRIAFERGKERHFSFLAVSMGTLGWVLLAEELPFKQHYGVVRVAAPLAGTNPRIDDKHSRWLHLRIRPSTLPFLDPPKFGAHGKTRMKTLVDGRWTLAFRDDGSCKTALSMILEEINLQSSEVERRLKPVLDIEL >OMO77053 pep supercontig:CCACVL1_1.0:contig10835:67308:72236:1 gene:CCACVL1_15219 transcript:OMO77053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRIQRQKRILEQRFKVPHALNQFTKIVHKNLGWEKAMKEVLALKQQLDAATKKHAAVEDQVGHLHGALKKCVRQLKQA >OMO77054 pep supercontig:CCACVL1_1.0:contig10835:77171:78719:-1 gene:CCACVL1_15220 transcript:OMO77054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVTADFVVGHEDTQDDPKRSKDEKGDGEADLLERRAIVDGVGCLHHDILVGDGEGMVDELGLDLELFKAKEEEASLYLAPSKAESKAMLIGCRNVRVRGTLPKRLGKEESPLIFFSKVRESITPGEGSAPPERPSNDGTSSLN >OMP06046 pep supercontig:CCACVL1_1.0:contig05076:9380:9514:1 gene:CCACVL1_01735 transcript:OMP06046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLFPLLSSTKFISLNLLSRPLNPTPLHRWLPLLGFQDLQFCD >OMO85410 pep supercontig:CCACVL1_1.0:contig09623:15584:19658:-1 gene:CCACVL1_10201 transcript:OMO85410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant MAFFEGIVRFRYAGSFVGEGESLRYENGILDELRIDPDRLSKIELEELLKDAGCKNVLNIYYKRPRMSMCRGLKLIENDWDVLDMAGELVEHGEIDIYVVHMVDDPHDAGLDAANDVGLHAENEFLGVENQPLGPGLGENDAGKEGGLENEELGAGEVSIGVENVNLGPADGEKQNSPLPNADGGLENGLGGKDGDINAQDVADLHVEQFSNGCAYFDHVEEDNSDDEDPEIQPIDAAEEVSGLENEAGPSNNFNPEEPYYSTDELGDFDSDDDATRNTSRFPVYNPNNEIPHIEVEMLFTNSDQFKHAVSLESILKKKGIYWVKNSKERVRAKCSDPECPWEIYASFQKSIHSFQVKKFISDHKCNRVLSTPRLSQKMLAQLVSVDIKRDPYIPYIEIIQLVSERYGLDVELSMVKRAKRDVVRSVAANYEKEFASLQAYGEEIKKTNVGSSVWMQCHKDTVESPPLFQRYYICLNNLKRGFVLGCRQFLGLDGCWLKSLTKGELLVAVGRDGNNQMFPIAWALVEVESTDSWKWFLDKLMIDIDFREGYGWVLMTDQMKGLDTAIESMLPMAEHRFCARHMYVNWKKNAEDIEKTPVRQYCRAFLSTDERCDMIDNNMCEAFNGTLLKARKLPVISLFEQIRRRMMIRIAEKRKECTRWKGNLGPNIWKIINKNVDIADKCLVYGNGADGYEILHGEEQFVVHLDNKTCSCMRYIHSGIPCAHAICAIRHKKTNIEDYVSSWYYKEVYQLAYGFLLEPLKGLEDWPTIDGEHEQVHPPAYRRKPGRPKLARRKGAHENESNNRPKPAPTKMSRKGTVMTCQNCHQTGHNKRGCPKTNSGNEGVSIAETNPTNIPANPLNMPTDLPEEVETSHPQSSSRTKLHPKKKKVNVVATVSAAQATATAEVNYTGIGNATFTANFPSSNDVGSSGNATVNITSAQQVPEIVPDNVLETSKGKAMATKASKAKAAAKAKGKTKGKPPRVSGRVVLDESGKVLSTTEPNFRTSPKSKAKLFGNVQGGNASTCNTPTPTPNWFETVSSASTGGLQQASTSSKRPRMEGYGVYINPQGVQIEDVGLITQRVHKIVNKKSKSSSEVHGTQESNNSGLTSKGGRKAIWKP >OMO74955 pep supercontig:CCACVL1_1.0:contig11071:29397:30062:-1 gene:CCACVL1_16388 transcript:OMO74955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7/L12, oligomerization MSLVLRLRHHLHNGFLCRKPFESQVVNLNAFGSIILSRNFGQPAKQEQEEEEEEVEIDQRRLPADYDPSNFDPTEHRSPPTERVWRLVDEISGLTLSEIAELGTIIMKKRGMTEPPSVGVMKAGAAAGLAGMAMKGAGGGAAAKEEKKPDKTVFEVKLESFEATSKLKIIKELRSFTDLGLKEAKDLVEKTPSVLKRGVSKEEGEQIIEKMKALGAKVVLE >OMO74957 pep supercontig:CCACVL1_1.0:contig11071:35730:41164:-1 gene:CCACVL1_16390 transcript:OMO74957 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase MRELSPTMVVGIDGNGAVAAAANGAMLPSLISPPQALVERLKDYGQEDVFALWDELSPEERQFLVKEIESLDLSRIDRIIRCSLRSQALPVAAIEPVPESCVSSVEERTMEERERWWKMGLKAISEGKLGVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQRLAAQAMNEGSAGSVTIHWYIMTSPFTDDATRKFFESHKYFGLEADQVTFFQQGTIPCISKDGRYIMETPFKVAKSPDGNGGVYSALKYSKLLEDMAARGIKYIDCYGVDNALVRVADPTFVGYFIDKGVASAAKVVRKAYPQEKVGVFVRRGKGGPLTVVEYSELDQSLASAINQQTGRLRFCWSNVCLHMFTLDFLNQVANGLEKDSIYHLAEKKIPSIHGYTMGLKLEQFIFDAFPYAPSTALFEVLREEEFAPVKNANGSNYDTPDSARLLVLRLHTRWVVAAGGFLTHSVPLYATGVEVSPLCSYVGENLEPICRGRTFHAPCEITF >OMO74953 pep supercontig:CCACVL1_1.0:contig11071:19022:22476:1 gene:CCACVL1_16386 transcript:OMO74953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRGIDLEHPSGSGDYNKVEYRPDVNISMMDSSGDERHGRGRVSLNSINSAGKESESASGKAYTGDEINLNSSNNLEPHEGMEFESKEEAFTFYKEYANSVGFTTIIKASRRSRLSGKFIDAKFVCTRYGNDRESGGVQTPEPVPADIVTAAPVKKKRGRVNRSWSKTDCKAGMHVKRRQDGRWVVRSFIKEHNHDTFADQAYYSRGHRNFGLGNSNLFTLHAIPGRTKKTYVSMSRQSGGLRKLENHKGGQSNRLYSCQVLSLEESDTKVLLDHFVCMQDQNPNFFYAIDLNEEQRLRNVFWVDAKGRLDYGYFNDAVLFDTTYIKNEYKLPFVPIIGVNHHFQFLLLGCALLADETKSTYIWVLRAWLRAMGGQAPKVILTDHDKALKEAVVEVFPNSRHCFCLWHVMSKIPEKLSYVMGQHENFMAKFDECIFKSYTNEQFEMKWWELVDVCNLKNDIWFQSLYEDRQQWVPTYMRGIFLAGISTVQRSDSVSSLFDKYLQRRTMLKEFLDQYKAILKEKSEEEAKADFDTWHKPPGLKSPSLFEKQMAHLYTNAIFKKFQVEVLGGIACHPRKESEQGGTKTFKVQDFEKNQDFIVVWNDATSDISCLCCGFEFNGFPCRHILIILQLSGVQSIPSQHILKRWTKDAKSRQTTGEQSDVVETRMQRYSDICQRAFKLGDEGSLSQESYNIVLNALEEALRKCVSVNYLIQSVTEPISLQTQGPQHIEAVNQSNSASKAVKQNNTSQKRQGFTETEISNSGMPESWSQMGQSNIPVPSVECSYESQESIRRMDPLNSRTPATDGYFGAQQLVQGLGLNSINPPHDAHYITQERMHGMGQLHFRPQTIPTCYDIQDGLPDMDQPNLGSNQLHGMASKQLNSKDISR >OMO74956 pep supercontig:CCACVL1_1.0:contig11071:31189:32852:1 gene:CCACVL1_16389 transcript:OMO74956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein UreD MEKGKVVVEKVGPSDTDAAWIYSLTYGGGIVSGDSISVEFTVGDGCTAVLTTQASTKVYKSMGSNCSEQVLEARIGSGSLFALIPDPVTCFSTARYSQKQVFRVVSDSSLVIVDWFTSGRHESGEKWDFEHYRSTNHIFSEGDQPVFLDTVLLEQGGATRIAERMHDYQVIAMVIIYGPKLKHVQDQVQENVKKMMSQQLYVPSTRLGRHGKTNSDHCLTRPAFIASCSVFGPKVLTYDLSATMLLLEIV >OMO74954 pep supercontig:CCACVL1_1.0:contig11071:23365:27604:1 gene:CCACVL1_16387 transcript:OMO74954 gene_biotype:protein_coding transcript_biotype:protein_coding description:AICARFT/IMPCHase bienzyme MFGAAAASSASTTAATASTAHAVNTFTTVRRAFLSSTFTSPSPSYHSIVCGRFRFLTLRSNNTLSTKAMADSQTIAVPKHDSQSSAAGNKQVLISLSDKKDLALLGNGLQELGYTIVSTGGTASALENAGVSVTKVEQLTCFPEMLDGRVKTLHPNIHGGILARRDQKHHMEALNEHGIGTFDVVVVNLYPFYDKVTSTGGISFEDGIENIDIGGPAMIRAAAKNHKDVLVVVDSQDYPALLEFLKGGQDDQQFRRKLAWKAFQHVASYDSAVSEWLWKQTVGDKFPPNFTVPLSLKSSLRYGENPHQKAAFYVDKSISEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFKNPTCVVVKHTNPCGVASRDDILEAYRLAVKADPVSAFGGIVAFNIEVDEALAKEIREFRSPTDGETRMFYEIVVAPKYTEKGLEVLRGKSKTLRILEAKKNEKGKLSLRQVGGGWLAQDSDDLTPQDIEFNVVSEKKPQDSELSDAEFAWLCVKHVKSNAIVIAKDNRMLGMGSGQPNRRESLRIALGKAGDEVKGAALASDAFFPFAWKDAVEEACESGIGIIAEPGGSIRDGDAIDCCNKYGVSLVFTNVRHFRH >OMO63007 pep supercontig:CCACVL1_1.0:contig13086:1930:2267:-1 gene:CCACVL1_22523 transcript:OMO63007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late Embryogenesis Abundant protein VERATADDPVQKDMATEKKEERIRRTELKKQEARENNAAVRQGGGDGGYMAKGSGGHTASGTHTYSTTGQMGRPTGAQQMSALPGHGTGQPTAQVDEGRAQAHPIGINTGVG >OMO69935 pep supercontig:CCACVL1_1.0:contig11932:20253:22492:1 gene:CCACVL1_19193 transcript:OMO69935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MDNNLEERFLARHEEDKSNLKTKVWIESKKIWRVAFPSMLARVTAFGMIVITQSFLGHINEVELATYALIQSILLRFVNGILIGMSSATETLCGQAFGAKHYHMMGIYLQRSWIVDGVTATVLLPLFIFATPLLKLLGQDEEIANAAGRISLWFIPMVYNMVFSLTIQMYLQSQLKNLIVGWLSSVSFVFHILISWIMVYKLDWGVDGAMAALNISSWLMVIGEFIYIFGGWCPDTWKGFSVAAFYELVPVLRLSVASGLMVCLELWYNAILVLLAGYMKNAAIAIDAFSICANMNGWEFMICLGFLGAAIVRVANELGRGDAAAVKFSIKTILATSTVFGVFFFTICLIFGHQLSYFFTDDERVAAAVSNLSTLLAFSILLNSVQPVLSGVACGAGLQGIVAFVNLGSYYGVGLPVGIVLGYVLNFQVQGLWVGLLSGVLLQTVILSIIVYRTDWEEQVRRAYDRLNRWLLKPEGDVAKKQSQILA >OMO69936 pep supercontig:CCACVL1_1.0:contig11932:27379:28088:1 gene:CCACVL1_19194 transcript:OMO69936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAQSRRSRRNQRDNHNPRPAKNQGDEIMGGSRFAALSTEETDLNLAIGARNGLSSAQGGVRGKSVGGPSLNNNNNEKQRNVVKESLVSNKSGKSKMVNVVPAQRLVNLIVEKMGRQKGDSIDVDNVDMEEDGLINSPTILHGESSFEVRSRNPPDGSDCGAIVGETQYQDVIMGIEVVQQQKDGFPDAVLGRSQSGETACLGFDHVSQ >OMO70027 pep supercontig:CCACVL1_1.0:contig11895:63153:65127:-1 gene:CCACVL1_19136 transcript:OMO70027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MADIITRSEKDPIFTDAIGMFVGYGEPVGVPVDSGARTTHKVDVNIRLLSDQILRVSFWVSHIQHFNLAELAAMSEKPIFAMAGTTVRSYSSTKYLCSSSSTKVYVNPDIREAHEIRERFKNDKTLVALLTSDQASQSTASANAKDITIFQLLYLDLNRAQGQKFRVEVEITEVVTTNGWYYECCSDCPLKVQLAEGDKAAKDLEVTVFGPLAKNLIGVNLAREVFERGVYPKKLPSTAKDVVGTKFLFILGISDQTLKRGSPSIPADLFVETSPLKKIKQEPTPNEHSRDQDQPDSDSQVHQNILEKINQESSIEERSKKQDHSDSHTQNQQRTVARGAKDKQV >OMO70026 pep supercontig:CCACVL1_1.0:contig11895:8775:14674:1 gene:CCACVL1_19135 transcript:OMO70026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAMSKKPGKVNPEAEAETWIKARNGSVFPKKKKLIKKMMLDSLESFLVSLFTSEESMLTKAA >OMO70028 pep supercontig:CCACVL1_1.0:contig11895:67155:67409:-1 gene:CCACVL1_19137 transcript:OMO70028 gene_biotype:protein_coding transcript_biotype:protein_coding description:claudin-16 MGHKNQQINVEKGVNNINGAKKGAATVFPARRTLVKKRMLDQMAHCIASCFDPGPCCCSSPSQSTNSVTNCSSPEVVPPPKTSK >OMO70029 pep supercontig:CCACVL1_1.0:contig11895:77447:78530:1 gene:CCACVL1_19139 transcript:OMO70029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTSWFVQEYTRFSFLVLAVLIRVKIYEKKTIRELSEDIGVTSAEFLAE >OMO87774 pep supercontig:CCACVL1_1.0:contig09177:5045:5125:1 gene:CCACVL1_08773 transcript:OMO87774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQVLEENQSLMLDKEKLLKEQDEKNQ >OMO70619 pep supercontig:CCACVL1_1.0:contig11803:390:6013:1 gene:CCACVL1_18752 transcript:OMO70619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVVEVEVSNEGKFDETGLEKSNSAISSPKHVADPVVYKLVRVEGDGRLVPATDDELMEVEGLLENENRETHIIADTGQALGSISNEVSSSGMPQLESSEGLSQSENTEADAGKLSARLEYIEEMLHKVKHEERLRLACRSPDHSSAYMNVDSHCSKQHDKLPGIDEKLQSQIHLQEMAPCGTQSLSDNPVTQSGSVGERSKPLDEPIEGGSSTSAGCTSSKPDFSKLKGEVCLDNLSIKELHEVFKATFGRDTTVKDKQWLKRRIAMGLTNSCDVSTTTFVIKDNKLVKKGNEDSCNNMNGASSKRHLTVGVENCQDLLNSHSSQIDEHRITAGLGLGKDGLENNYASEDLAAEQRAAKRVRKPTKRYIEELEADSKESSGRLISPAKNIGIRPLGSKSHDRPATNSSLDGRTVITRLDSLGGSGIQIPCVYRVRRSRPRKNVMALLRFHHSGMGMTATFVKKGLDEHSSQMDSSSGNKDLETRSTPEPAPQQSVGELKKERPSTELGQTMGLQRVDPPGDSSDDNVATVPTAKGGTRRKHHRAWTLSEVMKLVEGVSKYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQTPMDKGVNSRKHPSMPIPAAILLRVRELAEMQAQVPPNLSASKQAACGGRSVNETKSGYL >OMO70624 pep supercontig:CCACVL1_1.0:contig11803:23552:23941:1 gene:CCACVL1_18757 transcript:OMO70624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MVIVGSCWSTGSRSTSAVTEKGCSSRLDFSPYSASSFKYRKGLLESLEFATADEEASECVVCLLGFEDGEEVRKLDTCKHSFHAPCIDMWMYSHSNCPICRTPVDRRAGLDFASYNSSSVLTQVSINAP >OMO70621 pep supercontig:CCACVL1_1.0:contig11803:15882:20040:1 gene:CCACVL1_18754 transcript:OMO70621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDSWPMLLDNKVQDQNDINAAKFGEIIATSEHEETVVVAEIDYSETNSEVAN >OMO70620 pep supercontig:CCACVL1_1.0:contig11803:7605:10923:1 gene:CCACVL1_18753 transcript:OMO70620 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein-related protein MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGGGEGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTQSEAAAYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTKELEAVGLRLNKRPPQIYFKKKKTGGISFNSTIPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNLDRLLSKMWEEMGLVRVYTKPQGQQPDFSDPVVLSADRGGCTVEDFCNHIHRSLIKDVKYVLVWGISARHYPQHCGLGHMLQDEDVVQIVKKKEKEEGGRGRFKSHSTAPARISDREKKAPLKT >OMO70622 pep supercontig:CCACVL1_1.0:contig11803:20795:20935:-1 gene:CCACVL1_18755 transcript:OMO70622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVENMRAYEGSPKELAFAVGYFVEHVASIDKVVTWYLEQPCEEPS >OMO70625 pep supercontig:CCACVL1_1.0:contig11803:34452:35534:-1 gene:CCACVL1_18758 transcript:OMO70625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKHGNLKDEEMTSLQRACMIEKWMEKEKKSSNCENNKVVVVRRKSMADSDPVLLNSSSSSSDSSYGGGFSSSESDSFYGATKSRSSSSPPSSHHYRAPKPIRTSVSSSARPDHQNDVVKSQKPKHEGGFVRTKSKALKIYSDLKKVKQPISPGGRLASFLNSLFTAGNAKKAKIVSSSSTAEQGYSSTCSSASSFSRSCLSKTPSSRGNGTKRSVRFCPVSVILDEDSKPCGHKTIYYENDTTTRIKPSNKELEFRIMEENRRVVEAAKDLLKSYQKKKEEFDMNICNNNGNGVFSDEEEEEDDEDEDAASYASSDLFELDNLSAIGTIDHQRYREELPVYETTHLDTNRAIANGFIV >OMO70623 pep supercontig:CCACVL1_1.0:contig11803:21239:21688:1 gene:CCACVL1_18756 transcript:OMO70623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKARCLFNAMEERNVVSWTAMVAGYANYGDMEAAKELYDRMVKNSVAWLAMIAGYGKCGEVTEARRVFDAIVKPDASCWAALVACYAQNGYAKEAIEIYKAMRDQGVRVTEVAMVGALSACTQIGDVHVAEALVKNIEEGCCGEFCWY >OMO95208 pep supercontig:CCACVL1_1.0:contig07717:24331:30102:-1 gene:CCACVL1_05491 transcript:OMO95208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSCSGKLLPFAAPTPSKVCKKPSLSCCSNSHRSTAPLPAEEAANDGNCSNNKFSYSRASPSERWPHLQLQLAETYPLSQTQFSASPPPLTHVVKEAELSSESVNSEALEVNDETQEKLGRVSKTRVKKMSKLALLRAKDWRERVKFLTDRILELKQDQFVADVLDDRKVQMTPTDFCFVVKYVGQKNWQRALEVYEWLNLKHWYSPNARMLATILAVLGKANQEVLAVEIFTRAEPAVENTVQVYNAMMGVYARNGRFQKVQELLDIMRKRGCEPDLVSFNTLINARLKAGAMLPDLAIELLNEVRRSGLRPDIITYNTLISACSRESNLEEAMKVFEKMNSHKCQPDLWTYNAMISVYGRCGMAYKAEQLFRDLESKGFFPDAVTYNSLLYAFAREGNVDKVKEICEEMVEIGLGKDEMTYNTIIHMYGKQGHHDLALQLYRDMKQSRRNPDAVTYTVLIDSLGKGNKIKEASNVMSEMLDAGVKPTVRTYSALICGCAKAGMAVEAEETFKSMRRSGIRPDFLAYSVMLDILLRYNETKKALMLYREMVRDGFTPDHTLYEVMLQTLKKEKKLEDIEKVVRDMEELCGMSPQAISSLLVKNECYDLAAQMLRLGISNGDELDGENLLSILSSYSSSGRHIEACELLEFLKEHAEGYNQLITEALVVVLCEGGQLDAALKEYNNGKDSGLFSRSSTLYESLIRCCEENELLTEASQIFSDMRFYGVEPSEFIFKSMVRVYCKMGFPETAHHLINQAEMDDIVREDSLKYVDVIEAYGKLKLWQKAESVVGNVRQKYMTVDRKVWNALIQAYAASGCYERARAIFNTMMRDGPSPTVDTINGLLEALIVDGRLDELYVVIQELQDMGFKISKSSIILTLDAFAQAGNHFEVKKIYSGMKAAGYFPTMHLYRIMIRLFCKGKRVRDVEAMVSEMEEAGFKPDLSIWNSMLKLYSGIEDYKKTAEIYQCIKDAGLEPDEDTYNTLIIMYCRDRRPEEGLSLMYEMRKVGLEPKLDTYKSLISAFGKQQLLEQAEELFNELHSKGYKLDRSFYHTMMKIYRNSGKNSKAESLLNMMKEAGVEPTIATMHLIMVSHGSSGQPQEAEKVLNNLKETGLNLSTLPYSSVINAYLMNGDYNVGIQKLMEMKEEGLEVDHRIWTCFIRAASLAQHTSEAIILLNALQDAGFDLPVRFMTEKSELLVSEVESCLEKLKPLEDDAAFNFVNALEDLLWAFELRASASWVFQLAIKKSIYRHDVFRVADKDWGADFRKLSAGAALVALTLWLDHMQASSDAALQGYPLSPKSVVLITGTAEYNMVSLNSTLKACLWEMGSPFLPCKTRSGLLVAKAHSLRMWLKDSPFCFDLELKDAPSRPELNSMQLIEGCFIRRGLVPAFKDITERLGLVWPKKFARLALLPDDRRDKAIQADIKGSKEKLEKLKTKVGCKGRKLKKLRKKKFIRRALIDPK >OMO95210 pep supercontig:CCACVL1_1.0:contig07717:43381:45267:1 gene:CCACVL1_05493 transcript:OMO95210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVAQMEALGYRVNSTSYGSLIESLGSVGRTLEADALFQEMVCLGFKPRIRLFNALLKGFLRKGLLGLAVKVLGVMDQMGVCKNPETYEILLDYYVNAGRLEDTWMVVNEMKEKGIRLNSFVYSKIICLYRDNGMWRKAIGLLEEIREKGIQLDRQIYNSIIDTFGKYGELAEALEVFEKMKQDSIKPDITTWNSLIRWHCKAGDLAKALELFTEMQEQGLYPDPKIFMSLISRLGELGKWDIIKKNFENMKSRGHQEVGTIYAILVDIYGQYGRFQDAEDCISALKSEGHLPSARMFCVLANAYAQQGFCEQTVKVLQIMEAEGIEPNIVMLNVLINAFGIAGRCEEAFSIYEHIRESGISPDVVTYSTLMKAFIRAKKFDKVPEIYREMESYGCSPDRKARQMLQTALMVLEQRH >OMO95209 pep supercontig:CCACVL1_1.0:contig07717:30515:37913:1 gene:CCACVL1_05492 transcript:OMO95209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nrap protein METETYIDSIEFKVQELLKEVRVDYSPALTKLVDDTVSAIKSAVDKIPEDLQVTADLAPGFVKDIGADKVEFKFKKPKSIEIGGSYSIRCVTKPDVNVDLLLRLPKECFHEKDYLNHRYHAKRCLYLCVIKKYLKSCPSIHRVEWSTLQNEARKPVLVVYPDAKLDDVPGLFIRIIPTATSLFNHSKLNLKRNNVRAVNTGDILQPTPKYNCSILEDMFLEESSKFVETTFSGWKELGEAVILLKVWARLRSSIYVHDCLNGFLISIIVASLVVENKVNHDMKAMGIFRAALQFLATHPLFQDGLYFPPVDQNAISEEVCCVSSLAFGDEQHNSSPRFNLAFRMTSLAYPELEHEVESTLTCIGKYRDGGFEEIFATKIDHAAKYDYCIRLNLKGNSEVYALGFCLDDECWRVYEQDVYSLLNQGLTDRAKFIRVIWRNTHSEFNVENGLSSLDGEPLFVGILLSSVEKAFRVVDIGPDAEKKDEALMFRKFWGEKAELRRFQDGKIAESTVWASEQWTRHLILKRIIEYLLVRHLSLSKKDIVQIVDQLDFSLLHGDKDPISYSGRLLGTYEELSTRLRSIEDIPLRISSVQPLSSAFRSTAVFPPEPHPLANKKVDVARLQNFTPFCVQSLEVMIQLEGSGNWPMYEISIEKTKSVFLLKIAESLQNNWGMTCTATEKNVDVFMDGYSFRLRILHERGLSLVNRGSGHHQTKWVSSADRKLFVHSQHASMINGLQFVYPIFGPVVRLAKRWLASHLFSACLAEEAIELIVAYVFLRPLPFDVPCSRITGFLRFLRLLAEHDWAFSPLVVDINGDLTQNDQKEFDENFIQSRKAYEENPQNKSKAMFLATAYDKASEAWTSCSPNPMELKRLVAYARSSANLLTNLISQNQTDSRGWECLFRTPLGLYDAVILLHADRLAYPRRLLFRSELDQGKHVAYGKASNLFHPFILPTDLKGSLNEVKSKLMVNFDPLRFYVRDVAKEFSDKLKLWYDNLGGDAIGITWEKSKKREREEAEQEEKYPVELLRNVGELGTGFVRDVYLVKAPKLTN >OMP12292 pep supercontig:CCACVL1_1.0:contig00175:9960:10946:1 gene:CCACVL1_00051 transcript:OMP12292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNMEPILAVSGVPVRILLALRRGRVYVNLLMVKGLIGIAIIIAGL >OMP12291 pep supercontig:CCACVL1_1.0:contig00175:7931:9172:-1 gene:CCACVL1_00050 transcript:OMP12291 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEKNNSTEASSSSSAATGNEFEEFLETMPPGYRFCPTDNELVEHYLNNKIHNHPLPMNFIPQVKFYDNDPSQLIANDKPLGETEWYFFSSRDRKYPNGSRPSRSTPNGYWKPTGVDKPIPAGSPNPLGKKTTLDFYQGRHGDKNDSVRTDWKMHEYVLKDSANNSQPGNCMTLNNFVLCKLYKNKYKTDKNYRDDDDQEGNNNIAAIEQQPLMSINYISSSSLTEMPLPQAQGTTVCNNISSSVTASQINKEEASSSSLMLVDDQRINDHINNGDQFEDKAAVIQPNDDDDYLTYINALDPITIIKDINSDDLCWDWDFLEDDGSAAPKDPTSAKGL >OMO99458 pep supercontig:CCACVL1_1.0:contig06891:5260:7047:-1 gene:CCACVL1_03796 transcript:OMO99458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEMINSFIPFTTLLFVFMAFAFNIFLFKSKLLGNVDQKAAKKPSLPPGPKPWPIVGNLPEMIKSKKNTSVSQWIHKLMKEMNTEIACFRFGKVHVITVTCPEISQEILKKQDAIFASRPLTMGSEVLSRGYLTTAVVPFGDQWKKMKRIMVGELFSQARLRLLHEKRVEEADNLVRYVHNQCSGNADEGGLVNLRAVARHYCGNVIRKLVFNTRNFGEGKDDSGPGAEEVEHVQAIFTILAHLYSFCISDYVPWLRGFDLEGHEKTLAEATRVVAKYHDPIIDERIQQWREGKKEQPEDLLDVLVSLKDNNGDSLLSAEEIKAQIMEIMVAAVDNPSNALEWALAEMLNQPEMLQKAKDELDTVVGKQRLVQESDFPKLNYIKACAREAFRLHPIDPFNVPHMSMADTTVGNYFIPKGSHVLLSRLGLGRNPKVWYEPNKFKPERHLHEAKEVVLTEPDLRLLSFSRGRRGCMGVVLGSSMTTMLFARLLHGFSWSIPSNEGRIDLSEGKGNLHLARPLVAVAKPRLLQHVYPC >OMO99459 pep supercontig:CCACVL1_1.0:contig06891:13695:13766:-1 gene:CCACVL1_03797 transcript:OMO99459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEEEPVKKEAETLLAPKKSKAST >OMO99457 pep supercontig:CCACVL1_1.0:contig06891:263:496:1 gene:CCACVL1_03795 transcript:OMO99457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HKNSKPMFRTQNCATRRQKKEDYHSITVMPDKLNFKPVKLGGNQSDLQRELTVKGGRTSLLGDRILSQGFLLGVNIK >OMP12005 pep supercontig:CCACVL1_1.0:contig00584:153:230:1 gene:CCACVL1_00179 transcript:OMP12005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLSSWVRVRVCFVGFFKWSLLINFK >OMP01984 pep supercontig:CCACVL1_1.0:contig06341:16211:23492:-1 gene:CCACVL1_02954 transcript:OMP01984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFERGAPLVGLHAPLVVPSTQGCRRDFETVGELPPSHCRHSPNKTKPTLFHPSKTQQFSVSKLEKIEHPIL >OMP01983 pep supercontig:CCACVL1_1.0:contig06341:966:14893:1 gene:CCACVL1_02953 transcript:OMP01983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYALSVMLGRALPDVRDGLKPVHRRILYAMHELGLSSRKPFKKCARVVGEVLGKFHPHGDTAVYDSLVRMAQDFSLRFPLIQGHGNFGSIDADPAAAMRYTECRLEALTEAILLADLDQNTVDFIPNFDNSHKEPSLLPARLPTLLLNGSSGIAVGMATNIPPHNLGELVDVLCALIHNPDASLQELLECMPGPDFPTGGLIMGNLGILDAYRTGRGRIVVRGKAEIELLDSKTKRSAIIIKEIPYQTNKSSLVEKIAELVESKSLEGISDIRDESDRTGMRVVIELKRGADPSIVLNNLYRLSALQSSFSCNMVGILDGQPKLMGLKELLQAFLDFRCSVVERRARYKLSQAQDRRHIVEGIVVGLDNLDRVIGIIREASSTATATAGLRNEFNLSDKQAEAILDINLRRLNLLERKKFVDESGSLMEQISKLTELLSSQKNILQLIEQEAIELKNKFSSPRRSILEDSDGGQLEDIDVIPNEEMLLAFSEKGYVKRMKPDTFNLQNRGTIGKSVGKLRVNDAMSDFIVCRAHDHVLYFSDRGTVYSARAYKIPECSRTAAGIPLVQIISLPEGERVTSIISVSEFSEDQFLVMLTVNGYIKKVSLNSFSAIRSTGIIAIQLVPGDELKWVRCCTNDDLVAMASQNGMVILSSCHIIRALSRNTRGAIAMRLKEGDKMASMDIIPAARHKDLDQSEEESANNEENSSGPWLLFISENGYGKRVPLSSFRQLPLNRVGLIGYKFSSEDRLAAVFVVGFSVAEDGESDEQVVLVSQSGTVNRIKVRDISIQSRYARGVILMRLEHAGKIQSASLISAS >OMP10251 pep supercontig:CCACVL1_1.0:contig02678:3072:3140:1 gene:CCACVL1_01005 transcript:OMP10251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RNKVEGQNQEAKYGSCGNMHFE >OMO63977 pep supercontig:CCACVL1_1.0:contig12875:21308:41394:1 gene:CCACVL1_22131 transcript:OMO63977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance, DNA-dependent ATPase MKDLDFNADKVLAREFLSNFADANGEAKYMNILQDVANHKIKAVQIDLDDLFNYKDLDEEFLRRVTENTRRYIGIFASAIDEVLPEPTEAFPDDDHDILMTQRAEDGTNNADGADPRQGMPPEIKRYFEVYIRAPSKGRPFTIREVKASYIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGHEIYTEVTSRVFMPLFECPTRRCKVNKTKGNPILQLRASKFLKFQEAKIQELAEHVPKGHIPRSMTIHFRGELTRKVAPGDVVELSGIFLPIPYVGFRAMRAGLVADTYLEAMSVTHFKKKYEEYELRGDEEEQIACLAEDGDIYNKLARSLAPEIYGHEDVKKALLLLLVGAPHRKLKDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPVTNEMVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAILAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDRADMDSDLEMARHVVYVHQNKESPALGFTPLEPSVLRAYISAARRLSPYVPRELEEYIATAYSSIRQEEAKSNTPHSYTTVRTLLSILRISAALARLRFSETVAQSDVDEALRLMQMSKFSLYSDDRQKSGLDAISDIYSILRDEAARANKMDVSYAHALNWISRKWDEDGVDVPSYNPEHYRIPEGFFMVVLDSTILVPLILKKVESRQNMDWKCSSSSGASSLCKAPLNVSNKIPEKALVEQQRRVVDPAAKTDVDIDLREIYFLMMQFLSLGPCQRTFEQLSNELLEHRLLPRRYHAWFSRSGAHSGNDDDDGISFPLSYNNLVERYPHIEKDHLVRLLKQLLCTLCGKVEGNAHAPNAADVPTLLGYGSFSLLNSDMSMENRQGKTIPSYLRWPHMQADQVRGLSIREIGGGFRKHHRAPSVRSACYAIAKPSTMVQKMQNIKKLRGHRNAVYCAIFDRSGRYVITGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNVLVASASNDFVIRVWRLPDGLPVSVLRGHTGAVTAIAFSPRPAFAFQLLSSSDDGTCRIWDARFSHCSPQIYVPKPSEAVAGKSNLPSNIGPSSSNVPQNHQILCCAFNVNGTVFVTGSSDTYARVWSACKPSTDDSQQPIHELDVLAGHENDVNYVQFSGCAVPSRSSTSDITKEENVPKFKNSWFCQDNIVTCSRDGSAIIWIPRSRRSHGKVGRWTKAYHLKVPPPPLPPQPPRGGPRQRFLPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHVASSYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGVPIRIYEIGRFKLVDGKFSPDGTSIVLSDEVGQIHLLNTGQGESQKDAKFDQFFLGDYRPLIWDTGGNALDQETQLPPYRRNMQDRICDASMIPYPEPYQSMFQHRRLGALGIEWNPSSSARYAIGPDISLGQHFAMPPLEDLERMMEPLPEFIDAMYWEPPENEVMSDDNDSEYNVAEECSTEGEQGALFSSTSRGTDCSEEDSEVERSHKDGLRRSRRRKYNSEVELKTSSGRRVKKRYLDERDGSISGTNRTKKSKSSRKASKRKSSKAKSLRPQRVAARNARSMMSRITGTSTDGEDEVDLVGDSSDSESLSQDSSSGSSDIERNLANVPLKSIKKEDSEDVVWSHELSESQSNPVNRKRLVLKFSLRDSKKPEAASLNSDNQINLLDHSEHTGTFDENEIATKEPSLSSADVELSNHNRIDAAATRQATTTEDYLEEFVGGKENKIRWGEVKIRTSKRSRSGDLLPTDVHSENKIDAVKKYVKSENELDFLLTNTRIHIYGYIFFFGREEEQLGTHTLRDPDRVTIEEFAPSDVVHKCSTSELLSLGNNQLKGSASTSYCNSGLNVGNSCDKYENHDLPQIDQVGSGNQTHESKEIAPHKSMRLRIRTNPSKQKSLTIVHDPTCSGGDLSSRNPLSIDQNIGYHMHETGEGSDRSSSLELLHSGLKLNMNEGGTPYDDIGLNVINDHDSEIGFTEAAADAVRRTRSMKMKASSQEHNAWNRISNGRVETSANEENISVKAHNGIVSEEWKSSSKARERSRSTRTKRGGDHDKISKYSRKSNFSVRKLSWLMLPEQEEGYRYIPQLGDEVVYFRQGHEECIESLRLKEPGPWSSKGSLRAVEICRVVGLVYSNFPGSGESCCKLTLKFIDDSSCACGNSFSLTLPELINFPDFLIEKTRYDAAMSREWTRRDKCLVWWKNDNEEGGSWWEGRIVAAEPKSMDFPDSPWERYEVNYKDGGRYRHSAWELHDPDLPWEHPHIDSEIRDRLLSSFSKLERSVSGNKDSYGYQKLNEAAQKSEFLNRFPVPLYPELIRLRLESNYYRTLEAVKHDIDVMLSNAESYFARSAHLSSKMDRLSDWFSKTLSKLLVMAGGKIKKEKQKGQRAPSNHYQGGVTFHKSKGQHILKNPLLVDSIVQKAGIKSTDVILEIGPGTGNLTKKLLEAGKMVIAVELDSRMVLELERRFQGTPYSSRLRVIQGDVLKTDLPYFDICVANIPYQISSPLTFKLLFHQPSFRCAVIMFQREFAMRLVAQPGDKLYCRLSVNTQFYARVSHLLKVGKNNFRPPPKVDSSVVRIEPRKPRPEVNPKEWDGFLRICFIRKNKTLGSIFKQKNVLSLLEKNYKTLQALKGAQNVSLSGNDYMDIAGAWNNEMMESDFAMDDEMDMECDEAAEGEGSEFKNKVLNVLKEGNFEEQRASKLTQEGFLTLLSMFNKAGLHFS >OMO63988 pep supercontig:CCACVL1_1.0:contig12875:101628:102098:1 gene:CCACVL1_22142 transcript:OMO63988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRAYRQCPYPARADIPRRRSPSSLRPENIGRANPGSHGTLIAKFVPD >OMO63980 pep supercontig:CCACVL1_1.0:contig12875:53435:62011:1 gene:CCACVL1_22134 transcript:OMO63980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRQSNGRSPLVNQQRQITSFFSKTNSPSPSPSPSPSPILAKQTSKLNPNPKSNRSPSKSPSPSPDTPSPLQSRLKKPLLVIGQTPSPTQSTPADKSYGKEVIEKRIRVYWPLDKSWYEGVVKSFNKESGKHLVQYDDDEEEELDLGKEKFEWIQESTGRLKRLRRGAASLAFRKFVIDDEDEADNEKENGDDSSDEDWGKNVEKEVSEEGEEEDMDLEDEKEEEVEEEELEEAEEGKKIAKRKGGGKTESRKRKDNGDTGKLESGKKSKTNANVSKEDFKWSLAETVKKIESDKASDGIDNALVGDASERFSKREAEKLHFLRPKERRDANRKRPGDINYNSKTLYLPPDFVKNLSGGQRQWWEFKSKHMDKVLFFKMGKFYELFEMDAHVGAKELDLQYMKGEQPHCGFPERNFSMNVEKLARKGYRVLVIEQTETPEQLELRRKEKGAKDKVVKREICGVVTKGTLTEGEMLSANPDPSYLLAVTESYQSSNHSEERTFGVCAVDVATSRIILGQFGDDSECSAFCCLLAELRPVEIIKPMKMLSLETERAILRHTRNPLMNELVPSIEFWDAEKTVCEVKTIYKRINDQSAARSVNDVGPNAANFCEEYGSDCLPAPLSNLLSAGANGSLALSALGGALYYLKQAFLDEALLRFAKFESLPSSSFSGIAQSPYMLLDAAALENLEIFENSRNGDSSGTLYAQLNHCVTAFGKRLLKTWLARPLYHIDLIKERQDAVAGLKGENVSHAAEFRKALSRLPDMERLLARIFASSEANGRNANKVILYEDASKKQLQEFISALRGFELMFQASSSLGVILENVESTQLHHLLTTGKGFPDVHSILKHFKDAFDWVDANNSGRIIPHEGVDMEYDSACEKVKEIESSLSKHLKEQRKLLGDSSITYVTVGKDVYLLEVPENLRGRVPRDYELRSSKKGFFRYWTPYIKKSLAELSQAESEKEMALKNILHRLIGRFCEDHNKWRQLVSTAAELDVLISLSIASDFYEGPTCRPLIVGTSSSDEVPCFSAKSLGHPILRSDSLGKGAFVPNDISIGGSGHASFILLTGPNMGGKSTLLRQVCLAIILAQVGADVPAEHFELSPVDRIFVRMGAKDHIMAGQSTFLTELSETALMLSSATKYSLVALDELGRGTSTSDGQAIAESVLEHFIHKVQCRGMFSTHYHRLSVDYQKNSKVSLCHMACQVGNGVAGVEEVTFLYRLTAGACPKSYGVNVARLAGLPESVLLRAAAKSREFEAVYGIHRKRSEDNLPMLSSADTTVAIIQDLISLASNANCLNTAEGTCINSLTELQQKARILLKQQ >OMO63981 pep supercontig:CCACVL1_1.0:contig12875:62884:65251:-1 gene:CCACVL1_22135 transcript:OMO63981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MGDVILYVDDLSSNSSISHCRICHEEEFESFKSLEAPCACSGTVKYAHRDCIQRWCNEKGNTTCEICLQEYEPGYTVTVISSSKKSQLIEAAVTIRDSLQIPRREVDPERQRLIALAEGISVDNDSSQCNSAADRGASCCRSLALTLTAVLLVKHLFAVLNGELDHYPFALLTILFFRATGILLPMYIVVRIITAVQNSIRRHYHDSDENTSNSDDEDDIEQQQQELHTH >OMO63982 pep supercontig:CCACVL1_1.0:contig12875:67314:67499:1 gene:CCACVL1_22136 transcript:OMO63982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNRNGMATQFELLALKGSNLLVMVGTNMPFVGTSMAQTHPGRPFLLISLMESTFLDSN >OMO63986 pep supercontig:CCACVL1_1.0:contig12875:80280:84068:1 gene:CCACVL1_22140 transcript:OMO63986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCTSSCFVPDSSRKRIAKVIDAQGNLKKVNVPAKAAEIMLEEPGHVIAAVEELKRTRRVVATRADDDLLAGKVYVLVPIARVHCKVLDADLAIIEAASSGKKRRKSGSKVSPAVAEELTKEEDSHNKLKVLGERCSKGFHGYRLGNYRPWTPVLEPISETGVSGPSDGSALISLLPPLTLRPSALASKTALAVVLITRKDLALLRLGTPKKGGEVWRFEGEATVSDLMESKDLPFNVGTSINQQFVTLRFSSLIANSMLTFRVGNPVTTDALHAVYEKVKPKGVTMTTLLPKAAAMRLVQHLVVNASCIDGKSFYLQ >OMO63991 pep supercontig:CCACVL1_1.0:contig12875:127430:130029:1 gene:CCACVL1_22145 transcript:OMO63991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MAVSPCLNGTDQKKHWWLTNRKLADKYIKDARCLIATQEQNEVASALNLLDAALALSPRFEVALELKARSLLYLRRFKEVADMLQDYIPSLKVSTEDSGSVSSDNSSQQLSRERVKLLPSNNSCSDSPDRDPSFKCFSVSDLKKKVMAGLCKNCEKEGQWRYLVLGQACCHLGLMEDAMVLLQTGKRLASAAFRRESICWSDDSFALPTTITTSEMSSGATPPSTPPRNPTAQSEGESISQLLSHIKLLIRRRTAAIAALDAGLYSEAIRHFSKIVDGRRPAPQGFLAECYMHRASAYKASGRIAESISDCNKTLALDPTCIQALDTRASLLETIRCLPDCLHDLEHLKLLYNSILRDRKLPGPVWKRHNVRYREIPGKLCALTTKIQQLKQRVASGETGNVDYHALIGLRRGCSRSELERAHLLLCLRHKPDKATYFIDRCEFSDDRDLDSVKDRAKMSALLLYRLLQKGYSSVMSTIVDEEAAEKQRKKAAAALQAAQAAIQVQQQQQTQHSSNSKLEHETSPASSTMSDVSSCNNKATTTTSSNSNAFQGVFCRDLAAVGSLLSQVGFNRPLPVKYEALSC >OMO63985 pep supercontig:CCACVL1_1.0:contig12875:77045:78868:1 gene:CCACVL1_22139 transcript:OMO63985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLIDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAFDKERFAESKKELDALLSDESLSNVPFLILGNKIDIPYAASEDELRYHLGLSNFTTGKGKVNLADSNVRPLEVFMCSIVRKMGYGDGFKWMSQYIK >OMO63989 pep supercontig:CCACVL1_1.0:contig12875:105236:106112:1 gene:CCACVL1_22143 transcript:OMO63989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYISSITCRPTSDVAGKVVLWDGSVQEFNWPLTAAELMLEHPQQVVVELHAAVKEKRPIPLPADQKLDMRKVYVMVPVKRGKPTTLSSDEARRVLLSANSVLRSKSLLTSSKFLPLFSKICPANLEDIVGHKFPLPKKETVVCETTNDDDHHQEVRCLMEFNLPESIEGRPEYLNRQYSGKGWKPTLDTIKEKKIEKKSFSDQLFEASPDIPLDDLPTILR >OMO63984 pep supercontig:CCACVL1_1.0:contig12875:72610:72894:-1 gene:CCACVL1_22138 transcript:OMO63984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29e MAKSKNHTAHNQSYKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKDGGSATEEE >OMO63987 pep supercontig:CCACVL1_1.0:contig12875:100204:100353:-1 gene:CCACVL1_22141 transcript:OMO63987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFPWVSAPALRDLTDWLGVRIDSVPISFHSDSLLVASYVATSVFGIP >OMO63978 pep supercontig:CCACVL1_1.0:contig12875:42815:43078:1 gene:CCACVL1_22132 transcript:OMO63978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQPRVKASFRLGSEHYTVNVKNSGAVSEQLSSMKEESMSILKEFITKHNVPTDVPDDLVEGSSEDDEEEEIPKKSDAKSKKPKIN >OMO63979 pep supercontig:CCACVL1_1.0:contig12875:43640:48062:-1 gene:CCACVL1_22133 transcript:OMO63979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALVVLLLPSLVISLWYKITERIKENVEFNTQNLYSGLVSEVESIANMVQPLNSSAINLARFLSSSINQSEVISFNEIETKVAPILFQAFSTITFISQISYVGLGGLFFSYYVNGNQTFAMYSNSSLSSNSSAFEERHKHVYKQMVDNYSGKLYGEAVKSHYLNLVNTSWFQAALMNGFKGYSSVEKGWNGAGETWFLNSASVNGKGVVSLAFSVKKLTHVLGGLNLYGGFLSLATKDGKLLFDGIPNTKLIKPNGEVAKNAQNHPLCLPSNGTSETKHLDFGEKSYKVQCSPVEISGVQLVYGLGFPDKELVSLVVKNSKHSLILLVVMIVMLMISIFSFVFLMIRTAEREMCLYAKLIKQMEATQQAERKSMNKSNALAGASHDIRASLAGITGLIDVCLTDHVAPRSDIQTYLNQMRNCAKDLLGLLNTILDTSKIEAGMMNLEEEEFNLAELLEHVVDLYHPVGMKKGVDVVLDPYDGSVIKFSQVKGDRGKLLQVISNVMSNAVKFTSEGHVTLRAWVQKPNFESTLILASSRNGINKYLSCFFRNNNEAQNDMEVISAVKKNPNSMEFVFEVDDSGKGIPKEKQKSVFENFVQVKETATGQVGTGLGLGIVQSLVRLMGGEIGIIDKEIGEKGTCFRFNVFLTTSETSFSNNSKGEKEIQGDLLSNGTQQQRLGLTIHTPSPSLTIRTPSPKLNFLTHSPKLEGSQVVLLIKNDERRRISHKFMENLGINVLVIDDQSETLHSALKKIKSKLIPSQHSSRRSELSSKSDISSSSSKDLPLSAMDGTEQKFALKIQKGAPSFILLVIDPNAEPFPELWRAVDEFRKGLQSIYCKVVWLGRPKSLERERLDPGDEILLQPFHGSRLFRVIKLLPEFGGVLSQGVSDKPESSSMANHSSIKASSRNLLNNNCEIQEEGSSSSDRYYRKKNPSIHQLPGQIEIKKDDAEPINTKQPLSGKRVLIAEDDTVLRRIAGVIVGRLGARFENCENGEEALQLVCSGLQDQRNDVGHFVLPYDYILMDCEMPLMNGYEATRQIRKQEKHYGVHIPIIALTAHTSGVEARKTLEAGMDAHLGKPLQPEALMETIEKIHKKGKMLG >OMO63990 pep supercontig:CCACVL1_1.0:contig12875:115559:116098:1 gene:CCACVL1_22144 transcript:OMO63990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRSSPNRNFPGRTYRFYKGPVLYPFGHGLSYTNFVHSIASAPTVVTLTVDGRHHSGNETISGKAIKVNHARCEKVSIGLQVDVKNAGSKDGTHTMLVFSRPPAGPWVPEKQLVAFAKVHVPAGGQQRVGINIHVCKFLSIVDRSGVRRIPIGVHDLHIGNVKHSVSLEAKVLGVIKT >OMO63983 pep supercontig:CCACVL1_1.0:contig12875:70089:70849:1 gene:CCACVL1_22137 transcript:OMO63983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein A MEAINLKKKECKIVVAVDESQESMYALSWCVGNFFSEKTTNTLVLLYVKPPPPVYSSFDAAGYMFSGDVITALEKYGSDLVNSVMARAEAIYGKFSSNMGVERIVGSGDAKDVICNTVEKIKADTLVMGCHGYGFFKRALLGSVSDHCAKNVKCPVVIVKHPEEK >OMP00695 pep supercontig:CCACVL1_1.0:contig06637:952:1014:-1 gene:CCACVL1_03312 transcript:OMP00695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIQNSTNGPRKAQNNKCSE >OMP06279 pep supercontig:CCACVL1_1.0:contig04990:960:7461:-1 gene:CCACVL1_01639 transcript:OMP06279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase IGGPGFSRVVNCNEPDSSNYNYYCNNYVRTTKYTVASFFPKSLFEQFRRVANFYFLVIGILSFTALAPYSAVSSIVPLIIVIGATMVKEAIEDWRRKQQDIEVNNRKVKVHQGAGNFDYSEWKNLSVGDIVKVEKDEFFPTDLILLASSYEDAVCYVETMNLDGETNLKLKQALEVTSSLHEDYNFGDFKAIIKCEDPNPNLYSFVGSMDFEEQRFPLSPQQLLLRDSKLRNTDYIYGVVVFTGHDTKVIQNSTDPPSKRSKIEKKMDRIIYLLFAIVFIMGFVGSIFFGVATRNDLDENGRIKRRWYLRPDSSNIFFDPKRAPVAAIYHFLTALLLYSNFIPISLYVSIEIVKVLQSIFINQDIHMYYEESDKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFMKCSVAGIAYGRGVTEVERAMDRKKAEPLLHEKLNGLHHIEDLGNKTPAIKGFNFKDERVMNGNWVNEPSANIIQKFFRLLAVCHTAIPEVDEVTGKVSYEAESPDEAAFVIAARELGFEFYKRTQTSISLRELDPVSGKTVDRLYKLLNVLEFNSSRKRMSVIVRDEEGKLLLLCKGADSVMFERLAKNGGEFEEDTREHMNEYADAGLRTLVLAYRELSENEYQVFNEKFTEAKNSVSVDRETLIDEIADKVERDLILLGATAVEDKLQNGVPDCIDKLAQAGIKLWVLTGDKMETAINIGFACSLLRQGMKQIIINLDTPEIQSLEKTGEKDAITKASRKNVLEQISRGKAQVTAPSASADAFALIIDGKSLAYALEDDIKKIFLELAIGCASVICCRASPKQKALVTRLVKSGTGRTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDVAIAQFRYLERLLLVHGHWCYRRISSMICYFFYKNITFGFVLFLYEAYASFSAQPAFNDWYLSLYNVFFSSLPVIAMGVFDQDVSARFCLKFPLLYQEGVQNVLFSWRRIVSWMFNGFYSATIIFLLCSKALEQQAFNNAGKTAGREILGGTVYTCVVWAVNLQMALAISYFTLIQHIVIWGTIAFWYLFQLVYGALPSSFSTNAYKVFIEALAPAPSYWFITFLVVITTLIPFFLYSAIQMRFFPMYHGMIQWIRHEGRSNDPVYCEIVRQRSLRPTTVGFTARRAARRDHRG >OMO85070 pep supercontig:CCACVL1_1.0:contig09668:276:2403:-1 gene:CCACVL1_10436 transcript:OMO85070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-trans-poly-cis-decaprenylcistransferase-like protein MLFSLNFTLPPIFFTNLSPQSKPFWNVPLHWRLKAKNQTSHVPKSPLCWTAEQELIQQGKGENSVEEEEEKVFHLGLEDQTLPLGLRREAMPRHVAVIMDGNRRWARLRDLPVGSGYEAGVRSLRKIVELCCQWGVKVLTVFAFSSENWFRPKVEVEFLMSLFDRGMQEDTGSFLRDNIRVSVIGDLAKLPETLQELIINLEEATKSNTRFHLIVAVSYSGHYDVVQACQRLAMKAKCGLIEPSDINESLVEQELETKCTEFPYPDLLIRTGGEFRISNFMLWQLAYAELFFAQSLWPDFGEVELLKALVAFQQRRRRYGNAPAKFKALEVACVEF >OMO85072 pep supercontig:CCACVL1_1.0:contig09668:12781:15574:-1 gene:CCACVL1_10438 transcript:OMO85072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/proton exchanger MASLQEPLWLLENGNLKGSNKEIRHHGRTAHNKSSSSLRKKSDLTLVSKVRCGLLRQFLTNLQEVFLGTKLSVLFPAIPLAVLAQSYGFAREWVFALSLLGLTPLAERVSFLTEQLACYTGPTVGGLLNATCGNATELIIAIFALSKHKIDVVKYSLLGSVLSNLLLVLGTSLFCGGIANLRKEQKYDRRQADVNSLLLLLGLLCHSLPLLFRLAGVAPTVETTADPTLQLSRASSIVMLIAYLSYLVFQLFTHRQLFEAQEESEDEDGVSEEEPVIGFWSGLIWLIGMTAVISLLSEYVVGTIEDASSSWGISVSFISIILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQISMFVVPLCVIVSWIMGIKMDLNFNLLETGSLALSIIAVAFTLQDGTSHYMKGLVLLLLYIVIGACFFLSQTPLNQANSGLKTPPETIFRV >OMO85071 pep supercontig:CCACVL1_1.0:contig09668:2988:9917:-1 gene:CCACVL1_10437 transcript:OMO85071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MREEKPILRLETISGLSPFDTPRNEPLLRNARLSYNPNNRSKNGSGSGTPCNFACLSVAEKREQQRDFAPTPAQLLNHPLAALSAYVPKDAAIFAAGAIAGAAAKTVTAPLDRIKLLMQTHGVRAGQESAKKAIGFVEAIVLIGKDEGLKGYWKGNLPQVIRVVPYSAVQLFAYETYKKLFKGKDGELSVIGRLAAGACAGMTSTFITYPLDVLRLRLAVEPGYRTMSEVALTMLREEGFASFYYGLGPSLLGIAPYIAVNFCIFDLVKKALPEEYRQKTQASLLTAVVSAACATLTCYPLDTVRRQMQMRGTPYKSVLEAIPGIIERDGVIGLYRGFLPNALKNLPNSRKSIETKRGNLQILYASKEGQEEPQTDRISKSEDQVILVERYGSGTVKRYIVDDDLQIRTFLEKHDSRSNELQSSDLSDPILSWLPDILKDFVFPAGFPGSVSDDYLQYLLLQLPTNVTGWICHTLVTSSLLKAVGVGSFSGTTAAASAAAIRWVSKDGIGALGRLFIGGRFGNLFDDDPKQWRMYADFIGSAGSIFDLTTQVYPAYFLPLASLGNLAKAVARGLKDPSFRVIQNHFAISGNLGEVAAKEEVWEVTAQLLGLSLGILILDTPGLVKSYPVLVSTWASIRLLHLWLRYLSLSALRFNTINLKRARILVKSHVLHSRVPGCNDCNKEENILSWQRFIKPRIVFGVPMEEILGGGRSTSMLKSLLRMYAREEYILTVNQQRKDFEVFVSFKVGATSVSVLRSVWQTYWLHEYMNNSENIYDQLAKSLSEMNDRFEEFIQQLDKAGWDTDQINIRVPNEISIDECPV >OMP11233 pep supercontig:CCACVL1_1.0:contig01463:3066:3167:-1 gene:CCACVL1_00613 transcript:OMP11233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVGDAVPRNSCASLFFMRNDAPTHCPWHVRT >OMO80411 pep supercontig:CCACVL1_1.0:contig10325:49260:49698:1 gene:CCACVL1_12984 transcript:OMO80411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLSGSGAKACSRGTPYATCIPFPTANPSPIPNCPIYKRGCEHQN >OMO80409 pep supercontig:CCACVL1_1.0:contig10325:42443:42858:1 gene:CCACVL1_12982 transcript:OMO80409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRALLVTICILLASTLFLPTFTTARGLSEARFKAVTPCTRNPYKACVPLKPNPPKKKCGPYVRNCPPAEP >OMO80403 pep supercontig:CCACVL1_1.0:contig10325:9401:10978:1 gene:CCACVL1_12976 transcript:OMO80403 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MKLLKRLSKELNSLKEDVELRTSAESHAGTMQTEEVKSWLEDVQRINGETKAIEQKAGEKKFLSGAFLGKHVEEKVVELKAFIKKGKTFLGTVKYFKYIILGGGVAAGYAAREFERQGLKPGELAIISKEAVAPYERPALSKAYLNPKGAARLPDFHVCVGSGGERLLPGWYTEKGIQLILSTEIVKVNLGSKTLISAGGETFKYQTLIIATGSTVIRLTDFKVEGADAKNIFYLREIDDADKLVESIKTKKNGKAVIIGGGYIGLEVGAAMKINNLDVSMVYPEPWCMPRLFTPPLAAFYESYYANKGIKIVKGTVAVGFNSNENGEVTGVKLKDGRMLEADIVVVGVGARPLTALFKGQVEEEKGGIKTNGFFKTSIPSVYAVGDVSTFPMKLYDDMRRVEHVDHARKSAEQAVKAIKASEAGKEIAEYDYLPYFYSRSFDLSWQFYGDNVGEHVFFGDNNPLSPKPKFGSFWIKDGKLVGAFLEGGSPEENKAIAKVCRLQPTVENLDQLKKEGLSFATKMK >OMO80414 pep supercontig:CCACVL1_1.0:contig10325:70679:72382:1 gene:CCACVL1_12987 transcript:OMO80414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root cap MVGGRGAQLPETTAAPAAKVQSQTCFSRSSRCFLKKLHCPAQCPSTKPSDPKAKACYFDCNSPTCEAVCRNRIPNCNGNGAACYDPRFIGADGIVFYFHGKSNEHFSLVSDINLHINARFIGLRPAGRTRDYTWIQALGILFGSQTFSLEATRAATWEDEVDYLKFSYNGKEIVVPEGHLSTWQSPESDLTIERIAVKNSVLVTLPEIAEISVNVVPVTKEDDRIHNYQIPSNDCFAHLEVQFRFYGLSAKVEGVLGRTYQPDFVNPAKPGVAMPVVGGEDKYRTSTLLSADCRSCMFSPAGALDQTESLLMDFTTLDCTGGASSGRGIVCRR >OMO80416 pep supercontig:CCACVL1_1.0:contig10325:82709:82915:-1 gene:CCACVL1_12989 transcript:OMO80416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFCFLVDQRRKLTNTKPAAGTCSRCGGGASVGDIKTITRFCYVPFYWKSWKAIICTFCGAILKSYR >OMO80401 pep supercontig:CCACVL1_1.0:contig10325:229:2494:-1 gene:CCACVL1_12974 transcript:OMO80401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MNEKANVTKELNAKHRKILEGLLKLPENRECADCKSKYVTNTINRDDLDIRSKMGKCESRYLYLHAMFWDPQKPWGTYIKGDFLISELVRSATLDTWLPEQVAFIQSMGNERANSYWEAELPPNYDRVGIENFIRAKYEEKRWVPRDGNSKSPPRGFDERAPTRWQRPIERSAHGHPSNSESSFEERRNVQASSRKESFPATRVSLPVPPKGPEQ >OMO80412 pep supercontig:CCACVL1_1.0:contig10325:50213:50686:1 gene:CCACVL1_12985 transcript:OMO80412 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MAATDSKKILLKSSDGETFEVEEAVAVESETIKHMIEDGCADSEIPLLNVTSKILSKVLEYCKKHVEAAAEKEKKPDDDIKGWDADFVNVDQNTLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEQIRATFNIKNDFTPEEEAEVRRENQWAFE >OMO80410 pep supercontig:CCACVL1_1.0:contig10325:45610:46851:1 gene:CCACVL1_12983 transcript:OMO80410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root cap MMMKSNVITHSSKYLIILLVIVSGAIEATSLTFQCEPQSRCYGFNMTCPDECPTPHSAGPTGKVCTYNCDIRFCWQPWCTSAKPNCDSPGSACQDPRFIGADGIVFYFHGKSNEHFSLVSDSSLQINGRFIGHRPAGRRREFTWIQALGVLFKSHKFTLEATKAATWNSEVDHLKFSYNGENIIVPEGALSRWYSPEKDVKVERIAEKNTVIVSLKGSVEIMVNVVPVTKEDDRIHKYSLPANDCFAHLEVQFKFFSLSPMVDGVLGRTYRPDFDNPAKPGVAMPVLGGDDKYRTTSLVSADCSTCLFGSKSNHYQETPSATEYAALNCAASPGHGIVCKR >OMO80406 pep supercontig:CCACVL1_1.0:contig10325:26585:33427:-1 gene:CCACVL1_12979 transcript:OMO80406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cys/Met metabolism, pyridoxal phosphate-dependent enzyme MLVLSCAAPAYPTHVLKSSVPKFTAIRASFTYDHPFVPEVAKAVDSLHSEFRAVDNLVACNSSRVLKAFQNARVGSHHFAGCTGYGHDEAGGREALDQVFAEIVGAESAIVRSQFFSGTHAITCALFAFLRPGDELLAVAGAPYDTLEEVIGKRDSHGLGSLKDFGVMYREIPLAEDGGLDWDALRGALGPNTKCALIQRSRGYSWRRSLSVNEIGRAIKMIKMQNPNCLVMVDNCYGEFVESIEPPMVGADLIAGSLIKNPGGTVAPCGGYVAGRRKWVDAAAARLSAPGLGIDCGSTPGDIMRAFFQGLFLAPQMVGEAVKGTFLVAEVMASEGYKVQPLPRVPRYDTVQAVQLGSRELLLAFCEAVQRSSPVSSFTKPVAGTTAGYASEVIFADGTFIDGSTSELSCDGPLREPFAVFCQLLAFLVPIVGLGAFIWWAGRDIVQDSCPNCGNDFQIFKSFLNDELQLCPYCSQPFSVVDDKFVMEPVKFSNRTSKSKQAFNGFTPGSKKGKDSSAAVVDVEAEVKDAD >OMO80407 pep supercontig:CCACVL1_1.0:contig10325:36173:37312:1 gene:CCACVL1_12980 transcript:OMO80407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNMFAEEDQLKDMEGFKKGSGYFEVKCGCTSKKYGDSVGKLRVYATGKFLISCECRPECEEGKLTPYDFEKHSGKEGTRRWKNHIWVILNSKKVPLAKTAILKYYKHGSNAGMGGSTSRQFKHSFHRDEFITCADCKIERRFRLRTAEECRIYHDASISRRWRCDQFPSQRYKCNSDAERPGRKSHRGCPRTPSCQGCTTCVCFGCLKCRFVDCKCRTCLDYMRNAEP >OMO80413 pep supercontig:CCACVL1_1.0:contig10325:62214:70127:-1 gene:CCACVL1_12986 transcript:OMO80413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELKTYKRRPKKTISDEKGLNLTLSKEEEESPLNGVERKDFSGSEFSIDLNKPACSLADNAETSHEIESTCLVSDKEESGHTENLTSKLNFVQVVEENREQQPGHTENLTSKLNFVQDDVVMEEDHEQIQVKTPKKPKPKRKRYTPKVVIDVKFAPTKDKKPKPKPSTPKGKKPPTTPKRTPTKPKTPEQTKEKKRKLSAEEDDDLELDFASPEHLETKHVIKGRRHRSKRKIRRRMHWFNLMIDTQETKKSNPRLLAINWRWDRRKMRSPMNRRPTNRPVKDLNVLISEACSEIIEAKTPPSRIGMDLNALIIDLCSDITEVEAFPSRIDFPSRIEMEAPTLGTEIKTTKPKGKRKIDLISRKKRSYETALVPYEGSFDPTLKKKKAEVLLDPESLRIWNLLMHIDDGKGEEKPSEENQKWFEKEREIFVGRVSSFNARMYQIQGDRGFRKWKGSVIDSVVGVFLTQNVADHLSSSAYMELASMFPPRNKFQAITCGQESTGDDLTEYDAAGNLFFVSEPGPEREKEVGEVKNMLVDAPAFLVEEVVQIENTKLEHEGAFAIGKSPEVTKHESPGMDFEKKSQKSKKSSGKTKKSRKGDEEIDWDDLRKFWDSLRQKYSTRSSDQMDSVDWDAVRLADVNKVATAIKLRGQHNNIAERIQKFLNRVFKLHKSLDLEWLRHTPPDLAKKYLLEVDGLGLKSVECIRLLCLDNGAFPVDTNVGRIAVRLGWVPLRPLPEELQIHLLEQFPVMDSIQKYLWPRLCKLDKKTLYELHYQMITFGKVFCTKRNPNCNACPMKADCKHFASAFASSKLALPGSSDKMEGSPQPEICEPVIEEPIEIVPTLEAAQSQEDDDEIEYDSEGIPIIKLNLEKFKSNLSSFNGEYSKALVALNSYATSIPRPKLKNVSRLRTEHQVYELPRNHPLLYGLKQTETDDETQYHLAIWRPGETVDSPEPPKTSCNSIELYGKFCNDQTCFPCNSKLEESGDVVRGTFLIPCRVAMESQFPLSGTYFQTNEVFADHETSKRPLNVPRKWIGNLRTKTAYFGSSISAITRGLSLEGIQKCFWNGVVCVRGFERGIKAPRPVGRRFHKGKPKKLGSM >OMO80417 pep supercontig:CCACVL1_1.0:contig10325:85426:87744:1 gene:CCACVL1_12990 transcript:OMO80417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase MEEKDKYGKELDLAVRIVHMACSLCQKVQRRLLSTASDQVLAKDDDSPVTIADWSVQATVSWLLSEFLEGQNVSIVAEEDAQTLSKSDAANLLAAVVDTVNECLADAPRYGLWSPKKALGTSEILEAIRRCNSTGGAKGRHWVLDPVDGTLGFVRGDQYAVALALIEDGKLVLGVLGCPNYPMKKELLNYNHQRHQTLPGSPTPSNIWEKGCVMYARRGTGQAWMQPLIHGDTKFEWPNSARLVQVSPVVDPSLATFCEPVEKANSNHSFTAGLAISMGLWTMYGLRMMIKKMLSALGFDAVTKLRGLNNLPHLHERIKLECWKKPMRVHSMVKYAAIARGDAEIFIKFARSGYKEKIWDHAAGVVIIEEAGGVVTDAGGRSLDFSRGVYLEGLDRGIIACSGLALHEKIIGAVYASWDSSNL >OMO80402 pep supercontig:CCACVL1_1.0:contig10325:7258:8155:1 gene:CCACVL1_12975 transcript:OMO80402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIWDVISWSTDSVKGLWQSSYDHGCAAMTKMNKVVRVDEAVEKVNQQLSDPETRSKISRVGTNVAKNAAIEGLKAIPGAFPTYKIVAKSLSDDNKSDNENKSKKHEEDLKTLQATVSRLETEVRVLREQAAETQNPAVKTKPQNTVQSHQKPEDNNRVSGMKNFICSHL >OMO80408 pep supercontig:CCACVL1_1.0:contig10325:38292:40680:-1 gene:CCACVL1_12981 transcript:OMO80408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase METPVSDGSVSKRSLRKKAGLKNYDENLMDEFIEKHIGGSSKKKFRTKEALEKETETEAMIALSLGFPIDALLEEEIKAGVVRELGGKEQNDYIVVRNHILARWRSNVRIWLSKGQIRETVSSEYEHLMSAAYDFLLYNGYINFGVSPSFSSYTPEEATEGSVIIVGAGLAGLAAARQLLSFGFKVLVLEGRNRPGGRVYTQKMGMGDKLAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYKPDGTPVEKEIDSRIEMIFNKLLDKVMELRQIMGGFTNHISLGSVLEKLRQLYVVARSTEERRLLEWHLANLEYANAGCLSDLSAAHWDQDDPYEMDGDHCFLAGGNWRLIKALCEGIPIIYGKTVDAIKYGNEGVEVVAGDQAFQADMVLCTVPLGILKRRTIRFEPELPQRKLAAIERLGFGLLNKVAMVFPHVFWGEDLDTFGCLNDTSDNRGEFFLFYSYHTVSGAPVLIALVAGEAAQTFERTDPSLMLHRVLSKLRGIYGPKGVAVPDPIQTICTRWGNDPLSYGSYSHVRVQSSGGDYDILAESVGNRVFFAGEATTRQYPATMHGAYLSGLREASRILRATRGSQNNRRSVQRNIGVSNDVLMDLFKRPDLTFGKFSFVLNPLTEEPKSLGLLRVTFDSVKDEMRKVLESSCGAVSNQPLQLYTTLSREQVHELEILTGEDETKLVYLIKNLGLKLMGANAFGNKANALVTSIANARRGRGRNRISAPRQIAA >OMO80405 pep supercontig:CCACVL1_1.0:contig10325:24847:25611:1 gene:CCACVL1_12978 transcript:OMO80405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTSNLTRLSSPNSAYGTPQAASLIHRRGLAGGGDHHGPPKVNFWQDPMNPSRWKEEHFVIVSLTGWGLLLYGGYKYFTRDNKKEENKGEVGVGQPPK >OMO80404 pep supercontig:CCACVL1_1.0:contig10325:13175:15191:1 gene:CCACVL1_12977 transcript:OMO80404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MNSEVEKIEHKLSAVSYFSRAHLGRLVNRRIKQLNEIYQQGNFPEGVAINRPPAAGVTFQTTKLEGEADVKEQIWRYLIGSEVGKIAVCGMGGIGKTTIMKHINNQLLKETRFDKVVWVTVSKELNVVKLQEDIARAMGHSLPENELERATELMNILETKRFVLILDDVWKGFSLLDVGIPVPTLKNGSKLELPGENDWTGDLDKVSLMRSAIISKA >OMO80415 pep supercontig:CCACVL1_1.0:contig10325:73245:75770:-1 gene:CCACVL1_12988 transcript:OMO80415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGNWVALVLAVVLLECLGYGVQASFTPPDNYLIACGSSTNVIFQGRTFVPDTGHSSLSLKSGNSFVASSNSSAPAPIYQSARIFSGIASYKFNIKQEGRHWVRLYFYPLAKSGQDLTSAPITVVTDDFVLLNNFTFKNFNGSSLFKEYAINVTSDTLTLTFIPSNNSVSFVNAIEVVSIPDAVLPDQALALNPSTPFSGLSEFALETVYRLNMGGPLITAQNDTLGRTWENDVKYLHVNSSALNVSVSPASIKYTPSISSETAPNWVYASAEAMGDANVPTMNFNITWVFPVDTNFRYLVRAHFCDILSQSLNTLIFNVYINDDIALASLDLSSLTGDLNVPYYKDFISNSSAESDALTVSVGPDSMADLTNATMNGLEILKISNDAGSLDGLSSVKNLLPQSSSKKNKIGIIIGCVVGAVAAVLLIGFCYCCLAARKSKTTHQGHPWLPLPLYGNSQTMTKMSTTSQKSGTASCISLASSNLGRFFSFQEILDATNKFDESLILGVGGFGRVYKGTLEDGTKVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGANLPPLSWKQRLEICIGAARGLHYLHTGAAQSIIHRDVKTTNILLDENFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMTWQKKGMLDQIMDSNLVGKVNPASLKKYGETAEKCLAEHGVDRPSMGDVLWNLEYALQLEETSSALMEPDDNSTNHIPAIQLTPLGPFDNSVSMIDAGNSGTDDDAEDAATSAVFSQLVNPRGR >OMO99761 pep supercontig:CCACVL1_1.0:contig06816:4369:7273:-1 gene:CCACVL1_03647 transcript:OMO99761 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family MKMGKWLRLGALVAAIAIAKQYGGKLGLGLGLDKDAALALFQQWSDRLGIWAIPLYVALHTLTLSLCLPYAVFFEAGASLLFGFFPAVLSVFSAKILGASLSFWFGRLVFRSSSSAMDWVQRNKYFHLISRGVERDGWRFVLLARFSPIPSYVINYALAATQVGFVVDFLLPTVVGCLPMILQNTSIGSLAGAAVASTSRSKKSMVWSFILPLLGTVSSILISLKVKQYSTDITLAESYSGDHINSCNNFDSSQTSNGREGSNDLRKSH >OMO99762 pep supercontig:CCACVL1_1.0:contig06816:11065:12558:1 gene:CCACVL1_03648 transcript:OMO99762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNSDFIIEIAGRGNKKGNRAPRSRYNVAIKCATITPDLKKGGKEPT >OMO50388 pep supercontig:CCACVL1_1.0:contig16198:4527:7959:1 gene:CCACVL1_30473 transcript:OMO50388 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MVRVTRDQRSRAFLTTAGRDPRATQPWAGRDQIATHHGAGRDQIATHHGADHDQIATHTMAGRDPLIVKAAAGLRKRAEKMFPALTGFRFNPTDEELLEILNQKVVSGNVVPAFDFIVERNIYESEPQDLQWVESVALNSKEKYYLLKRESDSREVAGRGWWKATSHVKTISSANNAGVVGYKRPLTFHRFKDNQRKRKDAIKTDWIMHEYGLSLHSIQSDWRLCKIKYKGKEKHKEEETIMENSDAAAGGSSSSINQEQPLPLNSSESEYPSSSLINMQPLPSYHQHFPDQLWSNWDSNWH >OMO53150 pep supercontig:CCACVL1_1.0:contig15312:23673:25428:-1 gene:CCACVL1_28852 transcript:OMO53150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSKIGNILRQTTSTQINAQLSASRPGLFQVFRCMSTSPSSKLFVGGISYQTDEQSLREAFSKYGEVVDARVIVDRETGRSRGFAFITYTSSEDASSALQALDGQVLHGRQVRVNFANERPPRNFGGGGYGGGGGGYGGGYGGGGGGGYGGGNYGGGQYGGGGYGENAGSVGYGNNSGGGGNYGGQGNYGSGGYGQSAASTYDSGSFNVAGGGGGSDGFGTGGSGGFGGGNMGFGGADQQDTGEGSFKDEPEGFQNDPLNENFRDEDDTNDFAKRA >OMO53149 pep supercontig:CCACVL1_1.0:contig15312:16675:21025:1 gene:CCACVL1_28851 transcript:OMO53149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSWLVVAAAAAGYFAKYWQNISRDGNGFSEFSSGESSIVKASTGKSPFCKLGWGRKLQEDVSANGRKVSDIYRLNVASAAEVDSASVFDGQRVRSLGNHTDQNVILPSSLAPGSSASLNLREDGCGEGPSADSGVYSAKLSITRMHSISDPTRKRSSLKSKYSYRHLLKPLSSLDSCLMAQLYTQHVKMEEYVLSSLPSPSTPTLRPLLITDGSRIISRASGDFSSGSSGNRDSKLHNEATIEKSGYVLGIPPLPKIESLDLPKKLKLKRGNRRNGRLSNLCNMDTDKKFHSQQGLHDGAALFFLGISIGVISSYVENRREVEKLRGLLKQTENLVQDLQEELEMKDSLTVKELANENHESREPYDNSFHERATNSCSLEQNMDNSTRYDGKESYYEKVEENSESMSKIEAELEAELERLGLNMNVSNVEKRLSDRLELDPDFEANFADGELRSDMVNRQALDQSVSDEDKSGTPTTHSGNYAVSPRELSLRLHEVIQSRLEERVQELETALQNSQRKVKLIESEHKNSWKISNSEWKYSCMSESHLISAESDCTSRPPLVMKLSGEALDAYNEACEELLKVDESEEEDAVSDIHENNHHEELPLFGEKMACVDQNGVNGSSPHRREYAMKLSEEPFSGYGRTLEEQPLRVQELLDLGVSEDECSDCDDEMEKQLIQQILEKTKKGSPVLLNAQRILFSMDEIEQ >OMO53148 pep supercontig:CCACVL1_1.0:contig15312:8088:11295:1 gene:CCACVL1_28850 transcript:OMO53148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoserine phosphatase SerB MEGLASSRIIPIQAACKRHYSSFLPTFSFQLRKNTKRVQVWMMKRPNSFDSITASVQPLQASTTGQFDNKQPSKEVLELWRSADAVCFDVDSTVCIDEGIDELAEFCGAGKAVAEWTARAMGGSVPFEEALAARLTLFKPSLAQVQDFLEKRPPRLSPGIDELVKKLRARNADVYLISGGFRQMINPVASILGIPQENIFANQLLFGSSGEFLGFDPNEPTSRSGGKATAVQHIRKVQGYKVLVMIGDGATDLEARKPGGADMFICYAGVELREAVAAKANWFVMHAFFIFL >OMO53151 pep supercontig:CCACVL1_1.0:contig15312:28584:28805:-1 gene:CCACVL1_28853 transcript:OMO53151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKDNMCYFLESLKFWAKTESSRSRVQDVVTVIMAAERLNDYNESSSKRKSPPSSNGENSPLSGEKTRKDGQ >OMP11687 pep supercontig:CCACVL1_1.0:contig01029:1449:6144:1 gene:CCACVL1_00342 transcript:OMP11687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADLVVNNLNLLRSDVANENLVNYDTMDSSKEVFLAESNDDIQGLDQGFHIRVLEDIEEDIKEDWFNKLLEKNKKRSKKLSKKLKLRRAKPHKSKQQIGEVVKDSLSDGDVRNRNRIGKTLGISFGFDDSVVLENLSFPPKHQRQHMQILQWLFKVAHEQGGQSSTTPKDQEDKPKDIILSKNRSRRATNKKFKPFISVCDRDVAKACFYSSIYLRRAGKSQGRQHWSQSMKMKKGEINVAQKSDLGSHGGNKVLPLSEAALSSSSSTCTNTNDRCSTSSDKKDKTKTMSRMKELLRWAAAAKSEKGGNFIGRKVMQLRNRGALKAVGAGDDNDQFSNESPKISFRWDVESSTSSSVFSGIPAASSVKNIDQVCKMKIVSLNSNPVHGLNRCSSRRGNWITTDSEFVVLEL >OMP12025 pep supercontig:CCACVL1_1.0:contig00554:7896:7955:1 gene:CCACVL1_00173 transcript:OMP12025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSEQVTQEQVFNNDK >OMP12024 pep supercontig:CCACVL1_1.0:contig00554:14:1966:-1 gene:CCACVL1_00172 transcript:OMP12024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MISFQTLLPWSSLTDFFAIIPVALLVLLSHSTIVLVLLFSLLFLVKSKHITKKISYGQSNNAQLASNLPPGPTPWPIIGNLPEIWRNKPTFRWILGLMKQLDTDIACIRLGNTHVIPVTCPEIAREFLKKYDSVFASRPLTMATAYASSNFLSIAPTPWGDQWKKMRKVVASNIINPQTLRWLLNKRMEENDNLVRFIYNQCINSTKHGNIANSSGSVIDLRLVTRQYTGNVIRKMMFNTRYFGKGKEDGGPGKEEEEHVDSLFTVLGNVYSFALSDYVPWLRLLDLEGHEKIVSECMRIINGYHDPIIDDRVKEWNEGQRKEPEDLLDAFLLAKDSNGKPALSIEEIKAICTDLIFATVDNPANAVEWAMAEMLNNPEILQKATMEIDAVVGNKDRLVQETDLPKLNYITACAREAFRIHPIAPFNLPHVSNADATVAGYFIPKGSHVLLSRSGLGRNPKVWDEPLTFKPERHLKEGSSSKVDLTEQELRFISFSTGRRGCMGVALGTELTIMLLARLIQGFTWNVPPGESKIDISEAEDSLSLAKPLHAIATPRLSTSVYSTLS >OMO55451 pep supercontig:CCACVL1_1.0:contig14689:24769:26414:-1 gene:CCACVL1_27241 transcript:OMO55451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQLRSLTTLSLCMGFKPSLLEVHLHDIGLDIRSLLLVHPHDIGLDIRSFLLVICLTLGA >OMO77355 pep supercontig:CCACVL1_1.0:contig10775:8662:8793:-1 gene:CCACVL1_15055 transcript:OMO77355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVLRRRGAGSFCNVFVPRLIVGAVPLLQLLVLPFPPTLVNLNL >OMO77354 pep supercontig:CCACVL1_1.0:contig10775:417:718:-1 gene:CCACVL1_15054 transcript:OMO77354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIQKSFKLAFVLIILVIASGMPFVPGVEGRLCRKQSDCPPCPEGPSVCDLGICFCLKGGLAPPASSTLLPNLI >OMO60602 pep supercontig:CCACVL1_1.0:contig13705:6309:9489:1 gene:CCACVL1_24019 transcript:OMO60602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MISWHDLYTVLTAVIPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKIIMLFVLGLWCNFTRNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGEYSGMLMVQVVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGVDGKLHVTVRKSNASRRSLGLSSLPALTPRPSNLTGAEIYSLSSSRNPTPRGSNFNNSDFYSMMGIQGFPGRQSNFGPADLYSVQSSRGPTPRPSNFEENCTVMSPRFGFYPAQTVPTSYPAPNPEFSAVTKNSKSTQPPPPPQQQPQQQPQVQQPPPQPQQQQQKEQSNNKVNHDAKELHMFVWSSSASPVSEGGGLHVFGGTDFGASEQSGRSDQGAKEIRMLVTDHPQNGENKAMPASGDFNGEDFSFAGKDGEEEREKEGPTGLNKLGSSSTAELHPKAAGVPESGVGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLIAFRWHVHMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVASFAMAVRFLTGPAVMAAASIAVGLRGTLLRVAIVQAALPQGIVPFVFAKEYNVHPQILSTAVIFGMLIALPITLVYYILLGL >OMP11172 pep supercontig:CCACVL1_1.0:contig01504:584:649:-1 gene:CCACVL1_00642 transcript:OMP11172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQMLVTIWVSTKRVWSLVKQQ >OMO94463 pep supercontig:CCACVL1_1.0:contig07871:6542:6604:-1 gene:CCACVL1_05995 transcript:OMO94463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKLNENLNKRTRSINSSASK >OMO50972 pep supercontig:CCACVL1_1.0:contig16018:42753:43716:-1 gene:CCACVL1_30098 transcript:OMO50972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFIDHIRELLQVYVDKREQVRLIKELYGNQIGERELYHSLPYHMNEFVLDNSDCKVTISLRYADLVSVLPTRVKVLAWPMHQFKKDHTSSAILSRKENGTMNSHPIPACLSFI >OMO50970 pep supercontig:CCACVL1_1.0:contig16018:16767:21898:-1 gene:CCACVL1_30096 transcript:OMO50970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSWRLKTPKKLKGGYKREEIVSCQSDSLSSVAAAPAAPTAAACAARLAASFIASRIKIRAAMNA >OMO50971 pep supercontig:CCACVL1_1.0:contig16018:28395:31639:1 gene:CCACVL1_30097 transcript:OMO50971 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSGRRGRDRGNQLNNELAEIWREIDDLTRAVQALERQEPVETRMEILEGDHKPFEILDLEDDNPFHEAGTVIQAARGGLNVDCWIDEIDYENIFHEAGIADQVARGELEEEVGKKYHFHETRTTIEAIRGGLEMDWYELEPVYDEYDEEEEEDLFFVCKGEDSDFVLCEGFVCEREDFDFVFDEGFVCEGDDSDFVGNGKSMENTVLTEVVCDTMQTDFGWLVDHDMNQKKKPNKKCFCKKNTKDTLHKLAPKKIMKAGEILGNNAKEKLILVDPYIYRKYTKIDLKSGYHQIRMRSGDEWKIALKTPDGLFEWLVMPFGLSNAPSTFMRVMTEVLKPCLNSFVVVYFDDILVYSRTKEEHLKHLRQVFEVLQKEQLYVNLKKCSFMRFEVVFLGFIVSGEGLKPDPEKVSAIAEWPEPKSVKEKGEFECTHAARKAFKRVKGLLTEAPVLALPDFEKLFVVECDASHAGIGAVLNQEGRPVEFFNEKLTDSRSRYSTYDLEFYALVRAVRHWQYYLAYREFVVYSDHQALKYLSSQKKLNDRHAKWSSFLDEFNFTLKYKSGESNTVADALRRKALFLSVLSTQITGFEELKEQYPIDPYFSKILVDLQDSPQAGNLPFKLHEDYLFKGNQLCIPEGSLREQIIKELHGNGLGGHFGRDKTMAMVVDRYFWPRMRRDVERFVKRCPACLLVHIAELFFREIVRLHKVSTSIVSNRDVKFMGHFWRTVWRKFGTELKYSSTCHPQMDGQTEVVNRSLGNLLRCLVRNNPKTWDSVIPQAEFAYNNSVNWSIKKTPFEAAYGLKPQHVLDLVPLPPEARVSGKGEEFLDHIRRVHEEVKAALKDSNVAYSSEANKHRRQQEFEEGDQVLVYLRKERFPKGTYHKLKNRMLGPCKVLKISSNAYVIELPSDLQISPVFNVSDLYTFDGIDDGTVFSIEEQVQQLPAAKSDVIEDVLDVKEVRSRRGNMYKRFLVKWLGKPATESTWIAEEELKQVDPEIYEEFIKVYSSEPSLFQTRGE >OMO71765 pep supercontig:CCACVL1_1.0:contig11565:2902:9055:1 gene:CCACVL1_18075 transcript:OMO71765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fibronectin, type III MDASFEGVSLDPSKCSKLSMDEKRELVYELSKRTHRASEMLQSWSRQEILQILCAEMGKERKYTGLTKLKIIENLLKIVAEKNSGEHEGVTDPESQSSGQRTSKRQRKADNPSRLPVPVNDIAINSGSNDISNAIYCKNSACKATLTQEDVFCKRCSCCICYKFDDNKDPSLWLICSSEPPFHGNSCGMSCHLECALKHEKSGIGKDRRHAGLDGSFYCVACGKVNDLLGCWRKQLMAAKDTRRVDILCYRVSLGQKLLNGSEKYGKLSEIVDEAVKKLEAEVGPLTGVPVKMGRGIVNRLSSGPEVQKLCSSAVELLDKMLSDTMSHSSPNHSIPGSSSISPAIVRFEDVRPTSLTVIVGSEEPSPGNIIGYTLWHRKVHDRDYPVKSTCTLFAPNTKFLVTGLTPATEYHFKIVSFNGTREFGPWEVPISTACTEDEVPSCPVMERSQSPFTNCSSLSNPSSVEDETNNITPYGDQNDDRADNYVTYCKDNDKIVSTNLSKGGINCTVLGEDGVPLDAVSLLGEEHAMEIVGPMPDSNVLNVEKKHTSDDPIMVDTSTNDGSDAPVQTGTECVPFVGCSEAGLPITPCRMEMNKDGQGRSTRSKSSNKDLENGTGKGEDPQDGSTSKKRSGERRDEECVENGLSDTDFEHCVKVIRWLECKGHIEKNFRQKFLTWYSLRATPQEVRIVKVFVDIFITDPASLAEQLVDTFSDCISSKKSSVVPPGFCMKLWH >OMO71766 pep supercontig:CCACVL1_1.0:contig11565:23803:29237:1 gene:CCACVL1_18076 transcript:OMO71766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVIAVTLVAGCVKDVLAGIANSLFLSLLTELISKVAIKVVEYK >OMO53642 pep supercontig:CCACVL1_1.0:contig15174:8218:13703:1 gene:CCACVL1_28478 transcript:OMO53642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEETIARILTDLEEISQTPSTQSQSPTISRSTLLDLQSLLLTNDPDLISQFFDDLPSKSLTPSSLTNLLSFTMDSAPSPNLSLLASKVYLSLLLSPNSPVFTLFTPISFLSLLRSLRRAFKNRPVAQPDESPPCQAPPNRKRKGGGKGRGTRVNVGSSGGYSEGEGQESDCLDMKEVFIVFERLVSVLGLIHLDRFPDSLKSLIQTVGEIPLMAMEKLGNSGAFNRLMDLCSRVLSGVLRSEHGEMANTAAEVLKVLSPLILLVKSQARSFALGFVTRNMMEVGNESEGVKKAVVNFPRYLAQKAPEKAEPRALAVESIMEVVKVMELDDQIGYIEYALKMTQGKANLRLLGVDLIATMLMSLKDPLGVNSDVEVEGSWGTRCLEALIRRCSDSGAGIRARALSSLAQAVGFLSGDDRNKGILKEVMGLGHGGEERPEDGMNDLLRKRCMDEKAVVRKAALLLVTKLTALLGGSFDGVVLKTMGMACSDPLVSIRKAAISALSEAFRTFSDENVTMEWLHSVPRLITDNESSIQEECENLFLELVLDRVSRAGSACSAKKGSILSDSNLTAMSLEREMELSFPEGVLGLLQGICDGEVAPWVKKICTSLGKKKRLKPKIAIALQNIIKTSESLWLSHSMPIEKWTAPAGAWFLLSEVSAYLSKSVDWEFLHHHWQLLDKHAAEGEFQSPRMKGIAEDEEGIESNSVAWAGDRVFLLQTISNVSVELPAEPAADLAHNLLKRVEKFSMHSTEVNAHVKALRTLCKRKALTPEEADQLVIRWGQQLLSKACKILEKYIAEDKEANTSDTFFTPPRSGSRKGKLAASSSRLLSEAVTAVYTVGSLVLVCPSADVTAIVPLLYTIITSGNPDPKLNKLPGLRVSLKQTAPSLCIQAWLTMGKICLADGKLAKSYIPLFVQELEKSDCAALRNNLVVMMADFCVRYTALVDCYIAKITKCLRDQCELVRRQTFILLSRLLQRDYVKWRGVLFLRFLLCLVDESEKIRQLADFLFGSILKAKAPLLAYNSFVEAIYVLNDCHAHQGHNDSKKSRTESKQFSIRGNDERSRSKRMRIYVCLLKQMAPEHLLATFAKLCAEILAAASDGMLNIDDITAQSVLQDAFQILSCKEIRASSNRGSASETAEVEEEGGDSNASAAAAKGRAITQAVRKGLIQNTIPIFIELKQLLESKNSPLIGSLMECLRVLLKDYKNEIDDILVADKQLQKELIYDMQKYESAKARTTAAEAVANNQNQSGYQSPYVSKSASGTHVNDKLKEKLQSNSKVASAMADAAAEATARSVLREVNKGAIASPLNSISMPKLKSVQAGTSAQNDSRLDVLESVRRRQSFHSDDENEN >OMO53644 pep supercontig:CCACVL1_1.0:contig15174:18003:18101:1 gene:CCACVL1_28480 transcript:OMO53644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPAAANHRVTAGTTITSMFSYLANSQSEFR >OMO53645 pep supercontig:CCACVL1_1.0:contig15174:18470:18802:1 gene:CCACVL1_28481 transcript:OMO53645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRNRATPTGEPLTRWMVSGSPVEKMLETKEPRSEERNWMVRRRMMGRRRRPTGLKSSAIASVMASPWSPNRNGAKTTMPTTTASIIPCGGPPCLSGIIVAFGGGFFGM >OMO53646 pep supercontig:CCACVL1_1.0:contig15174:24172:29843:1 gene:CCACVL1_28482 transcript:OMO53646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSQYPSSPSPSSYENDRPDLESTRVLVISINKHICELIKDNQTRNALRLKCISKLNFQKQGFFEFSEHAVKSNLYWGIDSIEAAFQAKCPEEKASRLKNSEKMLQVPAFLDEQGITAGIPNEYLVCCSYFYLAIVKNLQKDQLQAALHFLQALLVSPKLVRTEFASQLCETLFVSCNKEDNNLNELTRQIARRYKHWLMYYRVMLYGETTQQQYGCIDVLSPAYESYNFGKYDMASSIESSNANMHQCRGQTSLKYEKVHPLDPEENISESITYDTQTSGKDKEKKVHNEDVNDWDHIPRLQLQAKQLDRNTSIKRLQDMLKDSQSDTPTSANSGYLDFEDEYDLEDNLEDTETLFNTARRDADDKEQASHDQKLHPSDGTAAISKYFSDSLLRSVDLNLSMVEFGDKKVNRLHHVEDGQSQLDPQKVQLFEHIAPTYRRSKSLIQMKQERTAAREKLDSKYWGKYGELCLSTRRDSKSELLEIIENAVSKLCFSDGLEKSDKDYAVEVTAIYRMLNNKEGVKYTMLKDVILDQLLIAVSTSKEETVIRASVTVLTTIISANISLIDDIKRKGLRLSDLARALKHNVHEAATLLYLIKPSPAEIKTLELLPTLVEVICTSNSYRCSPPPKSLPVTPPAASLMIIEVLVTSFDYATNNMHLAAISSPRVLSGLLDVARNHSLEEHISLATILVKCMQFDGQCRKHISQTIPVAPFIHLLQSNEKRAWFIALEFLYEVLRIPRSSSISLLQQIQKEGGIGIMDILMTCVRRLQPEYKLLAANLLLQLDTLENSSGKSMFREEAMLVLLQSIASEESSNLQILSASIVSNLGGTYAWTGESYTVAWLVKKAGLTSLHHRNMIRNFDWLDQCLQDVGIDSWCSKIARSISEMGKPAFIALQKGLTSQIKCVARDCLTIIAWLGYEISKSSNSLRYSACEILLGEVEKFLHPGMDLEERLLACLCIFNYASGKGLKKLIHFSEGVRESLRRFSNVIWMADELHRVADFYLPNKSRISCVHTQILEASHKYSGAVTALIYYKGMLFSGYSDGSIKVWDVREQSATLVWDVKEHKKAVTCFSLFEPGEGLLSGSADKTIRVWQMVQKKLECIEVIAAKDPIKKLETYGQMIFMITQGHRIKVLDSLRSVNSMCKGKSVRCMKVVQGRIYAGCSDSSIQELLITSNHEREVKAPVKKWRMQSKPINSLIMYRDWLYSASSTVAGSNIREWRRNSEPQMSMTPEKGSNVVAMEVVEDFIYLNCSSSASSLQIWLRGTQQKVGRISAGSKITSLLTANDIVLCGTDNGLIKGWIPL >OMO53641 pep supercontig:CCACVL1_1.0:contig15174:4819:7365:1 gene:CCACVL1_28477 transcript:OMO53641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEGGQGGRCSICLDTTAPECDRTIIALGQRSMQVNGQWRRFPTQATFLADHFEEGSDNDEDEDDDQPPRHDPRPRLENSYDSIFDQWARRSMSQDREHGQITSMLENPFGSRLLGGAFNPFGPQPFTIGSNNAAPTRPEPSAPVESVGHRFSFDNQSGTSSGNGDGNSNPIFPRGKQLPFTGPRPAWTAPSPSSAVESFFGSLQAEAARKRASSNWDQGIGQSLRPPPPLPPLNIGHNNDPFRRPYERSPSPAVLASMASGRSKRPFDEFVCNTVSFKGGSSSSQQRTSELFTLVGPGASRGLSSSEQIRDELYDPSLAAEPVFKLEGQSDSTLEETSQTPELDHMAFMNINDDDDDEPQQVSIEPAPPQDGDATDENGRANSDMLDDDTLNSMFD >OMO53643 pep supercontig:CCACVL1_1.0:contig15174:15146:16204:1 gene:CCACVL1_28479 transcript:OMO53643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNKDEALRCVRIAEEAIASGKKERALKFIKIAQRLNNSLPVDQLLAACENLDCGASPAGYPGSGKSVSSNENKGSSSKLNDCVDGERSYTEEHVQMIRQIKRNKDYYAILGVEKTCSVDEIRKAYKKLSLKVHPDKNKAPGSEEAFKKVCKAFKCLSDEGSRRQYDQVGLLDQFEYNQQHNVRQRRRRHGHDFFDDDLDPDEIFRAFFGQGDMFRTSHVYRTRGTGGHQREEHHGGGPNVLVLLQILPFLLIFLLAYLPFSEPEYSLFKNYSYQIPKTTEKYGVEFYVKSASFDVNFPPGSTARASVEDNVIKDYRHTLWRYCQVERQKRHWNRNLPTPHCNKLQNLGLA >OMO53640 pep supercontig:CCACVL1_1.0:contig15174:744:1935:-1 gene:CCACVL1_28476 transcript:OMO53640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mss4-like protein MLVYQDLLTGDELLSDSFPYKEIENGILWEVEGKWVVQGAVEVNIGANPSAEGADEDEGVDDQAVKVVDIVDTFRLQEQPPFDKKQFVSYIKKYIKLLTPKLDADKQEEFKKNIEGATKFLLGKLKDLQFFVGESMGDDSSVVFAYYKDGATDPTFLYFAHGLKEVKC >OMO53647 pep supercontig:CCACVL1_1.0:contig15174:33626:35301:1 gene:CCACVL1_28483 transcript:OMO53647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGELTRSYSRDRERGWQYSQLSIAALSEVLAASLHFLYAL >OMO53648 pep supercontig:CCACVL1_1.0:contig15174:38990:40228:1 gene:CCACVL1_28484 transcript:OMO53648 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein pleiotropic regulatory locus 1-like protein MPGPTLEMEPVEPQSLKKLGLKSLKRALDLFSPTHGQFAASDPESKRIRMSYKINVEYSGIKSTPGQPGKQVNSGAGDARNQGSAPSNALALTGPGDSKESQKEGTQNALVVGPSLQPKRQSDVGTSGKSNALVSASAPFSERLTTSAIIERIPSRWPRP >OMP10311 pep supercontig:CCACVL1_1.0:contig02638:561:1059:-1 gene:CCACVL1_00995 transcript:OMP10311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGVLLARKVGKGKTKINLKIIHGDSEYESLADGIEGCKRDNKPPIALTRDSE >OMP10503 pep supercontig:CCACVL1_1.0:contig02379:129:1247:-1 gene:CCACVL1_00923 transcript:OMP10503 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase, subunit D MHGVLRLIVTLDGEDVVDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNGPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELVYDLFEAATGMRMMHNYFRIGGVAADLPYGWIDKCLDFCDYFLTGIVEYQKLITRNPIFLERVEGIGVIGGEEAINWGLSGPMLRASGIKWDLRKVDHYECYDEFDWEIQWQKEGDSLARYLVRISEMTESIKIIQQALEGIPGGPYENLEIRCFDREKDPEWNDFEYRFISKKPSPTFELPRQELYARIEAPKGELGIFLIGDQSGFPWRWKIRPPGFINLQILPQLVKRMKLADIMTILGSIDIIMGEVDR >OMP11046 pep supercontig:CCACVL1_1.0:contig01642:3394:3896:-1 gene:CCACVL1_00704 transcript:OMP11046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferric reductase, NAD binding protein GAQTDNTKPGKKYPERAYFYWVTREQDSFEWFKGVMDDIAEFDKNKMIEMHNYLTSVYEEGDARSALIGMVQKIQQAKNGVDIVSESRITTHFARPNWRKVFSQMANTHQSARI >OMO94683 pep supercontig:CCACVL1_1.0:contig07814:59584:63433:1 gene:CCACVL1_05885 transcript:OMO94683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monooxygenase, FAD-binding protein MKIVEDKDIVIVGGGICGLATALALHRKGKKSMVLERSETLRATGVGIIMQPNGWRALDHLGVASKLRQTSIAVPSGHFISVDDGKRHDLSLGKGELRCLKRMDLVEALAEPLPANTVHFGCHVMSIKLDPITSYPILKLQDGSIIKAKVVIGCDGVNSIISKFLDVDAPKLFSRCATRGFTWYERGHDFGNKFHIYGKDDVQLGQLPITNNLVYWFLTRTLTSQDSGSSKKDPTFIKETSLEALKGFPEEVMEMINNSEAKSLYLTELRYHRPWEMVRAEFRRGRVAVAGDAMHTMCPFISQGGGTSLEDAVVLARCLSNANDPQNALHLYVKERRMRVFWLSLQTYLIGLTVDSTSKLKKVLGNIILFLIFGGQNSHTDYDCGRL >OMO94681 pep supercontig:CCACVL1_1.0:contig07814:30017:30136:-1 gene:CCACVL1_05883 transcript:OMO94681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKVPKDIRCGKETLCTVGRLTHHNQVRNAGRIRISQG >OMO94680 pep supercontig:CCACVL1_1.0:contig07814:17588:29462:1 gene:CCACVL1_05882 transcript:OMO94680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSENLKSNLSLPYAKIHPSIDAEVDQNSYSLEKFRLYETRARFYLIGSDRNKRFFRVLKIDRSEPSDLNISEDPVVYSPPEMKNLLQRIAEGNRATGGLNFVAKVFGIVGCIKFLESYYLILVTKRRQIGCICGHAIYSIDESQLITIPHVSIQSDVAHSKTELRYKKLLSSVDLTKDFFYSYTYPIMQSLQKNVLSMGEKGMPYDSIFVWNAYLTQAIRSRCSNNIWTIALVHGHFKQTRLSIFGRDFSVTLVSRRSRHFAGTRYLKRGVNDRGRVANDVETEQIVLDEEAGSSKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYQATKLHFEDLAKRYGNPIIVLNLIKTVEKRPREMMLRREFANAVGYLNTILSEENHLKFIHWDFHKFAKSKSANVLAVLGAVASEALDLTGFYCSGKPSTVKRRANQLNRTSTGRDSSLRDLRGKSGDLVSIGSINENLSSQNGREREGDLSQHNKNDSYEGVHPRFQSGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGLTDKPKVDPDSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDSDYYLHVSGTGDDLFPDKCTEENAKPVGVIGKILAPIPACREDFSRMKLTSFDKLIEQTCSSIKNVRLCSEPDQRPGGPTANSGVAPDAAEIQLKSPNWLFGQRKFEEAGSGPKVDMHENRNGRSNGEMKIDDFCDLSWLSSYDDDEQDIFQRYLSMTSVDEANGWYGGTLLGDQDESSEIYRHYAELCQGPAMEPFEHDLERQKHYADILKMNTIDLVDDAAVEAEMAAALKEYDLIGADLGIFPVSCKSFTEDPSRLTRLIIGEDKMQKV >OMO94682 pep supercontig:CCACVL1_1.0:contig07814:38556:41419:1 gene:CCACVL1_05884 transcript:OMO94682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MEVRIEIPIYDGELDPEKLNGWIKQLEVYFSTKPYTDQQRISFARLRLGNHAVTWWESFNPGLEAEGMAPIQTWQEFTAAIKNQFYPLGYEEELKGKWQFLRLCGAETLAKYKAGLHYSLRTELALFNVKDIDDASVKAIHMEKRAKPFREQQGSRREAESSKGKGQKADKKNAAATCREENTCEHCQEEIECSKKPDEKLVCMAYRVKQKHLSAATTSTDTGKAVVCVPDRVKEELFWVYVQIQMSKVVALYDSASQRNLISHQLVKQLNLKSTPHPEPYPLGWLNKDAELQVTEQCTFKFAINEKFKDEVTCDVVPLDICQVVFGSPYLWDRDAIFYRRENVWRIVKDGVGYRICPAKDARKLSLVSAQQAKHLVNTSKRTAPVLAMPDLRQPFEIETDASGHAMGAVLLQGGKPYKKGKTNQLADWLSRPPLKVANVVMYREPSDSVIYKEQYIDDSCFQNTYR >OMO94684 pep supercontig:CCACVL1_1.0:contig07814:65658:65732:1 gene:CCACVL1_05886 transcript:OMO94684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQIAHSFAANSRFQLFSRREY >OMO88185 pep supercontig:CCACVL1_1.0:contig09091:4695:4787:1 gene:CCACVL1_08540 transcript:OMO88185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKTIQNSTNGPRNSRKKCSE >OMO64196 pep supercontig:CCACVL1_1.0:contig12850:4626:5207:-1 gene:CCACVL1_21956 transcript:OMO64196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVVTSSGGIMELFAPITAECITNEFPGHAIYRTQDLLSQPQPLLHNEELHAGQLYYLLPLNNTLNITKQNINDTNNQNILSSSSSSSSTSLPTPYRMSFDHQRLLKRNEAAEVLPRYNNSGVWKVKLVISPEQLAEILAQEARTEALIESVRTVAKCGNNGISSVANSDQCSSVSSSWKGSFGKYGEDHRW >OMO64197 pep supercontig:CCACVL1_1.0:contig12850:8896:9267:1 gene:CCACVL1_21957 transcript:OMO64197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNWFGRSKLMAKAPKEKDRMMTNCGEEDERRRRSLDAAPSSPIQIKVRMTKKQLEELMAKAGRSLSQGSSEVARLIVKECSEGKLSPRVVLGKDHHVFDSSKSRRLSLTTIFEEEDEEKGKG >OMO57600 pep supercontig:CCACVL1_1.0:contig14351:1257:4034:-1 gene:CCACVL1_25736 transcript:OMO57600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVISFFLLRNSSLWVLKSTWKVEFLVQLLALLSLWGVVSGLGSMSTIAISYGENGPVFCGLKSDGSHLVTCYGSNSAIIYGTPSHFPFVGLTAGDGFVCGLLMDSNQPYCWGSSSYIQMGVPQPMIKGAEYIEISAGDYHLCGLRKPLTGKRRNYALVDCWGYNMTKNYAFDGQIQSISAGSEFNCGLFSQNRTVFCWGDETSSRVISMIPRDMRFQKVAAGGYHVCGIMEGLDSRAFCWGRSLNNIEAELLPAAFSRQRKINLPPKDPMLTVVGGKFHACGIKSYDHQVVCWGFSVKPSTPAPRGVKVYAIAAGNYFTCGVIAEKSFLPVCWGEGFPTSLPLAVSPGLCKDTPCAPGSYQVSHQTAPCKSPNFHVCMSCSNGCPAEMYQKSGCTLKSDRVCGYNCSSCSSVECFSNCSSSYSDAANGRKNGRFWSLQLPIIIAEIAFAVLLVTIVSLTAVLYVRYRLQNCHCSSKESKSKRGGNGSAYQKDNGKIRPDLDELKIRRAQMFTYEELERATAGFKEESVVGKGSFSCVYKGVLKDGTVVAVKKAIVTSDKQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMAHGSLHQHLHGKNKALKEQLDWVRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPADSSCPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYEEGNIVEWAVPLIKAGEIGAVLDPVLKAPAEVEALKRIANVACKCVRMRGKERPSMDKVTTALERALAQLMGSPCSEQPILPTEVILGSNRLHKKSSQRSSNRSVSETEVAEAEDQRFEFRAPSWITFPSVTSSQRRKSSVSDADVEGKNMTLEGRSMGNVGSVVGDALRSLEEEIGPASPQQSLFLQHNF >OMO55594 pep supercontig:CCACVL1_1.0:contig14658:496:2497:1 gene:CCACVL1_27160 transcript:OMO55594 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MEDKEQTSLGSRPMVGGEGPQSYAQNSSIQKKVVEDTKELIHVAIIEKLGLQYITNSGSSNSTFRIADLGCSVGPNTFFAVQNIIDAIELKYHKTYKGNKINPEFQVFFNDHADNDFNTLFKLLPPSRNYFTNAVPGSFHGRLFPKSSLHFVHSSCSLHWLSKVPKEVVDSKSPAWNKGSIICTGLVKEVLDAYSNQFNNDMESYLTARAQEIVGGGLMVLIFPVLPDGIPMAQTSTGKAMELLYSCLNDLAILGLVKQEKVDSFNIPFYFPNAEEMVRIVERNGYFIVEKIQEYLSKSPVISKDVQFLITSHLRAATEGIIKEHFGLGIVEELFNYFQKKLTDDDHTFTKEYTPEIEYLFIVLKRKAFDR >OMO55595 pep supercontig:CCACVL1_1.0:contig14658:4459:4869:-1 gene:CCACVL1_27161 transcript:OMO55595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGELCTYPDIDGWIQSPTPLQAAKNIDEVTQPPVNINSVSFNISEDSSPTTISHESTTIPIEEAHSENLQEITSEPQQLDFTTHTPMESLADEVPQPPTSTLVKESETPLMINPSSVAGVTPSSPNASSVSTHPM >OMP06899 pep supercontig:CCACVL1_1.0:contig04756:9:617:1 gene:CCACVL1_01410 transcript:OMP06899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLCSKLSDSDVSRQRITSPTAAAMFSSPPAWPLMAWPCGGLVGAGISRRLVNNSAAIRFRRQKRPRADQNQRKSLDSESKSFCARLPMRKNSAPRGRSWCVLHCNDEGSAAVPAQPRALPRKIARCRGVSWAPLAIPRPVYSCCCESIDCFESP >OMO50268 pep supercontig:CCACVL1_1.0:contig16238:5809:6186:1 gene:CCACVL1_30540 transcript:OMO50268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEQDQANLATMLQTLMQRLETMDTKFNALADDVQQVKDGQNQQAQPPQQRANAAHNNERVQPQPRQVVPRMDPMERLRQQELGGQAINENMRPRRGVEREEPKDNIKYKIPKFNGRGSPSDYL >OMO50270 pep supercontig:CCACVL1_1.0:contig16238:36481:36666:-1 gene:CCACVL1_30543 transcript:OMO50270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSEEGSYEAVWVCLKKKETIVREMNTEYGYKRSRRTDLRLFGLIRAPAKLRCGGEWWSE >OMO50272 pep supercontig:CCACVL1_1.0:contig16238:100239:100488:-1 gene:CCACVL1_30545 transcript:OMO50272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKRKKKETIVREMNTEYGYKRSRRTDLRLFGLIRAPAKLRCGGEWWSE >OMO50273 pep supercontig:CCACVL1_1.0:contig16238:101299:102431:1 gene:CCACVL1_30546 transcript:OMO50273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGACHSIWKPWAMYVHIGSEMRKGKGWD >OMO50271 pep supercontig:CCACVL1_1.0:contig16238:79807:96611:1 gene:CCACVL1_30544 transcript:OMO50271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHISGRQKTISDVASNGTFFILHHKVTWLQMEPSFFFIIR >OMO50274 pep supercontig:CCACVL1_1.0:contig16238:129038:129112:-1 gene:CCACVL1_30547 transcript:OMO50274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPKKSLTRQTKVAVAVKGAAAKFG >OMO50269 pep supercontig:CCACVL1_1.0:contig16238:15092:15175:1 gene:CCACVL1_30542 transcript:OMO50269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRHGMREVVACGLITNPGANSAKEI >OMO95760 pep supercontig:CCACVL1_1.0:contig07612:2232:4364:1 gene:CCACVL1_05270 transcript:OMO95760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recoverin MGCIHSTVSREYPGHEDPITLASQTAFSVSEVEALFVLYKSISSSVVDDGLISKEEFQLALFKNRKKENLFANRIFDLFDAKKKGVIDFGDFVRALNVFHPNVSQEDKMIFAFKLYDMDGTGFIERHEVKQMLIALLCESEMKLADETIEAIIDKTFMDADINQDGKIDLSEWQNFVSRNPSLLKIMTLPYLRDITTTFPSFVFNSEVDEVAT >OMO61516 pep supercontig:CCACVL1_1.0:contig13453:11155:15987:-1 gene:CCACVL1_23454 transcript:OMO61516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSLSKKDPPELIHVRLSHLRPSLMAYVIARVARKLETILPAETEPINADMLLADDKGDSMKVVIPHECMHRFSDKIVEGTVDKILHFQVSDRKKKYKSVPGEFTIYFTRSTKLIEVTKGIERYPRYFFRFADMEEIKKRSERDPVLTDAIGMFVGYGDPIAVSVDSGTRTVDKVDINLRLLSDEILRVSFWANHISHLNFPELAAMPEKPIFAIGGTIVQSFSATKYLSSLSSTKIYINPDIEEAHQIRERFKDDHTPVMLLTSDQASQSLALANTKDATILELLYLDCNRAQGQKYRVEAEVTEVDTTNGWYYECCPDCPLKVYPAKGGGVSCTQHGPVTPRLVLASELSERTIHPTRLPSTAKDITGTEFVFTLGVSDQTLKRGWFKFKVYAYSEKTTRQPLPASVKGKQIIGSASDTKSLVPADAKDCPVLNEDAHTRPDSPSIPSALFHECSPPKKAKQEETPDTNKEQQQSRSDVKTEQKNVSRGAKVKQVARKLIKEWPLTSILTVRRLTPRTLPRVQPLQAQANRRNRIRVLRERNRTNHIVHNKISHNGTSRKPPNCYFLSSTVGDNGELITRKFESAMVAGLSQSIESRFHKQQHSFSSVNIGCSHYVNVPVFEAGSSTHQSIDKANFVRQDQPAAGTDSYGETRRNFRFSVLSIFL >OMO61515 pep supercontig:CCACVL1_1.0:contig13453:7665:7796:-1 gene:CCACVL1_23453 transcript:OMO61515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNNAGYNRLIHEEQAYNLRTLRDEHNEMLTTLNPKQRHVYLQ >OMO61517 pep supercontig:CCACVL1_1.0:contig13453:16877:22016:1 gene:CCACVL1_23455 transcript:OMO61517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex, subunit 3 MASPGNDATTTPSIDDAVTDNNLQPFFVLQKGSLRKSERNLSGAVKTRRKIDLSSASPKASEDVEDEREEENMKLRMEAFEIVWSKVESTIKDVLRDINTSVFREIQNWVHESFNTIKSLGTPDFPEATQSFPIVRDANSKKLFTGLVFTQNMEYVDDLLTFEELGKHLKSRGCHVANLSSLDFTTKNGIGGCLRSLLRQFLMSTPDVTDMSILASWYGEQNYANPVVVVIDDIERCSGSVLSDFILTLSEWVVKIPVILIMGVATTLDTMRNKLASNALQHLCPYEFTLGIPAERMDAIVKAVLLKPCSGFTIGHKVAVFMRNYFVSQDGTLTSFIRALKVACSLHFYVEPLSVILRECVLEEDNWESGIEKNGLSPELMLKYAYDLPSCQSDEMTDQTSESLAQAFSELKRLQNEWRAVVLCLHEAGKGGKVRLLDLYCEASDPESYNKRKAELDKDPDLPPTGPILIKGNAISEAIRLVRDLSTVQLGKLISIWESLTTGTEVYHRVFALKLLLKMEDGKSSKKDSTVTSK >OMO99555 pep supercontig:CCACVL1_1.0:contig06866:8023:10997:-1 gene:CCACVL1_03742 transcript:OMO99555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SALKDAKQTKDVVGKEILSLRSEVENAKDEATTIVRQLNGAEAEVKALRSMTQRMVLTQKEMEEVVLKRCWLARYWGLAARYGICADIAVSKHEYWSSLAPLPFEVVVSAGQKAKEEFSEKGDYENERRSKLVEDLNDLTGEGNIESMLSVEMGLKELASLKVEEALVNALAQQRRANSVRLSLSDIKSPRDPKFMEAFELSPEESEDVLFKEAWLTYFWRRAKAHGIEEDTAKERLKFWISRSGHSPSSHDAVDVEEGLMELRKLGIEHRLWEASRKEIDQDYSASKKFAK >OMP04859 pep supercontig:CCACVL1_1.0:contig05602:955:1014:-1 gene:CCACVL1_02119 transcript:OMP04859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLTTAPPRLEEIGVSPQMA >OMP11654 pep supercontig:CCACVL1_1.0:contig01052:1844:2765:-1 gene:CCACVL1_00360 transcript:OMP11654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKELFENNKEKTEKLSRSDFQCLNEAVRAVGEEIPLHLKPIAIKMEEACGKIVTWPGYQSILILVCAAIYEMENCKRIELLEWERLVTWAATYNMAKEISVFKLQFFETHLKKILKAYCAYKFYTKERVQDLKAELEMMEDCRTAKDFFDGNCLSHGLFPAAANEMELCKRVEQLDWERLLMWGAVYNNAKGVTGFQLQFFETHLKRILKAYCDYIEKDQEFKAKLEEIAKEYFQGNCSLSTWYSQPGA >OMP05475 pep supercontig:CCACVL1_1.0:contig05367:731:868:-1 gene:CCACVL1_01883 transcript:OMP05475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPSSNAILSNENPSKIVRLGLTEIGRQPITAVDKDPGVHQLST >OMO77224 pep supercontig:CCACVL1_1.0:contig10799:10209:12812:1 gene:CCACVL1_15152 transcript:OMO77224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MWGGAILICVVALLLLRKFSRWLHNWYNPKCNGQLPPGSMGLPFIGETIEFFTPHSLNDIPPFISKRMQRYGAVFRTSLVGQKVIVSTDPEINYEIFQQENKSFLLSYTESFLEIFGQESLVKHHGMLHKYLKNLVLHLVSPENLKGSLLAEMDKATRKHLNSWAILGTMDVKEGSSEMILEYFAKKLIDYDEMNTSPNKKLIHNFHAFMDGLISFPLNIPGFAYHACLQKEHEAIIKTRDYKDSQLTWKEYKSMTFTHMVINETVRLANIVPGIFRKALTDVEMKGYTIPAGWSVMVVPAAVHLSPGKYDDPLQFNPWRWEGKELHLGSKTFMAFGGGIRLCVGADFAKLQMAIFIHHMVTKYRWRVIKGGDIVRKPGLMFPNGLHIKIYEK >OMO61292 pep supercontig:CCACVL1_1.0:contig13538:6844:8425:1 gene:CCACVL1_23617 transcript:OMO61292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAILMEEALAVRQTVLGRAYTHDHLVWHYDNRGFYTVRSGYKTLCNLVDDEDRGPEVIAFEKEVLPSIRAANDRYLNEAGVEDNVVKENIFGCFNSTLPSEIVKCKAPGRGVYKINFDGGFHASSRTSSFGAVARDSDGQVLGAVAGPILLVTDSFAAEALAASKAILWARDMGFRDVVLEGDSLTIIRKVNSSSPDLNPIGPYIEQL >OMO61677 pep supercontig:CCACVL1_1.0:contig13432:4456:8018:-1 gene:CCACVL1_23321 transcript:OMO61677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETRDNDAYEEELLDYEEEEEKAPDSVTAKVNGESAKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEGKFLTLLPVYLQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIEPAAGQQQVLALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKVHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKDIRPPMEIYVDDEAKLTLHGLVQHYIKLSELEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLVECNFPSICIHSGMSQEERLTRYKLFKEGNKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFCSSAADSDVLNQVQARFEVDIKELPEQIDTSTYMPS >OMO73308 pep supercontig:CCACVL1_1.0:contig11267:506:625:1 gene:CCACVL1_17333 transcript:OMO73308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGDNGIGREDGLYGLSVRRKKRKERWEEPTLKGANEAVE >OMO73309 pep supercontig:CCACVL1_1.0:contig11267:2111:6445:1 gene:CCACVL1_17334 transcript:OMO73309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETATPPATPSAQVVGNAFVEQYYHILYNSPELAHRFYHDSSVLSRPDSNGVMTSVTTMQGINEKILSLDCKNHKAEIKTADAQKSYKEGVTVLVTGCITGKDNLKRKFAQSFFLAPQDNGYFVLNDVFRYVEDGEHLENRVVNGLHDAPRVPSTSEPEPTQVLDPPAPDPSTSIVEENQNVAEQVYEPSDQERQLANEKEAVSESQSHSNGNDIPIIFESASSAQEDTQKKSYASIVKVPKGVSGPTRVYVPTNTTKATPKKTENQSPVSTAPAPPETLPNSDDVPESNNNPEEVEGHSIYIRNLPFNVTPTQLQEEFKKFGPIKQGGVQVRNNKQQGYCFGFVEFLSLNSMNDAIKASPITIGDRQAVVEIKRTSTRVGSGRGSFPSRRGGFRSDSFRGRANYGSSRSFGRNEYGNRGEFSGRARGSTGREGRGRGGRSSGPKQNNAST >OMO97475 pep supercontig:CCACVL1_1.0:contig07236:11061:11264:1 gene:CCACVL1_04538 transcript:OMO97475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNTRKVKVHREFRTQWLIKWKQLPTSEATWEWATDITKDYPEFNMEDKVVTEEGANVMNPLAARL >OMO97474 pep supercontig:CCACVL1_1.0:contig07236:9423:9533:-1 gene:CCACVL1_04537 transcript:OMO97474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSVETLNVCSKSGETRRGVDGMETTLHLSETFRGS >OMO97476 pep supercontig:CCACVL1_1.0:contig07236:52351:54836:1 gene:CCACVL1_04539 transcript:OMO97476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTFTYMWPLYQDRGHSFRIDPNNFATAEVKTPKE >OMO89549 pep supercontig:CCACVL1_1.0:contig08678:2896:4376:-1 gene:CCACVL1_07774 transcript:OMO89549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTDNFTQFNIGRSCSTAKIRSEVLSPFRSVRMFFYLAFIASGSLGTLIALTQLIAALSNPARSSEVTDILTSLGIDIGAVSIFAFLYFRENTAKNAQLARLSREESLSNLKLRLDQNKIISVNTLRGIARLVICAGPASFIVESFKFSEPFTEKLLERGVLVVPFATDGNSPSLDFDESEDMKEFTTKRKRLWQLTPVYISEWSEWLDEQKKLAGVSPESPVYLSLRLDGRVRGSGVGYPPWNAFVAQLPPVKGLWSGLLDGMDGRVL >OMO89551 pep supercontig:CCACVL1_1.0:contig08678:16701:17036:1 gene:CCACVL1_07776 transcript:OMO89551 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIF-like protein MFGDTVATGKYAWTPSSGAVPGGASAENATPSECYRDSNENVSQEATQEIDVESPEIGQKVVEVGQDKGKKKIPPKKTIGKRMTSQIDKVCDSMSSPRMAISTIVFPPPRL >OMO89550 pep supercontig:CCACVL1_1.0:contig08678:10383:11450:1 gene:CCACVL1_07775 transcript:OMO89550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENQPWYTKEMESPIIPTSIPPSYVCPTPPTSTLTAVSSLHAQMPRDDNTIVERVEEEKDPVSSSEVSPTPTRKEEKPKKRKKKMMETTQEPPPYPQRLISRKRICSKDDQLNSLCKLEVNILIVAGIEKVPMYASFLKQLCTDKKELRENNEYMNENVSAAFQRKLPHKCKDLGSLSIPCSIGGKRIEKATCDLGASINIMPYSVYEKLGLEHIIKTKVIIQLADRTDVRPEGLVEDVLVQVGKFVFPANFYILKMSGDDQGLPLVPILFGRTFLLTARARISVHDGKINLEFDGEALEFDIYKTMKYPVEKHSVFAIDSIDLLVDHVTLIDRKDELETIITNSLDKEEVKES >OMO89548 pep supercontig:CCACVL1_1.0:contig08678:983:1616:1 gene:CCACVL1_07773 transcript:OMO89548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaE, reaction centre subunit IV MASAASGFLLTPNVTGSPSSASKTNMVFFPSKTNNSRLVVRAAAEEGAPPAPAPAATTAEPAEAPKAKPKPPPIGPKRGTKVKILRRESYWYNSFGSVVAVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEIEEVK >OMO61907 pep supercontig:CCACVL1_1.0:contig13393:4255:4428:-1 gene:CCACVL1_23158 transcript:OMO61907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQHHQTFLLPFILLTSDNFSRPRLRLILIRANHVDLGMSVTGIRLSGKVTGHLPPDE >OMO61908 pep supercontig:CCACVL1_1.0:contig13393:19912:22264:1 gene:CCACVL1_23159 transcript:OMO61908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLNDHVIFLDMTAREIQSAAKAANMKMLLHEIVEVLRCRVADKANILLFGLLSEFTAALQLALLDIHCMQGAKGYYGE >OMO61769 pep supercontig:CCACVL1_1.0:contig13415:53653:56541:1 gene:CCACVL1_23269 transcript:OMO61769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGVFADIFDGDAYKYYSDGEWKKSSSGKTVAIINPTTRKTQYKVQACTQEEVNKVMELAKTAQKSWAKTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDSVTEVVRSGDLVSYCAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKVPLGVILAIPPFNYPVNLAVSKIAPALIAGNSLVLKPPTQGAVSCLHMVHCFHLAGFPNGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMIPLQMELGGKDACIVLEDADLDLVASNIIKGGFSYSGQRCTAVKVVLVMESVADALVEKVKAKVAKLTVGAPEDDSDITPVVSESSANFIEGLVKDAKEKGATFCQEYKREGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSIEEGIHHCNASNFGLQGCVFTKDVNKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGVTNSINMMTKIKTTVINLPTPSYTMG >OMO61767 pep supercontig:CCACVL1_1.0:contig13415:47600:48637:1 gene:CCACVL1_23267 transcript:OMO61767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQFFIRSPDHQTQTLTLEPTQSLTLHRLKAALLPNPQSFSSFYFSVNGKPLSDSTLLPNPQITNLSTLLLLPRFSAGGGDGGATGAESRDCYLKMYAVKKPDKVDPNEQRLGNIFNKEALVEALLGKKLPKEFRHIKGLKDMVNIKLSAIPGKESESAADGATFQCPISGLEFNGKYKFFALKDCGHVVSAKALKEVKSSACLVCHKEFVDSDKVVINGSEEEVAALREKMEEEKAKTSKDKKKRTIDVADGEKGSGKVNRNGKHENEKGLSNNAAVKKFKAADMAPANANKEVYASIFISSRKSDFKETYSCRSLPLGRN >OMO61765 pep supercontig:CCACVL1_1.0:contig13415:35690:38248:1 gene:CCACVL1_23265 transcript:OMO61765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSMDSQSESEESDISDTEIDDYKEVQYEQLKSNKFVVKKPNGTFRCPFCLGKKKQEYGYRDILQHATGVGAASSIKKSGKKRGQHLALAKYLKEDVATEACSVKKDVPKEIVVANKQVPKQEDELCVWPWKGIVTNVYNKLRHEKDVDESAYWLRKFSQYKPVDVHLMWDKERRKGSVILGFGEDMAGFTSLMEFEKIFAANRHGKHDWDRRKRDPGSQMYGWRAQADDYNSQGRIGDYLREKTELSTSSNINAKKKLGTIEKRENLIMAIDMTNEELLEKQCQLDEKGFSLRQIHEENDRLHKAHNKEMETLQQIARDHVYRVMEDANRMKAEVDHKREKLDAWSRKKNESLQLASENQISASKEVAILLQQQQREKREALDKFIQLRREMDEKHKLQRDLEELTGQIKMREVVRGPNEKEMQAMKAMEEELKERLEALDDSGSMNQVLLAKERQINDELQAARKEMIAGFDAHVGGRVTRPTAIGVKKMGEIDSKAFQIAYKKKYPGNEATVEAVMHCTKWQENIQDSGWHPFKVVENNGKPEGVLDENDEKLKELKEELGGEVYDIVVTALKELNEYNPSGRYPVRELWNFRKDCNRRATLKEAITYVAAKRKQK >OMO61768 pep supercontig:CCACVL1_1.0:contig13415:50142:51625:1 gene:CCACVL1_23268 transcript:OMO61768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S12e MSGEEVAVATPEVPVSANEPMDITTALPLVVRKSQAHGGLARGLHEAAKAIEKNTAHLCVLAEDCDQPDYVKLVKALCADHNVRVMTAPSSKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEQHEAVDVLQQHKD >OMO61763 pep supercontig:CCACVL1_1.0:contig13415:12663:28709:-1 gene:CCACVL1_23263 transcript:OMO61763 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MPSPAPAPPHRPIDLNLQSEDDDVALFAAPRQIPATQTLAPVKSPPIPSAPAPAAVSASDSIPNRDSAPEPMVIDSKQEPSDGKDVKFDIEEGGSNGIEDLGSDDPIIPGLSEPVRQDDSRKNNTGNNNGVRGGEAEEGDDWDSDSEDDLQIVLNDNNHGPMAMERGGMMGDDDDDEDGDPLVIVADGDANQGMEEHDWGEEGGQSADGERKEGGEAGKLGSGAGGGGSVVAPKIGYSNHGYHPFHSQFKYVRPGAAPMPGANAGGMGGAPGQVRPLIGAMSGRGRGDWRPPGMKATPSMQKGFHPNFGMPGWGNNMAGRGFGGGLEFTLPSHKTIFDVDIDSFEEKPWKYPGVDVSDFFNFGLNEENWKDYCKQLEQHRLETTMQSKIRVYESGRAEQDYDPDLPPELAAATGQEVPADVANFGKSDGGQIDVTKGTARVRPPLPTGRAIQVEGGYGERLPSIDTRPPRVRDSDAIIEIVCQDTLDNDSSIGNGVVDRTENDLPREDLASEADVRHEDAGYSDGFPDAYGSRKREIAGRRTMNSTQANEPQEDGNLSFPAEAPPPYGPHSRSQSPMYPSGNFGSPCDERHRQGRACERSPRMTPIQGKRDKFSDSREEESFESLDGKSPALEDERETSMERKDDVDDGLEPAHGSPVTEKDDIHKDEDSHYPMKNKKIGSQGEPRMLQELDDDEDSRAARSSENSKARSGSSRDYQKWRDSADEEVVQGGRSSRMGIVKKHLDEHDQNFRRKDRDGRHEIERNRMVGKPGEDSYPLRDFDSSLSHNLHKAEGFDRRRERDNSDGTWQRREDDLYSRKSRAEDFRKRERDDEMGSRNRAKIRESERNDKDDYPHSRKQLDNGSSYNKVHHDKEVSARHRERDDNLKSRYEAADDYHSKRRKDEEFLRRDHADKEEIYGHRESSSSRRKRERDEILDQRKRDEQQRIRDNFDEHHSVRHKDEVWLHRVERQRERDEWHSRLKQPHEESLSKREREEGRGTVRSGRGSEDKAWAGHTRAKDEYKGSEKEYHVKETVRHSEQVKRRERNDDESFTRHRGREDSYARGHQFSNEERKSRQERSSSRNDHAVNASDSQRGHEKKHKENTRKNRESEGGDSIALGSTKRNQEDLSGHNNETGLKSDEKNENAVHHNSSRKHREDASSDDEQQESKRGRSKLERWTSHKERDYSINSKSSASLKFKEINNVGSAESNKNQDEAGRSVEPAENHRNLPEDKGVIEPETKDADTRPLEDRHLDTVEKLKKRSERFKLPMPGEKDTSAIKKMENEALPPAKSENPTGSEAHGPGYLKSNPKIHSLMPYILKWPPEGSRDGHVPESYNPSEPEENLVPADRKPPLPRILLNDVSCMRNAQQILRHVNVSVHDGAALVLTGTNGSGKTTFLRMLAGFSKPSAGQILWNGHDITDSGVFHQYKLQLNWLSLKDAVKERFTVLDNVQWFELLENKQGKSLPALEFMGLGRLANEKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDEGVRLLEYIIAEHRKKGGIVIVATHLPIQMEDAMIFRLPPRFPRRMTLPQKFVYNDNSRPLDLYFISVRGGFRALKEQTHLLKLMENVAKAYDVKFVVNISELGKGDPLIQNVTGLSPLMNLPWYTTGVSESEELGCFLQQIKLPHGRILDLISLATASLQDNMLEGSSRDKLLNWLTRTLEATVSSWRIVVGFHPLVSCEAIEEQMVVKDIHQPLHHMFMRFGVNVYLSQQGCYNYALQDTVAYIGNPGLTEENSHLASASANETHLVRKDMTNGFLLHRVGLLEMVTYFVTSAGEVVNKIVVQQKGKDVM >OMO61760 pep supercontig:CCACVL1_1.0:contig13415:1223:1987:1 gene:CCACVL1_23260 transcript:OMO61760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKTMEVVKNLDIKRYMGRWYEIASFPSRFQPKDGKDTRATYTLNEDGTVKVLNETFVDGKRSYIEGTAYKADPKSDEAKLKVKFYVPPFLPIIPVVGDYWVLYIDDDYRYALIGQPSRKYLWILSRTPHLDEEIYNQLVEKAKEEGYDVSKLHKTPQSDTPPEGEDTPQDTKGIWWIKSIFGK >OMO61762 pep supercontig:CCACVL1_1.0:contig13415:8314:11403:1 gene:CCACVL1_23262 transcript:OMO61762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase MIRFFLLISLLIPAALAKGGHHRGPEPQPQTQPAAKKWPTLSGQPPLVVAHGGFSGLFPESSSFANALAGQLKSTDVVGLSCSLQLTKDGIGICVSDIRLDNATTISMVFPKDAKTYNVNGQEVKGWFAVDYMSDVITKNVTLVQNSLSRPNQFDGMFPVSTIEDVAMGAKPSVFWLNVPYDAFYTEHKVNMADFVEKAMRLMPVHIISSPEIGFLKIMNGKVNKAKTKLIFEALGADAVEPTTKQKYGEIIKDLATIKTYASGILVPKGYIQPVDTNNYLGNPTTLVADAHKLGLEVYASGFANDIPTSYNYTYDPISEYLQFINNPQTCVDGLLTDFSPTASATIACFTNNETGKPKQGKSLIITHNGASGVYPGSTDLAYEQALTDGADIIDCSVQMSKDGVAFCLDSADLTGDTTAVPTFVSRSTTIPEIQKEKGVFSFDLTWSEIQTLKPQMVSPFGQAAGYKRNPAAQNLGKFMTLTDFLEFSKTKGVSGILINIENAAYLASKKGLDIVDSVTKALSNATFDKQQTQQVLIQSDDTSVLSKFQGVQAYKRLLRIPEAIGDAPKPTVDTIKKYADGVVLSRPSLIEVKNGFTKAQTNVLDEMHKANISVYVSVFRNEFVSLAFDFFADPTVELATYVAALEVDGVITEFPGTASRYMRSPCVDLNAPVAIMPAEPGSLLTQVPPTALPPASAPNPPLEVADVVDPPLPPVTKVPTDSPPNTPSAPGPSKSMAVTAKSNLGLGLAAIMALSLLSMGFY >OMO61766 pep supercontig:CCACVL1_1.0:contig13415:38957:39781:-1 gene:CCACVL1_23266 transcript:OMO61766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease CAF1 MSILPKSEIHIREVWEENLVSEFELIQNIVDDYPFIAMDTEFPGIVLRPIGNFKNSFDYNYQTLKANVDLLKLIQLGLTFSDDQGNLPTCGTDKYCVWQFNFREFDPNEDVYANDSIELLSQSGIDFKKNKEKGVSAHMFSELLMSSGIVLNDSVHWVTFHSGYDFGYLLKLLTCKNLPDSQAGFFTLIKIYFPTIYDIKHLMKFCNSLHGGLNKLAELLDVERIGICHQAGSDSLLTCCTFMKLKVNFFSGSPEKYAGVLYGLGVENGQNTHY >OMO61761 pep supercontig:CCACVL1_1.0:contig13415:3464:5504:-1 gene:CCACVL1_23261 transcript:OMO61761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptobrevin MKITSLLVLKCSPEGSDPIILANASDVSHFGYFQRSSVKEFVVFVSRTVAKRTPPGQRQSVQHEEYKVHAYNRNGLVAMGFMDDHYPVRSAFSLLNQVLDEYQKAFGDSWRTAQADSTQPWPYLNEALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLARGERLDSLVEKSSDLSAASQIVHSSVGFNLSLLSFYVIKLFGACDNYTLIAVDCNL >OMO61764 pep supercontig:CCACVL1_1.0:contig13415:32276:35202:1 gene:CCACVL1_23264 transcript:OMO61764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein particle (TRAPP) component MIGVGKIKQYANVLDKPLSKGKQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHRDKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNKFISIPKDMGTFNCGAFVAGIVRGVLDSAGFPAVVTAHFVPMEGQQRPRTTILIKFAEEVLRREASTYNRIVKR >OMO95866 pep supercontig:CCACVL1_1.0:contig07592:23758:23847:-1 gene:CCACVL1_05210 transcript:OMO95866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SILEAIERQTSLDIGLEGSANAAVEEDEE >OMP10573 pep supercontig:CCACVL1_1.0:contig02177:772:1332:1 gene:CCACVL1_00866 transcript:OMP10573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERATVWKTVQKTAEIPTTNVTLWKTIARPTEIPMANTALWKAIPKPAEIPTHPNREKLRKCTSLRVATSFTRVCLCAPISSYNEVFRADVPPRRSNSYPRSKPFPLSQERIPSARLSTEERRVFRGKSLTDDVLMRRFVVEEEAMMQVRRRNQMEVIRKRSMMRRKKLGPSRLSRMVKAEEEENL >OMO51961 pep supercontig:CCACVL1_1.0:contig15657:8900:8986:1 gene:CCACVL1_29476 transcript:OMO51961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMGLLPNKTMVETMRIIVFPLEFEFA >OMO51960 pep supercontig:CCACVL1_1.0:contig15657:1890:4425:-1 gene:CCACVL1_29475 transcript:OMO51960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CBF/NF-Y/archaeal histone MESMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLISSESNEVCNREEKRTIAPEHVLKALEVLGFGEYIEEVYAAYEQHKIETM >OMO52621 pep supercontig:CCACVL1_1.0:contig15498:40205:40309:-1 gene:CCACVL1_29161 transcript:OMO52621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIALALEILLSTFSPSYPSQLDPQLASNLTENR >OMP10569 pep supercontig:CCACVL1_1.0:contig02183:860:1297:1 gene:CCACVL1_00870 transcript:OMP10569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QKRSGYPLVPQLEKVSNGKPLNMKSCPPTFGYKSSSLPSRPPSPPPIASLKIADNAPTAVIR >OMO51309 pep supercontig:CCACVL1_1.0:contig15886:1709:2808:1 gene:CCACVL1_29867 transcript:OMO51309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGIIQRHKINNVNQATLAEKLEYESINIHIPVPDALRAYHGLEGHMGANEDHEDVIDASLEEHGDGGTSDRPLLGEAAQHRPRDMDMTGYNRPNNSAMPGHNRPRDPLSMPLGPITRARAKRFKEALVGFIRSHLEEIKIIEDSLGRFEDHTTRNIPNDSMLCTLLSIDEH >OMO49737 pep supercontig:CCACVL1_1.0:contig16417:3428:6942:1 gene:CCACVL1_30827 transcript:OMO49737 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucose-6-phosphate dehydrogenase MASFSSTHCRSYSHSYSYSSSTNGGQNQRFAFSSSFPRLPVPAKAKVFLQSQRNSHQNAVLMQDGAVATKANPVEKETPLKKLKDELLSATSSDEWDEKAGFDINGNESTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIYGYARSKMTDAELRNMVSKTLTCRIDKRENCVEKMDQFLKRCFYHSGQYDSEENFSQLDKKLKEHEDGRVSNRLFYLSIPPNIFIDAVKCASLSASSGNGWTRVIVEKPFGRDSESSAALTKALKQYLDEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQLEDVVIGQYKSHTKGGVTYPAYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKRAEIRVQFRHVPGHLYNRNFGTDIDRATNELVIRVQPDEAIYLKINNKVPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPVLKELEEKKIIPEYYPYGSRGPVGAHYLAAKYNVRWGDLGVDQ >OMO72761 pep supercontig:CCACVL1_1.0:contig11391:18859:23234:-1 gene:CCACVL1_17610 transcript:OMO72761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGAGARASLINGPDCAFQPSGPIFKTAQWRFTSSPKLQPPPLSIAITISAQPSSDEPNAKEFHPKL >OMO68288 pep supercontig:CCACVL1_1.0:contig12238:3159:7451:-1 gene:CCACVL1_19993 transcript:OMO68288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGSPFKMALFADLHFGEDAWTVWGPQQDLNSIKVMSSVLDSETPDFVVYLGDVITANNIPIANASLYWDQALSPTRSRGIPWASVFGNHDDAPFEWPMEWFSASGIPQLVCPMLNFTYSGELGCSFRGTSRLELMKNEIDNNMLSYSRSGPKDLWPGVSNYVLQVMSQEKPETPLAYLYFLDSGGGTYPEVISSAQAEWFKSMSEKINPDSRIPEIIFWHIPSKAYKKVAPKFIIHKPCVGSINKEKVAAQEDEMGIMKILVKRPSVKAVFVGHNHGLDWCCPNKKLWLCYARHTGYGGYGNWPRGSRILEISEEPFSIKSWIRMEDGTVHSEVSLSS >OMO68289 pep supercontig:CCACVL1_1.0:contig12238:20957:24309:-1 gene:CCACVL1_19995 transcript:OMO68289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPFRLHFSSGYGSSFWGFLFKDEPYDAVETTARDGDRCTTSKSIRMQCKTEEVKPRKFIRKCEKTEEVLKQCVGRLVIMARIMCLPF >OMP01772 pep supercontig:CCACVL1_1.0:contig06374:4583:10436:-1 gene:CCACVL1_03006 transcript:OMP01772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLSRAGPVERDIEQMMNGLKHIQVENSHYGCSLIPATQSCLLYLALLRAMGMSSQVIAIISFISLFGVGKARRPVDGRAAELTYKSHLRPPFASCSIYVGKAKCSL >OMP06471 pep supercontig:CCACVL1_1.0:contig04923:3508:4137:1 gene:CCACVL1_01553 transcript:OMP06471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTSPDLPQKNALQIKQDDRFFSRLLSKENSVANPSFRVYYGGVPRSVPFMWESQPGTPKHAFSDTSLPPLTPPPSYYSKSGGAKPTKKHSRSGLLHALFPKIISLKKSAVAASPSSSALSSSSSSSSSLSSSLFLAMSSPKKYYKRNRFSSFDSSRVDDEEDAAVESPTSTLCFAINGKGSIGNKLRGCYGGWRNQKPSYPWDLAKT >OMP06474 pep supercontig:CCACVL1_1.0:contig04923:15300:15797:-1 gene:CCACVL1_01556 transcript:OMP06474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MSHEFCSNMATELSVTIVSASYRLAPEHRLPAAYDDAMEALYWIKTSNDNWLENYVDLSNVFLMGGSAGGNIAYHLRLRAVEQVDTLLPLKIKGLILHQHFFGVVERTESELRIDNPGFPPCFSDLMWELSLPMGVDRDHEYRNPILMEGVRCWVSWGSIDRPSN >OMP06473 pep supercontig:CCACVL1_1.0:contig04923:6744:10130:-1 gene:CCACVL1_01555 transcript:OMP06473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/other aminotransferase MSTSTMARGRANRWWDHVTPAPKDPINSVTEAFLADPCPYKINLGVGAYRDDEGKPIVLQCVREAQAKIESSNFLESNSTAVSSKLVEESAKLVYGEDSDVIKEGRFAGVPALSGTGACHLFAELQRRLYPDSRIYLPDPTWSNHHNIWRDAHVAKKTFRYYHPDSKGLDFMALMDDIKNAPDGSFFLLHPCAHNPTGVDPSYEQWKEISSLFKVKNHFPFFDMAYQGFASGDLERDARAIRIFLEDGHLIGCAQSFAKNMGLYGHRVGCLSVLCDDKTQAVAIKSQLQQIARTMYGSPPIHGILLVSKILSDPDIKATWLKEVKVMATRVRRMRAALRDSLEKLGSSLDWQHITNQVGMFCFSGLTPDEVDRLAKEFHIYMTYDGRISMAGVTTKNVDYLANAIHEVTASDQDAKILCNLSA >OMP06472 pep supercontig:CCACVL1_1.0:contig04923:5875:5958:-1 gene:CCACVL1_01554 transcript:OMP06472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPSHGWIRRGQNPGHYKLQTADNLA >OMP00749 pep supercontig:CCACVL1_1.0:contig06623:574:663:-1 gene:CCACVL1_03303 transcript:OMP00749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AFRGPLVLFGSSSISQTTKAFFQLFTAFI >OMP06566 pep supercontig:CCACVL1_1.0:contig04885:556:1239:1 gene:CCACVL1_01506 transcript:OMP06566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEDKENKKRARDESEEANNSVPYSKLARVDSTDAGSVSPESALSEPDPDDGDVQSPEAKLIQEDLLNILDDSDPTIGPDPAIQDLDSVIKSFEEEILVPTETPVPVMTSDSGESRPDLGFLLEASDDELGLPPSFSLVEPEQKPGTVDVEEGGGLGAVGYGEMMGYEFPIPNFESFEFGIGGDSDTNNNNNNYSNSGDFVALGGLFEAAADMSELPWQPESLSAL >OMP06568 pep supercontig:CCACVL1_1.0:contig04885:4938:5699:-1 gene:CCACVL1_01508 transcript:OMP06568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALHSLSPIAFSCIVMVLLFLPTALSQDPFSPGPTIADCTPRLMALMPCAPFVQGAASIPTQSCCDNLNQLYSIQSGCLCLLLNESTLSAFPINRNLALQLPFLCKLPANLTASCSGVPSPAGPSGSQVSLGAKQNSSVASSPMLAPTVPVAPRPSIMGLGFGKSNAGRLKTQNLFPMVTSAAILLSGQALL >OMP06567 pep supercontig:CCACVL1_1.0:contig04885:2094:3993:1 gene:CCACVL1_01507 transcript:OMP06567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTITISHGSSKTSGNGVRILCDCPTRQSYTRLPARRHVIFPNSTRTFHLTFAAKKFSPRTGRFDSKNRRTNTTTKEQDEEQEQQGTAEIEEEGNVVVGFGFDDVSGVSSEVSVDGKPFPELPGLQPDLWEGPQWDVLGFVVQYLWAFGIVFGLISGGLAVATYNEGATDFKETPAYKESIQSRELLEEPDASNSDVFESNPTEVAPNLD >OMO74366 pep supercontig:CCACVL1_1.0:contig11136:49:1338:-1 gene:CCACVL1_16791 transcript:OMO74366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAKAPRRTLDSYTVKPINKTIKAGDCVLMRPADQSKPSYVAKIERIEADARGGNVKVHVRWYYRPEESIGGRRQFHGSKEVFLSDHYDVQSADTIEGKCTVHSFKSYTKLDAVGNDDFFCRFEYNSSTGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSD >OMO74367 pep supercontig:CCACVL1_1.0:contig11136:4726:18046:-1 gene:CCACVL1_16792 transcript:OMO74367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKRSEKRPESEHSPSTVFVTNLPYSFTNSQLEEAFSDVGPIRRCFMVTKKGSTEHRGFGYVQFAVTEDANRAIELKNGSSVGGRKIVVKHAAHRASLEQRRAKQGLLVASDDATKAENDKNVDEPISNPPKLEKPVQHKKAATLCADLADKENCSEKQRVARTVIFGGLCNAEMAEDVHRRAKESGTVCAVTYPLPKEELEQHGLAQEGCKMDASAVLFTSVKSAHAAVAMLHQKEIKEGVVWARQLGGEGSKTQKWKLIIRNLPFKAKVNEIKDMFSSAGFVWDVFIPHNPETGISKGFAFVKFTSKQDAEKAIQKFNGQKFGKRPIAVDWAVPKKLYSGGSNAAVASDDGKLNEKDEESDGSSIDMEEESGDADGDGGIASDDLNMSDTERTPAAVDIDKEVDIARKVLNNLMTSSSKDSPPLLDDTIPPKIDDKLNDETINLQNKLPIESATGSDMSKPEKPSKDKQTNAERTDGEDDLKRTIFINNLPFDIDNQEVKERFSGFGEVQSFLPVLHPVTKRPRGTGFLKFKTIDAAVAAVSAANAASGLGIFLKGRQLKVQEALDRKSAHNKELEKAKAEEHDHRNLYLAKEGLIEEGTPAANGVSASDMEKRKMLHEKKMTKLRSPNFHVSRTRLVIYNLPKSMTEKELKQLCIDAVTSRATKQNPVIRQIKFLKNVKKGKLVIKNQSRGVAFVEFTEHQHALVALRVLNNNPETFGPEYRPIVEFAVDNVQTLKLRKAKLHFQQQDAHDDRTNAQQNAELPPVDANPTKSRKRKSRDEKRAKKHPEFKKPEMENAIATEEGQATKKPKHNPAGEKRKPTSAKETSEGSNQKLKGLTRKQKDRQGGSRPRIDKVETTTNDTRKAETVLKPKGKTPPQQQAKQQEGEKFSKRKRNKNPSGREVVDKLDMLIEQYRSKFSQPKSETPDAEKQAALLQRLVKRKQKNDGTSVGSYNWEILSTIGECLIRQPKHGHLQELHKAIKSCEKALISADPNVIALGNYEQAHVFSSNSGGCAAFLSNYNMRSEARVTFNDMHYDLPRWSISILPDCKNVVFNTATVGTKTSQSQMVPTNVKLQSWETFNEDVYSVEDESAMTVKGLLEQLNITRDSSDYLWYTTSVQISSSESFLHSGKLPTLSVHSAGHGMHVFINGQLSGSAFGTKENRRVTFTANINLHPGLNKISILSVAVGLQNIGPHFETWNTGVLGPVVLNGLDEGQRDLTWQTWSYKVGLKGEQNSLGSPESAALIDWFQGYFTAQKQSPLTWHKMESMMANLSAHLFPVFYPCVNGASF >OMO70942 pep supercontig:CCACVL1_1.0:contig11769:13506:15437:-1 gene:CCACVL1_18560 transcript:OMO70942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIERYSDWGNQKSSSKRDSLDQILQLHSNNFPKELIGGLEKLDKFEKFEQQFENEHFDDDEDDYNQDDGGDDEAIY >OMO70945 pep supercontig:CCACVL1_1.0:contig11769:21766:25814:-1 gene:CCACVL1_18563 transcript:OMO70945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTALSRFKALKGHTGSLAAARYATSSALAALDTPLEGVSLPPTLPDYVAPSETKIKTLSNGVKIASEKLPNPAASIGLFVNCGSMYETPLSSGASHLLERMAFKSTTNRTHLRIVREVEAIGGSTSASASRECMVYTFDGLKTYLPEMVELLIDCVRNPAFVDWEVNEELRKLQAELEEVSKNPERLILEAIHSTGYSGALANPLLAPESALCRLNGKLLEEFVNENYTGKRMFLAASGIEHEELLQVAEPLLSDLPAGAYPQEPKSIYVGGDFRRQADAAGSTHFALAFEVPGGWNNEKESVILTVMQMLMGGGGSFSPGGPGKGMHSRLYLRVLNEHPEIQSFSAFNSIFNNTGLFGIYGSTSSEFVSKAIDLAVEELLLLAKEGVSRLMIKRAQETTKSAVLMNLESRMIVTEDIGRQILTYGERKSVQSFLKMVDEVTLQDISKLAKKLLSSPLTMASYGDIANVPSYESVSSKFHAM >OMO70944 pep supercontig:CCACVL1_1.0:contig11769:17063:18213:-1 gene:CCACVL1_18562 transcript:OMO70944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase (Class B) MALLLFLFLATTLTISHASEHTNLIPNQIHPLRPQSGAGGSNVAGLSCLSWRFGVETNNIIDWNTVPKECGDYVGHYMLGGQYRNDSRVVANEAILYAESLSLGKDGKDMWIFDTDETALSNVPYYAENGFGLTPYNSTLFNAWVLKGKAPALPETLNLYKKLMKLGIKVVFLTGRPDSQRDATAKNLKKAGYKTWEKLILREPSESGKAAVEYKSGHRKKLEESGYRIVGNIGDQWSDLIGTNPGNRTFKMPDPMYYIG >OMO70947 pep supercontig:CCACVL1_1.0:contig11769:32074:39181:-1 gene:CCACVL1_18565 transcript:OMO70947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVRSIYYTHKHFLLLNPTTRRTPVSFSFFPRHFHSSKPRLALSSSESDSTRKTWTVYDPLTGDLSTRSGEQTSSNGDIQSSSGDEVESDFETERTYGKAKNANLRNESDTRKKLSGRVRDSSKEKSDEISPNKAFSVDGSGSQGVVGNKKKGKGKVRWVCEKCGYSDGQWWGYCRSCESSGTMKSFSVVPEKETKNKGLEYSETMLRSWLPNDAGSVEPVSLMEVNRGIKESNNRIPLSGPFGDEVARVLGGGLVPGSLVLIGGDPGVGKSTLLLQTAGLIAERCDSNEPAPVVYVSGEESVQQICSRAERMNIGANKLYLYSGTDIEDILEKIKPLSPQALIVDSIQTVYLKEATGSAGGIHQVRECTSALLRFAKKTNIPVLLAGHVTKSGEIAGPRVLEHIVDTVLYLEGEKFSSHRLLRPVKNRFGSTDELGVFEMSQLGLQAVSNPSEMFLSDQNSDSEFLAGLAVTVIIDGSRAFLIEIQALCVSSSTVSRHVNGIQPTRADMIISVLAKQAGLRIQGNAVFLNVVSGVSLTETAGDLAIAASICSSFLEFPIPNGVAFIGEIGLGGELRMVPMMDKRVITVAKLGYKKCIVPMRAEKSLAALDLVDDIVLFWDLISGPSM >OMO70940 pep supercontig:CCACVL1_1.0:contig11769:3167:4540:-1 gene:CCACVL1_18558 transcript:OMO70940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MATESANLKLPVINLFDENSKPGTASWVSKCKDVRQALEEFGCFLTPYDTVSLHLQDQVFNSVQQLFALPTEKKVQNVSEKPYFGYFKHPLIPLCESMGIDEPTLLQGTQSFTNLMWPNGNKTFCESVHTYAKLVSQLEKTVKNMVFESYGVGKYYESHMESTSYLLRLLKYRVANEDETGNCGFPHADKSFLTILHDNQVSGLETKTKDGRWIGVEPSGSMFIVMAGDAFLAWSNGRIHSAVHRVIAKANKERYCLALFSFSGETIKAPEELVDEAHPLLFKPFENIDLLRLYSLDDLQRYTQKFNGEAKNGA >OMO70941 pep supercontig:CCACVL1_1.0:contig11769:11519:11629:1 gene:CCACVL1_18559 transcript:OMO70941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAERPPSPARRHHQEEHHLIYQDYQYWPVKFPIQ >OMO70943 pep supercontig:CCACVL1_1.0:contig11769:16345:16422:1 gene:CCACVL1_18561 transcript:OMO70943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVADRPRPHESHLCHIRHSLVHVP >OMO70946 pep supercontig:CCACVL1_1.0:contig11769:28799:31758:1 gene:CCACVL1_18564 transcript:OMO70946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSPMTAPDPKIITGDFGYVLEDVPHLSDYIHDDLPTHPNPLQDNPAYSVVKQYFVNVDDTVAQKIVVHKDSPRGTHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLHHMYGVTRVLGIEGGYRGFYARNTISLTPKVVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQKGASVIFEEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDSAVEEAQRAIRAAHVESESVENGIGLVKLMGRHSGFIAMYATLASRDVDCCLIPESPFYLEGHGGLFEYIEHRLKENGHMVIVVAEGAGQEYLYENTRNLDHKQDASGNKQLQDVGFWLSQKIKDHCSKQQKMTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYTGFTVGPVNGRHAYIPFHRITERQNKVVITDRMWARCLSSTNQPSFFSPKQLLEKKAEEESGENHMEDLAIK >OMO98526 pep supercontig:CCACVL1_1.0:contig07100:29701:30718:1 gene:CCACVL1_04206 transcript:OMO98526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKETDLEKIELKVSVNCCDGCKKKVKKALQSVEGVLKIEVDPQQPKVTVLGKVDPQKLIKRLSKVGKQAEQVRKSQNGAAPAEKKGEIAMAAAPNSVAKDKEHKSTSNEQAKPSSYSCANDKRVLQDEGGDKGTKKKEESKEQIMGNPNVPDARSYSYGSQYALTLPLPCYALAVPSSYAYAAALPLPLSQTQTQTHTCCTQECCHYQQPPLFHTPPATRVGDYFSDDNTLGCTVM >OMO98521 pep supercontig:CCACVL1_1.0:contig07100:4580:5173:1 gene:CCACVL1_04201 transcript:OMO98521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAKTLALKSKTKAIKTRLVIFSLLQNKKLLMTSISQKLNALMGHTHNNDHDTKLQLEDDVAGDGSEEQQRQAIVLYNRKNAMWVPSTSAPPQTADETTAEIQMALQAEDEEEEDKYPDLTHSLFESEEMEFEQNPGGSVIDIVKHSKEDKGEEFRLEDEIDRVADLFIRRFHRQMRLQKQLSLKRHHDEMLETSV >OMO98522 pep supercontig:CCACVL1_1.0:contig07100:8002:9875:1 gene:CCACVL1_04202 transcript:OMO98522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGKGNAPCITSALQKDVHQEIDNDVKKSSTEQRDALADEPKCVICGRYGEYICDETNDDICSLECKETLLFRVANSLPIGPSSAQRLPATDECFYVRDAAEKSGFSSLNNDQAESLRKKLDIHVKGDVVAAPILAFSSCGLPQKLLENLESAGFGMPTPVQMQVIPAALDGKSLLVSADTGSGKTASFLLPVISHCANVRVNNSSYHKRPLAVVLAPTRELCIQVEDQAKLLGKGLPFKTALVVGGDPMPRQLYRIQQGVELIIGTPGRLIDLLTKHDIELNDVKIFGLDEVDCMLQRGFRDQVMQIFRALSQPQVLMYSATMSPDIEKIASSMATNITVISIGKPNKPNKAVKQLAIWVESKHKKQKLFDILRSKHFMPPVVVYVGSRAGADLLANAISVTTGIKALSIHGEKSMKERREILRSFLVGEVSIVVSTGILGRGIDLLGVRQVIVFDMPSSIKEYIHQIGRASRLGEEGMAFVFVNEENKNLLPELIDSLKSSGSVIPRELVNSRYAVPFPSGKGCKKRKYG >OMO98527 pep supercontig:CCACVL1_1.0:contig07100:33094:34134:-1 gene:CCACVL1_04207 transcript:OMO98527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAISDPNPEGSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLLEQYPNRLEIVQLDDSNGEIRSDPNLSFDHPYPPTKTIFIPDKECQKPDLLATSSDFLRIWRISDDHSRVDLKSLLNGNKNSEFCGPLTSFDWNEAEPKRIGTSSIDTTCTIWDIERETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >OMO98523 pep supercontig:CCACVL1_1.0:contig07100:12707:16825:1 gene:CCACVL1_04203 transcript:OMO98523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MMRNGAQHAGDCSSSTSWSSSQQDTEDDQMIAAVLSEEYAKLDGAVARRLSGLAPVPHVPRINSFIPNISDASLDHQRLLQRLQIYGLFEVKVSGDGNCQFRALSDQMYRSPEYHKHVRKEIAKQLKDHRNLYEGYVPMKYKRYCKKMAKSGEWGDHVTLQAASDKFAAKICLLTSFRDTCFIEIMPQYQPPKHELWLSFWSEVHYNSLYEIQGAPVQKPKKKHWLF >OMO98525 pep supercontig:CCACVL1_1.0:contig07100:24601:26602:-1 gene:CCACVL1_04205 transcript:OMO98525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase, type I MASAAFSVAKPTIQANGKGFGEFSGLRSSSASLPFARKISDDFHSVIAFQTSAFGSNNGGYRKVVVQAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDDGISVDGKVIKVVSDRNPVNLPWGELGIDLVIEGTGVFVDRDGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADLYSPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPTLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFRESAANELNGILSVCDEPLVSVDFRCSDVSSTVDASLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >OMO98524 pep supercontig:CCACVL1_1.0:contig07100:20858:23190:1 gene:CCACVL1_04204 transcript:OMO98524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFLWTLCLSVVFLASGTMSAAPRRPVDVPFGRNYVPTWAFDHITYFNGGNEIQLKLDKYTGCIVHVKWPVGGKVAPLRTQDSGLGSQHYNRLTNTNFKDFVHNR >OMO95554 pep supercontig:CCACVL1_1.0:contig07656:2906:3016:1 gene:CCACVL1_05371 transcript:OMO95554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEGMDDYTQDGTVDLKGNPVRRSKRGGWKACGFV >OMP01336 pep supercontig:CCACVL1_1.0:contig06469:3018:5065:1 gene:CCACVL1_03112 transcript:OMP01336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKESILSGTYEFSSFVLREDPPKGVENFQIVPSPFPSLPNSYQRFFSNSEDELVLMAMSNVLCLSVRMPIPRYMGDALCLKEEYYFSTGSIDFLIGWGEIFNLIRIDFSQSAISLDSNVVLDSLHSVIFDRKLIDLFTAFCLSSTVVDNEKNPILGWFEGILPAGYISYILLNLVLDSWEKKLKVDYPTIKYYRFTHYAFIGLPYISSMSESTVELRESFSEMSLNGNPTSTIGRSPGYSNKLCFVWFSHVTTSVPIRSIRNGSVKHSTREPGLDSFVWTGNWADNPDVSRLDVSTPASPRASESEYGKTGTGSSATSTA >OMO98282 pep supercontig:CCACVL1_1.0:contig07134:14503:14619:-1 gene:CCACVL1_04261 transcript:OMO98282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVKAVTLAHPEFQAFSSIRFPAAILSVCHSLLFCLCSR >OMO75683 pep supercontig:CCACVL1_1.0:contig11014:212487:222979:1 gene:CCACVL1_16107 transcript:OMO75683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSGANEQSHKSHRSRQSGASAKKKSKDKNKNQNSDPKQQNPKAFAFRSNAKAKRLQSRAVEKEQRKLHLPVIDRSYGEPPPFVVVVQGPPQVGKSLVIKSLVKHYTKHNLPEVRGPITIVSGKQRRLQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKVMGVLTHLDKFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLIHGKYPKREIHNLARFISVMKFPPLSWRVSHPYILVDRLEDVTPPDRVQKNNKCDRNITLYGYLRGCNLKRGTKVHIAGVGDFSLAGVTGLSDPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHLVQYSKVDEMDGTTRKGKERDVGEALVKSLQNIKNPIDEKLEKSKISLFRQNPNGSLEIEVGEKDSDEAPEHVRHIEPIEEYQPGKDGSADSDLDGSMSSDQDDGVQEEAMLKSEGSDTDEETTASEQPGQIVEQGMNEESADDEEDEPDGTYEDDGSNEDTQSISGSEFSDGDNEDLNSDDGGLGNISKWKTSLLERAAKKQNINLMQLVYGKSSSTSNTSHEVQDDSENEGSDDDEFFKPKGEWKKNLKEGLDTDNINAEDCSKSTNYLELKNWKEEEVYKSVRNRFVTGDWSKGALRNQLSQSKTEEEDEDVYGDFEDLETGEKYETHQNDDASQKEDDASHKEDDAANEERKMKKLARQEETDTKNGVKNDRSQANASEYYDKLKEEIELQKQMNIAELNDLDEATRLEIEGFRTGMYLRLEVHDVPFEMVEYFDPCHPILVGGIGLGEENVGYMQTRLKRHRWHKKVLKTRDPIIVSIGWRRYQTTPVYAIEDQNGRHRMLKYTPEHMHCLAMFWGPLAPPKTGVLAVQNLSNNLVIFLLILNNFHVEIYFLVKNMEQASFRIIATGYVLESNHAARIVKKIKLVGYPCKIFKKTALIKDMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKEEIGNKPKKKGGQAREGIARCTFEDRILMSDIVFLRAWTQVEVPQFYNPLTTSLQPRQKTWQGMKTVAELRREHNLPIPVNKDSLYKPIERKPRKFNPLVIPKALQANLPFASKPKDIPSRKRPLLEDRRAVVMEPHERKVHALVQHLQLIRSDKMKKRKLKEEQKRKQLEAQRAKDEQVLRKRRREERQERYREQDKLKKKIRRHAES >OMO75662 pep supercontig:CCACVL1_1.0:contig11014:66323:68881:1 gene:CCACVL1_16085 transcript:OMO75662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTDFAANLIANYTGALLQHLIGLLLDITIPKLKTYFEKTELNNERLQELKTLLLTVYKVLADAEEKQITNNSFRKWLQKVSQIEDAAEANLKDKKYIHELIFEWSDVELLLDQNGDAHAPADDPCNNNESTGTVAVIME >OMO75681 pep supercontig:CCACVL1_1.0:contig11014:203726:205609:1 gene:CCACVL1_16104 transcript:OMO75681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6 MPLYDCMLLLKPKVTREAVADLLSRIGRHVYTRNGVLTEVKSFGTIQLGYGIKKLDGRYFQGQLMQMTMMATPNINKELHYLNKEDRLLRWLLVKHRDTKYGMDFIGEDDGKWDLSEVSSSSIYDENTDDDDEDSDEDSDEDSEGEDKNENYNKEDDDDDDDDDVDEYDYEDEDEDEDDDDDDDDDENQDSRGKD >OMO75669 pep supercontig:CCACVL1_1.0:contig11014:119379:122951:1 gene:CCACVL1_16092 transcript:OMO75669 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MDCSICSSMPFILRPPRNTICGACYEGARNVITLMNKLENDHSKGGDNKASNIPIASSPNSCKASSVFHPQPLANLTKWITSLKDIEDDLNKKISFLSGLVVTFREQIHTDIQLKPGNNGHCIPAHRALLAARSEIFKNMLDSDGCKAPPSDTITLPELNTEELESLLEFLYSGNLPSDKLEKHAYSLFVAADKYEIPYLQEFCERFLLNSLNASNALEILEISDICSNKILKETSLNFIVRNMEDIVFSARYEVFAPKNPHLGVQITRAFLVDAKSRRINGPQPHANQVGWMNDLKDKKLSFLSGLVEAFKEQIHTDIQLKPGNNGPCIPAHRVILASRSEIFKNMLDSDDCKAPVPPSHTITLPELNSEELKSLLEFLYSGTLPLDKLEKHVNSLLIAADKYGIQFLQEFCQCYLLNSLNVSNVLDVLETSEARSNKELKESAMNFIFRNMEDVVLSDQYEALAAKNPQLLMQITKGYFMNARSEKVSQALDRHESEDEELSDSDETDDDSEASEEHDDREWQMQVTTSLGRLEVQSQRIANRLDRMAGTLDRIETMLTTLVHNQQQPPPPQ >OMO75684 pep supercontig:CCACVL1_1.0:contig11014:225691:228238:1 gene:CCACVL1_16108 transcript:OMO75684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein 18 MEELRSAMEEHMEVMADLVQKLSSELRSGLRPAYDNFIGFFHAIDWKEPWLICLLAFHTVLLIITIFSRKNTNFQMCLFLLALAGVYLAETVNKFLGDNWKRFATQNYFDPSGLFLSVLWSGPLLAIAIIILINTLFSMCYLIVRWKRAELRHRARLARNKQD >OMO75676 pep supercontig:CCACVL1_1.0:contig11014:158067:165118:-1 gene:CCACVL1_16099 transcript:OMO75676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMNRDESESGLIEEEGFLFCSATKSGREDENHELWRCPGTPAFTPPECCFGVTLYYNGSRLLAESKT >OMO75677 pep supercontig:CCACVL1_1.0:contig11014:166174:168458:1 gene:CCACVL1_16100 transcript:OMO75677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKNPSPTTTFSLFTSRKTVAFAFSFTSALVVGFTALLLLNPSPWLQNFRQASSNRSYFSSLFSHFLPNSSQSNYYPLPVSQLQDTPFQISQETENFDGEKGWSLSSDSNNGSLQISPESERFDGKNESFSSEVISSGDLKGNASNTSTATFSQESNYTSQNLNANVTKYTGSSSQSLDFRESGKESKEGVLEKGSVSSNLALDGSIDESFEKLRKENFVEIMSHCRLFDGKWVRDDSYPLYAPGSCPYIDESFNCFVNGRPDRGYEKYRWQPNGCNLPRLNAKHMLELLRGKRVAFVGDSLGRNMYESLVCILTNSVEEKGIILEASHRHELRTGGSYYSILFEDYNCSVEYFRSPFLVREWQMLESNGSKRETLRLDMIDKSSDKYKTADVLIFNTGHWWTHDKTSKGKGYYQEGSTIYDRLNVKEAFRKALITWARWIDTNIDPVRTLVFFRGFSASHFRGGRWNSGGQCDGETEPISITNEKYLKKYPSKMRIFESVMNSMTTPILYLNVSRMTGFRKDGHPSIYRKQNLTEEERTSPTRIQDCSHWCLPGVPDTWNELVYAQLLMKHNLNQQQLSHQEQQQRRP >OMO75658 pep supercontig:CCACVL1_1.0:contig11014:3263:6651:1 gene:CCACVL1_16080 transcript:OMO75658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLRALLLARVKYFFAVRPYLFCVCPWTGLLILCLLQLSIYSQRMLRPYRSSLLKKDKNLVELMDENLPIYSKKEFKILQGSVEKFKRAKDDAEKQHGQLERKTVLEDKIVELKNCEALKDGILADTTGKRSNGAITKDVCRLHEYPVTSVYPNYLTCTQRHMNENTHGYGVNAIKEDQQWRAREIESPADPSPLSISPPSAPVPTMPPPVAVPNAQVPSNEEISVRSKENQLYRRPSSSMKERHHKVTFDFLAKLSASCEGKSRFEGMKRMETIYGPPLFPQRFAPPRKTCSEESQSDSLQKREEVKGVSSKTINDLELYELDLQDSFQFFFKEDDDEPCQVPVPALPKEVSKIVQDEPKAPQEDIKIDIPLLSPPRLSKPEDKPPDDEQLGNPELKDTSVRDFVDNQLLEHEDQDCFEINKFLEPKYYGEIKHEEWIPMDQFFLEDKKICQHEDTFQRREENNANQCRKHPLHQPLTATRMALSSLAIHGVPINKIGRQVSINSGLIRTAAPHARSVPASIAPPTHIAGPRPAISESSQAVTVPSPATSELPSVMHASARAT >OMO75670 pep supercontig:CCACVL1_1.0:contig11014:124325:126506:1 gene:CCACVL1_16093 transcript:OMO75670 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MAFYFNPAQSPAFGTTSFGTSGNASSFPTGAATAETKSLCVTASDGAKKAWIELRDEDYYKRRISFLNGFFVAFKEQILTDILLKPNNGPCIPAHKALLAARSEIFKNMLDSDGVKAPASDTDTITLSELNTEELESLLEFLYTGTLPIDKLEKHVYSLYVAADKYEIPYLHETCERYMLKTLNTSNAVDVLEISEAHSNKKMKEATLNFIVSNMKDVVSTLKYEDFASSNPKLCLQITRAFVNANANFCQGWHSKGWGIKN >OMO75672 pep supercontig:CCACVL1_1.0:contig11014:130441:131703:1 gene:CCACVL1_16095 transcript:OMO75672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVSLSLPFETLADYSYSSPPPPKKPYKYKSPPPPPPVYKYKSPPPPMHSPPPPYKYKSPPPPPPVYKYKSPPPPKEEPYKYKSPPPPPPVYKYKSPPPPVYKYKSPPPPPKEPYKYKSPPPPKEPYKYKSPPPPPLVYKYKSPPPPVYKYKSPPPPPKEPHKYKSPPPPPPVYKYKSPPPPVYKYKSPPPPPKEPYKYKSPPPPSVYKYKSPPPPVYKYKSPPPPPKEPYKYKSPPPPPPVYKYKSPPPPPLVYKYKSPPPPVYKYKSPPPPPKEPYKYKSPPPPKVLVYKYKSPPPPQGAIQEPYKYKSPPPPPPVYKYKSPPPPKEHYKYKSPPPPPPVYKYKSPPPPSPHYVYSSPPPPHHY >OMO75673 pep supercontig:CCACVL1_1.0:contig11014:137609:138135:1 gene:CCACVL1_16096 transcript:OMO75673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPNSPGGRNMNCCPTTTRKGEGGFMNMLCRALGCCGLLSACYEPRTSN >OMO75674 pep supercontig:CCACVL1_1.0:contig11014:143172:144903:-1 gene:CCACVL1_16097 transcript:OMO75674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven-in-absentia protein, sina MAPGGGICKDVIESRIAFADYDMATSSAELRGSPLRKAATGLGGTFGAASTNDVHELLECPVCINLMYPPIYQCPNGHTVCSICKARVHNSCPTCRNELGNIRCLALEKVAESLELPCRYQILGCHDIFPYYSKLKHEKNCRYRPYNCPYAGAECSVTGDIPFLVMHLKNDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFHIGMAPVYMAFLRFMGDEDEARQFSYSLEVGGNGRKFMWQGVPRSIRDSHRKVRDSQDGLIIQRNLALFFSGGDRQELKLKVAGRIWKEQ >OMO75675 pep supercontig:CCACVL1_1.0:contig11014:157169:157456:1 gene:CCACVL1_16098 transcript:OMO75675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEMSSLADSLMRVFMFVIVQGLVYLILSNSSDIFSNNKKRSLSFKPARSLSIRRILASISDLPQGVEPSPTPRSLRSSPSQEFPTVEEYETYQ >OMO75679 pep supercontig:CCACVL1_1.0:contig11014:191773:193448:-1 gene:CCACVL1_16102 transcript:OMO75679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDCLGKPGYPLGQNGLVPKPELYSGQNAIVAVNVHLEYNQEDSLVMNRASLERGMFRSEHVRSYKAEVDNREMKDKKRKLGDIVMFGKIQSKIGRVDSLDDDGFPYVGASLQSGDIVIGRCAESGPDNSKADSNGAEPRDHSIKLKHTERGVVQKVVLSSNDEGKNFAVVSLRQVRSPCLGDKFSSMHGQKGVLGFLESQENFPFTTQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIACGGSLKYATPFSTVSVDAIIEQLHRAGFSRWGNERVCNGRSGEMVRSLIFMGPTFYQRLIHMAEDKVKYRNTGPVHPLTRQPVADRKRFGGIKFGGMERNCLIAHGASANLYERLVTLSDSSHMHVCKECQNAVNVIERTVAGGRKIRGPYCGYCQSVDDIVRVSVPYGAKLLCQELFSMGISLKLETQMC >OMO75667 pep supercontig:CCACVL1_1.0:contig11014:111924:112603:1 gene:CCACVL1_16090 transcript:OMO75667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing protein MAWKLAELHSLSHFKIEGGCQNLVSFPEEGLLPTNLNSLRISRLLNLKYLEGGALQKLSSLKTLEINGCNELNSLPEHELPFSLSSLTIRNCSLLNPKLQERRGREWIKISRIPSTHLDDEVSD >OMO75687 pep supercontig:CCACVL1_1.0:contig11014:257255:259319:1 gene:CCACVL1_16111 transcript:OMO75687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin MALLDDIEALNKAFSGFGVDETSILSILGNSNLEHKKSLRQGWSKFFSEDEYGFERFDPSHVKSLKLEFKRFRDAVMLSVLHPWERDARLIEKAIKKGPKHYNIIVEIACSRSSEELLGARKAYHSLFDQSMEEDIAAHVKGSERKLLVALVSAYRYEGPKVHDHVAKCEAEILATAVKNGDRKKPIEEEEVIMILATRSKPHLQEIYQHYHKICAKSITEDLEGHGILKDTVECLCTPETYFTRVLDLGLSVDADEESKKAVTRVIVTRKGALVKDVQNKIEGCLKGFYKDFMLGLIARGEK >OMO75664 pep supercontig:CCACVL1_1.0:contig11014:81326:81451:-1 gene:CCACVL1_16087 transcript:OMO75664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANEPQDATIFNFSPRKANEGQNIAASEPLDAAKWRRLNP >OMO75663 pep supercontig:CCACVL1_1.0:contig11014:77486:80391:-1 gene:CCACVL1_16086 transcript:OMO75663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIHLPLITIVFLPIFFFQETLDLLSFPATIAHILLLFSKIPLRSFSAVALRYGYKSEVVSSHEKRQFSS >OMO75665 pep supercontig:CCACVL1_1.0:contig11014:81777:81860:-1 gene:CCACVL1_16088 transcript:OMO75665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASESLDAAIFNLSPRNHKTPLNGGV >OMO75659 pep supercontig:CCACVL1_1.0:contig11014:20044:20106:-1 gene:CCACVL1_16081 transcript:OMO75659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYGQRDSNLDGVHEESEP >OMO75688 pep supercontig:CCACVL1_1.0:contig11014:267768:277826:1 gene:CCACVL1_16112 transcript:OMO75688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGKLVLSRTEGEPYVFTFGKSQHNDNNVRFSVTLGNTNTNSNAAAELRNQSSTGISPKGEAKSESFNRCRRH >OMO75686 pep supercontig:CCACVL1_1.0:contig11014:251511:253393:-1 gene:CCACVL1_16110 transcript:OMO75686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin MKSFKKIFSTKSKSSHSPESSFKIGGMGSLKVLDVTPSPEEDSEKLKKAFQGLGTDEEGIIEILGHRDASQRKKIRETYQKLYNESLIDAIHSELSGDFRKAVILWTYDPSERDARLANEALNSKKRGIKNLEIIVEMSCASSPQHLAAVRQAYCSLFDCSLEEDIVASVSSMPLKKILLGLVASFRYDKELVDMDVANLEADRLHEAIKTKELVHDDVVFILSTRNFYQLRATFDCYQKKHGNSIDKDIMKLGKGDLESLLKMVILCIDTPEKHFAEVIGTSIIGLGTDEDSLTRGIVTRAEIDMMKIRGEYMNIYKSSLDDAVIGDTSGDYRRFLVTLLGGKI >OMO75660 pep supercontig:CCACVL1_1.0:contig11014:27156:38218:-1 gene:CCACVL1_16083 transcript:OMO75660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAINSKGNPTEKGTSRSQGEDSKKGLYLSWLLSWYIQPESDDFSHG >OMO75666 pep supercontig:CCACVL1_1.0:contig11014:105146:111292:1 gene:CCACVL1_16089 transcript:OMO75666 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Disease resistance protein RPS2 DSLNIPSAFFERMNALRVLLLQNVIFSLDALQFLPDLRTLRLHRCKLMIKNISSLGHEKKLNKLEILQLYGTIDELPEELVELCTSLKSLNFDSYRSRCKISPNLVSRLSLLQELYVPNGGNNVNILELKSLPRLTALTLPIGTNVEYLEESFAFPKLQRYSISVNDDLDLLNLRLRSLRIRDFSYSLRAFKELFCNMEMLVLTNVEKLRSLEDLECLVDTTVTPNGFLQEMKVLRFERCRQLQWVYQVKERRYEYDETRLFPELLRSLELYSLPELWSIWKEPVVSQDATISSCLQSLKFVRISDCHKLKSIFSGCPVYSLLHLQDLRIYKCEGLEQVFDFAKEMTSSLEAPAPTVSKLKLSSLPELKCIWRPTHNVNLQSLTHMRIHKCDKLSYLFWPSLAQTLAHLEELKIETCESLEHLIKEEQRDETVSNMQQRLPLCWPKLKTLEISGCKSLKYVFPVTLAQGLPHLESAEIIDCPKLMQVFDMAKEREEEDTVLPRLLVLKLKSCPLLTRFPIRQGIPLQVHLEDVSLSASKPDNDQKKTLSSEDEQQHPSLSLSPEDGERTALSSKNEDRRRGEATLILSLNFNFNAAEDKYYFVNLNLEFECFKL >OMO75668 pep supercontig:CCACVL1_1.0:contig11014:114363:115699:1 gene:CCACVL1_16091 transcript:OMO75668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAWFYRKIFVPMLCLSLFCFALPCCVKSDELRILLNLKSALNKSTTPNVLDSWEPAANSVCSFNGITCNAQGSVEEIQLSNQNLAGVLPLDSICQLQSLNKLSFGFNSLYGAITEDLNSCVKLQYLDLGNNLFSGPFPHISSLSELRYLHLNSSGFSGTFPWNSLENMTGVAVLSLGDNLLDRSPFPHQILQLKNLTWLYLGNCSLEGKIPPGIGDLTELINLELQHNYLSGEIPVEIGKLHKLWQLELYENELTGKLPVGLRNLTNLENFDASINKLEGDLSEVGFLTNLITLQLFNNQFTGEVPPDLGEFRKLNKFTGEIPATYANCTSLLRFRVSNNSLSGIVPSGIWGLPKVAIIDVSFNQFEGPITSDIKNARQNSILLADQ >OMO75685 pep supercontig:CCACVL1_1.0:contig11014:242165:251229:1 gene:CCACVL1_16109 transcript:OMO75685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSITGVIKVYKSTGEVKEKKEIVLRLINEEEIPVTFWEHHMKKLVPDQLIGQETKPVFAHKTPVLVIGDDNAGSGDANEPQRISIDRLLYLTAPNVESAQSQPMEQSAYSRHETHISGSHIVRLYEASTSNLISCSTIDPSTQSGSQPMDPLVEVPHVPFRTSAPHKRTIFSSDYFGGPDVVCRLCHAHMWSKERVQPAITVQFPVFSLCCKQGLTCLSEGGGFHFPPCHMLNLSGFRILLECPLDLSSLGIFAPVPVAPEPHGSLDADLVFSKKQKVEKPLDAKYLVHAVPWYKTVRNLHLWDVSFIDVVLISSPMGMLGLPLLTRTKDFSAKVYVTEATARIGQLLMEDLVSMHMEFRQFYGPEDSSFPQWMRWEELEGLPSELKKIALGKDCEELGAWMPLYSAGDVKDCMMKVQTLKYAEEACYNGTLIIKAFSSGLDIGTCNWRINGPRRNVAYISSSIFVSTHAMDFDFLGPQGSDLIIYSDFSSLDATENMENDAYFNPITCTSSNTSIDVNNMEETAAYLLKDDESTKEMEKLAFICSCALESVRGGGSVLIPVDRLGIVLCLLEQLSDLMESSSLKVPIYIISSVAEELLAFTNIIPEWLCKQRQDNLFSGEPLFDHTKLIKERKIHVFPAIHSPELLTNWQEPCVVFCPHWSLRLGPVVHLLRHWCSDPNSLLVIESGVDADIALLPFKPVEMKVLQCSFLCGIRLHKVKPLLRTLQPKLVLIPKDLGGKIQISEENTIFLYSENDETLCIPSPKESTSIEIATDLASGFQWKMLEEENITRLEGELFMDHGKHRLLSRSQPADSNQQRPLLHWGSPDLKRLLTELSKMGINGTIKQVMDDAESVSAAGIVDIHDPKKALINVQETGTVIISADENLASDIVKAIENVLDGI >OMO75678 pep supercontig:CCACVL1_1.0:contig11014:178905:181699:-1 gene:CCACVL1_16101 transcript:OMO75678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MKKTENRNFIELVSELLASVEEVASLAKESESEREIFSEFARLLYKLAPILSEIRDNKDVMDTATIRKAIESLEKELRRAKTLIKSPDSKQPNIWIEDVIQDLGRSIGLVLFASIDLHLDMKEKIGLLHKEFMNAKFDASLSPSPCPSASPSHDSEFVSATASEKEIEEEGIEIAEGRTEIEEERTNLTIDDVVLQFKYGNDEELNFALLSFNESIRQGLITNEWINEEGIIPIIVNRLASCKPNNRLIILRILRNLALENAVNKEKMADAASLSALVKSLTRDVEERREAVGLLLDLSDLPAVWRRLGRIQGCIVMLVTMLNGDDPIASDNAGKLLNALSSNTQNALHMAEAGYFKPLVHYLDEGSDMTKILMATAMSRMELTDQSRASLGEDGAIEPLVRLFNAGKLEAKLSALNALQNLSNLSDNIQRLISSGIVVSLLQLLFSVTSVLMTLREPASAILARIAQSESVLVNKDVAQQMLSLLNLSSPVIQYHLLQALNSIAGHSSASKVRRKMKENGAIQLLLPFLTENNTKIRTGALNLLYTLSKYLPEDLTEQLGEFHLNTIVNIISSSPLESDKAAAIGILSNIPIGNKKVTEVLKKANLLPILICIMNSSPSTLTSTWQWLAEGVAGILIRFTVPSDKRLQLLAVENEVIPLLVKLLSNGSLAAKSLAATSLAQLSQNSLSLRKSKKSSWFCVPPSTTAFCEVHDGYCFVKSTFCLVKAGAIPPLIQILEGKDREADEAVLTAISTLLQDEIWENGSNYIAEMSGVQAIIKVLESTTVKAQEKALWILERVFKVEEHRVKYGESAQVVLIDLAQNGDPKLKSSTAKLLAQLELLQLQSSYF >OMO75682 pep supercontig:CCACVL1_1.0:contig11014:206701:210853:1 gene:CCACVL1_16106 transcript:OMO75682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGQSLLASAGINIGLAVLILILFSILKKQPSNAPIYYPRPLSKQNRPIFPPFSLSRFIGPSFSWIPRAFRVSEDEILDISGLDALVVIRLFKFGINFFSVSSVVGLLILLPINYAGQDDPSATERCLDSCTISNIKRGSNRLWVHFICLWLISIYGLYLLYREYSVILVRRIQRMRILRNRPDQFTTLVRQIPICNEHKARGCCVDHFFSKHHPYSYHSYQMLYDGKDIEVLLVKRRTVKKSIGEMLLWDKRRDDAEKAALLEEKLQELFHKIHHLQSEEMLKTKELPVAFVTFKSRWGAALAAQTQQHTNPLLWITETAPEPNDVSWRNLAIQYKFLPLHKIGVILAASLLTVFFAVPVTAVQGIAKFEKLKKWFPPVKAIELIPGLSSVVTGYLPSAILKGFLYIVPFAVLAMCKVGGSISKSKEEIKACNMVFYFLVGNVFFLSLISGSLLDEIGQSFIHPKDLPSHLAALASSQADFFMTYILTDGLSGFSLEILQPGLLLWDLIKSHTFNRGKEKELYLYSLPYFRIIPTVALSILIGIVYAVIAPLLLPFLIVYFFLGYAVYINQIQDVYETVYDTYGQFWPFIHHYIFVAIILMQITMIGLFGLKSKPAASIATIPLVLLTIMFNEYCKIRFLPAFQRYSIQNAVENDEVDEENGQMEGSYEKAVGVYRQPSLRQVNFTQSGSILTQPLITSL >OMO75661 pep supercontig:CCACVL1_1.0:contig11014:46729:59945:-1 gene:CCACVL1_16084 transcript:OMO75661 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase TRAF7 MASSMEAISLSPPEELRLSSRHRSAPPPLPEETRHRRLEPTQTNQKSSLSLQNIKTLSYGNLKLEETDVTRT >OMO75671 pep supercontig:CCACVL1_1.0:contig11014:128650:128712:1 gene:CCACVL1_16094 transcript:OMO75671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQQSKLQVQSPNQIKVSL >OMO75680 pep supercontig:CCACVL1_1.0:contig11014:199116:202327:-1 gene:CCACVL1_16103 transcript:OMO75680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSPDGKGSVGMTSMDLDDNDDMFNELFDVGEEYLRSFCRQTAVAFFNHYGVISHQINSYNDFIKYGLQKTFDSFGEYEIQPDYDPSKKGDGDWHHAKVKFGKVSVVRPTCWAVSGGTETELNMLPRHARLQNMTYSSKMKVEVELKVYTKKIVKSDKFKTGREEIRVEEIVYQDKREIFLGRLPVMVKSDLCWMSEVEKADCDFDHGGYFLIKGADKIFIAQERISLKRLWISNSKGWIIDYRSEVKRSKLIIRLEDGSKVDFIKGGDKVLNVDFLSTSIPVWILFFALGVSSDKEVVNLIDYGSTDFGISNILFASIRHADGSCADFSKQGNALNHLSKLVKASSFPPEEEDMEECLRLYLFPSLHNSKQKARFLGYMVKCLLQAYTGRRKCCNRDDFRNKRFELASELLERELKVHIAHARRRIGKALQRDLNPNCTVRPIEHYLDSAIVTNGLLRAFSTGAWSHPYKKERISNVVANLGRGSVHREGWRCQIPVCFLSTPDGENYGLVKNLATTGLVSTYIVESIVDKLFDSGMEKLVNDTSSSLDGKDKIFLNGEWVGVCEDSLSFAAEVRRKRRMTELPHQVEIKRDHEHKEVRIFTDAGRILRPLLVVENLSKIKAFKAGKNFTFQSLLDKGIVELIGTEEEEDCCTAWV >OMP07144 pep supercontig:CCACVL1_1.0:contig04636:790:894:-1 gene:CCACVL1_01366 transcript:OMP07144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVATIKRLATTAGRYALLSRDPYSNQKTKGPY >OMO65360 pep supercontig:CCACVL1_1.0:contig12662:3995:4753:1 gene:CCACVL1_21542 transcript:OMO65360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaL, reaction centre subunit XI MAATASPMASQLKSSFTSSVTRGLLVPRGISGAPFRVSPGKKSLPCFTVKAVQSDKPTYQVIQPINGDPFIGSLETPITSSPLIAWYLSNLPAYRTAVNPLLRGVEVGLAHGFLLVGPFVKAGPLRNTEVAGAAGSFAAAGLVVILSICLTMYGVASFKEGEPSTAPSLTLTGRKKEPDQLQTADGWAKFTGGFFFGGISGVVWAYFLLYVLDLPYYFK >OMO65361 pep supercontig:CCACVL1_1.0:contig12662:5418:9079:1 gene:CCACVL1_21543 transcript:OMO65361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MAMPAKPPTTPFCHQLQCSLYSPTASLSLLFGGSSKSRAQRLCRKPLRLNCSRSNGNEPQDDYLIDAPVSAGDGFSFSGGKYSDEPNPADEWFKQGKFVKAHPVGGTGEKAKDPIFGLTMGAGSQSSGDLFRWFCVESGNEDNPAIVLIHGFPSQAYSYRKVLPVLSKNYRAIAFDWLGFGFSDKPQPRYGFDYTLGEYVSALESFVNEVAPNKVSLVVQGYFSPVAVKYASNHQEKLNDLILLNPPLTTKHANLPSTLSIFSNFLLGEIFSQDPLRASDKALLSCGPYSMKEDDAMVYRRPYLTSGSSGFALNAISRAMKKELKAYVEEMQTILTDENWKVRTTVCWGQRDRWLSYDQVEEFCKDSNHKLIELPMAGHHVQEDNGEELGGIISGIISRRIFT >OMO89837 pep supercontig:CCACVL1_1.0:contig08598:5929:7293:-1 gene:CCACVL1_07594 transcript:OMO89837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-ketoacyl-CoA synthase MELFMTICFIFLYCSIFFICKLFLQRRNQCCYILAYECYKAPEDQKLDTETCGKLIMRNKNLGLEEYRFLLQAMVNAGIGEETYGPRNVISGTEESPTLPEALSEIDDIVFGTLDKLFAKTGVSPSEIDILVVTISMITSSPSIPARVINRYKMREDVKVFNLTGMGCSASVIAVDLVQNLFKTYKNAFALVVSSESLSPNWYCGKERSMMLPNILFRLGGCSLLLTNKRSLSNQAMLKLKHSVRIHAGESDEAYQSCTRIEDSQGYCGFFLTKSLPKAAAKAVAMNLRILVPKMLPLRELARYAIVSYWRSKSKTAPEVAGAGLNLKTGVEHFCIHPGGRAVIDGMGRSLGLNEHDLEPTRMALHRFGNTSAAGLWYVLSYMEAKKKLKKGDRILMISLGAGFKCNNCVWEVMKDLEDVNVWEDCIGHYPVKSTSNTSFLEKYGWVNEPSKLN >OMO89841 pep supercontig:CCACVL1_1.0:contig08598:21569:23371:-1 gene:CCACVL1_07598 transcript:OMO89841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex protein Exo70 MVSSPLHHTFSESMMEENIEIAESIITKWDANPSSLTRVISLFGQNRREAKEYLKCVRGLRGAMHFLVAQNTGSDKLILAQKLMQMAMKRLEKEFYQILSSNREQLDPESVSSLSSDGSTSFGDEHEIESEDEAELKKAGASITEVERVSALAMSDLKAIAECMSSSGYGKECVKIYKVFRKSIVDRGLYLLGIEKFRSSRINRMNWEALEHTVKNWLNAAKIAVKTLFNGEKILCDHVFSASEKIREACFAEITMEGATNVFKFPEIIAKNKKAPERIFRLIELHEAISELWPDIETIFDSQLTSSIKLQALSSLHKVGDSVCTLLSNFKSSIQKDSSKTLAPGGGIHPLTRSAMSYISSLSNYGEILSVIIVDHPPKEHSPLPESETPTSIDGPTSAVSIHLAWLILALLCKLDRKAEQYKDVSLSYLFLANNLQFIIDQVNTSNLKYLLGDEWVSRHTRKVKQYANSYVSMAWNKVFSSLPERSFALSPDAVKDCFRKFNAAFEEAYVKQISWIVPDGKLRDEIKVSIARKLAPAYREFYETNLVALSGEKHLEVLVRVAPDDLGNYLSDLFHGTPFSSSSLSLSSSSAHSRGCLPR >OMO89839 pep supercontig:CCACVL1_1.0:contig08598:15238:16593:-1 gene:CCACVL1_07596 transcript:OMO89839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-ketoacyl-CoA synthase MMEPFMTICLMFLSFSIFFTCKLFLEKRNQCCYILGYECYKAPEDQKLDTETCEKIIHRNKNLGVEEYRFLLKAGVNSGIGEETYVPRTVIEGREQFATLSDALSEIDDAVFGTLDKLFAKTGVSPSEIDILVVTISMITTLPSIPARVINRYKMREDVKVFNLSGMGCSTSVIAVDLVQNLFKTYKNAFAVVVSSESLTPNWYCGKEKSMMVPNILFRVGGCSLLLTNKRCLRHQAMLKLKYLVRIQAGARDEAYNSCTRIEDAQGYGGFFLAKDLPKAAAKAVTMNFRVLVPKMLPLRELVRYAIVSYWRSKTSTERASPGAGLNLKTGVKHFCIHPGGRPVIDGLGKNFGLNEHDLEPTRMTLHRFGNTSVAGVWYVLSYMEAKKRLKKGDRILMIGLGSGFKCNSCVMEVMKDLEDVNVWEDCISRYPVESTSNTSILEKYSWINDS >OMO89840 pep supercontig:CCACVL1_1.0:contig08598:18378:20762:1 gene:CCACVL1_07597 transcript:OMO89840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase/Amb allergen MTSLPYADVDSSLRAIAGKAEGFGRLAIGGLHGPLYSVTSLSDDGPGSLREGCRRTGPGWIVFEVSGTIQLSSYLSVNSYKTIDGRGQRVKLTGKGLRLKECENVIVCNLEFEGGRGHDVDGIQIKPNSKHIWIDRCSFKDYDDGLIDITRGSTDITVSRQQIERRQAQA >OMO89836 pep supercontig:CCACVL1_1.0:contig08598:2097:3404:-1 gene:CCACVL1_07593 transcript:OMO89836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-ketoacyl-CoA synthase MRHQSCYLLAYQCFKPTDDRKLDTEACVRVVLRNKNLGLDQYRFLLQTMINSGLGEETYGPRNVLAGREESPTLEDAHSEMDELMFDTLDKLFAKTGVSPTEIDILIVDVSLFSPSPSLTARIVNRYKMRDNIKSFSLSGMGCSASMVAVDFVQHLFKTYKNSFAIVVSTETIGPHWYCGKEKSMMLSNCLFRSGGCSFLLTNKSSLKNQALLKLSHAVRTNIGSNDEAYGCCMQIEDEQGYQGFLLTRSLTKAAAKAFSLNLKVLVPKILPIRELLRFAIVSFYKSKSKSSTNESARAGLNLKTGIEHFCIHPGGRAVIDGLGISLGLSEYDLEPARMALYRYGNTSAGGLWYVLGYMEAKKRLKKGDRILMISLGAGFMCNNCVWEVMKDLEDPNVWEDCLDRYPVSRKNLVNPYAEKYSWINDECLNYVRMD >OMO89842 pep supercontig:CCACVL1_1.0:contig08598:34656:35992:-1 gene:CCACVL1_07599 transcript:OMO89842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29 MARIKVYELRQKSKNDLLSQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKSALREVYKNKKLLPLDLRPKKTRAIRRKLTKHQLSLKTEREKKKELYFPLRKYAIKA >OMO89843 pep supercontig:CCACVL1_1.0:contig08598:49564:52444:1 gene:CCACVL1_07600 transcript:OMO89843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELENGAVVYEEEFISNDRGGQLFTCKWVPKDKEPKALIFICHGYAMECSITMNSTAIRLVKAGYAVYGIDYEGHGKSSGLKGFISTFDNVVNDCSNYFTQICEKKENKKKMRYLLGESMGGAVLLLVHRKKPEYWDGAVLVAPMCQDVIDLAFKQPEVRAQVRANKYCYKGRPRLNTGNQLLITSLALEKRLNEVSLPFLVLHGEDDKVTDKGVSKLLYDVAESSDKTFKLYPGMWHGLLYGETPENIEIVFGDIINWLNKRSEAGNSRLEMELKHQHDEYPLQSKVL >OMO89838 pep supercontig:CCACVL1_1.0:contig08598:14257:14708:1 gene:CCACVL1_07595 transcript:OMO89838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPYADADKTLKAIAGNAEGFGRLAIGGLQVPLLSPTFLSGIV >OMO94233 pep supercontig:CCACVL1_1.0:contig07935:30846:31341:-1 gene:CCACVL1_06105 transcript:OMO94233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPIFTLCDPASCLNGEPLDDLGAGQPILLNRALGLR >OMO94226 pep supercontig:CCACVL1_1.0:contig07935:2158:3333:-1 gene:CCACVL1_06098 transcript:OMO94226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEASSMVSNAKFNFAAETSDSGNSNYPLRASKRARHEITNIASSSSSSARSKGVVPQQNGHWGAQIYANHQRIWLGTFKSEKEAAMAYDSAAIKLRSGDSHRNFPWSDQNIQEPNFQNLYTTEDVLNMIRDGSYQAKFAEYINIVSKSKDGIMLGTNVSRNLVLNGDVQFSCMQLFQKELTPSDVGKLNRLVIPKKYAVKYFPYICENDQVGGNGVEDIELVFYDKLMRTWKFRYCYWRSSQSFVFTRGWNRFVKEKKLREKDIITFYTCECPAAAGGGENFDGQNFFLIDVNHYNDHNDDHGEQSNCINTEENLVFNRLGFSSNVDTHTHEDLQQQVELELELELNLGKNFNCRIESGNSQLDEENEALSGNNVEGKRIITLFGVQIN >OMO94229 pep supercontig:CCACVL1_1.0:contig07935:15017:16799:-1 gene:CCACVL1_06101 transcript:OMO94229 gene_biotype:protein_coding transcript_biotype:protein_coding description:TAFII28-like protein MNKSKDPFEAALEEQEDSPPDSPIAQDELDTQTPIQTQNQAPAGRNILFDDDDYDEPSTDVTNPSHPSSDSTPMLLSANVNANVSAGTTAAATTKNKEYDDDEEEENVDVELSKFPSSADPTKMAKMQAILSQFTEDQMSRYESFRRSALQKSNMRRLLVSITGSQKVSLPMTIVVCGIAKMFVGELVETARMVMTERKESGPIRPCHIREAYRRLKLEGKIPKRSVQRLFR >OMO94227 pep supercontig:CCACVL1_1.0:contig07935:6258:7267:1 gene:CCACVL1_06099 transcript:OMO94227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide transporter PTR1 MTIGFAGVSSSFPGVILRPILPPVIIDTGSSPSPESSIPEDVTLSVTKFRKSY >OMO94228 pep supercontig:CCACVL1_1.0:contig07935:8108:10874:1 gene:CCACVL1_06100 transcript:OMO94228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MAATSDSANEIELKANEDNTSSMQIVEEIVERNDHQEITEEEEKPAKSLIKEKKRGGWTLAFLLLVNQGLATLAFFGVGVNLVLFLTRVLEQNNADAANNVSKWTGTVYLCSLIGAFFSDSYWGRYFTCAISQVILVVGLGLLSITSWLFLINPAGCGDGFKLCQSPSTLGVAIFYLSIYLIAFGYGGHQPTVATFGADQFDESDPKSTDNKAAFFCYFYFALNVGSLFSNTILVYYEDTGKWTLGFLVSLGAAILGLSLYLLGSPRYKYIKAYGNPLPRVGQVFVAAYRKSSVKAAHPDDLFLDKAATITQNDTDVTNNPWRLCTVTQVEEAKCVLKMLPIWLCTIIYSVIFTQMASLFVEQGDVMKSHLGSFHLPAASMSVFDIGSVLICTGLYRQILVPLAGRLSGNPKGLTELQRMGIGLVIGLLAMVAAGVTEIQRLKYVIPGEKKSTLSIFWQIPQYVLVGSSEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSLLVNVVMHITARGESPGWIPADLNTGHMDRFYFLIAALTVVDFVIYVFCAKWYKCINLEPSEKELQLEQQQNDVLEKV >OMO94230 pep supercontig:CCACVL1_1.0:contig07935:17526:20767:1 gene:CCACVL1_06102 transcript:OMO94230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIMAMFGGSSISYSRSKGQPRCPLREKYLQKKNSELSDRFIPMRSAMDFSYAHYMLTTEGNKIKESEGEPSAYQKVLAEALNMNRTRILAFRKKPPKPVDFFPPENSSTSVHSTKSKPQRHIPQKSERTLDAPDIVDDFYLNGLDWGCNNVVSIALGNRVYLWDASDSSTSELVTVDDEIGPVTSVSWAPDGQHLAIGLNNSEIQVWDSVSNRKVRTLIGCHSGRVGSLAWKNDHILTTGGMDGQIVNNDVRIPSHVVGTYRGHRLEVCGLKWSTSGQHLASGGNDNLVHIWDRSMASSNSPRQWLHRLEDHNAAVKAMSWCPFQSNLLATGGGEGDGTIKFWNSHTGACLNSVVTGSQVSALLWSKNDRELLSSHGSPENQLTLWKYPSMVKMAELSEHTSRVLHMAQSPNGCTVASAAADERLRFWNVFGVSKVPKSAASGRNNMPFSHLSLVR >OMO94234 pep supercontig:CCACVL1_1.0:contig07935:32662:32739:1 gene:CCACVL1_06106 transcript:OMO94234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKFGWLSKEAALQFSVKRKGGSG >OMO94232 pep supercontig:CCACVL1_1.0:contig07935:24944:27598:-1 gene:CCACVL1_06104 transcript:OMO94232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSRAASGAEMKNGGATGNSRVDLTLKLGLPADDSNQQNQQVCPGQFPAVLMALDHLANPSFVGTPNTQPQTQAVCAQVPLATMAPNHPTSIVGPSTQPQVQQGMNEPAAGMVDQGAIGAAQLGQQSFMNGGSQNAWPPSGPMAINAFNQFSGPYMGFPSYATNPFPFNNFAPPTAYYPPPPPPPGPANTCVLIDVPASRRTDGDVGSSSGSGRRGGRRQRGVNYNDPNKRCTNYNCGTNNTPMWRKDVVQRLRHQAQERRGEKKKRITYGSSQRLALVDLNA >OMO94231 pep supercontig:CCACVL1_1.0:contig07935:23102:23253:1 gene:CCACVL1_06103 transcript:OMO94231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGAPDRRHFALGTEKIRKPGM >OMO87330 pep supercontig:CCACVL1_1.0:contig09247:434:4082:1 gene:CCACVL1_09121 transcript:OMO87330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEEWIEDVRREVKILKALSGHKHLVRFYDACEDDKHVYIVMEFCEGGTLGNRLSARKTRCTEEEAKAVIIQILSLVSFCHLQGVVHCDLKPENIIFTSAGEDADIKLIDFGISNFYRPGKSLDDPAGTALFMAPEVLQKSYGLEVDMWSIGVITYYLLGGRWPFWASTKSGIRRSVQSSNPNFDDEPWPSVSPEAKDFVKRLLSKNCLNRMSAVQALTHRWLRDKSPQIPLDIYIFRIVTGYLHTKPLRCAVRKAISKALPEDELVYLKAQFRLLEPNGDGSVSLENFKMALARNATPLMDESSWAPYILRGMEALKNRRMYFEEFCAAAISISSLEAVEGWEQITSTAFEYFEQEGNRVFSEQELCQELRIKGPSALSYVKDFIRNSDGKLNFLGFRKLLERPKPPQSKCGRKKKAS >OMO87331 pep supercontig:CCACVL1_1.0:contig09247:20075:21553:1 gene:CCACVL1_09122 transcript:OMO87331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MLYPRTVTEIRSFLGLAGYYRRFVEGFSLIAAPLTRLTRKGVPFEWDDKCEKSFQELKQHLVSAPILTLPTSGKGYVVYSDASQQGLGCVLMQDNKVIAYASRQLKKHEENYPTHDLELAAVVFALKIWRHYLYGEQCQVFTDHKSLKYLMTQKELNLRQRRWLELIKDYDLVIDYHPGKANVVAVALSRKSSTTMARIKSYLPQLVGIRFVGANLIGGYQGTLLARFEVRPTLVDQIRDSQEVDEKLNAELEKLYLGMPSEYSLRDDGVLQKLGRVCVPDNEELKRAVLEEAHSSAYALYPGSTKMYRTIRESYWWPGMKKDISEFVSRCLVCQQVKAEHQKPTGTLQPLPIPEWKWEHITLDFIVGLPRTRHGHDAIWVIVDRLTKSAHFLPVRITFNTKRLARLYVAEIVRLHGVPVSIVSDRDPRFTSRFWPKLQHALGTRIKFSTTFHPQTGGQSERTIQTLEDMLRACVLEFQGSWDDYVALAEFA >OMO54218 pep supercontig:CCACVL1_1.0:contig15032:3278:4838:-1 gene:CCACVL1_27964 transcript:OMO54218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MPSRLSDAFRAHPDFTSLQELPDSYAWNQRDDFPCGDAFVTESESVSESVPIIDLNDPNALQGIGYACKTWGVFQVINHGVPTSLLDMVECTSRTLFSLPVQQKLKAARSPDGVSGYGFARISSFFSKLMWSEGFTIVGSPDEHFRQLWPQDYNNYCDVIKEYKEAMKKLAGRLMWLVLGSLGIIAKQDVKWAGPKGDFNEATAALQLNYYPACPDPDRAMGLAAHTDSTLLTILYQNNTSGLQVLKDGARWVTVPPVPGGLVVNVGDLMHILSNGSYQSVLHRAMVNRTRHRLSIAYLYGPPNSVKISPHPKLVGPTHPPLYRPVTWNEYLDTKAKHFNKALSSVRVCVPLNGLVDVNEHNNSVRVG >OMP01424 pep supercontig:CCACVL1_1.0:contig06454:14772:25149:1 gene:CCACVL1_03095 transcript:OMP01424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGQDRPHDRPSHGSIAQDRAPIGHNRPMEPSTIPQGPMTRARAKRFNEALLSFVRSHLGGTMEVEQDQANLATMLQTLIQRLDTMDTKFDDLGKDVQQVKDGFKTKFGLYEWVEKLYANLKKCTFCTNKLVFLGFVLSSQGIEVDEEKIKAIKDWPTPTNVGQVRSFHGLAGFYRRFVKDFSTLAAPITSVMKKNAPFKWGKEQQEAFETLKEKLTNAPLLVLPNFNNTFEIECDASGVGLGAVLMQGGKLVAYFSEKLNGAALNYPTYDKELYALVRALQTWQHYLWPKEFVIHTHHESLKYLRGQKKLNKRHAKWSEFIESFPYVVRYKQACENSGFGKYYKHDGFLFKESRLCVHSCSLRILLMRESHEGGLMGHFGVDRTYDILHEHFFWPKMRHDVGKYIASCIVCLQAKSTSKPHGLYTPLPIPYEPWTHISMDFVLGLPRSRRGKGSIFVVVDRSIHSTTGFSPFETVYGFNPLTPLDLLSLPLSVQVDMDGQRKADYVRVLHASVRAQIEKKTQHYMNVANKGRKEIIFEPGDWVWLHLRKERFPEKRKSKLLPRGDGPFQVLERINNNAYKLDLPSEYGNVSATFNVSYLSLLDSDVDLRTNPFQGRGNDAPRAYHGLEKHNGANGGHVLDKHGDVSDNQEDAKVDPAAPSYGNMTDQDRPHDRPSHGSIAQDRAPTGHNRPIDPLAIPQGPMTRARAKRFKEALLGFVKSHLGENYASKTSAPDQMKIVEAPKAAGSNNIAAQTFTFRKLANATKNFRQECLIGEGGFGRVYKGKLEKTGQVVAVKHLDRNGLQGNKEFLLEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLLDLTPDQEPLDWYARMKIALGAAKGLEYLHDKANPPVIYRDLKSSNILLDKEFNAKLSDFGLAKLGPTEDKTHVSSRVMGTYGYCAPEYQRIGQLTVKSDVYSFGVVLLELISGRRAIDTTRPNKEQNLVAWAQPVFKYPSRFPELTDPILTGEFPVKALNQAVAVAAMCLQEEASVRPLISDVVTVLSFLGNGPDANMVDSSPESDEKPTYDDDEDADERRGLPFL >OMP01425 pep supercontig:CCACVL1_1.0:contig06454:70213:70299:-1 gene:CCACVL1_03096 transcript:OMP01425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYKNAPCDDYRGNRKHDSNQLISDEPD >OMP01423 pep supercontig:CCACVL1_1.0:contig06454:9232:11942:-1 gene:CCACVL1_03094 transcript:OMP01423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MEGVYHAPSNTIPSLNVSDKDLVTGNFDAKDSTWKDWSEEGDDIMFDDSISDVEDGEDFVLNVTFSAEEKRLLCEPWRNALIVKLLGKFLGFKAQACIEVQTGDPSPVAPNASSSVFRLPRRSSFPPSVNRRPGDLNNCMVRFPELPLEFYPDWALRRIVTNEHDSRNRFHSLQGVKEDLQENSKKRLKEPVVEEISKTKSVACSYGRKVWKPKRDSVEPQISLLRSERLLRKLNFPKWHVSDPIGFAGGIWLDWDDDVVDISIFIYSPQLLYVEAKPKDSTKFILTVVYANPKSNIRRSLWAYMETLAQTITSPWVVLGDFNDVLGAGEKMGGAVPSFSRCNSFNGMITACGLMDLGFSSPAFTWCNRRKGLRKV >OMP11150 pep supercontig:CCACVL1_1.0:contig01528:61:1880:-1 gene:CCACVL1_00658 transcript:OMP11150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLDTLLPNAALELAFLPYYPRPRATG >OMO55125 pep supercontig:CCACVL1_1.0:contig14786:10486:15001:-1 gene:CCACVL1_27381 transcript:OMO55125 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAPKVEKTDTSLTEALHALTESFNTQFQELRASQIELKQALDTKLDIAIADFNKKVAFRENPPPPSLAANTYDGVLGSFPGQSSQTTTDPLFKPKTPKFFLSTFDGTNVHAWLFQAEQYFKFYSITHAQRIPMVHFFMTGEAAAWYQWMYKNNQFTDWESFARAIEIRFGPSKFLNPQSALFKLRQTGTVTQYRREYEILSNRVTGLSDEHLLNLFISGLRADIQQEVITFKPVTLAHAFELAKHIETKLFESRQTPSRAPPRAFQTPVQKPTPPASYPIRRLSPTEMQARRSKGLCFNCDEQFQPGHRCKTTPFLLLQIDDESPDPLMSLEASEVTNCPPLSSLPLPPPPPMPLVPEEETPEFQVSLYALHGIASHSCLKLTGIIQGHSFTILIDSGSTHNLVQPRLVRHLGLAVEPAPPLAVRVGNGDVLRCAGKISGLKVDLQKLVFSLDLFLLDIHGADIVLGIQWLAQLGPIFADFSLLLMSFNYHGTWVTLEGHQNTQPDPISNHELQKLAHKNHLVSAQLLTLVDSTTKLPPPSFSTELIVLINQYSKVFETPKGLPPQRPHDHHIHLLPGSAPVNVRPYRYPHHQKQDMETMIAEMLKEGIICPSTSPFSSPVLLVRKKDGTWRFCIDYRALNAITVKDRFPIPTIDELLDELHGAKVFSKIDLRAGYHQIRVADSDIHKTAFRTTDGHFEFQVMPFGLTNAPSTFQSAMNELFRPFLRKYVLVFFDDILVYSSSVSEHLKHLQQVLELLLKHQFFAKQSKCSFMQSSIDYLGHVITSEGVQVDSSKIEAITTWPQPTSVKALRGFLGLTGYYRKFVQHYATIAAPLTDLLKAKAFHWSPAATEAFLNLKRALTTTPILSFPDFLKDFEVTTDASMVAIRAVLSQEGRPLAYFSKKLCTRMRNSSTYVREMYAITEAVKKWRQYLLGRAFVIYTDQQSLRGLMEQTIQTPEQQKWLVKLLGFQYTIQYKPGSQNKVADALSRSLADEGTCLAFSVPVMTFLDDLRLYYGNDPDGKALFQQAQDPLSEFSTINGLLMRKGRIVIPDSHPLQKTLLHEFHSTLVGGHAGVTKTLARLSANFWWKGLRKTVREFVAECQVCQEVKYLTSKPQGLLAPLPIPSQVWQDLAMDFITNLPSSKGKETIWVVVDRLTKYAHFIALPSHITAPALASVFSKEVGRLHGYPRSIVSDRDPLFLNNFWKELFRLQGTQLQMSSAYHPQTDDQSEVLNRCLETYLRAFTSENPKQWAHILHWAEWSYNTSLHSATGMTPFEGLYGHPPPTITSYIPGETQNAQLDNSVIERQTLLKRLKANLAQAQNRMTMQADRKRIEKHFFEGEWVLVKLQPYRKRSVAQRPCQKLAKSTSQTQKLPGNALRISNRATQTSTLRTRLSKKETAMLRAHLLQQYKFQAQG >OMO68035 pep supercontig:CCACVL1_1.0:contig12306:17731:18516:1 gene:CCACVL1_20122 transcript:OMO68035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTVGLIRDQDLNVHFNGASLAGGKTNISKKGDVCGRKPLGDLSNLLNPTPNQASRNGNSKLFSFTEKETGVSKLTHDSSKKKSVSKASEKSQAGVRKALSDISNSGKPRLQETAKKNQTIKLGILAEDPPEPKDIAAEGCLHNHNECIKAQRRSLSTREFLHILELDDLSIASAKPTSPPRSFEPREMPELLIEHLSPLKIKLSSTLDSPPPSPLFHWDDPSFKLVESP >OMO68032 pep supercontig:CCACVL1_1.0:contig12306:4381:4605:-1 gene:CCACVL1_20119 transcript:OMO68032 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine cleavage system aminomethyltransferase T MRRWPTAVEGAYQAKWQRSNGDGNGRMRRRDGVEWWRSGMLMEKEMKKDTSQSGLLLWFCSAKNKHSKCERKGG >OMO68034 pep supercontig:CCACVL1_1.0:contig12306:15925:16230:1 gene:CCACVL1_20121 transcript:OMO68034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIRAVAFVATLVVAVVVAMGADAQTICNMPVSALMECKPAVTPPKPPPPTPSCCSSLSHADMRCLCSYKNSNLLPSLGIDPNLAMQLPTVCNLPHPAKC >OMO68033 pep supercontig:CCACVL1_1.0:contig12306:9453:14016:1 gene:CCACVL1_20120 transcript:OMO68033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate and isopropylmalate dehydrogenases family MAAPSIQLNVRSIKAPFNLKPVPKQYPKLTRISCAAAAATKPPTRYSITLLPGDGIGPEIVSVTKEVLNLAGSLEGVEFNFQEMPMGGAALDLTGVPLPEETLSAARKADAVLLGAIGGYKWDKNEKQLKPETGLLQLREGLKVFANLRPATVLPQLVDSSTLKKEVAEGVDLMVVRELTGGIYFGKPRGFGTNEKGEEIGFNTEVYAAHEIDRIARVAFEIARKRGGKLCSVDKANVLEASMFWRKRVTALASEYPDVELSHMYVDNAAMQLVRYPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGESGPGVFEPIHGSAPDIAGQDKANPLATILSAAMLLKYGLGESDAAKRIEDAVLDTLNRGFRTGDIYSAGNKLVGCKEMGEEVLKSVDSAVPAAI >OMO68031 pep supercontig:CCACVL1_1.0:contig12306:955:1254:1 gene:CCACVL1_20118 transcript:OMO68031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTRAVAFVATLLLVVVATADGQTICNMPVSALMECKPAVTPPKPPPPIPSCCSSLSHADMRCLCSYKNSNLLPSLGIDPKLAMQLPTTCKLPHPAKC >OMO99074 pep supercontig:CCACVL1_1.0:contig06961:1685:8086:-1 gene:CCACVL1_03938 transcript:OMO99074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGAKRRREVESKVVEKVGEVISEIERAKHVEQEALTSHIKTSAKAYAPNKRDDWYDAFYQGAAFPALARVLLLDVASNWLTCFPLSAKKHVYDVFFVNGLSTEVVQVLVPCLQQSRNDDLDVNAVQSNVERLLVLCLLDNGGVLKMAIEVAIATQSEGFINERLKSTVSRVAQLVTSIPDKAQLRALLLRSHLFFKQITVQLLTGMAERLAISNESDKDVNYLFVGKIFSHICRRGSTDVLLSEVTPQVLRHVRSCLSSNTDKVDTDVFESNSKSLFWLKIMGAITDPYTVERISEQLLRQLATEHTSDIEAYWILWILFHQVLKHQSSVRSIFVDKFLLWKVFPVCCLRWILQFAVLQCPPVTSSRKDTHEADTLLDTVQRLAKVWSEREFVQSAPVEQQAYVTAAVGLCLEKMSKEELDKTKDVMHSILQGVSCRLESPADLVRKMASTIALVFSKVIDPKNPLYLDDSCTGERIDWEFGLNITKKGTLSIPNAEKEIDETGASIMPKLTKDFTQIADGGKGGSMKSHSKKASEFSFVDPDEIIDPATLNYDSVSDENDDDDASGNSDSSESSLQPYDLTDDDTDLKRKISQLVDVVGALRKSDDADGMERALHVAESLIRASPDELTHLAGDLVRTLVQVRCSDVAVEGEEESAEEKRQRALIALIVTCPFESLDSLSKLLYSPNVDVSQRIMMLDVMTLAAEELANTKTMKPKHQTGPLISTISEPEPWFLPSNVGPPGAGPWREISDTGTLLNWSNRYERELPLKRGQVKRGKTRRLNLRSGNIQEGQIEWSQNKFPPYAAAFMLPAMQGFDKKRHGVDLLGRDFLVLGKLIYMLGVCMKCASMHPEASALAAPLLDMLRSREVCDHKEGYVRRAVLFAASCVLVALHPSYIASSLVEGNVEISEGLDWIRTWALKVADSDTDRECYSMAVSCVQLHSEMALQASRAIESAESSFKAKSISLSSGLPKGAIKIPSPRPSIGY >OMO99075 pep supercontig:CCACVL1_1.0:contig06961:8337:11879:1 gene:CCACVL1_03939 transcript:OMO99075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVMAPEPKKLPRPGRGGFQAHGLTEEEARVRAIAEIVNTMVELSRKNQTVDLNAIKSAACRKYGLARAPKLVEMIAALPESDRESLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDSLSGHTSANVEEAVTYSEHSAVKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFKEFFESPSFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQDIHHKIKPEEVELVRRDYTANEGWETFLSYEDTRQDILVGLLRLRKCGRNTTCPELMGKCSIVRELHVYGTAVPVHGRDADKLQHQGYGTLLMEEAERIAGREHRSTKIAVISGVGTRHYYRKLGYELEGPYMVKYLV >OMO99076 pep supercontig:CCACVL1_1.0:contig06961:12288:13805:1 gene:CCACVL1_03940 transcript:OMO99076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coproporphyrinogen III oxidase, oxygen-independent related protein MLKSTLTPIFSNVPAKPNYPKLKLLSRAISSTLSSTPPTARQNASPNIFTTPLLPPTSAYIHLPFCRKRCHYCDFPIVALGSSSTQTTEDDPRILNYIQLVYREINATQVSFKSHPPLETVFFGGGTPSLVPPRLVSSILDLLRAKFGVSWDAEISMEMDPGTFDAKKMEELMELGVNRVSLGVQAFQEELLKACGRAHGVKQVYEAIEIVNSCGVDNWSMDLISSLPHQTPQMWEESLRLTVEAQPKHVSVYDLQVEQGTKFGTLYTPGEFPLPSDVLSADFYRIASRMLSDAGYEHYEISSYCQDGFKCKHNSTYWKNKPFYGFGLGSASYVCGMRFSRPKKMRGYIDFVQNLEDGTVDYRGNTDIDTTDLASDIVMLSFRTARGLDLKSFQEAFGSSMLHSLCEAYKPYVESGHVLCFNEQRRVVTADEYNALLLNEADIGRGLANMRLSDPDGFLLSNELISVAFGVIAP >OMO54654 pep supercontig:CCACVL1_1.0:contig14920:15965:18751:1 gene:CCACVL1_27686 transcript:OMO54654 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGKTGKWLKSFLTGKKDHKEKDQKGKFTSSNQNSSVVPENNPSTPISIPPTTPKEKRRWSFRRSSASATPTKELNSNTEQVAITTTPPPALEAGLENDQDEQKKHAMAVAVATAAAADAAVAAAQAAAAVIRLTAVTNEKASAAEVAAAVKIQSVFRSYLARKALNALKGLVKLQALVRGHLVRKQATATLRCMQALITAQARARAQRIRMADHDSKPPSRRQSPHRRSTTPDHKFRHEFNEIDRGMEENIKIVEMDHGDYKANLKSRNSYSHYPQPERIVEHRYSTHYSSNNRPYSKQDINSYQLSPAPSALTDMSPRACSSHFEDYSFSTAQSSPQYHSAISKSDASNLPFAFPRPEYAESVSYDYPLFPNYMANTESSRAKVRSQSAPKSRPPETFERQPSRRRASIEGRNVPRAVRMQRSSSHVGATAQNYQYPWSIKLDRSAVSLKDSECGSTSTVLTNTNYCRSLFAYDKLLTKVGWLGPGGVNQKEYRVLEFRFHIG >OMO54656 pep supercontig:CCACVL1_1.0:contig14920:36811:39881:-1 gene:CCACVL1_27688 transcript:OMO54656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MAEVGSGKGGNGGEENMSLKDKGNEFFKAGNYLKAAALYTQAIKQDPSNPTLYSNRAAAFLNLVKLNKALADAETTISLNPQWEKGYFRKGCILEAMERYDDALAAFQISLQYNPQSSEVQRKIKRLSQLAKDKKRAQEVQNLRTNVDMAKSLESLKSEMSEKYGAEECWKDMFSFLVETMETAVKSWHETSKVDPRVYFLLDKEKTETDKYAPVVNIDKAFESPHTHSNCFSFLRQYAEDSFSGAACLVAPKSIIAYPQVWKGQGSRKWKHGQHDGFFVQFESPLLRKLWFISSSNEMGKTLCRDPEVLDIGAHEVIPRLFKEKLSNSS >OMO54655 pep supercontig:CCACVL1_1.0:contig14920:31047:35590:1 gene:CCACVL1_27687 transcript:OMO54655 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate anion transporter MGTITADYDCSRRVPVPPSKPFLNSLKTSLKETFFPDDPFRQFKNQPVSRKILLGFQYFVPILEWAPRYTFDFFKADLVAGITIASLAVPQGISYANLASIPPIIGLYSSFVPPLIYAMLGSSRDLAVGTVAVASLLMSSMLGKEISPTENPKQYVQLVFLATFFAGVFQASLGIFRLGFLVDFLSHATIVGFMGGAATIVCLQQLKGMLGLVHFTHETDVISVMRSVFGQFHQWRWESAVLGCCFLFFLLLTRYFSKKKAGAFFWINAMAPLTSVILGSLLVYLTHAEKHGVQVIGHLKKGLNPPSVSELAFGSPHLMAAIKTGIIIGIIGLAEGIAVGRTFAMFKNYHIDGNKEMIAFGMMNIAGSCTSCYLTAGPFSRTAVNFNAGSKSAVSNIVMATAVMMTLLFLTPFFHYTPLVVLSAIIISAMLGLLDYQAAIHLWKIDKFDFFICLGAYLGVVLGSVETGLIIAVSVSLLRILLSVARPKTIVLGNLPNSGIYRSMDQYPSANKVPGILILQIDAPIYFANASYLRERISRWIYEEEDRLKFAGESSLHYVILDLSAVASVDTSGISMFEEIKKHLDRKGLKLVLANPCSEVMKKLDKSKLIESIGQEWIYLTVGEAVAACNFMLHTCKSNPVAVDYNAEDNV >OMO54657 pep supercontig:CCACVL1_1.0:contig14920:45129:46458:1 gene:CCACVL1_27689 transcript:OMO54657 gene_biotype:protein_coding transcript_biotype:protein_coding description:leucine-rich repeat extensin-like protein 6 MTSDPNGFTKNWYGPKVCNYTGVFCAPAPDDPYITTVAGIDLNGANIAGYLPEKLGLLTDLALFHINSNRFCGKDKISHTFHQGKISQDQVEDQRNHQKVQNPDEYGN >OMO54658 pep supercontig:CCACVL1_1.0:contig14920:48644:50533:1 gene:CCACVL1_27690 transcript:OMO54658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S3Ae MAVGKNKRISKGKKGGKKKAADPFAKKDWYDIKAPSVFTTRNVGKTLVTRTQGTKIASEGLKHRVFEISLADLQGGDEDHAYRKIRLRAEDVQGRNVLTNFWGMDFTTDKLRSLVRKWQTLIESHVDVKTTDNYTLRMFCIGFTKRRPNQVKRTCYAQSSQIRQIRRKMREIMTAQATSCDLKELVQKFIPEMIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKLERPAEETAAEAPAEVVGA >OMO54659 pep supercontig:CCACVL1_1.0:contig14920:51594:57390:-1 gene:CCACVL1_27691 transcript:OMO54659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MDSKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATVELRQAHRTMAEAFPNLLADESPSGSSGPEVEPRTPEMPHPIRAFFDPDDLQKDAVGLSSTFHALKRSAGNSEESDAGISKRGLKQLNEMFRSGMVPPNSNISEGKLNRGNGGEAAESEQGLFSQLSIENQNLKTQVLSESERAGKAETELQALKKTLAEIQAEKEAVLLEYQQSLKKLSSLEKELNEAQRNAGNLDERASKAEIDIKILKEALTKLEAERDAGLHQYNQCLERISSMENTISQAQEDAKGLNERAFKAEIEARNLKIELSKLEAEKEAGLLRYKQSLDMISALENKISLAEQNEKMLKMQAERAESEVKALKEALAKLKEESDTAAVRYEQCLETIAKMESEISRAQEDAKQLSSEIQVKSEKLRHVEEQRLLLERSNQSLQVEAENLVQKIAIKDQELSEKQKELEKLQTSLQEEHLRFVQVEATLQTLQQLHSQSQEEQRALTLELQNKLQILKDLEICNHRLEEDIQQVQGENQSLNELNSFSAISIKDLQDEILSLKELKEKLENEVSVQMARSNVLQQEVYKLKEEIDVLSSAYQALIQQLLSAGLNPECLESSVKELRDENSKLKEECGKTRGETEILYKKLRDMDNLLEKNAALRSSLSELSGKLEGSRELVEELHKSREFLQGEKSSLVAEKATLLSQIQMMTENMQKLLDKNTLLESSLSGANIELEGLRSKSKSLEEFCQYLKSEKSSLVNEREGLVSKLENVEKRLCILEFRFDKLEEKYADLEKEKKSTISQVEELRDSLSVEQQERACYVLSSESRLADLENHVHLLQEDSRLRKKEFEEEMDKAVKAQVEIIILQKFIKELEEKNLSLLIECQKHVEASKLSDKLIRELESENLEQQIEGEFLLDEIEKLRLGIHQVFRALQFDPVSGHGAVVELDQIPLSRILDDVEDLKSSVLRNKEEKQRLLVENSVLLTLIGQLKLEGTELESETRALHYELEIAEKQNAMLKKDKQELLEMNQQLMLEVREGKLEKEGLNAELETQCQRLKNMQGACLLLEEENSKQLEENKLLLKQFLNLKEDMHILEDENDIVLQEAVALSSLSFVLETFGAEKANEVKALAEDVICLQMINTGLKEKVGKLEDKLDGKEAENLNLNGTVEKLHKELYAVKDLNDHLNYQIIIGKDFLKQKSIELAEADQKLQAAQTLNVEFARTLEELTKECEKSKQIRENLEKQILELSKDSEVQKMEIEHLREVNKNLGSEVVELHKEIEEQKMREENLSLELQERSNDFELWEAEAASFYFDFQVSAVREVLLENKVHELTEVCETLEEESALKSAQIGQMKEKVEFLESEIGGLKVQMSAYVPVIASLRDSITSLEHNAHLQPKLCVPSDAKAKDVGSADELQEMKSKKLKEEPSAFLSNGISDLQEMHTRLKAVEKAVVEEMDRLVMQESSRKSNRIEASANVIEPSSKENIKKKKDMQLGHEFAENLKSRKTKPEIIELRNGILLKDIPLDQVSDCSLYGRSKRVNGTSNDQMLELWESAEHERDVDLTMYDMQKRATVPGDIMASNQFNGVERLGTQHEKELSIDKLEISTSIRELKKGARSRKVLERLASDAHKLTSLQTTVKELKKRMEVKKRKKAFDLEYGQVKEQLQEVEDAITELVNLNSQLTKDVEGSPSLNGKNSGEFEEAGSRRKNVREQAVKGSEKIGRLQFEVQSIEYVLLKLEDERKNKGKQTTGVLLRDFIYSGRRRTGRRRKLCGCARPSTQGD >OMO69277 pep supercontig:CCACVL1_1.0:contig12081:5099:5430:-1 gene:CCACVL1_19571 transcript:OMO69277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATKRKYFYSNCSGQENWTSEGCSDEIIVHGPVIQ >OMO69279 pep supercontig:CCACVL1_1.0:contig12081:28769:29447:1 gene:CCACVL1_19573 transcript:OMO69279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARINTGQAGRQLASVRAERS >OMO69278 pep supercontig:CCACVL1_1.0:contig12081:6081:19387:-1 gene:CCACVL1_19572 transcript:OMO69278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDALGYLIRHSCMENTHLISHLAFPYENAFGHLHQDTLDARHFGTKCLSHPFEVKTAFRELKGRRIRLIGTLQHGRTKPFHRSGFGRLKTRRQTFDR >OMO69280 pep supercontig:CCACVL1_1.0:contig12081:38679:38839:-1 gene:CCACVL1_19574 transcript:OMO69280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WEILQGTFSLSTLPRCLLFYLEGPCAGLTLLVRSVVITCSNSSIFSWDTSLTI >OMP03695 pep supercontig:CCACVL1_1.0:contig06004:22980:25335:-1 gene:CCACVL1_02307 transcript:OMP03695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATFAPTAAATISTSHSSVFAARRLNNQRSGRQAMRAGLMADSPGCPSGAGRDGPAQDRPRRCRASRPHLAPQLQFGLEVANLTVERVALVVHRLNGKLVGRDVGLLLAREQRALQQQRFLQHGRQRDFGRQALRQQVLVGLVAQRLDHAARDRQRRAASFAQVLRRRVLGLGHQVLERLQLGVVLLLDQRREPDARRRLGLGAGIARALLLLAEHARGPLDGGLRRGPAGAGDIADDLDRGLAGAARVAAPDPRHAVIAAARLGLLDGRDRIRARHGIAQRQPFGHLAPAELAARHFGHLLGHGTARQLAAVEIESRLQHAIGEQVVQTGIGPLDDQRGQRCHRTGGTLDHRRHDLLEAVGDAVKLVLQRGLDAHVAADQVAVPTHADTERAHAGRTAHRHGVAATDRVHDVIADDTAAGLVAQLTPGHAAAQREGAGQRQDDLRATCPAGERIECRTELHGTTSEKIDAAQEHLAPAFAAGIRPPQRPCVVALLRLGKKAAAREDRAGRRQDGREFLPLSGRGIALHQRACRPVAVAGVGKQRLIDVDLPPARDHIACTLGHHCAGLEVVGLVQEAATGIHVAPQVHRGACADLTPARNQRFRVGHLGHVVAPGQRVQGAEQVRHERRARALAEVTRRRDELVEHLDAGVADNVRVFELGEVVFVILVRGSIAARLGGRVGRAHCPDLDPGVFAGIRVRRHHRIGDVVAHLDGRAHRAAGPGAIEPVADANDAVFEAVG >OMP03694 pep supercontig:CCACVL1_1.0:contig06004:4619:6315:1 gene:CCACVL1_02306 transcript:OMP03694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGYLARPSQSTGPARLCAHSARPGLHRTGGGMAAAAPGSVAVLIRQGTARAATRRHRDPGGERADPWTRVRLSPGDGYGGCGRRAAAGRGCAGVGADAALRRRSQPVPRGARAVRDPWPAGRAGFPDARERPPAIAQSRPALLRRAARAANTVQTLPLRGRVVWPGELLAQPADPGRHALAGALDRHHACDTGRRAALRGTQRRAGGAGIHGRRGHDRTASADYELRSAGHRRWQRQVPVECGRRHRMDDDLAGTGLRVGCRPDADRNGCTGPPQPMRMRHVDRFPAMSPAMVRARCFRTTRHVPLTTLVSPPRTPPAGGFSRPPLVGAGWRLRDDERAGRARHNRGILGRARIRGARIHPRRALRALHPRGTGTDSSAARPAFADHRRVDARGCPRHGRRRATACAQAQRLRLVPDGARGGRAVRTAAAGGAPAPRPHDPARSAAHPCWSGLGTARG >OMO49413 pep supercontig:CCACVL1_1.0:contig16512:27914:32623:-1 gene:CCACVL1_31016 transcript:OMO49413 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 MEESGRRRQQRRNHPSTTSSSSTTGSTHFRSQIFSSNPARTTQSSNFVPRSTATIGTAPHNTRNSGHLPRWKSETNENNQREGEKEAGEEVGSIVGTCPFMCPDGERAQRERLRDLAVFERLNGDPRKTSPALAVKKGRGGNALELSTDLNIRTISLKYVQASDVRPLSVLEDTLNYLLNLMESSEHPFEVVHDFIFDRTRSIRQDLSMQNIVSDRAICMYEKMVKFHVVSHHRLRSCGGSSISSLHYLNMEQLSKTLASLYLLYEANHGTNPIYENEAEFRSLYVLLHLDSKGQQTESLSFWFSRVPSLVMKSKEMHFARQVLRFYRMGNYRSFLCTVSAEASYLQYCIIEHYVNEVQALAVSYINNCCYKLHPYPLEHLSKLLMMKESDLESFCHACGLETSSDGDGNKSLPTKQTTFCNPREVFKATSFWDFSSRKVERLTAFFEIAGLLALKYMGLGYFKLVK >OMO49414 pep supercontig:CCACVL1_1.0:contig16512:33072:41809:1 gene:CCACVL1_31017 transcript:OMO49414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNVVSDEEDELELDEEGEPIEGDNLNDADPEEDDEEEDEEGQDEYENDGFIVDDVEDEEVDEDEERADSDEERRKKKKKRKKKEAEDLDEDDYELLRENDVNVPKGSKKFKRLKKAQRALDEDRFGLSDDEFDGSSKGGVTAEEKLKRTLFGDDDGQPLEDIPEDEVQIDEEDDGDMGEDDDMRDFIVDEDEVDEHGAPLRRKKLKKNKLRQATDASQSALLEAQEIFGDVDELLKLRKVGLDSSEWRERRLEDQFEPTVLSEKYMTEKDDQIRLADIPERMQLSEESTGTPPIDGRSLLDESTWIHKQLTSGAVALFAKGGQAQQGQAQQGQDSSTDENKAVKGINKDDILRFLELTHVQKLDIPFIAMYRKEQCLSLLKDPEQHDVDDVDQDKAEELSTIKWHRVLWAIQDLDRKWLLLQKRKSGLLSHYNKRFEEESRRVYDETRLNLNQQLFDSIIKALQEAELEREVDDVDAKFNLHFPPGEVGVDEGQYKRPKRRSQYSICNKAGLWMVVNKFGYSAEELGAHLSLEKFLLGDDIEVAKETPEEMASSFTCAMFETPQAVLKGARHMAAVLISCEPSIKRFVRSTYMSEAVVSTSPTPDGKIAIDSFHQFAGVKWLREKPLSKFEDAQWLLIQKAEEEKLLQVTIKLPEERLNKLLNDDFYEVYLSRNLSKLAQQWDDQRRLIMNDAFNNFLLPSMEKEARSLLTSRAKNWLLMEYGKVLWSKVSVGPYQKKENDISDEEAAPRVMACCWGPGNPATTFVMLDSSGEVLDVLYTGSLTLRSQNVNDQQRKKNDQQRVLKFMTDHQPHVVVLGAVNLSCTRLKDDIYEIIFKMVEENPRDVGHEMDELSIVYGDESLPRLYENSRISSDQLPSQSGIVKRAVALGRYLQNPLAMVATLCGPGKEILSWKLSPLESFLTADEKYGMVEQVMVDVTNQVGIDVNLASSHEWLFAPLQFISGLGPRKAASLQRSLVRAGTIFTRKDFLSVHGLGKKVFVNAVGFLRVRRSGLAANSSQFIDLLDDTRIHPESYLLAQELAKDVYDKELKGDNDDEEAMEMAIEQVRDRPSLLKSLVLDNYLKKQIKIRPNYANKRETFEDIKRELIQGFQDWRKQYKESSQDEEFFMISGETEDTLAEGRIVQATVRRVQGGRAICVLESGLTGMITKEDYADDWRDIVELSDRLHEGDILTCKIKSIQKNRYQVFLVCKDSEMKSNRYQHVQNLDPYYHEERSSLQSEQEKARKEKELAKKHFKPRMIVHPRFQNITADEAMEYLSDKDPGESIIRPSSRGPSYLTLTLKVYDGVYAHKDIVEGGKEHKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVSHLKAMLSYRKFRRGTKTEVDELLRIEKAEYPMRIVYCFGISHEHPGTFILTYIRSTNPHHEYIGLYPKGFKFRKRMFEDIDRLVSYFQRHIDDPQHDSAPSIRSVAAMVPMRSPAAGGSGGASVDGGWGGSTNDGGWRGHSYDRGQSSTPGSRTGRNDYRNSSSRDGHPSGLPRPYGGRGRGRGSYNSSRAHNDGQDSSYDTPKWDSGSKKGDDGWAKVQNSPGREAYSSGWGTAGTESGSGWGASGNEAGSGGGGSGSGWGASGNEAASGGGGGSGWDASGNEAGGGSGWGSDNGGWGQASAAKENGGSGW >OMO49412 pep supercontig:CCACVL1_1.0:contig16512:342:4233:1 gene:CCACVL1_31015 transcript:OMO49412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MKALPNPDVKMVGLHGLPGVGKTMLVNAVGKKASEEHLFDEVVIAAVSHNPNIRSIQEEVAEQLGLRFDSVKSESGRANLLSQRLSGDKKVLVILDDVWEKIELDNLGISFGDDKNITSGDEKAGSTSGSSYMNTSTGRSKILLTSRISDVLDQMNAGSIIGNISRSSSMNTSTSRSKILLTSRGTEKLDQMNADKKLECETLSREEAMALFSKIVGGYEVVNNPDFNHIATELVDKCGGLPVAVSTIASALKNKPSNVWKSALTELKRANPTSIERMGWVYSIIELSYKLLESEEAKSLFQLCALGGQASNIYLSVLVRYSLGMNWLSNVFTLEEARDKVSALADKLKASSLLLSNNDDEMFKMHDLIHDVSRSIVTKEKQMIFIEHDNSMRELRRQGKLDNCTGISLSYMNVHHLPSVLECPKLMLLFCKDNLQLEVPETFFQKTIDLQVLHLTGMRFQSLPSSFAYLTNLQTLCLDHCKLGQIASIANLKKKLDILSFQSSEIMQLPNEIGELNELRLLDLSNCSNLEVIPANVLSKLSCLEELYMGNSFHQWDVDDNASLTELSNLKHLTTLHVHVRDVQILPQDILFPETLRRYRIFLGEMPWDWVNQQKYSRTLKLKVTTKIHLDHGIRTLLRKVEELYVDELEGFRSLHELDDTGFLDLKNLQVKNNSGIQYIIDSSHGISSAAFPLVESLLLDDLINLKKIFHGQIYSGCFRRLRRIEVRNCDSLKNVFSVSLATNFLQQVQEVEVSDCNAIVDILGADRETVDQEETGTIVLGELQSITLQSLPNLVSFCFGEKKHSTSHHGQIGNTSSSMPLFSDKVEFPKLQKLKLCSINTESIWHYHNQLQTSFQNLTSLIIEGCDNLKHILSYSMVNWLQQLEVFEIINCKRIQEIIAMEETTVNGNRAIPFFQCLKILRIEQCPELKGFINKSSSKDISSCNTTEVVLFNEEVAFPNLETLTISHLRNVKWIWYNNQPHGYSYGKLKELTVEYCVALLNVFPSSVLGAFQSLEMLEVTDCSSLEEVFDTKETCSATMQLRQLYLDRLPKLKHVWNKDPHGSTISFKDLRSVMVEKCWSLKSLFSFSIAKGLQQLESLWVSDCGIEEIISKNSNSEGLEQETTRFEFNWLSSLTLFSLPYLKCFYEGMHTTIWPKLKYLKTYGCERKIKIFRQPNAEPQIQQPLLLIDEVRAFTFSLIFIHFTLFF >OMO92724 pep supercontig:CCACVL1_1.0:contig08177:9682:9950:-1 gene:CCACVL1_06767 transcript:OMO92724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPFGPILFKAQSQPAGAPYVLIGAPPTVFRHKLHQSPKAMALSFSPILFKAQRPRANRLALHT >OMO92725 pep supercontig:CCACVL1_1.0:contig08177:11432:18303:-1 gene:CCACVL1_06768 transcript:OMO92725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGSHVKSPSEGICGGAWYAYKKRDVIYQVAAHITTAKSQLQSPFDSSRHHRN >OMO88108 pep supercontig:CCACVL1_1.0:contig09104:7524:7699:-1 gene:CCACVL1_08552 transcript:OMO88108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKINSKKEIKRTENAVICSEFVSRKGQMQARMKKLRMKIKEGKRKEERLEEEAAAK >OMO74310 pep supercontig:CCACVL1_1.0:contig11144:38:394:1 gene:CCACVL1_16840 transcript:OMO74310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKARASRMLDNLEKSIKNAAQEWAQNLHKENSMLKEQMQALVQQNTVLKRAVVIQHERQKESEDKNNELQHLKQSVSQYQEQLRTLEVKNYALTMHLKQAQQSNPIPGSFHPDVF >OMO74311 pep supercontig:CCACVL1_1.0:contig11144:2974:4494:-1 gene:CCACVL1_16841 transcript:OMO74311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-ketoacyl-CoA synthase MMSNSSSNGGVQIRQSRRLPDFLQSVNLKYVKLGYHYLISHLLTLCLIPLMSVIIVEASRLDLDDIHHLWLQLQYNLVSVIVFSAFLVFGSTVYIMTRPRSIYLLDYSCFLPPSHLKVKYQQFMEHSMLTGDFDESSLEFQRKILERSGLGEETCVPEAMHYLPPRPTMAAAREEAEQVMFGALDNLFANTHVKPRDIGILVVNCSLFNPTPSLSAMIVNKYKMRGNIKSFNLGGMGCSAGVIAIDLAKDMLQVHRNSYAIVVSTENITQNWYFGNKKSMLIPNCLFRVGGAAVLLSNKPSDKRKSKYKLVHVVRTHCGANDKAFKCVYQEQDDAGKTGVSLSKDLMAIAGNALKTNITTLGPLVLPISEQILFFVTLVAKKLFNAKVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPVHAEASRMTLHRFGNTSSSSIWYELSYIEAKGRMRKGNRVWQIAFGSGFKCNSAVWVALRNVKPSATNPWQGCIHRYPVKLDL >OMO56567 pep supercontig:CCACVL1_1.0:contig14502:12471:13017:1 gene:CCACVL1_26445 transcript:OMO56567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDNLLITLKAKSLQQFVATNVIENALLKLIKDGPVNPSWGPPNSYLFLGLHGHSKAHLGNYINENLETDDGVPLLVDIDLSDFSNDGALLRLKNKLPRFVGDEQLGCSTQFVGDMKVRPYIIIFFNHVEKVYISTFST >OMO56569 pep supercontig:CCACVL1_1.0:contig14502:20408:21383:1 gene:CCACVL1_26447 transcript:OMO56569 gene_biotype:protein_coding transcript_biotype:protein_coding description:chaperone protein ClpB1-like protein MEAIKAEVQRRVLIEGKNRLESAYGVHINSGALTVACNIVIRYFDVTEDEEDRENCLQKQVNKLLEKACFKLRYEIGTSQEEKELDMAEYSLNRAIVEIMELRKERNPICKPWHSRVKAEIQSLMKKLDEFMRVRNPNMPLLQKESCKNMLSLLKESHQNIASVSSLFQLDELVAMIPEAFKGDLTVTSSLIAEVAKPLTGVPSPLLLHSLRMPIPGLKEKLDEKCLGDEFAVVQIVNVLLSRPKQAEPGRPIGAFLFLGADDHVIERHGVHLAEALAETLFEDENLLIELDMCTTTR >OMO56570 pep supercontig:CCACVL1_1.0:contig14502:22478:23164:1 gene:CCACVL1_26448 transcript:OMO56570 gene_biotype:protein_coding transcript_biotype:protein_coding description:chaperone protein ClpB1-like protein MVRSRELSCRLADREALLEDRHFKQFKGSLIEFRDLYRKEKEKVQIVYLLRLKLFELLYKDANCSSDLLAEIQGLIDILEDLNNFPFVARSNVAGHKVDPNCMPDEVIMHEGKSNKKAKMGVDNLLMTLSEKSLQQCVATDVIENTLLKLIKDGPVNPSWGPPNSFL >OMO56572 pep supercontig:CCACVL1_1.0:contig14502:27989:29362:1 gene:CCACVL1_26450 transcript:OMO56572 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MVSLALQGHINPMLKLAKVLVSKGIHVTIATNDVARKRMLDSMKTSNGRLGLNLEFFSDGLSHEFDRDKDTGTFIASLKKNGPINLSNLIADLKAKGNKFSCLISSPFIPWVPGVASEHEIPCSVLWIQSSTVFSIYYHGVKNPNLFPNLENPNGDIELPGLEMFKVGDFPTFILPFAPPHFRQWVVEFISVLDKVKWVLGNSVFELEEEIVNSISLVKTFYPIGPLVSPFLLGKEEAVVGNVDMWSAEDSCIEWLDKQSPGSVIYISFGSILMSSPKQIESIAIALKNINKPFLWVVKSFETREEEFPPGFLEESKEKLGLIVSWCSQEKVLMHPALACFVTHCGWNSTLETVVAGVPVVAYPEWTDQPTDAKLLVDVFKIGVRMRNGEDGKTLSLDEVERCIKEIMDGPRSEEMKKRAMELKAIAMKALDYGGSSERNIDKFIREISGKTFSNGI >OMO56568 pep supercontig:CCACVL1_1.0:contig14502:17547:18923:1 gene:CCACVL1_26446 transcript:OMO56568 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MVSLALQGHINPMLKLAKVLVSKGIHVTIATNDVARKRMLDSMKTSNGRLGLNLEFFSDGLSHEFDRDKDTVTFIASLKKNGPTNLSNLIADLKAKGNKFSCLISSPFITWVPGVASEHGIPCSVLWIQSSTVFTIYYYGVKNPNLFPNLENPNGDIELPGLEMFKVGDLPTFILPSALPHFRQWVVEFISVLDKVKWVLGNSVFELEEEVVNSIALEKTFYPIGPLVSPFLLGKEEAVFGNVDMWSAEDSCIEWLDKQSPASVIYISFGSILMSSPKQIESIAIALKNINKPFLWVVKSFETREEEFPPGFLEATKQKLGLVVSWCSQEKVLMHQALACFVTHCGWNSTLEAVVAGVPVVAYPEWTDQPTDAKLLVDVFKIGVRMRNGEDGKTLSVEEVERCIKEIVDGPRAEEMKRRAMELKAIAMKALEDGGSSDRNIDNFISEMISGKTFSNGI >OMO56573 pep supercontig:CCACVL1_1.0:contig14502:30689:30931:1 gene:CCACVL1_26451 transcript:OMO56573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLSESASVDCCRPLHSKNKNNIGSDVTKEAVSGDVNDVRNIGSTSNSYSAEDHSKVRVLVEQQVTTMAAGPSRKGAGH >OMO56576 pep supercontig:CCACVL1_1.0:contig14502:46267:47104:1 gene:CCACVL1_26455 transcript:OMO56576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQWTVTTKPSRSDEVLDADQQQQIANQVRAQFDALAPKRPTKPNRSEPDLATTTTTTLPPSVHQNIPELDKLRSLQQSQSHVKISVEGDGLEQDEFVETQYYNQMDSIAKEHHTTGTGFIRVVTEGGEGNGYDIQMENGQAAGNLVNRPIFRSNPATNDWIPNVDEDQVFVSSKPNRSESC >OMO56571 pep supercontig:CCACVL1_1.0:contig14502:26791:27612:-1 gene:CCACVL1_26449 transcript:OMO56571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSKGIGDLGHPKLQHSSSSSTDPATQRARSNPKAKAKREDKANTLGVSVLVRLSGL >OMO56575 pep supercontig:CCACVL1_1.0:contig14502:38713:44507:-1 gene:CCACVL1_26454 transcript:OMO56575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malectin MEKLLFWNMLLPLLLLQFYPVLILSEPYQFPEKYFINCGSDSAVTQGSRKFVGDKNPGSFSVGKSNPVTDSSQSAADTSSLYQTARFYTEPFSYNLDINETGQHVIRLHFFPFKSDKANLEDALFNVSASRASQNFIPEIVYRTCKEVNLNSTQAANSADITWHFNVSKNARHLVRVHFCDILSQSPNIFNFSLLIYGKYNQSINPVDYTIQTAVPFYHDFVVDTGDSDFISIGVAPMDGFLEKRAYLNGLEIMEFITEPGLELGMSEQKKKHNLFIIIGSVVGGLVVCCLIVLFLLCKKRRKTKPLETMTSYVSAQPDASAPFLSLLSNFTMKNSNESIVVKEFLLTIKAGKFEIYFIPSKNSSPLAFINAIEVFLAPTGFIPDKTIHINSTGIKGNYSVSLSTVLQKVHGINVGGSTVTADNDTLMRNWITDDQFLLIPGSAETKQFGSYDNLIYGRPNGSTEYIAPREVYGTAKMLNTSTQTKSSNITWRFKVNEQAKYFVRAHFCDFISNSLEYPGISLCINGNFCQWISPYETVQRLAAPFYADFVVESAKSGNFDISVSPYNPGEIVNHLAFLNGLEIMQLMENSYSSFVPPRPKKKIFLIIAASLGLAFAFIIIVIVIVRLVCMKEDQSKIPDASAKSFSHVEVAAAK >OMO56574 pep supercontig:CCACVL1_1.0:contig14502:34890:37707:1 gene:CCACVL1_26453 transcript:OMO56574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLLEGITRAAPSGMKFSGARKTSIEFTKARFDPSEEFWSPTKVRPPLPVKLSLDPQLMKYLSGRVGNWNAL >OMO56566 pep supercontig:CCACVL1_1.0:contig14502:6549:6872:1 gene:CCACVL1_26444 transcript:OMO56566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNMKTEHYLTLQICCEMILLRNSPNEISFTPFSNKTIIATDWWLLAGYVAGIRTVKFRQFDEEGDRRR >OMO56333 pep supercontig:CCACVL1_1.0:contig14536:16904:19314:1 gene:CCACVL1_26621 transcript:OMO56333 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MAHRNGHARDTVIDIGHKPVNLTGGLEYTGLTYTVVKKKKLEGKWLSQEVDLLHKITGYAPKGCITAVMGPSGAGKSTFLDAVAGRIASGSLKGRVALDGMTMSPSLVKRTSAYIMQDDRLFPMLTVYETFMFAADFRLGPISRVEKRQRVERLIDQLGLTVSDAATRGVSGGERRRVSIGVDIIHGPSLLFLDEPTSGLDSTSAYSVIEKVRDIARSGSTVILTIHQPSSRIQLLLDHMIILARGMLMYQGSPQDVTLYLNRMGRKVPKGENAIEFLIDVIQEYDQSELGVEAVAEFARIGKKPPPLTEEEVSISTAAPTPPPANRGRHHQMDERAGEGKDNRRLPLQVSQHSVDDFDRSLRSPYNNTSRSWSAAHSGIVHQLRFTPTRQRTDKRTPDPMSSSPGYYKYSSDIFPQTPTPHSSDYTVNEDDYLTPHNNVAPNMSMQQIGPKYANSFLTETWVLMRRNFKNIRRTPELFLSRLVVLTFMGFMMATMFMNPKANLQGITNRLSFFIFTVCVFFFSSNDAVPAFIQERFIFVRETSHNAYRASAYNIAGLITYLPFLALQAIVYSCIVWKALELRGPFYYLFIVLYTSLLSTNSFVMFVSAIVPNYILGYALVIAFTALFFLFCGYFLNSHDIPIYWKWMNKISVMTYPYEGLLMNEYQTPQIFGISPENIPINGFGILKSLKISTDEFKKWENVLWLLGWAVFYRICFYVILRFGSKNQRT >OMO56336 pep supercontig:CCACVL1_1.0:contig14536:31161:31505:-1 gene:CCACVL1_26624 transcript:OMO56336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGGITNAVNVGIAVQADWENREFISHISLNVRRLFEFLVQFEATTKSKLASLNEKLDTLERRLELLEVQVGTASANPSLFST >OMO56340 pep supercontig:CCACVL1_1.0:contig14536:48785:49876:1 gene:CCACVL1_26629 transcript:OMO56340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDLIGRRRSSYFSGCMMSPSCFPVHEEMDYSRIHYCSGSDKRGRRWRNLLRRLVRDGKSRVYGSKPLSFHYDAELSTVNKKVAELLKAKWWGIE >OMO56330 pep supercontig:CCACVL1_1.0:contig14536:3304:7654:-1 gene:CCACVL1_26618 transcript:OMO56330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEKQQELEWIEAQKIEISLDLAAAAKKQLEFLAAVDKNRWLYDGPTLQRAIYRYNAYWLPLLAKYQEEENPGEPLVVPLDCEWIWHCHRLNPVRYKTDCEKLYGRILDNSNVVSSLQGTCKSQTEEIWNRLYPDEPYDFDMTKALSDNASETLSGLDKHTDYDLISAVKRQSPFFYQVDRAHMNNDIFIEGAVARYKGFLHLIKRNKENSIKRFCVPTYDIDLIWHTHQLHPVAYSKDLNKAVGKILEHDDTDSDRTKGKKLDVGFIGTTKQYEQIFGLRYWKAGAMYKGSSPSPLTAVPCIPDILSKEVDVTNEYQKVIKLSEIKVVEAVLEFVAVKNLPDEKNENLFVLFSKTQPDVFFNTKQKLTILSESGEKKVATFRCEPSGELLFELVSHSSSHLPGTKTCKTLGTVSLSLREFLVPVSKLSEEKWLDLVPTSGNGSSKPIGLRVAVSFTVPTTAPRAFHMVRSRPSSKGSCFQLPLAGTLPARKGFTRVVDETQAEVIRLQMRESEKAEMKENCPSRKQVIGIAKDGETHTLAEFVGARWSLMDSQWILQYSEEVSENGHLFDLKGNRMVKVFLGRKLDYEPKHCEKHINEGDFMTAVEFSADHPYGQAIALLDRKSGCLKAKEDWFVLPGLISAFILSYTLKKKGQGGLTIDSATKKVNAETDQPANLTTSLVNEVNLDINLTLENEMKTEKDGPCNEGYGGENGIAVQGSTNVMMNSSGCGGCGAGGCGGGCGGCGIMVNSSGCGGCGAGGCGGGCGGCGIMVNSSGCGGCGAGGCGGGCGGCGIMVNSSGCGGCGAGGCGGCGGGGCGSRVRSSGINTGHDMKDVEPGNSAISNGCENPMYMEEAVKA >OMO56332 pep supercontig:CCACVL1_1.0:contig14536:13208:15825:-1 gene:CCACVL1_26620 transcript:OMO56332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLGLELSIPTKNNSLLFSFNEKLSPATLKAHRLSSPSHFSFKLKPRSRSGKQRHSFSPQKDLLFVPRLQASRVANAEVEEQVEVEVAEGYTMTQFCDKIIDVFLNEKPRVKEWKKYLILRDEWNKYRESFYNQCRTRAEKEVDPTMKEKLISLQSKVKKIDDEMERHSELLKEIQDSPTDVNAIVTRRRKDFTDEFFRYLTLVSETYDGLEDRDGMARLAARCLSAVGAYDKTLEAVENLDAAQAKFDDILNSPSVEVACEKIKSLAKAKELDSSLILLINSAWASAKESTTMRNEVKHIMYCLYKATKSSVKSIAPKEIKLLKYLLNITDPEERFSALATAFSPGNEHEAKDPKALYTTPKELHKWIKIMLDAYLLNKEETDIKEAKKMTQPVVIQRLFILKETIEEEYLGQNSAGTREKTVDKTESEEF >OMO56335 pep supercontig:CCACVL1_1.0:contig14536:29259:29976:1 gene:CCACVL1_26623 transcript:OMO56335 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine-threonine protein kinase, plant-type MANLSIKEELLTTHFLFISAKPYIRESKIDEIVDPSIKGGYHAEALWRVVEAALACIEPFSAYRPCMADIVRELEDALIIENNASEYMKSIDSIYSLGGSNRFSIVMEKKIVVAPTTTPTASEPSPINTQALAPPEPR >OMO56341 pep supercontig:CCACVL1_1.0:contig14536:50753:59664:-1 gene:CCACVL1_26630 transcript:OMO56341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAIQMNQIEASVLYEDDNALSLASMDDFELGFQERRDVYLKFVTTGNEGNLIHQENVELYKKIKNTKKEYCLL >OMO56331 pep supercontig:CCACVL1_1.0:contig14536:9514:12651:-1 gene:CCACVL1_26619 transcript:OMO56331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperone DnaK MAASVLRRVLQRRELQAASISAYKSLTGNSKTLLGNSPLVKWTSLARPFCSRPLGNDVIGIDLGTTNSCVSVMEGKNARVIENAEGARTTPSIIAFNQQGELIAGTPAKRQALTNPTNTFFGTKRLIGRRFDDPQTQKEMKMVPYKIVRAPNGDAWLEANGKTYSPSQIGAFVLTKMKETAEAYLGKTVDKAVITVPAYFNDAQRQATKDAGRIAGLNVERIINEPTAAALSYGMNNKEGIVAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEYLVSEFQKSDNIDLSKDKLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFEGIVSRLIERTRIPCTNCLTDAGLSVNDVDEVLLVGGMTRVPKVQQIVQEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTASDNQSQVGIKVLQGERHMAADNKLLGEFNLVGIPPAPRGMPQIEVTFDIDANGLVTVSAKDKATLKEQQITIRSSGGLSDSEIEKMVREAELHAQKDEERKTLIDLRNSADTSIYSAEKSLSEYRDKIPSEIVSEIESAHADLRNAMAGDNIEEIKAKMEAANKALSKIGEHMNKGSGSSGGSHGGSQGGDDDQTPEADYEEAAGRK >OMO56339 pep supercontig:CCACVL1_1.0:contig14536:44836:46261:1 gene:CCACVL1_26628 transcript:OMO56339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPSVIMGGLLALALKDEVKPGWAFWLAKTAVIVPLICPPNQSGGLTLFELKPGCGKSKSN >OMO56329 pep supercontig:CCACVL1_1.0:contig14536:768:1346:1 gene:CCACVL1_26617 transcript:OMO56329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LANMSDPKYAYPYPAQGYYQGPPVMAPPQYAAPPPPRKPGFLEGCLAALCCCCLIDECCCDPSILFVW >OMO56334 pep supercontig:CCACVL1_1.0:contig14536:25313:28935:1 gene:CCACVL1_26622 transcript:OMO56334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEVLAHWILRCLVFLILCFFILVPSTSAEDFLSIACCAESSYTDKSLVWVPDDQWFKDKKTCRNLNPGNERARIFDIKSGKICYNLPTIKDQDYLIRGSFPAGETKGTKLDSVFNVSIGVTPLALVNSLEDFVVEGIFRAVDSYTDFCLVQGKGDPYISSIELRHLNDSEYLNDKPFSILKLVNRSDLGGIGDTRFPEDKYDRIWKPASSLYSRTNSPVTFHNNASTKVPLKVLETAVTDSNRLEFLQNDLNSGDYNYTVILYFLELNDTVTRTGQRVFNIYINNERKEENFDILASGSNYREVVFNVTAKGSMNLTLVKVSNGSEFGPICNAFEIFQVRQRDQRQTDNNDVMLMKNVKQELLMSNQGNTLLGTWTGDPCLLEPWQGLTCNSFNGTSIITDLDLSSSGFQGLIPTSITRLTHLKTLNLSNNDFSGQIPIFSPSSNLTSVDISNNELQGSLPESLISLPDLKILYYGCNQLNNDLPSSLNSSKLSTDYGACRRKSRGPIKGIVIVAAATGSALVTIAIGAVIVCLYRQKLMARRKYDAKRLYKAKREAAKRKVLDWPTRLSIALGAARGK >OMO56337 pep supercontig:CCACVL1_1.0:contig14536:32354:39174:-1 gene:CCACVL1_26625 transcript:OMO56337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M3A/M3B MASAASVDENIGSNPLLQDFDFPPFDVVQAEHVRPGIRALLKQLESDLDELEKTVEPSWPKLVEPLEKIVDRITVVWGMVNHLKAVKDTPELRAAIEEVQPEKVKFQLRLGQSKPISNAFKAIRESPDWESLSEARKRIVENQIKEAVLNGVSLEDDKREQFNKIEQELERLAHKFSENVLDATKKFEKLITDKKEIDGLPATALGLAAQRAVSKGHENATAENGPWVITLDAPSFISVMQHARNRSLREEVYCAYISRASSGDLDNTPIINQILQLRMEKAKLLNYKNYAEVSMATKMATVDKAEELLEKLRSASWNAAVQDVEDLKNYSKSQGAPEADDLTHWDINFWSERLRESKYDINEEELRPYFSFPKVMDGLFNLAKTLFGIDIEPADGLAPVWNKDVRFYCVKDSSGSPVAYFYFDPYSRPSEKREGAWMDEVVSRSRVLSRNGTTSRLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRGIEWDAVELPSQFMENWCYHRDTLMSIAKHYETGETLPEEVYLKLLAARTFRAGSLSLRQLRFACLDLELHSKYIPGGSESVYDVDQRVSKRTQVIPPLPEDRFLCSFNHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLEDSKAVKETGHKFRETILALGGGKAPLEVFVAFRGREPSPEALLRHNGLLPVTA >OMO56338 pep supercontig:CCACVL1_1.0:contig14536:40094:43803:-1 gene:CCACVL1_26627 transcript:OMO56338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase MAMAMALRRLSSSIDKPLRPLFNASSTLYYMSSLPNEAVYEKEKPGVTWPKQLNAPLEVVDPEIADIIEHEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFRLDPEKWGVNVQPLSGSPSNFQVYTALLKPHDRIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGLIDYDQMEKSATLFRPKLIVAGASAYARLYDYARIRKVCNKQKAIMLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVLYDFEDKINQAVFPGLQGGPHNHTITGLAVALKQATTPEYKAYQEQVLSNCAKFAETLAKEGYELVSGGTENHLVLVNLKNKGIDGSRVEKVLEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFVKVAEYFDAAVKLALKVKAEAPGTKLKDFVATLPKFQSEIAKLRHDVEEYAKQFPTIGFEKATMKYEFARMNFTAAGAE >OMO56342 pep supercontig:CCACVL1_1.0:contig14536:85362:85427:-1 gene:CCACVL1_26631 transcript:OMO56342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATREWWLADTKFLGSFF >OMO52291 pep supercontig:CCACVL1_1.0:contig15550:18778:30377:-1 gene:CCACVL1_29273 transcript:OMO52291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGGNKRRSKNNNSKSSNNKTKSSGGRSRGDPKSSSTRFRNSLFVEGGLLSDWRLDSRGRNKNANSNSGLNSDRAKDSASKNGSSRKSGGRAVPYEYPSLNLQDPESGIQGQNGDNNIDESHPIVLVDSKETQIIAYLDQTTPPKPHQVNYTYDYSSDFVLGDISHRGLGFGDESEATPNRIESSSKQMEEQEGASSGLSSSEKEMDADHGDNSKLDAEVFEEGFANVQSSKKNSGFLSIGGVKLYTEDMSDVETDEDYDGESLDDESSETTDQEEQDGLYESDTSGSLSDDDSDIDEDVAEDYIEGIGGDDSVLDTKWLVGQALEESDDDSSSSSSFDETLEKLGGIALQDASMEYGVKKHKSRKKYNGGANDIWSSALDDLMLVKDPRTVSGKKKPSAKFPRSWPLQEQKSKNSRRLPGEKKKHRKELIAVKRRERMLRRGVDLEQINSKLEQIVLDGTDTYAFQPMHHQDCSQVRKLAAIYRLSSGCQGSGKKRFVMVTRTQYTSLPSSTDKLRLEKLIGAVDEDAELSINGGLSIKSVAAGRSRPEKVGKGSGMKRVNSRNTGKSSEKEGSGKKGSYANQPVSFVSSGLLLSETVEVRTMDSEDTTETPEHKGIVSSSQFGAFEVHTKGFGSKMMAKMGFVEGGGLGKDGQGMAQPIEVIQRPKSLGLGVNFSNTSSESERVHNKTSSASEKRIKGFSDPSRGQNRSFGAFERHTKGFGSKMMAKMGFVEGMGLGKDSQGMVNPLVASRRPKSQGLGASTKSYPHKYAVGDYLSVKVNSLTSIDTEMPFSYYSLPFCKPAEGVKDSAENLGELLMGDRIENSPYRFKMYTNETEIFLCQTDKLSGDDFKLLKKRIDEMYQVNLILDNLPAIRYTRKEGFMLRWTGYPVGVKVQDVYYVFNHLKFKVLVHKYEENVARVMGTGDAAEVIPTIGNGGSDVPGYMVVGFEVVPCSVLHNGNSLKNLKMFDKYPSPIKCESTTVSMPIKEGEPIAFTYEVAFEVSDIKWPSRWDAYLKMEGSKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPSNPALLCIMVGDGVQILGMAVVTILFAALGFMSPASRGTLISGMLFFYLILGIAAGYVAVRLWRTIGCGDPKGWASVAWKAACFFPGIAFLILTTLNFLLWGSHSTGAIPFSLFVILLLLWFCISVPLTLVGGYFGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLVLLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSINYLIFDLKSLSGPVSATLYLGYSLFMVLAIMFATGTIGFLSSFWFVHYLFSSVKLD >OMO52293 pep supercontig:CCACVL1_1.0:contig15550:41490:41828:1 gene:CCACVL1_29275 transcript:OMO52293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGAGEMMLRCVLDGSLRMQETEIERRPYHRNCSCALHNLKGTICSSSSSCSRSRTISFSKKKTWNDVSLSMATSHFSSQSSLVAASEFRSLESKTSMGFCCFTDGENMS >OMO52294 pep supercontig:CCACVL1_1.0:contig15550:46222:47217:1 gene:CCACVL1_29276 transcript:OMO52294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase, class-II MESPLRTKVCIIGSGPAAHTAAIYTARAELKPILFEGWMANDIAPGGQLTTTSDVENFPGFPDGILGLELMDRCRNQSLRVGTEIFTETVNKVDLSSRPFKIITDAKTVLADTVIVATGAVAKRLSFPGSGDAPLGFWNRGISACAVCDGAAPIFRNKPLAVIGGGDSAMEESTFLTKYASKVYIIHRRDTFRASKIMQSRAISNPKIEVIWNSTVVEAYGEGERGVLGGLKVKNLVTGEVSDLKVCGLFFAIGHEPATKFLDNQLELDSDGYIVTKPGTTQTSVHGVFAAGDVQDKKYRQAVTAAGTGCMAALDAEHYLQEIGSQEGKSD >OMO52295 pep supercontig:CCACVL1_1.0:contig15550:48488:48829:1 gene:CCACVL1_29278 transcript:OMO52295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAAEMIFQGIFEGSIVMQDSLIERRPYHRNCGCALHKLKGDCSSACSRTGNLSFPKKQTWTDCSLSLSASIFSSQSSLHAADVFSVRSQEDANAAHVTIHTKNTLEAQCS >OMO52292 pep supercontig:CCACVL1_1.0:contig15550:35469:35813:1 gene:CCACVL1_29274 transcript:OMO52292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAADGLFRSVYEGCISGSDIGIERRPYHRNCGCALHDKSKGNCPHAFPKNKNVSYPLRRAWSEGCLAMAVASSCHSSPSSSPALAPGVHHGKHHLGSYKEEDEDDLVIAKV >OMO52290 pep supercontig:CCACVL1_1.0:contig15550:8077:10749:1 gene:CCACVL1_29272 transcript:OMO52290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENDSDYVESEWSDDEENDEENGNQYFQTPEENIGEGETETHSRFSAGISLHDTRATHSGSVELSMINTAGIEAEPTLLNTDDTQIRLESQVAIDINSEIRSQSQAQPEVTRSEFILPYRICRVREEENERANFTPRIVSIGPNYYKKRGLEDMEAQKFKYLNRIQNNIRQRLLEAMRRLERETRNCYLKSFNRINSDDFVNMMLADGCFIIQLFRLNQQIRQGQQVINDPIFGNQWIISNLRLDLLMLENQLPFFVLQEIFSLTANLNSTQGGNTTLNQLALQFFESVWPSEDGENPISSSNIQGNTQLHLLALFHSSFINININEEPALDKSLSRRYSALPMKGWVYNAERLRQAGLRLLEENSGNLLNIGLQRSRLRIPTLFINARSKIVLKNFLAYEQSNSHATPYFASLVMLFYSLIDTPKDVQLLRGRGILRGGSRNGEEVVALFSSLSKDIVLDPDDCLIAQQVQDINRHCKTCQAWFFTFATGPMLRILTFFASGVVSSILFYQGLRYVRYNFS >OMO95298 pep supercontig:CCACVL1_1.0:contig07702:589:1569:1 gene:CCACVL1_05437 transcript:OMO95298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 AAITTHALFPRQQRIGQPPSLSLSQDVVSVQSTDGTSPGPVVSVPKFLFSCGATFMVEGLANGVTGMAGLGRHPISIPSLFSAAFSFDRKFAICLTSSTKSKGVIFFGNGPYIFHPNIDVSKDLIYTPLILNPVSTDAIYYEGSPSTEYFVQVKSIKINGKTVPLNSSLLSIDDQGNGGTKISTVNPYILLETSIYNAVIKAFVQEINANVSQVAAVSPFGACFSSNNIGKTRAGPAVPPIDLVLQSELVYWRIWGANSMVQVNENVLCLGVVDGGLNPRTSIVIGGHQLEDNLIQIDQATSRLGFSSSLLLKQTTCSNFNFTSIA >OMO60181 pep supercontig:CCACVL1_1.0:contig13767:2803:6525:1 gene:CCACVL1_24340 transcript:OMO60181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVPLVPLGMDELSVLVDQWHVDGTLRVNPNAKEPTEEEKCDPRFCQAHHYVNHFMNCQLLRKIVHRRIANDTLEVLVRLQAVNKDFEPRYNKNPSTNKIDVAIAALKGDKMESNARKLATKMPISAVDQTKIMHLFMECVDREKRGCRLPQPLLIQPFLSVSPPIFVDNECQEWYGARYHNSFIIEKVLPTNIDQELGVGPLFVNRGWESLLQVDGYYYPNLVKQFYANMDKEREGYSYTINTMVKETSQADSGLKTAELGFGLQRKVVDLGVSFPTSTHGLKTDI >OMP10285 pep supercontig:CCACVL1_1.0:contig02659:553:636:1 gene:CCACVL1_01002 transcript:OMP10285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASLFAKLDDTAFSFNQTRMTIRYLPDC >OMO54582 pep supercontig:CCACVL1_1.0:contig14951:34477:35158:1 gene:CCACVL1_27734 transcript:OMO54582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSCVSCDLTKDKKECSNQLATLSKCIPFVGGETKIPDSTCCNNLRKQINQTKKCLCFLVADRNDPDLGFKVNATLALALPSICHAPSNASECPGLLHLPPNSTDAKVFEDFAATYEKGNSSAADVTGTSTSSSTRLKEEKWVQVWNVGRILACLLLSICMIYI >OMO54584 pep supercontig:CCACVL1_1.0:contig14951:43428:45136:1 gene:CCACVL1_27736 transcript:OMO54584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAKNLVHSTKTLLSHPQIEHHQWRGIVKVRLKWVKNRSLDHVIDTETDLKAACLLKDAIKRSPTGFLTSKSFADWQKLLGLTVPVLRFLRRYPTLFEEFPHARYANLPCFRLTGTALLLDSQEQSIYQAHENDTVERLCRVLMMMKSRTVPLQSLHPLRWDLGLPDNFEKVLIPKFPDHFRIVKAPIGVAAIRLVQWRDEFAVSALERSNEGNEKGDAYRQFKKGQTTLAFPMNFPRGYGEQKKVRAWMEEFQKLPYISPYEDSRHIDPNSELMEKRAVGVLHEFLSLTIHKKTKRNYLRSLREELNIPHKFTRLFTRYPGIFYLSLKCKTTTVALKEGYRRGKVVNPHPLVGLREKFYHIMRTGLLYRGKDMNLIRREDFLQNNLENRVEDDDDEEEEVETDEEYYEETSDVEDECS >OMO54579 pep supercontig:CCACVL1_1.0:contig14951:603:1334:-1 gene:CCACVL1_27731 transcript:OMO54579 gene_biotype:protein_coding transcript_biotype:protein_coding description:S locus-related glycoprotein 1 binding pollen coat MAKLSRNIVMVLFATLLVTTLMMFKGVEGQEICHVELKAPGNGICDAKSCKDQCAAIWNGSGLCVQSVQNLFSCNCSFPCGSDTKQD >OMO54583 pep supercontig:CCACVL1_1.0:contig14951:35936:40270:-1 gene:CCACVL1_27735 transcript:OMO54583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MSGEEKMEAEEETWIWNKETVPKVMKIVSTRLSQRDLISLLLVSPSLHRTLLSYPSLWLAIDLREMNNAGDRLVSALSLPRYQHVRQISLEFAQDIDDGHLEILKSKCSDSLQGLESLNLNGCQKITDKGIEAITSCCPKLKVFSIYWNVRATDIGIKHLVKNCKYIVDLNLSGCKNLSDKSLQLVADNYTDLESLNITRCVKITDSGLQQILIKCSCLQSLNLYALSSFTDEAYKKISLLSHLRSLDLCGAQNLSDEGLFSIAKCKNLISLNLTWCVRVTDVGVIAIAESCTSLEFLSLFGIVGVTDKCLEALSKFCSNTITTLDVNGCIGIKKRSREDLLQLFPHLRCFKVHS >OMO54581 pep supercontig:CCACVL1_1.0:contig14951:28266:33130:1 gene:CCACVL1_27733 transcript:OMO54581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MLGVLRVHLPSDIPIVGCELTPYLLLRRRDNTVSPDDVPESAPLDGHFLRYKWYRIQSDKKVAVCSVHPSEQATLQCLGCVKAKIPVAKSYHCSPKCFSDAWQHHRVLHDRAASAVNENGNEEEEIFGRFNSTGSGVINASLTGSASSTSLTNGSTPLYPAAVTQRSGGETWFEVGRSKTYTPTADDIGHVLKFECVVVDAETKQPVGHPNTILTSRVIPAPSPSPRRLIPVSGADMMGHLDSDGRISSSGTFTVLSYNILSDSYANSELYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQSDHFEEFFAPELDKHGYQALYKRKTNEVYSGSTHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTEVAVQSTQKKAALNRLVKDNVALIVVLEAKFSNQGADNPGKRQLLCVANTHVNVQQELKDVKIWQVHTLLKGLEKIAASADIPMLVCGDFSSTPGSAPHSLLAMGKVDPLHPDLLVDPLAILRPHSKLTHQLPLVSAYSSFVRGVSLGLDQQRRRMDQNTNEPLFTNCTRDFIGTQDYIFYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCMPRPRR >OMO54585 pep supercontig:CCACVL1_1.0:contig14951:47026:51047:-1 gene:CCACVL1_27737 transcript:OMO54585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSWADSVANSASEIAVADNNPLPRPTRSTYVPPHLRNRTASEAPTLSGPVTDRAGFSSAAGGSQWPRWGGGSGGNKPESGRPAQGYGYPGAGRGGGGGGGWNNNRSGGWDRREREVNPFGDDEEVDTEHPFNEQENSGINFDAYEDIPVETSGDNVPPPVNTFAEIDLGEVLNQNIRRCKYVKPTPVQRHAIPIALGGRDLMACAQTGSGKTAAFCFPIISGIMREQYVQRPRVARTVYPLALILSPTRELSCQIHDEAKKFSYQTGVKVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPTRGVRQTMLFSATFPREIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEFVQETDKRSHLMDLLHAQRENGIHGKQALTLVFVETKKGADALEHWLCMNGFPATTIHGDRTQQEREMALRSFKSGKTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNLSLSRPLAELMQEANQEVPAWLTRYASRAPYGGNKNRRSGGGRFGGRDFRREGSFSRNLDYYGGGNSSTAAYGVPGNYGGGYAPGVTSAWD >OMO54580 pep supercontig:CCACVL1_1.0:contig14951:6154:20675:-1 gene:CCACVL1_27732 transcript:OMO54580 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like protein MAKLSRNIVMVLFATLLGTTLMMFKGVEGQEICHDVLKAPGNGICDAKSCKDQCAAKWNGSGLCSVKLGALTSVFILRSVSALNHGALGGDLYDHESLVSAIKQVDIVISTVGTYQLADQGRIIEAIREVGNVKVRCLQKLQAIV >OMO50206 pep supercontig:CCACVL1_1.0:contig16262:795:869:-1 gene:CCACVL1_30574 transcript:OMO50206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVQHIKVILLVSKRDWSKSDGYE >OMO58004 pep supercontig:CCACVL1_1.0:contig14284:33565:34942:-1 gene:CCACVL1_25623 transcript:OMO58004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AWECTPGKEMAWNILSLFTTSGMLFLEVSLVAFLLQGNYASGLEALTRTFAVSGLIVGLDLLLKAIYLFGFGVPVFIDNIEHSHQVKWGLWVVHRLVLTAVYGFILFMYHSKWRERLPARPAFYKYVTIMFILNALALLACGLTGNGSNFGFWLYSVTTVCYHAFYLPLLYITFLADFFQEEDLNLENVYYSEMKDAGFFDADWE >OMO94598 pep supercontig:CCACVL1_1.0:contig07830:6706:6855:1 gene:CCACVL1_05907 transcript:OMO94598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSHDLDKDKMYIPSIEIAEGPEKEIFMFIRYKYKPLAKKDTSISLQI >OMO62351 pep supercontig:CCACVL1_1.0:contig13280:15406:19230:1 gene:CCACVL1_22877 transcript:OMO62351 gene_biotype:protein_coding transcript_biotype:protein_coding description:cc-nbs-lrr resistance protein MRNIIEWPSLRNMDVYGCDKVEIFALEYPSSQETQGQSQVVIPIQQPLFWINEVTFPCLEELRLECNANMKEIWHGTLPEGYFKLKVLELIKFPAQETFLPSYFFQSLSSLENFVVSDASFLEIFQCEELGAEEHQERAFSKLRGLRLSKLHELTHLWKENFKPGAMMYSMQALEVQDCGKLKILVPSSVSFENLATLEVSRCQGLKYLVAYSTAKSMVHLTKMSIIDCKMMEEIIACSGDEVKEGIVFTQLKFLGLSCLPNLASFFSGNHTFKLPSLERVEYHSTEFLVLSDSSKLMEIRNWNPQGIFDFKNLKFLKVYKCRKLRYAFNPAMAMDLVHLQELEIHDCQMLEEVAFPSLEKLGFIDMESLRKICNHQFSIDSFSKLKVLTLMSLPKQSAVLPYSFFHSLSKLEKLVVDDASFTEIFPCGVGRNLQAWELTHFSDLRLSKLPELTHLWKEELQSQPGILFQNLRTLKVFECPKLKSLALSTVCFENLTTLEISRCHGFIHLITPSTAKSMEQLKRMRITDCKMIEDIIANGDEEWKDGIIFKKLEYLRLQSLPSLKVFCMECYHFEFPSLVEFIATECPMLSVFSKGETCTPLLRRVRLGGEENRPLEKQDLNITINELYRKKASTLFQSATRTQNDVKDLEFLEWKLSELWRPSSVE >OMO62354 pep supercontig:CCACVL1_1.0:contig13280:70210:75067:1 gene:CCACVL1_22880 transcript:OMO62354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEENPPLSSQALFNKQDNLYLRHSFPQQQKQRQQSRDTFGEAENDDPFQEGKLGMVLQIR >OMO62353 pep supercontig:CCACVL1_1.0:contig13280:25677:45926:1 gene:CCACVL1_22879 transcript:OMO62353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSMQEELDRPMILAVKCHLKEPRNEVAYKLAKYLKFPLIDQEEITQVLQDSQHSNDISFEIVLKIASTQLTKLKLGVILSTPISQNTHLDKLKHLAKSSDAILVIIQCLPEDASNGYEIVGVSKLTIDTEKKDFVAEDFVSKELDKVKKRIYRHLHPLIFNTKPSYGTEGHCQSCQRIIQGPSYQCILGCDEYVFDKACAELLGDLDQVRKNCPEYLRVTEPEYLFPRKLRQKCNVCVKEFSDSCHDCLFRTNMRESDQVRVSIEKRLEEIIENYNEKRYRHVLGIGTCVFKPSRFHSSWAGGRWG >OMO62350 pep supercontig:CCACVL1_1.0:contig13280:6085:6147:-1 gene:CCACVL1_22876 transcript:OMO62350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDGGGGRQAKAKRLPISF >OMO62352 pep supercontig:CCACVL1_1.0:contig13280:20440:24564:-1 gene:CCACVL1_22878 transcript:OMO62352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAFETTHILLTKLNFIRSDEINYPGLKSQVMNKFIEELQNLKKILESEDNYQGSNEAISAEWNELLDNMYSLEDEIETLFGRKMLPLHSRKVQRKRHISTHFLNEMFSSNKIEHLTERVSDYCKKIQPSPSNESGVVQHQVTDSKNKQVPPAELIPPTKSSTGSEDLQFSDDKEAITPNAALIVMTDLVKKLNQQVLSRLTLQYLILTVDGAYLGKVILLWAVYNAADIKSHFPCRAWVRVSEELGQREVLLAILKQVTSGQVQDEDRLPLRSPQRSLHDFLVSKRYLIVLYGVRKAEFWDNIKAAFPCSLNGSRVITVIHGEYLTRQINRWIIGKGRSYPSNLTELKEQLLVGCPYLEEEDGITGVKAAIEKLTQSILNPHILKFLISIKGMVGSGKTTLLWPMYNAIDVKQHFHCRAWVDVQQDFQEKDMLTSIFEQVASLKLKEPTVESLRVRLRNFLARKRYLVVLYNVWTSKIWDNLELSLPNLFNGSRVIFTLSEGEVNEAQKIYPSLSKTIPVEMLPEIQYTSDQKGEEHCDMLSDVKDEEASIVGLDDKVKKLAELTLNSYKLHFLISVLGVAGSGKTTLVRTIYNSVASKRHFKCRAWVSVPMKLDEFSERQLLIDLLEQLRNAKQKESSAIEQLRERLHLFLTWKRYLIVLDDVPTPDAWERLRLVFPNLSNGSRVIITTRNAYLAYHINPETVVLQLRHLTDSESWALFLKKVATVKKKQIAINDLQLKGKILQRCQGLPLHIVLLGGLLSMRDTCDEWLSVINRSIHKLDKKMVVRAEDQMKSSDKSASSGTKQGNKKRVTIMEHQAEDKEDLTTQSASSATKKKEQVKMSSMTTYGQHQSNSDQLTFSTDMPFSDIMALAYQDLAPPLKCCLLYLGLFPKSYEIPIRRLYQLWLAEGFAIPADPMRTNPEKLLEEYFEELTRRNMIEITKLKLDGSPKTCRVTNTIYDTLFLGTEKVGFFHISSSSGTRGSPWFSIRRLSENYISSLQSKDIENRVRHLRSYISFYGKRGDAPTYGVKELLSKVVEEGFGMLVVLDLEGVYRPVLSDTVGKLPYLRYLGLRRTLLDDFPQSVGELSHLETLDIKHTFITTLPSTIWKAKKLQHVYMSDIDVDMSTLKPSTYGSLNNLKVLWGLVIRKESLTRNRLKVLLGLRRLKLTCHDASNNEGICTWISQMDKLQSLKLRLINKFNQPLDLGVEDMRKWTQSSLSQLYLLGKLPKKIAIGDLPENLEILTLSMSNLSEDPMETLGKLKQLKVLRLYAQSFLGVNMTCSSGGFPQLRVLKLWMLYKLNCWTVEEGAMPMLKELEIRCCENLEKLNGLEKLTALKELTLTNMKENFVERVKRSMDTNVAIMTNFGFLLHG >OMO54110 pep supercontig:CCACVL1_1.0:contig15054:50875:57774:-1 gene:CCACVL1_28050 transcript:OMO54110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KIKAPPPSTAKLLNILLLKLWMPSIEVVYPFRIQTSHILRPRKGLQVSIFPGISKCQAPNKRRKPLVPLQKNLLRPQGRRLSGIYQSTWAHGRAPLGLAVWPKCLASKIKCHTCLSHLESGQSGFDS >OMO54109 pep supercontig:CCACVL1_1.0:contig15054:17254:17328:-1 gene:CCACVL1_28049 transcript:OMO54109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKHLPKGAIDDPSRISPEDAIE >OMO89680 pep supercontig:CCACVL1_1.0:contig08652:34896:36355:-1 gene:CCACVL1_07710 transcript:OMO89680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Staygreen protein MGSLTFGPVLPTKPRPSVFEQNRSLFVSRRRSKKKNQAIVPVARLFGPAIFEASKLKVLFLGVDEKKHPGKLPRTYTLTHSDITAKLTLAISQTINNSQLQGWANKLYRDEVVAEWKKVKGKMSLHVHCHISGGHFLLDLCARLRYFIFCKELPVVLKAFVHGDGNLLNNYPELQEALVWVYFHSNIPEFNRVECWGPLVEAAAPCSTSSVADGTHQQDQSPKEEISLSNCNWELPEPCQENCNCCFPPMSLIPWSQKLPDENEKHGAQQGLPLTNNMKTIE >OMO89679 pep supercontig:CCACVL1_1.0:contig08652:29624:33702:1 gene:CCACVL1_07709 transcript:OMO89679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPTISSSNQRNQSASSSSASSTPRLNLPSRMADSSLNLENLPSRTMHINRMINSNYSRTPSRTIYSDRFIPSRSGSNFALFDISNSPTSAEGKEDGSGTYNSLLRAALFGPDTPDKKDSFGLPVNRNIFRYKTETKRSLHSLSPFGLDESVPGITHSPVKAPRKVPRSPYKVLDAPALQDDFYLNLVDWSSSNVLAVGLGNCVYLWNACSSKVTKLCDLGIDDSVCSVGWAQRGTHLAVGTSNGKVQIWDTSRCRRVRTLEGHRLRVGALAWSSSVLSSGSRDKSILQRDIRAQDDFVSKLSGHKSEVCGLKWSYDNRELASGGNDNKLFVWNQHSTQPVLKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNTDSEIGASSFGRTTIR >OMO89671 pep supercontig:CCACVL1_1.0:contig08652:7057:8534:1 gene:CCACVL1_07701 transcript:OMO89671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEETQQDQFSSEALPIVLVNRLPSFKFLANPPFGDLLYSNFNLLDPHDSPEPYDSFLSRHAHSITALVTVGPTPVTDDLLQRLPCLKLVVGTSAGLDHIDLPACRSRGITVTNASSAFSEDVADCAVGLLIDVLRRISAADRFVRGRMWPVEKNYPLGFKLSGKRVGIVGLGSIGSEVAKRLSAFDCSISYTSRNKKPSVPFPFHTNVLDLATNSDILVLMFSKMSLMCRMNYLDSTMSYCLHIA >OMO89675 pep supercontig:CCACVL1_1.0:contig08652:16701:19153:1 gene:CCACVL1_07705 transcript:OMO89675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLAFTSTPPRLFTATIQKPLVCFSSSSFSSTTRVQSNGKQQLCVRRSLFLLPIKATADQQGQVEGEEVVDSNVLPYCSINKKEKKSIGEMEQEFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSGNPILTDKEFDDLKLRLKMEGSEIVVEGPRCSLRSRKVYSDLSVDYLKMLLLNVPATVVALGLFFFLDDLTGFEITYLLELPEPFSFIFTWFAAVPLIVYLAQALTKVVVKDFLILKGPCPNCGTENTSFFGTILSISSGGTTNNLKCSNCATPLVYDSRTRLITLPEGSEA >OMO89672 pep supercontig:CCACVL1_1.0:contig08652:8897:10796:1 gene:CCACVL1_07702 transcript:OMO89672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAQEEELPLVLVHRIPNFDFPFKHRLQAQFRLLDPLDSVPPESTHSFISRHAASVRVLLCVDPTPISRDLLSLLPSLQLIVCSSAGVDHVDLIECRRRSIAVTNAGKAFSPDVADLAVGLLIDVLRRVSAGDRYVRAGLWARNGEYPLGFKILLKHQFSNAFGKRVGIVGLGSIGGEIAKRLESFGCAIGYNSRRKKPSVPFPWYKTAYDLAINSDILIVCCALTEETRHIVNKDVMVALGKGGVIINVGRGALIDEKELVQLLVQGELGGAGLDVFENEPDVPEELFSLNNVVLSPHCAVATPECFDALEELITANLKAFFSSKPLQSVVEFD >OMO89682 pep supercontig:CCACVL1_1.0:contig08652:51810:57312:1 gene:CCACVL1_07712 transcript:OMO89682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVTSANPAAVDSSSPSSSSPTPSSITESKDESVKEEQNQEQQKQEAIKECMHKTKTIQFLGRTTPIILQNDNGPCPLLAICNILLLRNNLNLSPEISEVSQEKLLSLVAERLIDSNSNVNNKDAGYVENQQQNISDAIDLLPRLATGIDVNIKFRRIDDFEFTPECAIFDLLDIPLYHGWIVDTQDYETASAIGSKSYNAIMGELVALETRNMEVPQKDNSEDCVDFAAATTATLGVPSPCLSKTRSFDDSPRSVSDQQTSRKGDLEEEAELLRALKLSEAELPSSVGDHGSLDERSCTENLVSVDSVDKQSEEKCVENQKSHRHELSFSDALSNDSGSKTCFETVTKEESLKTDGIYQEQPFNVKSEVVLSNDQDEKSNVETMVVSLAKDTASVDGNHTEISLGVEKVETQSTSAAANHDILDNMNGCDTTEVTSLSLQNADSDSSSGRIHHADVPEAFTSSLDGSEPIYEGEECILDSVPTTYEDREPIYEGEVILAEQADKRVVEGCNMRSKDEITPQQGELIRNFLKNNASQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKYDGELYLLATDQGYLNQPDLVWEKLNEVNGDTLFMTGNFKEFKVDSHASGTWDEQNAMANTADYIASIDSAAQAGLDITSDLQLAIALQQQEFEQQPQRQNVQQPPVVGASRLVTGPQ >OMO89677 pep supercontig:CCACVL1_1.0:contig08652:23287:24797:1 gene:CCACVL1_07707 transcript:OMO89677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFNSWRFRFLIWMAFATLAIIRGGGDIAKMWVKGSKTGWISMSHNWGASYQAFATLGGQSLSFKLTSYTSKETIIAYNVAPANWNVGMAYKSNVNFH >OMO89681 pep supercontig:CCACVL1_1.0:contig08652:40373:41572:-1 gene:CCACVL1_07711 transcript:OMO89681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MNKTIERYQKREKDPALSIKAVDEALQNVKEDAHSMAKKIDFLEDSKRKLLGNGLEPCSLNELQQLENQLERSLSRIRARKNQLFWEQIEKLKEEEKRLGEENANLREKCGMQPRNSTSPTSELEELHIQTMEVETELFIGPPERRSTKNPSIK >OMO89674 pep supercontig:CCACVL1_1.0:contig08652:16269:16382:1 gene:CCACVL1_07704 transcript:OMO89674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKKRKRGPQKSGHVTAQWTHVDKTRQTHVHLRAAS >OMO89676 pep supercontig:CCACVL1_1.0:contig08652:20151:21803:-1 gene:CCACVL1_07706 transcript:OMO89676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sin3 associated polypeptide p18 MAAATQTRKRPFPTRSYQPLPPPPPLASRPRLEPVDREKTCPLLLRVFKKIGSHHSEEDFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVAPEARRKNARLSFAFVYPGKRGRFLLRQVGVTNSYGNGRRVLDDNKALTDLRFQIGDYLDVAIL >OMO89678 pep supercontig:CCACVL1_1.0:contig08652:26371:27294:1 gene:CCACVL1_07708 transcript:OMO89678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLLFLTLIILSWASLISKKVEAEGIRSARLLDLLIRDYTVKSYDRHLKTGILHTVNLPANFSGIKVDAAKFRCGSLHRYGAQVKEFHLGSGVTVQPCAERVMVVRQNLGYNWSSIYYANYDLSGYQLVSPVLGLLAYNAGSDVSFGSPFQLGILAREKPIKIDFSNITKSFNMTGFRPLCASFEGDGKVTLKNQASPNVCVATKHGHFGLVVESPPSMPMRKKISRWKVAVGSSIGAALGAFLLGLLLVAMLVKVKKKARMEELERRAYEEEALQVSMVGHIRAPTASVTRTPPSIEHEYIHYPS >OMO89669 pep supercontig:CCACVL1_1.0:contig08652:1133:2230:1 gene:CCACVL1_07699 transcript:OMO89669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDDDPKFPISEQNTDELFQFFSDPQQEQKDEHDLTHHLSEDGNVAAVGKRKTAPGFGFKQKGNIPSHEGKRSISDHMHEAVNYIKHLQNRITELSDKRDELKRRSTITLHKPKSLTECTEEEDSQVEVRACFVGVEVVIKTGLRRSLLLSKVLEVLFAEGLNVVSCVSTSNVNERMLHTIISEVNDDQGSIELSELQKKLTEVINENLDQCPVI >OMO89670 pep supercontig:CCACVL1_1.0:contig08652:3007:4322:-1 gene:CCACVL1_07700 transcript:OMO89670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor 1-related protein MANDIESSTMRSPLVNEASSDDDAISTVEWLTMFMLYALVSFKFLLAVAVGAVVVSNSGISHFALHTGPGLAIYIISIILWFLNPCCLIGCRNVFPCNCLTLLLWPVSFAFAVGFSCSYSNGKTVLEAIILMSVVAVSLTLYSLVAMIKRAKFNLHPLFILTFLLLICIYVPIQIFHPFAELSTSIWGFVAALLFWANSYFTGSNTVKRFESVVPSPVYARLHSTFVATSSS >OMO89673 pep supercontig:CCACVL1_1.0:contig08652:11839:13039:-1 gene:CCACVL1_07703 transcript:OMO89673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MVTSVAPSPRVESLSSSGIQSIPKEYIRPQEELTSIGNVFEEEKKEEGPQVPTIDLKEIESEDPEVREKCRQELKKAAMDWGVMHVVNHGISDELIQRVKKAGQKFCELPIEEKEKYANDQASGKIQGYGSKLANNASGQLEWEDYFFHLIFPEDKRDLSIWPKIPRDYTEVTSEYARELRGLASKILSALSICLGLEEGRLEKEVGGMEELLLQMKINYYPKCPQPELALGVEAHTDVSALTFILHNMVPGLQLFYEGKWVTAKCVPNSIIMHIGDTIEILSNGKYKSILHRGLVNKEKVRISWAVFCEPPKEKIILKPLPELVSETEPPLFPPRTFDQHIQHKLFRKTQDGLSN >OMO64079 pep supercontig:CCACVL1_1.0:contig12867:1272:2066:1 gene:CCACVL1_22057 transcript:OMO64079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein, plant MALSSPFTGKAVPLNTQTELLTGIRSGNGRVSMRKTVGKPVASSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALVCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWATQVILMGAVEAYRIAGGPLGEVTDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >OMO64082 pep supercontig:CCACVL1_1.0:contig12867:13249:16409:-1 gene:CCACVL1_22061 transcript:OMO64082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MDDSCAVCADNLEWVAYGACGHREVCSTCVARLRFICNDRRCCICKTESNVIFVTKALGDYTRMINDFSVLPSEVREGRVGSFWYHEDTQAFFDDVDHYRMIKAMCRLSCSECDKMEEQSTDVAKRRGRFRNIDQLKGHLFHKHKLVMCSLCLEGRKVFICEQKLYTRAQLHQHINTGDSEVDGTESERGGFMGHPICEFCKTPFYGDNELYSHMSTEHYTCHLCQRQHPGQFEYYKNYDDLEIHFRRDHFLCEDEACLAKKFIVFQSEAELKRHNTMEHGGRMSRAQRNAALQIPTSFRYRRNNEDNRRGRGRTFRREPSDNDYQLSMAIEASLVTASDPPASSTAPVVSDHGDADDIDPLVQPFELLSTSDSDSSSRYLQALGASSRGAPLQESSFPPLFQGSSTSQQRPINNSESLANNTMAAHLRRQKNRNTNVLNSAQAWPATSWKPGKASSSQVRATTNVAAATSRGTGNGVAQLSYANSTQAQAQSRPTTADVLISSSSRVNSGNTSRISHSSSAPNLANSGLSEPSISDFPPVSSAQRRKQPPSNQVVMNVEDVQTANRSLVEKMRAALENDEDKYNAFKEISGQYRQGLIDTDRYFGYVQQYGLSHLVLEMARLCPDAQKQKELIEAYNASFQSNGWQENGGVKGGAWLKDNNASKKGKGKSLDAIGGNSKDTLADSIMSSVRKLQSSYKPSEEEVEVLSKDGYRSSKGKSKVMVEEQQVELNASNQPSIKIGGQNDNLSAKVGSGDGGGGSKQRKKTSKFHRVRLGDGSMAALLDLKYSEPEPDPEPLDNKSDGNQLPVRGVWRKGGSQKLFP >OMO64080 pep supercontig:CCACVL1_1.0:contig12867:2483:10557:-1 gene:CCACVL1_22058 transcript:OMO64080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSQLNKRRWHLSSSQYLGEISALCFLHLPSHLSSLPYLLAGSGSQVFLYDLESSRMMQSFQVFQGIRVHGIICCLTDNALSYQVVICGEKRVKLFNLSLELLSKSNSQSKPEFCVDLSLVHSLPRFSHWVLDVLFLKDHCLAIGCSDNSVHLWDMSKSSLVLQVQSPDRCLLYSMRLWGDNLEALRIASGTIYNEIIVWKVVYQPDSPSLTSPVDDCTNLSSLNSNFVKCHDQQYKAVHLCRLVGHEGSIFRIVWSSNGSKLVSVSDDRSARIWTIHVGQNDCDESDYKRKVVGPVLFGHSARVWDCFISESLIVTAGEDCTCRVWSLDGKQLRVIKEHIGRGIWRCLYDPKSSLLITAGFDSAIKVHQLHTSVHKKLDLEEDAESKYTIEEAQISTIGIPNSMKHTGLMDSKSEYVRSLYFKCEDILYVATNHGYLYHALLSETGDVKWTELARVSGEVPVVCMDLLSKDLSEPYCGIDDWIALGDGKGNMTVVGVTGNPSSPEVGFTFSWSAGAERQLLGTYWCKSLGSRYVLTTDPRGVLKLWRLHEPSLSVCDNSGRISLIAEFPSCFGIRIMCLDASFEEELLVCGDLRGNLVLFPLSKDLLLSMSVTSGVKISPLSYFKGAHGISSVSNISVARLSCNQIEIRSTGADGCICHLEYDKDQESFEFIGMKQVKELSLIESVSADDLANCNYAAGFASTDFIIWNMIAEAKVVQIPCGGWRRPHSYYLGDVPEMRNCFAYVKDEIIYIHKHWLPESGKKIFPQNLHLQFHGREMHSLCFVFENLQVQANEVENLADKSSWIATGCEDGTVRLTRFTPEKENWSASKLLGEHVGGSAVRSICFVSKTYIVASDASSIPSLEKGRNATSDSKQNPCLLVSVGAKRVLTSWLLRNRSLDEKEDICTRIKHNKSETGCEPTVKQCSSMSFRWLSTDMPTRSPSTVGRDNIVSTAKYVSSHNDDAKTGSPLAGKEESDSKTLLGNKYEDDWRYLAVTAFLVKQAGSRLTICFVVVACSDATLVVRALVSPHRLWFDVALLAPMPSPVLALQHVVVPMQMRDNQIGNLYMVISGATDGSIAFWDITESVETFVQQVSSLNIEKFIDCQKRPRTGRGSQGGRQWRSLNNTMSKKKFDGHSETRKAGDVAKSIRGTSSELNDLESSSKNCSEAMHNIMLESEISRIDSLPEICEIQPIHVMNNVHQSGVNCLHISVTDYQGSGNGFQFNVVSGGDDQALNCLQFKLTQPSTDLDTKILTPETIKSTIQSESIEKAVSYNSQNQTQNYHIRFYNPHRIASAHSSAIKGIWTDGTWVFSTGLDQRIRCWLTGEHGKLTEHAHVVISVPEPEALDARAFGRNHYQIAVAGRGMQMVEFFP >OMO64087 pep supercontig:CCACVL1_1.0:contig12867:36411:39275:-1 gene:CCACVL1_22066 transcript:OMO64087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVYWYDFVCFGIVAASFIGSLWVLWRKEAASRCEDNSEYESLLATRPDADGFVRATPRAHVGSNQLWTSCWRGVHPGWLVVTRFVSFATLAGFLSWDIVEWDASIFVYYTEILHEIVALDGAFAFYFYTQWTFALVMVYFGLGTVISAYGCWVCLSAHHPENGSSDEFLKRDMEEGATANYVSYREKDVRGKIKLQSHCAQEEFQQRAGFWGYLMQTIYQTCGGAVILTDVVFWGIIVPFLSNSHLGLNTLMGCMHTLNAVFLIVDTLLNSLPFPWFRLAYFVQWSCLYVVFQWVLHACGFTWWPYPFLELDTPWAPVWYFALALVHIPCYGIYALIVKVKNSILSRLFPHAFVRSY >OMO64081 pep supercontig:CCACVL1_1.0:contig12867:11077:12316:1 gene:CCACVL1_22060 transcript:OMO64081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein Atg8 ubiquitin-like protein MGKTPSFKDEYTFEQRLEESRDIIAKYPNRVPVIVERYSKTDLPEMEKKKYLVPRDMSVGQFIHVLGARLRLTPGKALFVFVNNTLPQTAMLMDSVYESYKEDDGFLYMLYSSEKTFGCAGNQSLQL >OMO64086 pep supercontig:CCACVL1_1.0:contig12867:34081:34694:1 gene:CCACVL1_22065 transcript:OMO64086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S27a MGNFRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >OMO64088 pep supercontig:CCACVL1_1.0:contig12867:40571:40642:-1 gene:CCACVL1_22067 transcript:OMO64088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAAAYTKELRLSWPLAAILRQ >OMO64078 pep supercontig:CCACVL1_1.0:contig12867:53:558:1 gene:CCACVL1_22056 transcript:OMO64078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNEKPHYSSGEKHTTYSRKQPIISFSMRGRGSVDDITVNMHNVKERYNYAEIGMLFL >OMO64085 pep supercontig:CCACVL1_1.0:contig12867:31992:33106:1 gene:CCACVL1_22064 transcript:OMO64085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MSSSGSKLLTLAMLCFIIFLCPLFSTDLASAAVASPLKVGFYKESCPSAETIVRKSVEKAMSRDATIAAGIIRMYFHDCFIKGCDASVLLDSPTAERTSKANNGSLRGLEVIDEAKAQIEAQCPATVSCADIIAFAARDSAYTAGGIYYAVPAGRRDGLFSSVNDVFPNLPGPDHNVTILAEKFANKGMSVDEMVTLSGAHAIGVAHCPTFSKRLYNFSATHAQDPSLDPDYAELLKMRCPPGATTMVPLSDLAPQNRLDNKYYAGVRKGLGLFTSDQTLMDSSLTSKMVADNEKDGASWGRKFAKAMVHLGSLDVLTGEIGEIRTICSKVAPGQ >OMO64083 pep supercontig:CCACVL1_1.0:contig12867:18131:28033:-1 gene:CCACVL1_22062 transcript:OMO64083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRCKFWLPKKNRLCANSPLNNSLFCGNHTQRTNAQWIPCPIDPSHTVLQENLEGHVKRCPLLKQVQSLSNQPYYQKGVNAGKDDEQEGEETSAPTSESFNNVTSEVKRNAVYSLKIPEFFELIRKIESLHARICKDIKDSYKIPEACGMWINNNRGVDSKLPFQEKHVTQQASILGNLEQLGVLKSSTGEEQYEDLELAKDRDVVPAVVEFGAGRGYLTQMLADCYGIRRVFLVERKSYKLKADRSLRQKESLILERLRIDIEDLNLNAVDSLQGLPYIAIGKHLCGPATDLTLRCCLASQRDVKQDGGNCYLRGLAIATCCHHLCQWKHYTNKKYLTSLGINKEEFNAITWFTSWAVDDDHGSDASNVIDFKLHQESIENEECSGEANGVEGITRNMKAIERAKLGFMCKQIIDMGRLMWVKEHGLKTQLVKVQYGFDIFTLNLNQTPFTDEHRLTDGISINFNAQFVNGEDESIVFISERSGSPRIYLTRPGQPKPEQLPSAPNSLFYDRPIIKSHRLYFISAHEQPDQPFKSWSALYSAELHGKRDIIRLSPPGFVDYSPTISKSGKFVAVASYGSRSWEGDFHELKTDIVVFPASDPSTRFVVCQRGGWPTWSGDSFIYFHRQADDGWWSIFRIEFPENPHDFSEFPILPIRVTPPGLHCFTPAAFHDGKRIAVATRRRGKNYRHVEIFDLESKVFQPVTELLNPSFHHYNPFVSPDSKFIGYHRFRGDSAEGESTIPHLEPVMSPIRDLRMLRLNGSFPSFSPDGNLLAFNPALDANGGVAVAKSDGSKRWTLIKGRTAFYNSWSPTEKHVIYTSIGPIFESAKTTVQIARITFDPSHLNGDSEEVPCDVKILTREDTGNNAFPSCSPDGKSLVFRSGRSGHKNLYILDAINGESNGGIRRLTDGPWIDTMPCWSPKGDLIAFSSNMHNPDRVEAFSIYIIKPDGSDLRRIYVAGREGSSDVDRERINHVCFSHDGEWLLFTSNIGGVTAEPVSWPNQFQPYGDLYVVRLDGSGLRRLTWNGYENGTPAWHPGGELDMGRLCLGNEAGLKLREVFSYTPNLLGPYRGLVVVGTIVFNTVGRAQYGFDIFTFNLNETPITDEHRLTDCISVNLNAQFVDDEDQSIVFISERSGSPRIYLTRPGQPKPEQLPFAPDSLFHDRPIIKSHRLYFISAHEQPDQLFKSWSALYSAELHGKRDIIRLSPPGFVDYSPAISKSGKFVAVASYGSRSWEENPREFSEFPVRVTPPGLHCFTPAAFHDGKRIAVATRRRGKNYRHIEIFDLESKVFRPVTELLNPSFHHYNPFVSPDSKFIGYHRFRGESAQGESTIPNLDPIMSPIKDLRMLRVNGAFPSFSPDGNLLAFNPGLDAKGGVIVVKSDGSKRWTLIKGRTAFYNSWSPTEKHVIYTSIGRIFGSAKTKVQIARITFDPSHLNGDDGEEIPCDVKIFTREDTGNNAFPSCSHDGKSIVFRSGRSGHKNLYIIDAVNGEFHGDIRRLTDGPWIDTMPCWSPKGDLVAFSSNMHNPDVEAFSIYVIKPDGSDLRSVYVARREGSSDVYRETINHMCFSHDGEWLLFTCNIGGNTAEPVALPNQFQPYGDLYVVRLDGSGLRRLTWSGYENGTPAWHPGGCNVD >OMO64084 pep supercontig:CCACVL1_1.0:contig12867:29635:30652:1 gene:CCACVL1_22063 transcript:OMO64084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MASAHLMVGFYNGSCPSAERIVRKAVNKAVSSNPGIAAGLIRLYFHDCFVRGCDASVLLKSTPGNTAELDNPANNPSLRGFEVIDEAKAQIEAICPGTVSCADIVAFAARDSTYKAGGIYYAIPSGRRDGRVSLFDEVTQNLPPPFLNAEQLAQSFARKGMTVDEMVTLSGAHSIGVTRCSAFSSRLYSFNATHAQDPSMDPNYAAFLKTKCPPPPTAGAAAVALDSVTPNRLDNKYYSELRRHRGLLTSDQTLMDSSLTSRMVLTNERKGASWARKFARAMVHMGSLDVLTGEQGEIRRICSVVN >OMP05884 pep supercontig:CCACVL1_1.0:contig05155:5050:9100:1 gene:CCACVL1_01800 transcript:OMP05884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKRHVSVVAALKAFENTHYKHRSFGRPPIQSEEDAKQSILYSYRNSFSGFSAKLNSSQATTLAKMEKVVSVFRSKTLKLHTTRSWDFLGLTLDDIDPSPMQLAYGHDVVVGIFDTGIWPESESFQEKPGMRPIPSSWKGACVKGEKFEPAKACNRKLIGARYYLKGFEEEFGPLNMSGNPEYRSARDFLGHGTHTASTAAGSIVKNASFFGIGQGTTRGGAPWARVAVYKICWGKNRIGSCTEADILAAFDDALRDGVHVISASFGATPPLAPFFASSADIGSFHAMQHGITVVFSAGNDGPDPSLVNNVAPWSICVAASTIDRTFPTQIVLDGNFSIMGESIISKEIKGKLASAVTYFVNGLCSLGNWNGKRATGKIVLCFYAPGLVFEMAQEAVKTANGTGLIFAEPFTKPIADLEDSIPTLHVDISQGTIIGNCLAESPKLPLVRIAPSKTLIGKSPAPTVVYYSSRGPSSISPDILKPDITAPGINILAAWPPHTPPTLQPSDDRSVQWNFLSGTSMSCPHVSGVVALIKSVHPDWSPAAIRSAIMTTGKIHITNNSFYIFTTYTRDTSHDSILAGGSMSGSNPFDIGAGHINPLKAMDPGLIYDMKTSDYILFLCNIGYSQERIKRIVLPSPGVDTNCNHVFQTNANVNYPSISISNLKSALTIKRTVRNVGWGKTAIYFGTAKEPDGVEVVIWPRVLFFTPLKQEISYYVTLKPLKKSQARYDFGEIVWSDGFHSVRSPLVVLVNTVAADDFTLRSTI >OMP11564 pep supercontig:CCACVL1_1.0:contig01160:5386:8349:1 gene:CCACVL1_00440 transcript:OMP11564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEALRHWAAVKRLKIEAGAAALCRLAFALR >OMO49588 pep supercontig:CCACVL1_1.0:contig16461:3039:3985:1 gene:CCACVL1_30912 transcript:OMO49588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHTPALSLGCISRPSEFECKWQLSTPELKGFSILALNGSGSQHPKFNLRNLGM >OMP02854 pep supercontig:CCACVL1_1.0:contig06176:4568:7689:1 gene:CCACVL1_02677 transcript:OMP02854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNLNKTEVTTKVVSTNKGKGILIDDQEDERMPRKLDKEVDFAHCMNYGEYEMIDVQSQDTTEYSSSFGDTMSGDENGLVASDDPEVESQLSDPKLFGSMFDGRDGRLLGKKKLTDHWRKFISPIMWRCKLLEVKLQELKSQELKYERELAEYDQRKQCESDKVTLEGFDATSLAFPSKIQRKEVMERKKRKRVEDTTDLASHMSKHAVLSYFESRKSVVSASALIDDWGDLEAILLKIEVLTSRIIKLKARMDKVVSESHQKLPSIDVLNSPAPCGVLDNSEHRLYPETRDRNGSQCTASQHASEFDISNDLVPGCAVLDHEEEDHVPDLIRSMSRRLLEMSSENAAKEELRDFGSAIIQRVEKPLVSIENLKAASLVQAPGNNLPLNTSLQPNAQPPFSQSKQPNKKRTRGVQKSGLGRWSRRSSG >OMP02855 pep supercontig:CCACVL1_1.0:contig06176:8731:10233:1 gene:CCACVL1_02678 transcript:OMP02855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEAAWFFLFISISITFLTKLIFNFLSQTRKKSGNLPPGPQPLPIIGNLLLFRKSFNDLQRIPHSLHAKFGPIITLHFGSRPAIFIADRFLAHQALVQNGAIFADRPPALDGREQVVITTALYGSTWRVLRRNLTAEMLHSSRVKSYSHARKLVLERLLRLLKVQSNSGVHPVEVIKHFHFSMFSLLVFMCFGDNLEEKKIKEMAHVEREMMLSEENRNLTIEEIRGLCSEFLNGGTDNTATLLQWIMANLVKNPHIQEKLYMEIKRVMGDVKEMVKEDDLQKMPYLKAVILEGLRRHPPLRFLLPHAVTEDAVLNGYLVPRNGTVNFIMGDIGLDEKVWEDPLSFKPERFFSGDNKDGEGFDITGSKEIKMMPFGAGRRICPGYALAMLHLEYFVANLVWNFEWKAVNGDEVNMEEKHEFSVRMKYPLQALIFPRF >OMP02857 pep supercontig:CCACVL1_1.0:contig06176:35204:35419:1 gene:CCACVL1_02680 transcript:OMP02857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIKQVSNALQLLEEMLKGKKFFGGEKVGFLDIAFGWITIWLGAIEEVAALDFFNPYQYPLLHIWSNKFKE >OMP02856 pep supercontig:CCACVL1_1.0:contig06176:13215:15020:-1 gene:CCACVL1_02679 transcript:OMP02856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Restriction endonuclease type II-like protein MHRSSHKLSCEELAYFIPLNVQLSPYSSRNIHKIGLLVSNLKLRRTCSSQNLFEPVNKELINSSQNLIEPVTEKLISSSQYLNHPSQKGSRQSSIYQKFAPAENYSFLQSSSLQHWFKNWQEQRKQKLTASTFSGAIGFWPRRRAQLWLEKLGAIEPFSGNLATCWSNIKEEEALERYKLITGNTVAFPEFQVYGKMNPEDSWLAASPDGLVDTCTYGLSPRGVLEIKCPFFGGDMSKAFPWKRIPLYCIPQAQGLMEIMDRDWMDFYVWTPKGSSLFRIYRDVEYWDALRLALSDFWWKHVQPAKEICSKYVITDPLRELKSLRPASRHELCGYIVYESKRIVDNSSLLMREINGRLITDSNE >OMP02853 pep supercontig:CCACVL1_1.0:contig06176:1401:2918:1 gene:CCACVL1_02676 transcript:OMP02853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 METWFLILISISITYLLKLIIFNLFYPPIKQSLNLPPGPICFPILGNLLLFRKSFNDLYPVIQSLHAKFGPIITFHFGSRPAIFIADRFLAHQALVKDGASFADRPLTFDGSNQNHITTAFYGTKWRLLRRNLTAEILQSSRVKTYSHARKWALERFLNCLKIRSKSGPVRVLEHFQFSMFSLLVLMCFGNKYDDDKIKEIEDVVERLLSNFSRVMTHDVDFNGYMVPRNGIVYIMNGAMGLDPNVWENPMEFKPERFLSDEKAFDISGNKEIKMMPFGAGRRICPGHVLAMLHLEYFVANLVWNFEWKAVDGEEDDMEPKQEFVMTMKNPLRVNLLARF >OMO96662 pep supercontig:CCACVL1_1.0:contig07410:8515:12361:1 gene:CCACVL1_04842 transcript:OMO96662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDELDSTSGTGFSLGYELSLDNYYLDGFCLSNLREDQDDHVPYPLLSPGANNPNNNHPMCFDREYSNTAGGGVHGSSDDGWSAVSGALSFTTTYGPDLDEQQLTVTPPGVAEVAVTQQQQYSQKMDNSTKHHMSCGTHPSSLDSDSYDTASSDSNGEAEVQQQSKTKTKGTEVTFRAPELDMEFSSEEEAYKFYKDYAKAIGFSVRKGKYQRSSNGSIRKRNFLCSKEGFRLSKQNSNAVRYRRKETRTGCGAMVQITNENGKWMISRFVLEHNHNLEGSSQRYIDSCTRNSRVSEDPLTMHTVGKAGIASKTGDVICENFGNVDYSGYLHHENLCSLNPEDGQGLINYLKQLQLEDRSFFYTLQVDAKCSLTNIFWRDGRSKMDYYYFGDVIVVDTSFRVGVQNMICAPILGLNHHRQFVLLGCALLLDESKDSFMWLFGTFMAAMDGHQPKTILTNENQAMADAIKEILPVTQHNIGMWYIQHTAAEHLSELYPQSKFQTLFNKCIFDCKSEEEFDLFWGSLVEQYNLHENEWLKTLHMMRKKWSPIFIESTTFSAGLQSTDDCKEITTVFQKLMAENMTPLEFAHKYQQVAKEQRSYELDEDLYCNETTPLMILKGSALEKQAADVYTCTMFKLFQEELRGCLSVAIEDIGSNGGVAAFKLKEEGEKDRIVKLCDSSNQIACSCKKYECVGILCVHILKVLNAKNVFQLPSQYILKRWTKSAKHEKGTNDNIGVADRSQKSLKVRKLMQKALTVITKSVAVEEGYKIVEDCLDKVLGKVENLLKRKLTQHQEIGKDVEIVCTGNGGMMETQTVVSAPPFQNEAAEHRMRNKSKRKHEDDVSEISCKSMDDGVLHRQLPKQATKGEENNKGSRAISSHSYFVGDCSRQPACISPRPPLPSPPTIHQLKGLSKPMGNLQERKKQAPDAPVSRREMETMEMTIMAKMKEMQEGILRAINENKNKAK >OMO96663 pep supercontig:CCACVL1_1.0:contig07410:12994:14109:-1 gene:CCACVL1_04843 transcript:OMO96663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MADPLIPTVDLSPFFREDDEDGKNKAMEIITQACSHYGFFQVVNHGVPLDLLHKALELSRIFFEYPCQEKLKSSPPSAAPAPVGYGTQPQNSPNKNEYLLMFPPGSAFNVFPHNPPQLKQTLEDLFFQFSKTAVLMESIVNNCLGLPPKFLQEFNHDRSWDFMVAFRYFPATDETDNNGAIQHEDGNCISLVFQDEAGLQVSKDGEWIPVHSRTKLVFTGHLGGQHRRCHSGFEQQEIQERNTQSGETNREKPPLLCLLP >OMP06829 pep supercontig:CCACVL1_1.0:contig04790:1158:13922:-1 gene:CCACVL1_01434 transcript:OMP06829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGYCGLKYLKFSEDPELANRWNIRNPPEFIDFKNLEFLEVCNFGNLIRCILNLSMASSLSRLQVLEIKRCNNLEAVIMEEEARETTDDKITIFPLLKSIVIEDCPDLRSFYSDKDKQAIIAEGNNDLTSFFCYK >OMO86521 pep supercontig:CCACVL1_1.0:contig09467:30960:32571:-1 gene:CCACVL1_09583 transcript:OMO86521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPNSTRTAHLITVDILD >OMO86519 pep supercontig:CCACVL1_1.0:contig09467:13551:17352:1 gene:CCACVL1_09581 transcript:OMO86519 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP binding protein MNRSFRAQQETAMQAAALKQRQQLRASMMKEKEEELALFLEMRKREKEQSHLFLNNSSEDFEAPLGSKPGTSPIFNLSAPTATPARKTGGAADDFLNSESDKNDYDWLLTPPGTPLFPSLEMESQKTVMSQIGTPKARPTALKSRLANPQPDSAIRGNLAPKQPALSPGLNSSTGIRRPSSSGGPGSRPGTPTGRPTLSGTPTGRPTLSGASKPTRSSTPTSRATLPSTKPSISATKPVTSAAKPVISANRSVTKPVTSTSKPTVSATKTASSASKPTVSARSSTPTRSTARSSTPTTRPSIAPSKPVSRAATPTRRPSTPSSAPSVSAPPNRSSPSVAKPSPTVSRNSVPSRGASPTVKSRPWKPSEMPGFSLDAPPNLRTSLPDRPLSATRGRPGAPSARSSSVEPGPPGRPRRTSCSPSRGRLPNGTMLHLSGSSVPAVNRGYSKVSDNVSPIVIGTKMVERVINMRKLAPPKQDDKHSPSLSGKSASPDSSGFGRTLSKKSLDMAIRHMDIRRSIPGNLRPLMTNIPASSMYSVRSGPTRSRTISVSDSPLATSSNASSELSVNNNGICLDVSEAEDDIGSERGGRSPVSVHAR >OMO86518 pep supercontig:CCACVL1_1.0:contig09467:9223:10223:-1 gene:CCACVL1_09580 transcript:OMO86518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLDKARLWFLSVAAKFRSNFPSIPKSPGGAKTNKTNYINRKILQGKKVENSNKVMGARNDCGAVEMYFTDSLLNHKPRSEPVDENNHKVELDSKPTVGSAGVTSTTPITSGDHCGGASQD >OMO86520 pep supercontig:CCACVL1_1.0:contig09467:18838:21145:-1 gene:CCACVL1_09582 transcript:OMO86520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain MSTFPDSFTQLGDDSVTHQEEDGSSYTGYDPSQQFESFASDTPKDSTDDVFASQSYTNGGEFGQDFGGSDGPILPPPAEMEPEEGVALREWRRENALRLEEKEKREKELLSQIIEEADQYKLEFYKKREVTVENNKENNREKEKLFVANQEKFHAEADKHYWKAIAELIPNEVPTIEKRGKKDKEKKPSIVVVQGPKPGKPADLSRMRHILLKLKHETPDHLKHTPPPPPTPAKDQDAKSGNTPVPAAPPVASTPEAVVAA >OMO86517 pep supercontig:CCACVL1_1.0:contig09467:5939:7017:-1 gene:CCACVL1_09579 transcript:OMO86517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACHNGDVERLYHIVFEAPDESKERKFYAEIWVHRLLNLKQLKKWSEWILVDDATKDNHEGDQGWGASILGKARLWFLSMAGKFRSNSKPSIPKSPRGAKTNIN >OMO69307 pep supercontig:CCACVL1_1.0:contig12071:759:893:1 gene:CCACVL1_19559 transcript:OMO69307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMIEREKTIMQISNKKPPPELSTASSPRPILLPRIKKYSLAIF >OMO56826 pep supercontig:CCACVL1_1.0:contig14473:1666:2554:1 gene:CCACVL1_26239 transcript:OMO56826 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine-threonine protein kinase, plant-type MEAVRNDQLGRKKWELPQDFLVSIDQSLKVYDYEELEVATENFSHRCKMGASVYRGVLNGELLAVKQMSKDVDKEKNQKAD >OMO56827 pep supercontig:CCACVL1_1.0:contig14473:2904:4754:-1 gene:CCACVL1_26240 transcript:OMO56827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLLSLKSLLPLFLLINYFFASYISAQSPPTNGTDFSCSRSSAPCSTYVAYFAEEPNFMNLQNISDVFGTSPQEIARASNLPSEYTQLFPGQLLLVPITCGCTRKHYFANITYDIKLGDTYYIVSITTFESLVNWSLVEDMNPGLDPKSLKIGDKVVFPLFCKCPSKAQVKNRTFLIRQRKKIFKRNGSSSETVGLIPAKELTRSESFKPIIQDKLLPGISGYLGKPIMYEADVIMGATMNLNEHCRIGGSVYRATIDGKLLAIKKTKDDVTEELKILQKVNHANLVKLMGVSADSDGNCYLVYEYAENGSLDKWLHPKSSSSSREVLEDEDKEKRLRKWMDPNLESFYPIDGALSLAILAMACTEENPLARPTMGEIVFSLTVLIQSSFETLEGSWALGLEPELAQIISPVKAR >OMO56828 pep supercontig:CCACVL1_1.0:contig14473:5757:7706:-1 gene:CCACVL1_26241 transcript:OMO56828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYLKRFSPCKNLFSLLNQQVRSFARDPFPNKLTQYLQQAKLIDSIRLALRSGSPNSINPLLQHRLLDSLVVTNALRSAPSVDSAVSLMENLKQVPNFEHSHNTIFSYATVLAKFQRTEELKAFIGDIKGGKFKFGKVSFMNLLFWYSTAGDLDEVLTTYELYKSEEKRLSTEAYNIVMGAYAQKDMNSEAVEVFRGMIDNGAIPNSRTYTILLEHLVRLGKLDAAMEPGFTHDIYSVQRMMTLLARHGNVELVDKLINKVRREEMRLPFSTLKLLIEFYGNSKNADAALKVFREDRTLCGPISKFNLMLLHSSLLRTLTKCRRDTDILDVLDEMILNGVCPDIQTFSGLIYHFARQGDIKTVQLLCSMVKQNGMELETYMYKLLIEAYCKSQRAPLALRVFEDMRNSNLMPDAATKDLLVKSLWEEGRRKEAVAVEESFEDTNGVLPLALRGHLWTVSAEDLSRVYSIYSNSVRATA >OMO56825 pep supercontig:CCACVL1_1.0:contig14473:926:1039:1 gene:CCACVL1_26238 transcript:OMO56825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSHPAKHSSSSKLILLMTQSQPYRILRLQIHCSLP >OMP12067 pep supercontig:CCACVL1_1.0:contig00479:13758:14702:-1 gene:CCACVL1_00149 transcript:OMP12067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISVINRLTDFEAGINSLNNPSFLSQVFSFSDSFLKWGALILALVASLSTIIKRLKLLIIRFRRDHSLASQPLVDYDTDFDTDTDSSTCSASDDEHEFDEPSTSQEWRQVDEDFRVRGSGHYIDDHWQNRRFTIRKRRSSIGDLFSWADELTSGKSVVKLWDNLGLGFRLDLDESDSILNVYDINKQTNVTSLFGCKSDIPAVSVPSSSRAVVLSAEADSSSGRLSIGAWDTRLPSRLPAILAEWKPKKIVEKIAAVTAGGVEKVYIRDDITGKLTVVDMRKVTSPLTESNGETWWDADAVIVSDEPVVDESM >OMP11531 pep supercontig:CCACVL1_1.0:contig01198:412:516:-1 gene:CCACVL1_00463 transcript:OMP11531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHINIQAKQIRRTKKVKADMDSSLVVLLLRSTT >OMO95889 pep supercontig:CCACVL1_1.0:contig07586:27034:27696:1 gene:CCACVL1_05197 transcript:OMO95889 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MGKKVISQVKVKISKKNSEKKKEQQQLNSLIEVLRPKVFITDCSSFKTLVQHLTGNGTSSSSSQVSHELLPSPPSSSTSSPQTQIVPAVIDVDQDHIDHHAAGNVNPESSMETYSFDASVDESFQVCNSNHYLGLNGDYQILNQAYDYDPLPFQDTNSTENDHFLMDINVDQQEVGNSLMAYGDFESLLLDEQILYPSLNVYSQIQQEVSIYDYELSGLI >OMO72792 pep supercontig:CCACVL1_1.0:contig11370:2959:3069:-1 gene:CCACVL1_17590 transcript:OMO72792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEDNSQIVVECESEQIPQVVEEQDTTLETQPLRRS >OMO98140 pep supercontig:CCACVL1_1.0:contig07160:11102:11420:-1 gene:CCACVL1_04325 transcript:OMO98140 gene_biotype:protein_coding transcript_biotype:protein_coding description:immunoglobulin superfamily DCC subclass member 4-like protein MAAIQDSADTDKISVLNSIYDKLNLLVELRLGLELSYWLVQQVVFSIGSDMEATLKERQGRMADSGQEKEDCPV >OMO98137 pep supercontig:CCACVL1_1.0:contig07160:1229:2405:1 gene:CCACVL1_04322 transcript:OMO98137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II Psb28, class 1 MAALQSLAFASPVSHSFLNQPRPLSGIPSWVLHRSSNSLFNGQSLHVARSRLSPTTWNSKKSGSITMMVKPTIQFIQGTDELTIPDVRLTKSRDGTNGVAIFKFDQPSVFDSSGEVGEITGFYMIDEEGVLQSVDVNAKFVNGKPAGIEAKYIMRTPRDWDRFMRFMERYSNENGLQFIKK >OMO98138 pep supercontig:CCACVL1_1.0:contig07160:3211:5508:-1 gene:CCACVL1_04323 transcript:OMO98138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKIQLFCFTLSLFLVSLHAHTLQTYIVQLHPQGVTTSSFPSKLHWHLSFLEQTLSPDEDPSSRLLYSYNSAMEGFAAQLSEAELQSLRSLPDVVAIRPDRLLQLHTTYSYKFLGLSTTSNGAWSKSAFGRGTIIGVLDTGVWPESPSFNDQGMPPVPNKWRGICQEGQSFKATNCNRKLIGARYFIKGHHVSSASPSANMIQEYISPRDSSGHGTHTSSTAGGVPVPMASVLGNGAGVARGMAPGAHIAVYKVCWFNGCYSSDILAAMDVAIADGVDVLSLSLGGFPLPLFDDSIAIGSYRAVEHGISVICAAGNNGPIQSSVANIAPWINTIGASTLDRKFPAIVRTGNGEFIYGESMYPGNRVPSAEKELEVVYVTGGNSGSEFCFRGSLPRAKVQGKMVVCDRGVNGRAEKGVAVKEAGGAAMILANTQINLEEDSVDAHVLPATEIGYAEAVRLKTYINSTSRPRARIIFGGTVIGRSRAPAVAQFSARGPNLYDSSILKPDVIAPGVNIIAAWPQNLGPTGLPADTRRGNFTVMSGTSMACPHVSGITALIHSAHPTWSPAAIKSALMTSADAYDHRGKPIMDGNKPAAVFAIGAGHVNPNRAIDPGLVYDIRPDEYIIHLCTLGYARSEIFSITHRNVSCSEILQKNRGFSLNYPSISVAFKQGMKSKMITRRLTNVGGPNSIYLMEVKAPEGVKVRVKPQKLVFKHINQSLSYRIWVTSRKKTEAKKIIIEEGQLTWVNAHNNFYRVRSPISVTWK >OMO98139 pep supercontig:CCACVL1_1.0:contig07160:7587:9353:-1 gene:CCACVL1_04324 transcript:OMO98139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MRRRPVGLVPFEAMEKGSHKNQNTRLCFLASLSAFFWILLLYFHFVVLGRGTTVVEESVQSGPVRFQSPLVNVESVPARVTDARVEEKQPHTDARVEEVVKPVKKPAAESVVNYPFMRALRTVENKSDPCGGRYIYVHNLPSRFNEDMLKECKSLSLWTNMCKFTSNEGLGPPLENVEGVFENTGWYATNQFAVDVIFNNRMKQYECLTNDSSIAAAIFVPFYAGFDIARYLWGYNISRRDAASLDLVDWLMKRPEWGIMGGKDHFLVAGRITWDFRRLSDEESDWGNKLLFLPAARNMSMLVVESSPWNANDFGIPYPTYFHPAMDEEVFVWQDRMRNLERKWLFSFAGAPRPGNPKSIRGQIIDQCRKSNVCKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTTYSVFIPEDDIRKRNISIEERLSQIPPEQVKIMRETVINLIPRLIYADPRSKLETLKDAFDVAVQAVIDKVTRLRRNIIQGRTEYDNFVEENSWKYDLLEEGQREVGAHEWDPFFSKPKDEQRDQSTEAAKNSWKNEQRNQS >OMO96086 pep supercontig:CCACVL1_1.0:contig07532:15079:17703:1 gene:CCACVL1_05075 transcript:OMO96086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVSPLFLVLIVLGFFFATYNLLTMIIHYKTSTSENWDLPDPLIGMPEMKEGGDSNLKYHVALTATDAPYSQWQCRIMYYWFKKVKDMPGSDMGKFTRILHSGRPDNLMEEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKATIDEEYILMAEPDHIFVNPLPNLGHGDHPAGFPFFYIKPAEHELIIRKYYPEEKGPVTDIDPIGNSPVIIKKSILEDISPTWMNVSLRMKDDPATDKAFGWVLEMYAYAVASALHGVRHILRKDFMLQPPWDLEVGKKFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSYLSGPPPKNLSLPPPGVPESVVRLVKMVNEATANIPNWDTLNRG >OMO96085 pep supercontig:CCACVL1_1.0:contig07532:11440:11511:-1 gene:CCACVL1_05074 transcript:OMO96085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYRLEPVGITTDSRGGITNTTN >OMO96087 pep supercontig:CCACVL1_1.0:contig07532:18479:22374:-1 gene:CCACVL1_05076 transcript:OMO96087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoesterase MYKGRWFASVPSSTQPNRLFVHSATSGGATSNIPALLVKGYPQRTIFENLDDAGISWGIYYQNIPATLFYRNLRKLKYLFRFRPYGLTFKKHAKEGKLPGYVVVEQRYMDSKVDPANDDHPSHDVYQGQMFVKEVYETLRASPQWNQTLLIITYDEHGGFYDHVATPVSGVPSPDGIVGPDPFFFKFDRLGVRVPTIMVSPWIEKGTVVHGPNGSPFSTSEFEHSSIPATVKKVFNMSTPFLTKRDEWAGTFESILQTRTNPRIDCPEQLPTPTRIRQGEANEDAKLSEFQQELLQLAAVLKGDHILTSYPQKIGKEMSVKEGKEYMEDAVKRFFEAGRSAKRMGVDGEQIVQMKPSLTTRSSQPSSPHP >OMO51688 pep supercontig:CCACVL1_1.0:contig15744:2906:2971:-1 gene:CCACVL1_29644 transcript:OMO51688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QTTLRFDSNRLHFDSQLWFKFL >OMP10860 pep supercontig:CCACVL1_1.0:contig01836:2508:2870:1 gene:CCACVL1_00770 transcript:OMP10860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAKVSNKLMKLKSVLKKLNSFNNKQNRPASSSVVAANSDIDDESSANLQPVYVGKSRRRYLISPDVIENPLFRELAERSGENDTINVSCEVVLFEHLLWMLENADPQPESLEELVEFYAC >OMO67709 pep supercontig:CCACVL1_1.0:contig12403:23561:23923:-1 gene:CCACVL1_20369 transcript:OMO67709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPCLNSIFRQVFAVTRWRQLLHALIWTVLLTLMVSIASFAPETAFVSAVSPSSSFSRSCKAEGFVRIPLDFPRERICLPSHMVKRSKVDFFVPTVFAGLVVAASAFVVRSLGLWEIETA >OMO67705 pep supercontig:CCACVL1_1.0:contig12403:2131:3913:-1 gene:CCACVL1_20365 transcript:OMO67705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MLREIVQNHDIAFSNRPRSTAAKALFYGCTDFGFTPYGEYWRQVRKISFVNLLSLRKVEWFQFVRDEEVEELINKIRRASVKSESINLSEMLMAVSTNIVSRCVFGKKLSGEEDKFGELSRRISVLMLSFCVGDMFPYLRWMDLLTGFIPSLKQVSRELDVLFDKLIKDIALELEDDDDDEDDIKKDDFVSTILRLQRDGLLEMDLNIKAILVNMFVGGADTTSTTIEWVMAELMKHPNVMKKVQEEVRNVVGKGKGNKSKVMVNAEDINKMEYLKCVIKETLRIHPPAPLAPRETMASVKLGGYDIPANITVFINSFAIHRDPNWWDDPEEFIPERFENSSVDYKGQDLEFIPFGYGRRGCPGILFGIATLQCVMANLVYWFDWKLPAGEIPHNLDMTELNGLAIKKKRPLHVLPLMSHLSSFQV >OMO67708 pep supercontig:CCACVL1_1.0:contig12403:18738:20640:-1 gene:CCACVL1_20368 transcript:OMO67708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALEMSLDDLIKRNRKSGSGNSRGRGRGSGAGPARRLPNRGANRSAPYATSKAPDTAWQHDMYSDKGAAFQGQAGRSSAIETGTKLYISNLDFGVSNDDIKELFSEVGDLKRFAVHYDRSGRSKGTAEVVFSRRTDAIAAVKRYNDVQLDGKPMKIEIVGTNIAAPAANGTFGNSNGAPRGNQGRGGGFGRQRGGAGGRGFGRGRGRGKGRGEKVSAEDLDADLDKYYTEAMQTN >OMO67707 pep supercontig:CCACVL1_1.0:contig12403:7957:15459:1 gene:CCACVL1_20367 transcript:OMO67707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPSDDAVLIQKGKKPGEPHVITVNCPDKHGLGCDICRIILDFGLYITKGDVSTDGIWCYMVFWVVPHSSSPFVRWPSLKNRLQSLCPSCSVTFYLNDQPSRSAAPQVYLLKFFCLDRKGLLHDVTQVLCELELTIQRVKVTTTPDGRVLDLFFITDNMELLHTKERQDDTYKQLNAVLGESCISCELKLAGPEYDHHSNLSLSPAIAEELFCFELSDKETRSQALSPDMTKLKKANVVVDNSLSPAHTLLQIHCVDHKGLFYDVLRTLKDCNIKIAYGRFSPTSNGYRDLDLFIQQKDGKKIVDPDKQDGLCSRLKVEMLHPLRVIISNRGPETELLVANPVELSGKGRPRVFYDVTLALKALGICIFSLEEASSRPRSSAYLNALTQEIEKKLQRALASSSQRRNLLQELFADIALEVDDRAKDIILSREEDVISPAEYGINGRLCFYDVLADHYVRVPDTGKSILDLIVQLWSQSFASQIFALLFHKWLFEVQLDNADVLLRYSSALVQGATNVFWIDIQSNTRRFQSLFQYLLEEVALEPTRLNKIPVQAQRDLYLLLSRFIFFYNYVEKLGHFLKQCPPFPNAFLIGGPADLLVIELADQLQKLKVEPVLLHYLSQIKVLQGMELRMATSTRLKTCLYSFTSPGGPMYPTRAVRHAAWDALDSLFPVSLVYELEGFHDLNG >OMO67706 pep supercontig:CCACVL1_1.0:contig12403:6259:6570:1 gene:CCACVL1_20366 transcript:OMO67706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OMO65900 pep supercontig:CCACVL1_1.0:contig12608:44895:46452:-1 gene:CCACVL1_21343 transcript:OMO65900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MINFKQTQFLIFLCCLLILLPSIVRGECTCEPEEEDRNKPLALKYKFAAIASILVTGAIGVCFPLLGKIVEALRPEKNVFFVIKAFAAGIILSTGFIHVLPDATENLTSPCLNENPWGKFPFAGLVAMTAAIGTLMVDVFATTHYTKSQLNKTQQGNGDEEKTGEQHETQVDVHTHAANGHAHGSVLLDPSASSHQLLRHRVVSQVLELGIVVHSVIIGISLGASESPKTIKPLVAALTFHQFFEGMGLGGCISQAKFKSRAVAIMALFFSLTTPVGIGIGIGITNTYDESSPTALIVEGLLNSASAGILIYMALVDLLAADFMNPKLQNSGRLQLGATVSLLLGAGLMSLLAVWA >OMO65904 pep supercontig:CCACVL1_1.0:contig12608:85440:88835:-1 gene:CCACVL1_21347 transcript:OMO65904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNSAAVTNSDNNPDPDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDEITHKYKGKTVMNEAERYESLRHCKWVDEVIPDAPWVIDQEFLDKHKIDFVAHDSLPYADYSGAGNDVYEFVKAAGKFKETKRTEGISTSDIIMRIVKDYNQYVMRNLDRGYSRKELGVSYVKEKRLRVNMRLKKLQEKVKEHQEKVGEKIQTVAMHRNEWVENADRWVAGFLEMFEEGCHKMGTAIRDRIQERLRGQQSNLLQNGKSDDDEEYYYDDDDYDDDDNEEYYDDGEYYDEKDEKNEKEKKAEKEKK >OMO65905 pep supercontig:CCACVL1_1.0:contig12608:93163:97101:1 gene:CCACVL1_21348 transcript:OMO65905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSYIWALTANGKFSTRYKGSSS >OMO65901 pep supercontig:CCACVL1_1.0:contig12608:52684:54577:1 gene:CCACVL1_21344 transcript:OMO65901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICPFPSGIQGFALTNPDPTRVAHLVMVGTP >OMO65902 pep supercontig:CCACVL1_1.0:contig12608:55034:56684:-1 gene:CCACVL1_21345 transcript:OMO65902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MNMINFKATQILMFLFCFFILLPMIVRGECTCEPEDEDRNKPLALKYKLVGIASILVAGAIGVCFPLLGRKFEALRPEKNLFFIIKAFAAGVILSTGFIHVLPDATESLTSPCLNENPWGKFPFAGLVAMTAAIGTLMVDVFATSHYTKSHLKTQQEQSEGDEEKTGENGNHVHVHTHATHGHSHGSVSLNELSASSQLLRQRVVSQVLELGIVVHSVIIGISLGASESPKTIKPLVAALTFHQFFEGMGLGGCISQAKFKSRAVAIMALFFSLTTPVGIAIGLGITNTYDGSSPTALIVEGLLNSASAGILIYMALVDLLAADFMSPKLQNNGILQMGACVSLLLGAGLMSALAVWA >OMO65903 pep supercontig:CCACVL1_1.0:contig12608:76037:77797:-1 gene:CCACVL1_21346 transcript:OMO65903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MINFKQTQLRKFVICCLLILLPYLVRAEEYFCEEKEDHNKPLARKYQAAAIASILVAGAIGVCVPLLGKTIKALRPDKNFFFIIKAFAAGIILATGFIHVLPDATENLTSTSLHCNPWQNFPMAGLVAMTSAITTLMIDAFATSHYTKSHLKSSQRVEGDEERETGESGTHVHVHTHATHGHAHGSVSLVENSDSSQLIRHRVVSQVLELGIVVHSVIIGISLGASDSPKTIRPLVAAITFHQFFEGMGLGGCIAQAQFKTRAVAIMALFFSLTTPVGIAIGMGISTRYDEDSSTALIVQGLLNSASAGILIYMALVDLLAADFMNPKLQNNGMLQLGASVSLLLGAGLMSLMAVWA >OMO65899 pep supercontig:CCACVL1_1.0:contig12608:17889:18566:-1 gene:CCACVL1_21341 transcript:OMO65899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLGSGVEPLDQGISMEKTLVDRSAPEIVNWMMDVFQDSFKIRLKLTINILLTFQELWKKLFSDIIYMAGETKYLKEVVEAIRRRGSIKNMKGVYATFQLAAGRMGFLRFLKEISPEISLVIYVSHSFFNQKVDTKTRLLGVVIINKSDQQSEVCNLGPEEAVVSGSKVNGFAQL >OMO65906 pep supercontig:CCACVL1_1.0:contig12608:100586:101326:-1 gene:CCACVL1_21349 transcript:OMO65906 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MSSPNDWAQFYHQQQNLSAGQEVSNRSVLFGDQGSADATAVSTTTINSSGAPSSSAPAASSSGGHLSPEGRVGKPVRRRSRASRRTPTTLLNTDTTNFRAMVQQFTGSPSAPFAAGGGGGHPGGPSFGFTFGGRQAIPHVNHPAGSLMVNPPAGFHLQQYHNQQQQQFQPQNQGYNIFSLNSNNNNNSNNPGGGAAAAGELFLQRIGNSTRANMEGGGGGGGTSTEGFVVEGVSSQVPPSRNTFLQ >OMO57213 pep supercontig:CCACVL1_1.0:contig14427:50183:63433:1 gene:CCACVL1_25908 transcript:OMO57213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVLQPSRKGALESIGMVTDYALSTQHDDQHITAPSPSPSSSDQQESSSSSAQVPSPVCNYGKGRWVADSRRPFYSGFRYKGDRQTRYYLSVLQHSRKGASESIGMVTEYDALSTQHTAPSPSPSSSEEQFIESPSSSAEYQFTNASDPPSAQVPSSVLQHSRKGSLESIGMVGEYALSTQHQHIEPSPSSSSEELFIESSSSANNDQLTESPASAPGPAEDIFIESSSSREYQFTNASPPSAQGPSPVSSPDCNYAKGSWVADSRRPLYSGFGCRRCLNGALNVLDHYCKVKGLGFPGKPGNKQDSKVYAIILHSVKMKRKTSHIFGWKQIYILLIVLILMTILIKRSRKVPLESTTSSSEDLFVDSSLSVQNQPGVPSPSPSSEEQFIESSSSSAEDQVTESSAAPSGEEQFIESSSSAEDKLTDPSSSTQVSVSMNQEVPFEEKNSQSIMTEEAENKEPYLNTTPMDSTMSDQSGKDNINMENTYSSNSSKTQVCNYSKGRWVPSSGPRFYSGFDCKRWLPGSWACRLTKREDFSYEGYRWQPMDCEMPEFERSAFLRK >OMO57211 pep supercontig:CCACVL1_1.0:contig14427:94:1182:1 gene:CCACVL1_25906 transcript:OMO57211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase ATAHAVELVRSACLNHGFFQVTNHGVDASIIQAAYEELDAVFKLPLNKKLSFRRKPGGFSGYSAAHADRLSSKLPWKETFSFGYQGNNSDSSDVVDYFKSTLGEDFEHSGWVYQKYCENMRELSLVIFELLAISLGVDRLHFRKFFEDGNSIMRCNYYPPCNNSGLTLGTGPHCDPTSLTILHQDQVGGLEVFSNNKWQSVRPRQDALVINIGDTFMALCNGKYKSCLHRAVVNKERERRSLVYFVCPKEDKVVRPPQDLVPRLYPDFTWSDLLAFTQSHYRADVATLQSFFRWLSPPPS >OMO57212 pep supercontig:CCACVL1_1.0:contig14427:7065:10591:-1 gene:CCACVL1_25907 transcript:OMO57212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MASGKYSRIDNSNNNNRRSTSSSYCSTVTIVVFVGLCLVGIWMMTSSSVVPVQNGDDSAAQEKKNEVKDQVQPVINENNGGGSSNNGNTAQFEDNPGDLPEDATKGDSVSPVKDDGNTQENQENLEENKVDESKKDDGQSDSEGGEKTNEESNEKSEENSEEKKSDNEESNEKSESDENEKKSESDENEKKSESDENEKKSDSDENEKKSDSDDGEKKSDESSSETNGEDKGDGQTEEKAEENDTKESEKSSDESKDDGQVFPSGAQSELLNETTTQNGSFSTQAAESKNEKETQSSSKLYSWKLCNSTAGPDYIPCLDNLEAIRHLPSTKHYEHRERHCPEEPPTCLVPLPEGYKRPIEWPKSREKIWYYNVPHTKLAEIKGHQNWVKVTGEYLTFPGGGTQFKHGALHYIDFIEESVPDIAWGKRSRVILDVGCGVASFGGFLFDRNVLAMSFAPKDEHEAQVQFALERGIPAVSAVMGTKRLPYPGRVFDIVHCARCRVPWHIEGGKLLLELNRVLRPGGYFVWSATPVYQKIPEDVEIWKAMAELTKAMCWELVNKTSKDAVNNVAVATFRKPTSNECYEQRSQQEPPLCPESDDPNAAWNVPLQACMHKVPVDESVRGSQWPAQWPARLDKTPYWLLSSQVGVYGKPAPEDFEADNKHWKRVVTNSYINGMGINWSSVRNVMDMRAVYGGFAAALKELNLWVMNVVTIDSPDTLPIIYERGLFGMYHDWCESFSTYPRSYDLLHADHLFSKVKKRCNIVAVAAEVDRMLRPEGKLIVRDNVETINELESMFKAMQWEVRMTYSKDMEGLLCVQKSMWRPKEVETIKYAIA >OMO57214 pep supercontig:CCACVL1_1.0:contig14427:67056:68703:1 gene:CCACVL1_25909 transcript:OMO57214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGTRPEQFKVMVGIYLLCFTLVALACINISPWARNRIFFLSTHESESSAQNQSTNIPDSNKYSIVSKPDKEKKSESIIMKEEGEKPEEKVDNSTTLKPPQNKDVCNYAKGKWVVDTRKPFYRGCKYTQRNWVCRLTNRTDFSYENYRWQSTDCKMPEFEPSDFLKRMRNRVVAFIGDSLSREQFQSMMCMLTGGEETPDVEDVADQYGFLLVLRGGAVHHHGWAYRFKSTNTTILHAWSARLCDREPINATDTNTLFAMHLDRQPAFIRNHIDDLGVLVINTAHHWSKQMVNMDKEVIYLNGEPLKDKYLLKIENAKIFKVNNIVQWLDSKLGSNPNLQVFFRTTSPRHFLKGEWNTGGKCDSTIPLTRGSEVLKEESMDKVVADAVNGTRVKILDITALSDLRDEAHISHYGKKANDCLHWCLPGVPDTWNELLSALV >OMO78059 pep supercontig:CCACVL1_1.0:contig10642:11699:21717:-1 gene:CCACVL1_14676 transcript:OMO78059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MDLATMSYTCGEWAMTGIMCPHAAAVIRMKKLNVNDWEVAKDLKAIW >OMO78057 pep supercontig:CCACVL1_1.0:contig10642:674:748:1 gene:CCACVL1_14673 transcript:OMO78057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHHNKIKDQDKYTTECTSHIHF >OMO78058 pep supercontig:CCACVL1_1.0:contig10642:9651:10419:1 gene:CCACVL1_14675 transcript:OMO78058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFSTNPTSESTQDPDRNRPVSSLKIAIVGVLAGGLPAAAKVDRADGEVR >OMO78060 pep supercontig:CCACVL1_1.0:contig10642:23052:23648:-1 gene:CCACVL1_14677 transcript:OMO78060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRKVFCYCHIDGEIVLDSNRLRSYNGGRTVAVMLVENITLSEFVKQFEQKAGTEIGNKRLMYVLPFDNSQLLDLINDDSLKDMISFDDQCVHVYAVSIATGQPSIPLNSSTNARSGDNGISSFDQECNNTQQCVEEGCELSTLCTAATRSHLTSEVWLNIIVGP >OMO60630 pep supercontig:CCACVL1_1.0:contig13699:38559:41734:1 gene:CCACVL1_23996 transcript:OMO60630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAPSLPPHMPPSHIIFKKATITL >OMO97939 pep supercontig:CCACVL1_1.0:contig07185:53634:60688:1 gene:CCACVL1_04408 transcript:OMO97939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQVLPSSRKQEHLEAGKRRLEEFRKKKAAERAKKATATTQTHASGVNDKHQLETEQVRVTDSDGAGTSDGPDLSSVKIINNNNNKTTEDSHESLQAYTNDKPAIPSFLANDYSSSSSEVQMHPKSQDNEKYGASWKSGPLLNDSLQIKHMSNDFQEPKSKEDDGSSKVFAGVNHISPEDFVTKISLQNSLQRKASEDSLFGSNHMPSSFYQGTRESVPDIGQNLKGNAEFREPISYDFGERKFSSSASGFPSVNGPTMQTSGSSEFNFDARTTSSHMPQHSVTNDTSSRRSRPSFLDSLNVSRASGSPFRHSEPTKDASASQNSQFNSINVLGSSPFKKPSTEGENLENFSNLRSHAFPSANEFPGNLVPATNDGDVSRHVVSENFSEKKHELYSTKQNEDFAALEQHIEDLTQEKFSLQRALEASRALAESLAAENSSLTDSYNQQRGAVNQLKSDMENLQEEIKAQLAELESFKLEYANARLECNAADERANILASEVIGLEEKALRLRSNELKLERQLENSQAEINSFKKKISSLDKDRQDLQSTIEALQEEKKVLQSKLRKASVSGKSVDVVKSSASKKDMSTSTEDLASTDGASDDREMNNTNDASSLSLLPGDGQFEASSVYIPPDQMRMIQNINSLISELTLEKEELTQALSSELSQSSKLKELNKELSRKLEVQTQRLELLTAQSMASEHMPTRQLESRIVHDNTPYADEGDEVVERVLGWIMKLFPGGPSRRRANMRLSY >OMO97937 pep supercontig:CCACVL1_1.0:contig07185:32932:33078:1 gene:CCACVL1_04406 transcript:OMO97937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MGDVHNDRELNLFETKMKMKKRYHRQNDKCYNCGKGGHFAWDCQFKQA >OMO97938 pep supercontig:CCACVL1_1.0:contig07185:44904:46134:-1 gene:CCACVL1_04407 transcript:OMO97938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKFVCALSKALTEDELVYLRAQFRLLEPNKDGSVSLENFKMALARNATDVMDESWVPDILSVMGPLGDRKMYFEEFCAAAMHILHLEAVDRWEQIVSTAFEHFEQEGNRVISDEEFCQELGITRKPSPAALSYVQDGIRNSDSKLNLTGYIKLLRGPKLIFMEESST >OMO51953 pep supercontig:CCACVL1_1.0:contig15660:6434:13824:1 gene:CCACVL1_29479 transcript:OMO51953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MAGGRRKKQHFSRIHAFSCGKASFKGEHSLIGGPGFSRVVYCNDPYGFEASLRNYADNYVRSTKYTLATFFPKSLFEQFRRAANFYFLICAILSFTPLSPYTPISNVLPLVVVIGATMGKEVIEDWRRKKQDNEINNRKVLVHQGDGVFEHTKWMDLKVGDIVRVEKDEFFPADLILLSSSYEEAICYVETTNLDGETNLKLKQALEATSTMHEDSSFQDFKAVIRCEDPNANLYSFVGSLELREEQHPLSPQQLLLRDSKLRNTDYIYGAVIFTGHDTKVIQNSTEPPSKRSKIERRMDKIVYFLFAALVVLSIIGSIFFGIATREDLQNGRMTRWYLRPDDTTIYYDPNGAAFAAILQFLTALMLYSYLIPISLYVSIEIVKVLQSIFINQDLHMYYEEADKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGRSYGHGITEVERAMAWRKGSPLPQEVTEVERQAEQFKEEKPSVKGFNFVDERMMNGNWRNEPRPDVIQKFLRLLAICHTAIPEVDEETGKILYEAESPDEAAFVVAARELGFEFYERTQTSISLYELDPVSGEKVQRSYKLLNILEFSSSRKRMSVILRNEEGKLLLLCKGADSVMFARLAKNGQEFAQETKEHIDEYADAGLRTLVLAYRELDEEEYVEFNEKFTEAKNVVSADREEVIEEVVEKIEKDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIVINSETPEAKAWEKAEDKSAAAVAFKASVLQQIVDGKQLLSSSNANSEAVALIVDGKSLTYALENDVKEIFLELAIGCASVICCRSSPKQKALVTRLVKTKTGSTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTIFFYEIYASFSGQAVYNDWFLSLYNVFFTSLPVIALGVFDQDVSSRFCLKFPLLYQEGVQNVLFSWRRILGWSFNGVLSATIIFFFCIQAMEHQAFRKGGEVVGYEILGATMYTCVVWVVNCQMALSITYFTYIQHIFIWGGIIFWYLFLMAYGAMDPDISTTAYQVFVEACAPAGMYWLLTLLVLISSLVPYFAYSAIQMRFFPLYHQMIQWIRSDGHSDDPEYCHMVRQRSLRHTTVGYTARFVAKSNSSKKGAQDPR >OMO51954 pep supercontig:CCACVL1_1.0:contig15660:14495:14995:-1 gene:CCACVL1_29480 transcript:OMO51954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSQPTMVALRADQINQLKEIFARFDMDSDGSLTILELAALLRSLGLKPSGDQIHVLLANMDSNGNGSVEFDELVNAILPDFNEEILVNQEQLAEVFHLFDRDGNGYITAAELAGCMAKMGQPLTYRELTEMIKEADTDGDGVISFNEFSSVMAKSALEFLGITLSC >OMO79429 pep supercontig:CCACVL1_1.0:contig10416:18258:22261:1 gene:CCACVL1_13680 transcript:OMO79429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPNQEAIETFISITGAPEAVAIEKLEEHGGDLNAAVNAHFSEGDRNNTQRSSTVVPVDDSMDIDDPVEVLPDRPAPRLFPPSQTLDPFSLLDPNFRRRFLDGTSDITTREPFVTHPREVREVPIEVKDSNVPSGPSGHAPSIVDVSETALAHGSNTHETVIIDEVDEDSTVQSRQQNNNSNDRRVTPSAPAYENLPDYGNDIEEEMIKAAIEASKLDVEELSDPAPRHNQSHLEDAELAEAVSLSLKTAEQEKALREKGLSGVPSEVEASKQADVNLENMVASNGRLEVGSSSARDEDEDVEEQPLVRHRSRQTSSASGESAKEVGVVESSPPSSPGQQDIGNHPPSNGNAFPDEWGGISSEEHDEAVMLEAAMFGGIPESGYRFPYAPHHFMRPEGPAPYPWRAPRPPSPSLAAQRLIREQQDDEYLASLQADREKELKAMQEAEARRLEEEAARRAAREEEQRKEEELRRKMEEEQECERQLAAKEASLPQEPAVDEENAVTLLVRMPDGSRRGRRFLKSDRLQSLYDFIDIGRGVKPGTYRLVRPYPRRAFSDGESSLTLNEVGLTSKQEALFLELI >OMO79427 pep supercontig:CCACVL1_1.0:contig10416:1096:6014:1 gene:CCACVL1_13677 transcript:OMO79427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Generative cell specific-1, HAP2-GCS1 MRMRRFLIYVLSLIFLHREIVSVQILSKSKLEKCEKNSDSNKLNCTTKIIINMAVPSGSSGGEASIVAEIVEVEENSTNKMQTLRIPPVITINKSAAYAIYELTYIRDVAYKPEEFHVKTRKCEPDAGANVVKICERLRDEEGHIIEHTQPICCPCGPQRRVPSSCGNVFDKMMKGKPNTAHCLRFPGDWFHVFGIGQRSIGFSVKIEMKIGSKVSEVIIGPENRTATSNDNFLRVNLIGDFVGYSNIPTFEDFYLVIPRQGAPGQPNNLGSNFSMWMLLERVRFTLDGVECNKIGVNYEAFNGQPNFCSSPFWSCLHNQLWNFWEADQNRISRRQVPLYGVQGRYERINEHPNAGSHSFSIGVTEALNTNLLIELRADDIEYVFQRSPGKIISVTIPTFEALTQFGVATITAQNTGEVEASYSLTFDCSKGVSLMEEQFFIMKPKEISARSFKLYPTTDQAAKYICSAILKDSEFNEVDRAECQFSTTATILDNGSQITPFHPPKTGVNGFFESIKKIWKNLWESLVEFITGEACRRKCSGFFDFSCHIQYICMSWVVLFGLFLAIFPTVLVLLWLLHQKGFFDPLYDWWEDHFGFNDHRVEHIHRHSVGIGHFHIHSRKHYKARHHKHDSRLKRSSMHHEHRHNHGERDSDYYYHLHHVQKDRHKHRRVKSSSIRQQVHLDRRKNDDVGHHKHRRANETIERPLKMR >OMO79430 pep supercontig:CCACVL1_1.0:contig10416:24107:24202:-1 gene:CCACVL1_13681 transcript:OMO79430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALEIGNNSMAAETEAKQELKIPTFENQLN >OMO79431 pep supercontig:CCACVL1_1.0:contig10416:24829:26862:1 gene:CCACVL1_13682 transcript:OMO79431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MLAGCSSSTLLSPRHRLRSEASAQFQACHFQTSMSTQRLDLPCSFSRKDNSSRSQPIRPVGLSVEKPIESKTSGCSLKQNIRLPPLTTSAQNPFEGRREIKDEFWEKGKKSLKRFAEQGLIDESVINRAKRKKGSSDDEDSGDIHEGRGDNLSLGQLGAGNFWFQPSFTGQNAPQVPFTLTCSGDEERVCFVPGEVISPPLPPLSNNPWIESVITEITDVGEKDVETIHRRPAREASGSSTSSESHSLGLRLNEQGTEHEVGNGSGNPYPHEGASLGANEEENNRGEHQGFELVHLLAACVEAIGAKNIPAINHYIAKLGDLASPRGSVVSRLIAYYTEALALRVTRLWPHIFHITTPREFDRVDDDNGTALRLLNQVSPIPKFVHFTSNEMMLRAFEGKDRVHIIDFDIKQGLQWPSLFQSLASRTNPPSHVRITGIGESKQELNETGDRLAGFAEALNLPFEFHPVVDRLEDVRLWMLHVKERESVAVNCVFQLHKTLYDGNGGALRDFLGLIRSTNPTVVIMAEQEAEHNVFSLEGRVTNSLGYYSAIFDSIDSSLPSESPVRIKIEEMFAREIRNIIACEGSDRFERHESFEKWRKLMEQGGFRCMGIRERELLQSQMLLKMYSSENYSVDKQGQDDGAAAVTLSWLDQPLYSVSAWTPVDVAGSSSSFSQPS >OMO79432 pep supercontig:CCACVL1_1.0:contig10416:33361:35703:1 gene:CCACVL1_13683 transcript:OMO79432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANFSALFFDQDGLSSVSSSSPSLGDLPESCVASIIGYLDPPEICKLAKLNRAFRGASWADFVWESKLPPNYQLLVDKIFGFVPEKLGKRDIYTILCRANTLDDGAKKVWLDKSTGGVCLSISAKGLRITGIDDRRYWNHIPTEESRFSSIAYLQQIWWFEVEGEVEFPFPAGTYSVFFRLQLGRASKRFGRRVCNSEHVHGWDIKPVRFQLWTSDGQYATSQCTLSEPGKWFYYHVGDFKVDNSNSLSTKIKVSMTQIDCTHTKGGLCLDSMVIFPSKFRERLKHKGFLKCA >OMO79428 pep supercontig:CCACVL1_1.0:contig10416:6519:8698:-1 gene:CCACVL1_13678 transcript:OMO79428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MGLPLLGETLSFFTPNTTNDISLFVKERMNRYGPIFKTSLVGRPVIVSADPDLNHFVFLQEGQLFQSWYPDTFTEIFGRQNVGSLHGFMYKYLKNMVLNLFGPESLKKMMPEVERTACRRLQGWSSLETVELKEATASMIFDLTAKKLISYDQDNASENLRDNFVAFIQGLISFPLDIPGTAYHKCLQGRKNAMRMLKNLLNERRAMPGKNRTDFFDYVLEELQKEGTILTEAIALDLMFVLLFASFETTSLALTLAVKFLSDYPSVLEKLTEEHEAILQNREDPDSGLTWKEYKSMTYTFQFINETVRLANIVPGIFRKALREIQFKGYTIPAGWAVMVCPPAVHLNPAKYEDPLVFNPSRWEGVEINGATKSFMAFGGGMRFCVGTDFTKVQMAVFLHCLVSKYRWQPIKGGNIVRTPGLQFPDGFHIQLREKTRTMSSQVSQND >OMP11702 pep supercontig:CCACVL1_1.0:contig01013:5840:6745:1 gene:CCACVL1_00328 transcript:OMP11702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKIETGHQDTVHDVAMDYYGKRIASASSDATIKIVGVSNNTHQHLATLSGHQGPVWQVGWAHPKFGSILASCSYDGRVIIWKEGNQNEWSQAHVHDDHKSSVNSIAWAPHELGLCLACGSSDGNISVLTARADGGWDISRIDQAHPVGVTSVSWAPSMAPGALVGSGLLDPVQKLCSGGCDNTVKVWKLSNGQWKLDCFPALHMHTDWVRDVAWAPNLGLPKSTIASASQDGKVIIWTVAKEGDQWEGKELYNFNTPVWRVSWSLTGNILAVADGNNNVTLWKEAIDGEWQQVSVVEP >OMP11703 pep supercontig:CCACVL1_1.0:contig01013:13372:14505:1 gene:CCACVL1_00329 transcript:OMP11703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MEAADFFVGGYYGGANGGDFSPEKRMMSGEQKLPESFTVDDLLDFSNEDAIINDGFFDNVAGNSTDSSTVTCNSSVSGGNNYFSSANLPHSSQFSGELCVPYDDLAELEWLSNFVEDSFSTEQNLQSNLPIFATSKSPTPESSSSSTRPDSITRSPTNPIFQHDTPLPGKARSKRSRAAPCDWSTRLLHLTPKSSGQKKRENPNPNANSESSGRKCLHCASEKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFMSTKHSNSHRKVLELRRQKELHRAQQQQFLSQTSIFGISNGGGGDDFLIHHHGGPNFRHMI >OMO49853 pep supercontig:CCACVL1_1.0:contig16379:1750:1854:1 gene:CCACVL1_30782 transcript:OMO49853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQINSCPDESSPALSPALSKKKGMKNDENPPLK >OMO58614 pep supercontig:CCACVL1_1.0:contig14199:959:1929:-1 gene:CCACVL1_25426 transcript:OMO58614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSKGRSCPDGPIDGRLWPVGAPSDGRLWLVGAPSDGRLLSHAMAGHVAGHVAGVARLLQAMEVSGASSSSPSLNIPKTIQEAEKEDEEDPIMTLDEDNHSHETTHKNEIVEAISTNNVLVESEENGISHKRRVEMEHNVEIIEESPSIECENAKVEEEVDSQAMIQNLDCEEPDFLGIEAFMQPKSHGIQNDKWKLNDYFVKATTSYKGEKEMSTKLAWANTAILSLKRIGHQSITSTTMALATLAIYGVDQDEFGELFPSLFTFQCPYNFLFHSYLIFALKWQDPP >OMO67563 pep supercontig:CCACVL1_1.0:contig12416:84681:86969:1 gene:CCACVL1_20455 transcript:OMO67563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVGAGNGGGQFGDFPAIHTHGGQYIQYNIFGNLFEITSKYRPPIMPIGRGAYGIVCSVLNSETNEMVAVKKIANAFDNHMDAKRTLREIKLLRHLDHENVIAIRDVIPPPLRREFTDVYIASELMDTDLHQIIRSNQGLSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPAAENDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMNRKPLFPGKDHVHQMRLLTELLGTPTESDLAFLRNEDARRYIRQLPAHPRQPLASLFPHVNPSAIDLIDRMLTFDPTKRITVEEALAHPYLERLHDIADEPVCSEPFSFDFEQQPLGEEQIKDMVYKEALALNPNYA >OMO67562 pep supercontig:CCACVL1_1.0:contig12416:80091:81965:1 gene:CCACVL1_20454 transcript:OMO67562 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase, plant/fungal/bacterial MTKCYPTVSEEYQKAVEKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVKTRTGGPFGTMKQPAELAHGANNGLDIAVRLLEPIKEQVPILSYADFYQLAGVVAVEVTGGPEVPFHPGREDKPHPPPEGRLPDATQGADHLRQVFTTQMGLSDKDIVALSGGHTLGRCHKERSGFEGPWTTNPLIFDNSYFTELVTGEKEGLLQLPTDKVLLADPCFRPLVEKYAADEDAFFADYAEAHLKLSELGFADA >OMO67554 pep supercontig:CCACVL1_1.0:contig12416:1504:1749:-1 gene:CCACVL1_20445 transcript:OMO67554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQNSSSTKKRNQTLPPRRGQVKIRIIKSLVRSVASMTSAAMEKRRKGGESGAALSSCSTTAAPTPIAYDSDQMDYQAEL >OMO67561 pep supercontig:CCACVL1_1.0:contig12416:64541:69527:-1 gene:CCACVL1_20453 transcript:OMO67561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDETEGRCPACRSAYDKERIVGMAANCERMVAEINFERKKSQKAKTKASDGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQRREYFAQYGKVLKVSMSRTAAGVIQQFPNNTCSVACFGTTKYCHAWLRNVPCSNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGATNNMQRRAGNMLPPPIDDYCPNSSTSAAKPIAKSAPNFLPWAIARKSVEDENTTANIPKDSPPNGSSGRSMALPAGASWGMRALNQPQSAGLACSNGPSKQKSDTCSTLPFSSAVANTSQVSTLHSDFIKKPLEEIHAIHIKGKHDLLKPLQQNAGLDSQTPAVEKPTSPDGVSASKSLNSQLSCPPSSNYSDQGTNIPSTVTSSTFDQVGQSFISSSEKEGNVCATDGKVQSLCSDMSTLTLDRNILNGHSGVVRPSSSTSDHGSSSSPSSQGLQQCYIEQYREPLNSATTGRSVTSPNGVCISKEHSEWRTDTQTQAIANSSSEVEEDILSFDSQRLKDPEVVSRSSYVPNSPSSLHLSNHSRSHSLQHSEAFGAVNLNTDTLFVDNKVSDSLRLHGPSVSSLSNGYPENYLSSGIGSDITAEGSRLLPIEGRGRQMGRFFCNAESNAAKDTGESSIISNILSLDLDTWDEPLTSPQNLAKLLGDNEKQQANYLKLSSSWKAPNNNNQSRFSFARQEDSRYYPFDVESSFNVFGQMQRNRPSSQDFAESRDLYLNKLGIPNGFSSSNFEDSDSFNSNPSVFSSNKVSVSRAPISAPPGFSVPSRAPPPGFSSHERVDHAFDSTSGNYLVDSSLLRNSYQAQPGGGIGGDIEFMDPAILAVGKGRLQGGLNNSGLDMRSNYPQQLGPYENDARLQLLMQRSLSPRQNLRYDVGDSYSSLNDSYGISSRLMDHSQVNNMSPFSQLSVQQVQPRNTHTHMSNGHWDGWNEVQGGNGLGVAELLRNERLGYNKFYNGYEDSKYRMPTSGDLYNRTFGM >OMO67557 pep supercontig:CCACVL1_1.0:contig12416:22091:22297:-1 gene:CCACVL1_20448 transcript:OMO67557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKNFPPRRGQIKIKIIKGILKSAAKILSTGAELRMKRGVKGGGLSSSSTTPGVATPNGYNSDGSLN >OMO67565 pep supercontig:CCACVL1_1.0:contig12416:92099:94361:1 gene:CCACVL1_20457 transcript:OMO67565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MSTLELQQTSNNAMDGSVSDDKEANISTSVRKKGGWITFPFIAATLAGLLIGGWGWVTNLIVYLIEEFHIKSIDATQIANVLNGCLSMIPIVAAIIADSFLSSFTVVVICTGFALLGTVFLTLTATLNSLRPQLCESGSSLCQNPTKVQLATLYAALTLATIGLGAPRFTLATMGANQLDKAKDQGVFFNWFYFVLFGSAVISSTVIVYVEDSISWRLGFGLCVAANFVALFIFLLGIRFYRPDKPQGSPFTGLARVLVATFHKRNVKLSSKSEDYYLGNDKQNKIMASMPTKSFRFLNRAAQKTEGDINSDGSVAKPWRLCTIQQVEDLKTLIRIFPIWTTTIFLSTPIAIQANMVVLQALAMDRHLGPKFKIPAGSFLVVVLLSNCLTLALMDRFILPAWQKLTGKSLTPLQRVGIGHGFNVLSMAISALVEAKRLKIAHDQHLQDQNGAIVPMLGLWLFPQLVVQGIGEAFHFPSQITLYYQDFPVSLKGTATALVSAVIGVAYYVSTALVDLIRNVTGWLPDDINHGRLDNLYWTLVVIGMVNLGYFLVCASFYKYQNVDKEVQIVDGETSQAA >OMO67558 pep supercontig:CCACVL1_1.0:contig12416:30617:31033:-1 gene:CCACVL1_20449 transcript:OMO67558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSFSHLNFYLFFLFFLFLFECYCYCSFFFFHFYSHFLCPVLHPYSVSPADNNLFGIWILMATASTYPQRKNRDTSLPPKRGQVKAQIFESVAKTVVSALKAFGGNKGEGSDGKSSSSATTTPPESGYNSAGNGDIS >OMO67559 pep supercontig:CCACVL1_1.0:contig12416:34971:37645:1 gene:CCACVL1_20451 transcript:OMO67559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLISEIPLPKSIANVFAARNISTAKDALSLTEFELMELLDVGLREVRSALSLISEMVAPPYQTALSLMEQRFEKEHLGGHFPTGLKGLDAALCGGIPFGVLTELVGPAGIGKTQFCLKLSLLASLPTKYGGLDGRVVYVDVESKFSSKRLLEIGLRSFPEIFQMKEMAQEMAGRILVLRPTSLSEFTQSLEQIKVSLLQNQVKMLVIDSMAALVSGEHEQGVRRQQPLSWHISFIKSLAEFSRIPVVVTNQVRSQNHDETSQYPFQVQNRGETNETPTRYDSHLVAALGIHWAHSVTIRLVLEARSGQRFIKVAKSPMSPPLAFPYHITSSGISLLSEEGMELMGPEINSIHCQGLLPTIAFTESEDYQLLGFSGRTFVMENIH >OMO67564 pep supercontig:CCACVL1_1.0:contig12416:87942:90214:1 gene:CCACVL1_20456 transcript:OMO67564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MSSLDDGSVSEDKEANISGSVRKQGGWITFPFIAATLSGLLIGGWVWLMNLIVYLIEEFHIKNIDATQIANVLNGCLSMIPIVAAIISDSFLSRFTVLVICTGFAFLGTVFLTLTAIMRPQQCESGSSLCQNPTKVQLATLYAALTLATIGLGASRFTLATMGANQFDKPKDQGVFFNWFYFVNFGSAVISSIVIVYVEDSISWRLGFGLCVAANFVALVFFLLGNRFCRPDKPQGSPFTGLARVIVATFRKRNVKLSSKSGDYYIGNDKKNKIMAAMPTESFRFLNRAAQKTEGDINSDGSVAKPWRLCTIQQVEDLKTLMRIFPIWSTTIFLSTPIAIQATMVVLQALAMDRHLLLFKIPAGSFLVVVQLSNCITLALMDQFIFPAWRKLTGKSLTPLQRVGIGHGFIVLSMAIAALVETKRLKVAHDQDQNGAIVPMLGFWLFPQLLVQGIGDAFHFPGQITLYYQDFPVSLKGTATALVSTIIGVAFYVSTALVDLIRNVTGWLPDDINHGRLDNLYWTLAVIGMINLGYFLVCASFYKYQNVDKQVQLGDGETSQNA >OMO67556 pep supercontig:CCACVL1_1.0:contig12416:14917:17310:-1 gene:CCACVL1_20447 transcript:OMO67556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRSASVARVPEAPGTMAGPLDLSFNSSADLEIFKLDFQNDDHELPVAGDCLRSERFNRLPWGCLGLEAKTSDKWHPDVATKHVASDEDGSPALRLWDMRNIISLVKEFVGHTKGIIAMAWCPSDSS >OMO67555 pep supercontig:CCACVL1_1.0:contig12416:9399:9566:1 gene:CCACVL1_20446 transcript:OMO67555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMIGLDIVYHLRNNNGMQLARNFLWGESEAAELWGLREGLKLARDNNLQQLMI >OMO67560 pep supercontig:CCACVL1_1.0:contig12416:55782:58876:1 gene:CCACVL1_20452 transcript:OMO67560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLNLNVASTESTRDSDSVAPSSDKLNEGSGNQMDESGTSNSSIVNDDDESCSTRANGDAFTLSFDILKVGSGGGSEYQNDDAAVVVTKELFPVKGVGGDFGSCEGQNSGNSSTNNNKWIDLSFESKETGDLRVMHPQPSQAVKKSRRGPRSRSSQYRGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDMKQMKNLTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPRTYEGDMIFDPSNEGNTHNLDLNLGISPPVGNGPKESEGCLHFHSGPYDIHSGKSLRAENPAAATVGSPAFKGLTGASDQPIYWNSVYPRFISSEERAMVKRVEIGTPQGLPDWAWQMHGQVNATPMPLFSTAASSGFSFSATPPSAAILPSKPLNPTAHSLCFASSTATAINTPQAYFQMKPPQAPP >OMO67755 pep supercontig:CCACVL1_1.0:contig12396:16665:17951:1 gene:CCACVL1_20338 transcript:OMO67755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISFSISHFTPLSFFYLLLLSSSLTPTATLNITTILSSFQNFTSFSSLLTSTSVASDLTRRDPITLLAVPNSFLSVSPSSDLTRRLPPSSLGDILRYHILLQYFSWDDLLQIPPSGILVTTLLQTTGRVHSNFGAVNITRNPLTNTITIQSPAPYSPSNATVLSLVKTLPYNITILAVNSLIVPFDFNLMASETRPPLGLNITKALIDGHNFNVAASMLSASGVVEEFEADEGGAGITLFVPTDAAFADLPGNVRLQSLPADKKSVVLKFHVLHSYYPLGSLESIVNPVQPTLATEDNGAGSFTLNISRVNGSVAIDTGIVQASVTQTVFDQNPVAIFGVSKVLLPREIFGKDPPVVTSKPGNAVVGTSGQPPEVSPSPENSPGLSGPASHLSSPPGFRQEIKSDGERYRVQSCIAALCCIGLYLVI >OMO67758 pep supercontig:CCACVL1_1.0:contig12396:33280:35502:1 gene:CCACVL1_20341 transcript:OMO67758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQEEGWPLGLHPLNVRVGLATRNPDNNYSGSISFNTVLTGSPSSSTDSSSDLDTESTGSFFHDKSITLGSLIGVSSILELSKRSVRGRKAESTTDKNRSNNNRSRNWLFSLCSKDTTDADQHNVIANNNVPSLGHFLAVERRAASEYRRNQSPSTIYGPDHELALAAQTNYESNSLFVNGRVAPPQTSSCHNNADAESRKNGGILDNAGDYGHGVPVLLSCVCGQPST >OMO67759 pep supercontig:CCACVL1_1.0:contig12396:39758:42720:-1 gene:CCACVL1_20342 transcript:OMO67759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGLSCGASPENGLFSAVQVGDFETVEVLLNREPNLLNHTTVYDRHSALHIAAANGQIEILSMLLEKSMNPDVVNRHKQTPLMLAAMHGKISCVKKLIEAGANILMFDSLHGRTCLHYAAYYGHSDCLQAILSAAQSSPVAVSWGYARFVNIRDARGATPLHLAARQRRPECLHILLDNGALVCASTGGYGCPGSTPLHLAARGGSLDCIRKLLAWGADRLQRDASGRIPYVVALKHKHGACAALLNPSSAEPLVWPAPLKFISELNEEAKTLLEQALMEANREREKNILKGTAYSLSSPSHSDSGLDDSISEASDTELCCICFEQICTIEVQDCGHQMCAQCTLALCCHNKPNPTTASVTPPNCPFCRSTIVRLVVAKIKSCDDTDHEISDMSSSKLRKLRKSRNFNEGSSSFKSLSAVGSFSKMGGRGSGRVAAENEWIDKP >OMO67757 pep supercontig:CCACVL1_1.0:contig12396:28274:28798:1 gene:CCACVL1_20340 transcript:OMO67757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSNPEMFLSEKDLSTSNNSMEFSFLVRPPLEFQEEDDECQIAPNFQDVVNDEPRQQQEDKCKLAVVSDPMELKLPCLGESKVHDENGKEEDDDGFKTPTCLDQKIPAMLKCPPAPRKPKALPIISSSAKRKANFRRRILLDFTKEIESLFPPALLADLGNKIKKVRQPSDFK >OMO67756 pep supercontig:CCACVL1_1.0:contig12396:19357:21284:-1 gene:CCACVL1_20339 transcript:OMO67756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKTSSAIEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >OMO67753 pep supercontig:CCACVL1_1.0:contig12396:5464:6816:1 gene:CCACVL1_20336 transcript:OMO67753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLSKIPSGSNMAPPFFHEFKKQASFFLKEKIKTARLALTDVTPAQLLTEEATNGNTWAPDTRTLGSISRAAFDIDDYWRIVEILHKKLAKFEKKNWRISYNSLIVLEHLLTHGPESTAEEFQTDKDVIVKIQGFQYIDEKGFNWGLTVRKKSERILKLLEKGPVLKEERDRARKLTRGIQGFGSFCQRSSSSQGILQESSSHATYGRSNSDFNDHENDENQLPPANEFSSIQKFERLQQNNENFKSWSSFSARQTFEEPEVETSSKENMAPRKEELHNWGGTGESNPLLGSGNNELRAGIMIEEDHPFNRTENQTGSSLLIARDGILQGC >OMO67754 pep supercontig:CCACVL1_1.0:contig12396:7555:12435:-1 gene:CCACVL1_20337 transcript:OMO67754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLNPPHPPHQDPNRDFIPELGFRRQVDIPASTQLPGIAEEAGAVSAGDKDKVFVAVGKSVEKAVSLLQWTLRWFGVGKLPASQANAEVVSAYRREERQHLKKLLESYSSVCGKLKVKTSIISVEADQVHKGIVELVNRHLIRNLVFGAIPENCVRMKKSSSKASYAARNAPYYCEIWFVNKGKLVWTREASEEPNSFPPSFSSPSCTNSGSECASSETRLSLDEEENLYSRLREVSMEAEASKNEALAESSKCQKLESQAMEAFSKLKDFESAHIREVKLRKEVDEALRTTMEEQEKLIKVKEEVTRELQKTMRNVALLNSRAQQANHRHDEATGELKFLRASIATLQQEKQRIRQQKMEAVRWLERWRSRGQAGTAACNGFIGLVEDLPELAEFSLADVQSATCNFSESFKIGKGGHGCVYKGEMLGRTVAIKKLYPHNMQGQSEFQQEAQVLSKLQHPHLVTLLGVCPEAWALAYEYLPNGSLQDRLFRKTSVSPLTWKIRARIVAEISSALCFLHSTKPEKIVHGDLKPENILLDSELRCKICDFGISRLVTEDTLYCPSFRRGTEPKGAFPYSDPEFHRIGVLTPKSDIYAFGLIVLQILTGRPPVGLAGEVRKAMSCGKLDSILDTSAGEWPMFVARRLADLGLQCCELYGRDRPDLKPSLVRELGQLHLSDERTVPSFFLCPILQEIMHDPQVAADGFTYEGEALRGWLENGRETSPMTNLTLSHLHLTPNHALRQAIQDWLCKA >OMO53299 pep supercontig:CCACVL1_1.0:contig15242:26827:26892:1 gene:CCACVL1_28739 transcript:OMO53299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVGVLFADFDSKSAIEGRI >OMO53298 pep supercontig:CCACVL1_1.0:contig15242:6166:7444:-1 gene:CCACVL1_28738 transcript:OMO53298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease H-like protein MEGGWRLYSIKIFCDYDESEESVVEGEGSDNRCEFVFKDAELNPLNLTLIIQDAINDYCRFHDEYNKVNIPVMETDGSQKWAPPPLGWLKVNTESAFNKEDRKAAFGLVIKIDMGLFSSIFESDSTKLYKATILLRLIIVEFLLYVRNFSVLSADLQSMKFQLVLREAVLAFDNKRMCPSGWDFHPPFPLFHLKLDGLSALLELLCKIVNKVGLLAPLLFPFSHVFSLLNIFKNQFLTCFP >OMO53300 pep supercontig:CCACVL1_1.0:contig15242:27384:27509:-1 gene:CCACVL1_28740 transcript:OMO53300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLNRGSQQRKQKQGKRKETNNNPNHTKRRKSKKLNEKI >OMO62935 pep supercontig:CCACVL1_1.0:contig13101:25815:29254:1 gene:CCACVL1_22570 transcript:OMO62935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSSLQMYEFHHRITTLIHIDPGFVQVWIWPARIFSSEIQTAIVRYTNGRSVNSFIYKQVRSVNSLGIHISQSKSGFGRIGYPSRRSKRKLPDT >OMO62936 pep supercontig:CCACVL1_1.0:contig13101:33855:35017:-1 gene:CCACVL1_22571 transcript:OMO62936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MIYDERSKIMISSTSRGKDAETKQEGETNDGKFSKGPPSSSRQWSGFRNPRIVRVSRSFGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQDRLGLTQPSKFHQQMLLNSHEPNTPNSLPSHPFFDHATNSMFMKDHGEDHDHQSKRFWDMEVALRAKSKEVEKGKWVKVINDQQEQQGNDQDGIGGGDYSDHQQAAAAEASAQKLFPMANNNNNSSLLSNSMPFNPYYHWEPNSSLSLSQFGNHGFLSTQTENFLSGNNSAAHQLFFCPPPSTMPSLFPTYPPYVATPTGDNSDSRQQINHFQLLSSNNSHHILPNSAGTMMRPNFSLNVNAGLSIHTQDDDDQSHADDDQDNTDS >OMO62934 pep supercontig:CCACVL1_1.0:contig13101:10505:15281:1 gene:CCACVL1_22568 transcript:OMO62934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLLHSLADDNPDLQKQIGCMTGIFQIFDRQHMITTKRISHRRLPAGNSQLNNVILEGDPNDAYHRHTANEMNVNRSINEKQRLSTESSRASFSSSCSSQEASSFDRILFPETPSRDPVMNQPSTSPHLGSQCLDLRDVVKDSMYREARGLSVKTTSREEVPGSTVKHRDSPRPFQLPMSVDGSYGVGINGKQNVPADLKESLRVLAKLREAPWYYNNEARELQRSSHEANSSWNSVSRDAPRFSYDGREINRLSFESRDTFKSTSKLKELPRLSLDSRERSMKGSNHLTKSFHNSGNLNSKVSDPPQSLGAQKRPPNVVAKLMGLETLPDSSSAGDSNLGVTRTCSVEDNNPLSQSLRVNDLNRPTRTSNSPRSSLKDPTSPRWRNPDLVMKPISSSRFPIEPAPWKHVDGSRGSQKQPLKHVKLPAKTPNSFPSVYSEIEKRLKDLEFKQSGKDLRALKQILEAMQAKGFLETRKEEQAANLVTQRDYEPKCTSPSQTLRGHRSAQNARITSSTTRASDSSRTYESPIVIMKPAKLVDKVGIPASTVIPIDDFSSLPKIQSGGSVNNKKGSISSRTARDHTARNSRSDSAATSTDKRASSRNIRSIQSSAKPPKESTTTSVKNSGSVSPRLQQKKLELDRRSRPPTPPSDPSKPRRQSNRNMSELGSPGGKLRPKSHNMQQSDDQLSQISNESRTSSHQGDDISLQSDGNIILESKLDVEVTSKSTEINGSQSPSMKAAKYSLSGITQKKSTSRLVEDGLVAELAMVGPEHPSPVSVLDTSIYRDDAPSPVKQILNTPEDNGSQGFCDNHNEEQWSPEDNCLSDNLGSGLTSEINRKKLQNIEHLVQKLRRLNSNHDEASTDYIASLCENTNPDHRYISEILLASGLLLRDLGSGLTTFQLHPSGHPINPELFFVLEQTKASSLLSKEECKAGMVLHSKPDHEKFHRKLIFDSVNEILVGKLALVGASPEPWIKSGKLAKKTLSAQKLLKELCLEIEQLQAKKPKCNPEEEEDGLKNILWEDVNCRSESWTDFHSEISGIVLDVERLVFKDLVDEIVIGEGGGLRAKQSRRRQLFSK >OMP02276 pep supercontig:CCACVL1_1.0:contig06285:771:911:-1 gene:CCACVL1_02845 transcript:OMP02276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRGASLSVPGVASFQEQSNRKKAALAAKRSSLDVDGAVPHLCIAL >OMP02277 pep supercontig:CCACVL1_1.0:contig06285:1725:3180:-1 gene:CCACVL1_02846 transcript:OMP02277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYADLYMFWPRPFPLRRGSLFRHIAYNGNRTPSAASGRMENVLLRSSNLLGGGVMNRDLPPAVFSSYHFTSRDLISSDPIGRGEGEGRDGWSGNSNGRS >OMO61972 pep supercontig:CCACVL1_1.0:contig13382:11248:12445:1 gene:CCACVL1_23104 transcript:OMO61972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAISKSKLPVLTTILLQNLTKNPITRLQSSPNPQIPPMLPNCHKPSSGFKQYHDGRPRELERQEETSLAAKVFEVIQKQDWYKPDVYLYKDLIIALAKCRKMDEAMKLWESMRKENLFPDSQTYTEIIRGFLRDGSPADAMNVYEDMIKSPDPPEELPFRILLKGLLPHPLLRNKVKKDFEELFPEKHSYDPPEEIFGRY >OMO61971 pep supercontig:CCACVL1_1.0:contig13382:726:3245:-1 gene:CCACVL1_23103 transcript:OMO61971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNPRSKGTNSVRKIGGNLGGGLAAAKIGGNLGGGLAAA >OMO61975 pep supercontig:CCACVL1_1.0:contig13382:20760:21371:-1 gene:CCACVL1_23108 transcript:OMO61975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLNSLFTPPPPSAPLSSASTVRRRMISTTTIHIMALDGIINVNSLFAFALFLGLAWYPIPTLIDDASSPASCAAGNDVAENLIAFHVYSFSSFLFSSLIATAIKQAIKISKDSGDVTGFGVGATLVDVNTVALRIGMLVSGLGSVFGCGFLTMALVAMVQIKLGILSCGSLYSFAAIGPLVVLVPLALMIYVCLVIYAFTR >OMO61977 pep supercontig:CCACVL1_1.0:contig13382:39315:44166:1 gene:CCACVL1_23110 transcript:OMO61977 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative SPOUT methyltransferase MATSSSHFSFLQSSSKPEETETETETESLFNLSAMVAYSLALSSSFTQPNPSIHGSKPFNNLSSLNTSFPLKLNYQVQQRHQNLRIAATSVSISNPPLGIDPRPDDLVSSILSKVMQTDRGVSLTKEQHQEVAQVADELQRYCVDEPVNCPLIFGEWDVVYCSNPTSPGGGYRSAFGRLFFKTKEMIQTVEAPDTIRNRVSFSVFGFLDGEVSLKGKLNVLDKQWIQVIFEPPELKVGALDFQYGGESEVKLKITYIDDKPSLPVFGAQVCVSAAGIFFQLGPGKLVVFLLSAFNALAGGANLLQWKNSASHSSGKGCKYAGQSVRALPIRILTVGKTRSPGVQLLVDEYIAKLKNYCHVDDVQIRSNPKNARDPRAQVDDEDTAIVNLIKSDDWVVLLDERGVDIRSEQMADLLGDAGNTGASRLSFCIGGPYGHGQQLRERANISIKLSSMVLNHQIALVVLMEQLYSLSLLLELEYGWYVI >OMO61978 pep supercontig:CCACVL1_1.0:contig13382:51198:51479:-1 gene:CCACVL1_23111 transcript:OMO61978 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase MGSLKETRIDAVNRQMASEETQALNKASLSKTLKSFLNQTIEMPFPFLFTFFDAYLSKVLSAMLSISWDSNPGTWYTTVEEETDLEVEEFIII >OMO61973 pep supercontig:CCACVL1_1.0:contig13382:15814:16878:1 gene:CCACVL1_23106 transcript:OMO61973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKRQVGSSSSFIADLFGSKDSSSSTSKRIFSSIFAPPSTAGGRNSSSSKLHMLIRLQPITYPIRKGTQFFRKKGWNHAI >OMO61974 pep supercontig:CCACVL1_1.0:contig13382:18640:19962:-1 gene:CCACVL1_23107 transcript:OMO61974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MMQNPKEDIDLLTFPSAWNHLSFPSGSGPPPEILKIALFVKKWPHRSRAGGLERHALTLHLALAKRGHELHIFTTSSPNSSFPRYPFSNLFFHLSKPTSAGYLDQALVWKQFQTQNSTGRPFDVIHTESVGLMHTRAKHLRNLAVSWHGIAYETIHSDIIQELLRTPEEQQAHLLTERAAKVVEEVRFFPRYSHHVATSDHAGDVLKRIYMIPEERVHIILNGVDEDIFRPDFSQGNDFKQNLGISKSRSLILGMAGRLVKDKGHPLIFEALKQILMENDKFRESVIVLVAGDGPWGARYRDLGANILVLGPLEQAQLAKFYNAIDIFVNPTVRAQGLDHTLLEAMLTGKPIMATRLASITGSVIVGPEMGYTFSPSVDSLKAALYQVWNDGREVLEKKGKAARKRGLQLFTATKMAAAYERLFLCISKQVYCKYQNPSN >OMO61976 pep supercontig:CCACVL1_1.0:contig13382:25107:36100:-1 gene:CCACVL1_23109 transcript:OMO61976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MSGSRQRPHLKRPVWIIILVTFVSIFLITAYVYPPTSSAACYIFSSNGCTLYNRPPKLPSRELTDDETISHVVIREILKTPPTQSKNSKIAFLFLTPGTLPFEPLWDKFFRGHEGRFSVFVHASKERPVHTSRYFVGRDIHSEKVVWGKISMVDAERRLLAHALVDPDNQQFVLLSDSCVPLHNFDYVYNYLMLTNVSFIDCFVDLGPHGSGRYSEHMMPEVEKSSFRKGSQWFSMKRQHAIIIMADSLYYTKFRLYCKPNMDGRNCYADEHYLPTFFNMIDPGGIANRSVTYVDWSEFKWHPKSFRAQDITFEFLKNLTSIDDSVHYTSDPKELVSQFQNSTDEEMKEKIAANLANFAYDPYNYSFLRQLNVLELFLDCMTEPNEKLVEFGVGGICNSSVDPANAAIIAQCGGIPLVIQCLSSPVRNTVNYALGALYYLCNKSNREEILKPEVVDLIKRYTAAQTVNAGLLLGPSVLSRVTKIREFFIQPATANYYQFFWRISEILFLFLIGLETDKSFSRRNLFLASVLACSGLVLNSILGAAFSPLFIKLLGISDKKFEFATVIMMILANSASPVVIRLVADLKLDTSDLGRLAVTSSLVNEMACAVLSSLFLAFTSGKSFFYLLVTCLLTGLLIALNRYLVRWINKFDRDNKYVNNAYISFIFFMLLAVSAIIATMGYTSTVSCFLVGLMFPRKGKTWRTLLSKLSYLIHNFLLPIYFGYIGFQFDVNKWKDFPTVISILVMVLLNFGGKLVSTLAASHYLKIKPSEAVIFALILSLKGNFDLRLINVELDSRLWWNLDVHNVLFSTVVLDTVIAGCIVSFTIQCEEKSLAHKQTSLELHDPESELRIMCCIYGPRHSSGAIALISALNGSQTEIVTPYLMHLVELPEKRNKTKLMYHELAEGDQYSDEEGYGGDDTVEINDAMDAFIFETKILINIVKLVSSFATLYEDVCSGAEDRRISIIFLPFHKHQRIDRFMEIDKEGIRTTNQKILRHAPCSVGILVDRSVTGFQQPHGSNSAQHVAVLFFGGPDDREALAFSKWVSSHPQVNLTLIRFLQDQVKENDEASMAVSTNSAEYAVDNAYLVEFYNRYIASGKANYTEKHVSNGEETVTVLREIRDMYSLFIVGKGGRRHSSITTLSDWEESPELGPVGDFLASTDFNTNASVLVIQKFQISTENSFRGDYRM >OMO51049 pep supercontig:CCACVL1_1.0:contig15989:10659:11483:1 gene:CCACVL1_30036 transcript:OMO51049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKNKGKVHPSPSSSSSEDYLSILKLLPAAILALASVLSLEDREVLAYMITRSLKTTTTSSSLISQDYSSKRKPSKKPPPTAAAAKLSQKTGALAHKPPLFDCDCFDCYTSYWFRWDSSSNRELIHQVIEAFEDHLTNGEAQKPSKKNARPKRRDSNSKTASQVPVSPVVELPGQPDLGKVIVLESSIEEAPVFVVVDDVTPAEKAIKEEVAGVAEMTEGFPVKDDAEVERPATAAALSSTPTSSHKGLARKVLPDVLGLFNSRLWGLWNPNV >OMO51382 pep supercontig:CCACVL1_1.0:contig15858:5037:44508:-1 gene:CCACVL1_29822 transcript:OMO51382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSRLAAWNLKMGLNDGLAVPYDKDIVVNASFSTNELIVQIGRMNEGTGTGTINAFDNGLEVMKFSNSDEDTAVYRIKFNPGRRWIRNEGGMLTQLEDENFRGGIRERERE >OMO51383 pep supercontig:CCACVL1_1.0:contig15858:56578:58457:-1 gene:CCACVL1_29823 transcript:OMO51383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYLNKVETLYDARYFKQQVKVGQSKLAIPLPRTQMWRRCSKPIYGKLLTANT >OMO51381 pep supercontig:CCACVL1_1.0:contig15858:3174:4410:1 gene:CCACVL1_29821 transcript:OMO51381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGNLRSKRISQLVGDLRNKVTTLWVSRLWDCKIPTSREEVGMTFLGLDDEFKMAEQLHQESNTLKVLN >OMP09066 pep supercontig:CCACVL1_1.0:contig03413:598:657:-1 gene:CCACVL1_01077 transcript:OMP09066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSKKWNTRPIPSYSYCMNI >OMO61398 pep supercontig:CCACVL1_1.0:contig13492:24027:26774:-1 gene:CCACVL1_23547 transcript:OMO61398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVHFSRGRARRGVVLVVFWILGLISLSCAARLSVEKQKLQVQKHLNRLNKPAVKTIESPDGDIIDCVHISHQPAFDHPYLKDHKIQMRPNYHPEGLFDENKVSDEKPPIKQPKEHSAPIKQLWHMNGKCPEGTIPIRRTKEEDVLRASSVKRYGRKKHRAIPKPRSADPDLVNESGHQHAIAYVEGDKYYGAKATINVWEPRIQQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSEIAMGASISPVSAYRNSQYDISILVWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGHHTSTQMGSGHFPEEGFGKSSYFRNIQVVDESNNLKAPKGLGTFTEQSNCYDVQTGSNGDWGHYFYYGGPGKNPNCP >OMO61397 pep supercontig:CCACVL1_1.0:contig13492:20212:22375:-1 gene:CCACVL1_23546 transcript:OMO61397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESEIKDKDLFKAAESGDSSIFKSLSQDQLSKCLKLRNEDGRSLLHVAVSSSHPEVVKVLSAAADESVVNSSDEEGWAPIHSAASIGNTEIMDILLRKGANVNLKNDGGRTALHYAASKGWLKIAELLISHGTKINLKDKVGCTPLHRAASTGNSALCELLIEEGADVDATDRAGQTPLMNAVICENKEVALLLIRHGADVDIEDKEGYTVLGRASNDFRPLLVDAAKAMLEG >OMO61396 pep supercontig:CCACVL1_1.0:contig13492:13498:15888:-1 gene:CCACVL1_23545 transcript:OMO61396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGKNRSNVWLKSEHEGSGNKLGIGRKMRS >OMO61400 pep supercontig:CCACVL1_1.0:contig13492:36057:37873:1 gene:CCACVL1_23549 transcript:OMO61400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPSSHPSLCSSPSLPRTTQIFFSSTKQLVFNNSNSSFLSSNSTASVSFRRMKQELKPSVNLVVKASETDQTQTTNEGGSEEEQPYEEYEVEIEQPYGLKFRKGRDGGTYIDAIFPGGAADKAGVFEVGDKVIATSAVFGTEIWPAAEYGRTMYTIRQRIGPLLMQMQKRYGKVDDGGELTEKEIIRAERNAGFISNRVREIQMQNYLRKKESKERRALDLREGLQLYKSGKYEEALEKFESVLGSRPEPEEASVASYNVACCYSKLNQIQAGLSALEDALKDGFEDFKRIRTDPDLENLRASEEFDPLLKRFDESFINENAINAIKSLFGFGKK >OMO61395 pep supercontig:CCACVL1_1.0:contig13492:8908:9129:-1 gene:CCACVL1_23544 transcript:OMO61395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDITKVIYDVKGVSEIKVERVSGKVTVYLTVDGTQLAWRLVKTFPYHVYDIQIPTFETALVKIYRALKTWWI >OMO61401 pep supercontig:CCACVL1_1.0:contig13492:38516:42449:-1 gene:CCACVL1_23550 transcript:OMO61401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60 MSSVGSFAAPNGRVMDKKLASSSNRLSSLASISSFSFGRRQNVVLRRTRLPKISAAKELHFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTAKALVSELKAMSKEVEDSELADVAAVSAGNNYEVGNMIAEAMSKVGRKGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDGEKMSVEFENCKLLLVDKKITNARDLIAVLEDAIRGGYPILIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTNGTVIRDEVGLSLDKAGKEVLGHASKVVLTKDYTTIVGDGSTEEAVNKRVAQIRNLIEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKESLENDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSSDNHRYGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEPAVPAGNPMDNSGYGY >OMO61402 pep supercontig:CCACVL1_1.0:contig13492:44281:47169:-1 gene:CCACVL1_23551 transcript:OMO61402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MDSASPGSVNKAPQLHHLQHKRKSWFLLLTFSLLLSTLLIIISITSSTSSSSLFYYARTKTTKTQVPHFVESKLHVAPTSPNPVPKLAYLISGSAGDGENLKRTLKALYHPRNQYAVHLDLEASTEERLEVAKFVKSEPLFQKVGNVRMVTRANLVTYRGPTMVTNTLHAAAILFKEGGNWDWFINLSASDYPLVTQDDLLHTLSAIPRHLNFIEHTSDIGWKEYQRAKPVIIDPGLYSRAKSDVFWVSEKRSVPSAYKLFTGSAWMMLSRPFIEYCLWGWDNLPRIVLMYYANFLSSPEGYFHTVICNAKDFRNTTVNHDLHFISWDNPPKQHPHFLTVADYQRMVDSNAPFARKFGKKEFVLDKIDSELLGCNANGFVPGGWYNSEANTTELRPGPGAERLKHLINGLLSAEDFSAKQCR >OMO61399 pep supercontig:CCACVL1_1.0:contig13492:30349:30562:1 gene:CCACVL1_23548 transcript:OMO61399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGKFVVSIASPAGEVQRAVGRIGVTRVVASVNVHVYVNSKSLPVS >OMP09780 pep supercontig:CCACVL1_1.0:contig03006:3758:4442:-1 gene:CCACVL1_01038 transcript:OMP09780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MVTEIQSMNKPSETIKFLCSYGGKIVPRSTDGKLRYVGGLTRVLSVDRSISFTELMVKLVEFCGYSVTLRCQLPNGELDTLISIKSEEDLKNMFEIYEEATPAPSKIRAILAPPKSLKQISPPPSNTSSVSFSPPRSVDSNSPTKGKFYRRSSSPPPPPPRMAYPVKACYPCHLQHNPRVLLPYSNGACWH >OMP09779 pep supercontig:CCACVL1_1.0:contig03006:401:1821:1 gene:CCACVL1_01037 transcript:OMP09779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFPAFMTQFPSTTRTIPTSALLPTQWPQPHNEELLLAMEESNHEEKLNEIRDTNRNLIVIGKTIADNDKEEDDNDADDDDADNAEESEGEEFEQETG >OMP02368 pep supercontig:CCACVL1_1.0:contig06266:30107:31619:1 gene:CCACVL1_02818 transcript:OMP02368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSELSEIFGEAGSVADVEIVYDRATGRSRGFGFVKMGSVDEAKEAIRLFDGSQIGGRTVRVNFPEVPRGGEREVMGPRIQRGYKGFLDSPYKIYAGNLSWGVTSLSLRDAFASQPGLLSAKVIYERDTGRSRGFGFISFESAETLEAALYAMNGAELDGRTLRLNKATDRASRTPSPETERSTEDSLESSELLSSITA >OMP02369 pep supercontig:CCACVL1_1.0:contig06266:32565:35521:-1 gene:CCACVL1_02819 transcript:OMP02369 gene_biotype:protein_coding transcript_biotype:protein_coding description:TatD family MFKGIYNGKQCHVSDIATVLSRAWNAGVDRIIVTGGSLEESKEALAIAETDGRLFCTVGVHPTRCKEFEESGDPEKHFQALLALAKEGIQKGKVVAVGECGLDYDRLHFCPAEVQKKYFEKQFELAYATKLPMFLHMRAAAGDFCEIVERNINKFTGGVTHSFTDSAEDRDKLLSFSNMYIGVNGCSLRMAENLEVVRDIPVERMMIETDSPYCEIRSTHAGIKFVKSVWPSKKKEKYDQESLVKGRNEPCLVRQVLEVVAGCKGISDINQLGATLYQNTCRVFFPHDLDAAADALLAGRNESE >OMP02367 pep supercontig:CCACVL1_1.0:contig06266:2590:6486:1 gene:CCACVL1_02817 transcript:OMP02367 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding transcription factor, subunit B MAMQTLYLKEHDGIVHNPMGQLAAASVPSIPWWTALGSQSVYGDSCGQLKPLLVENHDQLTSTKQAGRVTEHHEVINKGNPAQFTIFPENGQRQDEVWSTEEHEKFCGKMLRIVRTKAGSLCEEVRMLVETDSAERMGDGKNAGDGQKPQTIISLQSSPSDNRRYELPMVCAKYPYRDQCYGVFSTYGPQVSGRVMLPLNLTTEDGPIYVNAKQYNGIIRRRQSRAKAVLENKVTKSRKPYMHYSRHLHAMRRPRGCGGRFLNTKPSNGDKDGIEKKKVASGGPFSHPTGSQNSEVLQSDSGTLNSSKEANGGGSTISGSEVTSMYSRGGDNIDHFSINNLGLSVHSLPGMMDHGRRSVMPTKWVATADNCCNLKV >OMP02370 pep supercontig:CCACVL1_1.0:contig06266:38015:39630:-1 gene:CCACVL1_02821 transcript:OMP02370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSGSFSRFRSEQASPDHHVVEMTQPSAASGGVNLDKFFEDVESIKDELKELERLNENLSSSHEQSKTLHNAKTVKELRAKMDSDVATALKKAKLIKVKLEALDRSNAANRSLPGCGPGSSSDRTRTSVVNGLRKKLKDSMESFNVLREKISSEYRETVQRRYFTVTGENPDEKTIDLLISTGESETFLQKAIQEQGRGRILDTINEIQERHDAVRDLEKNLKELHQVFLDMAVLVEHQGEQLDDIESQVNRANSFVRGGTERLQTARTYQKNTRKWTCYAIMVLLAIVLVIVLATVRPWENNGGGRGGGGSNTPAPATTTPSPPPPAPTARRLL >OMP02371 pep supercontig:CCACVL1_1.0:contig06266:44612:46984:-1 gene:CCACVL1_02822 transcript:OMP02371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNKILCLMPVIFLCLSLESYVSFGGDTISVNQSLSGNQTIVSAAGVFQLGFFTPRNSSNYYIAIWYKRVSQLTTIWVANREKPIRDIYSSVLKISDGNLVLFNESQVPIWSTNISSTNSTSVVAVLLDDGNLVLRDGPNSSTILLYLWQSFDHPAHNWIPGSKLSFNKRTNQSQRFTSWKSLDDPAPGLFSLELDPSETNQIIIVWNRSIQYWKSGSWDEQRESFSLVPEMTMLSSYNLSFSYFSNENESYFIYSFYNSSTITQNIMDVSGQIKVSTWLDDSKEWSLHYAQARKQCEVYAFCGAFGICNDKSIQFCNCLTGFEPTSQEDWNQQVYPGGCARKSKLECENAVGNGREDQFVENPRIGFPTNPQHATANNIMGCKLICLKNCSCSAYAYDNNNGCLIWTGDLFNLQQLGEDDDEVKTLHIRLAYSEFSSPTNKKKLIIVAVAVSSGLVLLGLTMFIIKRRWRTTIISTNPTENSLMAFGYKDLQKATNNFSETEKLGKGGFGSVFKGTFPDGSLIAVKKLEGINQGEKQFRTEVSIVGVIDHVNLIRLRGFCSEGSRKLLAYDYLPNGSLDKHLFHAKDSQVLDWKTRYQIALGAARGLAYLHDKCRDTIIHCDIKPENILLDADFCPKVADFRLAKLVGREFSRVLTTLRGTMGYLAPEWISGQAITPKADVYSYGMMLLEIVSGRRNFQVQHSEDEGTAFFPATVAIQVSTEGGDVLSLLDSRLNGNVNVEELSRICTVACWCIQDDEIQRPTMSQVVQILEGVLEVSQPPIPRFLQA >OMP02372 pep supercontig:CCACVL1_1.0:contig06266:51904:53292:-1 gene:CCACVL1_02823 transcript:OMP02372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAEDGLKPKRVVFVTVGTTCFDKLVQMVDTQEVKDELLRRGYTHLLIQMGRGSFFPTKSAGDDGSLAVDYFTFSSSIADHLRAASLVISHAGSGSIFETLKLGKPLIVVVNEDLMDNHQSELAEELAERKHLYCARPQTLHQTIAGMDLGSLLPYSPGDATPVAKLINRFLGFPED >OMP02373 pep supercontig:CCACVL1_1.0:contig06266:53809:53886:1 gene:CCACVL1_02824 transcript:OMP02373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKGRVKSPEKPHKTEHEAVKIQQ >OMO75550 pep supercontig:CCACVL1_1.0:contig11030:8364:10326:1 gene:CCACVL1_16132 transcript:OMO75550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVPLRPKRKKTIVDFLVKFRWILVILVVLPFSTAYYFLIYLGDVRSEMKSYKQRQKEHDENVKKVVKRLKQRNAKKDGLVCTARKPWVAVGMRNVDYKRARHFEVDLSAFRNILEIDKERMIARVEPLVNMGQISRTTVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAYEIVLADGSVVRATKDNEYSDLFYAIPWSQGTLGLLVAAEIKLIPIKEYMKLTYTPVKGNLQDLAQGYIDSFAPRDCDQDNPEKVPDFVEGMIYSPTEGVMMTGRYASKEEAKKKGNKINSVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQWWFRFLMGWLMPPKVSLLKATQGEAIRNYYHEMHVIQDMLVPLYKVGDALEWVHREMEIYPIWLCPHRLFKLPVKTMVYPEPGFEHQHRQGDTHYAQMYTDVGVYYAPGPVLRGEVFDGAEAVRRMEQWLIENHSFQPQYAVSELNEKDFWRMFDAGLYEHVRRKYGAVGTFMSVYYKSKKGRKTEKEVQEAEQAHLETAYAEADG >OMO75553 pep supercontig:CCACVL1_1.0:contig11030:17678:27080:-1 gene:CCACVL1_16135 transcript:OMO75553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MGSNAEAWLVEDETAREMLERVLTERPFLLLPPLHRVPLRVGNIVELSGPCSSAKTHILMQAAITCILPKQWRGVNFGGLGHLAMFLDLDCRFDILRFSELLNHRIMEAENGSSSEEAQNATRKHYNEELFALCMRRFLYIRCYDSSEFLATLKTLHYRIQKEKEAHGVNVHLLLIDSIGAFHWVDRGSLSFSLEGDNRKSLHLQSVSNTVVEEIRKLLLVHPMLMIATKTVTLGNRYSTNDIRWKSPSMDNPNSRNMTSSDQHLPYREYMPAVWQSFVTHRILVRATEDGFANDECQNVSVYLSEWLLPPLNSLDKFIVRDEEFVTMVPEDHETTCWGCGIRLLLPTYAPVFKCGWCGAVTNQNVNKPDTKGFWWRRLRDRCFVCILLIFMLFVICGGVWAVYPVVFSISNTCGIVHSVLTVFLATTTVATFCLAAFRCAGTPPIILWGSYPVVGKGGLENYTFCHYCSKPKSPRAHHCRSCGTCILDMDHHCPFIGNCVGAANHRHFIIFLCSAVVSTIYVSIMSAYAGLHIWPPLKYRSFVHLNGVSSTLALRAVKEVSIALLSSAVQLSARGLILVYLFVSSISVQIGSPSPLVTHQRKIAQQST >OMO75551 pep supercontig:CCACVL1_1.0:contig11030:10869:14261:-1 gene:CCACVL1_16133 transcript:OMO75551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGALTWTFLGVPLYWIQEEESIATVVIYVKPGYEANLDSLIQDTIRLTLSTKENRVIIVASYMVSQLPTYEKAGTGESGPMGHGPYINVY >OMO75556 pep supercontig:CCACVL1_1.0:contig11030:47496:47570:-1 gene:CCACVL1_16138 transcript:OMO75556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QAAAAENMEAHTASAVVLPPTFPL >OMO75554 pep supercontig:CCACVL1_1.0:contig11030:31069:31892:1 gene:CCACVL1_16136 transcript:OMO75554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSWIRKLKIPARWVTFLWLVLRDRLHTNELRLRRGIVESGACNRCGNQLETTAHVLRDCPFERREEAGRDPLFHVSPVSDWLKDNILVSKNALTSHDFGITQSINQAIGMGGKLGTPVTAGVTPAVSVGTPAGCIVPAVTVGGITLAKTPPGHSCTPAKTPPGLGFPFLLKYHFDYKIWISSFLVDGFGFSFF >OMO75552 pep supercontig:CCACVL1_1.0:contig11030:14587:17168:1 gene:CCACVL1_16134 transcript:OMO75552 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/Surp MPEFVPILPLPAPDGDLGPLPPSQVTEDQVEEKQTDEEQNKANSVATHTRTIGIIYPPTDIRNIVDKTAQFVAKNGPDFEKRIIANNANNAKFSFLTSSDPYHAYYQHRLSEFRAQNQNPQQQQPPSQPTEVAPTDSAPAADADGNEAPAAKPDPAAQFRVPVKKNLEPPESAQYTVRLPEGITGEELDIIKLTAQFVARNGKAFLMGLTSREGSNPQFNFLRPTHSMFTFFTELVDAYYKVLQTPKDLTEKLRKSAADMSTVLERCLHRLDWERSQEQARQKAEDEIEQERVQMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVVRRSKVSAMEEDEVAEPGKEVEMEMDEEEVQLVEEGMRAASIEENDGQKKEMRANEDPEPPMRIVKNWKRPEERIPAERDPTKFVVSPITGELIPINEMSEHMRISLIDPKYKEQKDRMFAKIRETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHTGSIGRTANQAMSQTIMGEDQNDAANNDAKNLPGPAAPPPRPGIPPIRPLPPPPGLALNLPRVPQSAPQYSGGLPGAPQYSVTPSAGQPQMMMNRPPQMPQSMSMNPANIPVPPPPGSQFNPVTVPRPYAPLPGHAPAMPMMQPPPPLPQGMPPPPPPEEAPPPLPEEPEPKRQKLDDSVLVPEDQFLAQHLGPAQINVSVPNLDEGTLKGQVIEITVQSLSETVSSLKEKIAGEIQLPANKQKLSGKAGFLKDNMTLAYYNIGAGETLALSLRERGGRKK >OMO75549 pep supercontig:CCACVL1_1.0:contig11030:181:1593:1 gene:CCACVL1_16131 transcript:OMO75549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFHLLVANPRKEKLRIEVIDSLGFADLTIGTAEVDLGSLQDTVPTDKIVVLQGGWGLFRKRSAGEILLRLTYKAYVEDEEDDTTQARSVDTDVSDDELSDSEESSTTYEQGVKQLIDETDKESFMDVLAALIVSEEFQGIVSSEPGSKFFDDNSRTGPSKSRLSGVNSETVPSDSDKGSEASGGSTLFWFAVFTSIFVLIAINVGGSNLFNP >OMO75555 pep supercontig:CCACVL1_1.0:contig11030:36896:38277:-1 gene:CCACVL1_16137 transcript:OMO75555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MAGKHFLGLIFVGILAFIGTTNGQLQMNFYAKSCPKAEKIVQDYVSKHIPNAPSLAASFIRMHFHDCFVRGCDASVLLNSTSSSNPNPEKNAVPNQTLRGFDFIDRVKSLLEAECPGIVSCADILTLIARDSVVTIGGPFWKVPTGRRDGLISNAAEANASIPGPFSNFTTLLRLFNNQGLDARDLVLTSGAHTIGLAHCPAVSRRLYNSSGPGGVDPTLDSEYAANLKANKCRTPNDNTTKLEMDPGSRNTFDLSYYTLLTKRRGLFQSDAALTTDQTSLALINQLLSSPLSFFYSEFAKSMEKMGQINVKTGTQGDWV >OMP06701 pep supercontig:CCACVL1_1.0:contig04840:870:1013:1 gene:CCACVL1_01466 transcript:OMP06701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSFTKAREARQQTPKLRVCECAVPSSLTDYLSSSPGDGEQRVPDKSE >OMO83607 pep supercontig:CCACVL1_1.0:contig09874:34523:37901:-1 gene:CCACVL1_11331 transcript:OMO83607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCLNSTKLLNLHQKTHLFVPQNTVSVSFKHQILPFTNKLQRVPSNYPLKQLSSFSRQVQFLQPPVPSSPRRSSLIKASAASSATAGVEMEKLPADIKVTESPEPNSRVRLSVEVPAAVGQDCYRRVLKEFMKRAKIPGFRPGKIPESILLNYVGEENVQKATVESILKRTLPHAMSSVTGRALRDSVRIITKFSDMEKAFSSLNSLNYDVIVDVAPDVKWVPENGYKNLKIVVEIDNEISAETACENEIRRRHKSLGSLRIVTDRGLQVGDLAVLDISAKTIDEDESNVQNIPAAESKGYHFDTEDGDKLIPGFLDSIIGMKRGETKSFPLVFPESWHQENLRGVHAQFTVECKELFYRELPELNDSIADKLIPGCTDLNQVKESLLQKCRELEQNAKDQATDNAILDQLSKMVEIDIPQSLFEEQGRQLYGAKLLEIQAQVKLTEEQLATLSSPKMVNEYLEDQKDNIINLIKQNLAVGDIFKRENLQFASDELVKEVQNSIAEFKRHKQKYDEDRVREQVQDVLEGAKVLEWLKEHADIKYVTR >OMO83609 pep supercontig:CCACVL1_1.0:contig09874:41222:41983:-1 gene:CCACVL1_11333 transcript:OMO83609 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE nucleotide exchange factor MTEKQLAEVFRKFGVEKFDPTNEPFDPHRHNAVFQVPDNSKPPGTVAHVLKAGYMLYDRVIRPAEVGVTQDQNNDSAADTSDKGSEA >OMO83605 pep supercontig:CCACVL1_1.0:contig09874:22381:31417:1 gene:CCACVL1_11329 transcript:OMO83605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat 2 MEIFNKYLFPCLPQSVKTLDLEYYDHPSNLELSPSLAQTLTTLRLHRCGFWGRNSLDPFPSFINLKELYLDSCGFDHCGITFKITAPQLVRLDINFFTVLHTGVMFFQFAFGSSTVLGFAIKDV >OMO83608 pep supercontig:CCACVL1_1.0:contig09874:38899:40393:1 gene:CCACVL1_11332 transcript:OMO83608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGSTRLGRASARYGSTAVFNGPVRKWKKKWVHVSPSSTVKHSQSNGNGSATTSIVLCRWTPLAATDSGSSAAVSTVDGEDEEQPPKRKFRYTPVAVLEEERRRAAAKQVEDEAKADENEVNRSPDWLSSKRENEFNMNKDPKKETQHSSVGNLDLGLCLKGLNDSHDSGEKEGQQKVASSAAGFWKMG >OMO83603 pep supercontig:CCACVL1_1.0:contig09874:12620:15475:-1 gene:CCACVL1_11327 transcript:OMO83603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAVPFILFSFVFIPATITLVESNQQGFISAVISDKGLDFAKDLLIEKAISSIIPLQLSDIEKSVKIPVIGKVKLGLSNIIINSLDVASSSVETGDSGIVLVASGATADMSMDWKYSYKTWVISISDKGTATVQVEGMVVGLSVAIINEEGTLKIVLLDCGCRVEDISIKVDGGASWLYQGIIDAFQGKIVSAVEDAITKKLKEGINKLDSLLQSLPKEMEVNSVVALNITFVDDPLLSDSSVELEINGLFTGVDGISVSNYYYYHKEPQTFLPNKMSTKMVGISLHEYVFDSAARVYFNANYLQWTVDQIPDQSIMNTAGWKYIIPQLYEQYPDDDMNFSIAVTSPPIIRITGDDIYTTIDGDLLIEVLNSGEVVPVACISLVINASCSVEIHGNNLAGSISLVNFTASLKWSDIGNLHMNLIQAIMSTILKTFFMPYLNTHLRRGFPLPLPRGFTLQNAEIIRHDSWVTVCSDLSYPKRYDLNQLPIMVTA >OMO83602 pep supercontig:CCACVL1_1.0:contig09874:5399:11793:-1 gene:CCACVL1_11326 transcript:OMO83602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEVAVDQNEAFEPSPAVGEKRGIENGAAVSLSKKRGCNGGLKRVAEIVLVLSAMGKMRGGGRNPTLAETALMAEARETLAEMCEEMAPKDIVGSAAIGNVIEELGLHAKIKEQKLGVRGTRMSISDKVAFTKRKMEDPKKLFGTYTSQSLQRSLGGPAENAGASLAVRPIHATVSSGGFPVSSPPAHVIAAGPTPLPHQLPSSDVKMPTMSTGLPSGHLGRDSTSFAHARVERPQIKLDGGSNVASYVSQVPANSSANHPLVNAPTWSIQSQTAALAKPGQENKVLNHNPSKVEMPQMAPQAARDQTFRPFITQTATGTFPSMHQGLNFTQAPPYINNHNEIARIVQKLLQPKLPQHPTWTPPSREYMNKALTCQTCKLTVNEVETVLLCDACEKGFHLKCLQSNNLKGIPRGEWHCARCLALCNGKPLPPKYGRVMRSINAPKVTSSTAEAQSSLEKKVENLGPNVNHEKVTVIGSSGIKTPDVASSVDSKSVESTSDSKIPRENSTKPLEAVCGSSSVAAASERPTEHSQITELSTHEERKDHASISQPDDISPNTISSKANDSQPSDNLQDNQTGQQNCAEVPLTNSNAEVSGVEDSEKDCLKREIDRSVQNNQNEPDVAQAYPAGNAGVGGEILRHAEFSSDGVHAIEWTSDVLQVTDGKKFYQSCCIDGITYKVQDHALVHSGQDKLVPSKLQAMWEDAKTGSKWVVVKRCYFPSDLPEAVARPCAPESCEVYESNNDSTVMASSIQGPCEVLPDAKFKEEIERRSQLGTEGKEGLREIFLCKLCPPEPKPYPWLLFTHGKKHQTFCDIADMKNHVPMKSIPELRRKRIIPCSHGWLLLSGKDECCLWNPVTLELKQLPSLKPKQRFRIRYCILSSPPSNPDSLVILFLEDVPLLMVCRLGDQQWSQQSIREYCDDDKAECFCKPFCFDGKLYAQYERSGELVFIDQNLQEDRLVINLLEPPPLPLDLDHSHRYRAYLVESCGEIFVIYLLSPGYEPLNEISGVEVFKLNLVLGEWSRVENFKDRVFFLDRFNSYSCPANKESGLVGNCIYFTLNRDQSLLPIERLLYHDYNNLRAVNRTCREVIPKKWWRNSLPLSPWLMYCKNGDSECQVIDPRLPERNAFNDPNFNDVRMCRSRDGWCVMLQGEENLFFWNPFTGEAIQIPQWQDIFDHTILACAFLSPPNSPDCKFVLISECFNAEIHCILAVDGGEWNSYYAFSRLNFKMSKSPRFNFKMSKSPKPIFYNEEVYVLDLEGKLGVFRKDFRFEILERPLRPCNSINQSFLLEQDGKLLSVFIGPRGKWLRVFELNLSKMVWVEVNNLGDKTI >OMO83601 pep supercontig:CCACVL1_1.0:contig09874:1414:2943:-1 gene:CCACVL1_11325 transcript:OMO83601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSNLDLAEFERRIRISLLAEEERDKEERDRLNFRARRWDMSVMEVEENRFKVDPPPTGEVSALSEEEKIIRHRENLEILEKFLYIIKDKEGGVKGCFNIKLLQPKYGREDPFKLVAQGKINLDGLPLLREQDIGRVFKGYLSDIGRIAIPVCHTPWVSNFGLAYNEHGDPFKKKCLEFEKTARDVLLEFEPPDESSRMKTSLEFRPFPSCAPVLHEVKSKALEGLEPLYGKLLDLESSVSTKVYASMMQMMWSTMNDVVEGRHYDLSCIYDAVTVALEYGSFGSFSVDVLDLVHIADTRRRFQGHRYISKHHFVWAFGETSIKNHVEELVKSVRLRSFEKPATPPPYRLYMSARAIADIHGVKDVQLEHMVVATIYGLERGRQRKRVDLNAFINKRCIKKFFADTEHFKKNL >OMO83606 pep supercontig:CCACVL1_1.0:contig09874:31865:34296:1 gene:CCACVL1_11330 transcript:OMO83606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase MQKDHGNSAPSTPTSGRVRHRRRSNEFPVEMSRPNGNHLLLVDDHNKYRSMWVRACSSLWMLAGFLLILYFGHLYISAMVVIIQIFMARELFNLLRRAHEDKRLPGFRILNWYFYFTAMLFVYGRILSHRLVNTVTSDQIFYRLVSRLIKYQMVICYFLYIAGFMWFILTLKKKMYKYQFGQFAWTHMILIVVFTQSAFTVANIFEGIFWFLLPASLIAVNDVAAYFFGFFFGKTPLIKISPKKTWEGFIGASVATMIAAFLLANVFGQFQWLTCPRKDLSTGWLHCDPGPVFKPEFYPLPGWISQWLPWKEIAILPVQWHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGFTDRMDCQMVMAVFAYIYHQSFVVAQDYTVETILDEILSSLTFEEQKALYTKLGQIVQDRMLGLSQS >OMO83604 pep supercontig:CCACVL1_1.0:contig09874:16536:19440:-1 gene:CCACVL1_11328 transcript:OMO83604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDRQIEQLKKCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPAISTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTSFNHTNNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFDAAPQESRGAPAKKPAPDYFL >OMO84271 pep supercontig:CCACVL1_1.0:contig09760:58776:61213:-1 gene:CCACVL1_10916 transcript:OMO84271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine biosynthesis protein ThiC MIYQSLTARCLNSEMTSVHASFTSVVCKNGNHSAPTRFPSSTFLPGFDVVGRISSSSKKEICQPALSSGPRATLTFDPPTTNSGRSKQKKHTVDPASPDFMPLPSFEQCFPKSSKESREVIHEQSGHTLKVPFRRIQLTGDEPDFDTYDTSGPQNINPRIGLPKLRKDWIDRREKLGAPRYTQMYYAKQGIITEEMLYCATREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQRQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKIAAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVQHGMDAMSAEFLAAKKTVSGEQHGEIGGEIYLPSDYITSSDR >OMO84262 pep supercontig:CCACVL1_1.0:contig09760:10288:12765:1 gene:CCACVL1_10907 transcript:OMO84262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVQGRSGGFGSYGNLLCRSSLYKPSPPLSAIDRFLWGQTQSRSSQQYSTQRNVETKSNPPSFSTDQPLLRGFYLSTNDGIGIGGYLSGVSSSWQTKLEEISFVDGLLLDGENLALTDEKNPNINMEMKQVKATVKNFSNKGVGKRIKKVVSTALIKGQWTDDEDRWAEIAKFIPGRTENAIKNHWNATKRRQNSRKKNKQQNNNDKNQNGSKLPQSSILQDYIRSCQNLNNSSSSNHRSNAANSSTASASSSTTFSEDLSLSSSQFKYFLPDQPSSESDHNSDHQPLITQTYDEELLFMQNFFGNDNNNNVHQLDQPRVDNLSNGVQKCSNPADHGTGFFSSSIINSCVEEEEEEQPRTGYLFSDLYLSRLLNGSPATTGSSAFSTGYSYTNMKAEQASSQGRKEMDLFEMVSSSQFFGR >OMO84277 pep supercontig:CCACVL1_1.0:contig09760:117502:117573:-1 gene:CCACVL1_10922 transcript:OMO84277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLTTVLDLQTLVEADSEFHQL >OMO84269 pep supercontig:CCACVL1_1.0:contig09760:48804:49193:-1 gene:CCACVL1_10914 transcript:OMO84269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKGNNKAGQKTGSNKANVPVVQKSDTKAAGNKKVTRTCGFMLCRRSEFSPARFLKHLGGKVAKGLHVMSRRIRPFPKVTSSSSSGRSKPFVTPVDSHRNAAIEDCIEFINSSASLPRSNSVSATNSN >OMO84273 pep supercontig:CCACVL1_1.0:contig09760:84235:88603:1 gene:CCACVL1_10918 transcript:OMO84273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGESSSQNDRHSVSDTEILKKPDGGVSHGGILEEQRGKVAGSGGGGGEMEAESVEEGEDEEDDGKKVVEWTADDQKNLMDVGFSELERNKRLESLIAKRRARKLFRMAIEKSLKDSNNPHHSQIAPILIVKSNLHGVVTTTGPDTDDVLQMPGSAPCVLLPGHNPFDLPYDPLEEKPNLMADSFHQEFMAATQKDLFLCRHESFCRGPVFALETTQDIPHNLQFGPYPSTEKRPIEAATVSRFQRLSGSKSDLVDKLDDSNQNEAINSSEISHEESRESANDYRIGIEEVKMEKPHGIEPGLISRAESIRNNDSCCSSSSSSSSSTSSDELPPAKSPGQILNDHVRNNNLSIPPRAKTMNRLPYDSSPSPSDRRRVDLLLFNYPYRRHGHTPTCSIASDLQVEVSEIGSPPTTDGTVSPVADGDSVTYDGDIDRDVNSDNDELWGGSFNLSRNETKQRELLHGTTEEDSVDVELPGLKSKPEETVPETLSSENPGETMHSMEKTMDHSPYESCVEKPEQLIEPQNKLTEDLNIDSNMKPITHGDANASDSKSSQNIEDMNSDSIEHMHGNSETSNESKSTMESEKKMEESQPLKSIEKDTQNLADHNNTSKTPIVVQSRNELESVPDDVDGQDVIDDDNLSGVKQRIGDSIAMALNRRLMLEHLTISSCSSPRSVLPQNNLADQTPVSNVEQRIQTDAPRSNSDDIGGDNDSADEQLQENLTVNIEGSSEMTEKNTNSNTLDDMNELVLEDKHQKEDYSLKSNEGNAASIVHLEGGSDKPIEHDFGIDLSKTEEENVNSDVPETMKETHSPSNVIEKSNNAENIDNSEANEKEKGNKMTSTNEGEFQPEEATRGLEKSNDQLVDMNKTEATKRDNTSIPETAIQEEKSSIKVNSSVDVVNDALVDNKTEKEILNHVLDSEHEAVIEPSRTTGETSAVSVENTEHESRRSTDIDGQFASEGSESNSLDNIHNGEDIKISTSQEGVIEAPKPEEDTTRGISVDHEFAMEASKPTETEVKPVAGPEENDSNLVAN >OMO84263 pep supercontig:CCACVL1_1.0:contig09760:14588:17661:-1 gene:CCACVL1_10908 transcript:OMO84263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIKLDQVISHDSEGPEVSSWNGKVISDEKVNRVYEILDSIHKQGQRSISSITANADHGTLIDRFLKGNKASIGDSFNLPLGGNARRALVFGRRSGPLLSGIAYCISSCSMILLNKVVLSSFNFNAGISLMFYQNLICCLVVAILAMSRVVSVEKLNWKLIRVWIPVNIIFVGMLVSGMYSLKYINIAMVTILKNMTNILTAIGEYYVFGKRQNQKVWTAMLMMIISAVTGGITDLSFDATGYTWQILNCILTAGYSLTLRRVMDKAKQTTKSGTLNELSMVLLNNLLSLPFAIFLILTLNEWEYVMNVDVIQLPIFWVVATASGLLGLAISFTSMWFLHQTGPTTYSLVGSLNKIPISIAGLVLFKVPLSVPNMFSILFGLFAGIFFARAKMS >OMO84275 pep supercontig:CCACVL1_1.0:contig09760:96559:97395:-1 gene:CCACVL1_10920 transcript:OMO84275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSSEDSEDEDDNFDEVIFFSPFKFQYKTKLSHLSSETPPPRRHPPLPPLAAVIDTTPATLR >OMO84261 pep supercontig:CCACVL1_1.0:contig09760:1548:3893:1 gene:CCACVL1_10906 transcript:OMO84261 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MVVKVAATARVQWSQPIVPQSPSSSQALASAISSPSLSKRCRSDGALVLRSVQRLNRSALFGSHSSTIQRSRSCEFLKPRSRTLRKACSASLDAFSDEEFSKKIQELALRFQLSDDEVETSSRNTQNSLDSETERETEAVSDSKAESLRFNEQPSWLEGGEDIIPSSIERKANSVDLPLSLRIIKRKLQWQEGFREAGESAYCSMKKAFSSMVFIIRELHSYTLQMRELLYYEDLQGILVRVQNEMHASFVWLFQQVFSHTPTLMVYVMILLANYSVHSMGSNAAIAATAANPTPASYVSVVEEQDQKHQKFDSSSIKSFSVSSSSGKTTSIGGNNGGGGKVRPVASGTDGEAWFDRADQFSTIVPDGASQLSSPGTTGEAQTESTSGRATREEELSLWNSIVDEALKMQASFRGETLDQETIQRFISPVIAKIETDADYEDYFRTELLYQTGLTQEPNNPLLLANYAQFLYLVAHDYDRAEEYFKKAIQVEPADAEAYSKYASFLWRVKNDLWAAEETFLEAIAADPNNSFYAANYAHFLWNTGGEDTCFPLTSPDTTQEA >OMO84264 pep supercontig:CCACVL1_1.0:contig09760:21614:22789:-1 gene:CCACVL1_10909 transcript:OMO84264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPNIGSFFNRFLSLFILLLHLGCFIFTAKDYQKPTKKRKAASPLSPSSSSLKTHKPLSSTWSYLRRIFSSSKANCKNTIQTHPTITVTAPTPALTSARNSQHSLVSMINPPELTHLSDTSPPCQKTSGSCPEADISSDNSFFPLRNDIFPCTACGEIFQKPHFLEQHQAAKHAVSELIDGDSGKNIVRIIFKTGWTDKLKNPEIHRILKIHNSQKILARFEEYRELVKAKAARNGAVRRRDERCIADGNELLRFYCSTFMCDLGLNGNSSICNQQYCSICGIIKSGFSPKMDGISTLSTSWRAHVAIPEDVEEEFKFMNVKRAMLVCRVVAGRVGSEGEEIGKEEGGFDSVIGRGLGGGSGAFTKVDEDELLVFNPRAVLPCFVIVYTV >OMO84265 pep supercontig:CCACVL1_1.0:contig09760:34289:34348:-1 gene:CCACVL1_10910 transcript:OMO84265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAINANPMCYPPTGLDK >OMO84266 pep supercontig:CCACVL1_1.0:contig09760:36896:40313:1 gene:CCACVL1_10911 transcript:OMO84266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MSSRALLFSPNFPKSPPDNFSIRGKTVPARSLFNVRLKTPHGSRVFRVSVGHNSGESRRRGSGKVYADVREVRKSVKFEDSLNDVVLSEEELDNSVPWWEEFPKRWVIVILCFSAFLLCNMDRVNMSIAILPMSAEFNWNPATVGLIQSSFFWGYLLTQIAGGIWADTIGGKAVLGFGVIWWSVATALTPIAAKLGLPFLLFVRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPFLIHQFGWPSVFYSFGSLGTVWFTLWLNKAYSSPLDDPQLRPQEKKLLLANKISKDPVKTIPWKLILSKPPVWALITSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAFSANFGGWIADTLVSKGYSVTTVRKIMQSIGFLGPAFFLTQLSHVNSPAMAVLCMACSQGTDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFEVSVGLYLVGTVIWNLFSTGEKILD >OMO84274 pep supercontig:CCACVL1_1.0:contig09760:93799:94652:-1 gene:CCACVL1_10919 transcript:OMO84274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASPEQVSPPTSLVHPPKRPPAVPPSMPQGHLQPPSPTAVENNSQAASHPSFAVEDNNGAATSRTRDHFKRSSEANKKNREAGLSTVYKGGSAPMGRYKKRLSKDGYSLTIFGCLVLVKNLSQGRRTICFKKSYKI >OMO84267 pep supercontig:CCACVL1_1.0:contig09760:41079:42614:-1 gene:CCACVL1_10912 transcript:OMO84267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 METLPFVFSLAAAIFVYAFWFNFLARKLTGPKVWPFVGSLPYVIMNRTRIHDWIASNLRATGGSSTYQTCTIALPFLARKQGFYTVTCHPKNIEHILRTRFDNYPKGPHWQAAFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMNRWVNRTIKNRLWCILDKAVNEKKPVDLQDLLLRLTFDNICGLTFGKDPETLSPDLPENPFAVAFDTATEATLYRLLYPGLLWRLEKILGIGAEKKLKSSLEIVENYMNDAIEARKESPSDDLLSRFMKKRDAAGNFFTSEVLQRIALNFVLAGRDTSSVALSWFFWLVMNHPETEKKIVNEISTVLSETRGHDHKKWIEEPLVFDEADKLIYLKAALAETLRLYPSVPQDFKYVVEDDVLPDGTFVPAGSTVTYSIYSVGRMKTIWGEDCMEFRPERWLSPEGDKFDPPKDGYKFVAFNAGPRTCLGKDLAYLQMKSVASAVLLRYRLSLVPGHRVEQKMSLTLFMKNGLRVYLQPRILA >OMO84278 pep supercontig:CCACVL1_1.0:contig09760:118630:119780:-1 gene:CCACVL1_10923 transcript:OMO84278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWEMEILSPTSYLPSTNWFLEESNCTKWTPAENKMFENALAVYDKDTPDRWQKVAAMIPGKTVEDVIKQYRELEADVSSIEAGLFPAPGYSTSPFTLDWGNGHGYDGLKQSYGLGGKRSGRPAEQERKKGVPWTEEEHKLFLMGLKKYGKGDWRNISRNFVVTRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLNDTRTPSPDNKGTSSPDQSSLLSQQPNSGAMSRTHFQWNQPSMAFNSTQGNMLMSSPYGVPSYGLKIQGQNAHESYFGPQNLVFQMQSAQQYPHG >OMO84268 pep supercontig:CCACVL1_1.0:contig09760:46767:47045:1 gene:CCACVL1_10913 transcript:OMO84268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thylakoid soluble phosphoprotein TSP9 MASLPIAFIPSTTRVYAATAAKGAGGSKEEKGLLDWILGNLTKEDQFYETDPLLKKVEEKNGGGTTSGRKNSVSVPQKKKNGGGFGGLFAKK >OMO84272 pep supercontig:CCACVL1_1.0:contig09760:63375:69293:-1 gene:CCACVL1_10917 transcript:OMO84272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M41 MSSIEFLRPTTLTIHNKFGTSSYSNLLYLHGLNFSTNRFRVLQQNTCRFLPNSINFPLQNVAVLRNPERFNLYGGKLRFRDSRILANCTDSSDSKASSSDNNESEGGQGVKQKQSPQSSGGSTNQRREKSGKSGFWWSKGKKWEWQPIIQAQEIGVLLLQLGIVMFVMRLLRPGIPLPGSEPRTPTTFVSVPYSEFLSKINSNQVQKVEVDGVHIMFKLKNEGSVQESEISSVSNSKFQESESLLRSVAPTKRIVYTTTRPSDIKTPYEKMLENAVEFGSPDKRSGGFLNSALIALFYVAVLAGLLHRFPVSFSQHTAGQIRNRKSGGSGGSKVSEQGETITFADVAGVDEAKEELEEIVEFLRNPDRYIRLGARPPRGVLLIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRIGREAILKVHASKKELPLGEDVDLGDIASMTTGFTGADLANLVNEAALLAGRMNKVIVERIDFIQAVERSIAGIEKKTAKLKGSEKAVVARHEAGHAVVGTAVANLLHGQPRVEKLSILPRSGGALGFTYIPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVIYSGRVSTGALDDIRRATDMAYKAIAEYGLNQTIGPLSLATLSGGGMDESGGALPWGRDQGHLVDLVQREVKALLQSALEVALSVVRANPAVLEGLGAHLEENEKVEGEELQEWLKMVVAPKELTVFIGGKQESLLPMQASSG >OMO84276 pep supercontig:CCACVL1_1.0:contig09760:100262:110770:1 gene:CCACVL1_10921 transcript:OMO84276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGVVSTSGGGGHDFPGSGSCSAGSSVFTFPVSTVRFLVNYYLKHLLFPVLQLFSSIGLMDCVYKNPNAPIEDRVKDLLSRMTLQEKIGQMTQIERTVATATPSALRDYSIGSILSAGGRVVSREEQSGGDGDDELPLQEPKCSNRGSNQRPSFQDDLTRKDRANDPNRAMRCHSLGC >OMO84279 pep supercontig:CCACVL1_1.0:contig09760:121616:121848:1 gene:CCACVL1_10924 transcript:OMO84279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAFGQLLFSLQLEGVAAKRRVQINGYNLKEGKEGNWGHHQDPDFDTW >OMO84270 pep supercontig:CCACVL1_1.0:contig09760:52297:56110:-1 gene:CCACVL1_10915 transcript:OMO84270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L4/L1e MATLTPTPTSLSFFSSSLFLSSSSAKLPQLSLSSKTLPKSSLSVSCQLSTIPILSFTGEKIGETYLDLKSAPPDTARAVVHRAIITDLQNKRRGTASTLTRSEVRGGGRKPYPQKKTGRARRGSMRSPLRPGGGVIFGPKPRDWSIKINKKEKRLAISTALSSAAENTIVVEEFGDKFEKPKTREFIEALKRWGLDPKQKSMFLMMDVPQNVLLSSRNIGTLKMLTPRTLNLFDILNCDNLVLTPDAVDFLNGRYGENYEGDTEEDDDEEEEGEEEVGSEGMTFLISLFAFVSWHRDDRVPLTVSGNRNLNAKVTEAYNYIIEIVRSGLNFMQKDAFTRANLNSIAEKLVLDDPNKEIWTPFSLVFKPHHNSITGNYDINVLIAALEEKGKTVIWHDRRNGASAIDLNDEMLMGIVINVPVRRYAGLWKGRHWIALRKIDGVWYNLDSDLHAPHCFKDNGEVGEYLDYILSHDGQVLLVKDDRQ >OMO59395 pep supercontig:CCACVL1_1.0:contig13982:26027:29859:1 gene:CCACVL1_24856 transcript:OMO59395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFEKYKKVCGEDFTWTKPMTGKQLEVWLGGM >OMO59396 pep supercontig:CCACVL1_1.0:contig13982:39800:39916:-1 gene:CCACVL1_24857 transcript:OMO59396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNEMRKTCNNRARIPAERKFQFQGTNEDEKDGKITL >OMO59393 pep supercontig:CCACVL1_1.0:contig13982:16642:23254:1 gene:CCACVL1_24854 transcript:OMO59393 gene_biotype:protein_coding transcript_biotype:protein_coding description:LETM1-like protein MASRAILRRKRLISDYLNTSSWSVQALQYLGPPSQKSDSRGYRSNAHHSSEDFNHVKNLNVHSVAKQDLLGFPGLKSFSYRCKGISVLGLGSARFQFSSPLSVSLMSYSVRHASTATAKQPEPGSDDEGDEELVATRRKEASAEECDQAVEGLTTAKAKAKAKRLQDSKKVAKSVLQRVWATILGIGPALRAVASMSREDWAKKFVHWGHEIKSTAQHYWLGFKLLWADVRISSRLLLKLAGGKTLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLHARIEYAKFLQDTVKEMAKEVQNSRSGEIKKTAEDLDDFLNKVRKGSSVSNEEILGFAKLFNDELTLDNINRPRLVNMCKYMGISPFGTDAYLRYMLRKRLQRIKNDDKLIQAEGVESLSEDELREDCRERGMLGVVSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFISGKLKPEDAVRDTLTSLPDEVVDTVGITALPSEDSVSERRRKLEYLEMQEELIKEEEKEEEKRARMKESKADEEDVALKEMTIPTAREAQEQAIARALGKREHLCELSRALAVLASASSVSREREEFLGLVNKEIELYNSMVEKEGTDGEKDAIKAYKAAREESDHSSEVSESDEVSSVLIEKVDAMLQNLEKEIDDVDAKIGDRWRILDRDHDGKVTPEEVAAAAIYLKDTLGKEGVQELISNLSKDRDGKILVEDIVKLGSQIEEDNSSEEGRM >OMO59394 pep supercontig:CCACVL1_1.0:contig13982:24452:24514:-1 gene:CCACVL1_24855 transcript:OMO59394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNPTTATNGVFLDYPME >OMO59398 pep supercontig:CCACVL1_1.0:contig13982:55343:55411:1 gene:CCACVL1_24859 transcript:OMO59398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISSRGIECNGRMRSSKGTA >OMO59397 pep supercontig:CCACVL1_1.0:contig13982:50615:53855:1 gene:CCACVL1_24858 transcript:OMO59397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MTRTRNRNPFNPFDTSWCARGLDSCVFALLPEFFKNLSGETIVPLSVVNEDVYESSSKRPKTTSKVWDVFEKLPAQQGDSKAICKLCRRIYTAKTTSGTSHLRRHIEACVKRNNNDVDQRSLEACFKPVNREANRQTVLHGTLVDATASSKNCKVDVDEIRRAIAKMIVVDEQPFRVVEGTGFRRVLSVACPEFPALTRKAIKREIVSIYLKERESIRELLATCPGRICLTSSTWKSSCDDHFNCVTAHFIDNEWRLQQRILRFRLLPPPYDSLSIADEIALCMVQWNIEHKVFSVTLENLSSDDCVADMLKSRLDAKKYLPCKGAFFNMSCSTRMFNLIVQAGFNLLVDIIGKLRLGIKYVQQSRHRKKNFYIIAKTLNLDTQKKLCLDSPTRWNSTYNMIEVALCYKNAFLYLAEQDRNFIHKLSENEWEKVSVLNKFLKVFFEVTCLFFGNRQPTSNLYFKALWKVHSRLSDMTRGPENFMTRMVKEMQSKFNQYWSEYNLILSCAAILDPRYKIKFVEYCYTKLYGSGAQQYVSTSVNTLYALFHEYMQHSPCPSHTGASPVVTAKISNDKDDNDGFEDYETFQSARFRTQVEKSQLDLYFEEPSHDLNSEIDVLEYWTLCSLRYPELSRMARDVLIIPVSTVSSNNSFDIGPQVISADRSSLKSKMIQALVCLQDWMLASDRTRGSGFMESRTEDDSSGSSDGDDDY >OMO59399 pep supercontig:CCACVL1_1.0:contig13982:57145:58394:-1 gene:CCACVL1_24860 transcript:OMO59399 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEFQFPQSSSNSINIHPIEFPEGEKTNGLAEFVPLFQKLVSQRLASFIHGQISSSSTPPKALVYDSFLPWALDVAKMFGVHGASFFTQSWSNSSIYYHVNQGTLKVPLEHNAVVSLPSMPVLGIHDLPSFVSDTGSYPHLLKMVVDRFSNFQEADSLFCNSFIHLEHEGDATNHLSFKISIPIPVKLMAIFKYVNF >OMP09553 pep supercontig:CCACVL1_1.0:contig03160:1090:1167:-1 gene:CCACVL1_01056 transcript:OMP09553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAITTQAPSTRRLVLAWRRKMPQ >OMO62382 pep supercontig:CCACVL1_1.0:contig13274:6048:7832:-1 gene:CCACVL1_22855 transcript:OMO62382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMSSFYFLVFGLSLCFVNLNYVASAHEIPHINLAHKSINCPLNFTLLRKVFPSNFPSLDVPTKCQTILQGIRLVKSNYLRITGNFSPPPNSSEACWDVYQNLVNEFVFGFDIRSSCGFHTSLISESCKNITNRYQFETLISDSKLEEVRLLCNRSLDDDSSCRPCRKSLSSVYRSYFYGIAVGSASDCYGYPLIYAGALANQYGQTDSGTSKCLFSINLTSSNAKNPKRTTLLCAVSFGVAFGVFMTAVVIFFTWRRKKKWRRRQNNVSPVETDSSFGIEKFTFEEIKKATKNFSRENIIGKGGYGNVYKGILENGSEVAFKRFKNCSASGDATFAHEVEVIASISHVNLVTFRGYCTTTVPMEGHQRIIACDLVHNGSLYDHLFGSDENNKKLSWPIRQKIALGVARGLAYLHYGAQPAIIHRDVKPSNILLDDTFEPKLADFGLAKFRPDGFSHMSTRVAGTLGYVSPEYALYGQLTERTDVYSFGVVLLELLSGKQAVISIDDHHTLLLTDWARSLVEEGRLSDVIDENILELGLPEVMEKFVMVALLSTHPQLYARPTMEQIVRILETDSPVSSVSKLSEDEEKFLYLI >OMO62386 pep supercontig:CCACVL1_1.0:contig13274:32552:36765:-1 gene:CCACVL1_22859 transcript:OMO62386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQNHQPQRILVDTDVDTDDVFALFYLLKQDPNQFNLQGITINANGWSDAGHAINHVYDILFMMDHDDIPVGIGGEGDILPNSTILPNVGGYLPIIDQGMSTAGECRYRQAIPVARSGRLDINSNFGLRKSFLPQGSRKYTPLQQPTAQEVMINAISSGPTTVFLMGAHTNFAIFLMNNPNLKKNVKHIYAMGGSVRSNCPKNDSSKECPNIGNLYPQDSNPYAEFNIFSDPFAAYKVLHSGIPITLIPLDATNTIPLSRDFFMEFERRQNTYEARYCFQALKLIRDTWLTDAFHEQYCMWDSFMVGVALSVMGKSHNHNGENEFAEMQYMNITVVTSNEPYGVADCSNPLISEYSFPKFNVTKNGLHSGHVQMGMQDPFCLQRKCQDGYTKEDNGEDAVNVLVATKAKSNPDNQSSLQKAFYTSFLHVINSPEKTGRFDIRRQYPFHREVLYKPDFGMKMRGKPVIFDMDMSAGDFLALLYLLKLPVELLNLKGILISSTGWATSATIDVVYDVLHMMGRDDIPVGLGEAFAVGQSNPDFSAIGDCKYSKAIPHGSGGYLDSDTLYGLARDLPRSPRRYTSEDSAKFGAPRDTEHPELRQPSAQDVWNSVVESLDPGSKITMLTNGPSTNLAQIIQSKNGSSLIQRVYIVGGHTGYVDGNEKGNLFTVPSNEYAEFNMFLDPLAAEQVFTSSLDITLVPLQIQRRVSSFSSILDSMNVTIQTPESVFAQRLISRLWQLQKEHYRYNHMDIFLGEILGAVIMAGNPQLNQTFTSKPLKILSNGDITKTGQIVIDEEQGKQVNVFENVNPEAYYEHFARVLGDSRQSAVLGSFHEQEIMWNSPPERTNFGHHNPQL >OMO62383 pep supercontig:CCACVL1_1.0:contig13274:10784:15544:1 gene:CCACVL1_22856 transcript:OMO62383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVSSENCKDSEDNTEKKSEDEVVPRHRRQGAIISSTSAYELRALDKEIEKKEGLSPKGVLDACLEGLDSNTPASPVTPGTPEAGIRCTNSGALRNWRKFFRLWRRKSREHLASFPPLAPKLSRKSSRSSKENPVLRDLYNFKSSLQNFSLSELQAATDNFSKENIIGKGGYGEVFKGCLKDGKLVAIKRLIKGTADERTVDFLSELGVIAHAKPLLDENDIKQLLDPSLGDDYDEEEADRMVLTASLCIEQSPILRPQMSQVVVLLRGDDYVAECANESCKKSFQRTYSNELLDAQEYNSTKHLSSNINRLREIALGS >OMO62385 pep supercontig:CCACVL1_1.0:contig13274:28919:30411:1 gene:CCACVL1_22858 transcript:OMO62385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVQLQRQLVDYKASLYNEAILDEQFTQLQELQDENNPDFVVEVVSLFFEDSERLLNELTKALIGAQRVQKVCIAFRNCCEEQNVQGCLICLQQVKNEYSLVKTKLETMFKLEQQILAAGGSIPV >OMO62384 pep supercontig:CCACVL1_1.0:contig13274:18135:23835:1 gene:CCACVL1_22857 transcript:OMO62384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNGNNNKGSNIINNNKSNSHNTNGFLPNSLKFISSCIKTASSGVRSASASVAASISGDSPEQHKDQVLWVSFDRLELGPSSFKRVLLLGYSNGFQVLDVEDSSNVNELVSRRDDPVTFLQMQPLPEKSGGHEGFRASHPLLLVVACDESKGSGLMLTGRDGLPRDGFDEPQNGNVLISPTAVRFYSLRSHNYVHVLRFRSTVYMVRCSPRIVAVGLATQIYCFDALTLENKFSVLTYPVPQAGGQGMIGINIGYGPMAVGPRWLAYASNNPLQSNAGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQLAAGLINLGDMGYKTLSKYYQDGPGSPVSSNSGWKVGRGASHSAETDIAGTVVVKDFVSRAVVSQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPSSIKNGSGTSNYDWSSSHVHLYKLHRGMTSAVIQDICFSSYSQWIAIVSSRGTCHIFVLSPFGGENVLQIQNSHVDGPTLSPAVSLPWWSTPSFLINYQTFSPPAPPTITLSVVSRIKNVNSGWLNTVTNAASSAAGKASFPSGAFSAVFHNSLPNVVQRAQAKANVLEHLLVYTPTGHVVQHKLLPSFGGESGESGSAHQLQEEELRVKVEAMQAWDVCRRTDWPEREECLSGMTHGRKEALEMVMDVSDSEDNDAGHKDLSKPQDRSHLYLSMAEVQINSGRIPIWQNPKVSFYTMSPVGLDEHKFTADKSGGEIEIEKMPAHEVEIRQRDLLPVFEHFHRIQSEWSDRGFDGQNNLMSSSHGGNKARFPEVTVISHSKLMSPSSAENSDSGSSRNFYPSSIHSGKDSNGVKGQSYVLLSSMLNQGTLNKDTGSVSFNQSKVGVSHIEDSNSTNNLSSLSNGSLSGGRTVAKEVQFPNNGGTSDSSNTSSNRSDLSMNMLDEGPVNDSPDFEQFFQEEYCKALPLSACREQTEVITDVDSSSPCDREKSEEEEGDNDDMLGGIFAFSEEG >OMO62387 pep supercontig:CCACVL1_1.0:contig13274:40796:42745:1 gene:CCACVL1_22860 transcript:OMO62387 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ubiquitin-protein ligase BRE1A MAKKKLTHQSKEPKEQNPSQETHDTAKDSTFTKSSKPLSPQSSMEDPNEKLKNLKSLNAMLLKETVEKRQQIESLFHANEALEAELSKRKGLDGDESEKAVSLELQNGLLWVYMDSQMKEMGVERERAIGAWKSKVNGLMGGLENERERLSSVLKERDVAKREFELQVNEGSLMKGKLKEMEKNERKFVEEVEKLKGEYDSLRKEEGILKSKVLELEKNHGEAMDREAEKAIEIGALVEDKRAKERSIERLLEEKDSVSSLLNVTMAESEDRQRRIEQLLEETDAVKRELEMNEKKLKDMVKNFEELLGEKNEIEKVKLSQEKENVELHKEVTELKNAVHRLQEACGDHEKKKRELISEVNCVRNSVDQVTIERDNALRGLDAEKQNSLNLTSKVSEVEKMLAKTAEELAQKRAEWQKLIKEKEEIESHFGSMTGDKDRLQKDLLEANRSISDLKAKMESTSINYERALTLLKNTASLLCQSKDENGPKPNEEAGIAEQRLEAEIEPYAAELEAIKQAYRNKESLAQDLKQKVVIMEKSMVEAEKKKSFWTLVTSATTVLAAISLAYAARGR >OMO55033 pep supercontig:CCACVL1_1.0:contig14808:3236:7598:1 gene:CCACVL1_27434 transcript:OMO55033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase, PTH2 MFAVVFSKKGKFLSVLSNMDLSWLSAILVGAGCLALGYYIGRSHPACIFLSPRGAKDTTIAKAKKKKNKEPFEIEKLADILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLHRAPKALDRWEMCAQPKVVVKIDSEEDMLVLQERAKSLNLPTHITIDAGRTQIAPNSRTVMAILGPIEMVDDVTGGLKLL >OMO55034 pep supercontig:CCACVL1_1.0:contig14808:8780:9052:1 gene:CCACVL1_27435 transcript:OMO55034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 LYR protein MSKGLIWAAAEDLARNRGRVLSLYRQILRSLNSPKLELSLAARLAKKAEARAIFMLGSEERSLDNIEDLIDAAEYSLSLLKQCKIPKHIQ >OMO49713 pep supercontig:CCACVL1_1.0:contig16428:13799:18460:-1 gene:CCACVL1_30836 transcript:OMO49713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAQHEEEEQGEVFLDESDIIDEVDVDEEELPDADDDDTEINEEPDDSIHIFTGHTGELYAVACNPTDPVLVATGGGDDRGFLWKIGHGHTDSVASLAFSNDGQLLSSGGFDGLVKVWDTSGNLKRTLEGPGGGIEWVKWHPKGHLILAGSEDCTVWMWNADNGNCLNVFSGHGASVTCGDFTPDGKTICTGSEDATFRVWNPRSGESIHVVRGHPYHTQGLTCLSISSDSNFAVTGSKDGSFHIVNITTGKVVSSWASQTSSTEGDPESIECASFATNFPWAVSGGMDGNLTIWDVQNSSPRFICNHEEGVTCLAWLGASKFLATGCCDGKVRLWDCLSGECVETFKGHEQPIQSLSVSSNLDFLVSVSMDGSARVFEIRHFH >OMO98770 pep supercontig:CCACVL1_1.0:contig07028:10635:14347:1 gene:CCACVL1_04068 transcript:OMO98770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNGLFERGEASNGQALGPCLSKMVDNGNLESHRYYLSRRTVLEMLKDRGYSVPISEISLSLEDFRSIYGQIPDFDRLRLSVTHATDPSKRVMVVFYGPGTVKVSGIRLISALITGKESLTGLILILQNHITSQALKALDLFSFKVEIFQITDLLVNITKHVLKPKHQVLTADEKRKLLQKYSIEARQLPRLLKKDAIARYYGFEKDQVAGVVLSFRPTLKRLLCVTSVCSL >OMO98771 pep supercontig:CCACVL1_1.0:contig07028:15179:16589:-1 gene:CCACVL1_04069 transcript:OMO98771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MCSSSVYSPYKLPSVPLSSLANSSSPLQQREKLYLLAVGYYRTGEYPKSRQILDRCLEIAPDWRQALTLKKAVEDRIAKDGVIGIGITATAVGLIAGGIAAALARKK >OMO50492 pep supercontig:CCACVL1_1.0:contig16155:1375:1443:1 gene:CCACVL1_30409 transcript:OMO50492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEATFDDDINYSETKLVLPFKI >OMP01619 pep supercontig:CCACVL1_1.0:contig06408:43883:46557:1 gene:CCACVL1_03054 transcript:OMP01619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTWDKPDLLQNVNPYQSLAGGIGSKHARNSSIPLFMTKEEVEIA >OMP01618 pep supercontig:CCACVL1_1.0:contig06408:38807:42173:-1 gene:CCACVL1_03053 transcript:OMP01618 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MKEGQSFEVVVDEKDAVIVSAIEKSASSFGQPRQGSGGVSIQDETGNHSESDAIEYSCCIETCSEILLPNSDDAADASSALDNHAGDDDISCSESQAAHESCTWRKLQFEPTLPISLKFEDIKYKVPGVKGEKRSKAENYVLDGITGLVHPGELLALMAPSGGGKTTLLNLLSGRVKFDSGTITYNDQPYSKSLKRRIGFVLQDDVAFPHLTVKETLTYAALLRLPNTLALQQKKERAMTVITKLGLERCQNTVIGGTFLRGISGGERKRVCIANEILLNPSLLFLDEPTSGLDSTTALNIVQILQNIAESGKTVVTTIHQPSSRLFRKFDKLILMGKGSSLYFGKASEAMLYFSSIGCSPLIAMNPAEFLIDLANGNIKDKSVPSDLEDRFLPRSKSLQLKCGGPYHVDVHEYLVEAYAVREAKSERRTKLLNSVMTDAEPKTLTTLSSREWGANWWDQFSILLKRGLKERRHEYLSCMRVTQVFTTAIIMGLLWWRSHASSPNGIQDQAGLLFFISVFWAFFPMFTAIFTFPQERVMLAKERSVGMYRLSAYFVARITSDLPLDLILPVVFLVIVYLMAGLKQTFTAFALTMVTVFLSVVAAQGLGLTIGAALMDVKKAATLASVIIMASMLSGGFFIQKVPAFMSWVRYISFTYHTFRLLLKIHYGCSGPDTESDSCKSPFKGLRLDWDATEIGTMIAIILGYRILAYAFLRRMKLMTIT >OMO78663 pep supercontig:CCACVL1_1.0:contig10553:21011:21598:-1 gene:CCACVL1_14214 transcript:OMO78663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNTAMTEAEPPPLPQPSAVVAQLTELVFSMEQATQMAKQLPATSDPNYLLQIYSSLHQAHHSLSSFLSATQTQFSVHPLPPQPPLQIAAAENSLSSATGAANEDGSEPMQVGDENEAEAEAEENSKTSIDKVEERMRECFIKNKRAKRQLSPSYAAVTEERRIDEDRFAGGGKGFDPMREKLRALDLVYQFHG >OMO78661 pep supercontig:CCACVL1_1.0:contig10553:6366:6470:-1 gene:CCACVL1_14212 transcript:OMO78661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGPADRDKSIGCFLMKGVHRSEKLRYRTCQSG >OMO78662 pep supercontig:CCACVL1_1.0:contig10553:6793:19960:1 gene:CCACVL1_14213 transcript:OMO78662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGGNFWELLKPYARQEGFDFLRDKRVAVDLSYWIVQHETAIKNQATNPHLRLTFFRTINLISKFGAFPVFILDGIPSPLKSQARIARFFRFSGIDTSTLTVVKEGVSKERNSAFLKYVKDCVELLELMGMPVLKAKGEAEALCAQLNKDGYVDACITADSDAFLFGATCVIKCLRPNSKEPIECYRMSDIEAGLGLKRKHLIAISLLVGNDHDLKGVQGIGLDKALRFVRKFSEDEILDKLCQIGKGDLPLFQDGIICMGDVTPCLDDCSPKPKQSHCSFCGHPGSKKSHLKFSCEYCLTDSNVGCLKKSPNFKCNCSSCDKVRKEKDQKKHDNWWIKVCNKITMEPNFPNDEIIEMYMCNNHAMLTVKDTLLAWADPKTELVVDFLAYHQSWQPSYVRQRMLPMLSTVYLRETARNPTKTLLVGQYEFHSIQRVKTRYGHQSYVVKWKKAISGELSCPGPVEQSDMQEEELIEVDDEPNDLLDESIDPLIRIDGCWILTDEAPELVRSAFPEEVVKFMREKEIKEKSRRKASTVRTEGSREMSDLSKPQSVQLNITTFFRSTKTQSQAKPGEDLAKCSNNPGDGSSKQKREVSSAGLSKASQSCWNIIKVRGCLAKAEDNILHMHEFLFAILYVNELAFMTAKRATDAFCIIQDRQYKIDLVLAEGCLPDMDKYELLETIKELSEIPVILMSADNDWNTILGGLFKGAVLYLVKPITMDDLKNLWQFAFIKERGNMFAAEESIRTEESSLENASDVHAESQPHISEERQNHQSTKRERLEEQENNQDENNDGSAALKKPKLIWTEALHNRFLQAIEVLGFDEAHPKKILQYMNVPGLKKENVSSHLQKYRLSLKREQDANKKTMNRGSTALHVASHHILPPFSPQERSLQFSNLQFMTVAGQPVADGLVQENLNCCLPMPSFGSANLLKHVGASTIKFDQQALCNEPLASAYPECNLTGDGITDNEGLVGFPQIGDLELEQFLEGETDLLNNGDCGLESLLDCPKVVDNSLQEEQQQEEQFVLPGPLQLPPPAKEQEEADVFGTEGGTEFNEVFTMEKGTGQLFFDEDFDDFDGFCLIGDMDASIICKATFLKYESSVSLESMRTEGSSSAILVLMANGDSTCYTILTTMLQQGHHRVLHFKSSTDALKALQEEKAIPDLVLMDVEMPDTSRLELLKRVKKEFQLPATLMAADENEEIVLGGLDHGLSLYFAKSLSHYSVQRLWQYVLATRKSLLMSGATYGYEGPKREPHLQKVQGKDGSDLYPKQRFIWTTELHNKFLDAIKQLEHERILPKKILELMNVPGLTRLVIASHLQKYRKNIQREKQMRLLPWRPKYSFTSSIPSSSSESDQVYQSSTSTKSWPYTRMRNLGNKLSFSGSNQGIDQLRHLKIASSAYKISNSYNNRSNLGTGRSTTSFTEVPLTGQQGDFRKAGDYYSGIKNVWLLGNPNVVRRNRASYIGRSYCKNISDYYPLRSSNSFPQGRYALPPSLPTSRPLEQNSDHLLALSRLMESDDILLQPDTDKLNALYADFSKLIQDQPMNSDHLLALSRLMENDDIPEQPDTDKLNALYADYSKLIQDQPMKLRFREQFHCLPPISSFTTGTVPACNTSAGGRTQTPSSLIACATKRKQDDDYHATLKALNSKGRFPRKSLGQHYMLNSEINEQLASAANVEEGDVVLEIGPGTGSLTNVLINSGATVLAIEKDPHMVGLVRERFESTNRFKVLQEDFVKCHIRSHMFPILESGKALNPSSTRAKVVSNLPFNISTDVVKLLLPMGDMFSEVVLLLQEETAVRLVESSLRTSEYRPINIFVNFYSEPEYKFSVPRTNFFPQPNVDAAVVTFKLKQAPDYPSVASTKSFFSMVNSAFNGKRKMLRRSLQHICPSDEIERALGDAGLPTTSRPEELTLDDFVKLHNLIARV >OMO78674 pep supercontig:CCACVL1_1.0:contig10553:61350:62734:1 gene:CCACVL1_14225 transcript:OMO78674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIFKIAKNGKEVDWSWKGSNKLRVWQDSNEQLLGHILNSKSMWIGA >OMO78673 pep supercontig:CCACVL1_1.0:contig10553:52801:53969:-1 gene:CCACVL1_14224 transcript:OMO78673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANISGALLDGDGNYGPPSRPRLDDIPESCAALVLAKLDASEICKLARLNRSFRAASSADFIWESKLPSNYKFIVEEVIRDPTLLNLQKKELYARLSKPIPFDSGTKEIWLDKNTGGICLSISSKALTITGIDDRRYWSHISTEESRYPTVAYLQQIWWFEVDGEIDFKFPAGTYCLFFRLQLGKSSKRLGRRICNSEHIHGWDIKPARFQLTTSDGQHAGSQCYLDNPGNWVLYHVGDFVVENPDALIKIKFSLTQIDCTHTKGGLCVDSALIYPSSVAKVVRSCSS >OMO78672 pep supercontig:CCACVL1_1.0:contig10553:47146:49106:-1 gene:CCACVL1_14223 transcript:OMO78672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGMDFLSCLHQDLSLKIFMSLEDPSDLVRISAVSRSWRHFVITHGLCKDLCLRLFPQLSKVDHVNEPTGAIKGPAEAGSSTSRECEVSEREHRVFAFLARGCLSFGLRECISKAIIASSTDNYPEESIVNTLEPRDRVGRRASYWSSKGQKNPAVPETLTYKLVADLCVITEINLRPFQAYFQFGYPIYSAKSVRFRMGYIKSSMKNLVNESFQGSGDDKFVWTYTSQEFPMAQENRLQNFKLPEPVLCIGGILQIELLGRVQRQDMDGLFYICVSNVQVVGRALSPPFGVQILEPSDKFVLEALSYSQPTAPEWPSPAPATTLQMRVRDLEQIVNILRGNVANVVEYGYGFDFDDEESDEEEEEEEGVDIE >OMO78669 pep supercontig:CCACVL1_1.0:contig10553:38157:38570:1 gene:CCACVL1_14220 transcript:OMO78669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLFSKEVIAVPTSNVALNLHVGPWPMGFGEKNKNLRDPDTAPSIC >OMO78667 pep supercontig:CCACVL1_1.0:contig10553:30740:30835:-1 gene:CCACVL1_14218 transcript:OMO78667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAMEESAQGLDEDLEAAAELESAPKPVPD >OMO78668 pep supercontig:CCACVL1_1.0:contig10553:33625:34095:1 gene:CCACVL1_14219 transcript:OMO78668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTTENKSTKSRVSDHFTFASIFHFRNNYNQFQNPVDMKNIEKVVQPSIIVPHVAADEPPPSSWDSSSVSDCSNEWIGFRQHGFDSDGSDIGEVSFGCDPGFADQMMGWNMDSPDISPRISSASDHGSRSKRFKVSSSVVVPPTFSGSHYTNTDN >OMO78665 pep supercontig:CCACVL1_1.0:contig10553:25955:26787:1 gene:CCACVL1_14216 transcript:OMO78665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 16 MADPAIHHETQPIKEIAIDYTPEACTHCPDSNSITLTFDERGGARWRSTTRFLYGTFSSLIQCPKGNTSGLNFNIYLSSLEGDKSQDEIDFEFLGKDKTIVQTNYYTTGTGCREQIHDLGFDFSDGFHEYTIKWNPDSIEWLIDGKLVRKAEKKEGEGFPHKPMFLYASVWDASYIDEARWTGPYIGSDAPYVCLYKDIRVPVATAVDCSCDS >OMO78666 pep supercontig:CCACVL1_1.0:contig10553:29170:30354:1 gene:CCACVL1_14217 transcript:OMO78666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MLGSGMNLITTIIGFGMSATFIVFVCTRIICGRIRGAQSRHLLQNDSRIDLEQPEQQIRGLEPVVVAAIPTVKFNREAFSSIEDAQCSICLGEYQEKEVLRIMPKCGHNFHLSCIDIWLRKQSTCPVCRLPLQQDSFEAKHIIRAATTFRVTQTMDSPETSTHDHHHHSRQWLLPELTDRSIVNHHHQTNQVQQQHDHVDSATRNPEINTGQAET >OMO78671 pep supercontig:CCACVL1_1.0:contig10553:44985:46385:1 gene:CCACVL1_14222 transcript:OMO78671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 MSTLDATRAELALIVLYLNKAEARDKICRAIQYGSKFLSNGEPGTAQNVDKSTSLARKVFRLFKFVNDLHGLLSPVPQGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRTGIYKNKERAELIGRISLFCWMGSSVCTTLVELGELARLSASMKKLEKNLKNSDKYKNEEYRAKLQKSNERTLALVKAGMDIVVAVGLLQLAPKKVTPRVTGAFGFVSSLISCYQLLPSPPKSKAH >OMO78660 pep supercontig:CCACVL1_1.0:contig10553:2580:3628:1 gene:CCACVL1_14211 transcript:OMO78660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADEELKSTLGVIGSQFQNFKAVKVIE >OMO78664 pep supercontig:CCACVL1_1.0:contig10553:22490:25474:-1 gene:CCACVL1_14215 transcript:OMO78664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHVGLISLSVAFPSMHCLPRLGFTKRRPFAMAALSDDPVQEWILTEGKATQITRISPVGGGCINLASRYDTDAGSFFVKTNRSIGPSMFEAEAIGLDAMYKTGTIRVPKPYKVGPLRNSGSYIIMEFIEFGASRSNQSVLGKKLAEMHKAGKSEKGFGFPVDNTVGSTPQINTWSSDWIQFYGEHRLGFQLKLARDQYGDSTIYQKGHRLIKNMAPLFEDIVIEPCLLHGDLWSGNITSDKDGEPVILDPACYYGHSEAEFGMSWCAGFGGSFYNAYFEVMPKQPGFEKRRDIYLLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLNV >OMO78670 pep supercontig:CCACVL1_1.0:contig10553:40350:42032:1 gene:CCACVL1_14221 transcript:OMO78670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MGEDTGNGVLADTRTAEECLLHAQELVPMALAKAREVKGFPGRWKMIISKLEQIPSRLSDLSSHPCFSKNTLCKEQLQAVSRTLKEAIELADLCLKEKYEGKLKMQSDLDALSGKLDLNLRDCGLLIKTGVLGEATLPLSVVGPSSESETASYSHLKELLARLQIGHLEAKHKALDSLVEVMKEDEKSVLSVMGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCESWLVSEGVLPPLIRLVESGSTIGKEKATISLQRLSMTAETARAIVGHGGVRPLIEICRTGDSVSQAAAASTLKNISAVPEVRQLLAEEGIIKVMINLLDCGILLGSKEYAAECLQNLTASNENLRRSVVSEGGIQSLLVYLDGPLPQESAVGALRNLVGSVSMEMLMSLGFLPRLVHVLKSGSLGAQQAAASAICRVCSSNEMKKLVGEAGCIALLIRMLEAKSNSAREVAAQALSSLVTISQNCREVKKDDKSVPNLVQLLDPSPQNTAKKYAVSCLASLSSSKKCKKLMISYGAIGYLKKLSEMEVPGAKKLLERLERGKLRSLFSRK >OMP04153 pep supercontig:CCACVL1_1.0:contig05804:2766:3101:1 gene:CCACVL1_02185 transcript:OMP04153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QIRADNNEEDGDGEKDEDDVEYQMSSNNNINKNNALKDLFKHLDRGFSSRRLSFKRPDRDRSSTPSIDHHQHHLVDAAGALGKAWKDQNIQSFQMVDESFGILSEKKINKL >OMO86432 pep supercontig:CCACVL1_1.0:contig09485:23845:23931:1 gene:CCACVL1_09596 transcript:OMO86432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTRKTRPGQLDTPNTHKYVETDSTHG >OMO86431 pep supercontig:CCACVL1_1.0:contig09485:10979:23296:-1 gene:CCACVL1_09595 transcript:OMO86431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAWIVKMGNQISKLKSEITGDVAGGVRLAMLLAFGAVEEDSEGIDENGRGQRRSFESEEEKNKKTAMREGEKKIGCAELDKERCHLTLGGPIKSLQD >OMO86435 pep supercontig:CCACVL1_1.0:contig09485:93748:94617:1 gene:CCACVL1_09599 transcript:OMO86435 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-Coumarate:CoA ligase MHEEEEAEIIDGLLMLSLKVEAMLIAYPEITDADVIAMEDEAAREVHVAFVVRSKKSEITEDKIKQYISEQFLIGPRLLLKIQLSHLTTLWQSLLLYPNSDSICTFEDVSRI >OMO86433 pep supercontig:CCACVL1_1.0:contig09485:40628:47224:-1 gene:CCACVL1_09597 transcript:OMO86433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MGDKTEVLEAVLKETVDLENIPIEEVFENLRCSREGLTSEAAEERLESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEQDAAILVPGDVISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAVGMVIEIIVMYPIQDREYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVDADTVVLMAARASRTENQDAIDSAIVGMLADPKEARAGIREIHFLPFNPTDKRTALTYLDSDGKMHRVSKGAPEQILHLAHNKQEIERRVHAVIDKFAERGLRSLAVAYQEVPDGMKESPGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGGYLAVMTVIFFWAAYKTDFFPRVFGVPTLEKTAHDDYKKLASAVYLQVSIISQALIFVTRSRSWSFVERPGLLLVAAFVVAQLIATLIAVYANWNFAAIEGIGWGWAGVIWLYNIIFYFPLDFIKFFIRYALSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLQAPDTKMFTEKSHFTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKNLDIDTIQQAYTV >OMO86434 pep supercontig:CCACVL1_1.0:contig09485:58728:58826:-1 gene:CCACVL1_09598 transcript:OMO86434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37ae MEVTQHSKYLCEFCGKYAVKKKAVGDVRAVGK >OMO62465 pep supercontig:CCACVL1_1.0:contig13262:23381:26528:-1 gene:CCACVL1_22814 transcript:OMO62465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGHDLSKLVRRHHRHHRHHGNQTQTQNSSNFHLRSTTETDINHNSTTNNTNFNATSSSYSSSPSSSGSPNQTHESSHSFTPNAAAHPYQHHPPRSASSSLTPLPYLPLTPPPYVDHKSAKKIKNDVNIHKDSIRLFHDDNNSDFQLISFTFDALVDGSITILYFAMEGPNCTFKPLYPEIYMPRTFPFQKGLAQKFCQPLGTGIDLNFFELGFLSRHSKKEDIFPLVICAEAGLPSFSASQVLDQIQPPPAIMSSHAQITQAVLKKNNEGHFQVQVIKQILWIEGIRYELREIYGIETSYEEGLNDSDQSGKECVICMTEPKDTAALPCRHMCMCSGCAKELRLRSKKCPVCRQWIRELIEIKIQNQPEVNSSEEYQ >OMO62463 pep supercontig:CCACVL1_1.0:contig13262:8978:10255:-1 gene:CCACVL1_22812 transcript:OMO62463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MASSSSFHFLIFLSLTTFILPSEQAQKPSKPSKFVLPIHQDTETNLYIANIFKRTPLLQLPFVVDLNGKVLWVTCEQSYLSSTYRAPRCHSTQCARANTPYCHTCSSKARPGCHNNTCGIMASNPVTGLYAMSELAQDVLSIPSTQGSNPGPLVRIPQFLFACSPSLLLQKGLPGNVQGVAGLGHSPISLSTQLGSHFGFAGFAPTFALCLAQNGVVFFGDSPYYMLPGVDISRPLSYTPLIISPQGEYYIEVKSIKINNKEVPLNKSLLSINKHGVGGTKLSTTNPYTVLEHSIFKAVTQFFTNELSSIPQVKPVAPFGVCFNSKSFKSTRVGPGVPNIDLVLHDQHVVWRIFGANSIVEARPGVSCLGFVDGGVKTRASIVIGAYQMENNLVQFDLARSRLGFSSSLLFFKTTCNNFNFTSIP >OMO62464 pep supercontig:CCACVL1_1.0:contig13262:11417:13178:-1 gene:CCACVL1_22813 transcript:OMO62464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGVYSTSINAEQGKVTVTGNVDPSILLKKLEKSGKHAQLWGAQKGGSNNFSNQLMTNQFKNMHMDVGKGGGGKDNKSHQKGNNQQQKVQGLPAAAAMNGGGYYHQGMGPGNPYNQQQYMAMMMNQQRANGNGMYHNQQPMMYAHPYPPHGHYGPPPMHPANSESYAHFFSDENANSCSIM >OMO62466 pep supercontig:CCACVL1_1.0:contig13262:47695:48939:-1 gene:CCACVL1_22815 transcript:OMO62466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWLSCFGGGIDNKRGNGNLLSQLPDDLIREILIRLPADQLWKCRRVCKRWHHVIISPEFAQQHAQRAPSLVFLQNTRKYMHSHVKKARKRFFYFDRAMKKVRFANHWNYNPMWSTYPIVRDSCNGLLLLVPCFEHYWGFDRELFFIGNPTTGELQRFQKPTKSGYFCGFFFHSMEFKLLHVDGNWLSNPTPPIYSIFSLRTQMWRNIHNVPHGFYPAIYKPPVNINKYNYLFWMVFGSDNYNFDCRHSIIVFNMDTEKFDGFPHPDHPSYSACVGNMHANMHLLEMEGRVTWWLQLSSQFHVWSLDIDEYGGSGGWTWTPLYTLPYRSDFQRYPFFGSIDGRINLVTIRDKQVLLVWSYRGVFWYNLETNAIAQVKLTEKKMNKLRFFEWELVMIDYTKTVVTLKQNMIRAVV >OMO62462 pep supercontig:CCACVL1_1.0:contig13262:7455:8546:1 gene:CCACVL1_22811 transcript:OMO62462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRALLTFLLILSISATFEHGLAADHDDDSSYAAAKWPLPWWWRRPPWRRPPQDPNVTKCLAAFRAAAICLRPPIGEECCNALEIVKTNCADNRYGRLDPSLVDPVLKEYCSKPDNGTPPSNPPSPPKPPSPKPPSPPPKVPSPPSPSSNPPSPPKAPPKVPSPPPKVLSPPSPPKIPPPKAPTPPPKVPSPPPSPPSKAPSPPPSPPSKAPAPKAPTPIPSPKPPSPSPPSKAQSPKAPTPIPSPKPPSPSPPSKVAPPPKAPTPIPSPKAPSPSPPSSPTALAPTPRAPVPSSIAPTPSPPTPAAAPIPAPKASPPTVPTPAPTPKELAPNPSKELAPNPSKAPTPATKPLLSHRSKCPI >OMO58826 pep supercontig:CCACVL1_1.0:contig14115:3916:10249:-1 gene:CCACVL1_25332 transcript:OMO58826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MDPDERPQQVTGFVIITLPPSDNPSLGKTITAFTLTNDVFPQSNQTQQRQQEETLPTTTTQVLTSPPPPLSSPPQNPQLGFSFSNLFFDNPRKLLGFLGISLFALLLYSSSFSDTFVELRNSNNDDNDEKPQSFLFPLYHKLGADSELKLGRFVDVDKQSLVASIDPSSVGTKKINKLVASNAAAIDSSGTILPVRGNVYPDGLYFTYVLVGNPRRPYFLDIDTGSDLTWIQCDAPCTSCAKGANPLYKPTRANIVASKDSMCIEVQKNQKPQTCVTCQQCDYEIEYADRSASLGVLARDKLHLVTANGSITNLDLVFGCAYDQQGILLNTLSKTDGIIGLSRSKVSLPSQLASKGIINNVVGHCLATDVSAGGYMFLGDDFVPNWGMSWVPMLGSPSTEFYYTEILKINYGSSSLSLGGRSNNIGRVVFDSGSSYTYFSKKAYDELVTSLSKVAEVGFIQDLSDPTLPICWKAPFSIRLIMDVKKFFKTLTLQFGSNWWIISKRFHIPPEGYLIISNKGNVCLGILDGSKVHDGSSIILGDLSLRGQLVVFDNEKLKIGWTPSDCAKPRRFNSLPFFEG >OMO58828 pep supercontig:CCACVL1_1.0:contig14115:13754:13831:-1 gene:CCACVL1_25334 transcript:OMO58828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQQPRPKKAYTKFKMLDINKKPQ >OMO58827 pep supercontig:CCACVL1_1.0:contig14115:11387:11542:1 gene:CCACVL1_25333 transcript:OMO58827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNMKTHSPPHLPRAQSKLGLPPLPHKLYSHRNGLKTIPTNPKSKLPETL >OMO53215 pep supercontig:CCACVL1_1.0:contig15284:5403:25660:1 gene:CCACVL1_28804 transcript:OMO53215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRAFRATNEKVVKIAVHPTHPWLVTADASDHVSVWNWEHRQVIYELKAGGVDQRRLVGAKLEKLAEGESDSKGKPTEAIRGGSVKQVSFFDDDVRFWQLWRNRSAAAEAPSAVNHLTSAFSSPAPSTKGRHFLVICCENKAIFLDLVTMRGRDVPKQELDNKSLLCMEFLSRSSAGDSPLVAFGGSDGVVRVLSMMTWKLVRRYTGGHKGSISCLMTFMASSGEVYREGGRKDRDRMEALLVSGASDGLLILWSADHGQDSRELVPKLSLKAHDGGVIAVELSRVIGGAPQLITIGADKTLAIWDTISFKELRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSYIWAIEHPTYSALTRPLCDLSSLVPPQVVAPNKKLRVYCMVAHPLQPHLVATGTNIGIIVSEFDARSLPPVVPLPTPPGSREHSAVYIVERELKLLNFQLSNTANPSLGNNGSLSETAKLKGDSFEPLLVKQIKKHISTPVPHDSYSVLSVSSSGKYLAVVWPDIPYFSIYKVSDWSIVDSGSARLLAWDTCGDRFAILESALPPRMPVPTKGSSSRKAKEAAAAAAQAAAAAATAASANVQVRILLDDGTSNILMRSIGSRSEPVIGLHGGALLGVAYRTSRRISPGAATAISTIQSMPLSGFGGNSSFSTFDDGFSSQRSPAEALPQNFQLFSWETFQPVGGLLPQPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRYLGDVAIAYATGAVWQRRQLFVATPTTIECVYVDAGVAPIDIETRKKKEEMKLKEAQARAVAEHGELALITVDGPQTATQERITLRPPMLQVVRLASFQHAPSVPPFLSLPKQSKLDGDDATILKEMEERKVNEIAVGGGGVSVAVTRFPTEQKRPVGPLIVVGVRDGVLWLIDRYMTAHALSLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMKSNDLKRALQCLLTMSNSRDIGQDNPGLDLNDILNVTAKKENLVEAVQGIVKFAKEFLDLIDAADSTAQADIAREALKRLATAGSVKGALQVHELRGLALRLANHGELMCLSGLVNNLISLGLGREAAFSAAVLGDNALMEKAWQDTGMLAEAVLHAHAHGRPTLKNLVEAWNRMLQKEVEHTPSGKTDATAAFLASLEEPKLTSLSEAGKKPPIEILPPGMSALSASITIKKKPAPMTQTSQQQPSKPLALEGPSPSGPAEAPIGVAPTGAGEATVGAPSNGSAAAPGTPIGAPPSSVPAAAPGTPIGAPPPAPSDAASIGVPSTSNSSEPASDDKAPNLSSGSNPEMIASAENNPATSTSDTPAPDTTLADKPTAEAPTVNQITGPTVKPDNQETNVLTTLPTSEPLA >OMO53216 pep supercontig:CCACVL1_1.0:contig15284:30345:30551:1 gene:CCACVL1_28806 transcript:OMO53216 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box/LRR-repeat protein 8-like protein MVSLLSLTIALLISLNSPLLLVGLAAKLLSLFSSIALLLNFSLKRLRKLDTHNTTFDLHNDKHKLERLC >OMO54914 pep supercontig:CCACVL1_1.0:contig14860:15992:16063:1 gene:CCACVL1_27469 transcript:OMO54914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILTKCEEKIAGCKGGATVARLE >OMO82445 pep supercontig:CCACVL1_1.0:contig10038:9728:14875:1 gene:CCACVL1_11950 transcript:OMO82445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MSSVSSSLASTLKRLQNKVAIITGGASGIGASTARVFHGNGAKVVIADIQDNKGKSLAEELGPNASFIHCDVSNENDVRNLVDSTTAKQGKLDIMYNNAGILGSTMSSIPDKTKFEIDQVIGVNLVGSMFGAKHAARVMMSQRNGCILFTASVCTAISGLLASNAYVASKYGILGLTKNLAAELGPYGIRVNCVSPYAVATPMAAPDQSKASELEESWAKMSNLKGESLKAEGVANAALYLASDEANYVGRESGNFNRRSKRIGATTATLFHKNGAKVIIADIQDTKGEALANKLGEDACYIHCDVTKEDDIRNLTDAAIAKHGKLDIMYSNAGILDRPLSCIMDTPKSEIDQIIGINLEIRIVVSKYGVLGLTKNLAAELGQHGIRVNCISPYGVATPLIVPNEAEITSMEMSLAAMGNLKGEILKPEGVAQAALYLASDEANYVSGLNLVVDGGFSIS >OMP11952 pep supercontig:CCACVL1_1.0:contig00656:1181:1519:-1 gene:CCACVL1_00199 transcript:OMP11952 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor ICE1 isoform 1 MPLTLPSRRFSQSFSAQSNPYFGGFCSQSNGCFGVQFELRVSKYKVVHVFNGSGFEGFEGLGNALFMNRAKILKPLEVFHSVGAQATLFQKRAAMRQGSRGVNKLGDLEPIS >OMO62804 pep supercontig:CCACVL1_1.0:contig13154:4612:11998:1 gene:CCACVL1_22631 transcript:OMO62804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent decarboxylase MDAEQLREYGHKMVDFIADYYKTIENYPVLSQVEPGYLRNLLPDSAPNQPDSFQHVLDDIQTKILPGVTHWQSPNYFAYYPSNSSVAGFVGEMLSAGLNIVGFSWITSPAATELEMIVLDWLGKMLKLPEDFLSAGQGGGVIQGTASEAVLVVLLAARDKILRGVGKGALEKLVVYASDQTHSALQKACQIAGIYPENFKVLKANASTNYGLSPELLSETISKDLAHGLIPFFLCANVGTTSSTAVDPLLALGKIAKSNGMWFHVDAAYAGSACVCPEFRHYIDGVEEADSFNMNAHKWFLTNFDCSALWIKDRSALVQALSTNPEFLKNKASQANLVVDYKDWQIPLGRRFRSLKLWMVLRLYGLENLQCYIRNHIKLAKHFENLVAEDPRFEVVTPRLFSLICFRLLPPPNNERYCNELNHELLDAVNSTGKVFISHTVLSDKYILRFAIGAPLTEEKHVNAAWEVLQDKATALLGESLR >OMO62803 pep supercontig:CCACVL1_1.0:contig13154:842:3014:-1 gene:CCACVL1_22630 transcript:OMO62803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase/squalene oxidase MGELAVGKHVKYILSVEKRKDSFVSVVMDHIRMNGAYWGLTTLDLLGKLNTVDEDEVISWIMECQHESGGFAGNIGHDPHILYTLSAVQVLALFNKLDILDVDQIATYVSGLQNEDGSFSGDMWGEVDTRFSYIAICCLSILRRLDEINVEKAVNYIVSCKNLDGGFGCTPGGESHAGQIFCCVAALAITGSLHHVDKDRLGWWLCERQIKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVRWIDKEQLVKFILDCQDVENGGISDRPEDAVDVFHTYFGVAGLSLLEYPGLKAIDPAYAVPVDV >OMO52166 pep supercontig:CCACVL1_1.0:contig15578:3987:4477:-1 gene:CCACVL1_29328 transcript:OMO52166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MAQGRGSASQAKFSSAAILLLCFLVCLEIVDAATYTVGDSGGWTFNMASWPKGKRFRAGDVLVFNYDATIHNVVAVNRGGYTSCTTPAGAKVYSSGKDQVRLAKGLNFFICNTAGHCESGMKIAINAV >OMO52167 pep supercontig:CCACVL1_1.0:contig15578:5038:8534:-1 gene:CCACVL1_29329 transcript:OMO52167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate/Phosphoenolpyruvate kinase MQTRIHRLIEEQGIVLMPGCYDALSAAIVQQSGFNAAFISGYALSASLLGKPDFGLLTPPEMAATARTVCAAAPMIPIIADADTGGGNVLNVQRTIKDLIAAGAAGCFLEVIPAEEHAAKIASARDAIGDSDFFLVARTDARATSAKTGLSEAISRANLYMEAGADACFVEAPRNDDELKEIGRHTKGYRVCNMIEGGVTPLHTPEELKAMGFHLIVHPLTALYASARALVDVLKTLKENGTTRNHLDKMATFEEFNQLIKLESWFELEARFSNIKSAVGIKS >OMO52168 pep supercontig:CCACVL1_1.0:contig15578:18101:20481:1 gene:CCACVL1_29330 transcript:OMO52168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin MEEDTNGGNEIDDGTWPCKLTLLCSVLARRLRTVTIYKVCHSLGGGTGSGMGTLLISKIIEEYPDMMMLTFSVFPSLKDNEALYDIRFRTLKLTTPNCNLILISCILLKGSILDVMQGKYAQTLLVMKDERSSYSLLHFYEVMEAILLQGSSIQMVRWTQPRYYTAGIKMSLPILQKAWKGNIQGHLPDWSDGFSKHANAGVFERSRREEYDGQEGEKTKQSKNFRYRVKFKTLTGKCGLIRSRNGLNF >OMO78800 pep supercontig:CCACVL1_1.0:contig10538:5134:18729:-1 gene:CCACVL1_14093 transcript:OMO78800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome control protein 1 MTSSFFLHIFKVQFTERKVQIGGKQPSRLFLATVTRVLNEQVPFAEKDLAVSNIVSDNKHMLLGLSNGSLYSISWKGEFYGAFEPDSFQRNSSEDSSLAHSLVNGTASREAQGAFVSNHKVSGKAAIVQLEFCVPMRLLLVLYSDGKLVSCSVSKRGLRPAESIKAEKSLGSGDAVCTSVAGDQQILAVGTRRGVVELYDLAESGSLIRSVSLYDWGYSMDDTGSVSCIAWTPDNSAFAVGWKLRGLTVWSVSGCRLMSTIRQIGLSSVSSPVVKPNQDCKYEPLMGGTSLMQWDEYGYRLYALEEGSVERILAFSFGKCCLSRGVSGMSYVRQVIYGEDRLLVVQSEDTDELKMLHLNLPVSYISQNWPVQHVAASKDGMYLAVAGLHGLILYDIRLKKWRVFGDISQEQKIQCKGLLWLGKIIVVCNYIDSSNMYELLFYPRYHLDQSSLLCRKPLLAKPMVMDVYEDYILVTYRPFDVHIFHVKLFGELTPSSTTDLQLSTVRELSIMTAKSHPAAMRFIPDQVPREGGLDNHILSSSNLSREPARCLILRANGELSLLDLDDGRERELTDSVELFWVTCGQSEEKTNLIEDVSWLDYGYRGMQVWYPSPGVDSYKQEEFLQLDPELEFDREVYPLGLLPNAGVVVGVSQRMSFSACTEFPCFEPTPQAQTILHCLLRHLLQRNKSEEALRLAQISAEKPHFSHCLEWLLFTVFDAEISRQNVSKNQTSVSKQNVSLLEKTCDLIRNFPEYLDVVVSVARKTDGRHWADLFTAAGRSTELFEDCFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDYEQASTDSDRLSPRFLGYFLFRSSYRRSSLDKSPSFKEQSAHVGPVKNILENHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERYGSARLENFASGLELIGQKLQMGTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRSEVLFDLFRHDMRLWKAYSLTLQSDDALVGQSTVNSPAVNSSDSSNASAVRTIQNEASDATVILLTMLVGVVLFYLAYAVRCNFPCWKWFRNSRGNTSSSNIVNHLENNGSNPLVFQISICSYSLGHCILNAILESTAGVEWRLCCKQSDCPPCSNGSSCVRLVELSLEQNKLDRPLLDFRAMTELLILRLFDNPFEFLPEILPLHKLHHLSLANIKIVADGNLRSVNMQIEERKGGWRYVDTGQVLIESACSVDRVEEALSTLLPMLPEIHIFGLIQVQNLCSITATAPCMVSPALFHVHPTLFGPDTSDLTQFEYNAGCLPIGAEHTLVAATFQSQHIPVGLGECYFEWGYIEGQCELRQVQCHLESSMKASSMSFGKVGCE >OMO77009 pep supercontig:CCACVL1_1.0:contig10844:690:2428:1 gene:CCACVL1_15232 transcript:OMO77009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSFRPLTALLTSSMIFLSAHVSTANVHQA >OMO77010 pep supercontig:CCACVL1_1.0:contig10844:10551:10622:1 gene:CCACVL1_15233 transcript:OMO77010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGQGYTDKNSQSNERLETKMS >OMO75230 pep supercontig:CCACVL1_1.0:contig11060:2294:19479:-1 gene:CCACVL1_16263 transcript:OMO75230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQGLHQQQQQLAALLAAALPKDNTATATAAPSSSTPSTTTPSVPTNSDESDSARLAAINSLHRAILYPPNSILVAHSASFLSQGFSQLLSDKSYSVRQSAAIAYGALCAVVCSIPIGSSGRQNHVMLGSLVDRFINWALPLLSNISAGDGNTELALEGLREFLSVGDVGGIERYALSILKACQELLEDERTSLSLLHRLLAVLTLISLKFSLSFQPHFLDIIDLLLGWALVPDLAESDRRVIMDTFLQFQKHWVGNLQFSLGLLSKFLGDMDVLLQDGTHGTPQHFRRLLALLSCFCTVLQSTASGLLEMNLLEQISEPLSKMLPRLLGCLSVVGKKFGWPKWIEDSWKCLTLLAEILRERFCTFYPLAVDILFQSLELDSSSRPVGSGKISSFQVHGVLKTNLQLLSLQKLGLLHSSVQKILQFDAAISQLRLHPNHLITASSAATYVFLLQHGNDEIVQKALTLLTEELELLKGMLGKNLGHGEEIDSVGASRSYSKLELFALIKFDLKVLLTSVSLCGRNSLIVQPKTATLYLQRSENLVSFVIEKLNPFNLPIQFYVELQVNILKTLDRLSMVKFLSKCSIRNQSENIPTGDFSSEKVINNNSFRDVHSAVIFEYLKECGTLLAKALHISSPVSLKVVALEWVQRFCENLISISENSNMKSNFYEEFGHVSLCGNVIFSILEAAFDREPKVRLHVTLALELLLQARLIHPLYFNSVSDVVLEKLGDPDTGIRNAYVRLLSHVLLTTMYAYGIRDIGAFSTSRPRVLMLGSSSNLYWKQVFALKPLPQQLHSQQLVSILSYISQRWKVPLSSWIQRLIHMCRSSKDSILGQLEESGITGANDLWLDLKVEEDALERLCSVNNLAGAWWAIHEAARYCISTRLRTNLGGPTQTFAALERMLLDIAHVLQLDGEQNDGSLSIIGSSGAHLLPMRLLLDFVEALKKNVYNAYEGSAVLPSASRQSSLFFRANRKVCEEWFSRICEPMMNAGLALQCHDATIQYCTLRLQELKNLVVSAFKEKSQTQVSENLHNMREKSIGDILRVVRHMSLALCRNHEPEALVGLQKWVSWTFSPLLLDEDQSMNHSGILRHFEWITGLIYQAESQYEKAASHFAHLLQSEELLSTMGSDGVQFSIARIIESYTALSDWKSLDSWLLELQTLRAKHAGKSYSGALTTAGNEMNAIHALARFDEGDLQAAWAFLDLTPKSSSELTLDPKLALQRSEQLLLQALLLQIEGNLDKVPHELQKAKSMLEEILSVLPLDGLAEAAACVTQLHCIFAFVECNELTGNQGKLQEHIISKLSRSVLSSYLLPLQPVIKGIHQDCNPWLKVLRVYRTISPTSPVTLKLSMNLMNLARKQGNLMLANCLNNYMRDHVSNCSHDRYRNLLILKLQYEGILLMHSENKIEDAFANLWSFLRPCMCSSAFTVNDVDDGMLKAKACLKLSNWLRQDHSSLSFENIVLRMQADLNVANVSSIGIGGQCFNNENKSPKLSLDLIVEEIVGTATKLSTQLCPTMAKSWISYASWCFSQANSSIINRHERCLHSCSLSPMLVSEIAPERFKMTEDEIRGVEAVILPLFRNRDNVELVDYRAEEWNLHSDPAEILRTSNPSKALVQQLVDMMEAAAGAPGAENSGSERLSSTLTFQLKSSLGRSNVGEETDISSVIDNLISVWWSLRKRRVSLFGHAAHGFIKCLLHSSTKLSEDLFSGDGCESLKQNVGSYTLRATLYVLHILLNYGLELKDTLEPALSTIPLLSWQDVTPQLFARLSSHPEEVVRKQIESLLMMLAKLSPWSIVYPTLVDINAYEEKPSEELQHILGCLRELYPRLIQDVQLVINELGNVTVLWEELWLSTLQDVHMDVMRRINILKEEAARIAENATLSQSEKNKINAAKYSAMMAPIVVALERRLASTSRKPETPHELWFHQEYKEQLKSAILSFKTPPASAAALGDVWRPFDNIAASLASYQRKSSISLGEVAPQLAKLSSSDVPMPGLEKQVTTSEFDKGLTSTLQGIVTIASFSEQITILSTKTKPKKLVILGSDGKTYPYLLKGREDLRLDARIMQLLQAINSFLNSSSGTNHNLLGIRYYSVTPISGRAGLIQWVDNVISIYSIFKSWQNRAQLAQLSALGAGNAKSSVPPVPRPSDMFYGKIIPALKEKGIRRVISRRDWPHEVKRKVLLDLMKEVPKQLLHQELWCASDGFKAFSSKLKRYSGSVAAMSMVGHIMGLGDRHLDNILMDFSSGDVVHIDYNVCFDKGQRLKVPEIVPFRLTQTIEAALGLTGVEGTFRANCEAVVSVLRKNKDILLMLLEVFVWDPLIEWTRGDFHDDAAIGGEERKGMELAVSLSLFASRVQEIRVPLQEHHDLLLATLPAVKSALERFVDVLNQYELVSARFYRADQERSNLILHETSAKSMVAEATCNSEKIHASFEIQAREFNQAKNVVAEKAQQATSWIEQHGRILDALRGNLIPEMNACTNLSSMQDALSLTSAVPVAGVPLTIVPEPTQAQCYDIDREVSQLISELDSGLSSAVMSLQAYSLALQRVLPLNYLTTSTVHGWAQVLQLSVNAVSSEILSLARIQAAELIAKVHTDNLDFKKGSHDDLCFKVEKYAVEIEKVEEECAELVNSIGSETESKAKDRLMSAFTKYMQSAGLLKKEDANNSVQSGESKYDGERASRTGEKLEEKKDKVLSVLSIAVQSLYDEVKHRVLDLYNHITRAQNENNRLQSDLGTIFSEFEEQVEKCNLVAGFVNELWQHIGGDKLGVDGDQNYYSEGNWASIFKTILLCCKTLVGDMTEVVLPDVMRSAVSFNTEVMDAFGFISQIRGSIDTALEQLVEVELERASLAELEKNYFVKVGLITEQQLALEEAAMKGRDHLSWEEAEELASQEEACRAQLDQLHQSWNQRDMRTSSLIKREAQIKSSLVSCEHHFQTLVSGENFRESHHSRSKVLLAILVKPFSELESVDKALSTLRSSFAPHADEIPNLVDSISSGRSVSECIWNFGALLNDHSFFIWKIGVLDSILDSCIHDVASSVDQNLGFEQLFNVVKRKLEIQLQKYVGHYLRIRVAPALLSWLDKENEHLKLLAEGANTDHVRKDVGAVKRVQLMLEEYCNTHETARAARSAASVMKRQVNELKEALRKTTFEIVQMEWMHDVGSTPSQSSRILFQKFFSSDDELYPIVLNLSRPKLLETMQTVVSKIARSLEGLQSCDHTSLAAEGQLERAMGWACGGPNSGITGNSSAKASGIPPEFHEHLMRRRHLLREAREKASNIVKICMSILEFEASRDGIFQIPGEAYALSASGDSRTWQQAYFSALTKLEVTYHSFTRTEQEWKLAQSNMEVASTGLYSATNELCIASLKAKSASAFTRYIHHFAGDLQSTVLAMRDCAYEASVALSAFARVSRGHTALTTESGSMLEEVLAITEDLHDVHNLGKEAAAVHHSLMEDLSKANSILLPLESVLSKDVSAMTEAMSREQETKMEVSPIHGQAIYQSYGVRVRETCQTFKTLVPSLTFSVKELHSLLTTLARTASLHAGNLHKALEGLGESQEVKSQGIRLSRPDLAGDATESDERAGESISTSGSGSTKDFVDLTGLSLQDKEWISLPDSIGSSSSSVESGVASNATSLSDGTNDPAEVIANIWSGFETKKADDGQTIVPSSQSEYDKISHFGQRASKNDEENNSDAATNEPTEYVKVVLSVDDEAVNAPLESSQPSNKESLDLKFGGKDEASTSNIVEVGDESHEVVNNTQTASRIARGKNAYAMSVLKRVEMKLDGRDITERREISIAEQVDYLLKQATSVDNLCSMYEEEKPSPTLQNEPTATSRDEVHVPTVIQMISQQQEPDEVAAMLDIV >OMO75233 pep supercontig:CCACVL1_1.0:contig11060:51377:53388:-1 gene:CCACVL1_16266 transcript:OMO75233 gene_biotype:protein_coding transcript_biotype:protein_coding description:PetM of cytochrome b6/f complex subunit 7 MFATATVILRNCNCQCWQVEVNNCAGKMYFDKGWRKFIHENNIEEKHILVFINHGGSSVFDVTVFGANNCTKIVSPRVSKEQEVEFVDLVDDDEEEEEEKEEEQEQDEEWNDEGTNSMKGEDKELDIERYINHPNPYFVVKKSSAGRENELVCFLLLVLISYINELGLPAQMVPNNVIRVHELTLEDKEDITFIGLHNQKTIGKVKKWKDGRTVITGWSNFCYKNMQRLIIIAMATASATLRPITIAAATAASPRRRGGVNVKYITGLNAFSGLKAHNNVVSLGLPVCTEQCFAKLVSSLKASSNGKKGGALASTCSAVGEIFRIAAIMNGLVLVGVAVGFVLLRIEASVEEAEGELF >OMO75232 pep supercontig:CCACVL1_1.0:contig11060:23716:28303:1 gene:CCACVL1_16265 transcript:OMO75232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAHKVLSSQILKREKLKTVVPHKHSFSFSLFSSTSEPITSVQSQALSLETSQTSSSEISPDLLIESVRSSQWHFIKHLSVNLDPSLISTVLFNLHKTPELALQFTSHIGFNRLDVKTRCLAIAVASRLPSPKPTLQLLKQTVSSDIASMTVIFNELALARDRCGNVKKAFRFFDEMLMDGIQPTQVTYTSLIYVLSKRNRMKEADDLFERIIGKGVPVDVVMFNALIDGHCANGNMERAYLLLKEMDKLNVPPDDVTYNTLMQGHCREGRVEEARELLHEMKRKGIKPDHVSYNTLISGYSRKGDMKDAMRVRDEMLSIGFNPTLLTYNALIQGFCFTLFAEISQSSEVLSAVPGILLASVFGQELFLLHFHSADHVGVEGHYHWLLQLIVFVSLLAALAAMFLPTSFTAVLVLSVSVVFQGCWFMNMGFMLWAPEFVPKGCIMQSESSSDSMLGAVICESHEADFRARALANLQFSWILSGILIFSGFTCLKFAGKCSPRAQATEYEQLHILGNDVPITIDSFKRADP >OMO75237 pep supercontig:CCACVL1_1.0:contig11060:75958:76674:1 gene:CCACVL1_16270 transcript:OMO75237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLERGRDGRLKGKGPIFEPVSLIHRCCSHVRAEAELCRYKECKRNHGIPFGRYAFDGCGEFMKSSDEDPYKCAACGCHRNFHRKEPCPADTPQNNRNNSVTLAPPTQAPTPEKEQFMSPLPLATLNGNTGESSSFPRVDKNLDAGSETQSGVGVGVRAGLGVQKGMKIKRTRTRFTLEQKQKMTRFAVDRLGWRLHRTDETDVLNLCKDLGISRHVFVVWMNNTRRRIRNKRAGTA >OMO75236 pep supercontig:CCACVL1_1.0:contig11060:65783:66073:1 gene:CCACVL1_16269 transcript:OMO75236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCSKIIEHDDTGRYLTINQIYTEPFPSAAASGKLRVEDNNGIVWILSYRVKSGGTRVFSGDWPRFVQNYKVKAGNTIVIGMNGTGSTDHKIEVM >OMO75239 pep supercontig:CCACVL1_1.0:contig11060:83155:83973:-1 gene:CCACVL1_16272 transcript:OMO75239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHNNYQNNNGAAGNLRRRFINRRDQERVEYRECRRNMSISTGRYIVDGCAEFIQRRGVNADPLQCAACGCHRSFHRRVLSAENQLRRAAARFLFYNDVRPVPHQPQLPQPLRPLMPQPAQMPPAPAYRRLPLPPQQIQIRLPHEIYHACPMGPQPLQVIRQPAPPPAPPLRRNYVQIPRRVLANLPRQPNQLPQQPQPESESEEEEDDDDGEDDEEEETEEDYDVTDKTGDSEIFDSEIELEPGDLEGMGDDVGEVNSGSSSGGNDNKED >OMO75235 pep supercontig:CCACVL1_1.0:contig11060:61167:61445:1 gene:CCACVL1_16268 transcript:OMO75235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRKKIEEGDSKKLTIGGTFSSGKQRGKMKVEDDRGGIWTFDYKVSNNTMVISGDWCKFFQSYGLQVGNRIAIDDNYEYRKSVAEYKIEVI >OMO75234 pep supercontig:CCACVL1_1.0:contig11060:58131:58490:1 gene:CCACVL1_16267 transcript:OMO75234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFEKVLTQTDIEKRLAVPTASLPQLGFGNGHANHYVDLVVKDSTERVWSFRCSTRLTGNHPKPYLSSGWLEFVQTKGLAINDVLHLYKEEDEASGAQFRIKVQRNISLFGQPIWADL >OMO75238 pep supercontig:CCACVL1_1.0:contig11060:77333:77887:-1 gene:CCACVL1_16271 transcript:OMO75238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSNIDQTNAASNGALPPSRPNSSLPGTNRGGAAPPLYMECRRNYISSIGGNIVDGCEKFVRNGAAVGGDRNQTREAFYCSKCGCHRNFHRKIVLPRLPANLPEETKRNCIMNFLRKQFSLTLPLPITAPWLMRSTTTPPNTDNDLVEGQGSSLSPGGEEAEEKSESDSGDEITQPLKIKEE >OMO75240 pep supercontig:CCACVL1_1.0:contig11060:85087:90759:-1 gene:CCACVL1_16273 transcript:OMO75240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFDYEELVKATQSFSPSKLIGKGSHGSVYEGNLSENRVVAIKRSSINIGVESQQRDNMKKLDNEISILSSLRESSNIISFLGSATHGSAKSNDKLLVMELMPNGSLHDLLHVAATPPPWPKRIEIVMQIARAVQFLHEGNPLVIHRDIKSANILFDLSWSAKLADFGLAISPAEDSSTIQPAGTIGYLDPCYTAPNKLSTKNDVFSLGVVLLEIISGRKVIDVSKAPASIVEWAIPLVEKQRIVEVCDPRVPFPTYNMEGMIRRILSVASRCLSENEERRPSIGEIIMEMESYSYSIERVRSYNITAWTSLLQSLILITRKRRKLTSRQQWRRAKTHQAAAGDHIVNSDVSRRKTMVPQDVVATGGKCMILKWVNENTLKALKEKEKEPEAPEPEPEPTTEVLFLCSYEGCGKTFIDAGALRKHSHIHGERQYVCHWEGCGKAFSLDFNLRSHMKTHSQENYHICPYPDCGKRYAHEYKLKNHISSHHEKNATPDAPKYVTPPPEKITKTPKSTGGAYGSASSDRPYACPYDGCEKAYIHEYKLKLHLRREHPGHMSDENVENATANVDNEMDEASDQDAYAGKRVNGKSQKQQSRAKPNVKMPPAKIARQKGSSPAAASLPVPKKQWPVKEEVYEEEDSEETEEDRENVEDGWRYGENNEDDDEETEYED >OMO75231 pep supercontig:CCACVL1_1.0:contig11060:22162:22410:1 gene:CCACVL1_16264 transcript:OMO75231 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Diphthamide biosynthesis protein MSYDDVEIEDMQWKEELQAYTYPCPCGDLFQITKEELKLGEEIARCPSCSLYITVIYNAEDFAEIKSKNNLEPEKRQAVAVA >OMO99926 pep supercontig:CCACVL1_1.0:contig06772:2984:3634:-1 gene:CCACVL1_03550 transcript:OMO99926 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAPP I complex, Bet3 MAPPAPRSGDAIFASVERVNAELFTLTYGAIVCQLLTDLEEVEEVNKQLDQ >OMO85930 pep supercontig:CCACVL1_1.0:contig09559:37790:40111:-1 gene:CCACVL1_09920 transcript:OMO85930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPVKWLFLVLTSYLSFTLVHSASNPLLKKTYIVQMDKSAMPASFSSHLEWYSSTLKSVTYKTQSEDEDRILYSYQNAFHGVAAQLTEEEAERLEEQDGVVSILPEMKYQLHTTRSPMFLGLEREESTRIWSQNLADHDVIVGVLDTGIWPESESFNDTGMSPVPARWKGMCETGRGFQKHHCNRKIVGARVFYRGYEAATGKINEKTEYKSPRDQDGHGTHTAATVAGAPVRGANLLGYAYGTARGMSPGARVAAYKVCWSGGCFSSDILSAVDRAVADGVNVLSISLGGGVSSYYRDSLAIATFGAMEMGVFVSCSAGNGGPDPVSLTNVSPWITTVGASTMDRDFPANIKLGTGRTVTGVSLYKGRRFLSLNKQYPIVYMGSNYSSPDPSSLCLEGTLDPHVVSGKIVICDRGISPRVQKGQVVKDAGGIGMILTNTAANGEELVADCHLLPAVAVGEMEGKAIKHYALTSRKATATLAFLGTRLGIRPSPVVAAFSSRGPNFLTLEILKPDVVAPGVNILAAWTGELGPSSLATDHRRVKFNILSGTSMSCPHVSGIAALLKARHPEWSPAAIKSALMTTAYVHDNTHNPLEDASAAAPSTPYDHGAGHINPLKALDPGLVYDIEAQDYFEFLCSQKLTAAQLKVFGKYSNRTCHHTIANSGDLNYPAISVVFPDNKVSSVMTLHRTVTNVGPPISNYHVVVSPFKGATIKVDPESLQFTGKNQKLSYKITFTAKSPQTMPEFGGLMWKDGVHKVRSPIVITWLPTL >OMO85927 pep supercontig:CCACVL1_1.0:contig09559:22624:22683:-1 gene:CCACVL1_09917 transcript:OMO85927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPWPLGSQRGTEEPHAAN >OMO85925 pep supercontig:CCACVL1_1.0:contig09559:10467:12304:-1 gene:CCACVL1_09915 transcript:OMO85925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLQQSDDLVYQILSNSSQHKIPQDLIFGNHHASVEKGCSPSDDIQPIIIGSSSNTMKKTRRRKLCQTDEGLAAASACDKYKKQKLHREIERQRRQDMASLYASLRSLLPHEYIKGKRSMSDHMNEAVKYIKHLENKVKELDVKRDELKRVGNLGALGSGITQSSNHDCFIIQPTLIGVEIMFRSGLEGKGLPLSRVLAAIVNEGLHVVSCVSAKSEDYLLHTIQTEVNDLSSINLPELQQRLSKASEVVTNKSHNAQGVSNILVNH >OMO85924 pep supercontig:CCACVL1_1.0:contig09559:1365:2474:-1 gene:CCACVL1_09914 transcript:OMO85924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSMFNFHQQLSYSNFSENPHQPQDDPTQQDKILDYVLDHDLHHLPMDIGAGGSGGSSNRGSRRGKLSLGSDKNENNVDDAKKKLMHREIEKQRRQEMATLYSSLRTLLPLEYIKGKRAVSDHMNGAISYIKDLQKRIEVLTAKRDELKKLSNISSGYFDQGGSSSDQSNFPSSAVVSHSVDGVEVVISTGLGPQALTLSRVLQLLLEEGLDVVSSISTRIDGGLMLHTIQSEVGDMRYVDIARLEQKLNEEISSLSQIS >OMO85929 pep supercontig:CCACVL1_1.0:contig09559:29909:32391:-1 gene:CCACVL1_09919 transcript:OMO85929 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MTEFYRKMLSDDDDDDTPAKCRQRRRRRIEMRRLSSISASGTAALSSQRIREDIHAESSGCAPERKRLRTVEAAAGDFPETTSMCTFSADEAGPTAGEPNAALTNGPVFGTMSISGRSREMEDAVSVRRSLCRPDINLKRPVHFFAVYDGHGGPHVAALCREKMHVFVEEELMRVNCTEDSDCEIGSSNSQEGEQEQSWRRVMKRSFERMDEVAMSTCACGNVGYQCGCHPMEVALGGSTAVVALLTPEHIVVANCGDSRAVLCRGGKAIPLSFDHKPDRSDELARIEAAGGRVIFVNGARVEGILAMSRAIGDKYLKPVVTSEPEITFTKREPEDECLILASDGLWDVLSSELACEVARECLKESNGATAAAAAALNLNAGPNKEDEGSETLYPSRSVLAAALLTRLALGRKSSDNISVIVVDLKKS >OMO85931 pep supercontig:CCACVL1_1.0:contig09559:44467:45465:-1 gene:CCACVL1_09921 transcript:OMO85931 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAE1/Type III polyketide synthase-like protein MDDLLSKTKLSPQEIDILIVNCSGFCPSPSLSSIIINKYSMRSDVKSYNLSGMGCSAGAIAIDLAQNILKTYKNSNAIVLSTEILSTGWYSGHEKPKLLLNAVFRMGSAAILLTNKKEAKTSSKYKLLHSLRTQRAFDDKAYFAAFREEDGNGKLGVTFKKDLLQAVGETLKSHITILGGKIFPLTKKLWYVISIIRRKFVDKSAEIYTPSFKTEIQHFCLPTSGKQLINEVAKGLKLNGKDMEPALMTLHRFGNQSSSSWWYELGYMEGKERVKKGDKVWLLGLGTGLKCCSLVWECLRDIVGESERGPWNDCIHRYPVDIHHGVQSDGHH >OMO85936 pep supercontig:CCACVL1_1.0:contig09559:61758:77119:-1 gene:CCACVL1_09926 transcript:OMO85936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPISNSSTPVTSPKKPKTPELVSIERKNSSGALHLTPYQFIPNHHRLWLLLFIVSLQLLVLFMARALPLSLRRTHFPAPVSADHHLLRSSGNISSHHHLKSADISISSSVSSSDRCSSGRIFVYDLPAVFNQELLDNCGELDPWHSRCDALSNEGFGKKATELSGHIPDDLVPAWYWTDQFAMEVIYHNRILKHECRTTEPDSATAFFIPFYAGLAVGKYLWFNYSPEDRDRYCEMILKWVQDQPYWNRSDGWDHFMTIGRISWDFRRTKNEDWGSKCIYMPGMRNITRLLIERNPWDYFDVGVPYPTGFHPRSDSDVLKWQDFVRNRHRKTLFCFAGAPRGTVKNDFRGLLLNHCKNASGLCRAVDCTGSRCTNGSYAILETFLDSDFCLQPRGDSFTRRSIFDCMIAGSIPVFFWHRTAYLQYPWFLPSDPKSYSVFIHRNEVKNGTSIRSVLESYSKEEVTKMREKVIEYIPKLVYAKPENGLESIKDAFDVAINGVLQRIKEQEQPGYKCCFVLIFSEANSISQKPRAFESFNVSYIQNLGSCSYSVEITTSCSSPTYTRDQISIAFGDAYVYLLDATVLLLTIYAPRLDDPSTRTFEQCSTDTFEIYGPCAYQICYVYLYRSGPDGWKPESVEIYGYNSRAVTFYYNTFIPGDVWYGFDFCNGASSSHRRIGQRCRRKTLSPPTSVNILHISIYSKLIKLVNNLIMPSLALSDLKEKKEERKMKKKVALETPETEKKMKKLKEAKEENDSELKKSKKKRKASEEEERSETSSELIEPDKKKKKKAKLEVEDGEDEGKSEDPNAISKFRISEPLREKLKSKGIESLFPIQAMTFDTILDGSDLVGRARTGQGKTLAFVLPILESITNGLAKATRKTGYGRAPSVLVLLPTRELAKQVFDDFEVYGEALGMTSCCLYGGAPYHTQEMKLKRGVDIVVGTPGRIKDHIERGNINLSSLKFRVLDEADEMLRMGFVDDVELILGKVEDANKVQTLLFSATLPDWVKGISTRFLKTSKKTVDLVGNEKMKASTNVRHIVLPCSKSARSQLIPDIIRCYSSGGRTIIFTETKDSASELAGLLPGSRALHGDIQQGQREVTLNGFRSGKFLTLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYDPKRSNISKIEKESGVKFEHISAPQPVDIAKSAGAEAAKKILEVSDSVIPAFTTVAQELLETSDVSAVDLLAKALAQIAGYTEIKSRSLLTSMENYVTLQLDAGKPIYSFSFVFGVLNRFLPEEKAQSVQGMTLTADGMGAVFDVPEEDVQTFLADANKGKVLPPIHPDSVRVRLIAKDIIESLKRGFSHDQVWSDLAYVSQDISLGRESAHPHDTMTALSGEGDLEVKWSREDEILDDKWIQQNRKKNQQRGNTSHLDGVNWEVVVINDPMVNAFCLPGGKIVVFTGLLKHFNTDAEIATIIGHEVGHAVARHAAEGMTKNFWLTIIQIILYQFVMPDLVNTMSSLLLRLPFSRKMEIEADYIGLLLMASAGYDPRVAPKVFEKLGKVGKDSALNDYLSTHPSGRRRAQLLSQAQVMEEALMIYREAIAGRGVEGFL >OMO85928 pep supercontig:CCACVL1_1.0:contig09559:26080:29072:-1 gene:CCACVL1_09918 transcript:OMO85928 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MAPQPAELLLNALSDDSENETPEKCRERRRRRIQLRRLKYVTSTIHRYASLLRKTSNETWAPLITTRAATTEMGVNPMPVNGWISIQGFSDSMDDRVVVKEEFCRSDVFGGYPLHFFAVYDGHGGPHVSSLCKQMMHKIMAEELARLSIQKAPETSDAGSGEWGDLVRVALEKSFSRMDEVALSTCACGKFGYLCGCKPMELGFAGSTAVVAILTPHHVVVANCGDSRAVLCRAGKPIPLSLDHKPERPDEQERIQAAGGKLVYQNGVRVYGILNMSRALGDNILKKVITSKPEISITERHLEDECLILATDGLWDVMSDALACEVASTCLRDGSSATATRSCYSDCADKTDGGETLFPSKSAFAAAILCRLALGRGSCDNISVIVVDLKKHSGIY >OMO85926 pep supercontig:CCACVL1_1.0:contig09559:18406:20448:-1 gene:CCACVL1_09916 transcript:OMO85926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSIPPLPSPEQQPPLIHHQRPLPTGYIVPPVAAVTAAFSILLVLSLCLRKIRRERTAPADSKPPHRFSYSVLRRATSSFSASRRLGQGGFGSVYRASLPNDRNSNKSNNNTVAVKVMDAGSLQGEREFQNELFFASKLESSLVVSVLGFSYDRKRRRMLLVYELMPNGNLQDALLHRKCPELMNWKQRFSIAVDIARGIEYLHGLDPPVIHGDIKPSNILLDQYFSAKIADFGLARLKSEEIRVEIVEDYGSVAETESVATGIEENLVVDQSPSSIMESPENAEVVTVTLSPETVGLAAEMSPEMMEKASVSELNFDKASVENGKQLVNNGGKLNGKGKSRSGRDWWWKQESGAVAESGKVKDYVMEWIGTEIKKERPNSDWIASVASSSKEMTAKLEKSKKKKSRKRLEWWVSMEDDKEKDVKKGKRRPAREWWKEEYCEELAKKNKKNKKKKRQLGMYNSDDNGGGENWWRGDDELYTERKKKSKRSRSRGSVGSVDWLWDGLSGELWRGRGNSHDSFSGEIPKSGGISSTPSMRGTVCYVAPEYGGGGDLSEKCDVYSFGVLLLVLIAGRRPLQVTGSPMSEFQRANLISWARHLARTGKLLDLVDQKIESLNKEQALLCITVALLCLQKSPARRPSMKEVVGMLTGEAEAPKLPTEFSPSPPSRFPYKSRKKVR >OMO85932 pep supercontig:CCACVL1_1.0:contig09559:48994:50115:-1 gene:CCACVL1_09922 transcript:OMO85932 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAE1/Type III polyketide synthase-like protein MAKVLFSSGLSEETYLPPALHSIPPKTHHQESIKEAEMVLFPIIDDLLSKTKLSPQEIDILIVNCSGFCPSPSLSSIIINKYSMRSGIKSFNLSGMGCSAGAIAIDLAQNLLKTNKNSYAIVLSTEILSSGWYSGQEKSMLLLNCLFRMGSAAILLTNKKEAKTSSKYKLFHSLRTQRAFVDKAYFAAIREEDSCGKLGVTFKKDVLQAVSETLKSHITILGSQILPFSEKLRHVVSKFSKKFNFNYKSGEIIYMPSFKTVIQHFCLPTSGQALIKEIAKGLKFDERDMEAALMTLHRFGNQSSSSLWYELAYMEAKEKVKKGDKVWLLGLGTGPKCNSTVWECLRPIIGESKNNNNPWGDCIHMYPLQAFSS >OMO85933 pep supercontig:CCACVL1_1.0:contig09559:51888:52626:1 gene:CCACVL1_09923 transcript:OMO85933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 29 MFLHFGVNTFTDSEWGTGKENPAIFNPVGFDAKQWVTTAAEAGVSLMILTAKHHDGFCLWPSKYTEHSVLSSPWKNGQGDVVKEFVTAVKVHGGGNIDAGLYLSPWDRHDRRYGHDLQYGSVKEIWFDGAKGTNAKNMSYYFEDWFAMVRELQSSINIFSDAGPDVRWVGNEQGFAGNTSWSTINSTLLSIGNASIVE >OMO85934 pep supercontig:CCACVL1_1.0:contig09559:58095:58789:-1 gene:CCACVL1_09924 transcript:OMO85934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MGVVFLSSAVILLLVALFISTSFVLAVVGALTFVLITFTALYSSLHVTELVSKDKRPPVDGLVLHLLVHFSRLFDYQTSLAKKHSTFRLIMPFRTEVFTADPANVEYILRTNFPNYGRGSHSEILGDLFGDGIFAVDGEKWRHQRKLASYEFSTKNLREYSTAVFRESATKLVSKVSIMAVAKHAMDLQVLILLLTLEHCKLW >OMO85935 pep supercontig:CCACVL1_1.0:contig09559:60979:61209:-1 gene:CCACVL1_09925 transcript:OMO85935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAQKYYFTLDRRMQESKRAQTDSCPHRNFSKANPKASRFPRYSPPVRFCALRLRFSLLRDQGFQLHKEPNALGI >OMO85937 pep supercontig:CCACVL1_1.0:contig09559:84688:85138:-1 gene:CCACVL1_09927 transcript:OMO85937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTMSTYASGFCHNISSTAGLKRSPGVAVVPSFNAKPRRRSAAVVVRAEGQTINPEIRKAEEKVVDSVVVTELAKPLTAYCRCWRSGTFPLCDGAHVKHNKATGDNVGPLLLKKQ >OMO85938 pep supercontig:CCACVL1_1.0:contig09559:87706:91629:1 gene:CCACVL1_09928 transcript:OMO85938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MTISSGRRGVVGCIYHLLLLLLYVRLCVSARSDDEIRERFYGTLVNTSASGSGEGSIAQMFDRVLEKEFSENEQPEGSDASSFNNSVADQQATLETVVKISSHEKKKNDTQETNGTRSFQIQDVFSLENEDSDETTTLIDKKDNVFVMSNKKSKYPVLQVDLRLISDLVVVIVSAAIGGIIFSCLGQPVIVGYILAGSLIGPGGLKFISEMVQVETVAQFGVVFLLFALGLEFSLAKLKVVGPVAVFGGLLQILIFMCLCGIIAVLCGAKLSEGVFVGSFLSMSSTAVVVKFLVERNSTNSIHGQVTIGTLIFQDCAVGLLFALLPVLGGNSGLLHGMISMGKLILLLSMYLTVASFLSWSFVPRFLKLMMQISSQTNELYQLAAVAFCLLSAWCSDKLGLSLELGSFVAGVMISTTDFAQHTLDQVITGY >OMO81461 pep supercontig:CCACVL1_1.0:contig10168:13103:16999:1 gene:CCACVL1_12406 transcript:OMO81461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTQSSPSPPNSTEEKPQPTTSEVVQQANEVQQDVRPEAAKPSVPAPASVFVNSEPIREDQVMNAVKFLSHPKVKGSPVMYRRSFLERKGLTKEEIDEAFRRVPDPPPSSQPTGLNPDGSVKPSVNTQTQATTQTPQPMAAAPTAVASVGTRAQSRFHWYHAVFAVGLLAASGAGTAVLIKNAIIPRLKSWIRKVVLEEEYDHAKKRDAKPTLAEEAAAAAKAAAAAAADVAKASQEMLNSKNEERRRFEEFMNLINVQTQEMKSMSSAIKTLEGQANSSGRTFVDHEDHRVSASSTKQSYANGRTDADVRSVRSSSPPSSAEPPHPKSYMEIMAMVQRGEKPSNIREINDMPPNPNQQPSNPRIAPRSKPWEAQNGSSQVLQSQVSGEGLNQKVPDNGLNYLVDDESSTPWWQRKNARITEIENEDELMAGPYGVKTNEPPPVQRTWVPPQPPPVAMAEAAEAIRRPKSAPKEAAKQDQLADDQSVDNHSEVTDELQRITKISESGGAVEMNGGFSGVKSNEVQEQEPSYEGN >OMO67124 pep supercontig:CCACVL1_1.0:contig12470:18064:21790:-1 gene:CCACVL1_20778 transcript:OMO67124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase MAERALTRVHSLRERLDETLTAHRNEILALLSRIEGKGKGILQHHQIILEFEAIPEENQKKLQDGAFYEILKSSQEAIVSPPWVALAVRPRPGVWEYIRVNVHALVVEELRVAEYLRFKEELVDGSANGNFVLELDFEPFNASFPRPTLSKSIGNGVEFLNRHLSAKLFHDKESMHPLLEFLREHCHKGKNMMLNDRIQNLNSLQHVLRKAEEYLTTLPADTPYAQFEHRFQEIGLERGWGDTAERVLEMIQLLLDLLEAPDPCTLEKFLGRIPMVFNVVILTPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLLRIKQQGLNITPRILIITRLLPDAVGTTCGQRLEKVYGTEYSDILRVPFRTEKGMVRQWISRFEVWPYLETYTEDVAHEISKELQGKPDLIIGNYSDGNIVASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKLDDKYHFSAQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADETIYFPYTDEKRRLKHFHPEIEDLLYSKVENEEHLCVLNDRSKPILFTMARLDRVKNLSGLVEWYGKNTKLRELVNLVVVGGDRRKESKDNEEKAEMKKMFELIEKYKLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCKGGPAEIIVNGKSGYHIDPYHGEQAAEILADFFEKCKSDPTYWDKISQGGLKRIEEKYTWQIYSQRLMTLTAVYGFWKHVSNLDRREARRYLEMFYALKYRKMAESVPLAVQE >OMO67122 pep supercontig:CCACVL1_1.0:contig12470:6019:6120:1 gene:CCACVL1_20776 transcript:OMO67122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIPCERLVDREETDRGGKNKKASNNNAEYDD >OMO67125 pep supercontig:CCACVL1_1.0:contig12470:23786:24809:1 gene:CCACVL1_20779 transcript:OMO67125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNENGMEKERESHSAPVTGTEWGHVCLKGSYRAKDPPLGNVARFDRSVDKPE >OMO67123 pep supercontig:CCACVL1_1.0:contig12470:8607:16848:1 gene:CCACVL1_20777 transcript:OMO67123 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease MEGVNERLVKEDRHFVRLNSGRMIQASGNRGDKATELEYQRICISTEDGGVISIDWPANLDLNDEHGLDTTVLVVPGTPEGSMDEKVKAFVREAVCCGFFPVVMNPRGCASSPLTTPRLFTAADSDDLSAAIQFVNKARPWNTLMGVGWGYGANMLTKYLAEVGEKTPLTAATCIDNPFDLDEATRATPYHIALNEKLTGGLVDILRSNKELFQGRTKGFDVEKALSAKSVRDFEKAISMVSYGFKAIEDFYSKSSTRSLVGNVKIPVLFIQNDDGSVPLFSIPRGLIAENPYTSLLLCSCSPSRATVSWCHHLTIEWLTAVELGLLKGRHPLLKDVDVTINPSKGLAFEEGRLTNKGRKVKKLLDHSRSNAINGYSINPPREMLEDGDTAASIRLRSRQDLLKDVELGDKGLHEVHNDMLPQTKSVEEELVKEEASPEDSEMGQVLQTAQVVMNMLDVTMPGTLKEAEKQKVLAAVNQGETVIKALEGAVPEDVRGKLTAAVSVFMQTQGTHLKQGIARIPKMSSQFKSKGRESVSDAHSADEIKKIDDLADGSDSIEVGSDKTTGGQGSDFRPSENFERSSDLGPQPISSHQGDIPSSIKKDTNEPGKIHESDEVTKEKASAHADSSETGLETSAKPTLTTRAEKVGSPDETLSIEGKADRDGGIGRIEIKDETNPQKKEEKGSDSSTDQNKVTPAATAEVTVSAAGSSEAQPVEGERNDNQKTENKDLQHVLDQNKSSISDPNPQTFSVSQALDALTGMDDSTQVAVNSVFGVIENMISQLEEKDDKESHDRDEVRTENNDSVPGTQDTFEDKEDNENDHKLREIEGSKNNYSMMSDGSHDHPMHNDNASGKDLQYDSASVEESPQIPFSSEGTDNDNSLGNGARNSLGRSRSNDNSDSSVNNIPSYISANRYGHFLQSEYFRLLSEPTNEPLDVDSTTALLLDYFPEEGQWKLLEQNGDPIDDDTTHSRESEAPAAAEVNETENYIEPSYVILDSETQHEPIQEFEAIDTMNVNVEGLEETMQLVKVTILESLQLEVDRRLSVSDLQEMESQLALDIETVATAVSLSIGDDEALNFEGKENVVEDASGKVCTLNGENIIRSISSAVQSTSYLRRVLPVGVVVGSSLAALRKYFNLSTLHEYDQNEIKAAGETKLSGKRSYEKSGIMEIDQMPVSKTGQNGTSHSPTNEEGSESGLKSLNKEGVLVGAVTAALGASALLAPEQDSLEEKGTAETSSKTSKEKGNQHKEPEMLEEAAADKNQVNIVTSLAEKALSVAGPVVPTKEDGELDQERLVAMLADLGQRGGMLRLVGKIALLWGGIRGAMSLTDRLIMFLHIAERPLYQRILGFVGMGLVLWSPVVVPLLPTLVQSWTTKTPSAFAELVCIFGFYTAVTILVVLWGKRIRGYENPLKQYGLELTSSSEIQGLLMGLIGGVVLVILIQSTNALLGCVSFSWPSNIVPSSLDFMARLKVIGQLLAMAVRGLLTATGVVLVEELLFRSWLPDEIAADLGYHRGIIISGLAFSVFQRSLMAIPGLWLLSLALAGIRQRNGGNLSIPIGLRAGIMASSFFLQTDFATMWYIPAPV >OMP12064 pep supercontig:CCACVL1_1.0:contig00482:198:1322:1 gene:CCACVL1_00152 transcript:OMP12064 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, gamma subunit MDCSSLPTWIASKPSNSNKNVLFNQFNQNPFLQLPLSRTLSRCLSRFAPIQSSLRQLRERIQTVKNTQKITEAMKLVAAAKVRRAQEAVINGVGFPTAKEAQMVADDVFSLFITEEVDKVELIYTKFVSLVKSDPIIRTLLPLSVKGEVVDGNGNCVDAMEDELFRLTTKEGKLIVERDRVRVEGGGISPLMQFEQDPVQILDAMMPLYLNSQILRALQESLASELAARMNAMSNATDNAVELKKNLSIVYNRERQAKITSEILEIVAGAEALT >OMP12065 pep supercontig:CCACVL1_1.0:contig00482:2804:5324:-1 gene:CCACVL1_00153 transcript:OMP12065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene/phytoene synthase MAGVLLWVISPKENATALLSLIPRSGGKQKRSSSLCSRIKLSAKVSAFSSAVANPARSSEEKVYEVVLKQAALVKEQRKKKALDLDKAAENSDALTDWDLLNEAYDRCGEVCAEYAKTFYLGTLLMTPERRRAVWAIYVWCRRTDELVDGPNASHITPRALDRWEKRLDDLYEGRPYDMFDAALSDTVSKYPVDIQPFKDMIEGMRLDLRKSRYNTFDELYLYCYYVAGTVGLMSVPVMGIAPESKATTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELARAGLSDEDIFRGQVTDKWRSFMKDQIKRARMFFDEAEKGVSELNAASRWPVWASLLLYRQILDAIEANDYNNFTKRAYVGKAKKFASLPVAYGRALLGTSK >OMO92164 pep supercontig:CCACVL1_1.0:contig08257:19413:24634:-1 gene:CCACVL1_06910 transcript:OMO92164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAVVVASTFINFSFVFRKPTSLVSVLPARGTICHHDISASQKLNVTTFKVSTQECAKN >OMO59311 pep supercontig:CCACVL1_1.0:contig14009:5564:7941:-1 gene:CCACVL1_24926 transcript:OMO59311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase-related protein MKEGKSRKRKRGRGQQKPQESLQPKHSTKHKKNTQQHKNHAPQSSASSKPPKSSSSSNSSSFLDKMRARLAGGHFRMINEKLYTSTGKEALEYFKEDPELFNMYHTGYQEQMTHWPELPVNIIIKWLKDRSSSLIVADFGCGDARLAKNVKNKVFSIDLVSKDPSVIACDMSNTPLDSSSVDVAVFCLSLMGTNYANFLQEAYRVLKPSGWLLIAEVKSRFDPNNGGADPNKFSKAVCEMGFTSELKDFSNKMFVLLYFKKKEKQSSKGKEIEWPELKPCIYKRR >OMO59312 pep supercontig:CCACVL1_1.0:contig14009:8493:8732:1 gene:CCACVL1_24927 transcript:OMO59312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMGFNNFALLCFLLLSIHIQIQPLSVRGIVAYNTAKNLHRLSRGGGSNDGDSDGDTDSDSPAPGPAPAHSGEVGAGFT >OMO84631 pep supercontig:CCACVL1_1.0:contig09728:14008:17142:1 gene:CCACVL1_10735 transcript:OMO84631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin MKSIISLMSLAIFILALAFPLAFASDPNPLQDFCVAINDGDIRNGVFINGQFCKDPKLVTIDDFVFSGLNIPGNTSNELGLAVNLADISTLPGLNGLGIGMSRVDIAANGGLNPPHIHPRASEIFLVLEGTLYAGFITSLPEYRLITKVLQKGDAIAFPVGLIHFQLNIGKTNAVAIAGFSTQNPGIIRVADASFGSNPPVDPEVLAKAFRLDKCVVKNLQSNFNGKTNFDLAEKFQHFYNMIPSAGEKKQLNLAKGDRPYDFSPLPQESCVVPINEPHVSSQAEENKNVSSQLPITSSNMFESENTFMEITYYGGLLIFMAIFLYEGYRYIINIDFMYPPPLLETKSFAISNLNISDSNLVGNWDAYFTFGHSMDDYVDVTHYKILVGSIYYKQDNNLHAMNNVLAKANATTFNVRQKEYARVHLKFTNVGFEAEQPGLEDQAIKEISKEAENGVMNFALEILVGAEFQKGGKIWSGLDFNGIRYCWELMAGIDKVTGKGKLIHAKAVFCD >OMO84630 pep supercontig:CCACVL1_1.0:contig09728:4336:8534:-1 gene:CCACVL1_10734 transcript:OMO84630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MAKIQKGRQIWKQVEKLQGEDTHGCWVARAWHDPRLPLGAHVDGLCAQGVALSPHVAIAHVLAGGMFRLALGAHVLCLAPHVVCLAAHKPAPPSQITETTAGVTKSVSNPDFELWNQQDQLLLHVLISSTSESVAPFIAFCQNSKQAWDKLNQMFANKSRSRMMSLQNKLCQPRGGKSVSEYLQFLRIVADDLALINSPVSEDDLVIFALNGVGSEYKELAAGIKARESSISYEELVDKMLDYETFLQKQDFLSDQSVMTAHVAQKSSNLSGGHSQQNNRSFSQPQTPSQKRGSAKIVYQYCEKPGHTAKQCYKIRPRSSQNKAQANHATSSTTQKQSPWLADTGASHHVCNKLENLDISHPYGGTDELFVGNGTGLPITHAGSSTLTTSARSFKLHNVLCVPDANTKLVSVSKFCQQNHVSCEFFPCCFLVKDLNSRIPLARGPNRNGVYEFPAAEPLLQPKALALVGIKTTPQIWHQRLGHPASPVLQSMIKNFSLSCSHVSLHGLFVTHSSPQSPTLADLLSDFPVTAPIVSSPGTAAAHGNSHSSEQLVSSSTDSLAETNMVSTNSIPTDFVSQIVALSMPSSNPSTRTYPMITRSQNQIFKPKVLYTATKHPIPSTIEPGSAIQAIKSKHWKHAMLQELQALHENNTLTLVPPPSNRTIIGCKWVFRIKTNPDGTFSRYKARLVAKGFTQQAGLDYKETFSPVVKPVTVRLVLSIAVSNNWGLHQLDVNNAFLQGELTEEIYMQQPHLFHDKSFSKHVCKLNKAIYGLKQAPRAWYHALSTFLLAYGFKNSTADASLFIYHSDEIITYMLVYVDDIIVTGNDSHFLHKFIHALSDKFSLKDLGTLNYFLGVQVQSTSQGLFLSQKKYILDLLESTNMSGAKPDTTPLSSSTALTLHDGTASTDQSEYRRIVGSLQYLSLTRKRN >OMP07677 pep supercontig:CCACVL1_1.0:contig04273:928:1026:-1 gene:CCACVL1_01251 transcript:OMP07677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFPIRRLDNKSLLLKHAPFVPLAIIQSVPR >OMO91484 pep supercontig:CCACVL1_1.0:contig08337:4826:7950:1 gene:CCACVL1_07103 transcript:OMO91484 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MVEFENCRRELEELYRQEEIMWRQRSKALWLKEGDKNTKYFHAVASMRKQRKGIVGIKNEAGLWFSDPLQMEAEFTRYFRNIFTSDTHTMTSIEKVVECLDNRITEDMRLVMDAAYTPEDIKQAAFQMQGDKAPGLDGMTPLFFQKCWHIVGQDVISLALAFLNEGQSLPDINHTNIVLIPKVSSPESPKDFRPISLCNVVFKIISKALANRLNMFLSDIIGDNQSAFVPERMIFDNAMIAFETIHHMSNKRGGRKAYMTLKLDLSKAYDRVEWDFLKLACIKWVSLINGFHRGDIKGVSVSRSAPCVSHLFFADDSILFLRAKESECKNIVNILKDFGIASGQQVNIDKSSIFFSANTPVRLQADIMNSLGVHKILDKDKYLGLPIMIGRSKVREFRYIKDRLIQCVQRWSSKLFFIAGKAVMIQSVAQAIPVYLMSIFRFPKSFIQELNGVIAKFWWGDTDNRRLIHWKSWESLCVSKADGGLGFRDFEAFNLSLLAKQCWRLVQNPNPLCFRILRAKYFPSGLFLSATLGSNPSFLWRNNPPSYAPRPRDGIVKMPMKVAELMDFDSRSWREEKLLELFCIDDVFKIMCLPIPRVSARDSLVWNVTDLGDFSVRSAYYVACKILRNEESQIENRDSVWKLIWNANVLPKMKFFIWRVVLNILPLKTALIRRGLDIDDFCNTCGGREVSAFHVFFECPLSKRVWELSAPWVEPCISDWDGECEFWSYFLSKAARVGCLDKVMCFMWLLWQNRNSCVYDQTCHMPGALTAAGEHMLRQTHGLSPSSQIQFGSGSRRLARLHPPPHGMVKINVDAYFSEQEGVAGLGVVIRGFNGDVMVSASRELLFVSSPLYAEVHALLFAFELALEWDVTNCVIESDCLIAIKEIKSSKPCWWDGGNLIYEIRDLALLFDECVFAHVNREANVLAHRLAALRVNCVWSGCLAPGVCNPNSSHQ >OMO91483 pep supercontig:CCACVL1_1.0:contig08337:2133:3878:1 gene:CCACVL1_07102 transcript:OMO91483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNLSNLCSQLSLQEGEQSEPSKKIVIAKEWIDEPEGSLSWFSLLAKLFSKKQANVEGLRIAMFQAWKPDMGMRVKAVEDNLFLFEFEDGVDRDRIMVSQPWSFQRSLIILNDYDGFTLPDEVEFDAFPLWILAFGLPPAMQMTKVVMAIGQSFGLVQDVDLSEGRFMRVRVEMDIKTPIQIDTTVTTPDGDDIEVKFKYEKTPDFCRVCGIVVHQEQDCPTTVEQKKLQGFVVRKFTSDLKVESPIVKSRGIDRLGSPSFSLGSFSSSRALSHDRRSRFDNTGLRFGARGERSLQGSESRFRQYIDSLALHGRSVARDIDGVSCEIISRLPERGREKGKVRLEEEEVSSAQERPIFQGKRDRGDNGNGSYRRGQNNEENDHGLGYSPTAPGLGIEMRNLGQVAGLGHRGVGSVIKPGIQRQMNKETTPRGQQLRCEETEVSSADSSTFVFGSGSTPTKGAAKGAGRRWKKMDRVSSRYTLEALSQEPVVHQGKRRRNIGPCAMSIDGSGAKKSREHEEGQGESTGSQIAPRQNDAVEGERDNHQRPVAEMAGENHLC >OMO57356 pep supercontig:CCACVL1_1.0:contig14396:15381:16496:-1 gene:CCACVL1_25813 transcript:OMO57356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MAHFIACTKTDDAINVANLFFKEIVRLHGMPRKIVSDRDAKFLSHFWRTLWAKLGTKLLFSTTCHPQTDGLIEVVNRTLSTLLRALIKKNLRTWEDCLPLVEFAYNRNIHSTTGFSHFEIVYGFNPLTPLDLLSLPLSVQVDMDGERKAEFFKDLHAKVRVQIEKKTQHYMKVANKGRIKVIFEPGDWVWFHLRKERFPEKRKSKFLPRGDGAFQVLERINNNVYKLDLPSEYGNVSATFNVSYLFDSDADLRTNPFQGRGDDAPRAYHGLEEHNEDHGEDEQGLQGSKDKLEEHGGCAQLVPSSKEMPKMPFDPLKMPLGPMTRARSKKFKDALTSFVRTHLKDLKSFDEDKGKNIPIDSKLVTLLAIDG >OMO57357 pep supercontig:CCACVL1_1.0:contig14396:21128:33208:-1 gene:CCACVL1_25814 transcript:OMO57357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKGVYFRVESLLQEDYKQMVETTKSTPGVKVVK >OMO86162 pep supercontig:CCACVL1_1.0:contig09528:16581:42014:1 gene:CCACVL1_09763 transcript:OMO86162 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate-gated kainate-type ion channel receptor subunit GluR5 MDNVQGIDNDLNAFIQIFKNFVDKYKPQDPKSGRVLNLPPEVQYFLKIWDKKAVEVPDEDCASIEQLRDEIRDGVVDFERNKAVIETGVKSRSDLEILGSRRGDYLTYYIYIGVEDGCLKAFDGMLKDLKGRAELWIFNFPAFLEPYEKFDIIEKLRFRLNFLINIGVVVAQNTTGTIPVKVGVVLDLDKMLGKIGLSCINMALSDFYAAHSSYRTRLVLNPRDSKMDVVGAAAAG >OMO86160 pep supercontig:CCACVL1_1.0:contig09528:1116:8831:1 gene:CCACVL1_09761 transcript:OMO86160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSDFYQTHPHYKTRLLLHHMDSNEDVVQAAASVGKTSKRYKFN >OMO86161 pep supercontig:CCACVL1_1.0:contig09528:9926:10814:-1 gene:CCACVL1_09762 transcript:OMO86161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVQGIDNDLNAFIQIFKNFVDKYKPQDPKSGRVLNLPPEVQYFLKIWDKKAVEIPDEHCASIEQLSGFDVCHELSAGPTTYTCV >OMP10997 pep supercontig:CCACVL1_1.0:contig01729:1153:1464:1 gene:CCACVL1_00737 transcript:OMP10997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKAVVRTGINRRQQKLIKRGHLRIEMVRKKGHGRCRVNHGHEPGLMDQTLKGEGLNSNPPPHGAMDHIWMDHFLFGDNMNVIWRIRLLKVTLLTKGEGKKVK >OMP00289 pep supercontig:CCACVL1_1.0:contig06694:28275:28370:-1 gene:CCACVL1_03401 transcript:OMP00289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPDNNHRQCLENSNTQLLKAIETPKSTKT >OMP00288 pep supercontig:CCACVL1_1.0:contig06694:4994:5340:-1 gene:CCACVL1_03400 transcript:OMP00288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREGQEEEKEEEESSHSTAEAVSSSRLLLDYGFSEL >OMO52933 pep supercontig:CCACVL1_1.0:contig15392:14188:14547:-1 gene:CCACVL1_28997 transcript:OMO52933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVTITVCVLALRVSPVVTTNSFRLSIRKGILLWNSFDSLVKELLLFSLRVDLLKLGFLGFVNHATRSVFKAEGLLNRSKTDCLSDGLPRRSKTEILGDSTKLSSSTSSIVMYAAVFL >OMO52932 pep supercontig:CCACVL1_1.0:contig15392:12441:12992:1 gene:CCACVL1_28996 transcript:OMO52932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAKPLKISKKKAQDAKSFTTQDKAKGKSTLKERQEKKYPFPDSDVASMLDELLKSKVIELPEMKRPEESDKVDDPNYCKYHRLVSHPVEKCFVLKDKIMELHREGLIEFEEEVASSNVASVTKVTPEPATLTVAIKFGSFDPIMLPLASIISQPTRGNHSSKTNEDGDNEGWTLVNRHRA >OMO52931 pep supercontig:CCACVL1_1.0:contig15392:7983:8069:1 gene:CCACVL1_28995 transcript:OMO52931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALGSHQNAHKRERAAARKNVGGSSFDFH >OMP03720 pep supercontig:CCACVL1_1.0:contig05997:995:1471:1 gene:CCACVL1_02288 transcript:OMP03720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSTRIVTTANQNSKPNFVKKRSTRSSKHKPKTVVLKLKYRENFLCNVSTRSDELPTSKSPIKTQPQLQPSIVNRLEPKKMNDIVVPVVEKKQVPKKKKKRYITLLGRKEIEQDISEIAESLGRKRARRSKKKQPKEIKRTLALLFPGSQYLKSID >OMP03721 pep supercontig:CCACVL1_1.0:contig05997:1916:15169:-1 gene:CCACVL1_02289 transcript:OMP03721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKAFEFVLAPPKFQIPKFPKFKSVRFLLNLSELTIAFLVVTSDEVMGKEHPGRVRGFGLGPTQTELSGLSSRYYQALDAENNEEVVKLREQLEATTNKVVVLEEKADKVSELEVQVASLLKGSGCAGGLGAVLRNGAGEVVCAGVMKHHYVLDVLTAEVRAIFFGLQMAINQGASYV >OMP03722 pep supercontig:CCACVL1_1.0:contig05997:28366:29504:-1 gene:CCACVL1_02290 transcript:OMP03722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESETRPVPRRESPWGLPEGDHRQPKAHRCNDRAEDVIQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPYTYLQLDPPKREVKLE >OMP03723 pep supercontig:CCACVL1_1.0:contig05997:44183:45818:1 gene:CCACVL1_02291 transcript:OMP03723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTSSTNRRLFTTTQHKPLYRFLWNKTQNPKFLKQTEPLENDRGIDTINGKIGYPVLL >OMP03724 pep supercontig:CCACVL1_1.0:contig05997:55446:67117:-1 gene:CCACVL1_02292 transcript:OMP03724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVSGLVKINVDAVFLPSKNEAGLGAVIRDDMARFCYVQQRVLILSQISLFAERNLIRLLIALERLGVPGTMSSLSRELVFLFLQFLDEENFKETVHKLVNGMNLSVTYADNRYSMKIFFEIRKQKYLEALDRQNEQLSKYGDTKSARNIMLHQLCKNPRLNPDIKTLFTDHSCSPTTNGAHSPPPNLTNNPLVGPIPKAGAFPPIGAHGPFQPVVSPSSSAIAGWMSSGNPSLPHAAAVAAAPPGLVQPSSVSFSGIAHTPNVYSQDDLPKTVLRALNQGTNVMSMDFHPQQQTILLGSRERLVHKPFKVWDISSASMPLQTTLLNDAVISVNRCVWAPDGLMLGVALSKHIVQIYHYSPNGEIDAHVGGVHDIAFAHPNKQLCIVTCDDDKTIKFIFSTAIDGKIKAWLYDCLGSRVDYDAPGLWCTTMAYSTDGTRLFSCGTSKDAVDADGGLPASPRLRFNKEGLLLAVTTSDNGIKILGNSDGSRLIRMLESRGIDKSQGPSEPVNSKPLIVNALGPMGNAAIAPALEHPDRVPHVVSIDNLGTMDSSRLVDVKPRISEETDKIEGWRIPDIIDPSHLKALQLPDAITSGKVVRLLYTNSGLALLALAFNAVHKLWKWQRSERNPSGKPPSGTHMTNDISDTKPAEESAAYIASSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRVDEVKTTLKGHQNRITGLAFSQTLNSLVSSGVGAQLCVWSIDGWEKKKLRFIQAPAGRQSPLAGETKVQFHNDQTHLLVVHESQIAIYDSKLECLRSWAPKDSLSAPISSGIYSCDGSLIYAGFCDGAVGVLDSDNLRLRCRIAASSYIHSFFVSNSAFAVVIAAHPSEPNQIALGMSDGTVHVVEPYDVDLKWGTTPSQENGSLPSSSSNLSLSGQPTKLPSR >OMO82941 pep supercontig:CCACVL1_1.0:contig09958:11610:13984:-1 gene:CCACVL1_11654 transcript:OMO82941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial 28S ribosomal protein MLRSIVNQATLSKPGLEPIVPQLTHLQSKLYSSKNPKAMLTKSKKADAKSKSKFGDSAAAGEDIYSGGADDYEASRARLLAEDDNDPSLDVGPNGRPLFTSTPSLSQLTHKDTCSYMKFSEKGLKEVLPEGLPMGMVAEFKESMRTSLLVRQSFLDLRDNFRRIVDPPMWTSNTKAPKTRKQIVLDGPVSCGKSITLAMLVHWARDEGWLVLYAPRGREWTHGGFFYKNPETGLWDTPLQAENVLKDFLKYNESRLQQLPCQIFDPIALGEGAGIGRMKDVDSMALPEGSTLYDLIQMGIKYSNAAVGVVVRLRKELSLVKDVPVLFAIDQYNNWFTFSEYEEPVTVRSCRQVHARELAMVNTFRSMMHDDMMVGAFSHSTAVGKLRKDLPDVPVDARVNLPRYTLDEAATVCHYYLRQRLIQKEAFTEENWKKVYYVSNGNGSEIRWLVPLMR >OMO82946 pep supercontig:CCACVL1_1.0:contig09958:42643:45178:1 gene:CCACVL1_11659 transcript:OMO82946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MIGILSFFLPIALNTTVAGIIASKHDMQPNLQKSIYFIAAFLSLNSFHVVVCLLADLKLLNSELGRLATSSSLISGVLSWTWTIITTTIMQRKDILWKFMSLALLIFIIVYIFRPVMLWMVRQTTEGRPVKEGHVWGVFIMILSSAFCSEIVGQHMLFGPMVLGMATPEGPPLGSALVSKLDSYVSYILLPSYFLIACSGINIRTAESNTVTVILTLCFFNFLAKLTATVLPSIYCQMPRLDALTLGLIMSAQGITDVLILQQGMSLQLIDSESFTIMALAIVCLTGIITPVAKFLYTPSRRFMMSNKRRTIQHSHPDTELRMLSGIYCQYQTPSIINLLELSNPTPRSPICFYVVHLQELAGRSTPLLVAHRPGRTNTHRSHGSQHMINAFRLYEENNEGSVVINLFTSISPYATMHEEVCNLAFDKRVSMVIVPFHKQWSESTQMEELPTSIRQVNKNILREIPCSVGILVDRGTLSGTSSLSSKTLYNIGMIFVEGPDDREALSYVMRMGEHPNVSVTLIRLVDSNNKSNKENSSASIFLDEDDRIVNDFKIAHIGKKYHTYKEELVSDSVETVGVIRSMENTYDLIVVGRRHDNESPLFMGLTEWNEFPELGFVGDMLASSDSRCQVSVLVVQQQTLSYAKNDEKLKEFEESSVFVDIPVPRKSNKIFPAVFNN >OMO82943 pep supercontig:CCACVL1_1.0:contig09958:23155:30938:1 gene:CCACVL1_11656 transcript:OMO82943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTADIPPNQTIYIKNLNEKIKKEELKRSLYALFSQYGRILDVVALKTPKLRGQAWVVFTELTAASNAFRQMQNFPFYDKPMRIQYAKSKSDCIAKDDGSYVPREKKKKQEEKAEKKRRAEEAQQYAMANGPGSQSNGGPKVPFHGNPNAQEAAPNSILFIQNLPHETTSVMLQLLFEQYPGFREVRMVDAKPGIAFVEYEDEDQSSTAMQHLQGFKITPQYPMAITFAKKGAPMNSQNKKKMQSLFSDSSSNPFDILTEEIVLKILDHLENDDASARKSFSLTCKTFYFIESRHRKILRPLRTELLPRVLHRYPFVSHLDLSLCPRVDDNTLNVISSTLKATLSSINLSRSRFFTNVGLSSLFVNCSSLVEVDLSNGTQLTDLAASAIAEAKNLERLRLARCKLITDMGIGCIAVGCRNLRLLCLKWCLRVGDLGVELIALKCKEIRSLDLSYLPITEKCLKSVLQLQYLEDLILEGCHGIDDDGLSTLEQSSKSLKMLNLSNCQNVTCVGLSSLTNGAEQLEQLILAYGSVVTADIAKCLHNFSKLQSIKLDGCLVTLSGIKAMASLNTSVKELSFSKCLGVTDEGLSLLVQSHKQLKKLDITCCRKITYTSIDSITNSCTSLTSLRMESCSLVPKEAFVLIGPRCPYLEDLDATDNEIDDKGLKSISRCSKLSILKLGICSNISDEGLAYVGSCCSMLKELDLYRSMAISDAGITAVADGCPALEMINIAYNDKVTDKSLTSLSKCSMLKELEIRGCPGVSSIGLSAIAMGCKQLTVLDIKKCFNIDDNGMLPLAQFSRNLKQINLSYCSVTDIGLVALASINSLQNMTILHLAGLTPNGLAAALLACRGLMKLKLHASFKPLLPRSFLEYMEAHGCVFHWRDKAFQKEMDPKGWNLHLGRSTSTELP >OMO82942 pep supercontig:CCACVL1_1.0:contig09958:16359:17284:1 gene:CCACVL1_11655 transcript:OMO82942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-induced protein, Wun1 MDQLANDIEARLEVQEEIQYSEERVVRALYGALNSRDVATVHRLLAADLEWWFHGPPSHQHLMRLLTGSTERFLFVPLTVSAIGSLVIVEGFNKDCNVCWVHAWTVTNGIITQVREYFNTSLTVARLSNSDEGSPSPTVLVNCQSVWQSKLCDSKSVPGLVLAL >OMO82940 pep supercontig:CCACVL1_1.0:contig09958:436:10588:1 gene:CCACVL1_11653 transcript:OMO82940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGKQKGEGGRSKARPSSSSLAASLLPSVSAAATVGFGGYVGGSRFDSSLSSEDSSPFLDIDSEVAQHLKRLARKDPTTKLKALATLSTLLKQKSGKEIVPMIPQWAFEYKKLLLDYNREVRRATHETMTNIVTAVGRELAPHLKSLMGPWWFSQFDPSSEVSQAAKRSLQAAFPAQERRLDAIILCTTEIFMYLEENLKLTPQNLSDKAIALDELQEMHQQVISSSLLALATLLDVLVSVQIERPGFESVSAEPKHASKARVTAISFSEKLFSTHKCFLEFLKSESPAIRSATYSVLRSFIKNIPQAFDEKNMKTLATAVLGAFQEKDPSCHSSMWEAILLFSNRFPDSWTTLDVQKSVLKRFWSFIRNGCFGSQQVSYPALVLFLDGIPSKALSGDKFFLDFFRNLWAGRNPVHSSNVDRLAFFQAFRECFLWGLNNASRFCDSVDSIHHFRITLIDKILVELLWQDYLSSVSSKDQDSDQPLHGKTMETHYIKYPTGYLQELGKCIVDILSGLYLLERDLLSSFCVTFQETCLGLLQENVVAEQPTANIEPIINLLLLVDRHAKQKGESWPLPHLVGPMLAKSFPLVRSLDSPGGVRLLSISVSIFGACKVLKVLFTDNDGLSSGPSHEKDGELKQECFLQVYKERFVPWCLHGHNFGTSARLDLLLALLDDECFSEQWHAIITYAIDLVKSKVGLGSMDSNHLAVLAMLLEKARNELRRRKREEDSFNQLGSVPDHWHHELLESTVVSIALSLPPFGASDAQLVCSVLGSAAEGNLDSFVSRKSVILIFKEVLRKLVSFILDSSFNSVKLAGALFTSVENCLGLERRNSPNVIDMARFALEILEGSFFCLRELDEESDLVSSISAAVFIIDWEYRMTLAVDDPLDDESRKNIKLRLDICELAHNYQSDIRNLWKSFSGDVRKGIRSILACSIKSCIKEDEIETNKIVSLCYLMMIDVLECLCQDKYEEQSLLDHLLSEGDMWPCWITPDFGSLKVPARSDAEEVYESMQASGNYKFASLVDKLILKLGFEKVIAGDDIEIPPFTAKDKTNNEVIARSWLAAEMLCTWKWPGGSAATSFFPLLISFAKSRNYSSYERFLNSIFNILLDGALVHGENGAQSSLSAWPTLVEDMEDIKQPFLRALVSFLFTLLKENIWGTEKAIILCQLLVNKLLIGEVVNTNCLRILPPILSVLVPALYQRGLKSGGCTNTDGMPDTLDENLMQNTIKSWLQRVLSFPPLVTWKTGQEWFNLVFSCYPIRAVGGSEVMKLDRNIDHEERILLLDLFRKQRHDNSRSIAANQLPVVQMLLSKLMVISVGCCWKEFNEEDWEFLFSHIRGWIESAVVMMEEIAENVNDAITENSSSNNGDLIKKLEQIVLVSDLSLMNVTKNYLFSFSFFSGLLEVQLAEDTDDVNPLRTEKWDPIKNQILESILRLFFSTGIAEAVAGSYSHEAASIVSASRLHHQSFWELIASSVIKSPAHSRDEAVKSVELWGLSKGPICSLYGILFTSRPIPSLQLAAYAVLSMEPVSKLAVFNEGSARHLDVDSTSHQESGHLDLSAEENIHLTKELSHMIEKLPYDVLDMDLAAEQRVHLFLAWSLLLSHLSSLPSLSPPRERLVQYIQNYANPLILDCLFQHLPSDLCLMHILKKKDGELPSVLSEAATAATRSITSGSLFFSVESLWPIDTLKMASFAGAIYGLMLRLLPAYVRGWFSDLRDRSTSSLIESFTRAWCSPPLVANELSLIKTANFADENFSVSVSKSANEVVATYTKDETGMDLIIRLPASYPLRPVDVDCMRSLGISEVKQRKWLMSMMMFVRNQNGALAEAIRIWKRNFDKEFEGVEECPICYSVIHTANHSLPRLACKTCKHKFHAACLYKWFSTSHKSSCPLCQSPF >OMO82945 pep supercontig:CCACVL1_1.0:contig09958:39580:41034:1 gene:CCACVL1_11658 transcript:OMO82945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase FkbM MDPFTPKPSLLKNILVRLLSFGVIIFAVRFAYFVAIRGQSCVAGDDFCLFNVRHVSSSASVKSQKYVDYYSSVFQDLIAEGFLSPNSKCLCIDTVTGDEVQALKRIGVSESIGISKKESPPLVLRGQAWNQPFKGNTFDFEFSSGLERSGLPAELLGSEICRTLKPGGFLVAHVMAKDAYSFQSFLDLFNCLTLIRSREIDDTDSSSSTIREIVMKKESEERQNSPLKAISGNKCSVLELKREMIRNAESLIEQEPLKPWITLKRNLRNIKYLTSMVDISFKRKYVYIDVGARSYGSSIGSWFKKQYPKQNKTFEIYAIEADKAFHEEYKSKMKKNVKLLPYAAWIRNETLFFEITRDPRKKKAAEKGGGMGRIHPVQSSASYLKDVDKIQGFDLAEWVKNVVSERDFVVMKMDVEGTEFHLIPRLIETGAICLIDEVFLECHYNRWQRCCPGQRSPEHQKTYKQCLDLFQSLRARGVLVHQWW >OMO82944 pep supercontig:CCACVL1_1.0:contig09958:34610:37418:1 gene:CCACVL1_11657 transcript:OMO82944 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MASSCSSLDTSANSHPQNAFSFSTHPFMTSSFSDLLASGTVDDDNPSSAVNGGFSSRRGGGLSLSDRIAERTGSGVPKFKSLPPPSLPLSPPPVSPSSYFAIPPGLSPAELLDSPVLLNASNILPSPTTGTFPAQAFNWKPNSGNNQQNVKQEDKNYSDFSFQTQPRPDSSSSAMFQSSTNSIQTAQQQAWSFQESAAKQDMVKPEYNQMQSFSPEIANIQSNSQNNSGFQSDYSTNYPSQTIRESRRSDDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRRSSSNSSSALAIQTSSAASTEIPDQSFATHGSGQMDSAATPENSSVSVGDDELEQGSQRSRSGGDEFDEEEPEAKRWKSEGENEGISAAGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSGSHSVNRPLPDQNNNINGNNNAAMAIRPSAINNHPNNSMTNPLRSLRQPTGEGQTPFTLEMLQGNGNFGFSGFGNSMGSFMNQPQQHVNNMFSRTKEEPRDEVFIESLLC >OMO75797 pep supercontig:CCACVL1_1.0:contig10977:3254:10812:1 gene:CCACVL1_16034 transcript:OMO75797 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MPLRLLLQAVSGQVLRLMKFLLTKISALTLQAGDSPQNCSELKPSRAYHGLEEHIGANEDHGDVIDASLEEHGDGSTSDRPRDLEMPAQHRPCDIEMPGHHRPRDMAMSGHTRSHDSAKPGHNRPHDPLSMPLGPITRARAKRSREERSALGVALIRPIEASIMEGEQEQTNVAAMLQALMQRLDTMDTKFDALADDVQQVKDGQNLQAQQPHQRANAARNNEEVHPPPPRQIARIDPMERLRQQELGGGSPSDYLEWESKLDMYFDYYPHAEPKKVQIATLEFTENALNWWNQLVQTRRRNLERPINTWLGLKSYMRKRFVPSFYTNGLYQELQSLRQGTRSVDEYYSEMMLLMSRAEIDEAPQATIARFMAGLNREIHDIVEMQQHYEVEELLQHALKAESQVKRNKKSFTSSSSSSWKTPIKKDDKSTKEKEFAQKVMEMMKGDAHDDSDDGVGFALKSLVARRTLSAYVKNDVNNQRENLFHTRMYKGDVLCDILPMQACHVLLRRPWQYDNKVQHDGETNQYTLMCGKKPFTFIPLSPQEALKDQLKLKEEFTKMESDYRAKEKNKHAKLDVNCDLVEKHASSKKIVKESYRTNPEETKELEKQVGELLQKGFVRESLSPCVVSVFLVPKKDGTWRMCVDCRAINNITVKYRHPIPRLDAMLDELHVMPFGLTNAPSTFMRLMNHVLRAFIGKFVVVYFDDILVYSRNLDEHVRHLRCVLDVLRVEKLYANLKKCTFCTNKLVFLGFVVSSQGIEVDEEKIKAIKEWPTPTNVGLVRSFHGLAGFYRRFVKDFSTLAAPITTVMKKNAPFKWGDEQQEAFETLKDKLTNAPLLVLPNFNNTFEIEYDASGVGIEAVLMQGGKPVAYFSEKLNGAALN >OMO75796 pep supercontig:CCACVL1_1.0:contig10977:393:491:1 gene:CCACVL1_16033 transcript:OMO75796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDEGLKTLECLKGRLFAEYKLQSLQKTMQN >OMO52066 pep supercontig:CCACVL1_1.0:contig15631:5136:8549:-1 gene:CCACVL1_29377 transcript:OMO52066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase, core MNKEQSGVLRAWEATIRKTQAAKKRANSIFGTMSLTNAGDEEGEDDNDKSVSISGEAYFAEKVLANGDYYTGQWYDNLPNGQGKYLWTDGCMYLGEWHRGKTMGRGRFSWPSGAAYEGEFKSGYMDGTGAYTGSNGDTYKGKWVMNLKHGHGIKNYSNGDCYDGEWRRGLQEGQGKYQWKNGDHYIGELKNGVICGKGTFVWGNGNKYDGYWDDGMPKGNGTYQWADGSFYVGNWSKDPDEQNGTYYPSESSLAADLEWDPKDVYQELGNSKICPGERVSIMPSQKKLAIWYSTKGGDKPRRMSVDGRVSVGIERPFDKMNMWESDGDNNELGGDQVRRDLDCELLGVNPHDDTNPKFNLALPLKAPKPGKRQGETISKGHKNYELMLNLQLGIRHSVGRPAPATSLDLKASAFDPKEKIWTRFPPEGSKYTPPHQSSEFKWKDYCPVVFRTLRKLFKVDPADYMISICGNDALRELSSPGKSGSFFYLTDDDRYMIKTMKKNEVKVLLRMLSAYYNHVRSFENTLVIKYYGLHCVKLTGPTQKKVRFIIMGNLLRSEYTIHRRFDLKGSSLGRITEKPESEMDDTTILKDLDLNFVFKLQKAWFQEFCRQIDKDCEFLEQERTMDYSLLVGLHFRDISTHGELIPCGRRSGNSDSTESCPRLNRSDTDQLLLDPQRWASIRLGGNMPAQVERTIRKPELELQLVGEPTGECYEVVMFFGIIDILQDYDITKKLEHAYKSIHYDPTSISAVDPKQYSKRFRDFIFKVFAEDSSS >OMP06714 pep supercontig:CCACVL1_1.0:contig04831:388:752:1 gene:CCACVL1_01458 transcript:OMP06714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQEHENKKPNEPHKSIPGVCYWSWLLNVCCFIDVYHFVPSCCNLA >OMO89133 pep supercontig:CCACVL1_1.0:contig08842:25587:37213:-1 gene:CCACVL1_08000 transcript:OMO89133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shoot gravitropism MADSAVNRMVVEETSPDLLKNTPYNIARLEDVIEHCKGRQKYLAQTRSPSDGGDVRWYFCKVPLAENELAASIPRTEIVGKGDYFRFGMRDSLAIEASFLQREEELLSSWWKEYAECSEGPRGQSSSCKKLDMGQDSTSSINSPAAQLYAVEEERVGVPVKGGLYEVDLVQRHCFPVYWNGENRRVLRGHWFARKGGLDWLPLREDVAEQLEIAYRSQVWHRRKFQPSGLFAARVDLQGSTPGLHALFTGEDDTWEAWLNVDASGFSTIISFGGNGIKLRRGYSSSQFAKPTQDELRQRKEEEMDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVGNFRHITASLAEQHLTSHQRGAQRVLYIPCQWRKGLKLSGEAAVEKITLDGVRGLRVMLSATAHDVLYYMSPIYCQSIIDSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLTCPFPMEGIYEKQAKDLGISPNQFSKCSSLANIEEQNSTIMTKDPLNCPDEDMTVSRPIPLVIEEGNIEDKSLLSEVNLLSDDSTQKSCEEKLHQLHNDSSETLQLEEGGVGKASDVNVVVSAGLPEKASEDESEEARDEDEAIKMLREEINSLKAKIAELESRNSEDTGENKEMLMRKPQTLQKFDDTAKSFTPFIRYTKLEFKVDTFFAVGSPLGVFLALRNIRIGIGKGQDYWDEENVSEEMPACRQMFNIFHPFDPVAYRVEPLVCKEYITKRPVIIPYHKGGRRLHIGFQEFTEDLAARSQAVMDHFSSVRIKVLSVCQSRNTDNQEGVEEVEEKEERSYGSLMIERLTGSEAGRIDHVLQDKTFEHPYLQAIGSHTNYWRDCDTALFILKHLYRDIPEDPNSYVESNGDRSKDESVSTGWSDQREITDEELPLTFSDRNMVRNFSRKARSFMKKS >OMO89135 pep supercontig:CCACVL1_1.0:contig08842:55534:57757:1 gene:CCACVL1_08002 transcript:OMO89135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTSSNSEPPTKRLLFDRRYGWVYDEWKHPSEEALAGGRGMFCIVPLTKAFLKTASNSINLAANSVSKVLEKRDLLSPQVVQANLRDQLKRYKF >OMO89132 pep supercontig:CCACVL1_1.0:contig08842:24245:24541:1 gene:CCACVL1_07999 transcript:OMO89132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 LYR protein MGASKGQRLSGMQKQVLSLYRGFLRAARSKPTEDRRQIESVVSAEFRRNSKQIDRKNFLYIEYLLRRGKKQLDQLKNPDTMGISSLNVSPSQNNNAKT >OMO89130 pep supercontig:CCACVL1_1.0:contig08842:21475:22267:1 gene:CCACVL1_07997 transcript:OMO89130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSPQDAMKAYLQTLHLNKVVSYGDNRLETRKSSVIEPKCMELISALAAGKRAKLIVEITTQGITPLTVALGVAAKQSGGQLICIVVGNGKGKGKRNYYYNYSIKAKALEHAIKLVVAGNPCEFLMQLKKIDFAVIDCKFEDYLKLFTNLDVNLTSSTVIVRNVDQYQRNKGGTVSYGQAVKRSKGIGSVTLPIGDGIELTRIGSSSTFSRGRRRHRRFHVTFEN >OMO89137 pep supercontig:CCACVL1_1.0:contig08842:64841:66853:-1 gene:CCACVL1_08004 transcript:OMO89137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRNIVSFNSMISAYSKCVDVWGGWDVFSVMRGYGFLPTSFSLAGLLSCQALDICGGGQLQSLAIKNGLFYADAFVGTALLGLYGRLGRVSEAVQAFEDMPKKSLVTWNSMISLYAYHGLVKDCMVSFRELLRLEASLSDCSFVGVLSGLAGELDLEFGQQIHGLVIKSGFEHEVMVANSLVNMYVNCVHICMAEKFFEGMHITDVVSWNTFIGALEKDGSPLKALDFFFQMSRDGVKPNQTTLVIIIASCSSLQIPILGEYIHAKAIKKGFDSDVFIGSALVDFYAKCDKLVESHQCFDGIYEKNVVSWNALIMGYASKSSTTCASLLLDMMKLGYRPNEFTFSAILKSSDAVELQQLHSLIIRMGYEHNLHVMSSLMTSFAKNGLLPDALAFVKDCDRPLAIVPSNIAAGIYNRVGQYNETLKLLSVLEEPDIVTWNILIAACARNGDYKEVFELLRRMLMTRIYPDNYTFVGLLSVCSTVSNLDLGSSIHGLIIKTDINLCDTFVCNVLIDMYGKCGCIKSSVKIFDEMTDRNLITWTSLISALGVNGYSHEALERFRQMEFLGFKPDSVAFISIFTVCKHAGLVKEGMEFFRRMKSDYGIEPKMDHYHCMVDLLARNGKLKEAEQLIASMAFPPDALIWRIFLEGSKRSRTVQDLSNIHRVVESV >OMO89138 pep supercontig:CCACVL1_1.0:contig08842:70365:72080:1 gene:CCACVL1_08005 transcript:OMO89138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFKAGYMDPTVENPPARLTKNSGGVCMMNNSWRDKQNPSFINFISSFLTSNSFRLNFVPISPDFIFNCGGLSVAFIFVTQWDCCNAESIFTRAKKLKGQFAHLYVVVSLPTREQNDSFVASYFKYEMQLGRPTFVPVQDIEMGFEKIVKIAHSCGVCKQQDAKSKLKAERNQSVQGMENFLKVLTSIPGIDNHDANSLNQAIGSIEGIAKASKEYILENTDLSAEKTETIVRFFRDSKFYLCPKIN >OMO89136 pep supercontig:CCACVL1_1.0:contig08842:58552:64217:-1 gene:CCACVL1_08003 transcript:OMO89136 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family MGIITRDEEGMLAADLDKQIDGDGPFVFFRPNKRFHFVKPCSSLRQSKKQQQQSLQKTPPNAPPQSLRWFLGPKGDSDADANVGGNGDAEEEGIQGDTALKGTLLAGVLLVGVVGGFATVGFIYKDQINAFLNQFSTFIEGYGPAGYALFIAVYAGLEILAIPAIPLTMSAGLLFGSVIGTIIVSISGTVAASVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFRVVTLLRLSPLLPFSLGNYLYGLTSVKFVPYVLGSWLGMLPGSWAYVSAGAFGRAIIQDESEFGFGGNGLLTLGLGLLVTAVAAAYVTQLAKDAVKDIE >OMO89134 pep supercontig:CCACVL1_1.0:contig08842:39619:43435:-1 gene:CCACVL1_08001 transcript:OMO89134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C54 MKGFHERNVALKCSSSSCKSAIESEPEPEPEPSPSDSKLSKSSLWSNFFASAFSIFDTYSDSSSSVAAAGSGKKALHSSRNYGWTSAVKRVVSGGSMRRIHERVLGPSKVGLTSSTSDIWLLGVCYKISPETSSSSGDVGAGNGLAAFKQDFSSRILMTYRKGFDAIEDTKITSDLGWGCMLRSSQMLVAQALLFHRLGRSWRKPLQKPFDQAYIEILHQFGDSKDTAFSIHNLLQAGKIYGLAAGSWVGPYAMCRSWESLARCKREENDLEHQSLPMAVYVVSGDEDGERGGAPVVCIEDASRHCFEFSRGQADWTPILLLVPLVLGLYKVNPRYIPSLQATFMFPQCLGILGGKPGASTYIVGVQEENAFYLDPHEVQPVANLSLDNLEADTSSYHCDIIRHIPLESIDPSLAIGFFCRDKDDFDDFCLRASKLADGSNGAPLFTVAKTHCFVKPVRHGDTLADSGEVREDDSFGVVPMGDAVGNPNEDDWQLL >OMO89131 pep supercontig:CCACVL1_1.0:contig08842:23865:23942:-1 gene:CCACVL1_07998 transcript:OMO89131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEPVRKSHRQCRNMMHNAEEQNN >OMP12177 pep supercontig:CCACVL1_1.0:contig00325:27:170:-1 gene:CCACVL1_00089 transcript:OMP12177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTPSSSLKIKEFTQIWMENDRESYPVELVDMLLEVELAKEELITLHT >OMO95893 pep supercontig:CCACVL1_1.0:contig07584:1249:7896:-1 gene:CCACVL1_05188 transcript:OMO95893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEVEEDEERHRQRKLEEALEVKSLRRIVSAYLNYPEAAEEDVRRYERSYKKLPPAHKALLPHYPSKFQSLRRCISINSFFIFNMLQAFEPPLDMSQDEDVCEDQHLDNFQHEHCHSEERNACSHQSPSTSGRMSCCNHSQACSPERTNITNNLTAEEVESEHQHGPISESCGREAEDDEDLAACCGNDVNDSSGNGFPSPHDWLDPSLQLNVPLVDVDKVRCIVRNIVRDWAAEGKRERDQCYKPILEELDALFPNRSKESPPACLVPGAGLGRLALEISCLGFISQGNEFSYYMMICSSFILNHTEAIGQWTIHPWIHSNCNSRSENDQLRPVSIPDIHPASAGITEGFSMCGGDFVEVYNDSSQIGFWDAVVTCFFIDTAHNIIEYIEIISKILKEGGVWINLGPLLYHFADMYGQEDEMSVELSLEDVKKVAFHYGFQLEKEQTIETTYTTNPRSMMQNHYHAAFWTMRKKKASTT >OMO95894 pep supercontig:CCACVL1_1.0:contig07584:10398:10875:1 gene:CCACVL1_05189 transcript:OMO95894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFMAFLIGAVTFPSGRGYFYVACLLSTAAFNFLWLRFAVSSPMSLSVGKFVEIYLSGIGIYVVFAMFYTQITIHMMLASLELEK >OMO95895 pep supercontig:CCACVL1_1.0:contig07584:11949:27134:-1 gene:CCACVL1_05190 transcript:OMO95895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MRGTNSHSYISSTLHSIFLYGVKLQILGLCLNASVTSSIRSLSHHPMTLVRPLASIFMDNWSTTYGHRKLLFPVSRSYKMANDVHQVLSSMETNRRSADNFLSTVISPIYQILSEEAEKGKQGRASHSLWSNYDDLNECFWSSKCSSELHKHKEGIPLPPADKILKQFIVGNRCSIGRRKPKTNFVEVRTFLQIYRSFDRMWIFFIMALQAMIIVAWVHRGSTSVNNEDVIRRVLSIFITYAILSFLRAILDIILSIRAWRITEFSQILHFLLKLVVASIWVVVLLVGYSTSMKNPTGLKFLDHLTGDAYNQSLYNYLVVLYLIPDVPSIILFLLPPLREKLELSNWTIINIVMWWSQPKAYVGRGMHVGIFSLLKYAIFWILVLSVKLAFSYFVEILPLVRPTKAIMKIDIEDYQLHKLVPNAKYNMGVIIAIWAPIVLVYFMDVQIWYTIFSTILGGVLGAFRHLGEIRTIGMLQSRFESMPSAFDRCFVSSSGHSSKIKNWRRSKEPKNMAKFSWMWNEFIQSMRMEDLISNRDRDLLLLPVPLSDESMVQWPLFLLASKIPEALNTAKEYKGKDDAELKRMIGSDKYVRSAILDCYYTLGNLIYCLLEDEDDKKIVEEIYDAVDESLKDRTFLQNFSLRGMPSLVVKLEKLLNILMEDDEDDKVFKTRITIALQDMMETIMLDVMINDKSTVGGDQNRNQVYQKYNRRQRFTKININDIKGKFWSEKVHRLRLLFTVKESGTNVPMNSEARRRLTFFANSLFMNMRSAPKVTNMRSFSVLTPHYKEDVIYSEQELNKENEDGVSILFYLKTIYPDEWTNFLERMNFKPNGEDIRKWVSYRGQTLSRSGVVGDVRGMMYYKKALELQCSLEFTDAISSEDDVIRKQVLPDLKFTYVVSCQIYGALKSSNDPRQKDILNLMLMYPSLRIAYIDEVDEPVKGNAEGKTHKVYYSVLLKGDTFNGREREIYRIKLPGPPTKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAYKMRNVLEEFPHSHGAQKPTILGLREHVFTGSVSSLAWFMSNQETSFVTIGQRFLASPLRVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFAGFNSTLRGGYVTHHDYIQVGKGRDVGMNQISLFEAKVANGNGEQTLSRDVHRLGCRFDFFRMLSFYCTTVGFYFNSLVTVLIVFLFLYGRLYMVISGLEREILENPIIKQDKTLEAALVTQSFIQMGMLMVLPMLMEIGLEKGFLTALGDFIMMQLQLASLFFTFQLGTKAHYFGRTILHGGSKYRATGRGFVVVHAKFADNYRLYSRSHFVKALELALLLIIYEAYGKSYRSSSLYLFITFSMWFLVGSWLFAPFIFNPSGFEWQKTVDDWTDWKRWMGIHGGVGIQPEKSWESWWDEEQEHLRYTSLRGRVLEIVLALRFFFYQYGIVYHLDIAHHRRSFLVYGLSWCVVLVLLIVPKRPQRVRFICIHPCLYAHWMGLYSDWASMQAFATEPNLGTNKGAGQSGFGLPSVGITVSAATNPPPISSIISSKITHVRLPPDYDPSLVQLFRFTKSLFLSIPNKSLPAMARNRSFAAEWVHTHVVVPFPSSNVRIISVGEDVLDCFLIPAIWNVYVVLRELGISNIQVSTTFSFQSTVTTAFPPSSAQFQQPAGDLLFKPLHQLLGQINSSFLITLYPYHMFRLNSGVTIGFALFQNCPLNYRDDLVGGVRYHNLFDMMVDSIINAMVGAGHGDIPLIVAATGWPNGGNQKWELEAKLDYAQIYVNGLVKHLKSGVGTPLREEGVAEVYVEWMDFSIGKPGWGTILYSWGTTLYSWGTDLTKYSCEFARDWLGIKNLKSGYGVLKILLSLTGVWKSLCGFGAISFVAMKFLKWL >OMP06351 pep supercontig:CCACVL1_1.0:contig04963:3706:3801:-1 gene:CCACVL1_01620 transcript:OMP06351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVQAAISLEDLKQPSAKKTFDNTISERSHRY >OMP06350 pep supercontig:CCACVL1_1.0:contig04963:2276:2533:1 gene:CCACVL1_01619 transcript:OMP06350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASLEQSTDGSLTKSEWMTCKTKQSEVQREATKHRRRQENLVTEAMQKLKQNQDIKTRGYMAVINEEISACKSMHLMATIKHSSKL >OMO81790 pep supercontig:CCACVL1_1.0:contig10100:18:1704:1 gene:CCACVL1_12209 transcript:OMO81790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVAPHEKENISLPSGAKAIRDLHICPSDGSLALLASLGKKLLVLSTESNHVVLAYDLPAAAWSCSWDLNNSHHIYAGLQNGSVLVFDIRQTARPMESVNGLTSNPVHSIHTLSNSTISSGATAILSASSVGICQWSFGGSEERPFLVSETGNQGVCISLSYCPSSDDIVASYRPRIDFSNEMASSQPLATPVIGQGIQGSHVHLQRVGRNCYKKLGVTYANVNDVRLPRSTIMNLKNHGCLFASGDELTGDLVLQELPSFSTVQRLKTPKHPIHDVKYAHGFDGGLLGCLSEDIFQLFSNHDL >OMO81791 pep supercontig:CCACVL1_1.0:contig10100:3938:5531:-1 gene:CCACVL1_12210 transcript:OMO81791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 16 MALFLTLLLILFFPCSSNADWPPSPGYWPSSKFRPISFYKGFKNLWGPSHQSVDQNALTIWLDRTSGSGFKSVRPFRSGYFGASIKVQPGYTAGVITAFYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGKIIGREMKFHLWFDPTQDFHHYAILWHPREIIFLVDDIPIRRYPRKSAATFPLRPMWVYGSIWDASSWATEDGKYKADYNYQPFVAKYTNFKAGGCSAYSSPRCHPVTASPFRSGGLTRQQYRAMRWVQRYHMVYDYCRDSKRNHALTPECWS >OMP00496 pep supercontig:CCACVL1_1.0:contig06670:458:523:1 gene:CCACVL1_03343 transcript:OMP00496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPERPKITSVLNEPKNFTMD >OMO67522 pep supercontig:CCACVL1_1.0:contig12420:79734:79829:1 gene:CCACVL1_20484 transcript:OMO67522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAYGSSDIKTLGPGSFNRAHPFTDQRKKA >OMO67518 pep supercontig:CCACVL1_1.0:contig12420:47947:55064:-1 gene:CCACVL1_20480 transcript:OMO67518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGRYPVLHDVGGGRQNWKPGVNFSDWSTHQHFYTGDWLYFGFDKNLYSVLEVNKSNQESCNEADFITNITKGGRDVFELKEARPYYFISGRGFCFQGMKLSVNVQNTPPAPPPSPGGSSFPSKFLGHGLSGVRGNRKRRRFLESRPQSLRFRLNSKSIHPSDEQNSETTFLSPRFISSFRVRRRPSIFNCAIEERRSSSRAFRRSLQRKIVAHSSTVNCLKIGTRLSRVPVTGGEDHEVWGIGKHNAILSLSGHTSGIDSVGFDSSEVLVAAGAASGTIKLWDLEEAKNPIASWWTSTPLTSSLHLALSIVDTNLKIWYIRKKGCIHTYKGHTRGVNATRFTPDGRWVVSGGEDSIVKIWDLTAGKLLHDFKDNEGQIQCLDFHPHEFLLATGSSDRTVKFWDLETFELIGSAGPEVLSWEPIRCHDGVDVGWSKLSDFNVHEGKLLGCSYNQSCIGVWVVDISRIEPYAVGNGNRVNGHSEAKSSSSGNLSVLNENTTKATMGRFSVSQNSDPLVKETKSLGSHRKSTQYPSEGQFEHCSKDSSSKFSNASTVAASKRSSVRASSAINFPVFNKSDVIPVNVPINDTRLEQAAESRKEVGMSGRSLEHAAESRREARIPVRSLERAAESRREVGIAGRSLELAVESRREVGIAGRSLEPATESRK >OMO67521 pep supercontig:CCACVL1_1.0:contig12420:77344:78758:-1 gene:CCACVL1_20483 transcript:OMO67521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTELTKNQNSMLGKYIFLLQKPSKSWTTKTANYYEDDSPKTADYPETPTRASGGSKSSSDGVSLLSPEPPKEKTFLLSIGAHQSRRRRRQTLENMTNLRPVRTLNINSRSFKEYLEVGETIMNFESECKLCGSTGNLTLDVSEAEPLTLADSASEIAAPLMVLRCIGCYPTRFVFGTGWRAES >OMO67520 pep supercontig:CCACVL1_1.0:contig12420:66355:67839:-1 gene:CCACVL1_20482 transcript:OMO67520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKQRSSEPEPTADPQPVQVEEQRQQPAYIPVEDPNSKVEEEEDQLEEVEEEVEVEEEVEEEEEEEEEEEEDNEEEEDDDDEETDNRTSDAAVQNGAPKESIQEDESDDEPFEKLLEPFGKDQLITLIRKAVDKHPEFISSVREFADADPTHRKIFVHGLSWDTTAETLTAEFSKYGEIEECKAVTDRVSGKSKGYAFILFKHRSGARRALKQPQKKIGNRTTSCQLASQGPVPAPPPTAPPVSEYTQRKIFVSNVSADLDPDKLLEYFRQYGEIEEGPLGLDKQTGKPKGFALFVYKSVESARRALEEPHKNYEGHVLHCQKAIDGPKPSKGGYGGGGSGGHHQQFQVHHGQHMQPHYHHAKKGKYSSGGSDAGHLMAPSGPAAVGFNPGVAAGFNPGVAAAAPALNPALGQALTALLATQGAGLGLGNLLGGLGGAPVNQGAPAAGYGNQVAGGYGNQMGVQGGYQNPQMGQGGAGRTQPGAGAPYMGH >OMO67516 pep supercontig:CCACVL1_1.0:contig12420:30104:30731:-1 gene:CCACVL1_20478 transcript:OMO67516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MENLKTILASLSLLLIMALLTTEGRQPVLHRVGGGRFTWKPNVNFTEWAIHEQFYVGDWLYFGFDKQLYSVLQVNKTSYYKCIEGNFINNITRGGRDVFNLTEAKPYYFISGRGYCFKGMKVAINVQEYSPPTTNGSLPITITSAGFRVKT >OMO67519 pep supercontig:CCACVL1_1.0:contig12420:55978:63234:-1 gene:CCACVL1_20481 transcript:OMO67519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAENETSDDQKEVKSPWKTPVIDGEKAADAPVMGTQSWPDLAGTGTQQTPDNPQIAADVSAPAPTVEQGTAGQQKSNGPGNTNAPHKHSSTRHQKSGSKRNPNAAPRFPVPLPYYQPPMPPVYHAMVPPPHIAVPGYAYPPVPGHFPGIEPQLVKSGSETTMPGFGPPVQGIDGNRNMQPPPRGDPNAYPANFSNRRPNMQEPGGHLNPGWNPQRPFNPREPIPMQQGMAPRPFGRPPFFAPAPGFMVGPSFPGAVCYVPVAPQGSVRGPHPPCFVPYPINSGTAVFPPELVTLRANIVKQIDYYFSDENLQNDHYLISLMDDQGWVPISTIAEFKRVKRMSTDIHFILDALLSSSTVEVQGDKIRRRDEWSKWITASLKTTLSPQAQTTRNQVVENDTNSGGNGDANEDNFRDTSEQNAKSSLDSESLEHVAHEGNAAEVTHKSNSKHSAVPVLLNGKKPALSGGNSDRNRRLIADSRVNFSDVDHSLGVEPVKFTDHRSESVEISSNVKVQNLADLSNDFGHTFMLDEELELEQKTLKTNSALNRLDDEDDEMVVNDQDVQRLVIVTQNTGTAEGSKAGAKNPKTISSELAAMINDGLYFYEQELKTKRFSRRKNNSIHENKDGYPRSPRGTSGLSNMKTGENASGSSLEDSGAVTSRRKQNKGSSKQQSFHRFFSSNLKNHGTSRNSTAIISESPPSNSVGYFFGSTPPDSHGPRPPSKLSCSPHGTLSSSPPVGSLPKSFPPFQHPSHQLLEENGFKQQKYLKFHKRCLSDRKKLGIGCSEEMNSLYRFWSYFLRDMFVPSMYNEFRKLALEDAAANYNYGIECLFRFYSYGLEKQYRDDLYEDFEQLTLDFYHKGNLYGLEKYWAFHHFRDQKEPLKKHPELDRLLREEYRRLEDFRAKERNSITRGDNH >OMO67513 pep supercontig:CCACVL1_1.0:contig12420:7658:10448:-1 gene:CCACVL1_20475 transcript:OMO67513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MGNSKCCGSRALVLFWCVALCLIPVSLSETKEFVWEMEYMFASPDCNAAEGLVIGINGTFPGPTIRTKAGDRVVVTVINKLHTEGVVIHWHGIKMRGTPWADGTASISQCAINPGETFVYNFTVDRPGTYFYHGHYGMQRSAGLYGSLIVDVADGQKEPFNYEQDFNFLLSDWWHRSVHKQAVFLSGKPFHWIGEPQTLLINGTGQYDCKLKTELTDNTLNHCETSKDGERFCKPLILHVKPNKVYRLRIASTTALASLNLAIEGHKMEVVEADGNYLEPFTVDNLDIHSGESYSVLFRTLGLPSNDYWISIGVIGRNPAHTSPGAIKYGLNDAFDSKNKPPDNYDPNYNISNPPLTDATFGNAVYDFEFNTTIDVILQNANILNKINTSEIHPWHLHGHDFWVLGYGEGKFRDEDETKFNLTNPPLRNTAVLFPNGWTALRFVADNPGVWAFHCHIEPHLHLGMGVIFSEGVDRIDENEIPKEALACGLTATLNLQTAARLNSGAHDFTPNHYLLYAIGFLLYLSFS >OMO67514 pep supercontig:CCACVL1_1.0:contig12420:13234:15603:-1 gene:CCACVL1_20476 transcript:OMO67514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIWLWGFSAILTLIPSVFGNIEGDALYTLRRSLTDPDNVLQSWDPTLVNPCTWFHITCNQDNRVTRVDLGNSNLSGHLVPELGKLEHLQYLELYKNNIQGTIPSELGNLKSLISLDLYNNNISGTIPPSLGKLKSLVFLRLNDNKLTGPIPRELAGISSLKVVDVSSNDLCGTIPTSGPFEHIPLNNFENNPRLEGPELLGLASYDTNCS >OMO67515 pep supercontig:CCACVL1_1.0:contig12420:23179:28170:1 gene:CCACVL1_20477 transcript:OMO67515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MDPLESDGNGAAGDPLPPPPPLPPNVVPIKAESEPAKKKPARVPMARRGLGSKGQKVSVLTNHFKVNVGNVDGHFYHYSVSLSYEDGRPVDGKGVGRKVIDRLHETYSSELDGKDFAYDGEKSLFTVGALPDNKHQFTVVLEDVTSNRNNGNANGHDSPNESDRKRLRRPYQSKTFLVEISFAAKIPMQAIQNALCGQESENSQEALRVLDIILRQNAAKQGCLLVRQSFFHNDPANFVPIGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMIIQPGPVVDFLIANQNARDPFSLDWAKAKRVLKNLRIKVNPSNQEYKITGLSEQICRDQMFSLKQKSKNGDGEAETLEVTVYDYFVNHRNIQLQYSGDLPCINVGKPKRPTYIPIELCELVSLQRYTKALSTFQRAALVEKSRQKPQERMSALSNALRNGNYGADPMLRSCGVSISSNFTQVEGRVLPAPKLKMGNGDELFPRNGRWNFNNKKFMEPTKIERWAVVNFSARCDVRRLVQDLTRCADMKGIRIDAPFDVFEESHQNRRLSPVLRVEKMFEDIQSKLPGAPQFLLCLLPDRKNSDLYGPWKRKNLAEFDIVTQCMAPTPRINDQYLTNLLLKINAKLGGLNSMLAIERTPALPVISKLPSIILGMDVSHGSPGHSDVPSIAAVVSSRQWPLISRYRASVRTQSPKVEMIDSLFKKVSEKEDDGIIRELLLDFYTSSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDETWDPKFVVIVAQKNHHTKFFLQNSPDNVQPGTVIDNKICHPKNNDFYLCAHAGMIGTTRPTHYHVLLDQIGISPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQLGTFMKFEDASETSSSHGGVTAPGAVPVLQLPRLKEKVCNSMFFC >OMO67517 pep supercontig:CCACVL1_1.0:contig12420:37995:46723:-1 gene:CCACVL1_20479 transcript:OMO67517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILLKAKKEIPAWTSSAPPDLDEQKYDQGSKS >OMO53238 pep supercontig:CCACVL1_1.0:contig15264:127:1631:-1 gene:CCACVL1_28783 transcript:OMO53238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVHVHPNFFLIHHVLLIFSLCLVPWYTYALSESEILVKFKSSLSNTNSDEGEGALALGKWGKDINLPPCQGGNVSNWGDAVACEGGSVTGLILENMGLSGTIDVHTLQALPNLRILSFINNKFEGPIPELKKLNRLKNIFLSKNAFSGEIPGDAFSGMIGLKKLHLSNNKFTGPIPSSLATLPKLMDLKLDGNQFSGQIPDFRQKTLAKFDVSNNALEGPIPVGLLSKKNPDFLFSVMMMVRHGRKQQPPLSVEAPPSNLGIKAGLKEEDHSSASTHSRNGKKAEAGTKLCFVRDDRESFDLADLLKASAEILGSGSFGSSYKTALPSGAEMV >OMO53239 pep supercontig:CCACVL1_1.0:contig15264:4654:12018:1 gene:CCACVL1_28784 transcript:OMO53239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSGFHREGSRSVPSKSVTCGGGQHHQHMDSMVVQGKRVAHALTYEDISCEILSKMGERAVARGPSLEENRGVAANRVEGRSGYHGDDSYTVRNGNVRRGRCAPTASHGFSGEESSGETPILPAKEAEDSSASNSSSNNHRSNSNFLGRASTQIIPRIGPSGLSPNLVGGVELGHQVIGATAGSNMGWDKKATRVQQDGTKLNNQTRLGGMEEENNEEVIRVWEIKEDRIGNMQKQMSEAPRLLSKAAGKSSCCIFRVPQSLVEINGKCYQPRIVSIGPFHRGQAHLKMIEEHKWRYLASLLARIQTKGLGLEDLLKAIHPLETKARECYSEAINLNTDDFIEMMVVDGCFILELFRKVGKLVKSEPDDPDPLFSMAWILAFFYRDFLRLENQIPYFVLQCLFDLTRMPGEPEPGKAAESFLMVKFFKHGGVIEMPTITIDDFMSSFLTNCVAYEQCHATCSKHLTTYVTLLDCLINTYKDVDYLLDRNILENYFGTDADVARFINNLGKDIAFDIHLSYLATLFNDVNQYYKNSWHVQWASFKFTYFNTPWSFLSALAALILLLHLGTDLLYHLFRL >OMO53240 pep supercontig:CCACVL1_1.0:contig15264:12719:17747:-1 gene:CCACVL1_28785 transcript:OMO53240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase I, TruA MVLLLRSSSQLFTQVGRLRHLSVKVMETQSENKVYFHYNHNDSCNSARWTARESYRFMYDRPWHDVVNFYSNLVNGRFTLSTLFGTETFIEDDSKTEEVSDEKEERGGRWERVTFKIIVSYNGGTFDGWQKQPGLNTVQEMVERSLGRFVDEKKAQLLKEKSKPLEGCAVVAGRTDKGVSAIRQVCSFYTWRKDVKPCDIEDSVNSMAPGKLRVVSVSEVSRVFHPNFSAKWRRYLYIFPLNGQDFQKESCENEEEEHLSTDGNYNDPRSGSVKNNGRKNGENLIISNNDGLEAANKPTCFSICRVNQLLRQLEGKLLSYKMFARDTKASRNIGPPTECFMYHARAAEARIPCSDHKEGRKVMCVELVANRFLRKMVRVLVATSIREAAAGAEEDALLKLMEATCRRATAPPAPPDGLCLVDVGYTDFDPQNCLIL >OMO53241 pep supercontig:CCACVL1_1.0:contig15264:18133:21526:1 gene:CCACVL1_28786 transcript:OMO53241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGAIWEEIERSESFLVCSMYEEAASLASSVIKQRAPNLLMDYDFELYEAMEAAGMVLVQSLKQLSRTSAILNELKTLFGSVEAIPVEVLLTGACFQISEASTLGAKEFLEEFLHKWRYVDDQCYVLGSVETNLNFKEGCDSHFVLRIDKYIEVVELYAVMLLGTVSSDLELAISWVEHATLPEKERQRDSLDSGSCSKETYQVFTVKCCYYTLTLESLMASKTVWYAFSGLAKKLLRRLHYLYSTKATNSSPGSLSHTPAAKNESHSSLKGLNVSGGTSKGSKSIHQLDGENSSKQAILKLCRQPYGCLWWFRNITLKFSNYQLLISNGKILIGCLIFLLYYILRRKEVSLRRIVRRQVLFVKKSIVDLWQLAFSYQVNPLAAIQPLSAAS >OMO90206 pep supercontig:CCACVL1_1.0:contig08507:1774:5224:-1 gene:CCACVL1_07470 transcript:OMO90206 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MAGTWLVDGNRIATKIRSASDPERVTWKSNPSRSCPSCHHVIDNSDVTQAWPGLPRGVKFDPSDQEIIWHLLTKVGVDNSEPHPFINEFIPTIENDDGICYTHPQKLPGVKQDGSVSLFFHRAIKAYNTGTRKRRKIHGDDFGDVRWHKTGRTKPVFLDGVQRGCKKIMVLYMTMVKGGKPEKTNWVMHQYHLGTEEDEKDGEYVISKIFHQQQQTKQGDKVEQDLIEITDTMIVKVDPVTPMSVTPDPPRQGRQCQDFNKGQEYTITDKNSRVQISSPMHPTTQHEEDEVQRECESSSQNDLPDVENNLNQVLDSSNDNHAEEDPKWWDGESQYLLDSQQLVEGLSLCDELLQSQSPNRDVSGVDGADDSKPRLSDYAQLGAEHLKKDLEECQNLEGDLTNIELDTPPEFRLSQLEFGSQDSFIAWGGSKLID >OMO90205 pep supercontig:CCACVL1_1.0:contig08507:640:705:1 gene:CCACVL1_07469 transcript:OMO90205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVELHIAMRGIVAGQGQGV >OMO51063 pep supercontig:CCACVL1_1.0:contig15985:17826:18049:-1 gene:CCACVL1_30034 transcript:OMO51063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALGEGFLAVENMSVVVANFFDGMIQLRDLFQSTCKGEF >OMO51062 pep supercontig:CCACVL1_1.0:contig15985:11955:12878:1 gene:CCACVL1_30033 transcript:OMO51062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVSLAVAPRSEPDHTITPGGNKSHLRQQQQQQEQSVVGGVMGSLRVIELQLVAFIMVFSISGLVPLLDLVFPAFASTYIIALSRFAFPSHGRVTTGSQEIFQGSKLFRLYVILGTTIGLFLPLAYVLGGFARGDNHAVRSATPHLFLLSFQILTENVISGLSLFSPPVRALVPLLYTVRRIFVILDWMNDVWLNKTLPANAQMKDIAWHLFGKGLAAANLFYFSINLLCFLIPRFLPRAFERYFRERDEVQAKMSEDKRPTAANTSQTTDKKVD >OMO90708 pep supercontig:CCACVL1_1.0:contig08442:19838:28399:-1 gene:CCACVL1_07316 transcript:OMO90708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MADTLVGQAFLSACVKVLLDKIDKIASPDVVNLFTANKLKADLLRDLKRLLNSVNALLEDAEDKQYTKDAVKVWVADLKDAVYDAEDLLDEIAYEALRRKLESEDETVSTKVIKFLSNLNPLITGIASKLDHIIKSLKDLVEQKDILGLKDCRGEKSFQRSPATSLVDESCVYGRVDEKEAIMEFLHPEYASENQIDVIPIVGLGGVGKTTLAQLVYNDKRVDGWFDLKAWVCVSEEFDVLKVTKTILEELSVSCDATQNLNQLQLKLKESVLVRPTLLQGNTAVCHVPVSGRDILDDVWNERYVDWVELRSPFNFGAKNSKIIITSRSDNVAATVRTVPTNYNLDILSNDDCWELFAKHAFVNTNLREHPDLKVIGEAIVRRCKGLPLTVKAIGGFLRCKLDVEEWNKVLESSLWDLSIDDILPALRLSYHYLPSHLKRCFAYCSIFPKDYRFRKEEVIQLWIAEDLLVYPKGNDADAEEQGNQYFTELISRSFFQPFSGDESCFFMHDLINDLAIYVSGEFFHRLEAGDRRSWKVTKRTRHLSCVQEKYDVREKFETLFEAKDLRTFLVHESSWRSDSFLTNHIMDHLKSRCLRVLSLTRYQNINELPEEIGELKHLRYLDLSKTSIKRLPSSLCRLYNLRTFKLYGCKELVELPEEIGKLKHLRYLDLSGTPIGRLPNSLCRLYNLQTLKLFACGKLIELPEDMGRLINMHHLDIRGTIFLKKMPVGMGALKYLKVLTNFVLGKHDGSSIGELGKMKHLQGRLTISNLHNVVCADDAKDVKLKNKVGLKELELIWSEDENVDDDSKRDREVLEQLEPHTNLERLVIWLYKGSTFCEWVGHFSFSNIASLELKGCKNCIFLPPLGQLSSLKSLSISGCAMIVRVGDEFYGNGEGSSKPFGCLEVLRFEDMSEWEEWICLKDEAFSNLQYLVIVDCPKLMKSLPKHLPSLRKLVIRRCGNLQGLLPRGPSIEKVELKGCDALEMEALPCGLRELEIDGLRINDSILEQMLQPCSILQQLQISNCNELRSLPEGSSLAMTLKQLRIRKSNVLNDSKILLYTSLELLKIDDCKFHGVESFPLGSFPLLNNVHVEGCEELKRIIGASEEENPPLSSRVSRLQIYRCSNLICFEKLEGFCAPNLTSLYLWKCSNLKALPEQMHSLFPSLEDLRIHNCPKIEGFPKEGLPSKLKSLYIGGGCKKLREGMMRRDREWGLQSLASLTHFGFWGEEEIEEGMESFPDEHLLPSSLTELSISSFPNLKSLESEGFQHLTFLRELHIVNCRRLESIPEKRVLSSLSYLSIYKCPKLRENCEKEKGKLWPNISHIPVIRFADDFWLTQRLKLSCPSKIFMSDISFVKLVDSRDITELQLKQLDCGLVTRKKSVLRPPEVASPIVMPQYMPPAMFQTPPPAAPASAPAPATLAPPAPAEAGISFILPSSAQWLEPSISGGEEIECFPMNICCPLLLPDFLSLIFQILSVWTLKGFHHVTSLCQLYISDCPWLQSMSEKGSFLFGD >OMO90707 pep supercontig:CCACVL1_1.0:contig08442:7287:10768:1 gene:CCACVL1_07315 transcript:OMO90707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHLGTEGEPTIENLRSENLREKTDRLIVHKQAISGGICIPAISGRICKQRISGRIRTPHISGGVPPKPRVRKPRISSVPKPRIPPIPKSLSEEEEKTAMLVTSGGGFHRICDEVGFEVLGLHPLCVQAIDSFNKNNSPHINDKALESFETYHDLLRWPSLFFRLCNDLGTSKDELETGESENSITCYMKETGCSEAMARQHINGLIDKSWKRMNKCQIDGSPFGKHLVETAINLARISHCTYQHGDGDAHGRPDSKSKNRVVSLIIEPISIME >OMO90929 pep supercontig:CCACVL1_1.0:contig08406:5240:5308:-1 gene:CCACVL1_07258 transcript:OMO90929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVAVLAETQQKRPWPESKFMS >OMO90930 pep supercontig:CCACVL1_1.0:contig08406:12185:18581:1 gene:CCACVL1_07259 transcript:OMO90930 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGICFSGDLKGGKQAVGGVQGGPTANNNAGHNDAVDHFFRVRGQYPLSTQIELSLSASKLLDRDITSKSDPMAVLYIKKRDDMLEELGRTEVIMNCLDPAWIEKINVAYQFEIVQPLVFRVYDVDTKYHNKPVKALKLNEQEFLGEATCVLSEIVTKRDRSLTLKLHSKNGPGGLRDLGTITVHAEETFASRMAVEMKLRCSQLDNKDMFSKSDPFLRISRISENGTFVSICKTEVIDNNLNPAWKPLHLSMQQFGSKDNPLLIECFDFNDNGNHTLIGKVQKSFSELEKLCNHKSGTNLVFTSRGGQEKILKGQLFVDQFIEKDQFSFLDYISSGFELNFMVAVDFTASNGHPHNRDSLHYIDPSGQLNSYQQAIMEVGEVIQFYDSDRQFPAWGFGGRTHNGSLSHCFNLNGMNTYEVDGVQGIMAAYANALHNVTLSGPTLFGQVINNAAQMAGDAASNDITKYFVLLIITDGVLTDIQETTDALVRASDLPLSILIVGVGNADFKQMEVLDADDGRRLESSTGRVATRDIVQFVPMREVHSGQISVVQALLEELPGQFLTYMRSRNIKPLHA >OMO90928 pep supercontig:CCACVL1_1.0:contig08406:3486:3593:1 gene:CCACVL1_07257 transcript:OMO90928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTSSSSDGGVDDYQDDPSKKKKGFALSSIGMLL >OMO90931 pep supercontig:CCACVL1_1.0:contig08406:19490:20099:-1 gene:CCACVL1_07260 transcript:OMO90931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EYLEEDDYDDSDHNQNVESLKDDKENSEIKDEEI >OMO80778 pep supercontig:CCACVL1_1.0:contig10274:10567:12000:1 gene:CCACVL1_12756 transcript:OMO80778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MASRKITMGLVMVLVTMLWARTSMVVMAQSDCTSVLITMAPCLNYVTGSSSTPSASCCSQLANVVQSQPRCLCMALNGGGSSLGVSINQTLALELPARCNVQTPPVSKCNAADGPAPALSPSYSPAGSPEGSPGSSDDSTPSGSSTSGGSKTVPTTSTSNGVIFKMPFLQLVLVLLPIALHASTSCNF >OMO80779 pep supercontig:CCACVL1_1.0:contig10274:13278:14076:1 gene:CCACVL1_12757 transcript:OMO80779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MANGKMATCLVLVLVAMLCGGATAQSSCTSVLISMSPCLNYITGNSSTPSQQCCTQLASVVRSSPQCLCEVLNGGGSSLGITINQTLALGLPGKCSIQTPPISRCNAASPAPADSPVGSPESGDIIPSGGGSKTVPSTQENGSSDGSTTKLSLSLLIFLVLTTSYSSIFSSH >OMO80781 pep supercontig:CCACVL1_1.0:contig10274:18252:18806:-1 gene:CCACVL1_12759 transcript:OMO80781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTSPVESSKNEYSNLSLRPLDVSDIDDFMVWATDEKVTRFCTWEPYTNKEDGLNFIKNTVLPHPWFRAICLDNRPIGAISVTSNSGNDRCRGELGYVLASNYWGKGIVTRAVKMVADTIFEEWPHLERLEALVDVENVGSQKVLEKAGFSREGVLRKYCVLKGKSRDMVMFSLLSIDPRPQI >OMO80777 pep supercontig:CCACVL1_1.0:contig10274:6884:7833:-1 gene:CCACVL1_12755 transcript:OMO80777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKLSSYLVPLVLVIALSASVNAQITTPCNPSMLSSFTPCMNFLTNSSGNGTSPTADCCNSLKNLTNGGLDCLCLIVTGSVPFQLPINRTLAISLPQACNMPSVPVKCKGPTSLAPTLSPGASPTLSPSGPIVPEPTPSAQSPESDTTPTLTPPSSTVGSEAPTATTGSRPVLTPSAANPSYSFAPFFVVLALGFLIFKHY >OMO80780 pep supercontig:CCACVL1_1.0:contig10274:15504:17225:-1 gene:CCACVL1_12758 transcript:OMO80780 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II accessory factor, Cdc73 MDPLSALRDYTIRGELDKIVRVNDEFRFGNDYSFPCSAETAYRSKQGSLYTLETLVFYIQNHQLKHTDYMHNSLSLRIPAVTFTDRKPLLDYLTGKVSTSDSIVWNPPKFPDEFRPDASGFDPDSSKAKGNSNDVVLDEIGDAHYDIKDKEAEIADYMGIIRSVEKPLKDREGILECKNRDFYSVLVAATKREEERQRLESQQRKDGLVAKSRLMGAEDRGLAIGFGDDMMGFDSKPKIHLKGSKLGEGVPIILVPSAFQTLITIYNVKEFLEDGVYVPTDVKVKQMKGARPDCVTVQKKFSRDRDRVVTAYEVRDKPSALKPEDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKIIGFFMRFEDDSVESAKIVKQWNVKIISISKNKRHQDRAAALEVWDRLEEFVRSRSHS >OMO80776 pep supercontig:CCACVL1_1.0:contig10274:2097:2355:1 gene:CCACVL1_12754 transcript:OMO80776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPLPPPSAAGLRGGGELCCTAFSSAFETGDGYCFGYILRQPLIFGFPLNQSRVASLSSF >OMO78203 pep supercontig:CCACVL1_1.0:contig10622:20212:26046:1 gene:CCACVL1_14577 transcript:OMO78203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSDGPKRSKVERRSAGHLPTVWDAQLINSFTSPYSYETHSTRMEELKQGVLTKLLVDSTEPHDQLDLIDKVQRLGLASHFEKEINHILTQLQYPNYIATDLYTVALQFRLMRQNGFSITTDVFKQFMGRDGKFMDSLREDVSGLLSLYEASYLGFPEEDILEETYNFSSESLSSMVIMKVDEMSSEIVKMIQESLDFPQHWRFPWTESRHFIHIYQRDETMHSVLLEFAKLNYNILQSVYLKEVQQLVGWWKDLNFKEKLPFVRDRLLENYFFAIGGSPELQFPKSRRNLVKLCFVATALDDIYDTYGSLDELEKFTEAINCWDLKAMEELPEYMKVCYSALYGHVSEMAQDALKDNGMDILPYIKKHLMCYIKGYLQEARWIHSGYTPTADEYFENARVSIGVPLCVIYGIFGVLGHSLNKYLSEFIEHESDLVSLTGVITRLIDDLHTAKIEMERGENMNFIYCYMNQKGVSEGKARDHVKALIRSSWKSFNKSIAENYGYAPAVVNVALTLTRCVHRIYRYGDWFEKEDQVISSIMATLAPGILLKLLNGMNTGVKPTSEHRSSLLQVTDIVPAELDEKNLWPKQGFYIKVSDSSHSIYVSLPSDQDDFVLSNKMQLGQFIYVDKLEPGSPVPVVKGAKPLPGRHPLIGTPEPLMGLRRKGEKTEQKVQDSKLQRRGSWGKGPNGSDDISSPLVLKPVPLDFDQCTPVKERPSMRTPMMSPMIRRVAKDGNASASLRCSYGGGLLAKMMDTKGESPALLRKSCVAPSSVSKFPRSKSVCDREPRIPISPLNSAEKKSSTPPPSLRRGREIAASLNMGGDLQNNSNPTVTTQQPQFQFDNISASDNSKSLAMNLPGKLGMLGKEAMQQRETAQKVALQALRDASATESLVRSLKMFSNLSKSAKPEAPASCFDKFLEFHSQIVQAVSDIVSIQAATSATEMAQNSKGEDEPAILHEIVHNSMDQSKNSELSSSKRRAALYKSIAAFPERSEQKTNMGRLLRSNSNPKKAPSTPLSKLPPEAAFGENDENKKPLSSSLSSTIKLGKQIETETANWFMDFLEKALENGIKKSKGNPDGDAKKVPQSLILKVINWVEVEQCDGNKRPVHPKASQIARKLRIKMKNP >OMO78204 pep supercontig:CCACVL1_1.0:contig10622:26646:38354:1 gene:CCACVL1_14578 transcript:OMO78204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGCMWMRRVRLTANSVFLAMASSPATSLSYASRRCYNLFSFPSLKQPHLISLASSSHRRSISISAPSCSSASPQLSLSENDNIPDFGAGESYENLAKGSKTLLKGMNYAELQEWVQSHGFRPGQAMMLWKRLYGDNIWANNLDELEGLNKDFKKMLGEHAEFRALSLKDVLTASDGTRKILFALDDGLVIETVVIPCDRGRTTVCVSSQVGCAMNCQFCYTGRMGLRRHLTTAEIVEQAVSARRLLCSDVGPITNVVFMGMGEPLHNIENVIKAADIMIDEQGLHFSPRKVTISTSGLVPQLKRFLRESKCAIAVSLNATTDEVRNWIMPINRKYKLSLLLETLREELQFRRNYKVLFEYVMLAGINDSIEDAKRLIDLVKGIPCKINLISFNPHSGSQFRPTSDEKMIEFRNILAEAGCVVFMRFSRGDDQMAACGQLGKPGTIQFFLKFLDTEQFQRLRDLKQLGLTHMVYPGAVHSRFEHSLGVYWLASEAVHTLKAHQGSELDIERNDINTVKLAGLLHDVGHGPFSHMFERGFLPRVLQGSTWSHEEMSVKMIDYIVDAHYIDIDPSMLKNAKDMVLASSENASNKSEKKFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCNFQFQRLMETMRVIDDEICYRANDYLTIHKLFASRADMHRTVYTHAKVKAMELMVVDALTLANDELGISASIHEPSEFWKLDDSILKQIETSDKQELEDARDLILRIRRRDLYRYCNEYIVPKDNLKNFKEITSQDIVCSQINDGGPALKEEDVVVNNVKIDLTRGMKNPLESIMVFQDFESEEKFTIKDPGRVSHMLPAFCQDTIVRVYSKKPELVGAVAEAFENFQKKTYGETTQQLKFKKREKLEVISKQEWEINLDGITFKDLIAKDNFDDVYAYRGSYNDQDVTGAKIDEPEFILRAENGEIRLYNMSYIAVEHVCGDPLNYFLSKHSEEKLNFKIVIQLALHLARGLAYLHSKKLIHGNVKTENILIDTNFQLKITDFETSNVEISNQEGQTTCSMAPELMESKLYDKKCDVYSFGICLWEIYCCETPRCSNLTFEELTSPDFVKNWRPEIPEYCPKALANLMQQCWDRDPRKRPEMEQVVLMLEAIDKSKGKKIPQGCFSFHK >OMO78206 pep supercontig:CCACVL1_1.0:contig10622:52622:55800:1 gene:CCACVL1_14580 transcript:OMO78206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVSPENIKECLCLTEEFRQLPKDHRAREDRFDAPANNGYTYYFVTGYSFDDDMQRMREGCVINFIFVVNFVF >OMO78207 pep supercontig:CCACVL1_1.0:contig10622:57263:57745:-1 gene:CCACVL1_14581 transcript:OMO78207 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane lipoprotein MNMMMKGALLVLVLAFSATLFEQGHAADDSFADSRWGFRRSPWRRHWPAFPPFPAHDFKPPEEVTKCAGAFKAAAVCLKPPIGAECCTALQTIQADCAGVKFGHFNPALLDPVLKAHCSSDATPAPPSDATPAPPADDAPAPPSNDAPAPPSGDASAPPN >OMO78202 pep supercontig:CCACVL1_1.0:contig10622:16907:18899:1 gene:CCACVL1_14576 transcript:OMO78202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGPRSWIEHETRARRQKDGEKVSLKTLMAVRSSGKVVGREVCGGG >OMO78208 pep supercontig:CCACVL1_1.0:contig10622:59530:60928:-1 gene:CCACVL1_14582 transcript:OMO78208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSREKEDDLEDRLKEEKEIAEAKKRAEEEQLHLQQQQRDALRLLSGRIANGGEKTVLAEEVCPAPKDKSIEQHHECELSHENQIPGDRNIQNGSGDESNMASVAASDTRQSGNAPARKLGFGLVGSGKRTTVPSVFHEEEDDDAQKKKKMRPLVPIDYSTEELQAVQPDGPPPNLVAAAEFAKRISNANSKDEKPDGERERSRHKSSRDKDRNDDSSNRTRDDSKEKIADRDRDREHGPDKVKNTDNQKLLDAKQLIDMIPKTKEELFSYEINWDVYDQHALHERMRPWISKKITEFLGEEEKTLVDYIVSSTQEHVKASQMLELLQSILDEEAEMFVLKMWRMLIFEIKKVETGLAVRARA >OMO78205 pep supercontig:CCACVL1_1.0:contig10622:39455:47507:-1 gene:CCACVL1_14579 transcript:OMO78205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MDAEGQNKKRKLKLDWNQLLSKKPGDDEPLPPLVVITTEPQQPGRQSDAMGGGDYQDREHLVGMTDRELDENIRRQRQTFENVASRLPDKGEKIRCKIERLEEEKERRKLSGRKMDANGFEKAPCSSSLTIVDCRIINGFAKETSVMNPCGGRKNIYNGEISQRERHRVRKSRRINSQHNRKPSFSDQKDRTASVHSLYDKDDNLQSIAKINASQVPPSNGSRQRKDRTVILVDEEEPQLVNTTELEVKLPNCEKNAKIYYPSRDDPESVEICFGDIDSLAPEGFLRSPIMNFYIRYLQQQASPTNLSDYHFFNTYFYPKLKEAVKHKVPQQPNEYDCGVFVLYFMERFIEEAPERLKSNDLAMFGKKWFKPQEASRLRVKIRNLLIEQFQSASEGVNGGSEPSSPSSSS >OMO53364 pep supercontig:CCACVL1_1.0:contig15217:13942:14380:1 gene:CCACVL1_28690 transcript:OMO53364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLTDKDSIKLIHARLAQLRPSLRAYVIVRVARKWETILPAQTEPINGDLLLVDGMIC >OMO53363 pep supercontig:CCACVL1_1.0:contig15217:8334:8756:-1 gene:CCACVL1_28688 transcript:OMO53363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPEESDKVDDPNYCKYHRLVSHPIEKCFVLKDKIMELHSEGLIEFEEEVASSNMAPITKVAPERETLTTAIKFGSFDPIILPVTSTKLLVSQQTGASIFLKPMKMKIMKDGPWLLVTKLRRIILNVSRYWKHRRRLIN >OMO53365 pep supercontig:CCACVL1_1.0:contig15217:15079:15943:1 gene:CCACVL1_28691 transcript:OMO53365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKPIFAVDGTTVRSYSDSPSILTDLFVKPSPLKKIKREPTPNEESRDQDQPDSDSEAHQNIPEKINQESVTKERSKKQDHSDSHTQTQQRTVPRGAK >OMO53362 pep supercontig:CCACVL1_1.0:contig15217:7098:7281:-1 gene:CCACVL1_28687 transcript:OMO53362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEIRTIWQKKVRPSKQGLGFSPVKLKIHKKTAAYVTVDEVDENDESLVESPRISVFDRL >OMO97820 pep supercontig:CCACVL1_1.0:contig07197:19998:22520:1 gene:CCACVL1_04440 transcript:OMO97820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DEK MATEALEEKKPEEEAAPVEDKEEVKGEKEVEESKGTKEEEEDDEVEKAKENKDEEGAKKAKGSSRKRSSRKEPTKTKKSSRESSANKKASQDLAEKKEHVTPSSNMPTRERKVVERYSAPSVARSSSGKPLSIEKVVVRDLKIFQMGFNPVVVIIFESFFMFIQLYVVTVKSSVVGCTVFIWYLTLKFLLDDREGLKSCGLAFKLSKRKPDDNLQMLHMILFGKKAKPHSLKRNISQFSGFVWIDNEEEASAKLLEFLESPHATTDILLAEKEQKGKKCRGTPSKCMSPGEAADTSAKLSLWTTCRNVRVRVPNPTAERLKRK >OMO94216 pep supercontig:CCACVL1_1.0:contig07940:17470:19632:-1 gene:CCACVL1_06109 transcript:OMO94216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MEKNEKQIMEKNGKKDDEPEINYRGWKAMPFIIGNETFEKLGAIGTLSNLLIYLTTVFNLKSITAATMINVFSGTTNFGTLVGAFLCDTYFGRYKTLGFATSASFVGLLAIQLTAAIPELHPPRCEAQGECKGPTAGQMAFLLTGLGLMIVGAGGVRPCNLAFGVDQFNPKTESGKRGIDSFFNWYFFTFTFAQMISVTLIVYVQSNVSWAIGLGIPAILMFIACVIYFVGSKIYVKVKATGSPFTSVAQVIVAAIKKRQLKPLDQPWLSLFKYIPPQSINSKLPYTDQFRFLDKAAIVTPQDQINPDGSPADPWRLCSMQQVEEVKCLMRVLPIWCSQILYMVTLVQQHTYAVFQAVQADRRLGNSKFEIPAASYAVIQMLSLTLFIPIYDRVIVPFLRRASRNEGGITILQRVGVGMFLSIITMLVSGIVEERRRTIALTKPTLGVVPRKGAISSMSALWLIPQLALAGLTEAFANVGLTEFYYKQFPENMRSVAGSLFYCGLAGSSYFSSLLILIVHRTTEGAATGNWLPEDLNKGRLDYFYYMIMVLGVLNLGYFLLCSSWYKYKANDDTLELELELEVNADKRQSDKSLL >OMO64279 pep supercontig:CCACVL1_1.0:contig12843:10723:10818:-1 gene:CCACVL1_21886 transcript:OMO64279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGDAVLGEQKKGIGEEKDAWSRRRFIGNA >OMO64281 pep supercontig:CCACVL1_1.0:contig12843:14454:18905:-1 gene:CCACVL1_21888 transcript:OMO64281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLNPPISKTPQLFSSFSSFTPRLSIKTSKNKPLHRFQVSKFQKVSSFPRCLPASGANAFRVSAQFGRSTSRRNSLRKKLLLDHQKVRQNPIPLNPTPDSQNPNGSFEYTGGLNSGGTKQSDVDNDVGELKSKRLGESVLLTKLDSWIDQYKKDADFWGVGSGPIFTVLQDSEGNVKGVTVNEDEILKRLEFEDLEKVNSRVLYAKNLARQMELGDNVIPKNSSVAKFVVSGKESGLVSGIRGVILSPGFMPKLSRVGSLMLCGFLVLWAVKKLFVLGNKGVEYTRLEKEMMRRKIKSRKEREMLEKGSVEVVQASVEPPSVSFEKPKLDRQELMNNISKAMAAKDRLALLESSGSRSSKSVDFECEIQEIKMMAKKAREIEGREQSVVGEDEKQVQVPNEEFFDEVQAIKEDGQDSVSFPSNISTEDSEQVRDSYRTVESTSSSQPRSNDVIVLNGVASLDSKVGSVTDSSSVHSLKVKQSTKEDIKNMDRTFPSVINGDDIQSPVIPDNKSYVAEGSSFGKKPRVILSVKEAREFLSGKSNKEELNPEPIMKAVQESAPDLVLSSKIKSGRNTESIPDVNNKIFSDAISSGESTPFEHASQSAILEDKESVLAEEYEEHSDEECREEVHHQSTGTRTKQGQSEKMDNWIENNFHEVEPVLKKIGDGFRENYMVAREKVGEQPSMHKDISQLGYNEDESEFEWMKDDKLRDIVFQVRENELAGRDPFYMMDAEAKLAFFQGLEKKVEKENEKLSHLHEWLHSNIENLDYGADGISIYDPPEKIIPRWKGPPLEKSPEFLNNFQEQRKVLFNGKAGITATNDGQSFLQKPTKSPIDENLTISSSESDLSRKFRDSDPKDFKIVVEGSDGSVKPGKKSGKEYWQHTKKWSRGFLESYNAESDPEVKSIMKDIGKDLDRWITEKEVEEAAEVMKKISERNKKFIDKKLNKLKREMELFGPQAVVSKYREYAEENEEDYLWWLDLPHVLCIELYTFQNEEQKIGFYTLEMATDLELEPKPHHVIAFEDAADCKNLCYIIQAHMDMLGNGRAFIVPQPPKDAFREAKANGFGVTVIRKGELQLNVDQTLEEVEEQICEIGSKMYHDKIMKERSVDISTLMKGVMGSTSSGKSKTTKRRRRSRKKLKRPTKK >OMO64280 pep supercontig:CCACVL1_1.0:contig12843:11228:13475:1 gene:CCACVL1_21887 transcript:OMO64280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGIAIVPVRNEPLLPLDHMGHGLDLGENESIWIFLALPGSTIPMRVLESDSIDTVKLRIQTCKGFVVKNQKLVCGGRELARSNSLIRDYGVNDGNVLHLVLKLSDLQVINVKTTTGKEFTFHVERGRDVGYVKKQVAKREKTFTNLDEQEVVCDGERLEDQRLIDDICKQNDAVLHLLVRKSAKVRAKPVAKNFELSVVATELNEERDYDVGEKKNRRQYDVGEEIYSRGYEAGRDIVPRKPPDRNFSLEPVIVNSEVELPAVIVDMIDSTFDGLDSGKIPIRSVEGTGGAYFMQDSSGQKFVAVFKPIDEEPLAVNNPRNLPVSDDGEGLKKGTRVGEGAFREVAAYILDHPKNERRTSYGDEKGFAGVPPTALIKCLHSGFNNPDDLASVKIGSLQMFVENSGSCEDMGPGSFPVEQVHKISVLDIRLANADRHAGNILLGKDEDGQTLLIPIDHGYCLPESFEDCTFDWLYWPQARKPYSPETVDYIKSLDAEEDIALLKFLGWDMPPTCARTLRISTMLLKKGAERGLTPFAIGSIMCRANVNEESMIEEMVREAQDSVLPGTSESVFLETLSQIMDRHLDEVAGSLL >OMO64278 pep supercontig:CCACVL1_1.0:contig12843:4047:9431:1 gene:CCACVL1_21885 transcript:OMO64278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recoverin MPSSHSALCTALTTSVAICHGIADSLFPVCLGFSLIVMYDAIGVRRHAGMQAAVLNMILEDLFQGHPISQRKLKELLGHTPSQEASKDFADDLKRNLYQLTTGSSCSKNDGIANEPVQENSANLSSFDNLASETPFTSSEIESLHELYKKLSSSIIKDGYIHKEELQLALFKNSKKRNLFLDRMFDAFDVNRNGQIDFGEFVRSLAIFHPKTPQETKATYAFRMYDLRHTGYIEHEELKEMVQAILKESDLILADDVVEAIVSKTITEADTTGDGRIDEEEWKDYVTKNPSLLKNMTLPYLMDITQAFPSFVLNSEAEH >OMO64282 pep supercontig:CCACVL1_1.0:contig12843:19491:26855:-1 gene:CCACVL1_21889 transcript:OMO64282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven-in-absentia protein, sina MAMARDMEEEEEEERGKVRALIEKATNSTAAEVDPRLLKAIKSVVRLSDSELRVTARTLMDLMKRDHSQVRYLTLLIIDELFMRSKLFRSILVENLDQLLALSVGFRKNLPLPAPPAIASTLRTKAIEFLEKWNASFGIHYRQLRLGFDYLKNTLRFQFPNLQENAARIQRERRERERRTQEILQNKFETLKTNFSSLKEEMQLTVDEIGECLDIVRTKEENVPLDLLDHEDFVEFRSSELMQIRLDSLKEGEKVHENSDNKVVFDALRELYKVLVTKHLVSVQEWISLLIRVEVADNRSRDSMLKELIDNRNRLLSVKKNCEESGCALPKTVKNNQEEEEDFWEEGNVRPTEIGSSTDPDKLKDAHLSNEDEKIKSIEGRNSRKSNKQIEKFAEVSASSKVKAKGKGKGKECSNLSSNGKESQGGEASVRSMLLAEAPVMTWGSFMDNWGSVINRDTLANQRGLELESHWGRVDYDAVIPAEKIAELNLQAIAYQEKRGEIQPCRAPLSKDDANADLGSDITEQLAKQAVKNVRERDKEEARKRKLDKQSLQRAKLAKVREHNEAVLRDAAMASTSRSSIVGEDVEEIIGEKSVGRSKQTLASMLRKKVTTRDRLAQKLLNTRTTDATVRQLTQDVIDDEEIHHHTHHLPSSLSKPHSNNGNSNSTVSSALHPGTTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYISLGCPEIFPYYSKLKHESLCNFRPYTCPYAGSECAVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDEIEARNYSYSLEVGGNGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDGGACIPNLCS >OMO64283 pep supercontig:CCACVL1_1.0:contig12843:30973:32442:-1 gene:CCACVL1_21890 transcript:OMO64283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MGEKSKSTFLFSSSIIFTLLSLSAAVSTPFQLQTLVPRPLPSPPTTLSWQHSDTDSDSLVETSELDTLHSNTTLEVQLDLHHVDALSSEETPERLFDLRLQRDALRAETINSLVSKAVARNPPRAPGRGSAFSSSIISGLAQGSGEYFTRLGVGTPPKYLYMVLDTGSDVVWVQCSPCKKCYSQSDPIFDPSKSRSFSGIPCGSPLCRSLDSSGCNQRRMCLYQVSYGDGSVTFGDFSTETLTFRRTKVGRVALGCGHDNEGLFVGAAGLLGLGRGRLSFPSQSGRRFNRKFSYCLVDRSASSRPSSLVFGDAAIPRTAQFTPLLTNPKLDTFYYVELLGISVGGTRVPKITPSLFKMDQDGNGGVIIDSGTSVTRLTRPAYIAMRDAFRVGAANLKRAPDFSLFDTCFDLSGKTSVKVPTVVLHFRGADVSLPATNYLIPVDSSGTFCFAFAGTMSGLSILGNIQQQGFRVAYDMAGSRIGFAPRGCD >OMO71215 pep supercontig:CCACVL1_1.0:contig11703:5856:7704:-1 gene:CCACVL1_18359 transcript:OMO71215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MGKGVSFLVLLVVSYGVTVTMGFREEKEWEREGGHRHRHGQKESFLLPDSKPVMSSDAGEMRVVQSVSSRIIEKPLHIGFITMEPNTLFIPQYLDSTLILFVRTGEARVGCISKDEMVERRLKIGDLFMIPAGSTFYILNPGEGQRLHMICSIDPSDSLQLATFQSFFIGGGIYPQSVLAGFGPETLSTALNVSETNLTKLFSKQQEGPFVYLTKSHAPSIWAKFSQLEEKDRLNQLKRMIDHQEEEEEEEDQQQSASAWSWRKLLDSYVWGVEDISLKDRKPDSYNIYKSSPDFKNNYGWSIAVDKSHYKALKHSGIGIYLVNLTAGSMMAPHVNPRATEYGIVLRGTGRIQIVYPNGSLAMDAKVKQGDVFWVPRYFPFCQIASRTGPFEFFGFTTSSHKNRPQFLAGSNSLIHILNTPELAAAFGVSEETIERIINAQREAVILPSPAAAPPDEVEKKFEAVPKVIKSFGREMILGFD >OMO50891 pep supercontig:CCACVL1_1.0:contig16033:26898:29952:-1 gene:CCACVL1_30159 transcript:OMO50891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEDDDKNKSKQWSSPNRDYKERENDPKLWGIFIFGLIGATATTFAVSQLRRSVDWIYTQLARSQSSQRRAGRSFRTSFQEEAWRKYNRRMQEEYEEEMERVERIRRMQSVFNRERNKYKRGYESWRENGPGAYHQHFQRDDWYWKADTSYRDHRTNYRPPQRNSASYPLSHHYTVLGLDRSRGKPYTEDEIKKAFRAKAKQFHPDQNQDNKEVAEAKFKEVMTSYEAIKQERKNMGA >OMO50896 pep supercontig:CCACVL1_1.0:contig16033:54086:61074:1 gene:CCACVL1_30164 transcript:OMO50896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISALLTSAGINIAICVVLLSLYSVLRKQPSNLCVYFTRKYVAEPVMRSDPFCLERFVPSASWIMRAWQATDEEVLSVGGLDAVVFMRVVVFSIRVFIIAAMICIFLVLPINYYGQEMQHKQIHAESFEVFTIGNVKEASKWLWAHCLALYVISCSACVLLYFEYKSITKMRLAHITGSPPNASHFTVLVRGIPWSPDHSYSDSVEKFFSTYYPASYVSHQMVYRTGTVERLMKDAEMMYRKLKTAEPQRAGSSMPCCICGGSTHSFKILKNEAESVKSKTSVDVLQPNHREKECPAAFVFFRTRYAAVVAAQVLQSPNPMLWVTELAPEPHDVYWSNLSIPYKQVWLRKITILLGAIVFMVLFIAPVTVVQGLTQLDQLSHTFPFLKGILKKKYVNQVVTGYLPSVVLMLFMYAVPPTMMLFSTMEGNISRSERKRSACIKVLNFTIWNVFFGNVLSGSIIRQLNVFSSVRDIPVQLAKAVPTQATFFTTYVLTSGWASLACELIQLFPLLCNVFRKFILRTREEPSSWALTFPYHTEIPRLLLFGLIGFTCSVLAPLILPFLLAYFFLAFLVYRNQILHVYITKYQSGGQFWPIVHNTTIFSMVLTQIIALGVFGIKKSPVASGFTIPLIFLTLLFNEYCRKRFSPVFRRSPAQVLIEMDRQDEQCGRIEEIYSQLKAAYNSPLPTDSHSQDPSTSGSRRSNQDQESSRDPESLKPGSLRCGKVMPTTEQSGRICEKCQSSIVLPRASPREI >OMO50897 pep supercontig:CCACVL1_1.0:contig16033:62362:62712:-1 gene:CCACVL1_30165 transcript:OMO50897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLASAVLPPPSKIKVNPGTGQAIQTPAFFSAPSEFAIKWPPSNLSKTSPESNNGAVWGSK >OMO50894 pep supercontig:CCACVL1_1.0:contig16033:42924:44361:1 gene:CCACVL1_30162 transcript:OMO50894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKFATTKIFAVDYPALMKIRYQAAGS >OMO50898 pep supercontig:CCACVL1_1.0:contig16033:77456:78946:-1 gene:CCACVL1_30166 transcript:OMO50898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDAKLKAYIEQHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDKIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKEQQSRRGNNNTLKQEMINRGNNNGDSNSSLIFPHHSSNQISSYWPELPAMAAAAVAPIAIPYSDDHEEQRNIIDSQASMRRLLIKLGGRFSDDDHQHHVVNDDGMSTIIHHQTFPNDPSILSLSPSQQQLYEQTATAHLPSSSSSSPMEALNNNMGTTHDHQFVNSQYIDGANLHMLRAGQGIDIQQEMGYSSSNPQRVDGMEFLYGEEMVNSIRALSSCETINGSWATDHSIQVCPTVASEYGVSIQQAGIHQQQEYGFSELRYPGA >OMO50895 pep supercontig:CCACVL1_1.0:contig16033:44983:46839:1 gene:CCACVL1_30163 transcript:OMO50895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MLSSKASCNSHGQDSSYFLGWQIYESNPFDELVNPTGIIQMGLAENQLSFDLVESWLRKNPDAMGLKKDGESVFKELALFQDYHGLPAFKNELVEYMAKIRGNKVKFDPNNLVLAAGATSANETLIFCLADPGDALLLPTPYYPGFDRDLKWRTGVEIVPVYCSSSNGFRITKFALEEAYQRAQKLNLNVKGVLITNPSNPLGTTMTRDELNHLISFAIAKDIHVISDEVFSGTVFDSPGFISIIEAAMDRNLENTNVWSRIHIVYSLSKDLGLPGFRVGMIYSNNETVVSAATKMSSFGLVSSQTQYLLSNMLADKKFTSKYMKENQKRLKKRKEMMVSGLKKAGIECLKGNAGLFCWVDMRNLLSSNTFEAETELWKKILCEVGLNISPGASCHCSEPGWFRVCFANMSHETLQRAMQRIKYFVVERSNMSRSKSKRQLISNWVRKLSSADRDEQER >OMO50893 pep supercontig:CCACVL1_1.0:contig16033:42348:42416:1 gene:CCACVL1_30161 transcript:OMO50893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCPAGLIARTYSVNYKANVA >OMO50892 pep supercontig:CCACVL1_1.0:contig16033:30698:38249:1 gene:CCACVL1_30160 transcript:OMO50892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPVNPSRRYGDAGGGGALFSHSKSRSPPFLSIVLIVLGVLLVVAYFNRGSGGLGGLKDVTRVEGDYSCTFEVQRAIPVLKKAYGDSMHKVLHVGPDSCSVVSNLLKEDETEAWGVEPYDIEDADAHCKGLVRNGVVRVADIKFPLPYRPKSFPLVIVSDALDYLSPRYLNKTLPDFARVSTDGVIVFTGSPGQRKAKTAEVSKFGRPAKLRSSSWWAKYFVQTSLEENEGAAKKFRQAAEKNSYNPAQWMRQQERMGFMLPVTKFHTVRL >OMO50899 pep supercontig:CCACVL1_1.0:contig16033:84412:90127:-1 gene:CCACVL1_30167 transcript:OMO50899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASIAATTAKLHIEEIRTKKFGIGNTEPNPSMEDLHHAVTDLSAELHTKDVDFLMELIQNAEDNEYPQGVQPTLEFLLTTRDITRTGAPSTLLVFNNEVGFSMKNVESICSVGKSTKKGKRKLGFIGEKGIGFKSVFLVSTHPRIFSDGYQIKFSEAPNQDCNIGYVVPEWVEEKDIVSDVCYLYGTNKRLPTTTIILPLKADKVEVVKTQLSKLHPELLLFLSKIKRLSVRAISDDPKEVKNVSAIFISGETNRIDLPSCRATLSVVHLSAKEKPGAIEETCQYFLWRQAFQVDPESKVSARNDVEDWIILLAFPFGERLKRGTPSIGIYAFLPTAMVTNFPFIIQADFILASSREAILLDNRWNVGILVCVPHAFWVAFFFCVKNGFPLSSRTQAFKFLPAQTSSITELNYVRESIKLMVQEAHIMPCEMFCGKQIYHKPGKTIRIKRKFRELLNDVQKEGLSLEGMFKLKKVPVHSSLELESYSKVLDFLDMASYRTSDKWYVQCIQTCNFILQASEDVYVKLLCFIADMNHTSLSSNLTNLSFMKYVTVEGEVELHTPSCSKKILYAIADNCHTWLTKWNKLFGCQSDMFFLPSSTQKAIIGHERSVFLRSWLSSYANCSCCSVYEYALKVFKVLTSIDDAKLAIAFAHFVYQSYSKGFIRESELRYLCKIMPIVDGSGSLRRQRLVTLVPASRSKWATLFGPKNPFWNQYYIDIGEVYAKRYQFIGEHTPENELLDFLIKHSGAKDLPELCPPDMVLPLGSLTSQQAFLLLDWIRFLSKSILLDITGKSVFEMMKPVHGDFFVLDQEFYTDRLLSYVDELKYLGVRFGFYYFQRLLANHFMSLAKRGLSKEYAYLLLKFITISREKKMLYEEWLKAIKESKWLQTSQGFSAPEESLFLQSETEVEVVLRITNLPVVDESFYGTKLSTFSSELTMLGVMLNIERVYDLIAKHLAFPAGGTFITGNCGLLILRFIRYMGSSASGFIDKVTEKPWLKTNSGFECPLKCVLHNKEWDSLFNIVDVPIIDEGFYGSEIRSFLAEMKAIGVAVDFDSAFKLIIVQFKLLSSSQSLTPANIVSLLSCIREMIQTRPLQLSEIHSSLLGEMWLKTRHGYRAPNESILFSSKWATVSLFSDLPFIDDSFYNMGIHEFKNELKLLGVVIDFTEGAIFVARRLKQPISSGLITRDSAISLLECIKCLMSGCSDQNAYFGFINNLKKSKWLKTIFGFTNPGQCILFDPAWDGILQRRDVPSLDERYYNTDLSVFKNQLRALGVKVDPADVCSLLPRILWSKTETPCITRMYNFLCKFYLNHESTGNSYSQVWIHSRNGAGGGEWISSHLCVLYDKFQLFGSRLYVLENYYSKELLPMFTSIFGVPKFPSTDEYMQLWNDWGSRSNSQVTAMECFSFFSFVLDNWNLCTLETLKKKLTKVPATTSTGEEIYLVSREDVFLPDDLQLKRLFDQAGVPLFTWLPRQSGLSSVSPWRLYEVYSSIGVRKISESVEYKVDRSLILDYQSKMFVDSRNGVFKNLLKIILAFQAGPMMKMPAKERHNAAKSLLELSVFETDNAIQVDYRLLLWHSNRTLEAQSNMMVFWDRISHRLIMDRSCFEDGKTNIEFVSCFAKEIAEGVLSQEQADAVYKLSKLLQIGFMYEFKEDAVNFLLIEENLELFVEDTEFLNTKFGKRTHARSELGPLTLIPCSKKQCQ >OMO50900 pep supercontig:CCACVL1_1.0:contig16033:91783:97408:-1 gene:CCACVL1_30168 transcript:OMO50900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDCIAATAAAKQHIEEIRSKKFAIGSKEPNPLTEDLHHAVTSLSAELYTKDVHFLMELIQNSEDNAYPDGVQPTLEFVLTTRDITRTGAPSTLLVFNNEVGFTKTNMESVCSVGRSTKKGKRNLGFIGEKGIGFKSVFLVSMHPHIFSNGYQVKFSEAPNQDCGIGYIVPEWVEDNAVVSDVYDIYGVDKILPTTTIILPLKPEKVEAVKRQLSQLHPELLLFLCKIKRLSVRGWSNDPNESENVSAIFISSETHQNAMPSDRANSCVVHLSAKEKVEATEETCQYFMWRQAFQVKPESKVSTRNDVDDWIISLAFPFGKRLKRGTSSIGIFAFLPTEMVTNFPFVIHADFILASSREAILLDNKWNMGILGCASSIPELNNVRESIKIMVQEARIIPCKMFSGRKHYRKPGSAIRIHKKFRELLCDVQNQDISLKGWNSCTVYDYAVKVFHALNSKNEPEIAIDCAHFIYHSHSHGFIAESELHHLCTIMPIVDGSGLVRKQRSVTLVPISRSKWPTLSGPTNPFLKQNYVDIAEVYAKSYQCNGEHTPEEELLDFLAKNLEAKDLPQLCPPDMVLPLKSYLTCEEAYLLLDWIRFLRISGLSIPQNFIQSIRIRRWMKTYSGLSCPSQCFLLDTTAKIVFETMKIVLHDCFILEQEFYSDRLFSYVDELKYLGVRFGFDDLQRLLANRFKSLTSSILSKEYTYSLLMFISISREKKMLDEDWLNPIKEGRWLQTSQGSRAPKESLFLQSETEVEAVLKITNLPVVDESFYGSKLSTFSFELTILGVMLTIERAYDLIAQNLKFPADVTSMTGNCGLLILRCIRYMGSSASEFIDNVTEQPWLKINSGFECPRKCILYDGKWYSLFNIVDMPIIDEGFYGSEIRSFMAEMKVIGVAVDFESAFQMIMAQFKLLSASHSLTPANIISLLICVREMIQKRPSQLFEFHSSLLGEMWLKTRLGYRAPKESILFSSKWVDFAEGAGFVARGLKQPIDSSLITRDSAISLLECIKCLMSECNDQNAFVGFLDYLKGSKWLKTTFGYRIPEQCILFDPTWEVGLLKMILAFLAGPMMFMPAKRRFKAAKSLLELSVFETDKAIQVNYQLLLSRRSKTLEARRTKMVFWDRSSHRLFLDRSGFEDRKTNIEFASSFAQEVADGVLSQGPADAIYNLSKLLQIGFMFEFKEDAVKFLLIKENLELFVEDTEFLNAEFGKRTHPRSEQLGPLTPVPSSKKRCQ >OMO88382 pep supercontig:CCACVL1_1.0:contig09028:12041:13153:1 gene:CCACVL1_08429 transcript:OMO88382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDRTNGHHRRSIAASLVQGVYVVERDRQKNRQGPQANAPPWWESFNFLLKHLLIDDVDQSIFGAIYEFNSSGIPQQNVPPRYVIAFRGTLNTPASISRDLKLDFLCICNRLHVSSRFQLAMKYVENIAATAKADGSNIWLAGHSLGSAMALLAGKNLVMTKMGCNLVEAYLFNPPFLSAPIELLKNPVLKNGIRFTSSVVNAALTMAIKGRHRKPEKDDLFTALSGWTPYLFVHPTDLFCSEYKGYFEHRKKMEEIGAGNIERLSTQNSIVCLFSTVFRKNSQPLHLLPSAYLTINLTPLPDFRSAHGVEQWWDPNFNGQVELHEFK >OMO95950 pep supercontig:CCACVL1_1.0:contig07568:2740:3792:-1 gene:CCACVL1_05148 transcript:OMO95950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEPKKVETETPCEPPPPAPEPVEAAKDVAEEKAVIPPPPPAEEKPVEEEKPAPECKEELAIAVVEKAAEPAEEKSTEGSVNRDAVLARVETEKRISLIKAWEESEKSKAENKAHKKLSSILAWENSKKAALEAELKKIEEKLEKKKAEYVEQMKNKVALIHKEAEEKKAIVEAKRGEDLLKAEESAAKYRATGTTPKKLLGCF >OMO95949 pep supercontig:CCACVL1_1.0:contig07568:1339:1899:1 gene:CCACVL1_05147 transcript:OMO95949 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative r2r3-myb transcription factor METYLFSSAYKPSIVKSEPPSTLHMMQWENIRLEAESRFSTESSLLCSSSAGRKTVYKDIFLELWNSDVGERFRNITKLPKKEASESPTMTQASSRMKFESSSYITSEERPTVNCASDMSNEQDDDDSCYKVDLDMMTSSDSNFNEFSDSSANALKLLVDLPSSTSLGFLQDQPDSFSDFLDLQTD >OMO95951 pep supercontig:CCACVL1_1.0:contig07568:10087:10278:-1 gene:CCACVL1_05149 transcript:OMO95951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLEIERLKDKTAQTLSDAQRRTASIEAKRERKKIAIDGQAQQFLSTNTYPKTCFGICKDTD >OMP02768 pep supercontig:CCACVL1_1.0:contig06186:8951:9088:-1 gene:CCACVL1_02707 transcript:OMP02768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRETRQAIKTLSTIQLAKGVKKDEETFLAALKLDEPPMEEQAPLK >OMP02769 pep supercontig:CCACVL1_1.0:contig06186:9506:9817:-1 gene:CCACVL1_02708 transcript:OMP02769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVPASLIEFKRPEKTNTLKEKAKGKVGETGTTRAREVGGDWDKSSKGHSGKSFYYKSESSSKDKDKEKKPLACFLCEGLHRVRDCPKRSKLSAIAREEQQPE >OMP07549 pep supercontig:CCACVL1_1.0:contig04347:635:700:-1 gene:CCACVL1_01286 transcript:OMP07549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQMLVTIWVSTKRVWSLVKQQ >OMP12260 pep supercontig:CCACVL1_1.0:contig00221:1567:1638:1 gene:CCACVL1_00061 transcript:OMP12260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPPISLILFSLIDRILSFAFHS >OMP05700 pep supercontig:CCACVL1_1.0:contig05263:3853:12253:-1 gene:CCACVL1_01845 transcript:OMP05700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MERHIQRFLNKVSFVSFTIATLILVFLFLQTPETCIPPNAPIKPHLRFPKSTCDSSPRHYLPLPKKNARLWSSKTWINQVSSFTQFFTQLYHIGILMNHSKVLCVSAGAGHEVMALSKMGIEDVTGVELIESLPLVSRADPLNLPFFDGSFDIAFSGHFAEALYPSRYAEEMERTVRKGGVCVVVVDACGNEEVKEIVRLFKRHRDRNMGSSLEAKSLTKAVVPSTLIENPSPGNLQSTRLALHVNKDGSSCWVYVASGCNIYKLQILLEDSWLSKGKEGLLIPEQAEVIDCMLVKRCPHRSEIQSVVLAEIESTGYLVLGSVDAYGHLIVSKLDASGKDVDRITYSVLPQDSGVGEGSWSGLCFSPIQWSMAAVARSFCKSVDVYDQDIHLRTLRTLWYPSSLNFIQNLGQGSETSTLAITEGCQLSIWDLRMKENGGCVHRICGSIGDIFYAVCSSSTGNIAVGGADRTVTIYDPRRWAAISRWVHCSKYEITGLAFSSLDSNYIYVQGVDYEVFCGQWQESSKVFSFRGDSNWLGFSKIAIPCCYVLFILSKLTKLSFVFGLCDIGLNGSVRTGIYLADGVIRVASSWLTLWLNEI >OMP03874 pep supercontig:CCACVL1_1.0:contig05929:4946:5032:1 gene:CCACVL1_02237 transcript:OMP03874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARFKANPAFSGKANNRYDTYDKGRAGGN >OMP03875 pep supercontig:CCACVL1_1.0:contig05929:6444:7763:1 gene:CCACVL1_02238 transcript:OMP03875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLMYLIPKVVPADCKFNLVFMASYK >OMO51784 pep supercontig:CCACVL1_1.0:contig15717:19577:20333:1 gene:CCACVL1_29591 transcript:OMO51784 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding protein MAFGVSLSKFPTFPYVRIMKERMERLVILPFSRGCSSQASVAVGRSSPKKPKPEPSENVTSKQVGEECSSKTKPKHSSFAWFPLPKPNISNGIHRLIRSFSQLFVYKEIEELTVEMEIGYPTDVKHVTHIGLDGTTTTNHVKGWEDYNPPDIITFPSISVRQFELAMAAQTQNGPFVV >OMO51783 pep supercontig:CCACVL1_1.0:contig15717:9389:10196:-1 gene:CCACVL1_29590 transcript:OMO51783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRFSKNSRREGKGNEDRGEETTTRPTTAMSSSSHATISTPTML >OMO51785 pep supercontig:CCACVL1_1.0:contig15717:20855:28009:-1 gene:CCACVL1_29592 transcript:OMO51785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MSTSNPTIPGIVPTPHIFTGENYQTWSVKMQTFLQAHGMRGVVEGKDPYVLPDNPTVKQMKYHETETAKAFKALQVIQNNVFEVIFNLIMTCKTPKEAWDMLKAEFEGSDKVRRLQERIVMKLVDTLPAKYEAKLSSLEDTRDMSTVSLYEIVNALQAFEQRQAARDQDSIEGAFSVKGKEKAQSSGKGKKIQKKGKGKKQESSGGNEMEKKQKQLCKYCKRPNHAEKDCWFNPDSTCSKCNQNGHMEKVCKNKQQQHKRAQVAEDDENHEEQINVDLSYNSKVRIGNGEYLEVKGKGDIVVSTPKGNKVISDVLYVLEIDVNLISVGQLLERGYKVVFSDNACTISDSTGVELMNVKMRNRSFSLKWKEPAAYAAFDNDSNLWHMRLRHHRSEAAGIFRNFKIWIETQSECKIKVLRSDNGTEYTSESFEEFLKATGVEHQLTVTYTPQQNGVSERKTGQSWRWQEAKRSKLDGKAEVCIFVGYGTNVKRYRVFNPKTSKIAVSRDVTFKESALWNWEKSEAEVPDVVLPSNVQQQAEPDQYDDENVDDPAVRGTRSLDEIYSRCSVAVLEPNSFTKASKSQKWVAAMEEEMRMIEKNHTWELVQRPQEKNVIGVKWIFKTKLNPDGGVNRHKARLVVKGYAQSYGIDYTETFAPVARLDTIRLLLAMAAQNQWRIHQMDVKSAFLNGNLQEEIYVEQPEGFLVKGSEEKVYLLKKALYGLKQAPRACSVLVEKFKEEMEKVFEMSDLGEMSYFLGMEVTQNQQGIFIGQQKFAKEILMKFQRPDIMFSVSLLSRFMHEPTENHLRAAKRVLRYLKGSLSFGVMFSKVDKAELVGFSDSDWAGSTEDMKSTSGYAFTLGSGVFSWKSKKQETVVQSTAEAEYIAASEAVNQAIWLKRVCADIKLSVAKNPVKINVDNQSAIAIAKNPVFHSRTKHIKIKFHYVREMEHEGEGQAINMQYHIRDPISSLPEDIVGNILSRLTMKEAMRTNILSSNWQHLWTNFSGFLDFDVSLSKHKILGMLMKEQLEAKRMDFINWVNRALERIQSPTIEGFRVSFDVQSKPDVDSWITFAISGLSTLSSLCLKRVDLTEEVINSVLTNCPSLEILRVQEAVVLKRFRISDPSISLKCLELTGCRWLEDAEISGVNLVSFKFTGLTVDIRYKNLPRRLAKLSLGALYVNKVIVEELEQVLRFRMQLETLVLDVNTDWMAAYFPKYLPEFTILRHFELGTLIVAPGHSLLPFTSLLKASPLLHKFTLRVRFCWEQNLPPFTEFTNHHSLLEHVYPCLKVVELKGFLGFPAEMEILFHLLHNAPALENLTIDVCKPRCLGSTIETLVRDQCQIARLRAVELQPKLPPKIDFLVI >OMO51782 pep supercontig:CCACVL1_1.0:contig15717:205:4056:1 gene:CCACVL1_29589 transcript:OMO51782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QTTTVKREIHIPEIRGCTISKEKQLISDDFAGLNNQCSTKYRERQCELECRLLKLECAIDANKKPKSIVSSPAMNPNRQETVSVETDPQFISLLPFFLPWIFQFQSIITSSTKSRLKLLHHQPPKPPATKSFHCKYCSSTYSTPQALGGHQNTHKRERAARRVDWTV >OMO76263 pep supercontig:CCACVL1_1.0:contig10913:3529:4381:1 gene:CCACVL1_15805 transcript:OMO76263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyllysine-residue acetyltransferase component of pyruvate dehydrogenase complex FCRFILRNALFCSGILTLPLSFLLHQMKMVHLLSGGPRTTVATPLAKKLAKQHKLNFELWWEQGHMRITPADIEAEAGIFSSKKNVGPAVVAQATPAAPPKPATAAPF >OMO76264 pep supercontig:CCACVL1_1.0:contig10913:4418:4721:1 gene:CCACVL1_15806 transcript:OMO76264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESLSVLTFRVGNPVTTNAPHAVYEKVKPKGVTITNLLLKAAAMALVQHLVVNASCIDGKSFYLQ >OMO69783 pep supercontig:CCACVL1_1.0:contig11987:14296:14469:-1 gene:CCACVL1_19274 transcript:OMO69783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKAACAVLFAAASMSAVMAAQVEAPAPAPTSAASATLPLIGSILGAKLLAYFLH >OMO69786 pep supercontig:CCACVL1_1.0:contig11987:31162:32185:-1 gene:CCACVL1_19277 transcript:OMO69786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAYSISQSLALVCKIKCEAPERKAKKRCLRCKTLYLDTDNSPTACSFHGHANGEKGLLALAPPHQGIDGDWTDRSGVIVYKWNDKNNRPNTGRANWKKRWSCCQEYDENAPPCSRGWHVSYDDGFTLY >OMO69785 pep supercontig:CCACVL1_1.0:contig11987:29962:30669:1 gene:CCACVL1_19276 transcript:OMO69785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbP, oxygen evolving complex MATILSPSSILSSTATATAKTLQAKPPPASILSTKPLLPTALTTALATSTLLISPPLSIATQPSTYQVYYGTAASAANYGGYGGNSDKKASAEYVYDVPEGWKERLVSKVEKGTNGTDSEFYNPKKKQEKEYLTFLAGFRQLAPKDVILNNLALSDVELQDLIAGADSLVSEEKKDENGQLYYVYEIDGVGKHSLIAVTCAKNKLYAHFVNAPAPEWKRDEDTLRHIHDSFKTVG >OMO69780 pep supercontig:CCACVL1_1.0:contig11987:5072:5137:1 gene:CCACVL1_19271 transcript:OMO69780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAYAAGLVSRWTAAISGAAA >OMO69784 pep supercontig:CCACVL1_1.0:contig11987:20224:23954:-1 gene:CCACVL1_19275 transcript:OMO69784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MEHVSRGSTICTYYQKGICSYGSRCRYEHVKASQLDSSASSSSMAPHQSLTSDSVPLDHTSTNKFVSAECPGSSRTFYPPIKQAWNLESRHHELLDNGDFVGSRSVNPAEHSICSFAAAGNCPRGEKCPHIHGDLCPTCGKHCLHPFRPQERDEHIKMCEKKQKHLEALKHSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPSSGMDVNTALRTCPICRKLSYFVIPSVIWYCTPEEKQEIVDSYKAKLRSIDCKHFNFGNGNCPFGTSCFYKHAYRDGRLEEVVLRHIGAEDGETVIAKNIRLSDFLGDLRIRIGDRGRNHMLGDRGSASCILSSNKLIGEMVIDG >OMO69787 pep supercontig:CCACVL1_1.0:contig11987:35868:38011:-1 gene:CCACVL1_19278 transcript:OMO69787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPACCNLFSGCFNLMPLNPHYTCSLATNSNHPNPCSLPHPNTIIFNKSSNIQGHTCSPPRKEALPLINYLSPARQDETEPSGSSMEDIIDHRNTNREAKLDEEAAVTVALHIGLPSPSSDPIRLSPSPSPPPPPPPPPSSVDVTDKLGENGSAVLSKGQYWIPTPTQILVGPTQFSCPVCCKTFNRYNNLQMHMWGHGSQYRKGPESLRGSQPTAMLRLPCYCCAAGCKHNIDHPRARPLKDFRTLQTHYKRKHGIKPFLCRKCDKAFAVKGDWRTHEKNCGKIWYCICGSDFKHKRSLKDHIKAFGSGHATFPNPSHSFSQEEDDDPTSEFDLD >OMO69779 pep supercontig:CCACVL1_1.0:contig11987:2488:3060:-1 gene:CCACVL1_19270 transcript:OMO69779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, AN1-type MAQRTEKEETEFKVPETLTLCVNNCGVPGNPATNNMGQESCNATTATATTSSSPSSTTTTSPSSSAAAIAGGASILKVSDDQSSRSTPSHSQESRSDSTSVTTTTNGRNSTSNRSRNDQVAAADKKVVNRCSGCRKRVGLTGFRCRCGELFCAEHRYSNRHDCSYDYKAAGREAIARENPVVKAAKIIRV >OMO69781 pep supercontig:CCACVL1_1.0:contig11987:7484:11705:1 gene:CCACVL1_19272 transcript:OMO69781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDRLDDLTFKLNFSGDGAAKLRERVKDKLKEFMGDYTDDTLVEYVIVLLKNGKRRDEARNELHVFLGDDSDSFISWLWDHLASNLDLYVPSQEHHVEEAGKTRSIMGRQSAGVDFNQLDSGSERGKSTKFVRNRHNREWKGLLRDAAEPPPLRSSEVENIHFEEKTHRRASRRRSSSPRSSQKKRSRSDGRQSIKREAVSQMTIDAPRRLLQFAVRDAVGTSKAPMSPKEPSSKRLRSVVSTSSGDLALPSRPRRIQSVARVPNAMATVLKAVAEAAEDVTKAKNAGSVFDRLGPGMDVFETHDRHPEFRAPLAENEEYEDFSQPLEHTESAYLEINEYAGQHDGNKTGLGSDNGLALNSLSDYEGYDDVVGHGVMDVSQTGASSGNKGDNPRMLQYRVANDDEFMQISRKKDHVHSTAAANTSRKMVNASVNINTYKPPHFQDPREVTEVDSPKSFQESQGVASKSNIRVKMENGNPITAGNGNVKGAGDIQEMSQKTVQPASVPYASGRPSEDADSRIIFVSNVHFAATKDSLSRHFNKFGEVLKVVIVTDAATGQPKGSAYVEFMRKEAADNALSLDGTSFMSRILKVVKRSSAQQETAPVMTWPRIVRGSSFAGARFARTPFARGIHGAFRPRLPFKPGARSLQWKRDAQTTPVDVGVSVIGNNVSSPIGRSLTYVRTESKSEGKAGNS >OMO69788 pep supercontig:CCACVL1_1.0:contig11987:46510:49933:1 gene:CCACVL1_19279 transcript:OMO69788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MGHGAEPDSLNMKRIIAYAHGASHSHIEPIKGEGYFALFKASLLQPPYTKEKENISRLAFPFNAERKAETSNNLSQPPIALLSREIRMDQVQHPTVMQKVAGQLLRSGPSQDFQGFEGSFRRPALCQRRVAYGNYSNAALQYPIVRAMGATSDMSVVPSTASAICVQAPAEKGFTSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLAEPYKGIGDCFKRTIKDEGFASLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLVDVYRKTMASDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGNMQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >OMO69782 pep supercontig:CCACVL1_1.0:contig11987:12877:13650:-1 gene:CCACVL1_19273 transcript:OMO69782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRRLISNLQKRCSNSTSFVSIGPQNHRPHFRFESLSTPTPKHVSPAVDFVRTFSTCLTKSKLHAEKSLTADHPYALSGGAKWISDQRLRYLSGGTGKPTGEEYPSQNPDFKHQEIQGPTVERDLSAVANETRQVVEATMKSIHELSGSLARLGLIQLALGAFAFYFSGRTNPITDASIQTFIAFGFPFTMALLLRQSLKPIYFFKKMEEQGRLQILTLTLQVAKNLHILFVRLRLLSFFCVLGVSVGFFFLGSSN >OMP02331 pep supercontig:CCACVL1_1.0:contig06273:2290:7621:-1 gene:CCACVL1_02829 transcript:OMP02331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVRSNWIEWSKSTGKEETLDQMGKDETKVEDVEEGEISDSASIEEISEEDFNKQEVKNLKESKSSKGGEANSNSRVWTMQDLCKYPSVFRGYTSGLYNFAWAQAVQNKPLNDIFVKDFEQQQEENNNSKRSSPSSSVASVNSKEEKGSSGIPADKVVIDDDSEDELEDDKVVNLEKEEGELEEGEIDLDSEPVKERVLSSEDGNVSSSDGNVGSSDESEKRVNLIRELLEGVTVIEAEKSFEAVCSRLQNALDSLRGLIFEYGVPTKDTLIQLAFGAINSAFVALNNNLKEQNVEILSRLLSVVKGHDPPIFPTDKMKEIQVMLLSLNSPARAIDTDKDTKVVDGINKDHDAVYENVGHDLTVTNKLPLPADSIIHNKPNTSTETLKLGTPNFRNRGISLPLLDLHKDHDADSLPSPTRETTPCLPVKKPLNTGDVMAKSGFMTGKRSHDAEGNKLHPYEMEPLKAFSTYQQKFCRGSFFTSDRLPSPTPSEESGDEGGDNGGEVSSSSGLANFKPNLPVLGQPIVSPPPQINSATSSMQEQITARNATSVASGSNILLKASAKSRDPRLRFANSNASALDLNEPLHNAPKVAPVGGIIATRKQKSVEEPALDGPAVKRQRNEPENSGVVRDMQTVSGNGGWLEDADVIGSQITNRNHTANNSESNSRKINNGVNSSSTLSGMPNMTVGRNEQVPMTSTSTPSFPALLKDIAVNPTMLINILKVAEAQRKSPDPVRSALPQPVSSSLPGVVPSANIVPTSSLNTVPSNSSVVMPKLAGNLQVPSLDESGKIRMKPRDPRRVLHGNSLQRSGSMGPDQLKTNVSLTSSTQGSKDNLDARKPEGQTESKPIQSQLVQAPDITQQFTKNLNYIADIMSVSQVMTSPLAVSQNLVSQPVEIKSDNLDTKVSVPNSEDQQSGTGSAPEVGATTGPPRPQNTWGDFEHLFERYDDRQKATLQLERARRIEEQKKMFASRKLCLVLDIDHTLLNSAKFHEVEPKHEEILRKKEEQDREKPKRHLFHFHHMGMWTKLRPGIWNFLEKASKLFELHLYTMGNKLYATEMAKVLDPKGVLFAGRVISRGDDGDPFDGDERVPRSKDLDGVLGLESAVVIIDDSVRVWPHHKLNLIAVERYTYFPSSRRQFGLPGPSLLEIDHDERPEDGTLASSLAVIERIHQEFFSHQNLDDVDVRTILASEKRKILNGCRIVFSRVFPVDEANPHLHPLWQTAEQFGAVCTYQIDERVTHVVAISPGTEKVNWALSNGKFVVHPGWVEASALLYRRANEVDFAIKL >OMP02332 pep supercontig:CCACVL1_1.0:contig06273:8976:10154:-1 gene:CCACVL1_02830 transcript:OMP02332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRRETAGRDEEELTVKTKCKSFAHGTSLTAKGKKTSRAASNVLN >OMP06225 pep supercontig:CCACVL1_1.0:contig05021:3541:4032:-1 gene:CCACVL1_01669 transcript:OMP06225 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein CPR30-like protein MSLLSIEKKQEKLHVKLEENIHILHPLHGLSLRITDPCNGLLCLQEYYNSSHPNAPVPPPGYPLTTIKLWNPCIRQIKILPQFTISPPLDYADIIEDFYNYDQYDNEIDIDYDEIGFSYDIDFHSIGFGFDRKSNDYKVIAFVTYRFKDGPMSSNPQAHLLSK >OMP06226 pep supercontig:CCACVL1_1.0:contig05021:9043:13828:-1 gene:CCACVL1_01670 transcript:OMP06226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMARRRKWMMGGSISNDVPEILIMDILSRLPVKSLLRFRCVSKSWCSSFENPNFYTENRLPSSKKPINRLNQNFLMIYGWRLRQRIMSLFTLEKQQEKVNVKEDENNHTPEFLPNLSLRITDPCNGLLCLQENYFPKYKNQISVPRTITLWNPCIKEIEILPQFTISPPHYTCKYDIEFDSIGFVFDRKSNDYKVIVFVTYIYTRHEYLMKAIIREAHLYSLSRNSWKQITPPPPNFGFGLAYNKAWSPPFNNGNKACSTYIDGICYWGVSSGPVNSILSFDMVDEVYSTISLPDSICELEVHITSIDELVAAIHVLPRKGMEQWYDLWVLLDGCLWIKKLTIGPILGVAIRSSFMRFWKDDALFLFLESDDEELVIFDPYVGELHRCIRMKETNKPLALMLKEYGLASPVHFIDWRGVKSVVEGCPLIRVLVPVVRVRKKKRGKGVERMGMVRQREWMMGGSISNEVPKMLIMDILSRLPLKSLLRFRCVSKSWCSSFENPNFYTNNRLPSSKNPINRLNQNFLLIDGQQASFFTLEKKQGKVDVKEQENNHTPDDLLHNLYFRITDPCNGLLCLQENYFPIIENQIPIQRTLRLWNPCTKEIKILPQITISSPSQYYTASKYYNYSYVIEFDSIGFGFDRKTNDYKVIAFVTYNYTSRHDKLIKAIREAHLYSRSRNSWKQITPPSPDFRFGLPNFPWSPHILVGNKICSTYIDGICYWGVSNDQYGGFILSFDMVEQVYSTISLPNPAFIHKLEVHITSIDEILGCNSCLSKGRD >OMO89460 pep supercontig:CCACVL1_1.0:contig08712:35007:35093:-1 gene:CCACVL1_07817 transcript:OMO89460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASPTDTDFGSDPIPIFKSSAAPGSDG >OMO89461 pep supercontig:CCACVL1_1.0:contig08712:55265:62293:-1 gene:CCACVL1_07818 transcript:OMO89461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSANICTDLAILVIRKDR >OMO89459 pep supercontig:CCACVL1_1.0:contig08712:19026:23703:-1 gene:CCACVL1_07816 transcript:OMO89459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVEEFATKYGGVILLMDVQVDEGIIGDMLQFWDHLYRYFTLNKDDMVPTIEEMVVILKVTEHVEVSVMFSASIKIAQLLAPKPADIRFIIDTVNKASNKMKILMTVKDETSGLEIIAFEKHAEGMSGLKVATMASSKGISKEIIPEEVARTKKKKFILIVGLPSRAVDKDVHSFRIYAVTKVDESLPPPSTQLLLGNNSSNNDVSQSLNGEPTSSAEHRAPADLFLRETPEKQTSGKVRDYGDWIEEKWVWKIQLRRNLFGWEMQQWQYLLTLLEDATIFVEFKDKLIWKHNTSGHYSAKDFYRFSCSQNGVQDPLWSKVWMGIAPPKVEIFLRQFLRGRIAVRSVLKARGLISEEADQVIDIVNCRVAYWCKAKWPNHIASFDDLYRCSELIQNAPASTSRRPHMEWKTPNMGQMKFNVDGLAQGQPGDAGIGGILRDGSGNSKLIFSKPIGLPDSYMAELLAIKEAFLIFAATEWAKEKELIIKSDSKNVVKWVNDPNSGP >OMO89458 pep supercontig:CCACVL1_1.0:contig08712:3008:3253:1 gene:CCACVL1_07815 transcript:OMO89458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FTRNPNSSSAIAASKIHHHRLNRLPQPLVTQNPNYDTSKSKPKTNNSPSSTLPESKPSFFRRRSRQKFPNPHSTEPSHSPL >OMO72508 pep supercontig:CCACVL1_1.0:contig11438:29559:30059:-1 gene:CCACVL1_17752 transcript:OMO72508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 MPPKFDPSQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKVMRPRSMAKDLRGTVKEILGTCVSVGCTVDGKDPKDLQEEISEGEVDVPLE >OMO72505 pep supercontig:CCACVL1_1.0:contig11438:20487:21715:-1 gene:CCACVL1_17749 transcript:OMO72505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MAKDIEVGGGEYQAKDYHDPPPAPLVDAEELTKWSFYRAVIAEFIATLLFLYITVLTVIGYKVQTDPTKGGEDCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAILYMAAQCLGAICGCGLVKAFQKSYYNEYGGGANSLADGYSTGTGLAAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIFNQSKPWDDHWIFWVGPFIGAAIAAIYHQFVLRAGAVKALGSFRSSSAM >OMO72506 pep supercontig:CCACVL1_1.0:contig11438:25493:25636:-1 gene:CCACVL1_17750 transcript:OMO72506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEVRYLSSWIEVAPALLISPLKTSNSPVLETITEEEADEESDDDD >OMO72501 pep supercontig:CCACVL1_1.0:contig11438:2298:2639:1 gene:CCACVL1_17745 transcript:OMO72501 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine/threonine-protein kinase-like protein AWEFYRDNRILQLVDPVLVGLSMNYPEEEVIRFIKVGLLCVQETARLRPEMSTAVKMLNNDISLQGVQISKPGLVADLMNIKLGQKTSTFQSLSSSKALSTTASTRSPLSSYS >OMO72509 pep supercontig:CCACVL1_1.0:contig11438:35047:35549:1 gene:CCACVL1_17753 transcript:OMO72509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MASRKIFFGSKPNYIYPTMGFNDANLINNNNHPSSDHHHHHLFEFDEADVWNSNNNDSATAMDAAKKPLPISRTSSKKLLRKMEATDRRQMGSASLPVNIPDWNWKDFERKRLASC >OMO72503 pep supercontig:CCACVL1_1.0:contig11438:6860:9205:-1 gene:CCACVL1_17747 transcript:OMO72503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILKASMFLLLLLLISAISASGFGSMGPISAAFGDNGFFCAIDASGKQEVICWSKNSSFATSSSSSSSSTTTTTSSASTSLSTLESASEVPPMAALSGGEGFLCGILANTSQVFCWTLMGSVPLIKQGKAAAIIDRFVALPRNVEPLLKLADIAELAVREDPSERPTMSDLVNLLQQIVKDGLVL >OMO72507 pep supercontig:CCACVL1_1.0:contig11438:27163:27303:-1 gene:CCACVL1_17751 transcript:OMO72507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKESRKLNSWMEVAPAPIIYPKKPSNSPGLETIAEDVAGEYDDKA >OMO72502 pep supercontig:CCACVL1_1.0:contig11438:3282:4481:1 gene:CCACVL1_17746 transcript:OMO72502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRMPSTKATGLLMGFANGIQGARHTKTCIKTYRST >OMO72504 pep supercontig:CCACVL1_1.0:contig11438:12713:16694:-1 gene:CCACVL1_17748 transcript:OMO72504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar (H+)-ATPase G subunit MAKEASKFCLSLFIILSLLANHGVSADTSKQSNNATAESKSKSKSPSPESLPVLPNPDTPTTEAEAEAEAEVLAPTLSVSPSANTSDIVETKSNETLSGDQENLPQEIASSPSVNDIPTALETNNNGDDETISTTSLPPSNVDNSILDTQEMDNNNLSQDNSSVSLSNTADPPAVSTTNETTTDPDFFQENNTSIPPSSSVDPETETKQDENNDLQENLPSSDETKNNNNVSVPSSSSSSPAPSPVFLEATPAAVDASDDGSFTEETQSPVSSVNAPAPETQLNDYTSLPTSSSLSPAPSSSEAVSVSFPESDTTQDTFPSANPPNEETQTQESVPELNDYTSLPKSASPAPSEAAAVSSFPESSDQPTIEEMTPSSTSNDGTDFGLFAPSSHTILPSNYRAEDEPVEPYEEEENSGNGVTGAVAGVLASVCIVGVAGFVYQKKKNDNIRAQYTCLAKRGGENMESSRGQGGIQQLLAAEQEAQHIVNAARNAKMARLKQAKEEAEKEIAEYRAQVEREFQRKVAESSGDSGANVKRLEQETDAKIHHLKTEAARISHDVVQMLLKHVTSVKN >OMO64580 pep supercontig:CCACVL1_1.0:contig12794:1420:2007:-1 gene:CCACVL1_21661 transcript:OMO64580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MAPTHLCLVSFATIFLCVFSLSPLPTTFAATTIPRKPLVPKAKVPLPKASKLVEKICKGDYIVNHKFCLKVLSNPEAAAAKNGNELTKVVMKMAASNAQNTLNIITQMASHGLPKELGVLKSCMNDYTHAIRSFGMVPDEMVQDLMTANYDIRYVSTDALHCDTAIAAAKIQLPQISAGNRLLRYYSSIGAELTN >OMO64581 pep supercontig:CCACVL1_1.0:contig12794:5721:7493:1 gene:CCACVL1_21662 transcript:OMO64581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MLLALLLAPFLLLLLYFIVRPKPVTIPIKNRHVFITGGSSGIGLALAHKAVSEGARVSILARSLPKLEEAQKSIRLAHGVDVAIFAADVRDYDAVQRAVNDAGPIDVLVVNQGVFVPQALEKQGLDEIKFMIDVNLMGSFHVIKAALPSMKSRKDRRQPASIALVSSQAGQVGIYGYSAYSASKFGLRGLAEALQQEVISDNIYISLIFPPDTETPAFEEERKIRPELTDIITSSGGLLKADEVASKTLDGIKAGNFSIPLNLEGRMLTIATAGVSPQSSFLMAFLEVALASLARIVSLFFLWSAYRSVAKWHFQKKGA >OMO68955 pep supercontig:CCACVL1_1.0:contig12150:3821:5269:-1 gene:CCACVL1_19743 transcript:OMO68955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLSLSSKLVGMYAGCGDLKSADAMFDKIKTPNVFAVNWMVLASAFEGHYKEAIGYFSLMSESIYLCNKFTFSVVLKACVGLMDLDKGKEVHAVVNNLGFENDVSNGLIDMYGKCGHLCYARKVFERMSERDVASWTSMISGYFNVGKCEEALILFERMKLEGLEPNEFTWNVMIAGFARRGNCKAVFALLGRMKKEGLVPDLTTWNAIVSGFVQSQCPFEALNLFGNMLVSGIKPNHVTLTGLLPACGLTGSIQKGREIHGLIYRLGLEINVFIASALIDMYSKCGKVKDARNVFDKIPRKNAASWNALIGCYGKHGMVESAIELFERMQEEGVLANDTTLTSLLSACSHGGYVEKGLKIFRSMKQSYGIEAAKEHYACIVDMLCRSGRMEEAYELVKEMPFGVTNSILGAFFNGCKIHGRKDLAKLMGEQIVEMELRKPGGFVTLSNIYAADGEWEEVENVRKVMKEKRIHKKPGYSCV >OMO68956 pep supercontig:CCACVL1_1.0:contig12150:16710:26876:-1 gene:CCACVL1_19744 transcript:OMO68956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFFEACGSNVLATVFVDYVVKPTVRHVSYAFRFKKMVKDLREKSEQLKVKQASVEDTIKDAENQIQKIDAVVEDWQTKARTLQQDVESLEVKIQVSKRCFNWCPDLFWRYQLSKQAAEKILTISNHMKESDFQVIGHPVDLPGIRLLPSKEFLPFNSVDSAERQIMEALKDDRVNIIGVWGMGGVGKTTLVKEVGRKAKLEWKLFGEVVEVVVSRDQKIEKIQAEIAELLKFKLDNESGAGKAEKLWAGLQKKESVLIILDDLWSELDLKAIGIPTSEHHKGCKILLTTRLERVCSAMRCREVVRMNVLDENEAWRLFKSCAELDDDASPDIVKVAAEVVEECKGLLIALSTLGKALRGARLHKWREASQSLKNRTLLDIECVVEDDKNAYMCLKLSYDFLKLEKTKKCFLLCSLYPEDYSIPMEELVRHAWGLELFEGKKSIQQARDAVYTAMDDLKASSLLIEVGVDKVKMHDMVRDVALWIASQKENCFVIKSELGDKEWPRNENFEQCTAVSFMDCNIKGIPEGLKFPKLEFLSVSGAQDKEKTMMFSGASFEGMKSLKVLNLSKIKGSFSQDALQFLTNLRSLYLESCDLNTYNISSLGNLKKLEILSFNGSKIEVLPDEVGELMSLRLLDLSWCERLKRIPPNVIQRLSQLEELYLGRCGFEFDEWLVEGSTDAEVRNASLLELNELSHLTRLVLEVTDSECFPRDLVFPKLQNYSIGIGMGDMYEAYPFMRCLKFHRNVPLHTFENLFGNVEWLELYSIVNCQNVVPSLDKGGLNSLSSLFAINCEDMDCLINSRNQHVPDNLSNLSVLGIQGLNCFKGLCNGLPPNGLLKKLEHLEIRECDSLKSLFPPSVTRNLVQLKSVEITGCNIMEQIFEEMELEGANDQVLSKLETLEIKGCGSLTSIFPPSVAKNLVQLKSLQINDCSMLEEIFEMEGANDQVLQKLETLEVSLCFSLKSLFPAPVAKNLVQLKVLHLNFCKKLEQIFKVMEVGYGEILSAATPTHVQPDPYLLPNLETLTIRYCGKLECLIDTRKKHLPAIALSNLEMLELRDMDGLKWLFNGEYSEGFLQKLRSLQISSCRSITSLFPLSLERHVLMEDDNFKMLSNNHDHHPAPCLQEMERLEISQCSKLEYVFPSSLVGNNLPQLKRLKLDYLNALKQVIALGDGIRDGNDICLKLPSLQDLWLSGCPKLRPFTVSSSHQMESICIEGGSDQLCNLPVVARWRENPSNMEYAVIGINYAEEMFHLQEYGNILSNLKFFRVRNLPELRVIWKSPKEIVTLQNLVEIKVYGCNRLRYVFSPLLAQNLPKLQYLNIQECEDLEQIVDTSSSSSQDPDHLQSLCFPNLQRIEIQSCNNLKYVFPISIVADLQPLDEIRISKALKLEQVLGGEDKADAKDHDHQEAQTVLHLRRLFLEELPSLISFSSLDYHFLFPSLSQLKVTNCRQMTTSFSIDSQSCAHAKPQKIAEDGFLLAIWFNKIPEKTIVWSANRNEPVQRGSKVELTKNGQLVLIDQTGKQISTAFSAITGVSYAAMLDTGNFMLGSQDSNILWQSFDDPTETLLPTQTMNQRSQLVARYTETNYSSGRFKFMLQPDGNLLLYTTNFPFEDDVDDGAYWSTQDTIGSGFRVIFNQSGNIYLAARNGTLLKTVFPTVSSSEEVYLRAILDYDGVFRQYAYPKSGTAATSNGSRAMSWSTVFFIPTNICMRTVGKYGGGACGYNSYCRLGDDKRPVCQCIPDWISPSYENFTAVTEDWCRLACLSDCFCAVATFRAGVCEKKKIPLANGRIDPQFGVKTLVKVRKQNSTSSNNSANDKKDQSNIIRVISGSLGGSMFLNLLLLLITLMLIFRLKRKQTKVQPQKVMPAVNLQSFTYNELEQATNGFQEELGCGSFGTVFKGQLANEPTEIAVKKLNKMERDGEQEFQAEVTAIGRTNHKNLVQLIGFCNEGQNRLLVYEYMVNGSLAKFLFENSRPHWHQRIQIALGTARGLCYLHEECSYQIIHCDIKPQNILLDDSFSAKISDFGLAKLLKNDQTRTMTAIRGTKGYVAPEWFRNKPVTYKVDVYSFGVLLLELICCRRNFEQNVEEEAQMILVDWAYDCYTEGRLHLLVEKDEDAMNDIQLVKNFVMIAIWCIQKDPSLRPAMKKVIQMMEGDIDVHIPPNPTSFMSSM >OMO78495 pep supercontig:CCACVL1_1.0:contig10575:4075:6045:-1 gene:CCACVL1_14340 transcript:OMO78495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEAKEVQNINANEKEEDGEMKKVHQFYFVKFWPYKDPEYDSKFEWARKLCQELDQEKADVIDDKMEEIRTCKEYLYSELQNQRFRSAALECALEWKTMVLDFLHAALDKSLANEGQLLTEIPAPQSNSFFSSSYLRRELNSAIEERKEKAMADAASNGRIWYPLSSKEDIEEQIRLINTIPEELRPERCELMEEIACLEDEVKAVEENENVLMEQFRGLIRRKEEVYKCILSLTNERDEADAKYYEYLSLLSNARELAKRKNVAALEELSSKQVDEFISRWNDSSAFRMSYEKAILPSLDRRQLSRDGRIRNDDEEPIILKDLLDLNGDIDAIKSFVVPFIARF >OMO78497 pep supercontig:CCACVL1_1.0:contig10575:11748:15966:-1 gene:CCACVL1_14342 transcript:OMO78497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase, type I MAFSSLIRSTAATASLIDASSRSDLFSSPSDRLKASSIGFSSNLNSTFGAAVSTRSSSSLQKCNSRTIQPIKATATEIPPAVPKSWSSGKTKIGINGFGRIGRLVLRVATARDDVEVVAVNDPFIDAKYMAYMFKYDSTHGVFNGTLRVVDETTLEINGKQIKVVSKRDPAEIPWGDFGAEFVVESSGVFTTLAKASAHIKGGAKKVVISAPSADAPMFVVGVNEKTYNPSMDIVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLQKSASYEDVKAAIKYAAEGPLKGILGYTDEDVVSNDFVGDSRSSIFDAKAGIGLSDSFMKFVSWYDNEWGYSNRVLDLIEHIALVGASRN >OMO78498 pep supercontig:CCACVL1_1.0:contig10575:31225:35175:1 gene:CCACVL1_14343 transcript:OMO78498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lytic transglycosylase-like, catalytic MAMAISFTYWDECVDAKDMEEMWNQPEVKKEWIAAGETQGQRVHLSRDPDGQTYLTQTEMRAVAEIITRRHFHSQIDPDMICAIAELGSDRQPLTMRYDKKTKLTTIGIMQIAPKTAEWLVRECNLALYPVEEDPDILYRPFVSVYFGAAYLKWLSNIDNIKRTEEYIVRAYKGGTKKATHKSTLPYWQRYLSVKESFPSRKHSDGPTPTQAPHAPASSTPAAVPYSCANLYWDSRVSSEDMELMWNNPEVSKEWKRSKETRGQVRFSHDKEKKPYLSRIELKAAAEIIISKYFSTRGIKPVILCALAEIISMRYVDGIEGQPGIMGIDYSTAFWLYAEVGNRAYRVDYEKDLTKPFVSLYFGAAYLAWLSEYKGRERSLQFIVQAYLSGPKNAKEELGHMWLKFEQILSSYETTITIN >OMO78499 pep supercontig:CCACVL1_1.0:contig10575:42740:48140:1 gene:CCACVL1_14344 transcript:OMO78499 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MSSVGNLQNLSIEAQQLIFEKVARNSAEDFIRLNLSSKTFMRMDHETNIISTVSLDEYYGRVDEMTEKRKAILRFFREREHPVALFILGLQDYCFEGRAAKGIQSLQKGYENGDKCYQYALGLCLIDFLDHQGIIYLKQLFSTISKKYIRKIRELTRAVTLDPHMPFRDHISPPCMNSIMESDNINVTLESTTPITVEQDDDCVEEIPNFEAKQKVSRKKRKKTSKVWDVFQDLGKTADGKDQVKCKYCSIVLNYDSKYGTCNLLRHCDTCLRRDTKDVKQLIMSSNNKGGMQVTSSKFDPEKLRELLFNKISATLYGLFSEYVAANASTSTSKSNACSSSASETQFEQPLSARSSRLETDRMKFLKQLSECEVLRCGASCCQAQGVNSVNLNLDVLLLNLDVLSTMFYWMLLNLDVKFGCFKFGC >OMO78496 pep supercontig:CCACVL1_1.0:contig10575:8412:10498:-1 gene:CCACVL1_14341 transcript:OMO78496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFPLFLFLFFLPIHARILQQICSSSCGDITNITYPFRLPGDPAVCGDPDFQLSCENNKTIFNYQRGKYYVKGISYEQLTIRLVDVNLANGSCALPYKSLSMDQATDGIRFPSLITFWQANFLNCSNNIPQLANNRVPCLSGVNSHVYVNFSNRDLFPAEIPNTCEIISRVPTSSQNEVNYPYETTLKLLESGFELRWSVECRNCWRAGLSCVYENNNDPRFFQCETYDYGYYETQLGAAIAYLFTNSVIDTVFTVRFIFLPLVIFVFLLHKYFTTRKTVVDPENIPPNWQFSAPKRYSYTDLSAMTNNFKDKLGEGCFGSVYKGKLLPNGSLVVVKMLVSSKLSQENFINEVSELCKIQHCNLVKMVGFCFEGSKHALVYEYIPNGSLDKHIFSKGGNGGSFNWEKLHEIALGIAQGIEFLHGKSPVCTLHLDIKPQNILLDQNFAPKITDFGLAKFYPKKYDFMSISATNDEAVKYMAPELISRDYGTLSPKSDVYSFGMLLLELAGGRSNVYAATDSEKVHLPSWIYDHINDRKDSEVDNVIESETMMARKMFIIGLWCTQTNASDRPSMNRVWNMLKGRIDDLELPPKPIPFSQHVYVSEPQSDSPKELLIPESMERSS >OMO52111 pep supercontig:CCACVL1_1.0:contig15602:9463:11049:-1 gene:CCACVL1_29355 transcript:OMO52111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNNLAGDIPSNIVSLLSKFVDVFLDETPSGLPPIKGIQHRIDFIPGAQIKEDSMMCLYDLSFPYVVRYKKDLRTNLLQGGGNDAPKAYHGLEDCYGEQRKDVQGLQGSMKMHGDIDNHVPSTKKMPFNPLKMPISPITRARAKRFKDALMGLVRTHLDDMKTIEVQLKSFDDDLSKKLPINYKDWGWLYLTKERFPEKRKSKLLPRGDDLSLFDNDADLKTNLLQGGGDDVPWTHHGLEVHNGDHGEDVHGLQGSKDKHEVDGDIVNHVSSTKKMLFDPLKMPSGPMTSARAKRFKDALMGLVRTHLDDMKTIEVHLKSFDDDLIKKGTIDFKLLTLIAIDV >OMP05444 pep supercontig:CCACVL1_1.0:contig05387:8891:9037:-1 gene:CCACVL1_01901 transcript:OMP05444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGRIDYGEFAVMMRKGDGVGRSRTMRNSLNFTIADAFEVKDSASSDTN >OMO72070 pep supercontig:CCACVL1_1.0:contig11510:1885:4885:-1 gene:CCACVL1_17961 transcript:OMO72070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFPGRFTFPKNKIVICAASSAAGSSSPDSDFNPYEVLGVSPIEGFEKVKQVYTRKRKEADKRGDEATAALLEKAYDKLMMAQLMNRKKGVTYGSFQVSKDVKYADKQPIVPWGPRFTKSSVQDSRINLAISAVFTAWIAIQRNAEYKPLQFLAFAFVYRIFEKLKAFEPPVSQTFTEEGEFEDNGRGLRMGKRLLRSLALVFGCIAVSSLAYTGLLNIIEYVGSYIPTVIYNNQEFIITTVSAVSLYIMASYYR >OMO72073 pep supercontig:CCACVL1_1.0:contig11510:43095:44153:1 gene:CCACVL1_17965 transcript:OMO72073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase MTATATGKSLHIYSSKESLSFNPIRKTKTNHLKFTKTLFSPNSRCHCLAIRASTNSLTILNDSPTLSNPDHQLRVQILSESLPYIQKFRGKTIVVKYGGAAMKSAELKASVVRDLVLLSCVGLRPVLVHGGGPEINVLLNQLNIPSQFRDGLRVTDARTMEVVSMVLVGKVNKDLVSRINFAGATAVGLSGMDGCLLTARPSPNAAQLGFVGEVARVDPTVLQPLVDIGHIPVIASVAADEFGQPYNINADTVAGEVAAALGAEKLILLTDVAGILRNREDPGSLVKEIDIKGVKKMIEDGKVAGGMIPKVNCCIRSLAQGVRTASIIDGRVEHSLLHEIMTDEGAGTMITG >OMO72069 pep supercontig:CCACVL1_1.0:contig11510:262:1146:-1 gene:CCACVL1_17960 transcript:OMO72069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDTACARDENYEPGDDPRKLRPGEIDPNPESKPARPDP >OMO72071 pep supercontig:CCACVL1_1.0:contig11510:23003:24008:1 gene:CCACVL1_17963 transcript:OMO72071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIMKNKYQLCEEIGRGRFGTISRVFCPITKTSYACKSVDKTVLTDPTDRECLENEPKIMTLLSSHPNIVQIHDMFESEDNLQLILELCQPFTLYDQLLQPNLSESTAASYMQQIMSGLAHCHRFGIVHRDIKPDNIFIDFRGKLKIGDFGSATWLGEERTADGLVGTPYYVAPEVVLGRAYNDKADVWSAGVVLYVMLAGVPPFYGETAEEIFAAVVRGNLRFPPRIFRSVSPEAKDLLRKMICRDVSRRLSAEQVLRHPWILNGGGELTSMD >OMO72072 pep supercontig:CCACVL1_1.0:contig11510:29667:40986:-1 gene:CCACVL1_17964 transcript:OMO72072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPKTLDETKSRADYINGFDGVSSQS >OMO72074 pep supercontig:CCACVL1_1.0:contig11510:45494:49881:-1 gene:CCACVL1_17966 transcript:OMO72074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGVLDDIINRLLEVRGRPGKQVQLSESEIRQLCVVSREIFLQQPNLLDLEAPIKICGDIHGQYSDLLRLFEYGGLPPNANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAALIDEKILCMHGGLSPELNNLDQIRNLQRPTDVPDTGLLCDLLWSDPSKDVQGWGMNDRGVSFTFGADKVSEFLQKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPADKKSKFNFGSTTTAKPGNPSTGVFGSTTTAKPGNTPAGVKSFLGTKV >OMO94802 pep supercontig:CCACVL1_1.0:contig07799:27298:28723:1 gene:CCACVL1_05792 transcript:OMO94802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEAGRKCSHCGHNGHNSRTCNGKGCVKLFGVNISPMDKQQNFMKKSSSMGNLPSHADSNNASSPVEDDHGYLSDGQIHSRKHKAAHERRRGKPWTEEEHRVFLEGLRKLGKGDWRGISKNYVTTRTPTQVASHAQKYFLRQAGNDKKKRRPSLFDMAFKEAKISTASPPGSPSASEISRKILSDNNHDQVKAPSQILNRFPHLCLDDRPPVMSMTASPRFPSYYQGININPSMAGVALNGQGFPGAKIMNPSMPFLHTMNYAGPRYGYIPKTLGSVVACAPIAHHHPSGIPLPRKRSFGAQDWASSIAKRYKPSISGIH >OMO94808 pep supercontig:CCACVL1_1.0:contig07799:71911:73600:-1 gene:CCACVL1_05799 transcript:OMO94808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase MEHTFIMVKPDGVQRGLVGEIISRFEKKGFYLKGMKLMKVDRKFAEKHYADLSAKPFFKSLVEYIISGPVVAMIWAGKNVVTTGRKIIGATNPSESAPGTIRGDFAVEVGRNVIHGSDSVENAKKEIALWFPDGAVNWESSLRPWISE >OMO94803 pep supercontig:CCACVL1_1.0:contig07799:29652:34307:-1 gene:CCACVL1_05793 transcript:OMO94803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MYRSASWSRVADDYYTSPKAAGTGLRMSSSVEGNSELPMYDPALETADKKEKSKAKFAENAVHLIPLVLLFCALILWFFSNPDVEVGTKVDSVAAKIEGLTIDGDIDNDSDGTQTGDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDEESFNNVKQWLNEIGRYASENVNKLLVGNKSDLTAKKVVSYETAKAFADELGIPFMETSAKNASNVEQAFMAMTGDIKNRMASQPASNTARPPTVQIRGQPVNQKGGCCSS >OMO94810 pep supercontig:CCACVL1_1.0:contig07799:78842:84644:1 gene:CCACVL1_05802 transcript:OMO94810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSNEQETVPKVITFLSSLLQRVAESNDHSRRFQSQKISVFHGLTRPTISIRTYLERIFKYANCSPSCFVVAYVYLDRFVQMQPSLPLNSFNVHRLLITSVLVSAKFMDDIYYNNAYYAKVGGISTAEMNLLERPVVAFIMVYFTRKFRESPRRFKFLPIKGLANSRVWNLFSHHPQRLLHESVMVEVLSRERPHGFPPPEYKRRRVSAFRDYPVVRKYPPPKIRKGLTIKRGFPSMLNAPTSLRNQSTSDSNNSHSAMLNAPMEDFNSNHVRERTNAKQDDFRANDVCDCKPMDHSTATKMNSSPEVKESNLAMIVWNGQCQLDNIPIATNVYICRDVEDSSPSKVEDYEVVLKDEERHKVSSPTKQASLLMVVNDEQDHQATKECEDDLVVNSSQEVVCDDLVTVRNNELRNPCDMVKEVLHLFREVYHERSKAADKRRSGLHQEVASFLRKQHKWVNMDKRLGPVPGVEIGDCFNWRTELSVVGLHHEYICGIDYMDLDDGRKLATSIVDSGIYDNVVESHDGQEFPDVLIYSGKGENPKISKKPLNDQKLEGGNLALKNSAEAKTPIRVIRKLSFKRANIKGSDYMYVYDGLYFVDGVREERASTGRLVFKFVLKRFPGQPKLDWTRLVTKYHVSQVKERIPIRVVNLLDDERTDLSQGEENVPIRVVNSLDDERNDISQGEEKIPIRVVNSLDDERPPSFNYITNVTYPKSCRPPKPSDGCDCIGGCSDSEDCPCIVKNGGEIPYSYGECVMNMKPLIVECGPSCKCFTSCLNRVSQRGIRFPLEVFKTERKGWGVRSRSFIPSGGFICEYTGEVLGDDEAENLIGHDEYLFNISLHDASELSHSTVVNESFTIDAAQFGNVGRFINHSCSPNLYAQEVLFDHDDKRMPHIMLFAVEDIPPLEELTYDYNYEIGTVVDANGKVKVKACHCGSSECNGRMY >OMO94800 pep supercontig:CCACVL1_1.0:contig07799:8712:11980:-1 gene:CCACVL1_05790 transcript:OMO94800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MDFDSLESVRTSKALKKFYYHRRKLTSEMKQFKLSGCLASSDNRSVTSEDDNFQCRCLGFHNLINKKEVLVTENVNGIKVTTLDINIEEQQYICSLHPTAQQQAAAIAQYNDALDEAIYGSDEFRMYAYKIKRCTRLRSHDWTECPYAHRGEKAQRRDPRKFPYTAIACPAFRTGKCPKGDGCEFAHGVFEYWLHPARYRTRACNAGRFCQRKVCFFAHTPEQLRAEPNYSCHFAYKGKMTNGGDHHHHHHYYYHPADQPMMVAGNIVIAPSGGSGGEGSSTSMQGVPAVVVHERPLPSPSASAMQVNRRESGGGGGFEGVSGVSDLLKSLKALKMREDEEEARERLRRRNNVGVDVVDSDLPDDLDWISDLVK >OMO94814 pep supercontig:CCACVL1_1.0:contig07799:106952:109088:1 gene:CCACVL1_05807 transcript:OMO94814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRATYGDDSSDSDSETPTPPTLPTESKSQTEETLASPLPPPPLSLLDPPDSLGSLDYLQTGQPSRVRSFPHVEGNYALHVYIPVYIPSTSKREMGQFLKRVASSFSGLHVVDIDVPLNILCKEEHKLDQVALGREFHISLGRTVPIRVHQIDSIVTMLRQKLQFQKRYWIDFNKWEVFVNDDQTRTFLSLEVITGGLSEITKQIQAVNEVYKLHNLPEFYKDPRPHISLAWAVGDLSGSLRRVVKEETKTSSSGGSLPNRICASKFGGIECKIGNKTYKICISPDQ >OMO94801 pep supercontig:CCACVL1_1.0:contig07799:15242:23750:-1 gene:CCACVL1_05791 transcript:OMO94801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb protein, VEFS-Box MCREDSRVHLSAEEEIAAEESLSIYCKPVELYNILQRRAIRNPPFLQRCLRYKIQAKHKMRLQMTVSVSGIMNEGVLTQSPFPLYILLARLVSDVAAAEYSAVYRFRRACILTSFTGIEGSNQAQVNFVLPEINKLAMEAKSGSLAILFVSFGNGGGCCLWGRIPLESLYMSWEKSPNLSLGQRAEMILPVIMHSCLLKLNCSNEDKCILIQSSSNSLSMNQSLQLQVIISAEEVGAKEKSPYSSYTCSGVSSSSASLSHVIRLRAGNVIFNYRYYNNKLQRTEVTEDFSCPFCLVKCASFKGLRHHLPASHDLFNFEFWVTEEYQAVNVSVKTDIWRSEIVADGVDPKQQTFFFCSKQLRRRRPKTIVQNARHVHPVFLEPNLQAGGCELLDKAHGGNILQNARIGSVECAQHVPSSFNVAGVSGAAGQSYSDSERLQSVSGNNLAPPALLQFAKTRKLSMERPDPKNRMLLQKRQFFHSHRAQPMGIDQVMSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQIMHLWNSFVRKQRVLADGHISWACEAFSKLHGRDLVKVPALIWCWRLFMIKLWNHGLLDARTMNNCNIVLEQYQKQESDPIKG >OMO94806 pep supercontig:CCACVL1_1.0:contig07799:56037:58379:1 gene:CCACVL1_05796 transcript:OMO94806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAIQRRILDVSQSREKYGIKNIGEGISTAKERLRKQRRSSPRGEEKDIVGLDDDIAKLVTQLVDSEDQWHAISIVGMGGIGKTTLAKKVYNHADIQARFPTRAWVYVSQEYSLRDIFQAIIKQVATTGRNLEKLREEELEEILYEHLRKKRYLVVLDDVWNIEAWNSLSEAFPDSSSNGSRVMLTTRNKSIALKADARSVPYDLHFMSEENGWMLFCKKAFIQSADSHRSPRLEEIGKEIVEKCAGLPLAIIVMGGLLSTKKSLAEWKRVLSNMSSFFAQDPNGVSAILALSYNDLPYYLKSCFLHLGQFPEDLPIPTHKLFRLWIAEGLIPQQGERMEDAAEDYLNELIERNMVQVAKLSVNERVKQCRLHDLLRDLSISKAKAENFHEIQGSQNVHPSARSRRQAIYSTFNWRQHKHPNPHLRSLLLFRVDHHKSQVNYYRNDPYKMEVSDLEYIGKNFKLLKVLELEGIPCTTIPSIIGLLIHLKYLGLKETNLQELSSAIGSLRSLQTLDIAANLHLLTIPNVIWKLKRLRHLYMCGHKYGGPLRIDTLQHLQAVSEINVQRWMQNDPANLTSLRKLGIRGNFSLNATQIFNSIMALVQLESLYLRTEEADFPSLTQLSALQNLVKLHMRGTIRQLPSPQQFPPNLCQLTLEHTHLQQESVGILEKLPRLLILRLKARSYDGEKMEISVSGFPQLEVLELVSLESLEELNLEEGGVLRLRSFRIIKCEKLKMLPEGMKTLTTLRELDIELMPKSFVDRIRGEDFYKVQHVPSILFV >OMO94813 pep supercontig:CCACVL1_1.0:contig07799:102952:104969:-1 gene:CCACVL1_05806 transcript:OMO94813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLSRVSAVSYTVKPSRPFYNPCCSSFRFIQARPRLNYKWRSMATTEPDSSSSSSSSFAPSIDSDSTADKNAAGFCIIEGPETVQDFAKMELQEIQDNIRSRRNKIFLHMEEVRRLRIQQRIKNAELGIFKEERENELPNFPSFIPFLPPLTSANLKVYYATCFSLIGGIILFGGLLAPTLELKLGLGGTSYADFISSMHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSNTGSIVLIEPVSTVNGGNKPLSPPKAERCSNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFD >OMO94799 pep supercontig:CCACVL1_1.0:contig07799:4802:5847:-1 gene:CCACVL1_05789 transcript:OMO94799 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MVGRSAVQNMQQGKLNILQSLPYRLQLHEAYYLSRDHKPYLEAEKERILKAGCFMHAGRVNGSLNLA >OMO94807 pep supercontig:CCACVL1_1.0:contig07799:69348:71224:1 gene:CCACVL1_05798 transcript:OMO94807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase MsrA MLRSLTLATSSSLSSSLISKPFFSLSPKLPLSFSPISLPFPQTKRSISLYRAPMNILKNLGFGAKPAQSAENTAIAQGPDDDVPAPGQQFAQFGAGCFWGVELAFQRVPGVTKTEVGYTQGFIHNPTYEDVCSGTTNHSEVVRVQYDPKECSYESLLDAFWARHDPTTLNRQGNDVGTQYRSGIYFYTPEQEKAAKESMEKQQKVLNRKIVTEILPARKFYRAEEYHQQYLAKGGRFGFKQSAEKGCNDPIRCYG >OMO94804 pep supercontig:CCACVL1_1.0:contig07799:37464:38851:-1 gene:CCACVL1_05794 transcript:OMO94804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MSSDKDSCSFSIGDTAQEKGLPYVPQRYVVSPSNRSSLNPEKAQVPTIDMARLRQNDDEIRSMAIKELGDICRHGGFFQVVNHGICQSILDEALSVASGFFNLPTEEKMKLSSNDVYKPVRYGTSLKDGVDEVQFWRVFLKHYAYPLDAWIDSWPENPLDYREKMGKYSTEVRKLAMELMRKITKSLGLGPNYLGQKMEDGMQVMAVNCYPPCPEPDIALGLPPHSDYTCLTIVLQNAPGLEILDTNDHGQWKLVPDYRGALQVHIGDHFEVLSNGLCKSVVHRAILNSERTRISIASLHSLGMDDKVGPAEELVDDEQHPKKYKESSFRDFLDFLAANDIGATKISFIESLKL >OMO94812 pep supercontig:CCACVL1_1.0:contig07799:90690:92557:-1 gene:CCACVL1_05804 transcript:OMO94812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGRTKIRTTCADLRRSDRIFGLGYTETLIFGPINTLATTVNMLLAAASSRLVLFPTNPNSVFHDNAQLMLSTSE >OMO94805 pep supercontig:CCACVL1_1.0:contig07799:40961:41609:1 gene:CCACVL1_05795 transcript:OMO94805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MAKHNILLCFLAIFCFALTSDAATYMVGDTSGWDIATDIDSWASDKIFKVGDVLLFQYSSYHSVSEVSKESFESCNTTDTLTKFSNGNTTVTLSKPGARYFVCGNQLHCLGGMKLQVDVQDDQANSTVGAPQAQPGAALPQPSSKSNNPSTVIPTSNGFVVGGTGLFVIPFLSLMATMLILVKI >OMO94811 pep supercontig:CCACVL1_1.0:contig07799:87100:87462:-1 gene:CCACVL1_05803 transcript:OMO94811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMETNAPSGGSNGASGSAHGPNWDYSWGWGSSPGSGWGYGSGSGRSPNGFGRGYGFGYGSGSGSGSGYGYGSGSGGAHGGGYGAGSGQGNSGGGGYGGGSGGSNVNNNPWPSSNKNHHG >OMO94815 pep supercontig:CCACVL1_1.0:contig07799:110140:110292:-1 gene:CCACVL1_05808 transcript:OMO94815 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat-containing protein MTSIAPGSVKLFTIIITSVFASVTPLLTRLVKRLLGLIKKLALDIVHKVT >OMO94809 pep supercontig:CCACVL1_1.0:contig07799:74746:74817:1 gene:CCACVL1_05800 transcript:OMO94809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAETKMLLCDSSTTTGGTVVS >OMO77903 pep supercontig:CCACVL1_1.0:contig10675:19540:19638:1 gene:CCACVL1_14746 transcript:OMO77903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRKRRADEGNLGRELMDFVALLPIVKNTNED >OMO75297 pep supercontig:CCACVL1_1.0:contig11055:13956:14030:1 gene:CCACVL1_16236 transcript:OMO75297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EHSTCYAIAVGSAPYVFSVKLLSP >OMO73111 pep supercontig:CCACVL1_1.0:contig11302:2497:2619:1 gene:CCACVL1_17467 transcript:OMO73111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVSGRFGLGFQINCITRLHPLLPKTYFGVFGNAGVKHY >OMO54632 pep supercontig:CCACVL1_1.0:contig14925:19781:22696:1 gene:CCACVL1_27709 transcript:OMO54632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MISGSDLYHVLTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFISSNNPYTMNLKFIAADTLQKLIVLAVLAIWSRTSARGCLEWSITLFSLSTLPNTLVMGIPLLKGMYGDYSGSLMVQIVVLQCIIWYTLMLFLFEYRGARLLIAEQFPDTAGSIISFKVDSDIISLDGKEPLQTDAEVGEDGKLHVTVRKSTSSRSEIFSRRSHGPNSGLSLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNGKNVSNVSPRQSNFGMGFDEENGGLGVFGNIPGRANGGAYPAPTNALFSPVTGPGAKKKPNGADGGKDLHMFVWSSSASPVSEGGIHVFRGGEYANDLGGVAHHPKDYDEYGRDEFSFGNKAANGVGVDREGPVLSKLGSSSTTELHPKAGAHAESKPTAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFRWEIEMPAIIAKSIAILSDAGLGMAMFSLGLFMALQPRIIACGNTIAAFAMAVRFLTGPAVMAAASIAVGLRGNLLRIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >OMO86223 pep supercontig:CCACVL1_1.0:contig09516:9700:10023:-1 gene:CCACVL1_09715 transcript:OMO86223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMVKIRVAKMVLMFMIVVVAVACSSAQGRNLKDQGEQVKQPQNFVGGFGTSGGFVPTPGGGVRYDPGPTVFCAFPGPTGGCLRVEPIIPGGIVGTGGTGTGTGTPP >OMO86222 pep supercontig:CCACVL1_1.0:contig09516:4120:7741:-1 gene:CCACVL1_09714 transcript:OMO86222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSCAVCADTLEWVAYGSCGHREVCSTCVIRLRFICDDCHCCICKSELKTIFITKALGDYTKVINDFAAFAADPVEGQVGPYWYHEGTQAYFDDLDHYKMIKAMCRLSCTVCDKKYEEQNAGLKKRAEFKNIEQLKKHLFNRHRLLMCSLCLEGRKVFMCEQKLYTRAQLDQHMKTGDSEVDGSESERGGFMGHPMRHPGQYEYYRNYDEMEIHFRQEHHLCEDEACLAKKFIVFATESELKRHNAIEHGGRMSRSKRNAALQIPIRFQYRRSYEQDPRVRGRGLRADSSDNQLSFAMQGSLPTANAETAHYTSTSNQEIINNQETSEVASIVGRFEALATVDVEHSSRHCQASGNSRSRPLEDSSFPPLASTSNGSQQKLRSGSKGSARSNMAALLRRQNNGTVTVPNAAPAWPATRPQPNMSASVSQQSRPVTNFLQFSTNSYSSSKSKPARMKESLPYGHVSSANGTLFGLTANFASSSRSSVGTSKVSHSASAPNLVDRGLFDNSLSNFPPVSSTQDYTKAATGSHPSPKVEDIQSANKALVEKIRASLEFDKDKYSAFKGITAEYRQGFINTKEYLAYVHQFGLSHLVLEIAKLCPNAQKQRELVETYNFNMSSSGSLKNGLSNEAGQSKSKKKSKKGKEKCEEDAINGSNHALTDGIHSRVKVLQPNQKSCVEEAEVLLEEGHKTAKGISKVLAAKEANSDFPSQSQMELRSDNGSQPAGDGSKKDILLGGGNKPRKKVSKFLRNRLGDASSTEVANVGNCYPGPDRIEEKVDEDKEPPEELPVRGVWRNGGGRRLMGMTQSKPRK >OMO86225 pep supercontig:CCACVL1_1.0:contig09516:16316:16402:-1 gene:CCACVL1_09717 transcript:OMO86225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGINFKGQSQRQRPKAKRERAHAMPIRP >OMO86226 pep supercontig:CCACVL1_1.0:contig09516:17441:20653:-1 gene:CCACVL1_09719 transcript:OMO86226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRNRNVKPTNGKPPVAANPYAINLDNFSKRLKIFYSHWNKNNTALWGASCALAIATPPVSEDLRYLKSSALNIWLLGYEFPETIMVFLKKQIHLLCSQKKASLLDVVKKSAREAVGVEVVIHVKAKGDDGTGLMDSIFRAISSQTNSGDHDVPVVGYISRETPEGKLLETWDEKLKKANFELSDVTNGFSDLFAVKDETELTNVKKAAFLTSSVMRQFVVPKLEKVIDEERKVSHSALMDDTEKTILEPARIKVKLKAENIDICYPPIFQSGGEFDLKPSASSNDENLYYDSTSVIICALGSRYNSYCSNIARTFLIDANATQSKAYEVLLKAQEAAIGALKSGNRVNSVYQAAVAVVEKDAPELAANLTKTAGTGIGLEFRESGLSLNAKNDRTLKTGMVFNVSLGFQNLHTDTKNPKTQKYSVLLADTVIVGEKVPGILTSKSSKAVKDVAYSFNEDDEEEEKLKVKAVDNGNEALFSKTTLRSDHHEMSKEELRRQHQAELARQKNEETARRLAGGGSMGSDHRGAVKTVGDLIAYKNVNDLPPPRDLMIQVDQKNEAILLPIYGSMVPFHVATVKSVSSQQDSNRTSYIRIIFNVPGTPFSPHDANSLKFQGSIYLKEVSFRSKDSRHIIEVVQQIKTLRRQVNSRESERAERATLVTQERLQLASSKFKPIKVLDLWIRPPFGGRGRKLTGSLEAHTNGFRYSTSRPDERVDVLFGNIKHAFFQPAEREMITLVHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQNFVNRVNDLWGQPQFKALDLEFDQPMRELGFHGVPHKASAFIVPTSNCLVELIETPFVVISLNEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLNTTDLKYYESRLNLNWRPILKTITDDPEKFIEDGGWEFLNMEVSDSESEHSEESDQGYVPSDVQSDSVSEDEDDDSESLVESEDDEDEDSDEDSEEDEGKTWEELEREASYADREKGHDSDSEEERKRRKMKALGKGRVPDKRNPGGSLPKRPKMR >OMO86224 pep supercontig:CCACVL1_1.0:contig09516:13270:16010:-1 gene:CCACVL1_09716 transcript:OMO86224 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp, succinyl-CoA synthetase-type MARKKIREYDSKRLLKQHLKRLANIDLQISSAQVTQSTDFTDLTNKEPWLSSTRLVVKPDMLFGKRGKSGLVALNLDLAQVAEFVKARLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTIFLPTEKQMTLEACAPLIATLPLEVRGKIGDFIMGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGDIEFPLPFGRVLSPTESFIHSLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATANPDGRKRALLIGGGIANFTDVAATFNGIIRALREKEAKLKASRMHIYVRRGGPNYQTGLARMRVLGEELGVPLEVYGPEATMTGICKEAIDCIMSKA >OMO86220 pep supercontig:CCACVL1_1.0:contig09516:1212:2393:-1 gene:CCACVL1_09712 transcript:OMO86220 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthetase MDSFLFTSESVNEGHPDKLCDQISDAVLDACLQQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRNIGFVSDDVGLDADKCKVLVNIEQQSPDIAQGVHGHLTKRPEDIGAGDQGHMFGYATDETPEFMPLSHVLATKLGARLTQVRKNGTCPWLRPDGKTQVTVEYQNDHGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMIAINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQN >OMO86221 pep supercontig:CCACVL1_1.0:contig09516:2891:3025:1 gene:CCACVL1_09713 transcript:OMO86221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCGVQLKENASVRFNGWDSLESVAENDRAPQTQAPRLPGEPVS >OMO87157 pep supercontig:CCACVL1_1.0:contig09304:1349:1738:-1 gene:CCACVL1_09231 transcript:OMO87157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRDVIEPAKTEPVAQPVAEQRVVEPFKMEVRIEIPIYDGELDPEKLNGWIKQLEVYFSTKSYTDQQKISFSRLRLGNHAVTWWESFISGLEAEGMAPIQTWQEFTAAIKNQFYHLGYEEELKGKWQFLR >OMO87156 pep supercontig:CCACVL1_1.0:contig09304:758:922:-1 gene:CCACVL1_09230 transcript:OMO87156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEEEIECYEKPDEKLVCMAYRVKQKHLSATTTSTDTGKAVVCVPDKVKEELFW >OMO59194 pep supercontig:CCACVL1_1.0:contig14033:25947:26539:-1 gene:CCACVL1_25013 transcript:OMO59194 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative r2r3-myb transcription factor MQQNEGNTQGAKAEKLVSLSSKPTSSLWILLLLRIPPHPPPPPRTRAKGKGRSDSISNPTMMMMMMNIMMMMIMTMISSRARITARFQSMFEQDNHHHVTGSGSGSVSLGSNNNVNNAMDFEMPLMEMDSLMETGAGRKELDLLEMISQGKL >OMO59191 pep supercontig:CCACVL1_1.0:contig14033:8998:9396:-1 gene:CCACVL1_25010 transcript:OMO59191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKHGNGNSIQPEYDIATRHEVVGGANHGNLYGFGKENPKNILGTPEMGHASGSGHGAHSTQNISDIVQQVMAQVVMHLDVAVQRSVNNALINVGIQPSHQAASRGDAGVVNNNAGGEGNNARSAADGSRI >OMO59189 pep supercontig:CCACVL1_1.0:contig14033:945:1127:-1 gene:CCACVL1_25008 transcript:OMO59189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEVKDENGRSWNFVCSLRKAGYAKPVLQKGWRDFVVANGLTVGDKVTIYQHEYSNDGIK >OMO59195 pep supercontig:CCACVL1_1.0:contig14033:32882:33607:-1 gene:CCACVL1_25014 transcript:OMO59195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KDSWSEEEDIILIEAHKEIGNKWAEIAKRLPGRTENTIKNHWNATKRRQYSRRKGKETGVSLLQTYIKSVDSSSSSNSTSSTSAPKDKGKGKRSLGFDFEPNHDDDDDEHHDADDHDHDQLESSDYSPIMPKDDDEHQWGVGIYDNNNNNDDDDDENQPMNFSFDHSNAVFGNRSFQSMLEQDNHHHVAGSGSGSGSVGSNNNVNNAMDFEMPLMEMDSLMETGAGRKELDLLEMISQGKL >OMO59193 pep supercontig:CCACVL1_1.0:contig14033:20550:20747:1 gene:CCACVL1_25012 transcript:OMO59193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTESFSYNKLSPAVLENRFPISSGVPNGANESPAAAILIFNQQFQGMASTKTWERSKTFGRNN >OMO59190 pep supercontig:CCACVL1_1.0:contig14033:6155:6559:-1 gene:CCACVL1_25009 transcript:OMO59190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRMIFSKKLSPTDTLKRLAIPMKSLEFFPRLCGGHEVEIMVIDDETQQRWTFSCTLRHKGYPKPVLSKGWRAFVRSKGLQPGDKVTFHHRISQDGIKVFGSIFPRSYYSVTIERASTRLFGTATPNINRIL >OMO59192 pep supercontig:CCACVL1_1.0:contig14033:10381:14721:-1 gene:CCACVL1_25011 transcript:OMO59192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKRRRGWVKAPPARKRASSANNKKALNQNEEAYSSFINQNNKEKSVSASSNDVELVDEDHSHSSSDSDESLSVEDSSSDDSAFVDRRSRKSTKGRDNGKQQASNLPKKQRIDDVKSSRVIKKVMKMDEAQPFNVPVDYALEKPEYRNVIDTPMDFGTICINLENSVKYMNSEDVFNDVQYIWENCCKCNKKGEYIVYLMKRVKKKFMKYWTAAGLCIEQSRKVNVGTSYEPSMTDYATRNSRHEPLTPVGLAVLGSSQISQDRLGYPPHQPLAPLAYSQGQPHQLQQSPPSTAWPQFSQFPPVSDRQFSQPLPLTNLPQFSQSQAGTGFNTAGTMIKDGGTKSNLGSRGEKKSRGGGNMCTSYQSTMDDTDVVTARRPSTTHPPPMLPSSIHPHIKQSSRAQLSAEPPLEASNSQATEDDDEIGGHNSTRSTRGLNRGINTPPNLADRKTIYIVDNRYHVKDYSL >OMO63083 pep supercontig:CCACVL1_1.0:contig13056:11577:12349:1 gene:CCACVL1_22489 transcript:OMO63083 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MSLYITEYPDKVGSNRMEVWHSVANNLGTLTANLLGDYFASHYSYGWNVLIGSLSVPSLFLVIASFFIDDTPASLVLRGNLTKGKQVLTKIKGDEDVSGEFDKLLEDLDQLLIRVVLRSLKPYDLQEKKAAIGVIVLVSIYGMSYSSISGPLGLLKPTLPLEAQVMGTFLSISFTMLLSFLISQGNLFLICWLLENG >OMO61699 pep supercontig:CCACVL1_1.0:contig13429:12430:12720:1 gene:CCACVL1_23313 transcript:OMO61699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEATKCDGFNEDQFPPGGLFAAVSDGLWDNGASCGRKYRLRCISGPKRPCKVKSIVVEVVDLCSKDPCPATLQLSNKAFDAISKIDAKVNVEYAQ >OMO61698 pep supercontig:CCACVL1_1.0:contig13429:10597:10659:1 gene:CCACVL1_23312 transcript:OMO61698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEIFFDLEAKRMEQTLLK >OMO61701 pep supercontig:CCACVL1_1.0:contig13429:22212:25286:1 gene:CCACVL1_23315 transcript:OMO61701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MAEKMSLTIADAVDYKGFPADKSRTGGWVPAALSLGIEVIERLSTMGIAVNLVTYLGGTMHLSSATSANVVTDFMGFSNLQRIGIGLFLSAVGMAAAAISENKRLSVAKEFSENTTLPITVFLLIPQFFLVGAGEAFIYSGQLDFFITKSPKGMKTMSTGLFLATIALGFFLSSLLVSIVKNVTGSSIKQGWVGDNINSGRLDCFYGLLAALSFINFGLFLISAAWYRRKTVKNAPQLKITAKESEIEEKC >OMO61702 pep supercontig:CCACVL1_1.0:contig13429:28485:29534:-1 gene:CCACVL1_23316 transcript:OMO61702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGGSLSDGVIKKILLSYTYVAIWIFLSFTVIVYNKYILDKKMYNWPFPISLTMIHMSFCATLAFLLIKVFKVVEPVSMSRELYLSSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKEGFKTDTMVNMLSISFGVAIAAYGEARFDTWGVMLQLGAVAFEATRLVMIQILLTSKGITLNPITSLYYVAPCCFVFLLVPWIFVELPILRETSSFHFDFVIFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFLGVAYYNHSKLQALKAKEAQKKTAQADEEAGRLLEERESEGAGKKIESQN >OMO61703 pep supercontig:CCACVL1_1.0:contig13429:34198:38344:-1 gene:CCACVL1_23317 transcript:OMO61703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEDLGNVGKLVGFIILTWLSYSTHSLNAIPIPCKASLLESCPASLYYVPTTTISLEETAALFHVNSNAVNRTIDGFLIAINCSCLGGGDEFTWHLDYEVQPEDTWEGISSKFGSFVVEKPEKTLIPSQTVTLDILCGCSESGDTVTYEVNKGDTLYTICARFDADLNKTARFNRLEDPTLIHKGEILFIPEPKSGENIVSSLSSDKATEFTYYELCDATSNFSMSLKIGQGSYGSVYLGKLKGTDVAIKQMKNTKSKEFLSEINILCKVQHPNLIELIGYAAGGESLFLVYEFAQNGALSDHLHGFTLKGYKPLAWTTRVQIALDAAKGLEYIHKQTKPYYVHRDVKTSNILLDSNFRAKIADFGLVKLFEHSPEVGAAASRIVGTFGYLAPEYVRDGRVTTKADVYAVGVVLMELLTGQPALSRDANPGNNHYNEHRTLVDYMLSALNDSQKPMIELAKCIDPNLTHHHKDSSLQMALLSKDCVDDNWNQRPDMSEVVLRLSHIFKSSEEWEKQPCSLTES >OMO61700 pep supercontig:CCACVL1_1.0:contig13429:13405:17908:-1 gene:CCACVL1_23314 transcript:OMO61700 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AFG1-like protein MRRILSISSLLNQGFIQRRCLNAYFMINAIRPYSVDLSSPNPPGPLSHYRNLVEQGKLQHDPYQEKVALALENLLGRLEQYEKDMKEYHIKLAEWEKNRENEHRRLLMEEVELKQQGDLWTSVKDRRSRLLERWAFRRKSQDIEPGVGKWVSYLNREKKLDSLVGRRPTAPPAPKGLYVYGNVGSGKTMLMDMFYTATNGIVKHRRRFHFHEAMLRINEDMHKLWKNQVEEKSLQSSISSWIMNLPFEMKAKEWLAAEERYKQEVQMKNILPAVADKFLVDREAGERGASILCFDEIQTVDVFAIVALSGILSRLLSTGTVLVATSNRAPEDLNQDGMQREIFQKFVKKLEEHCEIILIGSEIDYRRLIAQRSVDKVHYFWPLDGTALEEFEKLWRQVINQAGGKVTSNTVPVMFGRRLEVPESCNGVARFTFEYLCGQPVGAADYIAVAKNYHTVFISDIPAMSMRIRDKARRFITLIDELYNHHCRLFCSAASSIDDLFQGTEEGTLFDLESFQFETETEGTKLRRDVLAEGNVSSGGAPAGIKAMLSGQEEMFAFRRAVSRLIEMQTPLYLEGVRSLHPYFQRDHRNFGNSSATTVQHQAFQ >OMO60228 pep supercontig:CCACVL1_1.0:contig13754:2722:5738:-1 gene:CCACVL1_24314 transcript:OMO60228 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MAVCCDLEVDVNGEETFLLDKKILCSYSGRLSKLFGKSTNANRNKVIFHDFPGGAENFELISRFCYNNGKININPSNISLLYSAAQYMEMNKSMPSGTCNLLEKTKKSIEEISYWTWPDLLVALKHCQDLQTVAASSGILDKCLDSLVGRLAISSEASPCASTSSPDSSGFRLSCDTRSTESLKNSFSRATWWFEDLSVLSPNLMEMLVKSMVSRKYNHVIISRFLFYYQKSKFCTASSNEKRKVLEVVIDMLYTLDPSSVPCKSLFGILRVVLSLNISKSSRHKLETMIGSQLDQATLDNLLIPSPYGTNYLYDVNLVLRFLKAFLHGGGWQLSPTRMKKVACLIDLYIAEVAPDPCLKSSKFLALVVALPDSARDSWDEVYHAMDIYLEVHAGLSEEEKVKICCALNYEKLSTEACIHLSKNAKFPSKSAVQALISQQLKLKNLLQGTNSTKLYSDSPCNFAENRGKGKKEEASEQVVVYSGRLDNISSDNEKLKAHLQGMQWRVMELEKVCKKMQTQMAKIMKSKVSTHSPARSLPRLCS >OMO60230 pep supercontig:CCACVL1_1.0:contig13754:24907:26289:-1 gene:CCACVL1_24317 transcript:OMO60230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MKTEVRGNGASISLQNPSLFINSTPQSSITGALRGCLGSLDGACIEKLLLHCASALESNDVTLAQQVMWVLNNVASTVGDPNQRLTSWFLRALVSRASRFCPTAMNFNGGSTFQRRLMTVTELAGYVDLIPWHRFGFCASNSAIFKAIQGYPKVHILDFSITHCMQWPTLIDALAKRPEGPPSVRITVPSCRPPVPPLLNVSTEEVGHRLSNFAKFRDVPFEFHVIDDPSLSPPPGGVLSKESSANFQFESLLSHLNPTSLDLREDEALVINCQNWLRYLSDDIKGNSNTASTPESCLREDFLDIIKALDPRIIVVVDEDSDLSAPSLSSRITTCFNYLWIPFDALETFLPKDSSQRLEYESDIGHKIENIISFEGYQRIERVESGAKLSERMINAGFLSVPFWEETVTEVKSLLDEHASGWGMKREEDMLVLTWKGHSSVFATAWTSSTILEDSNLGCN >OMO60229 pep supercontig:CCACVL1_1.0:contig13754:6443:6535:-1 gene:CCACVL1_24315 transcript:OMO60229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPCTGLEGLWQRGKWNKREAKPAKKLKF >OMO60231 pep supercontig:CCACVL1_1.0:contig13754:43273:44277:1 gene:CCACVL1_24318 transcript:OMO60231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MVAANPSCIRLSPAARNYELKQTNLTMIPQFNGLLGEDALAFIWHFVSTVQTFPLFNISEGELFMRAIDLRAKISSFQQKPGEAFHEAWEHFPELLSQCPHHLFSDEFLVQRFYDGLTSLWQSLVDLACNGDYGDKTTDKIELVNRDTKPQAPEACAYCGLYDHSTNGCMNVDPSTMGYEDVNYLGGYAAKDPKNDLFSPTYNPGWRNHPNLSWRNLGRDGSWRIVGGYPIRPNLFRADLDSDIWIWGGCG >OMP12284 pep supercontig:CCACVL1_1.0:contig00182:2:91:1 gene:CCACVL1_00054 transcript:OMP12284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAADETIIPDTHQLCEDLQESLKLLKKS >OMO57801 pep supercontig:CCACVL1_1.0:contig14312:10734:10823:-1 gene:CCACVL1_25682 transcript:OMO57801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GASAKSTIFSTYTTTCWSSFPSKGYLPPS >OMP01680 pep supercontig:CCACVL1_1.0:contig06391:23901:23975:1 gene:CCACVL1_03037 transcript:OMP01680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPTTADATFETSWPSASADRIC >OMP01679 pep supercontig:CCACVL1_1.0:contig06391:20164:23155:1 gene:CCACVL1_03036 transcript:OMP01679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPDNFPEKQTWGTWEELLLACAVHRYGPDSWDSVAMELQKRTSTLQHLSLTPLSCQQKFQDLKRRFAENDNGGGDDAKANNNKVSTTAVPWVDELRKLRVAELRREVQQYDLSILSLKLKVQRLKEEREQSLQENRKEPKKPDQEREKEIDKKEEEKEAEDQTQKPVQSEEESNQENRSVNESNSTDLKDENLRTGIEKAKVESVPVELERCETGKEVENVKPAGEDSCNGSCDSVVKESAENPERVDLEREPGDSPESVAESKGEEPNTESSDVQSSASLSGNEKKHAELDEPDERDNEELDQSPSIKEVSIESQPLVEFLEILLSHKFSSFFERQLESQDTPDYLKLVRQHLDFETIQMRLKEGWYSGCKSKFFRDLLLLLNNAIVFFGKKSSEYKAAMEFRVLVSKEIAVQIPNSNFLHKEQSHESQMPLKTEPEPSLSLSINPKLSVPLIACRKRSSITAKASSSSGQEKKRQPITTLMNEKPVLDWKQHENPSEKAEESLVTKKRTRERSASGARKASKNVKTRNSTNTNKNSDANSNTAISSKGVSSNENSEAKAEKEKTNTNVSSKKRSAANFLNRMRRSSSSNNGPLVETLKGVIGSDNGKGDGGEQKKASSSKVDQKKEQISTPRTRSGGKKAKEQGSPAKKGTRRPPKRAAAAASPSTPSVTAKRGREVENDSGTGNAKKRLRK >OMO82051 pep supercontig:CCACVL1_1.0:contig10076:10542:15168:-1 gene:CCACVL1_12093 transcript:OMO82051 gene_biotype:protein_coding transcript_biotype:protein_coding description:delta(14)-sterol reductase-like protein MDLGFLLQSLIPSWNSVAVLAIYFAYLAVAGSILPGKLVPGVTLQDGSRLHYRCNGLLALVLLVGLLGIGSKMNFVSLTVISDRGLELLSATFIFSFLVMLVLYAAGCRSRSKGSSLKPHITGNLIHDWWYGVQLNPQFMGIDLKFFFVRAGMMGWLLINLSILAKSVQDGSLSLSMILYQLFCVLYILDYFVYEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLNNKVELTKAAAIANCFVFLIGYLVFRGANKQKHIFKKNPKELIWGKPPKVIGGKLLASGYWGIARHSNYLGDLLLALSFSLPCGISSPIPYFYPIYLFILLVWRERRDEARCAEKYKDIWAEYCRLVPWRIFPYLY >OMO82052 pep supercontig:CCACVL1_1.0:contig10076:15605:18123:1 gene:CCACVL1_12094 transcript:OMO82052 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone deacetylase HDT1-like protein MEFWGAEVKSGQNFVVELDRGSGKIVHLSQVALGEVTGDNKKEKGNEVCVYIKVKDSKFVIGTLSHEKFPHIPLDLVLDDKFQLSHNWKNGSVHFTGYYAEINEETCDPADKEPAVQATESGPTTSKQVKIVEPNKDEDSSSSSDEEDSSSDSDDEESSDDEQAGMLVNGENESDDDDESDSSDEDSSDEDSSDEDEQTPKKAETSKKRPAESATKTPVPEKKAKLVTPQKTDGKKVGGHTATPHPSKKAGKAEAGSGQAKQNQKSGGSSSSFACKSCGRSFGSDNALQSHSKAKHGAAA >OMO82053 pep supercontig:CCACVL1_1.0:contig10076:21439:21951:1 gene:CCACVL1_12095 transcript:OMO82053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAGGRNGSYAAIGIPLYANSTFNVEQQRPLPSAPPLITQPTTRQRRCLSATATAIAGYMLIFLASALFVASVLLLVLFFVFTQSPEFALTSVSVPKFNISSEGSEITAEWEVGFLVRNPNPVWCHWFDHPVVSVYYRDQLVSELESFPQVKISRKTTKSYVGKTVALG >OMO82054 pep supercontig:CCACVL1_1.0:contig10076:25245:28700:-1 gene:CCACVL1_12096 transcript:OMO82054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase MAVTITAVKARQIFDSRGNPTVEAVSNVNAIIGPALIGKDPTEQTAIDNFMVQQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAEVKNIPLYKHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYGTDKTYDLNFKAENNDGSQKISGDALKDLYKSFACEYPIVSIEDPFDQDDWEHYAKMTKEIGEKVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVRMSKKAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGADAVYAGASFRAPVEPY >OMO82055 pep supercontig:CCACVL1_1.0:contig10076:32723:33397:1 gene:CCACVL1_12097 transcript:OMO82055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MAASLTVSRLLAPAAISFSTTSTKLSPKSLSSKLSISPSSLNLQRRAFKPISFSIKLTPISAAISVGDKLPEATLSYFDSDGELQTTTISSLTAGKKAVLFAVPGAFTPTCSQKHLPGFVEKSGELKAKGVDTIACVSVNDAFVMRAWKENLGINDEVLLLSDGNGEFTKKIGSELDLSDKPVGLGVRSRRYSLLAEDGVVKVLNLEEGGAFTTSGAEDILKVL >OMO82056 pep supercontig:CCACVL1_1.0:contig10076:34917:37379:-1 gene:CCACVL1_12098 transcript:OMO82056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRVSLSHLSKIPFTPQKKLQTPASLCTLSSPEKTQTGETPTINTNDKNESFIHGHECHQQQLQKLSAFIQQGQFVPAENLTTSLLFSKSPLAPSDLFTLFSDNSPSLKLVFSNFLLSLLAKSKMHGEALELYKAIRKEGMWPSIESLNLLLESLVSLNKYDETLSLFEEIIESGFRPNKFMYGKAVQAAVKLRQLKRANEFVNTMKKKGVSPSLFIYNVLIGGFCKEKRIEEAEKVFNDVLQRKLVANLVTYNTLIDGYCKAGELEKALELKERMVRENVEPNLVTLNTLISGLCRARRMEEAERILQEIEARGFAPDAYTCSILFDGFLKGGNAERALALYEDVSAKGVGINKYMISTLMNNLCKEGKIEKAEEVLQKEIEKGFVPDKVVYNTIVNGYCRIGDTNKAISTVEAMEKLGLKPDCVTFNTLIDKFCQLKEMEYAKEWVKLMTEKGVVPNVETYNILINGYGRMCQLDRCFAIIEEMENGGMKPNVATYGSLINSLCKDGKLLEADLTFTDMISRGVLPNVLIYNMLIDGYCTVGKLKDAFRFFDEMVEGETTPTIVTYNTLMNGLCKKGRVTEAEDLLSQITSSGCGPDVITYNTLISGYCNVGKAHKCLELYENMKSLGIKPTLNTYHPLISVCCKEGIEIVQRLVHEMSEMHVNPDRLIYNILIHLYAEHGDDRAFALHQEMVDRGICVDKMTYNSLILGNFRRGNLSEINNLVTDMKIKGLGPKADTYNLLIGEYCEQKDFVGAYFWYREMFENNFLPSFTACNELVIGLREQGRLQEADIICSEMKAKGMDDSSSDEGVAAVAKI >OMO82057 pep supercontig:CCACVL1_1.0:contig10076:39888:43631:-1 gene:CCACVL1_12099 transcript:OMO82057 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucomannan 4-beta-mannosyltransferase 9 MERLSSTTILPETFQGTRDDLAMQMALVWGQIKAPLIVPLLRLAVIICLTMSLMLFIERVYMGVVILLVKLFGRKPEKRYKWEPMKDDVELGNSAYPMVLVQIPMYNEREVYQLSIGAACGLSWPSDRIIIQVLDDSTDPTIKDLVELECQRWASKGINIKYEIRDNRNGYKAGALKEGMKHSYVKHCDYVVIFDADFQPEPDFLWRTIPFLVHNPEIALVQARWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWKFVYLGSLKVKNELPSTLKAYRYQQHRWSCGPANLFRKMVMEIIRNKKVTTWKKVHVIYSFFLVRKIVAHIVTFIFYCVVLPATVLVPEVEVPKWGAVYIPSIITILNAVGTPRSLHLLVFWILFENVMSLHRTKATFIGLLEAGRVNEWIVTEKLGDALKAKAASKAPRKPRSRFGERLHFLELCVGTYLFFCGCYDVVFGKNHYFIYLYTQAIAFFIVGFGYVGTFVPHS >OMO77284 pep supercontig:CCACVL1_1.0:contig10787:10279:10603:1 gene:CCACVL1_15113 transcript:OMO77284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPLSVDMTPFNTDKKRLTEQLEINLFDDETGPESQSIQTKGPSRSTTRLIGIGGVF >OMO77285 pep supercontig:CCACVL1_1.0:contig10787:11268:11342:1 gene:CCACVL1_15114 transcript:OMO77285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTKIWFPWEEKLNRSKKSSQTSS >OMO92989 pep supercontig:CCACVL1_1.0:contig08146:26690:27056:1 gene:CCACVL1_06697 transcript:OMO92989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLGGQPSCGEFKVDAEEAGFEISEIGRADLESFNSNDGGTEGDQGSDGARV >OMO92988 pep supercontig:CCACVL1_1.0:contig08146:20173:20637:-1 gene:CCACVL1_06695 transcript:OMO92988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine rich protein MSSKTSFLFFALLAAVVLLISSEVAARDLAETTTESNNAGEVATETEVEDAKYGGYGNQGGYGGYGGRGGYGGYGGRGGYGGRGGYGGRGGYGGGCAYGCCKRILSKAE >OMO73347 pep supercontig:CCACVL1_1.0:contig11258:1912:3287:1 gene:CCACVL1_17320 transcript:OMO73347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCYVQHLNLYLHGEVQSNLETFTVLHNLWVEFLDGASWDVLGDGSPATKKAEHFSVNKVTGDGRCLFRALVKGMAFNKGVALSPREERDDAGEVYRGILSDGQAVAIKRAQHGSMQGGLEFKT >OMO73348 pep supercontig:CCACVL1_1.0:contig11258:63830:69056:-1 gene:CCACVL1_17321 transcript:OMO73348 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHS domain-containing protein MANSGAACAERATSDMLIGPDWAVNLELCDTINMDPGQAKDALKVLKKRLGSKNPKIQLLALFVLDSLSKNCGEHVFQQIVERDILHEMVKIVKKKPDLNVREKILILIDTWQEAFGGPRGRYPQYFAAYNELRAAGVEFPPREENSVPLFTPPQTHPVTHHPASPYEDAAIEASLQSDASGLSLPEMENARGLADVLMEMLSALDPKTPEAVKEEVIVDLVEQCRSYQKRVMLLVNNTTDEELLCQGLALNDNLQRVLSRHDDIIKGNTTATGAVVETPVVPFVNINHEDDESEDEFVQLAHRSSRDTSQGLGRRAPNNEPGRVGPLLPPPPSSKRPVATNASMVDYLSGDAYKSEESPETREPTPYTNSSPQLVPTLAPSSAPSHSVDSGSSPVFSGQPIYDKPSSLSKSADAEQLPPAPWGSTPTGILPPPPSKFNQRQQFFDQQHGYPAGSSHSSNGSGSSYDSLVGQTQNLSLNSSTPTKQVKPEDALFKDLVDFAKSKSSSSSKPNNRSF >OMO88003 pep supercontig:CCACVL1_1.0:contig09137:25048:26373:1 gene:CCACVL1_08599 transcript:OMO88003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLKKFHSALDPIMAPQDHHSKTQQLNGKDNHFASSSSSSTTSLRLNQTSSSLYASSTENISRLLEGWMRSSPKTNPTDHQILKEKWSHEFDNNNNDSIGSTSAATNSLQGKVYRPKAEHEGGNELISHEEFESILSFDQNVNNVAWEHKSTCDSTSKPDHDSGNEDLDIKVNNNNVERKQNKNNDNNNNPPLSFLEKWLLDESSAGQVEEMNQMLELSSIF >OMO88005 pep supercontig:CCACVL1_1.0:contig09137:39909:40370:1 gene:CCACVL1_08601 transcript:OMO88005 gene_biotype:protein_coding transcript_biotype:protein_coding description:late expression factor 5 MVKVKLSKAKGYRFLPTEEKPKNKKRIYAEVDGFNAMNSDSTVKKKKKKMKEMEKQGEKYKFDELIYNQEIHHNSILLDQPMIFKSCKSIQAPNGMNRL >OMO88006 pep supercontig:CCACVL1_1.0:contig09137:42187:43884:-1 gene:CCACVL1_08602 transcript:OMO88006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MLFLLSWLFLLRSTDSPNMFDRRLLPNDQKLNIMINNGNNIESSSQTNVESSNGSSRAILADNETQQQTEGEGETEPEPEAETGQTQKICNGKFQQPLKIFMYDLDPEFHFGLLDWKPEASSNSVWPDIRTHIPSYPGGLNLQHSVEYWLTLDLLASEFDDNPNNRVVIRVKNSSEADLIFVPFFSSLSYNRFSKVNPHQKKSKNKVLQANLVKFLTAQKEWKRSGGKDHIVMAHHPNSLLNARMQLWPAMFILADFGRYPLNIANVDKDVIAPYKHVIKSYVNDSSDFDSRKTLLYFQGAIYRKDGGYARQELFYLLRDEKDVHFSFGSVKKDGVNKASQGMHSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDEIELPYEDVLDYSQFCVFVRTSDAIKEKFLINLIRSIKKEEWTKMWQKLKEIEPLFEFQYPSKEGDAVQMIWQSVARKVSAIKMKVNKSRRFSHFPPRRQRGLRSIPVPNNFW >OMO88004 pep supercontig:CCACVL1_1.0:contig09137:33156:38360:-1 gene:CCACVL1_08600 transcript:OMO88004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta subunit-like PLP-dependent enzymes superfamily MIYLNKVTEGCLANIAAKLESMEPCRSVKDRIGLSMISEAEDSGAISPGKTILVEPTSGNTGLGIAFVAAIKGYKLIVTMPASINLERRILLRAFGAEIVLTDPEKGLKGAVDKAEEIVLNTPNAFMFQQFDNKANTKIHFETTGPEIWEDTLGYVDMLVAGIGTGGTVTGTGRYLKMMKKEIKVVGVEPAERSIISGENPGYVPSILDVKVLDEVIKITNDEAVDMARRLALEEGLLVGISSGAAAAAAISLAKRPENAGKLIVVIFPSFGERYIPTVLFSSIQDEVQQMQVILFNPTGKGVFV >OMP04319 pep supercontig:CCACVL1_1.0:contig05760:3836:3895:1 gene:CCACVL1_02170 transcript:OMP04319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSLDGSTHLIKSSVPF >OMO52190 pep supercontig:CCACVL1_1.0:contig15565:3982:6042:-1 gene:CCACVL1_29310 transcript:OMO52190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRRCENSVMKILKGYGEAEVGEIVDRLFNGHSGCDKKHHVRDEPEPPDEGKTKALKSLEKTVIDCLKTHVYSFLENRPPPKLKMMSLDEVMAAQEGKESGRNHKEEVKLTLRNWKREAALLALQKVEEDVAINVCDYCSGTLWVDLGHCWVLQGPSRTLWGLLGCAEAKPARARPCCAQPARALGQHVPKRLSADTKCCLGQSLARTMRMHARTRSACHTYVALPNALKGLWSMSLSPTSSATPSSPLPPGSARYYHRITIVLGLAPNSPPSWVVTFSFILPSARQGWLDMSKVILSIDWRFEVRV >OMO52189 pep supercontig:CCACVL1_1.0:contig15565:1040:1279:1 gene:CCACVL1_29309 transcript:OMO52189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTESLIGFEMAEKTNTPKEKSKWKVGEDHEDLSEGGLGKYLPRRRESPSKERDKKKNPLACFLYEGPTPSKGLSQAI >OMO75761 pep supercontig:CCACVL1_1.0:contig10984:17082:17301:-1 gene:CCACVL1_16050 transcript:OMO75761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTSATCAFMVFFSSTMSAFQYLLLGMEHIDTALIFSAICYAASLVGLVVVQRFIKELGRASLIVFSLGLVMAL >OMO75759 pep supercontig:CCACVL1_1.0:contig10984:2233:8509:1 gene:CCACVL1_16048 transcript:OMO75759 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3 auxin-responsive promoter MASIQIETKEHEDGWQKLEELTMNAHQVQEKLLEKILKRNAGTEYLRGFLNGQADKNVFREKVPIVTYEDIKPYIDRIVNGEPSDILLADPILEFMQSSGTSGGQPKFVPSTAETLEHMTFALTLRDHLMQRTMEFEDGLQKLEELTINASQIQEKLLEEILKRNAETEYLRGFLNGQAEKQVFKKNVPIVTYEEIRPYIDRIANGEPSHILLADPIVEFMLSSGTSGGKPKLIPSTAESFETRMFESTLVDPLMHKHFDGLEKGKHMYLAFVKPDNETPCGLKANFFSTIWFNSNSFKNGWGKDCTSPIETILCLDNKQSMYCQLLASLIQRDQVVWIGSIFASVVAMSIKNLQDYWKEFCLNIRTGHVSDWISDPGCKNAISSLLKGPNPELADAIEHICNDQSWKGLVKRLWPKAKYISCIVTGSMSQYISLLDFYGDGIPLVSTFYGGSEATFGLNVNPLSKPSDISYTFLPTSAYFEFLPVNKDGDGEKAHQGFPFDDPIHLVNVKLGQYYELVITTLTGLYRYKVEDVLKVAGFYNKSPQFKFVERQNMILSIDVDKTSEADLLKAMENATLLLDSHGIVLTSYTSYSDTSLTPGRYVLFWELKMKEGDDFPKLHQLTMEQCCSRVENSFDFMYKSLRNNNTIAPLEIRVVEHGTFDALMDFYVSKGASIAQYKTPSCVKSEEAKNILNSRVVANFFSPKNIF >OMO75760 pep supercontig:CCACVL1_1.0:contig10984:14698:14883:1 gene:CCACVL1_16049 transcript:OMO75760 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3-3-like protein MAAQISKKRNIVADGVFFVEFNEVLTRELAEDGYSGVEVNNRGFAPLPRLSPFATSFLVAL >OMO61813 pep supercontig:CCACVL1_1.0:contig13408:6095:6948:-1 gene:CCACVL1_23228 transcript:OMO61813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STLIKEAEDAEINSAIREPPPVPVSQLSLIEVPSMISNESEQNTIFGFEGDPGGPMDIDEDTNTSQ >OMO89791 pep supercontig:CCACVL1_1.0:contig08606:1079:2037:-1 gene:CCACVL1_07623 transcript:OMO89791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier AVFYVLCPVLVGTCLAESITFQGFINLWFMPVNLLLTYLIGSTFGWILLKITKTPKHLKGIVLGCCSAGNLGILLLILLPAVCEESNSPFGDPSVCSKSTRPYVAISLSIGSIYLWSYTYGIMRLCANKSIENGSTRNDSETVCIEIALPSTDSQTSQHHSGQDQNPPTGSDSDKR >OMO51763 pep supercontig:CCACVL1_1.0:contig15722:626:2030:1 gene:CCACVL1_29605 transcript:OMO51763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MDDEELFWRASFVPKVKKYPFKNYNPKIAFMFLTKGAIPLAPLWEMFFKGNEGLYNIYVHPHPSYEDSVAEDSVFYGRRIPSKAVQWGKPSMIDAERRLLANALLDFTNERFVLLSESCIPLFNFTTTYNYLLNTNESHIGSLDDPRKTGRGRYNPSMFPTISLPQWRKGSQWFELTRNLALEIVSDTTYYQVFSEYCSPPCYMDEHYIPTLINIVLPDKNSNRSVTWVDWSKPDPHPGKFGRAAISEDFLNNVRFGSGNCSYNGNITSMCFLFARKFLPNTLQPLLELATVLFSSNQSLTYI >OMO51764 pep supercontig:CCACVL1_1.0:contig15722:12256:16332:1 gene:CCACVL1_29606 transcript:OMO51764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MKSMVEQQQQHRSAAATKLFNAHIQFNDFVFYFLLFGFGLGLGITLSFYVKDINNSVDFQLDQLSSVSHPLLVPCSVPKPPPPPPPPPAFPSISNETNTETRISSLVIEPYLSPPPPPDVDPQSSSPPPPPPPQQPKIYSINEFFQPPETTAHNMTDQELFWRASMAPKIKQYPIKRVPKVAFLFLTRGKVSLAPLWEKFFKGNQGLYSIYVHSSPWSLNETVPPSSVFYGRWIPSKRVRWGEMNMVQAERRLLANALLDISNERFVLLSESCIPIFNFSTIYNYLINSKTSFVESYDLHGPVGRGRYNTRMEPVVTIEQWRKGSQWFEMDRFLALEVISDRTYYPVFRKFCKGACYGDEHYLPTFVSIHFPERTSNRTLTYVDWSKGGPHPFKFLRHDVTKEFLEMLRSFNNCYYNGRSDGICYLFARKFSPITLYRLLKFAPQVMYF >OMO51765 pep supercontig:CCACVL1_1.0:contig15722:26028:30028:1 gene:CCACVL1_29608 transcript:OMO51765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like protein MAAKRLLGESSSDPDEPKEKRMKPRPSFANVIGEAVMLNCLCTALEPVLRKVVTEEVERSLLRRVRSFNRSPSLRIQAPEPSTLRLVFPKGLSLPIFTGSKIMDEESNQLQVLLVDTKSGDQMVPVLLPNPIKVDIVVLDGDFPSGDGTNWTSEEFDRNIVRERTGKRPLLTGELGVTVREGVASVGDIEFTDNSSWIRSRKFRIGAKVAQGSYQGVRIKEALTQAFVVKDHRGELYKKHHPPMLGDEVWRLEKIGKDGAFHKKLSSAGINTVQDFLKMSVVDPVKLKNILGPGMSEKMWDVTIKHAKTCVMGNKSYIFREQNYTLFLNPICQLVKAEINGVPYPINNLNNMQRTYLENLVRQAYTKWRSLEEVEGINSNEIGLLTQGEQMVDQYPNHHQLMVRSYPQNGYLADGSIEGYTSSNEMRADNGSNWQISPTFWNNNVAANGFRLNILESNSDDDLTSPRSFITGG >OMO83076 pep supercontig:CCACVL1_1.0:contig09944:43791:47291:-1 gene:CCACVL1_11574 transcript:OMO83076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGIHPYHQQWPTPAPPPPTAAATAAPPPPPPVHHPPPPAPSHDEVRTIFITGLPEDIKERELQNLLRWLPGYEASQVNYKGEKPMGFALFSTAQFAIAAKDALQEMVFDAESQSVLHIEMAKKNLFVKRGIVADSNAYDQSKRLRTGGDYSHSAYTTASPFHPPPAPVWGPHGYMAPTPPPYDPYGGYHVPPVPMPAPAPVPAPSSYVPVQNTKDNPPCNTLFIGNLTENINEEELRGLFSAQPGFKQMKILRQERHTVCFIEFEDVNTATNVHHTLQGAVIPSSSVGMRIQYSKNPFGKRKDGGHPGASPGANAVPAMTYQ >OMO83072 pep supercontig:CCACVL1_1.0:contig09944:6134:9588:-1 gene:CCACVL1_11570 transcript:OMO83072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHDSYKVVLLLSLCCFFFKQNSKSVACGLNSDGQTLLSLLSSWSSLPSSLTSSWNASDPNPCKWVGIACDTTSNSNHVLTLNLTGFSISGQLPPQIGGLTQLNTLDLSNNNFSGSIPSALANCTSLVYLDLSGNGFTGTIPDTFNSLQNLNYLNLFSNSLSGVIPESLFELPSLESVYLNDNKLSGSIPKNVCNLNQIVYLYFLNNALSGTVPESIGNCRKLKELSVGENKLTGVLPQSLNNLQNLEYLDVGMNNFHGVFPLGSSNCKNLSTLDFSYNSFSGGFPPGLANCSSLTDLSAAHNNLTGTIPSSLGLMDQLVNLDLCENHLSGKIPTELGKCKSLQRLALYKNQLEGPIPSRLGMLSELQDLELFTNRLTGEIPMSIWKIQSLEYLVVYRNNLTGELPLEITELKLLKNISLFENQFSGVIPQSLGINASLQELDFTNNRFTGAIPPNLCFGKNLRVLNLGNNQLNGSVTADIGGCQTLWRLNLLQNNLSGVLPVFAENPNLAHMDISENMITGPIPSSLGNCTNITSIDLSMNRLVGFIPPELGNLAYLQSLDLSHNVLEGSLPSELSKCSKLENFDVSFNSLNGSFPYALTSWKHLSTLILSENQFTGGIPSFLSEFEMLTELQLGGNFFGGKIPSSIGAMKNLIYGLNLSSTGLTGEIPPELRNLFKLVRLDISHNNLTGTLTVLDGMDSLVEINVSYNHFTGPIPETLMNSVNSSPSSFIGNPGLCIRCLPSDGSTCPRNNYLNPCENQLRSQKGLSRMKVAMIALASSLATVVLLGVVILMFVFCRKRKKELEVCVEEGPSPLLNKVMEATENLNDRYIIGRGAHGVVYRALLSPSDDFAVKKIMLTEHKRGSLSMVREIQTIGKVKHRNLIKLEDFWLRKDYGLILYRYMQNGSLHDVLHSQNPTRILPWSVRYRIAIGIAHGLEYLHYDCDPAIVHRDIKPENILLDSDMEPHISDFGIAKLLDQSAAAAEPSISVVGTIGYIAPENAYTTTRSKESDVYSYGVVVLELITRKKALDPSFMGETDIVQWVRSVWSNTQDICSIADSSLLDEFLESGIKEQVTNVLLVALRCTDKEPGKRPTMRDVVRQLLNSNLTEKSKHYYSN >OMO83073 pep supercontig:CCACVL1_1.0:contig09944:23590:26121:-1 gene:CCACVL1_11571 transcript:OMO83073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRKRSPSTSPVPTPSVAMASTTPPATPSATLSPTLRRFKRSNSRLQSILAARPHAAKNINKLRISVVNLLVRDRAEAFIRTKPFKGKHIRVNEGCDILVEGCSKKEKISFNISFNNTSSNTVSNIVSNA >OMO83077 pep supercontig:CCACVL1_1.0:contig09944:48496:50138:-1 gene:CCACVL1_11575 transcript:OMO83077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAITSKTMGVSKLLQGAIRNSKRKRTWHMDRFIPVRSAMDFDYAHGMLTERKSIKDTEKAAISPASEAYRKVLAEALGMNRTRILAFIDNKPSKPLQLFPWDLEFHRPAKIAKPTRVRDIPQSPVTTLDAIGMADEFAMNLLDWGSSDLLALGLENRVYLYDHYDFHDIGNEDAQNQITSVSWAPDGRRIAFGLDNSEVQLWDVTSDRKLRTLKGHDISSVGSMAWNNDHILTTGGMNGRIKNNDVRIRSQTVQEYRGHRQEICGLNWSASGQRLASGGNDKLVHIWDKSMASSNSPTQWLHRLDQHTSAVKALSWCPFQSNLLASGGGKGDGSIKFWSSETGACLNSMNTGSEVCALLWSKTERELLSSHGDDENKLILWRYPSMVNMGEMRGHSCRALYVVQSPDDGCTVASAAGDETLRFWKVFGDPEIPKPAPKLTAPFPHVNRIR >OMO83071 pep supercontig:CCACVL1_1.0:contig09944:329:1292:1 gene:CCACVL1_11569 transcript:OMO83071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFAATGMDPYSVLPDDDWFNDICQDAIERLSGRPKAERDLNSPTASVSAQSISSVRSHGSS >OMO83074 pep supercontig:CCACVL1_1.0:contig09944:26437:26725:-1 gene:CCACVL1_11572 transcript:OMO83074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTPCGGVILIFLQSILLSVVNLLVRDRAEAFIRTKPFKVKHIHVNEGCDILVEGWLFAPKDPNLEYYFHR >OMO83075 pep supercontig:CCACVL1_1.0:contig09944:27170:27434:-1 gene:CCACVL1_11573 transcript:OMO83075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRKRSHSTSSSTSPSTSSSSFNIFFNNSINNTSSNTVSNTVSNAQKIQTI >OMO62251 pep supercontig:CCACVL1_1.0:contig13292:15416:15640:1 gene:CCACVL1_22938 transcript:OMO62251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKKKNGKILGFSLKSRFYMGYPSQLVRFFRILTGSLTHWIRGSFRNGETSGLRANSVDRPDQAGSSNTEED >OMO62249 pep supercontig:CCACVL1_1.0:contig13292:5836:8408:1 gene:CCACVL1_22936 transcript:OMO62249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETRQRVATILAIGTANPSNCFRQFDSAASLDSHQDLHLPPLNPTQASSLSLEADSGFRFSFFGSLLLLQELTASFTPALIIDAATSRLHHRTPWESLKIPPLTL >OMO62250 pep supercontig:CCACVL1_1.0:contig13292:14868:14981:1 gene:CCACVL1_22937 transcript:OMO62250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKKKTSVRRPNRLIDPLRPLGHGSSGSAGLIGRVL >OMO73898 pep supercontig:CCACVL1_1.0:contig11174:266:3541:1 gene:CCACVL1_17084 transcript:OMO73898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type IRTYSEWVIDGEYDWPPKCCQCQAVLEEGAGPETTRLGCLHVIHTNCLVSHIKSFPPHTAPAGYVCPSCSTSIWPPKSVKDSASRLHSLLKEAIMQSGMEKNLFGNHPVSLPTTDPRGPPPAFASDPLINVASNGGREYDGNLSSSAAKDEGYSAVGVPPKLTVTEIMEIEGPSSAGNYMKSSSPVAHVATTRKSAVHVDRQNSEMSYYADDEDGNRKKYSRRGPLRHKFLRALLPFWSSSLPTLPVTAPPRKDGSNADDVPEARLRHRSTRMDPRKILLVIAIMACMATMGILYYRIAQRALGEGLAEDEQQ >OMO97048 pep supercontig:CCACVL1_1.0:contig07310:19536:20795:1 gene:CCACVL1_04685 transcript:OMO97048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13e MVKHNNVIPNGHFRKHWQNYVKTWFNQPARKARRRIARQKKAVRIFPRPTAGPLRPIVHGQTLKYNMKLRAGKGFSLEELKAAGISKKLAPTIGIAVDHRRRNRSLEGLQANVQRLKTYKAKLVVFPRRARKFKAGDSTAEELATATQVQGAYMPITREQPCVELVKVTDDMKSFKAYNKLRVERANARHIGARMKKAAEAEKEEKK >OMO97047 pep supercontig:CCACVL1_1.0:contig07310:14612:16339:-1 gene:CCACVL1_04684 transcript:OMO97047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEQLLSMGFPSELAAQALAATGGKSTLKATEWILSHKPSNPNSNTSPNQTPPPPFQPKLDRFFHFQTKLSNSPPSPEPTATAPSIQVLPRRDPEEDSTTPSPLHNKRLKLLHPKAIGQPDITNKTHEPLSERMRPRTIFEVVGQEHILGNGSLLRSAVDRDRIPSIVFWGPPGTGKTSIAKSIVNSSQERSLYRFVTLSAVTCGVKDVRDAVEEARKLRVKSNKRTVLFVDEVHRFNKSQQDSFLPVIEDGSIVFMGATTENPSFHLITPLLSRCRVLTLNPLKPHHVETLLRRAVNDREKGLSQSVGMKVEVKDDAIEFLSTNCDGDARVALNALEISATTAASRVAGNRKKGVAEPDRDFDLVTSEENEIGPIQAIVTVDDVKEALQCKHLAYDKAGDEHYNLISALHKSMRGSDADAAIYWLARMLEGGEQPLYIARRLIRFASEDVGLADPLALNQAVSCYQACHFLGMPECNVILAQCVAYLALAPKSIAVYRAIGAAQKVVRESVGQNEGVPLHLRNAPTKLMKELGYGKEYIYPPDNPSSSTQTYLPPSLLGYKFLDWPSGNSTHQ >OMO97045 pep supercontig:CCACVL1_1.0:contig07310:5648:10060:1 gene:CCACVL1_04682 transcript:OMO97045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATENVNNEVHVGEKEELEASNGIEKLELSNGDDEASESSSLQKDEEEGTGDKFTIGYLRKKKEPLQQTDPPSIPVVELFPSREFPEGEIQQYKDDNLWRTTSEEKRELERLEKPKYNAVRQAAEVHRQVRKYIRSILKPGMLMTDMCETLENTVRKLISENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMFDPLLEASREATNTGIKEAGIDVRLCDVGAAIQEVMESYEVEINGKTYQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFFAIETFASTGKGYVREDLECSHYMKNFDAGHIPLRFPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDAGIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >OMO97050 pep supercontig:CCACVL1_1.0:contig07310:27055:27942:1 gene:CCACVL1_04687 transcript:OMO97050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHNLANVLILLLNLGALLSSLACPECPRPVPPPKCPPPKYPPKHQPVVKPPFHPKPPKHPPHPPKPPKPPVVKPPHVPKPPVVKPPHVPKPPVVKPPYIPKPPVVKPPPAPYVPKPPVVTPPPYVPKPPVVSPPILPPKPPVYPSPPIVKPPTPPVYPSPPIVKPPTPPVLPPPSPPVVKPPPKEEPCPPPPPVPVPYPPPPPAQQTCPIDTLKLGACVDVLGGLVHIGIGSSAKDACCPVLQGLLDLDAAICLCTTIRLKLLNINIVLPIALQVLLDCGKTPPSGFQCPPLK >OMO97046 pep supercontig:CCACVL1_1.0:contig07310:10796:13789:1 gene:CCACVL1_04683 transcript:OMO97046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coproporphyrinogen III oxidase, aerobic MPPPTATLSVPSSSSLSLFQLSSSSSSSKKPQLGFCPSSSPSLTRLTKFTSLTKPRNPIGSGRLMTTSAVSIEKETVISQRPDTFLREAEDDGSIRARFQRMILEAQDSVCGALEALDGAGKFKEDAWTRPGGGGGISRVLQDGAVFEKAGVNISVVYGVMPPEAYRAAKAAATDQKPGPIPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDVPGAPRQWWFGGGTDLTPSYIFEEDVKHFHSIQKQACDKFDPSFYPRFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQEMLLSFATECANSVVPAYIPILERRKDTPFNDNHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEEGSEEWKLLDACINPKEWI >OMO97049 pep supercontig:CCACVL1_1.0:contig07310:21907:22967:1 gene:CCACVL1_04686 transcript:OMO97049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNGNCFRLMMICILASIFFTHCDARIVVHPWKQQGSKLKRSPISEFINKLKLTNRFVTSNNADPYTLNSPFYLPPFDSLSPLPQPDRSPPLYQYPPFTPQSPSIPPPSPPSYGLPTPPPSTSSYIHTPPANPPEHGFTPPGIFSSPPQHQPSPPKHIPSPPKHVPGQPIFGPPVLSPPSPLGPPPPPPHKRSQSGVWCVAKPTVPDSIIQAAMDYACGSGADCKSIQPNEPCFQPNTLIAHASYAFNSYWQNKKGSGGTCDFGGTAMLVTVDPSFDKCNFSYN >OMP10869 pep supercontig:CCACVL1_1.0:contig01825:2101:2217:1 gene:CCACVL1_00766 transcript:OMP10869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGSFAEEGSYGDDQWKRKMLKSRRKTRDRGPWEKQYEG >OMO77980 pep supercontig:CCACVL1_1.0:contig10658:9872:11440:-1 gene:CCACVL1_14721 transcript:OMO77980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHSKHYLILGLGKFSVDKPKRWFAAAKAGAPMAMLVSLQG >OMO77979 pep supercontig:CCACVL1_1.0:contig10658:4969:5301:1 gene:CCACVL1_14720 transcript:OMO77979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKTSPGVKILWLWTIGTAAILVTNVVRTRLRDLDQMMNTPEQPQQQSTVIDSVIVDTSPESDEGIVREVK >OMO55352 pep supercontig:CCACVL1_1.0:contig14722:5877:6979:-1 gene:CCACVL1_27304 transcript:OMO55352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKGLEGDDRFLLDDGHVPLMVEFVSSSVKLK >OMO55351 pep supercontig:CCACVL1_1.0:contig14722:3320:3486:-1 gene:CCACVL1_27303 transcript:OMO55351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKYDSRGVANRSVWNIKEKWVIKKFSWIKLSWKTANQDVSHLNIETLLSFMYLLL >OMO91677 pep supercontig:CCACVL1_1.0:contig08315:160:6270:-1 gene:CCACVL1_07055 transcript:OMO91677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESVELPGRLAILPFRNKVLLPGAFIRIRCTSHSSVKLVEQELWQREEKGLIGILPVRDAAEMTSMDSVLSQGVGSETGERSSKVQVSTSDAHKVDGKNHPEVIHWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNVEELSTRGPYCTAKISSLEMTKAEMDHVEQDPDFVALSRQFKATAMELISVLEQKQKTGGKIKVLLETLPLHKLADIFVASFEMSFEEQLSMLDSVDPKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDLAALERKMQSAGMPSNIWKHAQRELRRLKKMQPQQPGYNSSRVYLELLADLPWQKASEEQELDLKAARERLDSDHYGLVKIKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFVRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVGVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKSFNDHYLNVPFDLSKVIFVATANRAQPIPPPLLDRMEVIELPGYTVEEKLRIAMQHLIPRVLDQHGLSSEFLQIPEAMVKLVIQRYTREAGVRNLERNLAALARAAAVRVAEQEQAVSVSKDVHKLDSPLLENRLAEGAEMEMEVIPMGVNNHEISTAFRIASPLVVDEAMLEKILGPPRFDDREAADRVATPGVSVGLVWTTFGGEVQFVEATAMAGNGELHLTGQLGDVIKESAQIALTWVRARAADLKLAAAEGTNLLQGRDIHIHFPAGAVPKDGPSAGVTLVTALVSLFSQKSVRADTAMTGEMTLRGLVLPVGGIKDK >OMO91678 pep supercontig:CCACVL1_1.0:contig08315:10553:12249:-1 gene:CCACVL1_07056 transcript:OMO91678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGMKKGFLQGSASQNNLGGNVKLLKQEKKLKQPMIHDCVETPLTDNEEEEDGEFEEEEDDEFEGDAWISLMKDMDHFQELCLEETAKVQREENSGVKLYPSNICPYGPVPSFSTVLQRVVSKFYPEIAKQNNGVILDSKIKHLEDQIAKFKERNLELMNSIETIREENHQLKVEIAMMKQMEFDLEALTKRNAELEKQVTDLHGVKKQMQGYKIASNSDMGHAEWKKTIDNKIAAEDGKPSQCIRITNMEKFISSDMFAMFLPYDDEEKLVWLNFKRERKLYVCAKCGRPGHPRSKCRFFTVGGITYDSLAYNLFVQNNTQSHGSRMKQSTPMQFECQAKRVQSEFKDQSSLKSTMLMTTESMEQVSLAKGIYMPTCDNSSESTSTVCSSTNQEVTSSTSSNSHQTNNQEGIKNMIPKDLGEASEASNLTFTTGCKKKMVSNPLAKYTQRKHGEHLIKRKRWEAPQSWKRKEDIKHVEMTHMKRAHLLGKEKLKATIRRRRPMPKRLNIQLGKRSASCDLGSTFVLRNPEKKRIKLEGC >OMP04834 pep supercontig:CCACVL1_1.0:contig05620:1933:2034:-1 gene:CCACVL1_02128 transcript:OMP04834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLAATANGRGQVKEYSSGPDYGQAWYWYAFL >OMP04835 pep supercontig:CCACVL1_1.0:contig05620:2549:4652:1 gene:CCACVL1_02129 transcript:OMP04835 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MSYRFFKSSWSWVVPSIKRLHSFGSLTLTQRYRHISGFAGEFESWKSMEGLRRCSANHVPLSPITFLERAAKVYRDSTSLIYGSYNYTWAQTHQRCLKLASALSQLGISPGHVVATFSPNVPAMYELHFAVPMAGAVLCTLNSRLDSAMVSVLLTHSEAKVIFVDHKLLEIARGALDLLAKTNKKPPILILISKWDIDDTTQTSLEPGTYEYESFLETGHVGFEIRRPESEWDPISINYTSGTTSKPKGVVYSHRGAYLNSLATLLLHGIQSMPVYLWTVPMFHCNGWCLAWGLAAQGGKNICLRNVSPKEIFENIALHNVTHMGGAPTVLNMIVNSPDRKPLPHKVDILTGGSPPPPQILYKMEELGFRVTHLYGLTETYGPGTYCIWKPEWDSLPLAEQSKLKARQGMQHVGLEEVDIRDPVTSKPVPADGKTMGEIMFRGNTVMSGYFKDLQATEEAFSGGWFRSGDLAVKHPDGYIEVKDRLKDIIISGGENISTVEVETVLYSHPAVLEAAVVARPDNHWGQTPCAFVKLKEGFDVDAQELIRFCRDNLPHYMAPKTVIFEELPRTSTGKIQKYLLREKAKALGSLS >OMP04836 pep supercontig:CCACVL1_1.0:contig05620:4827:8482:-1 gene:CCACVL1_02130 transcript:OMP04836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MAESTSDESVLQAQVPKTKAARHPTNRRLWPLTLLLSTVVPVLAALLVYQLDSFDPAPFPIHELGQTPISASLRNDHMLQGAEFVGAGKLVGPEDIAYDSESHLIFTGCEDGWIKRVRLNDSTVESVVNTNGRPLGVALGLNNEIIVADAYKGLLNISRDGEVELLTDEADGLKFKFTDGVDIADNGMIYFTDASYKYEFHSHWLDVLEGKPHGRFMSFDPITRKTKVLVSHIYFANGVAVSPTQDSVIFCESILRRCRKYYIQGSKEGQVENFVDNLPGFPDNIKYDRDGHYWIGFGSGISATSELILKYSFIRKVLAIMDKYFTLPQIRENAGVMAFDLEGKPVAYYHDHKLTMIASGMKIGNRIYCGSIEYPHIISLNLDQHPARATL >OMO69298 pep supercontig:CCACVL1_1.0:contig12076:24829:26213:-1 gene:CCACVL1_19565 transcript:OMO69298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MGFDIASVKAGWSMGLAAKTCDSCKSAAAAIFCRTDSAFMCLNCDSRIHSGGGNNKLVSSRHERVWMCEVCEQAPAAVTCKADAAALCVTCDADIHSANPLARRHERVPVEPFFDSADNIVKSSPFSFLVPSTTDQTGTCQQEDVEPGSWLLPSNPNMNSKLGMEASNHVKTGDLFFSEMDPFMDFDYQNSFQQPHHNNAAMDSVVPVQTKPTPIPVINNNNENCFDIDFCRSKLPTFSYQTQSISHSVSSSSLEVGVVPDGNSLSDISYPFGRTMTDPNAPISATTTANNQAPQAGGIDREARVLRYREKRKNRKFEKTIRYASRKAYAESRPRIKGRFAKRTELDNEVDHLFNLPTSAAAAAYMSDAQYGVVPSF >OMO69300 pep supercontig:CCACVL1_1.0:contig12076:81390:83656:-1 gene:CCACVL1_19567 transcript:OMO69300 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MESENININLESTNNTPLEVEPVMLHDDDGDEVEEVKGGSSSSRKRRRTSNVWSVFKETKEKSPDGKPLAKCKWCGKLQKYESKYGTGNLKRHIETCVKRDTKDVGQMIIDAKDKSITVRSSKFDPLKLRELAIAAIVMHNLPLAFVEYKGVRALLSYCLDGRDVGMVSRNTAKSDLLKLHAREKGRVKSLLEYVPGRISLTSNLWTSVVTDGYICLTAHFVDKAWNLQKRVLNFSFMPPPHTGIALSEKVYNLTDDWKIDRKLFSITLDNAFSNDTFVDFLKIQLTTRHALLKDGEFFHIRCCAHILNLIVQDGLKEVDEAIIKVRESIKYVKGSQGRKQKFLECVNLVSLNSKRGLRQDVPTRWNSTFLMLQGAIYFRKAFSHLEISDSNYRHCPNKDEWDRIEKLCTFLSDFYDVTCVFFGTKYPTSNLYFPAIYPVRVSLQAHLNRTDEFMKNMAARMFAKFEKYWKDFSIILAIACVLDPRYKLSYVEWVYKKLYGANSDEFKKVKETLFSLYDEYAKDVGVTTNPSLTLNCDDFGDGNLKGSTRLSCEKEFDLEEALYEVDMKKSQLELYLAEKKEDRRRELDVINFWRVNQFRYSEVAAMARDILSIPISTVASKSTFSVGAKVLDQYQSSLKPEIVEAIICSKDWLFQEVDTEETTLRDLTQDVMNLSINEVPSDQSSSPGIDASTS >OMO69296 pep supercontig:CCACVL1_1.0:contig12076:2668:5746:1 gene:CCACVL1_19563 transcript:OMO69296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSTPAKILIGISLDPDESKELLSWAIRVLAHPNDDIVALHVLVGEESKKRKLIAKDQAKFRQAKAHVISVLGEFARTCQSNQVNLEAKVGVSSSIRRGLIEEAKSISADFLLLLGSRNRSKKSSQHVARYCFEHAPEGCTVVSVGKPRQPQQKSSSISSSAHIDETRQWSSRWSNESDQSVEDISPVQNSIVSKRKAQKPSPRTVLDALEGESNSTEDDISSFGDSTMSGSPPPGRKIKEQSSIKKQMSPYKLISSIFSSPLRKRKPSLSNNEKKQKPLMKCFSYEEISNATNNFHPDNIAGRGGYSEVYRGDLMDGRVVAVKRLAKDNNDENKEKEFLTELGIIGHVSHPNTANLVGCCIENGLYLIFNFSENGTLASALHGKTVVPLDWPVRFKIALGVARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQITDFGLAKWLPNKWTHHAVIPIEGTFGYLAPEYFMHGIVDEKTDVFAFGVLLLEIITGRRPVDSSKQNLLLWAKPLMESGNITELADPKLKGKFDEDQMHRSVLTACYCVRQSAVWRPSMSEVLELLMTGQDSDAAKSWRMPKFTSDELDDYSMVFGYEVPTDISLEDFL >OMO69295 pep supercontig:CCACVL1_1.0:contig12076:379:480:-1 gene:CCACVL1_19562 transcript:OMO69295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVPIPGGESDFPPRRGRGWGVNLGNGDGDGE >OMO69299 pep supercontig:CCACVL1_1.0:contig12076:38671:40775:-1 gene:CCACVL1_19566 transcript:OMO69299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRKGGTQEDLLPLIDDRETEYGRYGLTLT >OMO69301 pep supercontig:CCACVL1_1.0:contig12076:98600:98713:-1 gene:CCACVL1_19568 transcript:OMO69301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIKSLQTVKTVANRQNPLNRTGWIQILKLARNPIHHR >OMO69297 pep supercontig:CCACVL1_1.0:contig12076:18285:21968:-1 gene:CCACVL1_19564 transcript:OMO69297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPTKLVDQNIMPWSKLSPKAPFANYQLLSRWGLKAI >OMO83216 pep supercontig:CCACVL1_1.0:contig09922:34851:35312:1 gene:CCACVL1_11504 transcript:OMO83216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLISLNLLLFHLLIVASPLIVLCSSAAAYNGQSPKVEKKPIMKASTPQIEIEDDQIGDSASMVFAPPYGLPSLPQFPPFPFIPATPLFDSPPTPPSQLVQEETP >OMO83224 pep supercontig:CCACVL1_1.0:contig09922:108382:110196:1 gene:CCACVL1_11512 transcript:OMO83224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MELSLFLLASIALTLLIVIFTSKAIFGSKYPNGKNLPPGSMGWPIMGETMEFLFGKPEKFVFDRMAKYSPHIFKTYILGEPTAVICGPEGHKFLFTNEQKLFTAFRPHSMQKMFRSNQATGTKQVSREAEVQVIRSPGFLKPEALVRYLPKMDSITQEMMQKYWEGKSEVKAFPLCKTLTLSLSCRFFLGTDNPERIARLVSYFDDVTLGLHSIPVMIPGTIFYRAKKAAASISKELREVIKEKKAALASGAPMQDILTHMIVATDPSGKHMPEKEIADKIMGLIVAGYSTVAVAMTMFIKFVGERPDIYAKVLQEQLEVEKNKKAGELLDWEDLNKMKYSWNVMYEVMRLTPPLQGTFREALTDFTYAGYTIPKGWKVYWTVSTTNKNPEFFPEPEKFDPSRYEDGAQFPPFTFVPFGGGPRMCPGKEYARLAILTFVHNVVKRFKWELVDPKEKIIGDMMPTPAKGLPIRLTPRH >OMO83219 pep supercontig:CCACVL1_1.0:contig09922:66917:69596:-1 gene:CCACVL1_11507 transcript:OMO83219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLQFTEDLTEKQLDAMAGNAPYIKMVSEQFDRCHGTLLQKTILISFNASSCDTGVSKTFQGGETSKHVKLLARQLMKCFSGKEGANGVVSMVAFGGKMDEIPESALPYPHIELATCSWQKEPYITKVG >OMO83215 pep supercontig:CCACVL1_1.0:contig09922:27287:33950:-1 gene:CCACVL1_11503 transcript:OMO83215 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSF attachment protein MSSSDPNKLISKADKLTKLSLTRWSADWKSATLLYEQAANAFRVAKDYEKAKLAFEKASTGQEMLSSPWDAAKHMENAAGLAKELRNWTEVIDFYRKASELYMQCDRPQPASDALAKGARAVEDVKPDDAIQLYTDACVILEDDGKEQMAFDLYRAATSVYVKLEKYTDAAAFLLRLGLAADKCNARNSQCKAYLSAIIVYLYAHDLKQAEKCYNDCNQIDAFLSSDQNRCASKLLAAYTEGDVEEIKRVAQSSTISNLDNVVNFLHFCVWKCMSLSHNIVDDHKARKKVADRQNGACSSNARRVSQKENGENLDKLKKSRGNPSSKPVPPSREKQPAVGKSAAATEGIEEVSMDESEEEDSVSSMEASEDWSDESVSLKKCKSKTKQGKTKRSYHMYYVSNRRVITEEEKQRPCELARLHSSTRPYGSILMKPCHVCKDFRVTIPKKWQIPISDSVKTTLLKVPPGEKTWPVSIRRLRTSKLMQKGWAKFVLDNNLEEHDVCVFELNEEGNTECIVLNVIIFRVVDEIVPLTVFKPSYPRN >OMO83212 pep supercontig:CCACVL1_1.0:contig09922:16976:22492:1 gene:CCACVL1_11500 transcript:OMO83212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDNNAESSGSPRNRKVSARWDPDEACRPIIDDAPIFRPTVEEFEDTLAYIEKIRREAESFGICRIIPPPSWTPPCPLKEKDIWKHAKFSTRIQQVDLLQNREPMRKKSRSRKRKRRRNSRMGASRRRSNSSSESNITSETDEKFGFNSGSDFTLEEFQRYAKEFKEMYFRRDCDENLKLVEFRKWEPSCEEIEGEYWRIVEQPTDEVEVYYGADLETGTFGSGFPKASSMLIGSVADKYAMSGWNLNNFPRLQGSVLSFEGCDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHFGDPKIWYGVPGSRASSLEAAMKKHLPDLFEEVPDLLNELVTQLSPSVLKAEGVPVYRAVQHSGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLDHGQHAVELYSEQHRKTSLSHDKLLLGSARQAIQALRELFVIGRETPGNLSWKRFCGKDGMLTKAVRMRVQMEEERLKCLPPHLPLRKMEKDFDLESERECFSCFYDLHLSACTCKCSPERFACLKHVKNFCRCKDEDKFVLLRYTIEELKMLVNALEGGLDAVNVWASEDFGLVSLNDTEAHAFKSVKDTEVLTTESSQPRESLSCSQQMDKIVDIDTPCSYSHVFSKVSPSECCQGTRFKVSHVAVDSHNNVLNAEVLVMSNRLNLEHNACIDLNLDIISDHPTSKSPCDSPNTNNVTDVETFLPILKQEKICGLDELREPSLKRLRSDCSVSVSRESPKKDQRSNSRIHDDPDGFDGKKLFGVELQFPHCQGGKSKTLVKKEIINSSGVKAAMADLGDPFTMLNSSVEPLDFGSVMFGKLWCNKQAIFPKGFRSRVKYFSVLDPTKISSYISEVSDAGLLGPLFKVTLEGYPTVTFSNVSAEKCWEMVLQRLEQEILRRSNLGERGLLPLQSLGKINGLEMFGFLSPSIIQAIEALDSNHQCLEYWNHKTTTTDNSDDKTCAYRLSCSVEETKAKVFGFDLTKQNNEDDPNQNSVDEKVQAVLGGLCKKASPEELKLMRRILCSEAQSAEWRVAYETLTEEIHKTCR >OMO83223 pep supercontig:CCACVL1_1.0:contig09922:92651:95877:1 gene:CCACVL1_11511 transcript:OMO83223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose 5-phosphate isomerase, type A MGSAIPHLHFPVTLKPNPRLRKKKTNFPPAIARARATAIKACSLPAEASPLFMAAKHTVDTYIKSGMVIGLGSGQASGMAIEYLGWQLRAGALTDIVGIPTSVVSASEAAKAGIPLAEYENNSQIDFAFGDVDIIEERTLISVIGRRRSQGEESIIREKSVLSMADQLVYMVTENQYKSGLEGSIPVLVERLNWMETAEEIDDLFLGDAEVWRRPSIGHADPHGGDFPLVTREGHNILDVIFTSPIASLAEVAQSLENIDGVVDHGVVFKFRCKAIVASESGLSIVDNVPTNAVGGA >OMO83218 pep supercontig:CCACVL1_1.0:contig09922:41268:47723:1 gene:CCACVL1_11506 transcript:OMO83218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVFVFGFLIGVLAILGVEVWAVLYVVKRLNQKIKKLESDAAAAQHPEDKQPLLDFSHNKQGVVWVLESEKIPPREQPKRKKELMLEVSPAPIHARIKDKTLILTHSDACTTIPLKGCLIEAVSATNLPSRKWAKRFPIKVESKTAPLHNGSKIIYIYLETSWEKESWCKALRLASCENKEKLNWVAKLNVDFRAYLASLNSVYPSFMKPSLGFSAEPIEKGNRLDGPPSKVRLFWKKLSRRASKPGIENKGIWTSQSAREERKTNEKQHAFQDLIANRVPKSVIEENGAFPLSQGFTRSASQSYSVASDADSEQDRVHFDEGTLCWNLLISRMFFDIKGNSGLKSSIQARIQRTLSTMRTPSYIGEVICTGIDVGNLPPYIHVMRLLPTDMNEAWAFEVDVEYAGGVLLDVETRLEVHDLDFQNGIVDANSESNSVENMSSDLLEGFEQFGKQLNLPQEDEVNPKVDDQKGSKASSTSSVSRWKSVLNSVAKQVSQVPLSLSIRVASLRGTLRFYIKPPPSDQLWFGFTSMPDIEFELESTVGDHKITSGHIALFLINRFKVAIRETMVLPNCESACIPWMLAEKDDWVPRKVAPFIWLNQEAVTDNNVAHEALAPQLTESKAKENNKRTSSSPPESKHQQPKVDSSQPKVVESVAESSASSVDSSKRNKSSQDLTTPLLTTDEPHEADQLHRGESSESQSLSRSLTEFDRQSDVNEENDSRPKKMGRRARMLDLGKKMGEKFEEKRRNIEEKSRSFVERMKGP >OMO83217 pep supercontig:CCACVL1_1.0:contig09922:36281:36370:-1 gene:CCACVL1_11505 transcript:OMO83217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRWNHQKKKWPDWDQLSRKLVRKGIKG >OMO83222 pep supercontig:CCACVL1_1.0:contig09922:88638:90552:1 gene:CCACVL1_11510 transcript:OMO83222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MELSLAILLAPIVLTLLVAIFALREKSRAPISSTNDAKNLPPGSMGWPIIGETIEFLFGNPENFVFDRMTKYSPHIFKTNILGEPTAVICGAEGHKFLFSNEQKLFTAFRPLSSKKLFRSSQGGAAHVQAPRNDEVKVLRSPIFLKPEALVRYLGHMDSITQQQMVKYWDDEDEVKAFPLAKTLTLTLACRFFLGIDDPERISRLVSDFDDVTVGLHSVPVSFPGTKFYRANRAAAAIRKELRQVMKEKKTAMAAGITMQDILSHMIVATDSSGKFMAEGEIADKIMGLLVAGYSTVATAMTFFMKYVGERPDIYAKVLREQLEVESGKKTGELLDWDDIQKMKYSWNVYWTVSTTNKNPEYFPEPEKFDPSRYEEGNTYPPFTFVPFGGGPRLCPGKEYARLAILTFVHNVVKRFKWELVDPKEKIIESTMPTPTMGLPIRLTSRSLA >OMO83220 pep supercontig:CCACVL1_1.0:contig09922:74471:83524:-1 gene:CCACVL1_11508 transcript:OMO83220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQIQSQANKAYRQMKKQEKAENEWADKLSRRREVANVVLDFSVRTATTHTPQFLGLPKGAWPQEGGYETAGEGIVIGFIDTGIDPTHPSFADDASQHPYPVPAHYSGICEVTRDFPSGSCNRKLVGARHFAASAITRGIFNSSQDYASPFDGDGHGTHTASVAAGNHGIPVVVAGHHFGNASGMAPRSHIAVYKALYKSFGGFAADVVAAIDQAAQDGVDIISLSITPNRRPPGIATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSFSPWIFTVGAASHDRTYANSIILGNNVTIPGVGLAPGTDESKMYTLISAVHALCNDTTLANDMYVGECQDSSNFDQELIEGNLLICSYSIRFVLGLSTIKQALETAKNLSAAGVVFYMDPFVIGFQLNPTPMRMPGVIIPSPDDSKVLLQYYNSSLERDGLSRKIVRFGAVATVSGGLKANYSMTAPKVMYYSARGPDPEDSFLDDADILKPNLVAPGHLIWAAWSALGTDSVEFQGESFAMMSGTSMAAPHVAGLAALIKQKFPQFSPAAIASALSTTASLYDKSGGPIMAQRAYANPDVNQSPATPFDMGSGFVNATSALDPGLIFDSTFNDYMSFLCGINGSGPVVFNYTGQNCWVYNSTIGGADLNLPSITIAKLNQSRTVERSVTNIAGNETYKVGWSAPYGVSMKVTPTHFFIGTGEKQVLTITFNTTMNNTAASFGRIGLFGDHGHNINIPLSIISKSS >OMO83213 pep supercontig:CCACVL1_1.0:contig09922:23316:24107:-1 gene:CCACVL1_11501 transcript:OMO83213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSLSFVPFLFLTLISVVAALPLPQSQSSSAAMPQHQMNNIIDALMGAGDFKNWANMLSSATDLFMIPLSATFFVPSDNALLPFAFPVTIPTSSTAASAAAYGFDPMIVPYHIVPQRLTFSQLILFKPLSRLPTLLPSKSILLTNTSQSNFTLDASLVSYPDLYLTSAIAVHGISALLNYSAYGGGDATLGHVLSPPPSATTPPPPMFVPLTGNGDVMNDKRVSDAAAGCLYGEFAFVVLLVPWLLVWATAIKISSNYLGL >OMO83221 pep supercontig:CCACVL1_1.0:contig09922:85306:85806:-1 gene:CCACVL1_11509 transcript:OMO83221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E inhibitor RraA/Dimethylmenaquinone methyltransferase MAAFATAEACDSNTSLLVSGDLRALEPVFQIYGQRRAFSGPIVTVKVFEDNVLVRQLLETRGEGRVLVIDGGGSKRCALVGGNLAQSAQNMGWAGILVNGCIRDVDEINACDIGVRALGSTPLKSNKKAVGDKHVPVYIGGTFIHEGEWLYADSDGILISKMELSI >OMO83210 pep supercontig:CCACVL1_1.0:contig09922:959:1999:1 gene:CCACVL1_11498 transcript:OMO83210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQSGDNEKPISFGYNSMSWKPKSGTGSYWTLLGLRLLAALAAAVATLVMALNKETKTFVVATIGTTPVNLTLTAKFQHTPAFVFFVIANTMACFHNLVMIIVDFLGTKFEHKGHLRYLMPAILDMLNVALVSGGANAAAFMAEVGKNGNSHARWDKICDKFDKFCDHGAGALIASFVALALMLIISVISILKLRANSKN >OMO83211 pep supercontig:CCACVL1_1.0:contig09922:2650:10319:-1 gene:CCACVL1_11499 transcript:OMO83211 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IA, variant 3 MANNPRTRKRVHATIVRRAPDGSAFKNCDNCGETVAIALADMHECENKKKELKRFKGVSGTQNLAQPEYPVQWQPRSAFNIFMEGFMSTNKGGNLIDIDRKGFETWKCMSEKERQPYVTQAEEKNSFYSKLADLEKYIYIIPKKEDDEADSAMVGKIDQKSKRLNLVHHRLVVKNSTGFDENGSFNGFPVKPNKLFMQEAIGAEYGEGFETFRLDGPLKVDVSDTRTLKLNAWKQLALEEGKEIPEKVDAQRMMLYAAADHVINKILQWEIAEGEVDRLKSRLSQIYYDNLLEIRKPIDGLEEWLDAVHTAGIPCAVVSGLDRRNMVDALERIGLKKYFQAIVSEEDGMESIAHRFLSAAMKLDRKPSKCVVFEDDPRGIAAAHNCTMMAVALIGAHPAYDLGQADLAVANLNELSVINLRRLFANKGSTFMDRQKQIIEKTPPKRKLTVDTIF >OMO83214 pep supercontig:CCACVL1_1.0:contig09922:24483:26438:1 gene:CCACVL1_11502 transcript:OMO83214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor 3B subunit 5/RDS3 complex subunit 10 MQASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYIGHYPMLAFFAVSENESIGRERYNFMQKMLLPCGMPPEREDD >OMO59939 pep supercontig:CCACVL1_1.0:contig13838:2841:8506:1 gene:CCACVL1_24521 transcript:OMO59939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVNREIETVLSIWKDKPQGIASLATMCISIGFSLR >OMO51687 pep supercontig:CCACVL1_1.0:contig15746:4763:4834:-1 gene:CCACVL1_29645 transcript:OMO51687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQLTGVGTRKGYGVRSQLEDGE >OMO78561 pep supercontig:CCACVL1_1.0:contig10566:19271:24364:-1 gene:CCACVL1_14302 transcript:OMO78561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDVAETLSCVKCGKPAHLQCPKCVDLKLPREGAAFCTQDCFKDSWSSHKSVHLKAKLSSVGDKNSDLASEGWLYCVKRGRGRDSKLPYFDWTGSLRPYPISIMRTVPAHIEKPDWAVDGIPKVEPNSDLQHRVEIKTPDQIERMRETCRIAREVLDAAARMIRPGVTTDEIDRVVHEATIAAGGYPSPLNYHFFPKSCCTSINEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASRHLVQCTYECLEKAISIVKPGVRFREIGEVINRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYGRNKAVGVMKAGQTFTIEPMINAGVWRDVMWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTGRLPSSPDVFPWLNKVFEEWLGNDKRPYEYVLPFLFLLIPLLSTLQPLIFPPLSLQTLLSSQICLDQRWKKTKNITKMGATINEGPMKASNNIENLGDRPDLISSRCPQALTVRALAVGLPLHRFFLPLLPIEGNNCNTPSFSRP >OMO78563 pep supercontig:CCACVL1_1.0:contig10566:31761:31823:-1 gene:CCACVL1_14304 transcript:OMO78563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPPKTGQQQGSRFTGNLEEA >OMO78559 pep supercontig:CCACVL1_1.0:contig10566:821:8023:1 gene:CCACVL1_14299 transcript:OMO78559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAAIEETRKKEEAEIASNEEEDDEHDSKELVLQRYFLQEWKLVKSLLDDIVSNGRVLDPSSVHRIRSILDKYQEQGQLLEPYLESIVSPLMSIIRSKTMEVGVDSNEIIQIIKPISIIIYSLVTVSGYKAVIKFFPHQVSDLELAVSLLEKCHTSSSATSLRQESTGEMEAKCVMLLWLSILVLVPFDISSVDTSIASSGGSFGENDLAPLVLRIVGFSKDYLSNAGPMRTMAGLVLSKLLTRPDMPKAFASFIEWTHEVLSSTTDDVVSHFQLIGVVEALAAIFKAGSRKVLLDVVPTIWSDVSVLIKSGTAARSPLLRKYLMKLTQRIGLTCLPHRSPSWRYVGRTSSLGENMSLNASSVVLDSSKSEENSNYPEDEDMDVPEVLEEIIEVLLSGLKDTDTVVRWSSAKGIGRVTSRLTSVLSEEVLSSVLELFSPGEGDGSWHGGCLALAELARRGLLLPTSLPKVVPVVIKALHYDVRRGPHSVGSHVRDAAAYVCWAFGRAYYHTDMRNVLEQLAPHLLTVACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNTADYFSLSSRVNSYIHVAVFIAQFDGYLHPFVDELLHNKICHWDKGLRELASEALSALVKYDAAYFANFVLEKLIPLTLSSDLCTRHGATLAAGELVLALHHCGYDLPSDKQKQVSGIVPAIEKARLYRGKGGEIMRGAVSRFIECISYSHIFLSEKTKRGLLDTLNENLRHPNSQIQNAAVKALQEFVQAYLVSTDNKGAISMTSKYLQLLSDPNVAVRRGSAIALGVLPYELLANQWRDVLLKLCISCKIEVPAFSYPRFLRLLKFNCYSRSVLSGLVISVGGLQDSLRKASLSALLEYVEMDEDINKESKLYKLSIDILWILQEYKRCDRVIVPTLKTIEILFSRKIFLDMEAHTAVFCAGVLDSLVVELRGSKDFSKLYAGIAILGYISSVLDPINFRAFSHLLTFLGHRYPKIRKASAEQVYLVLLQNGSLVSEEKTDKALEIISETCWDGEMETAKLKKLELYEIVGLDVGPLKTTGKVPNQEVKKSAAPDENESYSSLVESSGF >OMO78560 pep supercontig:CCACVL1_1.0:contig10566:16426:18152:-1 gene:CCACVL1_14300 transcript:OMO78560 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase BRE1-like 1-like protein MDIDDVFGRSLAVSDSRASHLGTEIQRHIDERKRIEAISWKRHSKNQSQFGKYKEAAMDIYSLRADVQSLSSILDRKFQVLKDSDEELKLILEMYRRKSTDSRDKAKLSDALKSKNEENEAYLSKIEFSSVNWTGI >OMO78562 pep supercontig:CCACVL1_1.0:contig10566:25678:27370:-1 gene:CCACVL1_14303 transcript:OMO78562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MAMATTACSQELAEVVQMARAMVLQSRNSAKASVTLQGLDHGTLNYHGMGHLSDCVKLYDDSEYRLSLLLYNEGGYTIDDARTWLSGVMANHRTCLEGLGEHRFGIQDYSQAQNLTSLLSQALALCGKDVGTKQGLYKESFGFLRLVCHSGLKYNGFIMF >OMP01110 pep supercontig:CCACVL1_1.0:contig06531:16870:17343:1 gene:CCACVL1_03161 transcript:OMP01110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSAAIPTTAIQNSKPFVKKRSTRSSKQKPKIPVLKIKYGDKILCNVSSRSDELAISKSIKTHQPQQPSDIVDLPKPKKMNIVVPAVEKKEVPKKKKKRSYITLLGRKEIEQDILKIAEALGRRKRARRSKKQSTEHKRTLAFLFPGSQYLKSID >OMP05739 pep supercontig:CCACVL1_1.0:contig05243:3643:4107:-1 gene:CCACVL1_01839 transcript:OMP05739 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MELVGFRFEPSEAMLLGYLYNKVSGNKMEMGNMVQECDLYGQKEPWEIWDMFGGATHNKEDLYFFTRLKKKSSTDSRTNRSVGSGTWNDNSDTKEIKHPRHPSQTLGIRKRYRYEDGKPDQVGKWILHEFSLKIIETNMVLCRLRKNQRKQKQV >OMO59820 pep supercontig:CCACVL1_1.0:contig13869:2331:6220:-1 gene:CCACVL1_24596 transcript:OMO59820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase MESTPSMKRADSIADNMPNALKQSQYYMKRCFARYIEKGRKIMKVQEIRNELEKVIDDKSERDQILQGFLGSMFSSVQEAVVVPPHVSFALRPTPGYWEFVKVNSLDLSDVKPITSTDYLKLKELVTDEIWAKDEHALEVDFGAFDYSIPKLTMSSSIGNGLKFVSKFITAKLSGRVENAQPLVDYLLSLEYQGEKLMINETLNTAAKLQMALIVAEVSLSDLPKDTPYQNLELRFKEWGFEKGWGDTAEKVQETIRSLSEVLQAPDPQNLEKFFSKLPMIFKVVIFSPHGYFGQSDVLGLPDTGGQVVYILDQVRAMEEELLLRIKSQGLNVKPQIVVVTRLIPDARGTKCNQEWEPIIGTKYSHILRVPFRTETGVLRRWVSRFDIYPYLERFAEDVTYRILDAMEGKPDLIIGNYTDGNLVSSLVANKLGITQATIAHALEKTKYEDSDLKWKELDPKYHFSCQFIADTISMNATDFIITSTYQEIAGSKERPGQYESHTAFTLPGLCRVVSGINVFDPKFNIAAPGADQSVYFPYSETEKRFKSFHPAIEELLYSKVDNDEHIGYLADKKKPIIFSMARLDTVKNLTGLTEWYGKNKRLRNLVNLVIVGGFFDPSKSKDREEMAEIRKMHELIEKYQLKGQMRWISAQSDRNRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHINPTNGDESSNKIADFFEKCKVNQGHWNQFSADGLKRINECYTWKIYANKVLNMGSIYSFWKQLHKDQKYAKQRYIQAFYNLQYRNLVKNVPIASNDTQQPESKPAAGKSQPTQRHDT >OMO59822 pep supercontig:CCACVL1_1.0:contig13869:10338:13426:-1 gene:CCACVL1_24598 transcript:OMO59822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid synthase MSVKLLWIVPSQSGNSNILGSLGSSKFLSPHRNLLIKYRAIKGKKQKGDFCSFNGSEISLSRVHPVSSLVATSSVGEMAVSSEEKVYNVVLKQAALVKRELKPSEELDVKPNIVVPGNLSLLSEAYDRCGEVCAEYAKTFYLGTMLMTPERRRAIWAIYVWCRRTDELVDGPNASHITPTALDRWEARLEDLFRGRPFDMLDAALSDTVFKFPIDIQPFKDMIEGMRMDLKKSRYKNFDELYLYCYYVAGTVGLMSVPVMGIAPESQATTESVYNAALALGIANQLTNILRDVGEDAQRGRIYLPQEELAQAGLSEEDIFAGKVTDKWRNFMKQQIKRARMFFDQAEKGVAELSASSRWPVWASLLLYRQILDEIEANDYNNFTKRAYVSKAKKLVALPVAYAKSMIRPSRTSSSLGKA >OMO59821 pep supercontig:CCACVL1_1.0:contig13869:8485:9414:1 gene:CCACVL1_24597 transcript:OMO59821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKVYGSVKSACTQRVLACLLEKDVEFEIVHVELDAGHHKKPEFLLRQPFGQVPAIEDESRAIVRYYAAKYADRGPNLLGNSLEERAVMEQWLEVEAHNFNDLVYNLVLQLVILPRMGEHGDLALAHTCEQKLEKVLDIYEQRLSKSKYLAGDSFTLADLSHLPGIRYLVSDACMGHLIAERKHVNAWWEDISNRSAWKKLMKLAGCN >OMO66256 pep supercontig:CCACVL1_1.0:contig12565:43156:43590:-1 gene:CCACVL1_21245 transcript:OMO66256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEVGDKVEVCSKVEGFVGSYYEARVVSKLNDGGYKVRYKNLVEEEDHSRLLVEKVSADEVRPMPPRIGGKGTQFSYFEKEKVDAFDNDGWWVGNVTGKDDSKYWVYFETTGDHIAYPVSRLRKHLDWSNGFWVLPDPRRLLS >OMO66252 pep supercontig:CCACVL1_1.0:contig12565:28584:30795:-1 gene:CCACVL1_21241 transcript:OMO66252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ia MAFQWSTRSTAGLVSRHEIGLTHVYDDEVMAAFLIVGSPYDAAFVAWTTTPWSLRSNLALGVNASFVYLKGAAQVMKHLTEECSPPFLPWHFQMGDTKVACTVRL >OMO66255 pep supercontig:CCACVL1_1.0:contig12565:39920:40231:-1 gene:CCACVL1_21244 transcript:OMO66255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFQVGDRIEVCNKEQGFFGSYYEATIVSKLNDGSYRVRYENLIEKDDHSKLLIEQVSVDEVQPMPPRIIEGKGTQFYSYLDKVDASTMMAGASGLSSKKMI >OMO66253 pep supercontig:CCACVL1_1.0:contig12565:32205:33844:1 gene:CCACVL1_21242 transcript:OMO66253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQREEGWPLGLQPLNARIGLVRNRDFAGSASFSTLLTASPSSSSISSSDLDTESTGSFFHDKSITLGSLIGISSFLDLSRRSTRRRPTETLRDQKNYKSRPWLFSLCSKLSTDAVDTNNTQSLGQFLQVERMRAAASGNIYRRNQTPAPASAPGDFQPVTLVSEPNSLFVGDRIAPPSNAVDGLKSDRELLEHGNGYGVPLLLSCLCGQLIK >OMO66254 pep supercontig:CCACVL1_1.0:contig12565:34650:37458:-1 gene:CCACVL1_21243 transcript:OMO66254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKLSCRENHDTAFVNAVQTGDLEMIQGMVEADPNTLKRTTRYGKLSVLHLAAIHGQIEVLSFLLDRCPNSDIFNRHRQTPLMLAAMHGKTDSVKMLIQRGAYVLMFDSLQGRTCLHYAAYYGHFDCLQALLSAARSSPLADSWGFARFVNIRDESGATPLHLAAREGWPNCVHALLDNGALVCASTGGNGYPGSTPLHFAARGGSIECIRKLLAWGADRLQPDSYGRIPYLIALKHKHEACAALLNPASAEPLVWPLPLRFISDLNPEAKELLEKALMEANREREKAILKETTLALPSASGSEVEADDDAASEASDVNVCCICFDQLCTIEIRQCGHRMCAHCTLALCCHKKPNPLTASPLVLVCPFCRRGITQLVVAKIDNNEAEAETETETEAEASPLRLSCGQITGIDAAPEFSPSKPIKSRKSNFSEGSSSFKGLSAISTFGKMGGRSSGKVPAECSEGNEKF >OMO66251 pep supercontig:CCACVL1_1.0:contig12565:9697:13393:1 gene:CCACVL1_21240 transcript:OMO66251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHRSVVLINAHSPYIKMVSEQFERCHRTLLQYVEFLCSAFTPAAAYAQLIPSLDDLVHLYHLDPEMTMKQIRLQQAQSLDLGPPRWAELLDTVKTMLPSKAWNDLSPDLYPNSQRDTYGQFIKLCRFSLKRCSGLQWHPDVATQLVVESTVLSADPIPQKSDGLHESVDPSFDDVVQRALVVGDYKGAVARCIAANKIADALVIAHVDGASLCDNTRDQYLKNEPFTLPEGELNSFVCMELKYLFIYPFENRN >OMO77129 pep supercontig:CCACVL1_1.0:contig10814:7140:7427:1 gene:CCACVL1_15196 transcript:OMO77129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRSLNTQLAELKKLKTSHDNFPTVNTYPVKPSFIPEDLLQTQQQSFPTSFNPRPHSNLVNLNHFHPLSLTPLLTSKSLNLCSQCHHKAQHPHK >OMO77127 pep supercontig:CCACVL1_1.0:contig10814:3576:3739:-1 gene:CCACVL1_15194 transcript:OMO77127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAALKQFSRLSLRSTHISTHISSQIPRTPPSPFPPFFHLHSPFLAKRFSTPL >OMO77128 pep supercontig:CCACVL1_1.0:contig10814:5038:5979:1 gene:CCACVL1_15195 transcript:OMO77128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYNIFRKSQRNITKTIKQLIQEPRQLPKEYVQYSNFSQCILPSGTQEHLITLEIPSEFPKQWYTHIHFGAIRIALTYHAGKGLPDFARIAVLDSRFFEYRFACLGMVETSLNARTVFFTLFPNFNMTLKDPTLPSVLKVQVQIAGAQMVENAREATLHYQMCYRIQDHAMDLAIPKEPETPLLKFNSHEGVTTCLHMPKKISRPELLKLMPTSWVTNYEKHMEIQKTVKSTDPRLIKNRDGLVTIKFDHDETEAPPPPTFSTQFMMQPCPPDPNIWLDILSFKTDDTPVYAFKDRVGHYPWEINCSCRACA >OMO77130 pep supercontig:CCACVL1_1.0:contig10814:10523:19699:-1 gene:CCACVL1_15197 transcript:OMO77130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein Ndc80 MDDNAIGFIELADYCANKGRAAGTSFCSTLAGLLIRLAGDFHQATIPVDLILRLYAMGLDFSECNIKSEHDDFDTSRGAEDDYAVKVLFLERDKIQNLSALLGSLGNCFNIGKRKRYIISDMECMNLVNQMSLQPESKGQCSMTCKDRKAYLVMTHFISSVIVSFLLKELSHRASWAFVQHLCEMFTDKKKHFDNDLSEDAIRDLITDACTTSAFLLEVLHASGNLKVKRIMAESLENWAAAENLFKLLPGPMPLIKQCVKIECKLYKHVDAEVIASTLCCDLLSSAKVSKRTIGKLLEQKNLFGKTRTPGTAACHQLATAYCLHALCTQEADPNSEQVYQDICAALDLWLSIFASDCCSIDDEFKMESGNSLPLLYNILDLLPMKETKSCALCLSSKWGLYDKLIEHRGERSKSIDFWINCLSGSQPGVLGFQQNLTGVMVVRSHSNVNLKSKCKMEVNESFLKLVLGVNEIHLEDTISREPIILVLDCDIQIPWMHFIFEIPAAISAACKMNSRTGLGIKAVASTRRAKMRGTTRRRPTDSFNPPVIQSSRDSDASFASSRPSSIGMGRAISVAEPYSDRSFQAATIRSINAFFSSHSIPPISTKPSQAPSAKEISNILTSLLSHLHFPCSKLEEDLGFLLKSLNCPFKFNKSTLRAPNTPHNWPTSLGIIHWLVQLAMYNEHLSQNPTTPFAQSDSMTEYALESYLRFIRGEDDLLEVLDKEFMEKLEKERENVVESSRALEKNVGELEAKAEGLRTGPTERELLEKEKNVLEEDVKKFHAIIAEFTARIGSMEKVLEEKERELNAKEEERRQICQENEELKKRVELQTFNARDVERMKREMQAVERDIGEAEVARNSWEDKSWDLDSTTRQKFKELTTLAMECNQAIRRLKLGNGFQYELNAKGSTPAEVMGIDYKATLKPELESYADKIRESSKQKFEDMILLQQQSKDMATKIEEKRNRISAIQSHIDEVEAQINLLKKEMHEYGYRSTAEAKKMVEDVQTEAQKLDIAEIEASEILKASQLRLQEAIRQSEEEIQMHAQELFVVVDSVSKYKEHVASKISEMRAGLAETAAAVADTYKGSLPAQVIGNANAS >OMP07526 pep supercontig:CCACVL1_1.0:contig04363:3845:8452:-1 gene:CCACVL1_01289 transcript:OMP07526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding protein MGTLLLKCYKPLFSIRFSSFPNSLRRVQLGTHPFNNPKRRNPIRRCCFSSLVPSQPLINGQTLDKTGGNRPAAPPSTDLWLHNTMSKKKELFKPKTEGKVGMYVCGVTAYDLSHIGHARVYVTFDVLYRYLKHLGYEVCYVRNFTDVDDKIIARANELREDPISLSKRYCDEFNQDMVHLHCLPPSVEPRVSDHMPQIIDMIKQILDNEYAYRVEGDVYFSVDKFPDYGRLSGRKLEDNRAGERVAVDSRKRNPADFALWKSAKEGEPFWESPWGPGRPGWHIECSAMSAAYLGHSFDIHGGGMDLVFPHHENEIAQSCAACKQSNISYWIHNGFVTVDSEKMSKSLGNFFTIRQVIDLYHPLALRLFLMGTHYRSPINYSDVLLESASERLFYIYQTLHDCENILSQHDVLEESIPPDTTSIINKFQNEFLVSMSDDLHTPVVLAALSDPLKTINDLLHTRQGKKQKMRIESVAALEKIIRNVLSVLGLMPTSYSEALKQLREVALKRAKLTEDQILQKIEERTEARKNKDYEKSDAIRKDLASVGIALMDSPNGTSWRPAIPLALQEHPVAAT >OMP00013 pep supercontig:CCACVL1_1.0:contig06745:4051:4999:-1 gene:CCACVL1_03499 transcript:OMP00013 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc phosphodiesterase ELAC protein 2-like protein ATFEDGMDGEAIAKNHSTTKEAIEVGDSAAAYRIILTHLSQSREKAMFS >OMO88674 pep supercontig:CCACVL1_1.0:contig08974:2391:3445:1 gene:CCACVL1_08265 transcript:OMO88674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 19, catalytic MPVKAQNCGCAEGLCCSRWGYCGTGDDYCGTGCQAGPCNPPPSLNNVSVADIVTPDFFNRILDVAEASCEGKNFYSRDAFLQALNSYPQFGRIGSTDDSKREIAAFFGHVTHETGHFCYIEEINGATRDYCDETSTQYPCNPNKGYYGRGPIQLSWNFNYGPAGESIGFDGLNSPETVATDPVISFKTALWYWVNNVQPVIGQGFGATIRAINGALECDGGNPATVQARIEYYSNYCNQLGVDPGSNLTC >OMP01704 pep supercontig:CCACVL1_1.0:contig06385:656:4505:1 gene:CCACVL1_03025 transcript:OMP01704 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MLQNDAGEWVFSQDLLRAMVTEYYKSLFSVDSSGELLRLVVPCPKLPWDQLPLLDEGVSLAEVKKALFQMKPWKAPGHDGFQAGFFQRFWETTSSALCQTVTAAFEEGILPNTLSETLLVLIPKVPNPEHLRQFRPISLCCVAYKLITKVLVNRIRLLLHDLVAPNQVSFIPRRQAADNIILAQEIIHTIRRCSSKNGLMAIKIDLEKAYDRVNWSFLRDTLRDFGFSEKWTRLIMLCVESSSLAVLWNGEKLDSFRPQRGLRQGDPLSPYLFVLCMERLGHLIDREVQSGAWKPIKAGRNCPGISHLFFADDLFLFSRAGEAQANKIKEVLDEFCAASGAKISFEKSKIFVSPKAHGSASRMSSLLGIPSTNDLGKYLGVPIIHGRVTKATFKEIVEKVQRKLSTWKSKLLSLAGRATLVGAVTSSIPTYHMMTMLMPKNVTSILDSMNNRFLWGGNEHKRGVHLVAWSDVCTPKSMGGLSLQRMELHNRALLQKTAWRFLMEPGSMWVRFLKAKYGIGDDIFRFLDNKPKGSPTWSSTWKGLARAFSFLSAGLKWRVGNGRTVRFWEDPWLLNVPLTHSLDPSFAIENPGVLVREYINPEGGWNMDKVFLDLPAEVALKDEADVDGGWAWLWKLPLPSRWLHFVWTVRRDRLLTNSMRAAWGVSFSPNCSLCNDGVESVVHVLRDCRYASIEWRIIFAVTLWRLWTRRCDFIMKSEDVSLEANPLISNIMDTAKEVMTALVDPKPAAATNFCVQWESPSDGTVKLNVDGAAKGNPGIAGAGGLIRSSTGAWLMGFKLHLGVCSNVEAELQAIRRGLQLVWDCGYRVLICESDALVAIDLVRNGDVMIHPLGCLIADIRSLLNREWRCTLQHIYREGNFCADWLANAACSLDEELELIANPPDDMRMLLDADARQRPRLRGDRSKRERLDDVFLPGLHWRAIPVRGREQDSKRAYLCSLLLHQARKFKDNCWSVAT >OMP01705 pep supercontig:CCACVL1_1.0:contig06385:5281:5763:-1 gene:CCACVL1_03026 transcript:OMP01705 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase MAFVQRRKGPDVVGSFGLLQPLADGVERSTLHRCGLTGLGLKNLFFHSSIGPWSVTFRVGIDK >OMO92789 pep supercontig:CCACVL1_1.0:contig08170:32595:38988:-1 gene:CCACVL1_06748 transcript:OMO92789 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 3 MSAYDYRRMILKSDALQEYIYETSAYPKEHLQLKELREATIEKYQVWSAMSLPVDEAQFLSLLVKIMNAKKTMEIGVFTGYSLLTTALALPEDGQILAIDPDKEAYEFGLPYLKKAGVEHKINFVPSDAISYLNGLVNSSEEGSFDFIFVDAFKDQCLEFHEIALKFVKIGGTIGYDNTLWYDSVAQPEEEVTDEHIRSYRNFVVEFNDFVAADPRVESSIISIGDGSKTLTILDFIRTTAVCRPWKVCLKDHKPKFPVYLMLAEKEDEDTNNGMRIEEYEDIDEGDGDGVVETKTWIITEGFEIFKLDTQCRIWEKILSLGDRSLFPGNCCTFSVLAADYPNCNSNYVMNDDSHSWYRKGPGDYDIGIYNCDNKEVLQLPVSDDKQRFRLNSPHLFGSI >OMO92793 pep supercontig:CCACVL1_1.0:contig08170:62186:69347:-1 gene:CCACVL1_06752 transcript:OMO92793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MEPDDDMIDDSVSTEDDFYSDYYDDPNDNDNDYYSEDDDDYGLVEDDDDDDQNAARRSQPGFTVLKEADIKQRQEDEISKVSTVLSISRVDATILLRNYNWSVSKVHDEWFADEDGVRKSVGLLDRPVVHLANARELTCGICFESLPREDIASAACGHPFCRACWRGYICTTINDGPGCLSLRCPDPLCNAAIGRDMIDKLATTEEKQKYSRYLLRSYVEDNRTTKWCPAPGCEYAIDFTLGSGNFDVSCICSHSFCWNCTEEAHRPVDCATVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWPRELGILMAIVVVGIRDAMTWAASPSPLFLNHSGCYVTVMVRLCLGAWAEHGERTGGFYACNRYESAKQEGVYDESERRREMAKNSLEKYTHYYERWASNQLSRQKALADLNQMQTMQLEKLSDLQCTPESRLKFITEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELSKFLTGDGPSKEFDDFRAKLAGLTSVTKNYFENLVRALENGLADVDSQAAAAAAAAAAACSRTSSSKSMGGTSKGRVSRGKGSFKSGGSSRNVE >OMO92787 pep supercontig:CCACVL1_1.0:contig08170:6351:17436:-1 gene:CCACVL1_06746 transcript:OMO92787 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MRAPSLLAQCLPGLVPQDRGSQSMSTVSERDVHLPSPAVEILPSKTAHPYKYAGDNVDLQGLNVFKGRVSVADIIGFTGSEMISSKPDGFLKSWDSSIDLVNVLKHEIRDGQLSFRGKRVLELGCCYGLPGIFACLKGACTVHFQDLSAETIRCTTIPNVLANLEQARDRQSRQPESPLTPSRQTLAPTVHFYAGDWEELPTVLSVVRNDVSEVTTGMSLSFSEEDFMDGCSSQDGSIIAQEFSSRRSRKLSGSRAWERASEADQGEGGYDVILMTEIPYSVSSLKKLYALIKKIAPPGTGGSTIPPPPAAQPSYTVLAPQTTPQEADAKFEEKARKWMQLDSKRYGGKRKFGFVETEKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFIPHAVYKLLENMPMPWEQARDVKKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVNAWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLSGQLLSDLIDCNYFYLFDMESFFTAKALNVCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNDRPRKVKLGVYNTPMVMYIKTEDPDLPAFYYDPLIHPITSTNKEPREKKIDDEDEFVLPQGVEPLLSDTQLYTDTTAAGISLLFAPHPFNMRSGRMRRAQDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPNAQKKKHLFRSLQATKFFQMTELDWVEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLVYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARSILQHLSEAWRYWKANIPWKVPELPIPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKEPYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEFGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSEGQCVVMLQTKFDKFFEKIDLTILNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYSDTKVETRHPIRAYSRYIDKVHVLFRFTLEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRIHPKIRMTQEAFSMTKGEGVWKLQNEQTKERTAFAFLQVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLVTYFREAILHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPLVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQTWEDEFTDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDKGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVFKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFVESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKYMFDQKASNKYWVDVQLRWGDYDSHDIERYTRAKFMDYTTDIMSLYPSPTGLMIGIDLAYNLHSAFGNWFPGSKPLLAQAMNKIIKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNVVIKGSELQLPFQACLKIEKFGDMILKATEPQMVLFNIYDDWLKTMGSDTAFHRLTLILRALHVNNDKARMLLKPDKTIVTESHHIWPSLTDDQWRKVEEALKDLILSDYAKKNSVNTSALTRSEIRDIILGAETTPPSQQRQQIAEIEKQAKEASQMTAVTTKTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQIAGYLYGISPPDNPQVKEIRCIVMVPQWGTHQQVHLPSALPQHDFLNGLEPLGWMHTQPNELPQLSPQDVTAHARILENNKKWDGDKCIIFTCSFTPGSCSLTAYKLTPSGYEWGRVNKDTGSNPHGYSPTHYDKVQMLLSDRFLGFYMVPDNGPWNYNFMGVKHTVSMKYGVKLGTPREYYHEDHRPTHFLDFSDLEEGQTAEGDPEDIFT >OMO92791 pep supercontig:CCACVL1_1.0:contig08170:42000:45099:1 gene:CCACVL1_06750 transcript:OMO92791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKPKSSIFYSRLLVPIRTPSFSLHTTTKSNNVVDSAKTRNKAANLIVYCNTRITQNGRNGNIKEAESIFDNMPVKTTVSWTAMLTAYADNGNISKARQVFDKMPERTISSYNAMITAYNKTRCMVDEAYKLFCSMPERNAVSYATMITGFANMGKFNKAVQVYENTPVRWREPVCSNALMNGYLKDGRLDAAVGVFEGMVDKDVVSWSLMVDGYCKSGNIVQARKLFDKMVERNVVTWTTMIDGYMKMGCLVDGFDLFSSMRKESAFLVNSTTLTVMFEGCGHFDRYTEGIQLHGLVIRFGFEFDDFLGNSIITMYCRFGCTDAASLVFHTMRKKNLVSWNSLITGYVQENQIEEAYELFEKMPKRDAVSWTAMIMGFSSEGQTDKSVKLFRMMPEKDIVAWTAVISGFVSNEMYEEAFHWFIEMLQKSVKPISLTLSSLLSASANLATLIQGQQVHAQAVKMHLEFDLSIQNSLVSMYSKCGNVADACQLFMSIKEKNIVSFNSMITGYAQNGFGEEALKLFRKMQIEGQEPNQITFLAVLSACTHVGLVEVGWEHFKSMKSLYNIEPGPDHYACMVDLLGRAGLFDEAVDLINSMPFKPHSGVWGALLGSSRTHLRLDLAKLAAQQLTQLEPDSATPYVVLSNLYSVLGKKKDGDQLRTYKKSKGIKKSPGCSWVILKDKVHLFLSGDQSHMDSEEIRITLWTIVREMEELVIIQACGFVRKGTVVVFVVMWSLMPLFTWYLHKRLNDDNVDFGHLSERGNDDFAPSWITVYLNRRECVVEESSGQLGRYLYSLAAMPSHLVVPFAGHIDRVPVSL >OMO92794 pep supercontig:CCACVL1_1.0:contig08170:70853:73701:1 gene:CCACVL1_06753 transcript:OMO92794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKKAFAAGPVCPPVVLRNEAGWPDNLTNTALRSSMKDEMQTGQLEIERAVEAALVADGFLPDSILERFENKVGSSDVVAGEKLIKKRILERFFIDVVAGKSLIKERAAVRFNARLNDKVGSLDVVAETLARMRDCGAPIAPARHSKMHVELSKKEYCD >OMO92786 pep supercontig:CCACVL1_1.0:contig08170:2985:5664:1 gene:CCACVL1_06745 transcript:OMO92786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-secretase subunit Aph-1 MTVAAGIGYALLALGPSLSLFVSVISKKPFLILTVLSSTLVWLISLIILSGIWRGFLPLKSTTWWPFAILIFSSVAFQEGLRLLFWKVYKRLEDILDAFADRVSKPRLYLTDKMQIALAGGLGHGVAHAVFFCLSLLTPAFGPATYFVDSCSQMPFFLVSALIALAFVTVHTFSMVIAFNGYAEGNKVDQVFVPSVHLAAGMMTLINFASGGCIIGIPLLFLMAVLTLMYCGRMVRRRLTENQRQGDS >OMO92790 pep supercontig:CCACVL1_1.0:contig08170:40166:40651:-1 gene:CCACVL1_06749 transcript:OMO92790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRKKFTTPQGTSSKSISGSELNNRNVNLPTKCRRKLVFDSGSKIFQLKQGRKGCNKNNSNGSCRNKKHPSRFRGSTDHNNSAKKVALDMNNHVVPLKVATPSTNFWDINDFASNYEDVPIETIIGLGEFDGHEGIDLDFNEAVFALDDTLCSDHQDRLV >OMO92795 pep supercontig:CCACVL1_1.0:contig08170:74294:74560:-1 gene:CCACVL1_06754 transcript:OMO92795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARDEKSLTLSQKGTSNEDRTLYQTRDSSKACQSLECSWIPKMNESSHCPSVISLTQSYHEINLRTKCSTLPLFASSIGIGRDRARS >OMO92792 pep supercontig:CCACVL1_1.0:contig08170:59125:61227:1 gene:CCACVL1_06751 transcript:OMO92792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKELGRGEFGITHQCFDIETGEAFACKKISKAKLRTEIDVEDVRREVEIMKHLPKHPNIVRFREAFEDKEAVYLVMELCHGGELFDRIVAKGHYTERAAAHVIKTILEIVKVCHEHGVIHRDLKPENFLFADESETAPIKAIDFGLSIFYEPETEEGIAHAIIKGSIDFERDPWPKVSEDAKDLVKSMLDPNPYSRMTVQEVLEHRWIQNWEHVPNVNLGEFVKTRIMQFSLMSKFKKKVLRVVADNLPNEEIHAITQMFNMMDTDDTGDLTFEELRDGLLKIGHSVADPDVKMLMDAADVDGNGTLNCEEFVTMAVHLRKTSYDEHLSDAFHYFDKNQSGYIELEELQEAFHDDFGPNNDQVIRDIMRDVDLDKDGRISFQEFKAMMVTGMDWKMGSRQYSRALLNAVSMKIIRQSGQLK >OMO92788 pep supercontig:CCACVL1_1.0:contig08170:18868:30788:1 gene:CCACVL1_06747 transcript:OMO92788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKLCLIKAPTKSDFVANGKDKGFNSRISCYEAIEFDHKVSI >OMO62500 pep supercontig:CCACVL1_1.0:contig13257:41083:42283:1 gene:CCACVL1_22788 transcript:OMO62500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MASGQQQQFPSQKQETQPGKEHEMDPNPQFTASDYKPSNKLQGKVALVTGGDSGIGRAVCHCFVREGATVAFTYVKSQEDKDAEETLEMLKKEKTADSKDPIAIPADLGFDENCKKVVDEVVNKFGQIDILVNNAAEQHKAGSVEEIDEQRLERVFRTNIFSYFFMSRHALKHMKEGSTIINTTSVTAYKGNPKLLDYTATKGAIVAFTRGLSLELVNKGIRVNGVAPGPIWTPLIPASFDEEESANFGSDVPMKRAGQPIEVAPSYVFLACNHCSSYMSGQVLHPNGGVVINA >OMO62501 pep supercontig:CCACVL1_1.0:contig13257:43009:45889:-1 gene:CCACVL1_22790 transcript:OMO62501 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein MEEDEERGSSIESFDEDEEGGINSNSVSEEEEENEEKRRRRFSTSDEEEEEKRNPNTKRSNPTESATYQTQSFTTFCRWSQRKMLLGLFFCRRDGDIYGPLFPTSSSVAQMIAIVGAMNILFGWDPSPLECQDMVRELLKKVNHAKQLTLGPACVKVLSLLELKGLPSPLSNQNRKCLTVTTGFVKLDLLGVASLLSSFPYLEKLVIMVEYSKEDKWIDRDDRRNLFGTEQENFWAAKEGSFECLLGCLKTVEIVGIKPMRWRSSSMDDEFAGLFEFAKFILRSARVLERMLLVPKEFSKEEMLHLYDVAQKLLKFPRSSPHAIVLLPDVDLCFLYNFFG >OMP05208 pep supercontig:CCACVL1_1.0:contig05485:2330:3661:-1 gene:CCACVL1_02004 transcript:OMP05208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPLSSTISFFSFCIFLLFSFNAQAAVPRSATFKFVNDGEFGPYIVEYDGNYRVLSLASAPFQLAFYNTTPNAFTLALRMATTRSESLFRWVWEANRGNPVRENATFSLGTDGNLVLADADGRIAWQSNTANKGVVGFKVLPNGNMVLHDSKGKFIWQSFDSPTDTLLVGQSLRAGGPTKLVSRASEENNVDGAYSLVLEPKGLVLQYKGLNSPKPLVYFKSSNWLGVQDGTLQSVTLNVEPDSSEGAYNVLFDSTVANSSFGTGNLILTRPKYNATLSILRLGIDGNLRVFTYDDRVDYRAWEETFTLFSRDSIWGTECELPERCGKFGLCEDNQCVACPSPKGLLGWSKTCEPKKLTSCRPSDFSYYKIDGVNHFMSQYNEGQGPMKESDCGKLCTSDCKCLGYFYHKETSKCWIANELKTLAKTSNSSHVGYIKAPTK >OMO65656 pep supercontig:CCACVL1_1.0:contig12630:27927:30761:-1 gene:CCACVL1_21446 transcript:OMO65656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MKLLPLFLTSLMPLIFYVFFPALIGCSLADAITIKDLVSLWFMPVNIFISCTLGSAFGWILAKITRTPRHLHGLVIGCSSAANLGNMLLIILPALCEEKSSPFAKSSTCSVFAKAYASLSLAIQAIYVWSILYFIMGMSAKYAIKEVNTNDPRIAETTSVSSNDSSVECSHQIDMPQTNNKGKEKIPTFEKVKQSLMVIAGSKSLKMIFAPTTIAAIVGFIIGMAAPIRKILIGVNAPLRVVYSSAQLIGEAGIPCLTLIVGANLLKGLRGGSGASKSLIIGIIVIRNILLPGIGILIVKVAKYWGMVNSDSFYQFTLMLQYAIPPAMNIGTISQMLGTGEGEFSVIMFWNYIVSAFSLTLWTALYIYLVT >OMO65657 pep supercontig:CCACVL1_1.0:contig12630:36782:37207:1 gene:CCACVL1_21447 transcript:OMO65657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGELRPILSVHSAASICHSNCNEHRYNKPDARKW >OMO65658 pep supercontig:CCACVL1_1.0:contig12630:48334:50272:1 gene:CCACVL1_21448 transcript:OMO65658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQIMQENLPSTAVDVQTPAPTVNSASHPDADDIVIASLSHQFEQIEDVDLNCLKCGARHYVVRWIGDWRQGHHAASVSFFHYGKPRFIGMTKDGDLNYLFICRCGGEISYTSPKPKLILDASDLPFPTIIPVPTDSREREYEFLYCLLCDTSHAIVRFKDGTHDPCSFFQYGNPRFLGQVIKTKKLLYLFSCTCGEDLYYASPPPPTQEATSPEAKASQHEADSGGERKADCNANC >OMO65653 pep supercontig:CCACVL1_1.0:contig12630:3426:7659:-1 gene:CCACVL1_21443 transcript:OMO65653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKESDSATTQTTKSQTQISNCMDLSSPYLLQPSDHPGAILVSCPLNGDNYPTWARAMTNALRARNKYGFVDGSLAKPEATSPDVSTWEKCNSMVISWIFNSLSSDLHNSVAYVDTAREMWLDLEERFSQGNAPRINQLKRDLALTFQINMSVAAYYTKLKGIWDELQTYSTIPPCTCGAAKELLLEREREKVHQFIMGLDDSFRSVSSHILNIEPLPSLSKAYALVTRAERENSVRSTRPPIVEATALHVTTSANAAQSHTTRLRCDHCNKTGHTKSHCYELVGYPSHWQKGKTDKDKRKPHAKAGSSNPKAMFPTCHVAKTIDASPIAGLTSEQYNQLISLLNIEKTNIVDDFSGKTTNDSNVWIIDSGASDHMISSKSKLDHYQSFSKPLSVNLPDGTSISILGIGKAKLSSTFQIIDVFYIPEFTCDLLSVSKLTKQLNCAAIFLPTFCVLQDLTSKKLIGLGEMRDGILHQMSCVDTPQQNGVVERKHRHILNVARALRFQAYLPLSFWGECVLTAVYLINYTPSPLLDGKTPFEMLFSKPPAYDHLKVFGCLCYALQKPKPNDKFSPRSSKCIFVGYPNGTKGYRVYDLTTKKIFVSRDVRFYENQFPFENTSTSTNDQTVVPLPALEDTDLSITHDSIPPNPPQEQPQPHPPTNPPNQPSTRPQRTKTRPKRLDDCVCNNSKVDNSPSSLTHEASSGTLYSLSNFISYDNFHSSHKAFLAAISLRDEPKSFSQAVKSPQWREAMQKELAALENNNTWTLETLPPRKKPIGCKWIFKIKYKSDGTIERYKARFVAKGYNQIEGMDFHETFAPVAKLVTVRCLLAIAAIKNWELHQLDVNNAFLHGDLDEEVYMSLPPGYGDKNDSRVCRVRKSLYGLKQASRNWFAKFFAALLEFGFIQSTVDYSLFTLTTGSSFLVVLVYVDDLIIAGDDSVRIRSLKQHLDSRFHIKDLGPLKYFLGIEVARSSSGIFLCQRKYTLDILEECGMTDAKPSAFPMEQKHNLTHDTGPPVQDPMQYRRLVGRLIYLTITRPEISYAVHILSQFMNDPRQPHLDAALRVLRYLKSCPGQGIFFSSSSSPHLTGFSDSDWASCPQTRRSTTGYITMLGSSPISWKTKKQTTVSRSSAEAEYRAMAATVSELLWLRSLLQTLGIPHQQPMALFCDNQVAIHIATNPVFHERTKHIELDCHFIRSHIQAKSIQTSHISSKLQLADIFTKALGRDQFQFLLRKLGIFNLHAPT >OMO65655 pep supercontig:CCACVL1_1.0:contig12630:27206:27399:1 gene:CCACVL1_21445 transcript:OMO65655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRELSTLTLVSSKKAQQNNVGSLQFNQPSAK >OMO65661 pep supercontig:CCACVL1_1.0:contig12630:82632:82763:1 gene:CCACVL1_21451 transcript:OMO65661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPSLSHKVGQKPQVAQQDTSATASLMKAQQHTRALLLLHPS >OMO65662 pep supercontig:CCACVL1_1.0:contig12630:91538:91876:1 gene:CCACVL1_21452 transcript:OMO65662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDASFLKRSRQPLRMHDTLLERTIVPFVTLAITVTLSILWHLNREELLLWLVFDDENRARIMEKMTRVCQVLLISCSLFLLKGIRRPSNRRKGSARLQWNYNFNVLIAIFTA >OMO65654 pep supercontig:CCACVL1_1.0:contig12630:14981:17575:-1 gene:CCACVL1_21444 transcript:OMO65654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MELLPLFLTSLMPVLKVLLVIVVGVFLATERVNLFGPDARRHLNNLIFYVFFPALIGCSLADAITIKDLVALWFMPVNIFISCTLGSAFGWILAKITRTPRHLHGLVIGCSSAANLGNMLLIILPALCEEKSSPFAKSSTCSVLAKAYASLSLAIQAIYVWSILYFIMGMSAKHVVKEVNTNDSRIATTTSIPTFEKVKQSLVVVARSKSLKMIFAPTTIAAIVGFIIGIAAPIRKILIGDNAPLRVVFSSAELIGEAGIPCLTLIVGANLLKGLRGGSGASKSLIIGIIVIRNILLPGIGILIVKVAKYWGMVNSDSFYQFTLMLQYAIPPAMNIGTISQMLGTGESEFSVIMFWNYMVSAFSLMLWTALYISLVT >OMO65660 pep supercontig:CCACVL1_1.0:contig12630:56697:68780:-1 gene:CCACVL1_21450 transcript:OMO65660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLGFNFNIQGEIPREIENLVKLEAFVAQYMGLNGSIPSSIFNMSSLRVIVLYNNSLSGTISQLLGTGEIEFPVNMLWNYIVAAFSTTL >OMO65659 pep supercontig:CCACVL1_1.0:contig12630:55281:56250:-1 gene:CCACVL1_21449 transcript:OMO65659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLQIPTISSICEFEEESVEHLLLLCEWSRGIWMAICGLKIDRGAVRTFDGWLLSTFDQINQTMDGIGMKTRIAFTCWGLWKARNEAGAWIQVLPDRDKSWSRPRNGWIKVNVDDAYCRNSNDCGIGIVIRDSLGQILEAYGNYIRGSSAGMAEAYALREGVKFAVQNNFDRVTFEIDSLIVYNSVLKKEESRSWQVQPVVSDIQNFLQMIPQQDLKLISRTANGAADWFANAARVRMCFVDWRQQPSSSLVGIWNKDGSLHPLVLSYRLFDSVFLFVLSFLFCSVS >OMO95684 pep supercontig:CCACVL1_1.0:contig07626:9438:9824:1 gene:CCACVL1_05313 transcript:OMO95684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MASCEGMKTSWPELVGEEGEIARATIETEVPWVEVVIVSEGAATDIPLDYRCDRVWIWVNRRGIVTTVPLVA >OMO95683 pep supercontig:CCACVL1_1.0:contig07626:5623:5955:1 gene:CCACVL1_05312 transcript:OMO95683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MASESFCKGKNSWPELFGEVGEVAAATIERENPLVNAVIVLEGTQVSEDLRCDRVRVWVDTNGIVTRVPIIE >OMO52709 pep supercontig:CCACVL1_1.0:contig15471:29960:30569:1 gene:CCACVL1_29108 transcript:OMO52709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCYADDIVRLKHLYSNKGSEMLSKGCSFLSHRAVAHPSLLRCGISKSWGTEPCCKK >OMO52708 pep supercontig:CCACVL1_1.0:contig15471:12747:12902:1 gene:CCACVL1_29107 transcript:OMO52708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSFTGKEEEKKTKDLREMGMEDLLEDEISCKVQTAETKQTALMLTNAAF >OMO52706 pep supercontig:CCACVL1_1.0:contig15471:6074:6503:1 gene:CCACVL1_29105 transcript:OMO52706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVRTLLRSPSSTVRCLSNLELSIMIRMELLC >OMO52707 pep supercontig:CCACVL1_1.0:contig15471:12227:12397:-1 gene:CCACVL1_29106 transcript:OMO52707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQDVRKRKAIDVALEGSARGGRKETMMKRQSHIRKEGTQGSSDGNFIFEVENGER >OMO52710 pep supercontig:CCACVL1_1.0:contig15471:31357:31473:-1 gene:CCACVL1_29109 transcript:OMO52710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVSLRLMARLSSPHKSGYATLSPLIYIFRTLHDIED >OMO51677 pep supercontig:CCACVL1_1.0:contig15752:10541:15568:-1 gene:CCACVL1_29646 transcript:OMO51677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRITLKQERHNGKEVFIISMQKVSWKDRVIGFLDASCFAS >OMP10370 pep supercontig:CCACVL1_1.0:contig02570:181:940:-1 gene:CCACVL1_00981 transcript:OMP10370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem antenna protein-like protein MWYGSATTPSNYLVLLVINGIKDTFNKKYTEGLVLDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHASFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQVV >OMO58811 pep supercontig:CCACVL1_1.0:contig14131:6535:11470:-1 gene:CCACVL1_25344 transcript:OMO58811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGSQQSDLASSPGRSLNGSFRKFTSGFLQNELDSSPGQSLDGSFRKANSVISSHSVSGISSSSKLFPTSRRVYKLLKDCGRKLVNQELFQQSLEDWVLENAGVDHVNVEHSSFRSPFLIDELRKLDLALEGVLFQQLCRMPCPPYASEALKEDEYLALEDFLHATVNGLWRTFWRKSGPLPFFLSCSRQPGSKFYPVEKAISRGRLEELRGLALISKSGSDPKVQWDQVVQFALFRQDILSGNELRLSASSVCEALFCGVHILISRSLSKSRSIESDSVYVMVFDSKFGAVVKLGGDLSKLEFNTSDPYQSVVHWIKCHAEVCVSSVDRIWNKLGNANWRDLGTLQVLLATFYSIIQWIGPPRKSIASLASNHSLRLQKRRIECRLAENENALVPYQQAGFQHGEIVELDHNDNPLLNNPSRLKLKQGEILLLEDQQQGQKSFQIQDSFMGGNYFLYCAISLDCPTQLLTLYVGAHPSRLEPSWEDMSLWYQVQRQTKVLNILKQKGISSKYLPEIIASGRILHSGPCKKQSPSGRCDHPWCGTPILVTYPVGEPLSYIVARDGPLSSDDALRCCRDCLAALRSAATANVQHGDISPENIIRVFDTQGTRNKALYIPISWGRAVLEDRDSPAINLQFSSSHALQHGKLCPASDAESLVYLLSFVCGGRMQQQDSIESALQWREKSWATRSIQQQLGEVSPLLKAFADYVDSLCGTPYPVDYDIWLRRLNIAVDGSVSADRGKMIEEVAITLRLENVAESSGTSGCGV >OMO58812 pep supercontig:CCACVL1_1.0:contig14131:40680:40760:-1 gene:CCACVL1_25345 transcript:OMO58812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKISPKVKGSEEKLHSDVAVFDWL >OMO58810 pep supercontig:CCACVL1_1.0:contig14131:16:962:1 gene:CCACVL1_25343 transcript:OMO58810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQNVNGGKLDGSFTPTAYQNMVKICSEKLGTRITKENLKNRLKTLKLNFYGCYDVFRKKSGFSWNPMTRKFEAEMEVWKELIEANPDAKRWMSTPFNHYDKLFDLFAQDKATGEGATSAKEKMFQWANEEDGNRIDDFSTFAPSNSSCELQLDEDSTSPVHATSKVNSEVEVEASSKSKKRKASDCLDKQFEIMNDGINNVAEAIREGNDIAAKGIAVLEKKGQLTYTEDKVYQELVRLDIPKGILLDALLFLVKNDKNCRAFFAVPTSLRKELLYKMMGYSNVS >OMO74353 pep supercontig:CCACVL1_1.0:contig11140:13718:16888:-1 gene:CCACVL1_16804 transcript:OMO74353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellular retinaldehyde binding/alpha-tocopherol transport MADTMALPLEKQVQIGPEKSDVENSEDERKTRLASLKKKAINASNKFRHSLKKKTRRHSRVMSAAIEDNLDAEELQAVDAFRQALILDELLPAKHDDHHMMLRFLRARKFDLDKAKQMWVDMLKWRKDFGADTIMEDFEFKEYDEVVKYYPQGYHGVDKEGRPVYIERLGQVDANKLTQVTTIDRYLQYHVKEFEKTFAIKFPAASIAAKKHISQSTTILDVEGVGLKSFNKAARELLQRLQKIDGDNYPETLNRMFIINAGSGFRLLWNTVKSFLDPKTTSKIHVLGNKYQSKLLEVIDASELPEFFGGSCNCAGGCMLSDKGPWNNPEILNRVQNGDAKCTRRTMSGIEDKACLEKKCSFNAEPAMNTAEKSTLSPVPESPIKQNSQDSYAYAKFVPMVHKAVDNSWPQSMEGNKFAIPKDCAAEGMNNNIFGGILAFVMGIVTMVRLSRSMPRKLTEAALYGGQVYYANKMITGAPAQMPPMNSDDYITMMKRMAELEEKVTFLVGKPATMPPEKEELLSSALSRVCTLEEELSEAKKALDQALGKQQELQTYIDKKKKRKNKFNPFRCRW >OMO74354 pep supercontig:CCACVL1_1.0:contig11140:20328:24253:1 gene:CCACVL1_16805 transcript:OMO74354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine/DAP/Arg decarboxylase MEKVEKRPFYLYSKPQITRNVEAYKEALEGLKNPIIGYAIKANNNFKILQHLRKLGCGAVLVSGNELKLALRAGFDPTKCIFNGNGKILEDLALAAQEGVFVNVDSEFDLENIVAAARIAGRKVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKAHPNELKLVGAHCHLGSTITKVDIFRDAAVLMVNYIDEIRAQGFEVDYLNIGGGLGIDYYHKGAIFPSPRDLIDTVRELVHSRNLNLIIEPGRSLIANTCCLVNRVTGVKTNGTKNFIVIDGSMSELIRPSLYDAYQHIELVSPAPADAEVSTFDVVGPVCESADFLGKERELPTPAKGTGLVVHDAGAYCMSMASTYNLKMRPPEYWVEEDGSVVKIRHGETFEDHMRYFEGL >OMO74352 pep supercontig:CCACVL1_1.0:contig11140:9077:13321:1 gene:CCACVL1_16803 transcript:OMO74352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellular retinaldehyde binding/alpha-tocopherol transport MSGPLDRFARPCFEGFSGSDERRERKSDFENSEDERRTRIGSLKKKAINASTRFKHSIKKKSSRRKSDGRVSSVSIEDVRDVEELQAVDQFRQALIMEELLPERHDDYHMMLRFLKARKFDIDKAKHMWADMLQWRKEFGADTIVEDFEFMELNEVLKYYPHGHHGVDKEGRPVYIERLGKVDPNRLMQVTTMDRYVKYHVREFEKAFTVKFPACTIAAKRHIDSSTTILDVHGVGFKNFTKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTVKTFLDPKTTSKIHVLGNKYQSKLLEIIDASELPEFLGGSCTCADQGGCLRSDKGPWKNPEMLKMVLNGEARRARQVVKVLNSDGKVIAYAKPQYPMLKGSDTSTAESGSEAEDIASPKAMKSYSHLRLTPVREEAKVVGKTSYVGNFSGYDEYVPMVDKAVDSTWNKQTALLRPSASKGSLTLPETTKTPEGIRARILVTVMAFLMTLYTLFRSFACHITRKLPNTVSDHSQNATQPTRAANQEEEFHPPSPTPPFSQSDLLSTVLKRLGELEEKVDTLQAKPSEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDSQEEAKLRVVFTEANNVP >OMO89725 pep supercontig:CCACVL1_1.0:contig08636:21278:26259:1 gene:CCACVL1_07669 transcript:OMO89725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQQGEQNQEVNTTAFLQQIIQQLGTVNTRLDAIENRNQQAQQGANAAHNNERVQPPPPRQVIPRVDPMERLRQQELGGQAYNENLRPRRGVEREEHKDNIKYKIPKFNGRGSPSDYLEWESKLDMYFDYHPHAESKKVQIATLEFSENALNWSNQLVQSRRRNLERPIETWAEVDEAPQATMARFMAGLNRDIHGIVEMQQHYDVEELLQHALKAESQVKRNGAKKSFASSSSSWKTPIKKDEKSFNKEKEMAQKGVMYLNDHDEVVSEDEESALGSSGDGEDERGFAHDDEDDDDGNTPALSNLVARRTLSTYVKGDVHNQRENLFHTRMYACGKPSSVIIDRGSCTNIASVYLLKELSLPTTKHPKPYSLRWFNDREEIKVNKQVLVSLSLGRYNDEVLCNVLPMQACHVLLGQPWQFDNKVHHDGETNKYSFVCGKHPITLIPLSPQEALKDQLKLKEDFAKLESEYRIQEKLKNVSSSVNCVDIKSVLVDKHASSKKVAKEKADFVKDLHARVRAQIEKKTQHYMKNANKGRKEIIFEPGDWVWVHLRKERFPEKRKSKLLPRGDGPFHVLERINNNAYKLDLPSDYGNVDQGLEGSMDKLEGGGIANPNSTNMGIANPTSNDKQKLNRPFDPLVMPLGPMTTGVSDTRLLTLDSRLSTVDHPLLFSALRLLHFQIRQHLNGGRPSANVRPSLRVAALDEEAPAGLKVSWLNTLNSQGLTGLWHNRGPSWGSTSILRDGRCLFRSVVHGAWLRAGKQSLSETLQKQLADELRAKVADEFIKRRADTEWSPITVHMRDKNSGGLKTIAEYGQEYGKENPIRVLYHGYGHYDVLRSPVGTEVSFYPFCSE >OMO89726 pep supercontig:CCACVL1_1.0:contig08636:38450:38788:1 gene:CCACVL1_07670 transcript:OMO89726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCCAKYSPGHSCLRSNLFSILKSGVDDKLPELDEAFELPSEITLDEAAAIEATLEPVLSFNSLLGTSGPQTMRIIDRIKGQKVIVLIDSGSSHNFMDARVVKRLGFFKQSI >OMO88455 pep supercontig:CCACVL1_1.0:contig09008:67792:75087:1 gene:CCACVL1_08383 transcript:OMO88455 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MSSLQIKVQIQSDIRSALHVVSSTFRYLNSKSFTLPRAASPRRLLHSWRTSFGPLPKAFSNDGCVTEKPSVCTADELHYVSVPNSDWRLALWRYHPSPQAPTRNHPLLLLSGVGTNAIGYDLSPGSSFARYMSGQGFDTWILEVRGAGLSVRGSTFKEIKENADAVSEQMESVAKNVTNGASPAQQQPTNFSGSLSEASEVSFLGEDSIGIGTAWDESKLVSNLTEIFMRLSERLSGFLSDGQSKLISAKFFDQISKLLEDSQLSERFEEVRAKLSSLLEKQQNSGIASQITDLSKRLVNIIEEGQKSVSPQFINMQERLSSTIEDFKKQLDLIVKYDWDFDHYLEEDVPAVIEYIRAETKPKDGKLFAVGHSMGGILLYSMLSRCGSEGREPGVKAVVTLASSLDYTSSKSTLKLLLPLADPAQALNVPVVPLGTLLAAAYPLSSQPPYVLAWLNNLISAEDMMHPDLLKKLVLNNFCTIPAKLILQLTTAFREGGLCDRSGKFFYKDHLHKSNVPVLAIAGDQDLICPPEAVEETVKLFPENLVTYKVFGEHQGPHYAHYDLVGGRLAVEQVYPSFLLNGTSALHPVYYQAGKDDDGIIDLGLSLRTLQPQAFHPSRHVVSLEGYNELVSWPQHHGANSQIKSCNPGLVAMAGDCDEEAEGVQSRERWAYVKVNMEGVMVGRKVCMLDHAGYSGLACQLEEMFGRHTSTGLRLFEVESEFALFYKDIDDLWRNVGDVPWKEFVERVKRLRISRKTEEALLN >OMO81634 pep supercontig:CCACVL1_1.0:contig10136:15464:16622:1 gene:CCACVL1_12336 transcript:OMO81634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIWPHLATYVVALFLIIENVAADYKLPKDAYIYLSPPPPPYIYKSPPPPPYVYKSPPPPPYVYKSPPPPPYIYKSPPPPPYVYKSPPPPPYVYKSPPPPPYVYKSPPPPPYVYKSPPPPPYVYKSSPPPPYVYKSPPPPPYVYKSPPPPPYVYKSPPPPPYVYKSPPPPPYVYKSPPPPPYVYKSPPPPPYVYKSPPPPPYVYKSPPPPPYVYKSPPPPPYLYNSPSPPPYVYKSPPPPPYVYKSPPPPPYLYKSPPPPPYVYKSPPPPPYFYKSPPPPPYVYKSPPPPPYIYKSPPPPPYVYKSPPPPPYVYKSLPPPPYVYSSPPPPPYVYKSPPPPSYVYKSPPPPPYYYK >OMO69706 pep supercontig:CCACVL1_1.0:contig11998:2230:3342:-1 gene:CCACVL1_19323 transcript:OMO69706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEINSFIKVWLSVLISLCYCHAIGKTIPKGSKRLVFIIPVVCLFFYLPLNLYSPHLAGVTAFFVAWLGNFKLLLFAFDKGPLSAHDLSLPIFVAVACLPIKIQNKGNPNPASKISHQNGHAHCKQIPSPSPRNRKSKEGPLNYAVKAILLGILVRVYDYSENMNPKLLLLLYSLHIYFLLEIILAVGAAMVRSVSGLELEPQFNEPYLSTSLQDFWGRRWNLMVTSILRPTVYEPTLRFSSILIGRKWAPLPSVFSTFVVSAVMHELMFYYLGRLTPTGEVTWFFLLHGFCLTVEIALKKALEGKLELPWLVTGPLTVAFVLGTGLWLFFPQFTRCKVDVRAFEEYAEVGALLKNASQNLVSLISSPK >OMO98614 pep supercontig:CCACVL1_1.0:contig07070:8430:15141:-1 gene:CCACVL1_04152 transcript:OMO98614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MYPKLTVRVLSVVKLGPARTVEPGPEGCLKSAYLLNFYFLLIVLFDFISKAAVTEEVIDFFSSKAGKCLTEPNKKLVEFGVGGVCNFSVVGTGPDMTQTVTSTYNFELRIKCSAASLPDVKVMKMEAMIKEFKEAVHFVLSVEFWRMAVFWTFSLLASYLQLYSKGLFSRKAQAYPRCHPPISESMRPVCVITGATSGLGAAAAHALSREGFYVVLVGRSSHLLLEIMTDIKSKNKDARVRAFQVDLSSFQSILEFKGLLQQWLLDCKMHSSIQLLINNAGILATSSRLTPEGYDQMMGTNYIGAFCLSKSLLPLLKRSPIPSRIVHVTSFTHRSVFDVQVDKESVSGTRFLISERYPFAHIYEYSKSYLLLFTYELHRQLGLMDKCSHASVIAADPGVVKTNIMREVPTCLSHLAINTFKLLGLLQSPMDGVNSILDAALAPPEASGLLFFGGKVIEGEIVSIEFDFALVQFSFAIQIQPQEYGITKRLWNCMGDGRLNL >OMO98613 pep supercontig:CCACVL1_1.0:contig07070:129:6214:-1 gene:CCACVL1_04151 transcript:OMO98613 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSDDSNDAAPPRYFLELMELIKSNDQKASERIAFMEKSNAAMEERIVQLTRAVNGSNGKNTENPIAVNGGDLSEASNGGRGAEGTPKETPPPPPPFNSTPILVDNDTEYVTKEQLEKIFQKKNVASSEFDLKLPYSKRVAMKPYPKDYVSPKFKQFDGKSGDAKEHVMKFVETLGVAGLDDDLKLKEFSKSLTGKAYTWYVNLTPGSVESWSHMCTLFGEKFLSTQERVTLIDLGREHQRRGEDLMDYIQRFRERVLDIHEQHDEKELVKVCLQGMFDEYRVHLENLSLHTFAVLVEAARRTNNTVTRQREAQQRYRKNSSTVHAVQYGDRKERKRPKRDFQGGDNRRDDAPPFPVPVDQVRALLQQWIADGQVNLPFVPQMPIAQEKADLKYWESETTLYLYMGGDVAAVIHSVHDEPHTEEEDDTRVAVYVTTTIATSLLKTPNVRNFFDQLGFSEDTRKEAAEALVHIADKYHGEGGLVDSSMKRMSRAYRNTIVFTEADMCTPNPNHNKPLYMESIINGVKVRRTFVDDGSGVNLMPLSTMYALEIDIKSLRHPMTLNSFSNQEVRTLGYTTVNFKLGNIQEQVIFHVIDADVAYHVLLGRKWLNNHYLIGSNMHQCIKGFWQGKEVFIPATKAPFEKYEVRYAEAAFFDEVAEEGEGVLSRPMGLRLPRWEEYAEEGKAGGEPSFKRTRRGGRRKRGRTGGSEMVKQTKGVTSRTRIESPEGDVTCMQVETEAVVGELAPAPETFQDKPKPQGDELEEIKLAMEGVQEYEDVFAWSYEQMPGLDDNLVTHELYISPSSKPVKQSARVFRPEIELQIKGEIDKLLRVGFIKPIHHPTWLANVVPVKKKNGSIRVCVDFRDLNRACPKDDFPLPNIDTLVDATVGHEMFSFMDGFSGYNQIKMCKEDAEKTAFRTPIGNFYYTVMPFGLKNAGATYQRAMTAIFHDMMHVCIEDYVDDIVVKSKRVSDHFSDLRRVFERCRKYKLRMNPLKCAFGVTSGKFLGFMVHRKGIDVDPAKVAAIRELAPPVNQKQLKSLMGKLSYIRRFIPALGDIVAPFQSLLKKGVPFIWGEPQQKSLERVKEVLASPLTMTPPIKGQPMMLYLTSTNESIGGLLVQEVEGVERPVYYLSRCLHGSELNYSPIEKHCLSLVFATQKLRHYLLAHKVTVVTKSDPIRYILSRPILAGRAAKWLLLLGQFDLSVAQPKAIKSQALSDLLAYFPTQAEEVVTLDSMPGDIDGEVCCNQPLMGEWTLYFDGSATATGGGAGVVLVSPEAERAYEEVVSMAFKLDFQCTNNQAEYEALVLGLNTAKIIGVTELCIIGDSNLVVKQTNGEFALKEPTLAPYRELTRVMLDHFQSVRCEHSPRSSNRYADALATLASKIHIPGQKGEISLLVQRWSVPGPLAGMTEYYLGEVSKGTDWRTPIIEQLRERKSSNLRFFKNYAMIQEALYYRGPNGILARCISPEEAKERLRASHKQWCGMEGPPLYRRIQRAGYYWPTMSSDCANAQYACPRCSEPPDVNDCHFVGSVGDWRRPYIEYLKNGVLPTNHQDARNLKRKVQRFFMKGNELFRTSFVGTPLKCVSPADVTPLLEETHGGTSGAHEGGLKLYKKLIDLGYYWPTMEADATSHVRKCHLCQGHIWILAATECFTKWVEAVPLKKATGPAVASFIKENVVCRFGIPRRIISDNGTPFVNKDVRKLLALYDIDHVKSTRYYPQGNGQAEATNKTLLRVLSKMVHKDPKLWPDALPVALWAYRTSKRSPTNATPFSLVYGTEAVVPSELLVPSARLAIDAGLTHDEMRGVELEALEGRRDKAKKNFQVYQRRISRAYDKMLRRRSFEPGDQVLRAADHVMRGAPPPHKFNEKWEGPYIVHEVHNSGYCTLLNPQNNNALTTPINFHYIKKYHV >OMO68146 pep supercontig:CCACVL1_1.0:contig12264:3530:3778:-1 gene:CCACVL1_20061 transcript:OMO68146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase VMSNGRYKSVHHRVVTNKTQKRVSVATFYSPRSEAVIGPIEELIDEQNPPLYRNYHYSEFLEEFFKQEGTRRMVKEVFEFKS >OMO94475 pep supercontig:CCACVL1_1.0:contig07868:15493:15700:-1 gene:CCACVL1_05983 transcript:OMO94475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRVFNKALFTLLFLNASEASLFFQNYGLCLDGAHSRV >OMO94478 pep supercontig:CCACVL1_1.0:contig07868:31907:32869:-1 gene:CCACVL1_05986 transcript:OMO94478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced protein 1 MASSNNVVLFLVFLVSLLHFNSEARILSESDQTEQPLLFQYHNGPLLTGKISVNLIWYGKFKPSQRAIVSDFIASVTSSKPTVAQPSVSTWWKATEKFYQLSKKQASSLVLSLGTQILDENYSLGKSLSNQQLIQLASKGAQKNAINVVLTSADVAVQGFCSSRCGTHGSALGSSSTNGQVKGKSSKFAYIWVGNSETQCPGQCAWPFHQPIYGPQNPPLVAPNNDVGLDGMVINLASLLAGTVTNPFGNGYYQGPKEAPLEAASACSGIYGKGSYPGYAGDLPVDATTGASYNAHGVNGRKYLLPALFDPSTSTCSPLA >OMO94480 pep supercontig:CCACVL1_1.0:contig07868:37663:37903:-1 gene:CCACVL1_05988 transcript:OMO94480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPLANHFESTGHSFSSHLAFTSRAFCAVFKSRQGQRVPSHVPIHLGYRYISLLLPTR >OMO94476 pep supercontig:CCACVL1_1.0:contig07868:16268:17552:-1 gene:CCACVL1_05984 transcript:OMO94476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQGDFRRWDELIPDALGLIFSHLSLQEVLTVVPSVCKSWRKAVTGPYCWQEIDIEEWSNRCNSDQLNRMLRMLITRSSGSLRKLCVSCLHNDDIFSFITQNASSLQVLRLPRSEMSDSIVEQTAGRLSSITCLDLSYCGKIGAPGLEAIGKHCKLLVSLCRNMHPLDTVGKLSQDDDANAIAASMPRLKRLEMAYNLIGTASVQNILSGCPQLEFLDLRGCWDVNLDDQFLKEKFPKLKVLGPTVMDCYEMDAWDDCSDYSEDSDYLAWQFLADEMGDYEDDEDYDEMWDDDYVGGLEELELRFYEGLGEDAGMFGWPPSP >OMO94481 pep supercontig:CCACVL1_1.0:contig07868:43826:44641:1 gene:CCACVL1_05989 transcript:OMO94481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced protein 1 MAVTYHKGELLEGNLQVSILWYGEFSPAQKSIIADFLLSLNPQKENLGKPMVSNWWNTIQTYMRKAGKKDARIVLANQITDRNCSLGKILKKSQISRLARRVHSKPGGLTLVLTAKNVAVEGFCMSNCGFHSSNAKSKSVFIWVGNSETQCPGQCAWPFHQPIYGPQTAPLGAPNGDVGVDGMVINIASLLSGAVTNPFGNGYFLGSSGAQLEPATVCTGVYGKGAYPGYAGELLVDRTTGASYNAQGVNGRKYLLPALFDPVTSQCSTLV >OMO94477 pep supercontig:CCACVL1_1.0:contig07868:23001:26216:-1 gene:CCACVL1_05985 transcript:OMO94477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotyrosyl phosphatase activator, PTPA MAANIKPFLSLVPLFFFLALFSPCSATTRKLAALVQEQPLVLKYHRGPLLKGNVTVNLVWYGKFSPTQRSIVVDFLNSLSSAKVTASPPSVSSWWQTTGKYKGGLSKVVVGKQVLYDKYSLGKALKNSQLTALASKPGYGAGGNVVNVVLTSADVAVDGFCMSRCGSHGSGRVKNSRFAYAWVGNSVSQCPGYCAWPFHQPMYGPQTPPLVAPNGDVGVDGMVINLATVLAGTVTNPFNNGYFQGPAKAPLEAVSACTGIFGKGAYPGYPGEVLVDKTTGASYNAVGVNGQHSQRRHFHFKQENSLYDYDSDSDSNLPEEHRIRPLSTLLATEMEPPPPRTPQDQPAHTHCHPSTTIPTTGTCLKCGGETTMSPPTQSPTAWPDLSSPPVYRPIRAPALNLPPNNSQAIILAPVPQSQKVPTITPPFHFQVPAKKITSPDDIRKFHDSPTSKNFLGFVVALSESIRGHKISDPCHESPTVAKIVSITETLSLWVDQIPPVQQSSRYGNISYRTWHERLTENAESLMLQFLPDELKPSTIEIVPYFTDSFGNSSRIDYGTGHETNFAAWLYCLARMGIIKEEDYQAVVVRVFVKYLDLMRKLQLVYCLEPAGSHGVWGLDDYHFLPFIFGSSQLIDHKYMKPKSIHNEDIVENFSSEYMYLSCIGFIKKVKKGLFAEHSPLLDDISGVPNWNKVNSGLLKMYKAEVLEKVPIMQHFLFGWLIKW >OMO94479 pep supercontig:CCACVL1_1.0:contig07868:36003:36971:-1 gene:CCACVL1_05987 transcript:OMO94479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced protein 1 MASFVTKNVLNFFLLISLFQISLAAGRLLSDVVTDQPQLLKYHNGPLLSGKITVNLIWYGKFKPSERAIVSDFITSLTSTKPQSQNNQPSVAQWWKTTEKYYHLTSKKSTLSLSLGKQILDEKYSLGKSLKNKQIVQLASKGDQKNAINVVLTASDVAVEGFCMSRCGSHGSALGSTAAGHIKGNKYSKFAYIWVGNSQTQCPGQCAWPFHQPIYGPQSPPLIAPNNNVGLDGMVINLASLIAGTVTNPFGNGYFQGPAEAPLEASSACPGIYAKGAYPGYAGDLLVDSTTGASYNAHGDNGRKYLLPALYDPATSSCSTLV >OMO94474 pep supercontig:CCACVL1_1.0:contig07868:1650:5337:-1 gene:CCACVL1_05982 transcript:OMO94474 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAHP synthetase, class II MALTNSSVLQTKSLLQSHPSTSFSKSKPLSVVRISAVHSPDSPKNPIVSDKSAKQPAPAPLSATKSAAAPTVAPPQNAVPGKWTVESWKSKKALQLPEYPDQAELESVLRTLDAFPPIVFAGEARSLEEKLGEAAMGNAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVIKMGRMAGQFAKPRSDSFEEKNGVKLPSYRGDNINGDAFDEKSRIPDPQRMIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFSENSEQGDRYRELAHRVDEALGFMAAAGLTVEHPIMTTTEFWTSHECLLLPYEQSLTRLDSTSGRYYDCSAHFLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPNELVKLIEILNPQNKPGRITIITRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPCGLKTRPFDAIRAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRKRRIDSQQSLPLTPGL >OMO94564 pep supercontig:CCACVL1_1.0:contig07837:9519:9659:1 gene:CCACVL1_05923 transcript:OMO94564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAKEKARKLGVPTYTTARESQKQEFLLKWIFSDPRDVYSTKKPAR >OMP11282 pep supercontig:CCACVL1_1.0:contig01423:3609:5865:1 gene:CCACVL1_00585 transcript:OMP11282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide transporter PTR5 MEKKESFGGAENGADLDVQSKKLKNGKKGGIKTMPFILVA >OMO99193 pep supercontig:CCACVL1_1.0:contig06937:12776:12880:1 gene:CCACVL1_03893 transcript:OMO99193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILDPRKRKFSATFLQKHYIQPKEGNSLTEAAN >OMP11400 pep supercontig:CCACVL1_1.0:contig01324:5821:5910:1 gene:CCACVL1_00539 transcript:OMP11400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNATIVARAVTLLGIAVSIKHKAMLPPL >OMO72024 pep supercontig:CCACVL1_1.0:contig11515:30785:30865:1 gene:CCACVL1_17983 transcript:OMO72024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDARESKWDYSHYFVGHAMAAMSD >OMO72023 pep supercontig:CCACVL1_1.0:contig11515:29795:30511:-1 gene:CCACVL1_17982 transcript:OMO72023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSFFHYPNLQFSPDQSSSYSSYVSQENLSSIDSFNYPHNNQALPFNENDSQEMLLLGVLNQAAPENSFDTTSVNSFRDDNNNNEVNSNSETTAKEISYRGVRKRPWGKYAAEIRDSTRNGVRVWLGTFDTAEAAALAYDQAALAMRGPMAVLNFPMDKVYQSLQEMNYGFEEGCSPVLTMKKRYSMKSKRSPGNKKIKKETKENGVENILVFEDLGADYLEELLSISESSVSSPYW >OMO72022 pep supercontig:CCACVL1_1.0:contig11515:21779:22492:-1 gene:CCACVL1_17981 transcript:OMO72022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSYFQYPYSQFSPDQSSNSNSYVSQENLSLDPFNYPYNNNNQPLQLPFNENDSEEMLLLGVLNQAPARSDEHEVSSESNSSEEESARENNNNNISYRGVRRRPWGKFAAEIRDSTRNGVRVWLGTFDTAEAAALAYDQAALAMRGSMAILNFPMEKVYESLQEMNYGFQEGFSPVLTMKKRHSLKSKRGMKMMKKVKKEKEIIRMENVVVLEDLGTDYLEELLSISESSSSSTLW >OMO91019 pep supercontig:CCACVL1_1.0:contig08391:25937:26014:-1 gene:CCACVL1_07234 transcript:OMO91019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATFLRQGFDATNISNFSFIEP >OMP07766 pep supercontig:CCACVL1_1.0:contig04210:149:301:1 gene:CCACVL1_01228 transcript:OMP07766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline-rich extensin-like family protein LPSPPPYVYKSPPPPPYVYSSPPPPPYVYKSPPPPPYVYKSPPPPPYYYK >OMO62375 pep supercontig:CCACVL1_1.0:contig13275:14961:15512:1 gene:CCACVL1_22863 transcript:OMO62375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A MSSTGGSTKGGRGKPKASKSTSRSQKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGCVTIANGGVLPNIHQTLLPKKAGRDKGEIGSASQEF >OMO62376 pep supercontig:CCACVL1_1.0:contig13275:17173:24432:1 gene:CCACVL1_22864 transcript:OMO62376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLVSYCNLSSSLPRLKLSSFRIVSSSEVGVSRRQVLEQVDKELSKGDERAALSLVKDLQGKPGGLRCFGTGRQVPQRLYTLDELRLNGIETASFLSPVDATLGSIERNLQLAAIFGGVAAWNAFGFSQQQVLYISVGLLFLWTLDSVSFNGGIGSLVLDTIGHTFSQKYHNRVIQHEAGHFLIAYLVGILPRGYTLTSLEALQKEGSLNIQAGTSFVDFEFLEEVNTGKVSATTLNRFSCIALAGVATEYLLYGYAEGGLADINKLDLLLKGLGFTQKKADSQVRWKFMGAVFGDRGTDFILSVEYYCCDRPNPILQVIYLAMIGATYYIIAKTSFAYIPGYYLSGIHRYTSLLAVGIGILLFVLTSFSDPGTVKAENVSQYLSVYPYDNIIYTEKECSTYQLDPSTAAYAIDVLPALIIIVDGWHFLLCIYGTVAIGLVLVGRLKELKIVYILTVYYGVENSFGSLAPHVVQTFKWQDYISWQKKLREARASTAALKASISGISNEGKPPERKCKSFFRRSPLEDSEAVIKNNVYNKGFFHNFYECSIGVWVSSPVFSEAVGTGTAANFSSEAAKGIFVEAKQHVGYVIFYKKGVDKFEEKLKMLITKRTSVKQEVDAASRNGENLKADVHRWKSSVRES >OMO62378 pep supercontig:CCACVL1_1.0:contig13275:39174:39263:1 gene:CCACVL1_22866 transcript:OMO62378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLHKLAEEIEGSKSKYCSIKSKHQRDK >OMO62380 pep supercontig:CCACVL1_1.0:contig13275:66807:67340:1 gene:CCACVL1_22868 transcript:OMO62380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISCCSKLESIIEPCGLDQSHKSSTKFVFPQVRYLRFDSLRKLKSFYPRMHITEWPSLKEFRVTKCNNVKIFALKNLNSQLEIPVDEQPLFWFSEDAFPCLEESYTDQDDAEEIFELAKYQESTIN >OMO62373 pep supercontig:CCACVL1_1.0:contig13275:3025:4888:-1 gene:CCACVL1_22861 transcript:OMO62373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MAGSNEVNLNECKMVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANANPVDGVFSFDVIIDRSTSLLSRIYRPATAEEPQPNIVDLEKPVTGEIVPVIIFFHGGSFAHSSANSAIYDTLCRRLVGLCKAVVVSVNYRRAPENRYPCAYDDGWTALKWVNSRSWLQSPKDSKVHIYLAGDSSGGNIAHHVAARAVESGIDVLGNILLNPMFGGQERTESEKRLDGKYFVTLVDRDWYWRAFLPEGEDRDHPACNPFGPNGKSLEGIKFPKSLVVVAGLDLIQDWQLAYVEGLKKAGQEVKLLYMEQATIGFYLLPNNNHFHTVMGEISKFVSPNC >OMO62377 pep supercontig:CCACVL1_1.0:contig13275:34353:38554:1 gene:CCACVL1_22865 transcript:OMO62377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGEERSKLKYLFNYPCFGSMRHFLLCIYGTESA >OMO62374 pep supercontig:CCACVL1_1.0:contig13275:7864:8109:-1 gene:CCACVL1_22862 transcript:OMO62374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPGLAGNAPMNHDSHPSMRKSSLIKTTKATKRNGILYPINGISVFSCLKVGVNCHFISTNRDLTDSVCPHVTWRRPHAR >OMO62379 pep supercontig:CCACVL1_1.0:contig13275:51234:54799:1 gene:CCACVL1_22867 transcript:OMO62379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFYSKVHTTEWPILQELQVIGCNKVETFARDQNLSFLGRQGESQLDFSVQQPLFWVHEVGHYCSPFVFEEQLAVR >OMO80165 pep supercontig:CCACVL1_1.0:contig10344:10569:11098:1 gene:CCACVL1_13138 transcript:OMO80165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISKKPDPFINSVVHCPSK >OMO80166 pep supercontig:CCACVL1_1.0:contig10344:14301:14753:1 gene:CCACVL1_13139 transcript:OMO80166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKPGENKNYKFGMPKSNLIGFGISVGLVVLVTMKAFIMGPNDEIQEIAWGCGGDTADDGLVLVHTTGFSVVVCCCSLFMAGILLWLGNGVAEMVGKYRSEYLWVPYAAHYSLGFFTFLSTAVVCGIRTYNLNQSRRQMPAAVSMCASV >OMO80164 pep supercontig:CCACVL1_1.0:contig10344:3039:10110:1 gene:CCACVL1_13137 transcript:OMO80164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S2 MGRLNRLPKRDAAMLKRQLSRLQTYLGGIKYMTGLPDIVIIVDQQEEYTALRECITLGIPTICLIDTNCDPDLADISIPANDDAIASIRLILNKLVVAICSAIIAVRNPQTIPTDGQNFFEYVLEFIRDVEDKNYIWLLLSSLPR >OMO80167 pep supercontig:CCACVL1_1.0:contig10344:22008:26829:-1 gene:CCACVL1_13140 transcript:OMO80167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MVLVPTNGGYQYMRDVKDAVSFMCFLISLVFDIKELYGEGWDALNVIDAFFSAFGMVESVVVLLADLKPADNKPADKNCYASVYLFFFSLGLYLNAIHDELRKRKKKTAENQPRPPTPPASPSRLDATEFDPLMAASTSGTSTSGSGGIEMTTGPRSSSPPPPPPPPPPPPPPRRGQRYMQDTEQESILLLQRKCKDALYSLLILGVRRPVCHLESVAMARMISKGDSISIYSRASSLQGFLSDGKRNEPQRIAGAAQCLGELYRHFGRRITSGLLETTVIATKLMKFNEEFVREEALVMLQNALEGSAGSAAASAYTEAFPLITRFAIGDKAFVVRIAAAHCLGGPGLGIGELDSLASICMKALEEPITSVRDAFAEALGSFIALGLNLEAQGSNLKVELDSLHGEATISAALASISPKLPLGYPARALSYISMLAAKEQLTIKPAMDKFIIRTLMAFQPLPDPMAYMSDHSQIIQLCTVPYRFSFFSSSLMFLLDRRDAWLVPWVPGRDWFEDELHAFQHEQDGLMSSFPQPETINKMFVNQMLIWFEILFAVQTQLFLGELIGATALLVVRWMPKPRLMA >OMO52858 pep supercontig:CCACVL1_1.0:contig15413:3102:7341:1 gene:CCACVL1_29056 transcript:OMO52858 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MPVDSSSSPATGQTVCVTGAGGFIASWMVKLLLERGYTVKGTVRNPDDPKNNHLRELEGAKERLSLHKADLLDYESLKEAINGCDGVFHTASPVTDDPEQMVEPAVNGTKNVIIAAAEAKVRRVVFTSSIGAVYMDPNRSPDVVVDESCWSDLEFCKNTKNWYCYGKTVAEQAAWETAKEKGVDLVTITPVLVLGPLLQSTVNASIIHILKYLTGSAKTYANSVQAYVHVRDVALAHILVFENPAASGRYLCAESVLHRGEVVEILAKFFPEYPIPTKCSDEKNPRAKPYKFTNQKLRDLGLEFTTVKQCLYETVKSLQEKGHLPLPAQQEEQSLRIQS >OMO52859 pep supercontig:CCACVL1_1.0:contig15413:10957:17467:-1 gene:CCACVL1_29057 transcript:OMO52859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Natural resistance-associated macrophage protein MANSNSGQPQFIASTGNRSFSNAPLIQNADTDQIVVPDRKSWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALVIQSLAANLGVVTGKHLAEHCRAEYPRGPNFILWVLAEIAIVACDIPEVIGTAFALNMLFNIPVWIGVLLTGLSTLVLLALQQYGVRKLEFFITFLVLTIAGCFFAELTYAKPVASEVLKGLFVPQLKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKIPRSVRGIKEACRFYMIESGFALMVAFLINISVISVSGAVCKSSNLNEEDKASCEDLDLNKASFLLRNVLGSWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRLTPWLRNFLTRCLAIVPSLIVAVIGGSAGAGRLIIIASMILSFELPFALIPLLKFTSSKTKMGIHVNSLVVMLVTFLMYWLSHWKTVSVVFLGIFGFSGVAIYLAAIAYLVFRPNKEATHLLALTTPGNGDMANDPGNTSIDCLPREDIVSMQLPQRRQTQDID >OMO63353 pep supercontig:CCACVL1_1.0:contig12988:96012:96149:-1 gene:CCACVL1_22415 transcript:OMO63353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFGSNIMQQAKIKSAGSRAIQHRNKLQDNHGIFISKLTLCSWN >OMO63350 pep supercontig:CCACVL1_1.0:contig12988:69977:78203:1 gene:CCACVL1_22412 transcript:OMO63350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHNRVLLIDAGKLTALNRVEFYSNNMIYNVAIVGVPWREKWRRRRASAVTLGGEEIERCCEASTLPCEQLALVTWHGGWDLKCRGGWDLKCHGGATLAPLQEDATVEASTSPSLTHGNEHPIKA >OMO63352 pep supercontig:CCACVL1_1.0:contig12988:86086:86265:-1 gene:CCACVL1_22414 transcript:OMO63352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLDRKRGKIRVGHMKKEVQSSFFLLQARIRKILLSSSVKEGKGDYGLCYLCTFYLFA >OMO63348 pep supercontig:CCACVL1_1.0:contig12988:6270:8202:1 gene:CCACVL1_22410 transcript:OMO63348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRIRVRATVILILRVLTNLFAAACIVVLLLSKARDVDGSKITFEDVIAYRYMMASAVIAAAYCLLQLPFAMYYACTEKRLIRGDFLPAFDFYADKGIAFLLATGFGAGVLTTLDLKEFFREFLNRFGTDLKHTHFDNFFNKGYIASGLLAGAFLSMALLSICSSLRNNTTTTTKKGFFFR >OMO63349 pep supercontig:CCACVL1_1.0:contig12988:69513:69626:1 gene:CCACVL1_22411 transcript:OMO63349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFGCVKTAPSQIKGLRPIVKSFDLFLRPIRGSLGS >OMO63351 pep supercontig:CCACVL1_1.0:contig12988:78965:79567:1 gene:CCACVL1_22413 transcript:OMO63351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQGNDGVRVAPNETIEGLRTLVETLQNRLHVVEERERRREESEGSSSSHTRRGSRGGGASSSSSESMHPDDGRAHLRRQHQQQRRNERNDIVGDVGDVNRQVQRVKDEDDVQNTYVHAYTPKVEIPEFDGKGQPDDFLDWLHTVERIFEYQDVPENKQVKLVAIKLKKHASLWRENLLKQRERRCKDKIQTGTRLSES >OMO49505 pep supercontig:CCACVL1_1.0:contig16487:20187:25081:1 gene:CCACVL1_30969 transcript:OMO49505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MATKPGILSDWPWHSIGNFKYALVVPGAVYSTYSYITAAEDEKNLFMFLVLPFLFFRLLHYQLWITVSRYRTAQGSNRILDKSLDFDQVDRERSWDDQIVLNGILFYAAGIVLKDQFNMPLFKADGTIITLLLHWGPAEFLYYWLHRSLHHHYLYARYHSHHHSSIVTEPNTSFVHPFAEVLSYYFLLLIPILSSIYIGKASIIGVFTYVTVVDFLNNMGHCNFEFMPQWLFTIFPPLKYIVYTPSFHSLHHTQFRSNYSLFCPFYDYVYGTVDSHTDTLYETSSKREAVSPDVVHLTHLTTPDSIYHLPLGFPSLSSKPQASKWYLLFMWPVTLWSLLLTWIHGHAFISERNAFKKLKLQSWVVPKYNMQYFSKWQRGTISKLIGEAIVDADKKGAKVLSLGLLNQNEEFSRNVELYMKKNPQLKIKVVDGSSLIAAIVLNSIPKDKTQVVLRGRISKEACLLVQALCQKGIQVVILEEDEYNKLLKFDNNLQKNLVLSKKYDVKVWLVGDGLTDKDQKKAPKGTIFIPFSIFPPKKVRKDCYYHTTPAMEAPKALENMHSCEDWLPRRVISASRVAGIIHGEEGWEVNECGGTTFSIDKVWNASLEHGFRPVPISA >OMO49509 pep supercontig:CCACVL1_1.0:contig16487:75438:75641:-1 gene:CCACVL1_30973 transcript:OMO49509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSEPVFLLHVNPLASQRYLQSHLPYPLTVQQLLHLHLHLRLHSLKILIQTHFRLILVGLGIDGK >OMO49506 pep supercontig:CCACVL1_1.0:contig16487:26665:29019:-1 gene:CCACVL1_30970 transcript:OMO49506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPIAVALTVGLLGWAYQAIKPPPPKICGSPDGPPVTSPRVKLSDGRHLAYREAGVPKEEAQYKIVVVHGFDSSKDLNLPAPQELIEEFKIYFLFFDRAGYGDSDPNPSRSVKSEAYDVQELADKLQIGSKFYVIGMSMGAYPIYSCLKYIPNRLAGASLVVPFVHYWWPCLPANLSNEAFGRLLPQDQWAFRVARYTPWLFNWWMTQKWFPSLSLMAGNMAIFSPSDLQIFKKLSEAPSVGQEKIRQQGVYESLYRDIMVGYGKWEFDPLDLVNPFPENEGSVHIWQGYEDRIIPYQINRYLSEKLPWIHYHEVPDAGHFLILESKNCEAVLRALLHK >OMO49508 pep supercontig:CCACVL1_1.0:contig16487:51028:51967:1 gene:CCACVL1_30972 transcript:OMO49508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding protein PEBP MAKLSDPLVVGRVIGDVVDAINPCVKITVTFNSNKQVYNGHEFFPSSVTTKPKVMTDPDVPGPSDPYLKEHLHWIVTDIPGTTDASFGREVVNYEMPRPNIGIHRFVFLLFKQKRRQTVRFTPTSRDQFNTRKFAEDNELGLPVAAVYFNAQRETAARRR >OMO49507 pep supercontig:CCACVL1_1.0:contig16487:43500:43601:1 gene:CCACVL1_30971 transcript:OMO49507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKEKIAIWNILHIKIEKNDLADRLAKAGIE >OMO71429 pep supercontig:CCACVL1_1.0:contig11643:29307:30752:-1 gene:CCACVL1_18220 transcript:OMO71429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTHCVDENGLKKGPWTPEEDRILIDYIEKRGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSEEEEQTIIYLHAVLGNKWSVIATHLPGRTDNEIKNFWNTHLKKKLLQTGIDPVTHRPRTDLNNNNILANLPQLLAAAATFSNLIMNNNNNNNTIPLDNALKWQSDAAQFLKIQLLHNILQVLGSPNNGSSSTAPISMEAMNILTRPTFLENNSQLYELLRMNSQLEGDSNNNNLPFPNMAPHELTQLHSNFLNLEASNSHQNDQLQLSDYHPLGQAMKESSKICPNNINEHFTPSPSSSANITTSSKLPKVIPGSPDRQRPNSTAQNKISPNDHISNHSSTSTTFEAWGDLMDDEASDSYLREIIIDQASPQSWPFA >OMO71430 pep supercontig:CCACVL1_1.0:contig11643:53431:54699:1 gene:CCACVL1_18221 transcript:OMO71430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGQLSEDTVVEVPAAVLWKVYHGVELGKLAAQLLPDVFGRVEVLEGDGGVGTIVKVTYPPGTPGPGYVKEMFTNIDNEMRLKETEVIEGGPKAAGFDVYRIRLQILEKDAESSIIRTSVEYEIDDKLAEMASKSKVTVERLGTLAQVIAKHLKQNK >OMO64111 pep supercontig:CCACVL1_1.0:contig12863:29633:32905:-1 gene:CCACVL1_22044 transcript:OMO64111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor class I/class II MRRFNRAFMRCIDSWTQLEVVKSNANPYNRRSFIVPGIANISLSFPRYFSTELQPQLSTDLLKIMEQRMSAIEHRNSLLENLINQPEASPAEYSKANKELRKLKESMDLINELRTKQKEIDGLRSLMAECPDDKDMLDMATEDLGQALGEEKRLQNLLLKLLLPRDDADERDCILEVRAGTGGEEASLFAMDVFKMYEKYSQKKGWKFEVVDITESDLKGYKEASAAISGAGVYGKLKFESGIHRVQRVPVTEKSGRIHTSAVSVAILPQADEVDVQLRNEDLRIDTYRSGGSGGQHANTTNSAVRVTHLPTGITVSIQDERSQHMNKAKALKVLCAKLYEMERSRIQMSRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITYHAINDVMQGENLDAFVDALLLQQEMDAIASFSSDQ >OMO64104 pep supercontig:CCACVL1_1.0:contig12863:8702:9757:1 gene:CCACVL1_22035 transcript:OMO64104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDEPFLSPQTKSQLRTIIEDDPHGFSFAPPQSISTPAIFPSEIQDLPQIQVDQEQEPPHQDHPNARLKKAGTLQRCKSAPGMAIMRDLKPKRPQVPKPQSESSSILRQAIFLLSIYLLLGVGIYSFNRDEFSGIETHPVVDALYFCIVTMCTIGYGDIAPLTPATKIFACVFVLVGFGFIDILLSGVVNYVLDLQENMILTGIQMEKSREGFSARNYIVDVEKGRMRIRLKVGLALGVVVLCIGVGALMLCFLENLDWIDSVYLSVMSVTTVGYGDRAFKTLPGRLFAGVWLLISTLAVARAFLYLAEARVDKRHRRIAKWVLQRDITIEDLLAANINNNGFIRLDSIF >OMO64107 pep supercontig:CCACVL1_1.0:contig12863:16470:18582:1 gene:CCACVL1_22038 transcript:OMO64107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAMEKKMILHVMFLLVNLLFCGVNGSKTGRKLESGKESSAVGDPGMKRDGLRVAFEAWNFCNEVGYEAPGMGSPRAADCFDVKNSSLIHRVSESDNRLGIGKRFKGINPDVVNRPDLYAVEKELYLGSLCEVSEEKSEPWQFWMIMLKNGNFDTKTSLCPENGKIIPPFITDRFPCFGEGCMNQPTLNHQPTELLPSGLMRGSFNGTYDLDIDISKGLGNASFYEVVWEKKLGSGNWVFKHKLRTTPKYPWLMLYLRADATKGFSGGYHYETRGMLNTLPETDFKVRISLDVIKGGGPKSQFYLIDIGSCWKNNGKPCDGDVETDVTRYSEMIINPHVTAWCSPKDLHNCPPYHITPDNRKIHRNDTKNFPYGAYHYYCVPGIAQHLEEPYSLCDPYSNPQPQELVQLLPHPIWGEYGYPTKPGEGWIGDPRTWTLDTGALASRLYFYQDPGTPPAKRIWASIDMGTEIFVSDQYEEAEWILSDFDVILT >OMO64109 pep supercontig:CCACVL1_1.0:contig12863:21190:23644:1 gene:CCACVL1_22040 transcript:OMO64109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MAKGKLTAVCQSGGKFTSDSDGSLSYTGGDAHAISINTESKFDELKAEMAEMWKYDPDSLTIKYFLPHNKKTLITISNDKDLQHLLDFHGSSATVDVYVLPNENQTSHQTMSQSRSRMVDEPVTPDSITHAASVSGDTEQLDWSAPLASHSRDENPPNNLALEAPSSDNDNVLQKLVKSWENCITGLEQQFNNVLDFRVALNKFSIAHGFKYTFKTNNARYVIANCKAEGCPWSIQAARLSKTKLFIIRKMSESHTCGAGKSPLVTNHLIKFLVKEKLRDAPHAKPKEIADEIHKEYGIKARYALIWRGIESAKEKLQVPYEEGYNQLPSLFKQMIENNPGSIATLVTKDDLSFHRLFVSFQASLHGFKNGCRPLLFLDTMTIKSKYQSELLTATALDGNDGIFPVAFAVVDVVDDDNWHWFLVQLKSALSTFQQLTFVADRQIGSRRPLSMIFKNSHHGYCVRRLTEGLKEDLYVSHTEEVLHVIAAHFHDAARDTALDGFRKCIDNIRNISPEACEWIMQRGPEHWANALFQGSRYGHFSSDVAEAFYSWVTEIPDIPIAKLVETICSKIMDLMKTRQSDSGQWLTKLTPVVESKLQQEIQKASMLQVLVSLTSNFEVCDSLGVVNIVNTDLWDCSCREWQLNGFPCCHAVAVLQRTERSLYDYCSEYYTIDAFRSTYSNSINLVANANEAVKKKSTKIEVRPPVRRASASDPPKKRRIRDRHRGPFKRPLHCSKCQGAGHNRATCHIIS >OMO64114 pep supercontig:CCACVL1_1.0:contig12863:53199:55536:-1 gene:CCACVL1_22047 transcript:OMO64114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDVTGPMTTAAASTTAAPPYGGGGAEAQYVTAKTSVWWDIENCQVPKNCDPHTIAQNISSALVKMNYCGPVSISAYGDTNRIPSSVQQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPLKASAPLVAAAKSVWLWMSLSAGGPPLPSGESSKLANGQNSFSSEMLYNSPEKVQYSQPPVFSSENVTLGNQNISNGGRNVDSKYKGKYIRKTPNQPSISRASSVPTTIQENTNNGYSYQPEYAQAKSFKKAPHEFFGGSEPVVHASKSTPNFYPSNPDPPGSNNGNFMGILQNHHPHSIRPNNLPLQPAFAQDNLLPPNSQNHGFGPMPPRVEGPRFAAPLSNMPDIGKLNISEHSNCAQNPSNFHHRIGEDFKTSSIESLPNQASVNVPPQKSHVLHSGQGSQYDTSNNRYPRGPEFRPPSSPAISSPPNKGTWGSQGRSPPSEYVQGLIGVILLALNTLKTEKIMPTEANITDCIRYGDPNHRNTDVKKALDSAVEQHMVLKQSLGALQLYVGRNEKKLWKCVNPIGGNPNQYPKATWDAIQKYLSSPAGRSAVMASQCRYDAALALKKACLEEFALGDILQIVNMIIAMKKWIIHHHSGWQPITVTLPETKMEMDSGTTA >OMO64106 pep supercontig:CCACVL1_1.0:contig12863:13892:15022:-1 gene:CCACVL1_22037 transcript:OMO64106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MMRNIVKSGAAASNTFKTLKQGHGEAIRTLSTSSSSSLLLKQPSLSSNLSRALSVSTLTSHGSIGGVRHYSAGKDPRSEIYTTTIPSSICGVVIVPEKKAHVVERFGKFQTLLRPGFRFMIPFVDKIAYAHSLKEEAISIPNQSAITKDNVSIVIDGVLYVKVIDPVLASYGVEHPLYSIVQLAQTTMRSELGKITLDKTFVERDELNDKIVKAIDEAAEKWGLKCLRYEIRDISPPSGVRRAMEMQAEAERKKRAQILESEGERQANINRADGKKNSMILASEAAKMDQVNRAQGEAEAILAKAAATAKGIQMVSQAIQENGGMEAVSLRIAEQYMDAFSKLAKEGTTVLLPSNASDPVNMITQAMTIYKSLPTK >OMO64103 pep supercontig:CCACVL1_1.0:contig12863:6447:7100:-1 gene:CCACVL1_22034 transcript:OMO64103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MPDNQLEYVNVDITIDQVNQLKQEFHEKTGQTCSAFEIVAANFWSLRTRAVNFEPNTEVRLLFFANCRQLLDPPLPKGFYGNCFFPVTITAPSELLKQASILEVIKLIQEAKAKLPVEFAKFKNGDYLKNGKDPFAPTLGYTTLFISEWGRLGFNQVDYGWGPPVHMVPIPGSGIIPVGIMGSLPLPKKGIRLMTWCVEEGHRQPFLDLMTKLASTI >OMO64112 pep supercontig:CCACVL1_1.0:contig12863:34345:35349:-1 gene:CCACVL1_22045 transcript:OMO64112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MKGKAAISGLSILLVVGVALGVVAVVHRSNNNNAPLTPHMKAVTDFCSSTDYKDSCHRTLGTVNTTDPKEFIAHAILASQDAVKKFFNYSDSLIVQASNNSRNKMALDDCKDMMDLAVQSLQASFSDVGDAQLHTLSDRINDIRTWLSAVISYQQSCLDGFEKNDAMRPMMENGVLDASQLTANALAIVTKLGDILSKLGLDFKIPTFKRRLLSSEYPEWFSASDRKLLGRIDNSRLKPNVIVAQDGSGQFKTIGEALAAAPKNNPNRHIIYVKAGIYDEYITIDKKTINILMYGDGPRKTIVTGHKNYVDGTSTWQTATFCKFQKPYMCRPLR >OMO64115 pep supercontig:CCACVL1_1.0:contig12863:57175:59462:-1 gene:CCACVL1_22048 transcript:OMO64115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCEEDDYHKTADNGGQYMVKNSSGNDGGYHTSETASKSAQAVKVQPIEVPAIPADELKEITDNFGTNSLIGEGSYGRVYYGVLKSGQAAAIKKLDSSKQPDDEFLAQVSMVSRLKHENFVQLLGYCVDGNSRILAYEFASNGSLHDILHGRKGVKGAQPGPVLTWAQRVKIAVGAAKGLEYLHEKADPHIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPDNHEFSLEPSGALLATPKLSEDKVRQCVDQRLGGDYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARPGPAGETAST >OMO64108 pep supercontig:CCACVL1_1.0:contig12863:20590:20733:1 gene:CCACVL1_22039 transcript:OMO64108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKEPHTLLPTTSFHIPLFRDSPKKNFHAPSFLLISNQFPPKSEFA >OMO64116 pep supercontig:CCACVL1_1.0:contig12863:60756:66587:1 gene:CCACVL1_22049 transcript:OMO64116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAKGAPTYAADEMADPDKTSPLTRRRRLSHATPPFYYMTTCGLLEFDLVTASSNFRFSCFR >OMO64113 pep supercontig:CCACVL1_1.0:contig12863:44910:50238:1 gene:CCACVL1_22046 transcript:OMO64113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGDEARLLLGFPPNSRPTPSQVKAAYRKKVWESHPDLFPVHEKPAAESKFKLEKLTPAYSLPCDIKFMLLATYQMVEEMAPLRSTGYVDPGWEHGIAQDDRKKKVKCNYCGKVVSGGIFRLKQHLARLSGEVTHCEKVPEEVCLNMRKNLEGCRSGRKRRQSEYEQAALNFQSNEYNDMEEASTGYKHKGKKVMGDKNLVIKFAPLRSLGYVDPGWEHCIAQDEKKKRVKCNYCEKIISGGINRFKQHLARIPGEVAYCEKAPEEVYLKIKENMKWHRTGRRHRKPETKEISAFYLHSDNEDEEGGEEDGYLQCISKDVLAIDDKASDSDIRNSVRGRSPGSSGNGGEPLLKRSRLDSVFLKSLKSQTSAHYKQTRVKTGFEKKSRREVISAICKFFYHAGIPSDAANSPYFHKMLELVGQYGQGLQGPSSRLISGRFLQEEIANIKETKLAIKAIHGDDVRKYGPFWSVIDNNWSSLFHHPLYVAAYFLNPSYRYRPDFLMNPEVIRGLNECIVRLEADNGKRISASMQIPDFVSAKADFGTDLAISTRSELDPASWWQQHGISCLELQRIAIRILSQTCSSIGCEHTWSVFDQIHSKRRNSLSRKRLNDHAYVHYNLRLRECQLGRNPDDAVSFDSAMLESVLDDWLVESEKQAMQEDEEIIYNEMEQFYGDDIDEHESEEKRPTEMVTLAGLVAPLDVNPAGGVTTDDDGLDFLDDDLTD >OMO64105 pep supercontig:CCACVL1_1.0:contig12863:11368:12516:-1 gene:CCACVL1_22036 transcript:OMO64105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MMRNICKSTSFNTLKSVKYQVQRADGFKTLSTSSSSLLLNQPSQSTLSSRRSGIQTVPSLTPYGSNMGLGGVRHYGDPRKKYSSWRPFNFGIMIVPEKKAYIVERFGKFEKLLTPGIHLLIPFVDKIAYAHSLKEEAIPVPNQAAITKDNVSIQIDGVLYVKVIDPLQASYGVEYPIYSIVQLAQTTMRSELGKITLDKTFVDRDELNEKIVKSIDEAAEKWGLKCLRYEIRDISPPASVKKAMEMQAEAERKKRAQILESEGNRQADINKADGRKNSVILASEAARMDQVNRAQGEAEAILAKATATAKGIQIVSQAIQESGGMEAVSLRIAEQYIEAFGKLAKEGTTVLLPSNAAEPANMVAQALTIYKNTLGDVNNSQT >OMO64110 pep supercontig:CCACVL1_1.0:contig12863:27134:27454:-1 gene:CCACVL1_22043 transcript:OMO64110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFPKVLCGWSWEENKLFELALAVVDEQHPDRWEVVAAMVGGKKSAEDVQKHYVILLEDLQFIESGKLDHQFGDAQSVIQLDCTQSVCWTDEDNKYINLSLFLFF >OMO80581 pep supercontig:CCACVL1_1.0:contig10313:24261:26807:-1 gene:CCACVL1_12877 transcript:OMO80581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein, alpha subunit MASRLIFKTLSRRHRLCSTSPPFLSKTSASRSASTLVVAEHEGGSIKAQSLSAVVAATSLGDDNSVSLLLAGYGSSLLQAAERAATCHPSISQVLVADSEKFANPLAEPWAKLVQLVQQKGGYSHVIATSGSFGKNILPRAAALLDVSPVTDVVEVNGPTQFVRPIYAGNALCTVRYTGANPCMLTVRSTSFPVPPLAADSKSNGAPISHVDLSTLDEDSIGKSRYIKLSSEDTERPDLGSARIVVTGGRGLKSAENFKMLEKLAEKLGAAVGATRAAVDAGFVPNELQVGQTGKIVAPDLYMAFGVSGAIQHLAGMKDSKFIVAVNKDADAPIFQVADYGLVADLFEVIPELLEKFPEKK >OMO80584 pep supercontig:CCACVL1_1.0:contig10313:67298:67915:-1 gene:CCACVL1_12880 transcript:OMO80584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin MASTFFKLICFFLLASMVHASDPDIISDFLAPANSSYLDGNFFTYTGLRGIFSADYPPEFKVTKASMAEFPALNGQSVSFATLEYPDGTLNTPHIHPRASELLIVVDGSLEVGFVDTTGTLYAQTLQLGDMFVFPKGLVHYQYNPSSNKPAMAVSSFGSANAGTVSLPSTLFATGIDDDVLAKGFKTDAATIDRLKAGLGSKARK >OMO80577 pep supercontig:CCACVL1_1.0:contig10313:878:1270:1 gene:CCACVL1_12872 transcript:OMO80577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MADGSMRRFRNVIFGCSDNTVRFGCQNTAISGIFGLNKSPDSLSSQFSAMIQSRFSYCLVPFPDAMPRPLVLRFGEDIPLRPRVQTTLFMEVPSRRYMYYRQLLDITVANHRIGFHQGAFSIRGEGEGVS >OMO80578 pep supercontig:CCACVL1_1.0:contig10313:3134:3205:-1 gene:CCACVL1_12873 transcript:OMO80578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVRSMLTEVEVAVGHPLRTLR >OMO80579 pep supercontig:CCACVL1_1.0:contig10313:9983:14892:-1 gene:CCACVL1_12874 transcript:OMO80579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDNGANWSDDSSQEDHNLLDDLYFNGGSYHTENGLDNDESDADSIEVLPEAYVYSVEIDNNLLGLYQTDDEMNDNNDQEDEDDVSGSGEYEADDEDHEYEEGSDNEDSDSLLVNNPDDQSELNSPDSYSFPNVSHVHHMQRASDLLMAVSLGSEPNKSRIIKQATDSMLELVKMATTSDPLWQRRGTGEMEILNGLQYLRQFGSFDSTMEAIIKMVENGEHQLSQNHDPLENQNMPPLATILAFEPLHIEATREFGFVNATAPTIVKLFMDMDLWCNAFPTIVARATPLGILQHGVPADNYDGMLKVMTAEFHQSSPLVPARQSYFARHSKRLTNGSWAVVDVSLESLFPYPHVQFRRRPSGCLIQEIPNGVSMVTWVEHVESDNRWVHPIFQPIVNSGLAFGAQRWVAMINRHCHWTEALMDRTIYRDPAAFFPRAGRNSLLRVAERMTRGFFKNISSCSDNANAWLPLPAPISGNEDIRFRFGDLLILPGRDARSTVIFTTSLRLPVPPKILFDFLADYRMRVQWDLLSDDRFVQELGYVRTGEIPENKVSVLQVKFANAIEILYIQENFADPTGSYVVFAPVDTAAMSAIMNGENPELVTIMPSGFSILPDKAPGNGPVGSILTIAFKTADNHLSSENYVPAEVLRTIDGVLTTTIYKIRKAFFADNDV >OMO80580 pep supercontig:CCACVL1_1.0:contig10313:21446:22243:1 gene:CCACVL1_12875 transcript:OMO80580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSMNSMSRSPAISPYENHHHHDDESHEESSWTMYFEDFSNNNNMINDTMDDDDDESSLLSFSSDINYQSSSLVSDAACSAAKRHAFGASGNNQNCNRLSFKKRKAEKGGLVDYDLEDTASSPVNSPKVCNLVNHFDKNLKQKHVMDISHQEKGSASSSGHGRNDELNYIGTETIDHNNGSDQLKKRGLCLVPLSMVVQYLG >OMO80582 pep supercontig:CCACVL1_1.0:contig10313:48557:48691:-1 gene:CCACVL1_12878 transcript:OMO80582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSRLILKPLTKRHRLCSTSPPFLSKTSASRSVLLLFSNTVT >OMO80583 pep supercontig:CCACVL1_1.0:contig10313:54896:55528:1 gene:CCACVL1_12879 transcript:OMO80583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTVKQQIFLAIALAIPFLQVAISGDPDILTDFVVPLGVNASLLDGNFFTFTGMRPLITSPPPTNFSVTKAAMAEFPALNGQSVSYALLQYSAAAGTVSVPSTLFATGVDDEILAKSFKTDVSTIQKLKAGLAPHA >OMO58855 pep supercontig:CCACVL1_1.0:contig14102:2073:2552:1 gene:CCACVL1_25307 transcript:OMO58855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTSSSLSRRTLYVGNLPGDVRKRQVKGLFYKYGRIAHIDLKIRPRGPCNYAFIEFEDALDAAVAISDRDGYNFGGYTLRVRQYNYNSNKHYSPRRPSADVQRRSLRRGRRSKSHIKSAKSSTKQFESAPENMKEKPRLGLDEVKHICDTMGSLYLA >OMO58856 pep supercontig:CCACVL1_1.0:contig14102:11130:15559:-1 gene:CCACVL1_25308 transcript:OMO58856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTEDTRRQKRRTDRHKTRVKEKPPGRIAMRMKHVRRLNSAQVSESRSLPSKQLHTDKKINIKLIIST >OMO59973 pep supercontig:CCACVL1_1.0:contig13831:11761:19109:1 gene:CCACVL1_24503 transcript:OMO59973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MFGGDNNNLVLPVLLEENRFHYENNALPGLQLFEDCPLNYMPNGNTTSVNRPIKRGREAEPTPRQQKYHISVNNNLHLDEAGQPGSVLNPNPVSTGLKLSYEEDEHNSSVTSISGNMTTSLPIMLSLGDNLNAEIDRQNNEFDHYIKLQEENILKGIRDLKQRQTISFLNAIEKGVGRKLQEKQLEIENMNRKNKELVEKIKQVSLEVQSWHYRAKYNESVVNVLNSNLKQVMEQGALHGKEGCGESEVDDAASYTNHHGLDGSGNPFSSEKQIVKVLLKYALSARLLMIVGLSKPEKSVVLFVPVVVSGRKSVVLSDIKVHFVALVGLFQRGKNKAPRKFGSSTRFDPIRVSSSFSASKRGDLSTSNNVVSLPSLPKRSWSLSSSSAMPLRAWNSVPSDSKTDRLEVRASAAETAGEADNSSSLVKTLELGILFGCWYLFNIYFNIYNKQVLKVYHFPVTVTVIQFAVGTVLVAIMWTFNLYKRPKITGAQLAAILPLAMVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAMFLGELPTLWVVSSLLPIVGGVALASVTEASFNWAGFWTAMASNLTNQSRNVLSKKVMVKKEDSMDNITLFSIITIMSFILLAPVAVFMEGVKFTPAYLQSAGLNVKEVAMRSLLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVIFFKTPVSPINALGTGIALAGVFLYSRVKRIKPKAKSA >OMO59972 pep supercontig:CCACVL1_1.0:contig13831:5687:7909:-1 gene:CCACVL1_24502 transcript:OMO59972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, HIT-type MEEEEGSKSSRNGVCEECKIKESKYKCPGCSRRTCSLRCVNTHKSRTGCSGKRNITSFVPMSQFDDNLLLSDYNFLEETKRVAESARRIRSKLGCSYPFYFKLPNNLKALRIAAASRRTKLLFLPPGMSKRETNQTRINTRKKFISWTIEWRFHSTDVVLLDHGVHENTILSSVIENHLKPGPWNHPLRPFCQEQLDCLKFFIRKYPKGSKSPFRELDIKAPIRQQLADTVILEYPVIHVFLPSEKYDFEVVRENYLITYRSAGKESGAADYEIPKGVTFKEEEIVEDDSSLEPKVFDIMKNQATTSPIHQNKSEKAFSDSPLSSSMRAEVGNKINSSSNTKEVGDFQDMDFDFDQGLIDAYSDLIAEINPDDFLDLEGEFAKQSETENRSDLSYPRGVSLAEELEEGEIAD >OMO96769 pep supercontig:CCACVL1_1.0:contig07382:44617:47938:1 gene:CCACVL1_04784 transcript:OMO96769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MADSSYEEECDYLFKAVLIGDSAVGKSNLLSRFATDEFRLDSKPTIGVEFAYRNVKIGDKIIKAQIWDTAGQERFRAITSSYYRGALGALLVYDITRRATFTNVKKWMHELREYGNLDMVVVLVGNKSDLGQSREVSEEEGRNLAETEGIRFGTLLTQLSIRISLLWIPNGSWQNEPEIRLNPPEPDQTRIDPKSQRSEPEPEITRVQKYPNPTRDRDQARSRPDPEPEI >OMO96766 pep supercontig:CCACVL1_1.0:contig07382:14472:14543:-1 gene:CCACVL1_04781 transcript:OMO96766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVGVEEIEDLDPAKSETITPA >OMO96768 pep supercontig:CCACVL1_1.0:contig07382:33798:37458:-1 gene:CCACVL1_04783 transcript:OMO96768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MQFYSLFAQNLFSMRGRARKFLVSVSAMRISIQRLWRTGRWLRKWRFFLQDFVDFIHWCDLVDLPLQGGRFTYSNTREVPAFSRIDRFLISPELLLLIPNCCQRVGPKALSDHNPVIFDSVSKDWGPKPFRYFNHWKEEASFKEVVIAAWQKTDCVHQPGASLSVLLKGLKNELRVWQKINCKKGAADIKRVEGSRGIFGAILLFYGEYPGLLLAPR >OMO96767 pep supercontig:CCACVL1_1.0:contig07382:18281:20402:-1 gene:CCACVL1_04782 transcript:OMO96767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVANSSINGGFGKVFGSANPKKIIFYSLAHVLVVSCLVSPPYRQASQVPMHSSCFKIVNLFINPSLSVLASKSKSLYGKAIKDYGIAIARSFDRVLAFPYTQLLMRWIESGQALEPTVLLLFMFSTLWARLANRVTPIQKTFQSYGQRVRDGSNFVRPCRSSQKHSVLQPILGSQNPVKEKTVQEAPLIPIGIDKAKPSSEDPSQPNEVEDGHDDDAMSEDFFEQMVLDLESSKELEIEIDDSTRLRKSLKTARMQILSSNLSDTSKVAVEYPSGGISNGDSRAANGNLILGGSKEFNVEIFKGNISSGDVMVEGNFGAKSLEADVVMDILNSNMSVLANGDLVIYDGIDSSEEEFVV >OMO81402 pep supercontig:CCACVL1_1.0:contig10179:762:3840:1 gene:CCACVL1_12423 transcript:OMO81402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLFFMVLLYLFLLLSPPPLMMGTDSMAIVAGATRPLVPKSQDFVTFKPETGAKHGFHGQGVENCMPKGFHRTSAPSRINPSRPI >OMO81403 pep supercontig:CCACVL1_1.0:contig10179:3879:6018:-1 gene:CCACVL1_12424 transcript:OMO81403 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein GAMETE EXPRESSED 1-like protein MKTSKIYHGKLISLLLLFLVLPRCQSWGYWFSSSSSSSSAETTHYMPKELPQSDASMVEFSINGLNDEKGIKQLETAKNKLVGSNSCWKNAYGYLFAGCKEIIATDEKRSRFAWHLSDCFQKDSGRPPFPYCHSNSAMLNCLKKLNDLEHKVYLEFLLETNSICYQLQNQAFKHDTERLVNELRSSAQYAEDKLDSIESKTSVLLQNSNQIHDSLNAIDTQIHNVEQTTHSLQGQMGSLVEHSRIVYVQAKDIAASQVELQKGQAMMNDQLKEGIEMLDGAYKNLGHEVDNLKNEAIVIENEITKVGTAMSSSMNNLQKKADDIGNLAGVSLDKQQELLEGQTTALDNLRFLTRFQSEALEESRNTLQHLAEYDRKQQEELLKRQEQLQQVHDHLVENSKSILEAQEAFESKQASMFIALDKLFALHNSMLLESRLIKAFFVYFLSIFVIYMFTSTKQTYTVRPRLYIGLCVTFLIEVAVLRFTVIEIEQKTWMVNLVRSLFVILASIQLLHAICTYRDYEVLNHQMLQKLIDQVNNMMQSNNNKKALSWETE >OMO90089 pep supercontig:CCACVL1_1.0:contig08532:50351:50874:-1 gene:CCACVL1_07517 transcript:OMO90089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRCFHESVCTLAAALVHNIRRNLATVSVVQDVDVIEADVNPCSWSPPPQGVLKFNVEVAFNPASRVAVLAMVLGRSNGSVLACGILKKQCQRNQRNLLGLVESEESRFSDVVVESDSLIN >OMO90088 pep supercontig:CCACVL1_1.0:contig08532:24566:28940:-1 gene:CCACVL1_07516 transcript:OMO90088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MTDDTKSHPEASMSALSAALSALSTSTTQTLHPMNDITSPYYLSNADCSTTSLITVVLTGTNYSIWRRTMTNALKGRNKLAFVDGSLEKPTTGSKEDFAREKCNSMVITWLNNSIAQELHSSVAFYETAYDIWRDLHERFAGSNHTRLFELKDMIYQTKQENMTVSEYYTKMKALWDEVNVVSKVLNCSCGGLKELQAEKEQERLHQFLFGINMDKFKTVRSQILGMDPVPSMNRAYAMLITEEKQLSIGSNRVPIEVSAFTVKPSSQSSQQAKAAEGAESDKPICGHCGIPGHPKEKCYQLIGYPTDWGTRGNRGRGRGRGRGGRRQQGATNTAATGGASNFTQQSVGSAENKVPGLSQEQVEQLLSLLGPEKKLSASANFVGNVSVLNNSSYWIFDSGASDHLVSTNACLMNLQSLSSKDLTTKTLIGVGEERDVQNQHHTTIKGLRSDNAQEIVQGPMKAFLEEKGIFHETSCIDTPQQNGVLERKHRHLLEVARALRFEANLPIRFWGECVLTAAYLINITPTPKLNGKTPYEALLKKSPKYDHLRVFGCLCYVHDINRSGDKFSPRAHRCIFIGYPLGKKAYQVYDLESHKIMVSRDVIFYENIFPFSMSAKESHPLPSHVSKNPVFLEDDHPFVTVDQNVGDKTQTNPPSSTQVSSSEPLNMPISSGMSSGEGGLPSARPVRVRRAPPYLQDYEIKFKVDGIIERYKARLVAKGYTQVEGIDYNETFVPVAKLTTVRCLLAIASAKNWVLSQMDVNNAFLHGDLHEEVYMIPPPGFTNPSDDRVCRLRKSLYGLKQASRQWFAKLTSALLQYGFHQSRADHSLFTLTVESSILVVLVYVDDLIIASNDPSLSDRFKHYLQTCFHDKDLGTLKYFLGLQVARSPTGIYLTQRKYALDILSDSGMIGSRPVSFPMAQQQQLALDNSPLLDDPSAYRRLVGRLIYLTITRPEITYSVNLLSQFMQEPRKTHMDAALRILRYIKSFPGQGLFFPADNDFLLSAFCDADWGGCSASRRSTSGFFIMLGKAPIVWKTKKQNTVARSSAEAEYRSMALTCTELLWLRALLKDLSVQHCSPMSLFCDNQAALYIANNPVFRERTKHVEVDCYFVRDHVLAGDIHTHYVASKDQVADLFTKALGNDAFHSLLRKLGIIDLHAPT >OMO90087 pep supercontig:CCACVL1_1.0:contig08532:7221:20967:1 gene:CCACVL1_07515 transcript:OMO90087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFWDRRPTRVDLELTRSVPRFSIRSGSLVTQILCRLGVLVPLLRLFALTPASSSIACNALSFAGSFFFIVETMNTGGLKLFGYNRFGN >OMO90086 pep supercontig:CCACVL1_1.0:contig08532:2385:2474:1 gene:CCACVL1_07514 transcript:OMO90086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHEHNCGRDLAVSPSTLHVVQVSDAAHA >OMO90032 pep supercontig:CCACVL1_1.0:contig08557:75635:75886:-1 gene:CCACVL1_07541 transcript:OMO90032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKTTVPTNMISDRASISSTTKTTEREEQDTVQEVKVRDNISYGSLQKPPVCNENKYGDCITPIKDNNRPCTIYNRCKRDNV >OMO90031 pep supercontig:CCACVL1_1.0:contig08557:54894:56785:1 gene:CCACVL1_07540 transcript:OMO90031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRKTVKVIKVIFLCNPLGVLIFDVREFLAREWSCTCQHTFREDNFSADIMSKLGCDLEEEFEVFKIPT >OMO90033 pep supercontig:CCACVL1_1.0:contig08557:83372:87616:-1 gene:CCACVL1_07542 transcript:OMO90033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSINSQSFDLQNQLFPFFLLSFPIILAVRVSGDSSPPYIPVENITIDCGSPNDNTALDGRSWLSDKSSKVFSLVESQNNKSVSSAAPQQPHSSIGQFPFVTARISKSEFTYIFPLTSGPKFVRLYFYPASYPSFDPWKAYFSVRAGGFITFLKDFNASLHAQGEETFVKEFCVNVEEGQRLNLTFIPSTGISDSYAFINGIEIVSIPDSLYYQPADAQGVRFLGQGNVYNIGNDTALELIYRINVGGRQISPAEDTGMFRFWSDDNIFLTEGAPSELPVNVSINLTFGKKPSFSAPKVVYTTARTMGTNKTINEHYKLTWEYPVDSGFNYFVRLHFCEFQIEVTKQGDRVFEIFVANLTAENMADVINWAGGRGIPTYRDYVVGIGRKGNEKKQNLSIALHPAPEWRTKYSDAILNGVEIFKLSSNGNLAGPNPDPEPITTTVSTSSQSGKHQKKINKTTIIGVLVGASGFIILSILCFFIIKRRKRSVKDLASTDGGSFWGGQFSDANKSTKSNNSANLPSDICRYFSLPEIKVATNNFDNVFIIGVGGFGNVYKGFINGGMTPVAIKRLSPESKQGALEFKTEIEMLSQLRYLHLVSLIGYCNDDNEMILVYDYMPHGTLRDHLYDTDNPNLPWKQRLEICIGAARGLHYLHSGAKQTIIHRDVKTTNILLDEKWVAKVSDFGLSKVGPTNMSKAYVSTVVKGSFGYLDPEYYRRQQLTEKSDVYSYGVVLCEVLCARPPISRLVADKSQINLAMWSQKCYRNGNLYQMIDPFLRGKIAPGCLKKYVEVAISCLHDEGIQRPSMSDVVWGLEFALQLQKSAEEEMKLGGAENEIDAEDKHETLIFKEYDLGDESCEGFISSFGITPSDERSSASKDSEVIISGAVFSELHNPQGCRKMARSSDKLKKPCLGFALAILVLLSLSKNVSEAAMINGSSPSSSNANIAEYIGEEELFMESGAAGLNARLLDQPPYLSYDTLERPPAVPGPKYAVALNEMKNRGCQPYTRCRLGGGA >OMO88857 pep supercontig:CCACVL1_1.0:contig08907:20284:22774:1 gene:CCACVL1_08156 transcript:OMO88857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MVLVHGEKDGSNDGPVVDYKGNPVDKSKTGGWLAAGLILGSELSERICVMGISMNLVTYLVGDLHISSAKSATIVTNFMGTLNLLGLLGGFVADAKLGRYLTVAISASITALKRNQPYPSHPSLLNDYENRKVPYTERYKCLDKAAILDDNFAANTNKNTNTNSWIVSTVTQVEEVKMVLKLLPIWSTCILFWTIYSQMTTFTVEQATIMNRKVGSFKIPAGSLSAFLFISILLFTSLNEKVFVPLARRITHTVQGITSLQRIGIGLVLSMAAMVAAAIVEKERREMAVNGNVTISAFWLLFQYFLVGSGEAFAYVGQLEFFIREAPDRMKSMSTGLFLSTISMGFYVSSLLVTIVDSVTKKTWLRNNLNRGKLNNFYWLLAALGFFNFWIFLFFASRHQYKMQMPIQPESPQKELKSFNDEMIDDKEKIAAFQAVGNAEP >OMO88860 pep supercontig:CCACVL1_1.0:contig08907:39858:41681:1 gene:CCACVL1_08159 transcript:OMO88860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPETQQQGNPNNPTANQNAALINSFIEITSSSKEEALFFLESHQWDLDAALRSRRNPDKKPSASNNPRGIRTLADLNRAPTAGSDSDSDEGQDYFTGGEKSGMVVRDPSKPKDVDSIFNQARQAGAVEGSDDYLRPSSSSSRSFTGTARLLSGETVAAAPPPPPEIVTHNVTFWRNGFTIDDGPLRQLDDPANAAFLESVMNSQCPKELEPADKRTKVDLHLFRRDENYNEPKKPKSAFHGVGRTLGSSSDPNPAASDSSAAAGSITTAPAPSMGLVVDSSLRTTSIQLRLADGTRMVSRFNFHHTIRDIRGFIDASRPGGARNYQLLTMGFPPKQLTDLDQTVEQAGIASSVVIQKY >OMO88859 pep supercontig:CCACVL1_1.0:contig08907:37133:38764:1 gene:CCACVL1_08158 transcript:OMO88859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSLIRLIARRAAATRIDNPTYSIGRFYCSPPSSSSPPPNSKLFVAGLSSSVDEKSLKDAFSSFGHVSEVRIMYDKETGRARGFGFVHFSNEDEARCAKDAMDGKALLGRPLRISFALEKVRGAPVVVPRLTNA >OMO88858 pep supercontig:CCACVL1_1.0:contig08907:23834:28481:1 gene:CCACVL1_08157 transcript:OMO88858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSVATNSFPTVTRREEVEASQSHSRFASEYTQLQRNRLNHSPPLPSILKTSFKVVDGPPTSADGNPNEIANLFPNLYGQPSVSLVTGHSDQVKNQSDQSLKIGVVLSGGQAPGGHNVITGIFDYLQERAKGSTLYGFKGGPVGIMKCKYVMLSTQVIYPYRNQGGFDMICSGRDKIETPEQLRQAEETVKKLDLDGLVVIGGDDSNTNACLLAENFRSKNMKTRVIGCPKTIDCDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFIRLMGRAASHITLECALQTHPNIAIIGEEVAAKKLTMKNVTNHIADIICKRLELGFNYGVILVPEGLIDFIPEVKQLIAELNEILAHDVVDEAGVWKRKLTSQSKELFEFLPQEIQEQLLLERDPHGNVQVAKIETEKMLIQMVKTELDQRRQKGAYRGQFQGQHHFFGYEGRCGFPTNFDANYCYALGYATGVLLHSGKTGLISSVGNLTAPVNEWTVGGTALTSLMDVERRHGHFKPVIKKAMVDLEGAPFMKFASWRDDWALKNRYVNPGPIQFVGPSANEINYTLKLELGAHFPFQS >OMO88861 pep supercontig:CCACVL1_1.0:contig08907:43235:44093:1 gene:CCACVL1_08160 transcript:OMO88861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDSDKPPYVARVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHTFKNYTKLENVGAEDYFCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDW >OMO88856 pep supercontig:CCACVL1_1.0:contig08907:3790:7029:1 gene:CCACVL1_08155 transcript:OMO88856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-hairpin glycosidase-like protein MERKKKHNHQQIPRFPLHCSSLLLLALLSVSSISSTSAQAFDYGDALKKSLLYFESQRSGRLPYNQRVTWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTITMLSWGIIEFGDQIANAGELEHALEAMKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDENNPGSDLAGETAAAMAAASIVFKKTNPHYSHLLLHHAQELFEFGDKYRGKYDGSVGVVKSYYASVSGYMDELLWAALWLYEATDREEYLKYVINKAHCFGGIGWAISEFSWDVKYAGIQIKASKLLEKEKDKQNIHILNQYRSKAEHYLCSCLNKNINASNNIERTPGGLLYIRQWNNMQYVSTAAFLLTIYSDFLRDSNKKLDCPAGTVDHKEILNFAKSQVEYILGSNPMNMSYLVGYGSKFPTRVHHRGASIVSYRENKGFIGCTQGYDNWYGRHEPNPNVLVGALVGGPDCQDNFMDQRDNYMQTEACTYNTAPLVGVFAKLLHLEDNREGDLVASS >OMO85254 pep supercontig:CCACVL1_1.0:contig09646:19953:24758:-1 gene:CCACVL1_10315 transcript:OMO85254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTFLSIPIKRAMPDPTPSSNGPSKRSKPPSAPLFVPPGHFAFRLLCHVSRVGGIIGKAGSVIKQLQQLTGSKIRIEDAPAESPDRVITVMGPSTVNTKIVLNSGSLGNGDGGRVEEIDVSKAQEALVKVFERILEVASESDGAAVLMVSCRLLAEVKQVGSVIGKGGKVVEKIREDTGTKIRVLADKLPTCASPTEEIVEIEGGVLAVKKALIAVSHRLQDCPSVNKTRITENRNIESALSETVHRPIEVHPQEIMHRPIEVHPQEIMHRPIAVHPQETLRRPIEVHPQETLRRPIEVLPQETMRRPIEVLPQETLRRPVEVFPQETLRRHIDLLPQEALHRPIEVGPHDPLHRPIDVIVQDSLRRHVEVSSQEALPDLHVDHLSHHNSLVPTISSSSINYATRIHPLSLEPEKAPPLDSKKLQQEVVFKILCSTDRVGGVIGKGGSIIRALQNDTGASIAIGATITDCDERLVTVTASENPDTQYSPAQKAVVLVFVRAIEASMEKGLDSGSGKGSNVTARLVIPSSVVGCLLGKGGAIISEMRKVTGTNMRILGTDQVPKCVTENDHVVQISGGYLNVKDAIYHVTGRLRDNLFATMLKNAGAKSSASVLTDTSPYERLSDTAPLGLQASSGVSHNLSRHTTLTLNSTDSLGLPISSDRSHSPGLWTSEMATGLNPRSITDIGRGLTSLRGGFELGSGNKTAIVTNTTVEIRVPENVIDSVYGENGRNLARLREISGAKVIVHEHQIGTSDRIIVISGTPDQTQTAQSLLQAFILTGPSR >OMO85251 pep supercontig:CCACVL1_1.0:contig09646:5214:9745:-1 gene:CCACVL1_10311 transcript:OMO85251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MDGVNKRGLLTRPYVYEHDNGGFEGEEFNGARQVVMNGMMSSVSHANGVGGGGLVQATRTSELTIAFEGEVYVFPAVTSDKVKAVLLLLGGRDASTNVPSSEFLLQEKFKVVGDSSRGSKLSRRIASLVRFREKKKDRCYEKKIRYTCRKEVAQRMHRKNGQFASLKECDNLADGSWDQSDAAPESALRRCHNCGISENLTPAMRKGPAGPRTLCNACGLMWANKGTLRDLRKGGRDAHFDSNEPETPTDIKPSTMEPENSFANGDEQESPEETKPLPLDSEDHLIRSDEQEFIENSHAFPIQVENSSVNLDDEDLQETLEELADTSGSDFEIPTHFDEQVDIDDTNMGMEWPRT >OMO85253 pep supercontig:CCACVL1_1.0:contig09646:16376:17947:1 gene:CCACVL1_10313 transcript:OMO85253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEEEVERLKEEIQRLGKIQPDGSYKVTFGVLFNDDRCANIFEALVGTLRAAKKRKIVAYDGELLLQGVHDNVEITLKAPPPPAEATAASSAS >OMO85250 pep supercontig:CCACVL1_1.0:contig09646:2552:4527:1 gene:CCACVL1_10310 transcript:OMO85250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MFPSRTSTPRPRPRFTCLISLLFRAIAICLLLAITVGLCISNESTYRALQQFISCVHHLFSNIHPEEKQLQTVSSSIFHSPETFKRNYEEMERRFKIFVYPDGDPNTYYHTPKSLSGKYASEGYFFKNIKESRFLTDDPEKAHLFFIPISCHKMRAQGLSYENMTRTVKEYVESLMVKYPFWNRTLGADHFFVTCHEIGLKATLGVAHLVKNSIRVVCSPGDDDDDGYIPRKDFTLPHIIQPFALPAAVFDPDNRTTLGFWTGSSKSELRDKLVSAWQSDKDLDIQTNRMNRNSTGNMSHLILDKFNKAKFCICPGSSTSQVHGSRIALSIHHGCVPVILSDHYDLPFNQILDWTKFSVIIKEDEIQELKRILEGISYSRFRFLHYNTIQVQRHLQWNSPPIQYDAFHMVMYQLWLRRHVTKY >OMO85257 pep supercontig:CCACVL1_1.0:contig09646:29598:32060:1 gene:CCACVL1_10318 transcript:OMO85257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismatase-like protein MAAKNEFPYSFSPLSIEDPSQSHPVEKNPPVHHKLREAGNKARDGAFEVFEACKCDEDTCAKIFGFTILGVLLFFMLFSALLFPPDMTLHPNTFSFSNYTANFEADLIFGCEKCHYEESSTMVYYNYIEAYLSYPEIDGELSSTQIEPFNMTDAEYRKFHVSFGNKNQSIEVDDLGKKGVGETLHLTLETIDVVKRELPLEQESVVLPEDVVTGLVLVDIINGFCTVGAGNLAPREPNRQINAMINESARLARLFCDKKLPVMAFLDSHHPDKPEDPYPPHCIAGSDESNLVPALQWIEKEQNVTIRRKDCYDGYLGSIEADGSNVFVDWVNNNQIKSVIVVGVCTDICVLDFICSTLSARNRGFLAPLEDVMVYSRACATFDVPLHIARNTKGALPHPQELMHHIGLYMAKERGAKITNQVSFGAAK >OMO85260 pep supercontig:CCACVL1_1.0:contig09646:41278:44066:-1 gene:CCACVL1_10321 transcript:OMO85260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase MEKSEGIERGNGSVEEKESLKDGSICGYESLHHLLSANLKPQIFQEVSRLLLGLNCGRPLETIIPPESAKALSSKHDFDLQAFCFSADKEFLREPRVVRVGLIQNSIAIPTTAPFSDQKKAIFEKLRPIIDAAGASGVNILCLQEAWMMPFAFCTREKRWCEFAEPVDGESTEFLQEFARKYNMVIVNPILERDINHGETIWNTAVIIGNHGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEIFPNPFTSGDGKPQHPDFGHFYGSSHFSAPDASCTPSLSRHKDGLLISDMDLNLCRQLKDKWGFRMTARYELYADMFARYLKPDFEPQVISDPLLHKKSS >OMO85252 pep supercontig:CCACVL1_1.0:contig09646:11159:14716:-1 gene:CCACVL1_10312 transcript:OMO85252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MANSNRQPASMYGSGAMSMQQNLEEEDDDVPGGGGGGGEESVDNPQVGYQESGAVVTVMDNGMEEASHANIYGQGSDITAGPGNGGADQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGYEIPSGIPALGTVPVTQRGLGDFPGKSIQPQRAASLNRFREKRKERCFDKKIRYTVRKEVALRMQRKKGQFTSSKAISDEVASASSGWSGTPGSGHDDSMQETSCSHCGISSKSTPMMRRGPAGPRTLCNACGLKWANKGILRDLSKPPTVPLPVQNPSAKPTEQSDAEANDSEAVTTTTDVVSSSNGDNSTVSAER >OMO85259 pep supercontig:CCACVL1_1.0:contig09646:38116:38805:1 gene:CCACVL1_10320 transcript:OMO85259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDPSILIPLSRRHSFPADHHRQKRQSLGALFAEIMFIFMIAIVPIVFLIMNYNSAAQQQGPQLQHDSFSVLNFHVSNSKLSATWELDLAFLSQGNGYEIQFSGIEGTIFYKEDIALAMTSWKPFDVGMKEQEKVHLKFVTSGNEGDQPIVENSVLRQIKEEQNNGTIRFSMRINTFVAYQNLGSLGKSSKPGYDSYCWDLIVRFSPKTGTGNLFGGDQKECQHKDQL >OMO85258 pep supercontig:CCACVL1_1.0:contig09646:33052:36900:-1 gene:CCACVL1_10319 transcript:OMO85258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPTPTPHHNLTFPSPQFPSLKRHFYPPSRFPDSTLCRCSSSANNNFSDNSSSSSSSSNWRWDSAIQDVIKNAIKQFDSHMNPFRKDALNDGVLDKQRRRREEEDDWDWDRWKKHFEQVEEQERLLSLLKSQLSEAVLREDYEDAARLKVAIAAAAVNDTIGRVISHLDRAVAEERFQDAAFLRDNAGAGLVGWWAGLSDNTKDPYGLIVRITAEHGRYVARSFSPRQLATASGGAPLFEIFLTVDKNGEYKQQAVYLKRRTVFQDSSIVSSKTSGATSLSGLSGTGETEDKNDLFVVSPEDDEDGDDEDDDDADDVSDTGEGLIGFLNLKVVKVTSPGKVDQDFISKLIEQMRDEEAEEKDADVESIEVDDEIKSESDQERDQIELDLDGGVIDKDRGGEIAVKVVVGGLAQKLSGSVPAMESLRVPAKLVKKGRLAFSFSIEKDINQLDSSLKTRGSMDRKAKIEGQRSIDNIMFDLAKSIGRKKIPMKVLKDVSELINLTLSQAQNHQPLSGSTTFHRIENTASPDPLNGLYIGAHGLYTSEVIHLKRKFGQWQDGGNKEPSDLEFYEYVEAVKLTGDPFVPAGQVAFRARVGKRYQLPHKGIIPEEFGVVARYKGQGRLAEPGFQNPRWVDGELVILDGKYIKGGPVVGFVYWAPEYHFLVFFNRLRLQP >OMO85255 pep supercontig:CCACVL1_1.0:contig09646:25359:27236:-1 gene:CCACVL1_10316 transcript:OMO85255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase class 1/Sedoheputulose-1,7-bisphosphatase MASFSGFIAEAHKEMTAASAGDTKGFSTLMEYVGKGGLDVGDDLVVLLYHIQYACKRIAALVASPFNSAIGKHSGLATVASGSERDKPKPLDIVANEIILSSLRNSGKVSVMASEEDDAPVWINDDGPFVVVLDPLDGSRNIDASIPTGTIFGIYKRLVELDHLPVDEKASLNSLQSGAKLVASGYVLYSSATILCASFGSGTHAFTLDHSTGDFILTHPSVTIPPRGQIYSVNDARYFDWPEGLRQYIDTVRQGKGQYPKKYSARYICSLVADFHRTLLYGGIAMNPRDHLRLVYEANPLSFLAEQAGGKGSDGKSRILSIQPVKLHQRLPLFLGSSEDIDELESYGDIQQKVNPGYEV >OMO85261 pep supercontig:CCACVL1_1.0:contig09646:44556:46417:1 gene:CCACVL1_10322 transcript:OMO85261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuole MARDGDPELELEPPRASSTGRVRSRPDPFLVVCRCFSLITALTAILCIAVNVLSAVRSFKNGSDVFDGIFRCYAVVIAFFVVLAETEWSFIIKFWKVLEYWAGRGMLQIFVAVMTRAFPDYSESQKDLVLLQNIASYTLLSCGLVYVISGLLCIGYLKRSRHQKEITREQAVQDLEELERRREELEQLLLDERRV >OMO85256 pep supercontig:CCACVL1_1.0:contig09646:28377:28760:1 gene:CCACVL1_10317 transcript:OMO85256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipid binding protein MASFNYLFLTFILALSFASFDGGLAARHLLQLPQMPPLPTLPTNTLPPLPSIPNLPQPTLPTTQPSLPRPAALPPLPSLPTLPTLPTLPTLPTAPKVTLPPLPSMPSIPSAIPSIPFLSPPPAPSSP >OMO85326 pep supercontig:CCACVL1_1.0:contig09636:21975:25376:1 gene:CCACVL1_10270 transcript:OMO85326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-phosphate 3-epimerase-like protein MEKFLFRTHRPSHVAAAAPDKTTQNPEKPTQSQIGSGTKMGVTPKIAPSMLSSDFANLASEAKRMLDFGADWLHMDIMDGHFVPNLTIGAPVIESLRKHSEAYLDCHLMVTNPIDYVEPLCKADASGFTFHVEVSKENWQELIQRIKSKGMRPGVALKPGTPIEEVYPLVDSENPVEMVLVMTVEPGFGGQKFMPEMMDKVRILRKKYPSLDIEVDGGLGPSTIDMAASAGANCIVAGSSVFGAPEPAAVISLMRKSVLENQEKC >OMO85328 pep supercontig:CCACVL1_1.0:contig09636:32883:33463:-1 gene:CCACVL1_10272 transcript:OMO85328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MKEKFGKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQSKLEPMAPETKVRWKKEIDWLLSVSDQIVEFVPGQQTNKDGSNMEIMTTKQRTDLQLNIPALKKLDTMLLARTLILLVYLWF >OMO85329 pep supercontig:CCACVL1_1.0:contig09636:43516:44644:-1 gene:CCACVL1_10273 transcript:OMO85329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MNQHHEYERVFKHFDENGDGKISPEELQQCVKAVGGELSLAEAEMAVEELDTDGDGLLGLEDFLRFVEEVGEEEKVKDLKEAFKMYEMEGCGCITPKSLKRMLSRLGDSDF >OMO85325 pep supercontig:CCACVL1_1.0:contig09636:9990:13186:-1 gene:CCACVL1_10269 transcript:OMO85325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSVHKSSQESAMKLGLSFGSKTDNLIIPPSPVKEKPAAAAANGDFTLKSQSPSTFRDFGSKDETFFDSRAWLDSDCEDDFFSVNGDFTPSRGNTPVHHNFSMGTPRVVKAAAGEGSPGSASETSPTGKKKKLVELFRESIREDQDGDEQNASNNQDIANGKQEVKPTIQDILPPKSAEGTPYISGSNSRCSSERTANGDHSIFKEKPFRSVQCCLPSLVSCSSFSERKKKMNPAIAVNDKA >OMO85322 pep supercontig:CCACVL1_1.0:contig09636:209:385:-1 gene:CCACVL1_10266 transcript:OMO85322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEETTSIDYVMEKASGPHFSGLRNPNADSASSSSFADGNAPHQPFVIGNSHFTPFLN >OMO85323 pep supercontig:CCACVL1_1.0:contig09636:2243:2815:-1 gene:CCACVL1_10267 transcript:OMO85323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MVAKQASAAQHVVGGSQGWEESVDLDSWASGQTFKVGDQLVFKYSSGLHSVVELGSETAYKNCDLGTALDSKNTGNDIVKLNKVGTRYFACGTLGHCDQGMKMKVTTVAGNAPSTPASASTSTTTSGASVLHSNFANFLLIAAFLAINLLYLL >OMO85324 pep supercontig:CCACVL1_1.0:contig09636:3821:7174:-1 gene:CCACVL1_10268 transcript:OMO85324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGLPSLAAFMSLCLLVLAVVEPHKAYGSSSRGWFNIGRNKGAPAASAAAVNGICASSVTIYGYKCEEHEVKTQDGYILNMQRIPEGRAGGTTRSENKRQPVLIQHGVLVDGMTWLLNSPEQNLPMILADKGFDVWIANTRGTRFCKKHVSLDPHDPEFWNWSWDELVSYDLPAVFDFVYSQTGQKIHYIGHSLGTLIGLASFSEGHQVDVLKSAAFLSPIAYLSHMNTALGAVAARAFVGEITTLFGVAEFNPKGRDVSNFLKALCNYPGVDCYDLLTALTGKNCCLNSSTVDLFIRNEPQSTSTKNMVHLAQTVRDGVIAKYNYGRPDYNLMHYGETKPPIYNISNIPNDLPLFISYGGQDALSDVRDVQLLLDHLKFHDVDKLTIQYIKDYAHADFIMGINAKDIVYNKVVQFFKHQQ >OMO85327 pep supercontig:CCACVL1_1.0:contig09636:28106:30184:1 gene:CCACVL1_10271 transcript:OMO85327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASQIMLRTNSYYSSLYNLSYHLGLNRFKIAEANGFSAQTEFLPRDQPLLLPIDCKCNNGFFHADLTKTTIKGESLYGIAESLEGLTTCKAIRDKNPGVSLSGLVDKVRLLIPLRCSCPVTATEVTPQAKLLLSYPVSQGDTISSLAIAFNTTSEAIISANNRSLEEFKPESLIPLTSLLIPLNGEPLLGSLAKPSEPNLHFPESSIPITNPHKKKSRMWKIGIYIALSGIAVGAIIAVAATFLVIQMKKKKKQKQNLSKEADLELQQLSLSVRTASEKKVSFEGSQDALDGQIIGSVSSVKAVLEIYTIEELRKATEDFNPSNQIEGNVYHGCLSGKNMAIRRTRTENISKVELKFFNDATHQHPNIIRLLGTCVTEGSNSFLVFEYAKNGSLKDWLHGGLAMKNQFIASCYCFLTWKQRLRISLDVAVALQYMHQGMISPSVDIFAYGIVLLEILSGQTPISRPDKKGGGNVWLSEKIKVILQSDSPDELREWMDSAIGENYSFDAAVTLANLARACTDEDPSLRPSAGEIVEKLSRLMEESPESTEHMLICESSCKPLVNSSSANL >OMO49559 pep supercontig:CCACVL1_1.0:contig16474:9520:9618:-1 gene:CCACVL1_30930 transcript:OMO49559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHATSLIVHFGLTFLKLEEMSYEAYENSIDWE >OMO71382 pep supercontig:CCACVL1_1.0:contig11655:7305:7433:-1 gene:CCACVL1_18245 transcript:OMO71382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYCKCEQLFMRMSIISSFYQLNCHAFPDIDTWTCHVHHVSCK >OMO71383 pep supercontig:CCACVL1_1.0:contig11655:7998:10184:-1 gene:CCACVL1_18246 transcript:OMO71383 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MGKKKQDDSGATTKVKGSSKDASKDVKREKLSVSAMLASMDQRPDKPKKGASSLSSTSAKPKAKGPKVSSYTDGIDLPPSDDEEEEEYASEEEQTQSNRHQRQLKQLDTSISEKELKKREKKEMLAAQAVEQAKKEALKDDHDAFTVVIGSRASVLDGEDEADANVKDITIENFSVSARGKELLKNTSVKISHGKRYGLVGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVVGDDRSALQAVVSANEELIRLREEVAVLQNTSSANGGEDDSELNGDDAGERLAELYEKLQILGSDAAEAQASKILAGLGFTKDMQGRPTKSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLSRWKKTLVVVSHDRDFLNTVCTEIIHLHDFKLQFYRGNFDDFESGYEQRRKEMNKKFEIYEKQVKAAKRSGNRAQQEKVKDRAKFAAAKEASKNKGKGRIDEDEPIAEAPKKWRDYSVEFHFPEPTELTPPLLQIINVSFSYPNREDFRLSDVDLGIDMGTRVAIVGPNGAGKSTLLNLIAGDLVPSEGEIRRSQKLRIGRYSQHFVDLLTMDETPVQYLLRLHPDQEGLSKQEAVRAKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEEKSQIWVVDNGTVNTFPGSFEDYKDDLLREIKAEVDD >OMO71384 pep supercontig:CCACVL1_1.0:contig11655:14499:17247:1 gene:CCACVL1_18247 transcript:OMO71384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKAVKKKLLRGEKSDRKCVNNVPIFRRKELEVMTNKFDVKNFVGLTMFGRLYRGNLPHDWYQNGVKTVLVKILEYQRCGYPAYPNDSSTEINFWKLLKEVTLLMQPSMKMEPNVAKLIGYCKEGEFLAIVYDVDPLNTLHYLILQDSSNWSERINIELEFADLLQRHELLSTNIDAADIIIDKNMKPFHFEMGKLIRNNVLVNPYGDNPKLPESLPSDPLLGLSLSIVADRKRGDVVTFSREELNLITSNFDHFVSLTMFGSLYRGELPKEWDHIGFGATKVTVKKWEYQRWGNPSLGRPQFCEMDKHTRMMNEIRFLMQPSMMLHQNVVNLIGYYIGDEFLALVYNLDPLDTLHNLIVKDHFNWRERVNVLVQFAHLLTFLQGYGYVVRNIDAMHIMMDKDLNPVLFDFGTLTGGIFYKYEYKAPEEPFYPVEPYGSVGYLDFRAFMAGVPDIYSYGVLLTSILVKKVIDKEWQDPLWNWKNEADKWVMEGLCEDVMRAEKTGQTKQAECCFSIVETSLQHDPAYVADDGYALTNLAIQCLGSLNTRPEMKDIVNCLHHLIVVKEPHMQQLVKMTQIS >OMO82628 pep supercontig:CCACVL1_1.0:contig09997:4189:5539:1 gene:CCACVL1_11856 transcript:OMO82628 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Disease resistance protein RPS2 MRPWRINLYWRYHLSKQATKKSLSISELMENSKFEVIGHLADLLGITLLPSKAFMSSKSADFVERQIMEALKDDGVNIIIVWRMKLGTLKIESMNCFKGLCNGPPPDGFLKKLVILEITKCGSLKSVFPPSVAKNLVQLKSVEIADCDILEQVFEEMEGANDEVLQKLETLEIRGCGSLESLSPMPVDKKLIQLKILDIDGCNMLEKIIEEIEVRDSEILSTNTQVQRPYLLPKSENSYYRSLCQIGMPRRHKEAAFASHCINV >OMP05091 pep supercontig:CCACVL1_1.0:contig05533:2854:3916:1 gene:CCACVL1_02062 transcript:OMP05091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding protein MVNDPTTDSLISWGKAHNSFIVNEPLDFSQRILPAYFKHSNFSSFVRQLNTYGFRKVDPDKWEFANEWFLRGQKHLLKNIVRRKHSKNPFMQVKTEDLDDDEIVMEIARLKEEQKSLEQELQGMNKRLEATERRPQQMMAFLYKVVEDPDLLPRMMLEKERTRQLNADKKRRLTMNMPPSSYSSSSSLGVSNNSVKSEDEEEGSNPGVISSPETRFDIDNNFCRSSYQYSSPSPEDSKEGHRPIMGQVINYGCATVTGQFPAVMMAPSVIGNSSAVVSSATSSLAGYSDRNAQLGYFGEMTAAGMEARQPPPYPFSLLGGGF >OMP00772 pep supercontig:CCACVL1_1.0:contig06620:1230:1328:1 gene:CCACVL1_03299 transcript:OMP00772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWLIAPVLKTGIVRNKELSRVRIPLSPFAR >OMO98847 pep supercontig:CCACVL1_1.0:contig07004:2573:8852:1 gene:CCACVL1_04031 transcript:OMO98847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MIGEASSPSDQLVQQESMPVVPNVGKNVNNSNHVSVRTGEEFSIEFLQECTGTGRIPAIPDASQMHEFNQNQNHQLGYQDLARILGLQRMDSECASDISDFASLKESFKGSENGSFAEKLSRYQKEDGEIGQVARKAFGELNCDRSHPNGFGSTTPPIYPLDSPSSSNFSGQGASDGSQSGKMKFLCSFGGKILPRPSDSKLRYVGGETRIISISKSLSWGELVRKTSEICNQPHSIKYQLPGEDLDALISVSSDEDLQHMIEEYHGLEKLGGSQRLRIFLIPLGESEVSSSLEASTIQQTTPDYQYVVAVNGIADPSPKKNSGGQCLPTEGSQLGPSLDHNPSFHNRCPTSVIPLETKDGVDALHPSQVFNNSKSTRSPVPSPSISPLPFQHEDSKSVQGLPIGNSSSIESNTSFITAHLNPDNHSTETLNFQHAQQVPPALIDYNHPYVKAGADQTYQAYGEQFQNPDPSDSVTFLVLNKNNIDCNGVSHERFLHKERPFLSEKPISHAEDPLSLLSGSVDSIDSHPGMSHAFSDSKLQEHGGKSAYCSQEGMSPSSPLTFAKTRSPSLLISNAVQERLVQQHDNIDLMKPRAQNNDLSDTESTSKLIPDMLHCSPHPEPFGKNEPIHKGTDDSNDKCQTAKSDLSISSFMTLNNSDDYTSSLNARNRSSDKSDLFLHQGANHYGASVEYNSKLFDPDCHLTSGFGVDSQKKDLQVSEMMVPSSLATKNIMEHPLTLDKTKYDIVEYGGFSEKVIDARGNSTSCTSNPEVTSLFIQDSRGESSQGNLISGSLYGPMSHGPVQLQCIANQKDISEEDKLMSSTNLHPPAVRKDSGLSSNLLKDDLHAMSVNPANTAVSRRGVSLIDDDLNYHNQNAEKMAFIGSVHKNSIGEDLQFAQTIPLSKNKYEIQPESVDIVEDVTTSVPSGIQVSSAVVPRVDVMSSDIISPIGTELEYMIPESESEDATIDGRNKDESFSDAMIAEMEASIYGLQIIKNADLEELRELGSGTYGTVYHGKWRGTDVAIKRIKKSCFSGRSSEQDRLTKDFWREAQILSNLHHPNVVAFYGVVPDGTGGTLATVTEYMVNGSLRNVLLKNNRSLDRRRKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSNRVSEKVDVFSFGISMWEILTGEEPYADMHCGAIIGGIVKNTLRPPIPERCDPDWRKLMEQCWSPDPESRPSFTEITDRLRTMSLLLQPKGPSNHARQVRPNVTA >OMP04776 pep supercontig:CCACVL1_1.0:contig05662:2533:9631:1 gene:CCACVL1_02137 transcript:OMP04776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase (putative), gypsy type MPGKSLASSLLTFDSATCFCTSANPGERIGEEDEFPFIRQPCFSCNRTVTRFHDLLLLTTIDEELLCVVEIEMHLKKPDLATSAPNIVSLRVTFSTSLPQKAIRRFVTSQSSKRWAIHSTAQIENSAVSNEDRQTWEACRQALSAFRFSMDEQDKILGKAFGHVHSPYWGEERKKEVPKYEIVNEILDYLRSLSLSDDDIYKLLKKFPEVLGCSIEHELRTNVQILEKEWGIKGIENATSSIPIPGSGPRISDLLSVDAESSVKESNLVTWSTVNQRILRSLSSFDLLFLITRSLTFVHHGELSDDSDIELFESLRLPLRRIPPPIEDSQNISGTPSMGNSSIPRDDHQSDKGSSSSEIPLVRKRKITEPGEASSALRIDRPETNDPTFSSLSPLPPPRSSYSVSVGELGPVAPPIGSVADFSSSATEVAYSAAPNVAESSRADFGSLATSSGSVVSKYVVSKYVRGADNASIRAVRAHVSRVGLGPITGFKFCYPTEDDRLYNIRQNRRRAFFTRVMFECGFRLPGHPFVHEVLRYYDIVASQVTPNAWILIMCYVVNCIYRGWKPSLKFFRHEFRVSNNGGFISFNRRKRKTFFETPSSWRDWKVEFFGIQIRKGMKWPIRTRWTNIQSKSYNVAKYELNSKNQEQLRYFSSQTLQWKEILHAARLILCGISPLPYQMSDVDDSELEVGVCQPLVFKRKELVDGEEIEIVDSTVIVNALCEDGDEFMPEDIEFESGRTSLPDLRKKNEGRPGLPVPVLMSEIESSYGNLNKDLEEMSSLSLAERRARQNARRAGISPSAPSPVPTTTVAEVAKTATVGASSLQLATVRPLASHPTSKSPPLIGSNSRPSFNPEFPSFLSGIFSGGGFVEWYRKDCERETAPRFIDLMVAFFSFGLNYADINDFRAVRDAAPVERAALMVGASIQVFLRPLFVYPLIPTSFYMYFVPPFIFVQNLVLARGNFAAFEKEISEWAPQVAKYIFDRLPGAPLVRHQKEQDQSKTLILEKDARLKAFSEDLSRSVKALKDVKLELQDEKDRVQRRNKTIMDEREVFLKEKASWAKEKTALQVENNRLIAQVELCLEQTAAHMNSTRDSAIYLIQREHPNLDVSGVNFESDELPPGYLLDPIIHKTLEAFIQDQKIDLY >OMO69082 pep supercontig:CCACVL1_1.0:contig12115:13031:14845:-1 gene:CCACVL1_19664 transcript:OMO69082 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MEKSYAMNSGAGPLSYAQNSSYQRGIMEAAKVMLNKEINMKLDIQKLSLTASEPLRIADLGCSSGPNAILAMQNIVDAIENKFQTNEKSHKSPELQVYFNDQVGNDFNSLFGLFPFEKKYYASGVPGSFHGRLFPSSSLHFVHSSCALCWLSNVPKGVEDKADPAWNHGRIHYTGAPKEVFEAYSDQFCKDIELFLQARAKEIAPGGVMALVVPAVPDVMSYPQITTGSEFELVGSCLMDMAKMGIVSEEKIDTFNLPIYYTSPKELQGIIERNGCFSIEKLDILDIPKQHITMPDLKQRALYMRAVLEALIEKHFGVEIIDQLFEIYATKLSKSPIFLNPDDQKMTALFVLLKPNENK >OMO69081 pep supercontig:CCACVL1_1.0:contig12115:9666:9866:-1 gene:CCACVL1_19663 transcript:OMO69081 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MPDLKQRALYMRAVLEALIEKHFGVEIIDQLFEIYATKLSKSPIFLNPDDQKMTALFVLLKPSENK >OMO60193 pep supercontig:CCACVL1_1.0:contig13763:3682:3831:1 gene:CCACVL1_24337 transcript:OMO60193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HQEQGLVNPRIRRVSATITDPSFHQKSWKQGPFVHKRRFSRLSAMLSAI >OMO95183 pep supercontig:CCACVL1_1.0:contig07727:8068:13340:-1 gene:CCACVL1_05514 transcript:OMO95183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRGFKIEQRYSPKAIQL >OMO55082 pep supercontig:CCACVL1_1.0:contig14798:103699:105700:1 gene:CCACVL1_27413 transcript:OMO55082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTWIGLTSRASLEYREGVNQFLDFAFARSSSNGKIWCPCVKCVNTYRVSRSEAYGHLICDGFLKGYDRWVSHGEVFEEESTSTVMPNEEGELGHQINDLLHDIMGEGDMDVDSDNTQFGDVVRENELVWSLFLLILYLVLQAQENEYIRRNRTTVSESEQPIGQPSGQQFGQPSGQHSGQQSGQNSGQRSGQQSVAAEDESRQQHSTQQELTQQSREPTNSATHTEPQNEQLEGRIRCSGLKSTSSNRSCPSCSQLMQPNEEVQGLRSEIATLRTALNGVLGVLRRQFPNESEELLNTIARVVDGEVADAGSAPEISPHRNNRSSESTHQPSPINDDSEFEVG >OMO55068 pep supercontig:CCACVL1_1.0:contig14798:13001:13939:1 gene:CCACVL1_27398 transcript:OMO55068 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA isopentenyltransferase MESFFRRKDKVVFVMGPTGTGKSRLAIDLATRFPAEIVNSDKMQVYKGLDIVTNKVTEEECRGIPHHLLGIVDPNSNFTSMDFRHHASVAVDSILTQGRLPIIAGGSNSYIEALVNDDPEFQLRYECCFLWVDVSLPVLHSFVSERVDKMVQAGLVDEVKQIFDPKVIDYSRGIRRAIGVPEMDQYLRSESILDPKIRAKFLETAISKIKENTCILACRQLQKILRLYNQWSWRMHRIDATEVFLKRGEEADEAWERLVAGPSTMIVGQFLYDENRVVSASSLVPTDPTTAVMAAAAAKSPVPIPAVAAATR >OMO55078 pep supercontig:CCACVL1_1.0:contig14798:77373:79165:1 gene:CCACVL1_27409 transcript:OMO55078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dienelactone hydrolase MSSSQCFENPPSKSTAWQGAGSVQEIGGFPAYVTGPPDSKLAILLVHDAFGYEPPKFRKLADKVAAAGYFVVAPDFVNGDPADLDNPQFDRHAWLKAHSPEKGAEDAKQVIAALKSKGASAIGAAGFCWGGWVVTNLASSDDIQAAVVLHPGPLTEDHIKKVKVPIAILGAENDPITPPEQLKQLGEILSTKTEFDSYVKIFPGVAHGWTVRYNAEDESAVKSAEEAHDDMLNWFAKYIK >OMO55070 pep supercontig:CCACVL1_1.0:contig14798:29703:34286:1 gene:CCACVL1_27400 transcript:OMO55070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARMVLNTATSVGESTGNGIPFGSAWWFIYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTPSEKKQAAAILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKLFNQYLAIILSVTFVLFFGEVIPQAICTRYGLAVGANFVGLVRVLMILCYPIAYPIGKILDWVLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKGKNKNLLPTVDGQKSEENKISSADSQLTTPLLAKVYETPDSIVVDIDKASKPANLNRQDATTNGLSMTSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSSRRLTGQKGVGSQGKSPSFKKHAEGDSNTPRIQGAPAEGKR >OMO55072 pep supercontig:CCACVL1_1.0:contig14798:37756:39620:1 gene:CCACVL1_27402 transcript:OMO55072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKYGLNEAVVKKLLELGTLIF >OMO55071 pep supercontig:CCACVL1_1.0:contig14798:36663:36806:-1 gene:CCACVL1_27401 transcript:OMO55071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGCPKSTGIPKIRVWQRCSKLVKEQRTRFYIIWRCTVLLLRWDE >OMO55074 pep supercontig:CCACVL1_1.0:contig14798:49141:49812:1 gene:CCACVL1_27404 transcript:OMO55074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34Ae MLHFLIMPPSSKPTYTASSTASAATAAAKNSGHHHHNHHSHSHSPLLLCKHSPSATLDLLILILVLFSGTFLLSSYFSYLFHSLSLLLTPLLSTHSASFSLPFASYLLGCTIFFATTLISIEFCCSSRSRKCDKPGCKGMKKALEFDLQLQTEDCVKSGSKEIDCLPWKGGSEGNPDYECLRAELRKMAPPNGRAVLLFRARCGCPIAKLEGWGPKRGRRHKK >OMO55076 pep supercontig:CCACVL1_1.0:contig14798:55839:65004:-1 gene:CCACVL1_27407 transcript:OMO55076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSLERSRIWDEVVEQTKVAKVKGIDPLFWALQVSSSLSSSEVALPSTELAHVLVNYICWDNNIPILWKFLDKALMMKIVPPLLVLALLSQRVVPCRHSHAAAYRLYLELLKRHAFTLKSQINGPEYQKIMKSIDATLHLSEIFGLQAMEPGILVVEFIFSIVWQLLDASLDDEGLLELTTERMSRWAIKSQEMEIDGHDIYDEKKIEYQERLRNFNTTMAIEIIGQFLQNKVTSRILYLARRNMPTHWVGFVESLRLLGANSAALKNSKILTSEALLELTSDSRIVLSRECKTSSLQKFHAVMAFGSLASSAGLCHGASRSDLWLPLDLALEDAMDGYLVNTTSAIEIITGLIKTLQAINGTSWHDTFLGLWIASLRLVQRERDPIEGPVPRLDTRLCMLLSITTLVVADLIEEEEGAPIDETEYGSTNHWKGKKLPRKRRDDLVSSLQVLGDYQGLLAPPQSVVSAANQAAARAMLFVSGINVGSAYFECINMKDMPMNCSGNMRHLIVEACIARNLLDTSAYFWPGYVNGRINQLPYSVPTQAPGWSSFMKGAPLTSVMINALVSSPASSLAELEKIFEIAVNGSDDEKISAATILCGASLIRGWNIQEYTVQFITRLMSPPVPADYAGSESHLIGYAAMLNVLIVGIASVDCVQIFSLHGLVPQLACSLMPICEVFGSCVPNVSWTLPTGEEITPHAVFSSAFALLLKLWRFNHPPIEHGVGDVPTVGSQLTPEYLLLVRNSHLLSSENIHKNRNKRRLSEVASSSSPEPVFLDSFPKLKVWYRQHQRCIAATLSDLVHGTTVHQTVDGLLNMMFRKINRGSQSVTSGSSSSSGPGNEDNSLKPKLPAWDILEAIPYVVDAALTACAHGRLSPRELATGLKDLSDFLPASLATIVSYFSAEVSRGVWKPVVMNGIDWPSPAANLSSVEEHIKKILAATGVDVPRLAAGGSSPATLPLPLAAFVSLTITYKIDKASERFLNLAGPALESLAADCPWPCMPIVASLWTQKAKRWFDFLVFSASRTVFLHNSDAIVQLLKSCFTATLGLNAAPISSNGGVGALLGHGFGSHFCGGISPVAPGILYLRVFRSMRDIVFITEEVVSLLMDSVKEIAYSGLQREKLEKLKTSKNGMRYGQVSLAAAMNRVKLAASLAASLVWLSGGLGLVQSLIKETLPSWFLSVHRSQREEGSGLVAMLGGYALAYFTVLCCAFAWGVDSSSSASKRRPKILGTHMEFLASALDGKISLGCDAATWRAYVSGFVSLMVGCTPTWVLEVDADVLRRLSKGLRQWNEEELALALLGIGGIGTMGGAAELIIERDL >OMO55075 pep supercontig:CCACVL1_1.0:contig14798:53131:54188:1 gene:CCACVL1_27405 transcript:OMO55075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSLKPKSSLYRSLKWLSTSAVSSKTHQKPNDYELILISSLKSAASHFSISQGQKTHCLVIKSGFISNPFIQNTLLSMYNKCGFIEEAERLFSFCPNLDPVSYNIMISGLWED >OMO55077 pep supercontig:CCACVL1_1.0:contig14798:70248:75350:-1 gene:CCACVL1_27408 transcript:OMO55077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleotide reductase small subunit MGSLRNEQEREEENRVMGKEDEKEEEEPILKEQNQRFCMFPIRYQPLWEMYKKAEASFWTAEEVDLSQDVQHWETLSDSEKHFISHVLAFFAASDGIVLENLAARFLNDVQIPEARAFYGFQIAMENIHSEMYSLLLETYIKDSKEKHRLFNAIESIPCVGKKAKWALDWINSSTSFAERLVAFACIEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLQKQLKWQKIHQIVHEAVEIETEFVCEALPCALIGMNSALMGQYIKFVADRLLVALGCQRKYNEENPFDWMEFISLQGKANFFERRVGDYQKASVMSSLQNGGKNFVFKIDEDF >OMO55081 pep supercontig:CCACVL1_1.0:contig14798:89604:92763:1 gene:CCACVL1_27412 transcript:OMO55081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dienelactone hydrolase MSGPQCCSNPPTLNPGSGVGHVEEVAGFKTYVSGSLDSKLAVLFVSDIFGYEAPNLRKLADKAAAAGFYAVVPDFLYGDPYAPENSERPIGVWIKDHGADKGFEDAKLVIEALKSKGVSAIGAVGFCWGAKVVAGLAKEAVIQSAVMCHPSFVTVDDIKAVKVPIAILGAEIDQMSPPELVKQFDEILSAKPEVDHFVKIFPKCTHGWTVRYSAEDPTAASCADEAHKDLLEWFAKYVK >OMO55073 pep supercontig:CCACVL1_1.0:contig14798:42596:46685:1 gene:CCACVL1_27403 transcript:OMO55073 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS membrane protein/tumor differentially expressed protein MSCLASCCAASTCGLCSSVASGVSRMSARLAYCGLFGLSLVVSWVLREVGAPLLKKLPWINTADQNTAWFQQQAVLRVSLGNCIFFCVLALIMIGVKDRNDRRDSWHHGCWIAKMVIWLLLVILMFFLPNVVITVYGDISKFGAALFLLVQVIILLDFTHTWNDAWVEKDEQKWYIALLAVSVGCYLAAFVFSGILFIWFNPSGHDCGLNIFFIVMTMVLALAFGIISLHPAINGSLLPASVISVYCAYVCYTALSSEPRDYECNGLHNKSSAVSTSTLILGMLTTVLSILYSALRAGSSTTFLSPPSSPKSGGKKPLLEGEDVEEGNGKKEKEAQPVSYSYSFFHLIFALASMYSAMLLSGWTNTADSSDLIDVGWTSVWVRICTEWATAALYVWSLVAHLILPDREFF >OMO55084 pep supercontig:CCACVL1_1.0:contig14798:126905:131507:-1 gene:CCACVL1_27415 transcript:OMO55084 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MPPKADKAADPSIMEAIHALAESFNAQLLKLRSSHLELKNSLETKIESTLSDLHRKLDPASSSTPLSPADAFKGIMHRHRLLSDWENFTRAIEIRFGPSQFVNPQTALFKLKQTGMVAQYQQEFELLSNRVSALTDEHLLNLFISGLRYDIQQEVVILNPLSLTQAFALAKLQEVKLNDSRRGYRTPPLASSVPSPQFRTPALPSSSNTSKGPSSSTAVFPIRRFSPSKMQARRAKGLCFNYDENFQPGHRCKTTPFLLLQMDDETPETIDYEEATMTALSALPLPPDPLTTDSQEDEFRVSLHALYGITSQTCMQLTGHIKGHPVSVLIDSGSTHNLVQPRIIKYLGLPIEPAPTFSVRVGNDKFLQCDETLTEQQTDTNSFDSELSLLFTRYAAVFYPPKGLPPPRSHDHHIHLIPGSKPVNVKPYRYPHNQKRDMEVLISEMLQEGIIRPSTSPFSSPVLLVKKKDVTWRFRADYRALNAITIRDRFPIPTIDELLDKLHGASIFSKFDLRADYHQIRVMPFGLTNAPSTFQAAMNDLFRPHLRKFVLVFFDDILIYSCSKEEHYQHLQLVMELLLTHQFFAKRAKCSFAQSSIDYLGHIISSQGVQVDPSKITAIIDWPLPANIKALRGFLGLTGYYRKFVKHYATIVAPLTDLLKQKSYQWTTEASEAFEKLKQALTTTPILALPDFSQAFDVTTNASNVAVGAVLSQGNHPLAYFSKKLNSNLQNSSAYVREMYAITEAVKKLRQYLRCHNKVADELSRSWPAEAELQAISVMKESRVVIPENHPLQQTQLKEFHSTLTGGHAGVARTLARLAANFWWKGMRKAVQDFVSTCKVCQEVKYLTSKPQGLLAPLPIPSQVWRDLAMDFITNLPLSHGKSTIWVIVDRLSKYAHFVALPGNVTAPTLASVYAQEVGKLHGMPKNVVCDRDPLFMSNFWQELHKLQGTQLRMSSAYHPQTDGQSEVLNRCLETYLRSFTSEQPKNWVKILHWAEWSYNTAYHSAASMTPFQALYGHPPPTIPSYVAGTTTNAQLDNSLIERQQLLKQLKANLARAHNRMVMQADRKRQERQFAEGDWVLVKLQPYRQQSVVQRSNQKLAKRYFGPYKILKKIGSVAYKLQLPEGSRVHPVFHISLLKAFKGEIPTEPAALPTDCVAGQPVLEPEMILKSRQAKLHGKFHTQYLVKWQQLPTSEATWEWAQDIATNHPNFNLEDKVVIEEGCSNTNPPADEGGSSPREKRQLKKPKWMKDYLI >OMO55069 pep supercontig:CCACVL1_1.0:contig14798:26776:28641:1 gene:CCACVL1_27399 transcript:OMO55069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLSCPLPVSSFSLQPPKLSTITNFQSSICNAHLPKTFKTSYPSLSLTHKPICCCDSSSQSQSHSSLSTGVFIRGLPQSTAEGRLKKVFSQFGEVNRVEVVRDGESKRSLGSAFVWFNKEESAQLAVKEMNGKVKVMDGDIIEP >OMO55080 pep supercontig:CCACVL1_1.0:contig14798:83439:88510:1 gene:CCACVL1_27411 transcript:OMO55080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dienelactone hydrolase MAALPFLKSELCLRSVISQSLFILQDKGAEDAKQILAALKSRGLCTIGAAGFCWGEVKVPIPILGPENDHVSPPEQLNQIGEILSTKTESSLIAMCRLCKLTILAFIVCVCMRTRADNLVNCNPAAERYEAPNLRKLADKAAAAGFYAVVPDFLYGEPYAPENSDRPIGVWIKDHGPDKGFEDAKLVIEALKSKGISAIGAVGFCWVAKVVVGLAKEAVIQAAVMCHPSFVTVDDIKAVKVPISILGAEIDHLSPPELVKQFDEILSAKPEVDHFVKIFPKCTHGWTVRYNAEDPTAASCADEAHKDLLEWFAKYVK >OMO55083 pep supercontig:CCACVL1_1.0:contig14798:118252:118821:1 gene:CCACVL1_27414 transcript:OMO55083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYINEHESWFSVWFSSIKPCVGSVSCDERMIWIILEAVPLQLWHDSFFTEIGNLWGSFVTVDDSTYKKCRFDIARVLVSVKRCVKIPSKIVISHNGYRFEVPIFIEAARDFISLNSDRKLPTATVKSSDEEAFSTCDASESKSKHSDELEPCMQEDFETNEAVNVGNSNNHNDLVELGGPLFQERDE >OMO55079 pep supercontig:CCACVL1_1.0:contig14798:80149:82692:1 gene:CCACVL1_27410 transcript:OMO55079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dienelactone hydrolase MPGPQCCSNPPTLDPGSGVGHVEELAGFNTYVSGSLDSKLAVLFVSDVFGYEAPNLRKLADKAAAAGFYAVVPDFLYGDPYDEKSGRPLDDWTKDHEPDKAFDEAKPVIEALKSKGVSAIGAAGFCWGAKAVADLAKETNYIQAAVMCHPNFVTVDDIEAVKVPIAILGGEIDPITPPELVKQFDEILSANQVDHFVKIFPNCGHGWTLRYDDDDPTAVACADEAHKDLLEWFAKYVK >OMP11477 pep supercontig:CCACVL1_1.0:contig01263:3309:6854:-1 gene:CCACVL1_00500 transcript:OMP11477 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAGTLSNLSKLSIQDGEEANEISPVENSVIIEDADWLEVPEEISFQLCPVWMYLRIRVRIDVNLPLKEGTTITTPHRDIEFSGYLRAEIPDLKPRHFHIGVSSLKTGSLGGASGSRCLPATTTSVTSRPQAFRKHFGPLNMSSGSKQGGPVDMGVDKRWMLDPVSNEANGHKRSKPTLVDDFGPDVYSTLVAGQKSQFAMSELSSSPLFIFGNGATLEAKSVRKWRKMARVLSKRETHRRNESWHLLRTLNVKSSLPWLCASDFNEILCSDEKVDGAIDPSLQMDEFREHKLNAKSKEYDAVYKEGTDDTLFNQCKSELDDLIKQEEVMWRERSKAFWLREGDRNTGYFHSVATTRRRRNNISSIEDAGVDWKTSDADIEKANMVPDPDGFSPSFFQKCWHIVGTDVVRFELDFLNNGVDLPDVNHTNIVLIPKVDNPGTMRDYRPITLCNVIFKIVSKAIANRLKLVLPSVIGESQSPREEESSRRRRDKRETLIIILL >OMO93095 pep supercontig:CCACVL1_1.0:contig08128:8697:9590:1 gene:CCACVL1_06628 transcript:OMO93095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKDKHEGEHSDKGLFSHLAGYAAGHYPPGAYPPQGYPPQGYPPAGYPPAGYPPAGGYPPAGYPPPGGYPPAAYPPHSHGGYPPAGYPGHSSSHGHGGMGALLAGGAAAAAAAYGAHHIAHGAHHVGHGAYGYGYHGHGKFKHGKFKHGKFGKHGKFGKHGLFGRHKGKFGFKKWK >OMO93097 pep supercontig:CCACVL1_1.0:contig08128:17007:17588:-1 gene:CCACVL1_06630 transcript:OMO93097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSMDGPRQIPKRGRALPSNSRKRDCLAPQRETAYAPQEGLPCSPRGTVCSPRGTAYAPQEGLFAPQEGLPMLPKRDYLTPHRGTPSDVMLVPSSFHEVCLSERVCSSIILSRAPSSAEHHHAKILHLANFHDARHHFEIVGAIRSNDHQSTFTKHLSSVLCLEHHWIIISSRHSHLTILYSSDAPSERMAT >OMO93101 pep supercontig:CCACVL1_1.0:contig08128:65073:68963:1 gene:CCACVL1_06634 transcript:OMO93101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MAGQSRKWMILIATTWIQAFTGTNFDFSSYSSTMKTVLGISQVQLNYLSVASDMGKAFGWCSGVSLMYLPLPVVMFMAAFLGLFGYGLQWLVIKQSITLPYFLVFLLCLVAGCSICWFNTVCFVLCIRNFPFNRAHALSLTISFNGVSAALYTLIANAINPDDDTLYLFLNAFVPLLASSFALIPILRQPPLQLLSTDAISRDSFIFIILNVLAVLTGLYLLLLNSLSSEALRARILLVGALILLLLPLCLPGIVCGRKWARNTIHTSFPLDGSTFSLVDHDDLQLHKELIGSDYDDNLSVDDSLCAETNKEGFFGKIIEKGRLTTLGEEHSARLLVRRWDFWLYFLAYFCGGTIGLVYSNNLGQIAQSLGYYSQISTVVTLYSSFSFFGRLLSAAPDFLRDKVYFARTGWLAVAAVPTPIAFFLLAASGSKAALHVSTAMIGLSSGFIFSAAVSITSELFGPNSASVNHNILITNIPIGSLLYGLLAALVYDSNVKSSTLKITLEEAMVCMGRDCYLQTFVFWGCISLLGLISSFLLFLRTRPAYDQFERNRSRAQFS >OMO93096 pep supercontig:CCACVL1_1.0:contig08128:16089:16505:-1 gene:CCACVL1_06629 transcript:OMO93096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSHPQPQVTPDMDKTDVTSTKLDETGNTIFIPDDSRKPNPSKKDDQPSSPKPVDQHCINKSKTLMLHG >OMO93098 pep supercontig:CCACVL1_1.0:contig08128:34631:36359:1 gene:CCACVL1_06631 transcript:OMO93098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQAFIRLSIGSLGLRIPGSAVNSSKAGIHAFSSPCSCEIRLRGFPVQTTSIPLVSSPEAIPDIHSIASSFYLEDSDLKALLTPGCFYNPHAYLEITVFTGRKGSHCGVGVKRQQIGSFKLEVGPEWGEGKPVILFNGWIGIGKNKHDNGKPGAELHLRVKLDPDPRYVFQFEDVTMLSPQIVQLQGSIKQPIFSCKFSRDRVAQVDPLSAYWSGSVDGLDIETERRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVARSNPGAWLIVRPDICRPESWLPWGKLEAWRERGIRDSICCRFHLLSEAQDGAEVLMSEILISAEKGGEFFIDTDRQMRQAPTPIPSPQSSGDFSALSPIAGGFVMSCRVQGEGKSSKPLVQLAMRHVTCVEDAAIFMALAAAVDLSIEACKPFRRRLRRGSRHSL >OMO93100 pep supercontig:CCACVL1_1.0:contig08128:37813:57931:-1 gene:CCACVL1_06633 transcript:OMO93100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin binding cofactor A MEIELEPRVKPLSYKVKATSRESPSQKASHVLDTDLRTHWSTATNTKEWILLELDESCLLSHIRIYNKSVLEWEIAVGLRYKPETFVKVRPRCEAPRRDMMYPMNYTPCRYVRISCLRGNPIAIFFIQLIGISVTGLEPEFQPVVNHLLPQIVSHKQDAHDMYLQLLQDMTNRLLVFLPHLEADLASFSDAPDSNLRFLAMLAGPFYPILHTVNERDTGKSSGNLADSEVPKNTQSLSLLTVSSNFEPRRSRNTSPFLLSTSSSIVFRADAIFVLLRKAYKDPNLGTVCRMACRMLQKLTEPLLMLDESTTSSEVTSVLDESSKPELINPLPMVDYSNLFGEEFQVIDDHWDPSILNVLDVGAVEEGILHVLYACASQPQLCSKLADSTSDFWSALPLVQALLPALRPYVTSSADHVDETFSQWKQPFVQQALSQIVLTASSPLYHPLLQACAGYLSSYSPSHAKAACVIIDLCCGVLAPWITQVIAKVDLTVELVEDLLGIIQGAQHSMARARAVLKYIVLALSGHMDDILGKYKEVKHNILFLVEMLEPFLDPAICTSTSKIAFGDISYAFPEKQEQTCLIALNIIRTAVRKPAVLPSLESEWRSRSVAPSVLLSILEPRIQLPPEIDMCKFPISKDVGHESLNIPPTPCCDSDGKADAHDTAIKMDALEDVSLLFAPPELRSIKLTNFSGIPKENVQELNQLELNSEQKDIEKKCSNQFQNSLVSDAGFAAEYYNLQADCFQLMNLRDCELKASEFQRLASDLHSQHEISIESHDAAIDALLLAAECYVNPFFVMSPKAGSNIMHQISVSGMIFPKNSEISELRRVSNKTNSNFQTIARLEKNRDKVVLKILLEAAEMDRKYHKRLSDEEDCHSYSAESDEQIIETSPSDIELLDAVTLVRQNQALLCSFLIKRLQGEQHSLHEILMHCLVFLLHSATKLHCTPDHVIDIILHSANYLNNMLTSLYSQFKEGKCQLNHEKIHGIQRRWILLQRLVIASSGAGVGSDFAVNINNCFRHGNLIPPSAWMQKISTFSHSTSPLVRFLGWMAVSRNAKQFIEERLFLTSDMLELTYLLSIFADDLAAVDKYVDPKNGDLKIERSEGKHDFQITDGDELVDGQRGDQSFRVIYPDLYKFFPNMKKQFEAFGEIILEAVGLQLRSLPSAIVPDILCWFSDLCSWTFIHKDKTNSQGSSSHLKGYVAKNARAIILYILEAIVMEHMEALVPEIPRVVQVLVSICRASYCDVSFLDSVLQLLKPIVSYSLQKVSDEEKLLVDDSCHNFESLCFDELFGNIRQRNEIQDSSVEKVFGRARAIFILASVFEDLSFQRRREILQSLTLWTGFTSFEPTTSFHDYLCAFNGIMESCKAFLLQNLRVFNLIPFQLPPFSDSTTLAESGLESFSWFLKDVLHCSTPNKVSEDLESNNVDGVVLNEKNYNLSEEETEEFAKDLENLISKLYPTIEQCWSLHHKLAKKLTITSTQCFMYLRCLSSIAPAIYNAEGDNNENSLPSKSVEQLPAHWKTGLEGLAGIILMLQENACWQVASVMFDCLLGVPLGFPLGNVIESICTAVKSFSCKAPKISWRLQTDKWLSMLFIRGIHSLHENEIPPLIDMFDTMLGHPEPEQRFIVLQHLGRLVGQDADGGIMVESSKFCSKMVSPGLVHTIPEKIISLLVSSSWDKVAIVASTDVSLPLRTRAMALLVDYIPFVDRPHLQSFLAAADSLLYGLGRLVYPVCEGPLLKLSLALITSACLYSPAEDISLIPQKVWENIETLGFSKAEHKLPDLEKKACQVLCRLRYEGDDAKEVLKEVLSSSSAKQSDPEFGSTRESILQVLANLTSVQSYFDIFAKETDQEAMELEEAEMELDLIQKDGAPLESMKDSKEENQLLRQATPVKDENRLQQIKDCIHSLEKTKLREDIVARRQQKILMRRSRQKHLEEAALREAELLQELDRERTAEAEKEIERQRLLELERAKTRELRHNLDMEKERQTQRELQRELEQAESGLRSSRRDFPSSSHSRPRERYRERENGRPTNEGSTRTGSSGLQPETVTSSSMAAAPTVVLSGSRSFSGQPPTILQSRDRADECSSSYEENFDGSKDSGDTGSVGDPELVSAFDGQSGGFGSSQRHGSRGSKTRQLHFNCSVFSHLQIFSPLQRLAMATVRNLKIKTSTCKRIVKELHSYEKEVEREAAKTADMKEKGADPYDLKQQENVLAESRMMIPDCRKRLEASLADLKATLVELEEANEKEGPEFEDARSTITEVEKLFQTEA >OMO93099 pep supercontig:CCACVL1_1.0:contig08128:37413:37481:1 gene:CCACVL1_06632 transcript:OMO93099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPYHYLASSEGTSFNSHSK >OMP07846 pep supercontig:CCACVL1_1.0:contig04167:236:1263:-1 gene:CCACVL1_01206 transcript:OMP07846 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MGISDIFCITGWLAILFSKNALWLDLGRFLVGCGSGVSVYVVPVYVAEITTKDIRGAFSSLNVLMLACGKALMYVIASLINWRTSALIGDQKFCFLALYGKEFLTDGREGLSDDEDLYGEKDHAGEDGFCFVRFGTLEFQQHVENVGDTKRQKLSGYKVVNSNLKNIPIADFTLNLAPLEFCSKSGTFSYQG >OMP10389 pep supercontig:CCACVL1_1.0:contig02556:291:431:-1 gene:CCACVL1_00979 transcript:OMP10389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTEPVNAEGSIEMKTNPNDLSGRMAEQTRDHFISFYSDSDSERS >OMO82533 pep supercontig:CCACVL1_1.0:contig10026:5740:7171:-1 gene:CCACVL1_11921 transcript:OMO82533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MDSIISHSSSVFFVISIILTLTAPITAATIKMSLSFPLPHFDRSSLSNPKQLISQLFTLKSPTPQTPPRPQNQAKTNITLLLIFPRLHCILAMMEATLSLSALELRRKPSFSSSTSAAASPGSHAVPIAINQWISLFLCQNFHPRRSFYPATTRNVVGFLVTTLEGYDPGSGRIARFNRSSQSLPSQLGVKIFAYCLISRQLDNPDVSSTMWFETESGSGDTKTPGLSYTPLNKSQVTSIPVLKELNYVKLRRILVGNKDVKVPYRYLVPGPDGNFGGTVVDLLLDYTFMEKPVIELVSQEFVKQMRNYSNARVHWNMFDPCFRVPYNESIHVPELVFEFKGGAKMKLPTANYFSFVNVHADVACLMIVTTNSDADFDHFEPAIILGNFQQQNYYVEFDLANDRFGFAERSCL >OMO99979 pep supercontig:CCACVL1_1.0:contig06756:10467:10709:1 gene:CCACVL1_03512 transcript:OMO99979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIRQQRWEIEPILQDVKLKIKSFENVKSSLVCRTANSAANWVANSARKGECPSGWVNQPPLPIVHIYNKDGIPAPPVF >OMO99981 pep supercontig:CCACVL1_1.0:contig06756:68352:68429:1 gene:CCACVL1_03514 transcript:OMO99981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENKEITSKAESKAENKAITSKVK >OMO99980 pep supercontig:CCACVL1_1.0:contig06756:12718:15209:1 gene:CCACVL1_03513 transcript:OMO99980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCRRPYSRKIGNRGVGTTILRNLWPEAEAPIIDT >OMO99978 pep supercontig:CCACVL1_1.0:contig06756:9379:9471:1 gene:CCACVL1_03511 transcript:OMO99978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQVDSYEYSWHGGYNSTVNLDVPPLLVR >OMP02570 pep supercontig:CCACVL1_1.0:contig06223:2357:2452:1 gene:CCACVL1_02755 transcript:OMP02570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKPIQNSTNGPRKARNNKCYE >OMO63608 pep supercontig:CCACVL1_1.0:contig12934:11603:15029:-1 gene:CCACVL1_22384 transcript:OMO63608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase type 2/haloperoxidase MPEIQLGAHTVRSHGVKVARTHMHDWIILLLLVVIEGILNVIEPFHRFVGEDMMTDLRYPLKDNTVPFWAVPVIAIIIPFCVILVYYFIRRDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGIGKFHNVTQNVICTGSKSVIKEGHKSFPSGHTSWSFAGLGFLALYLSGKIRVFDRRGHVAKLCIVFLPLLIAALVGISRVDDYWHHWQDVFAGGLLGLTVSSFCYLQFFPPPYHVDGWGPHAYFQMLAESQNGRPNGQQSELEDVYVDSQNGRELSRANTNDSGPILDGMDGVRRY >OMO63607 pep supercontig:CCACVL1_1.0:contig12934:3339:4970:1 gene:CCACVL1_22383 transcript:OMO63607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQPNIPSEQVLPTEPAHASDLLQNVQIESTLETQDANVNSVDTDSVPPKKVRGPTRGVGLEKLIKEKNKLVIEIPKDKGRPVSEVQSAKLSSEIGLIARKFISVPTKQQRYKLHQYYKSFNTDEKARQKLPPNFNMTEENWGKYCDMFSDADFKEKCKKKNKISRQHVKFTHNQGSRAFGASRYAKEEMQRLLSAPIEEGQQPRTIDSIVDEILETNPSYIKGLGYGPKPKDSGEPNSPSSESLDELE >OMO60015 pep supercontig:CCACVL1_1.0:contig13816:9020:9115:1 gene:CCACVL1_24463 transcript:OMO60015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIMADPSQALEGKENIFKCFKVAGVVRLGV >OMO78309 pep supercontig:CCACVL1_1.0:contig10603:10650:14340:1 gene:CCACVL1_14497 transcript:OMO78309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor, mu subunit MAGAVSALFLLDIKGRVLVWRDYRGDVSATQAERFFTKLIEKEGDPQSQDPVVYDNGVSYMFIQHSNVYLMTATRQNCNAASLLFFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEIMDFGYPQYTEAKILSEFIKTDAYRMEVTTRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQIIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGAFDLMTYRLSTQVKPLIWVEAQVEKHSRSRVEIMVKARSQFKERSTATNVEISVPVPADASSPNVRTSMGSASYAPENDALMWKIRSFPGGKEYMMRAEFTLPSITDEEATPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >OMO78311 pep supercontig:CCACVL1_1.0:contig10603:18392:21087:-1 gene:CCACVL1_14499 transcript:OMO78311 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like, alliinase MVSATQSAVSSAKVMQPSSLSADSVLNFARGDPTMYRAYWEKLGDRCTVVISGKDLMSYFSDAGNLCWFLMPELDRAIRRLHRAVGNAEVGEDRFIVVGTGSTQLFQAALYALTHTDKPDDEILPTSVVAAAPFYSSYPEETDFQRSKLYKWAGDAYSYEGEGAYIEVVTSPNNPDGAIREAVVNREGGKLIHDLAYYWPQYTPITRKADHDIMLFTFSKATGHAGTRIGWAIVKDKEIAMKMVKFMELSSIGVSKESQFRAAKILEVISEDCLNPEPNKVVNFFEYGQVVMSERWEKLREAVKASGAFSLPKYTQNFCIFTGKDTDSNPAFAWLKSKEGLNCDDLLREHKIVTRGGKNFGVCPSLTRISMLSPDEEFNLFVERLAAIKGSNSNGSTNY >OMO78308 pep supercontig:CCACVL1_1.0:contig10603:900:9520:1 gene:CCACVL1_14496 transcript:OMO78308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSLVVVETDRHENDLPVSTIKDQWQVSYARFIAYPSLPSTCPSLVLLARKNHHRAPVGNWIATSLPAASLQIINGLSGSETILSVCLSEKILEEHYISKLHFSWPQVQCIPELPARGSRAVFVSYKDSDDEIQKFALRFSTLPEAESFMKALKENLKGEYDIEPLDRDFGSEFSTQSEFISSNGLASRGCKELSDMTPSDSYTPQIDPSLSYEIEQQSFDKDAMLSDNSKDNFPAMPPSFTSLLTDCYSTAKQAANQPTVSAEIDLKSQIMKYMEDSSFQGEATMYPFACSDDIKQCNALLYHVDSNIGKDQVGSYYSVDSSTFRPISHGNSKDFLIPVTCSCEDLGNGTKAYFYDATYNVQKDDTFFEVSARIYDGQALQLGGEEHNFNPGNKVTMHLLCGCIESQTKTIVTYTVQRNDTLINIASLLSSNVNDIQSLNGNLTKNPDDILTGWVLHVPMPNDRIPAAPKRGRRFKWTVLVVILSALTALSTIALLIILIWRKKSKRANEEDQKPVSKSISARAFSLQNPSLYTENMGDVTIIESERPVIYSQEEIEEATFSFDETKKIGSGGYGSVYFGVLGEKEVAVKKMRSNKSKEFFAELKVLCKVHHINVVELLGFASGDDHLYLVYEYVQYGSLSDHLHDPLLKGHQPLSWTARTQIALDAAKGIEYIHDHTKARYVHRDIKTSNILLDNGLRAKVADFGLAKLVERTNEEELIATRLVGTPGYLPPESVMELQVTPKTDVFAFGVVLAELITGQRALVRDNREPNKMKSLIVVINKVFEADDPESALKEVIDGNLRSSYPMEEVYKMAELAEWCLSDEAVSRPEMREVVVRLSQIVMSSVEWEASLGGNSQVFSGR >OMO78310 pep supercontig:CCACVL1_1.0:contig10603:15199:16847:-1 gene:CCACVL1_14498 transcript:OMO78310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRKVKKGKMVACSTSKKTYLPDEVIIFHILSRFSVNSLGGIMRRVCNTWADAIRTPHFAQTHLQHAKPGLFVQDRNNPGGARFLQFKDNGEMEITHMNPDQPYPGLILSSCEGLSVFSRPDKGQWSSLEQKYHTWDKLLYVANPVTMDVVVQVPNCITSSHKYYHTYGKQMRRL >OMO49863 pep supercontig:CCACVL1_1.0:contig16372:1104:1178:1 gene:CCACVL1_30780 transcript:OMO49863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKTIQNSPNGPRKA >OMO57200 pep supercontig:CCACVL1_1.0:contig14430:20617:25537:-1 gene:CCACVL1_25921 transcript:OMO57200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class 1a, anticodon-binding protein MDNSEQESNQKKPQEPMGDVKKLRDRFGVYNTMSKKKELFKPKVDGKVGMYVCGVTAYDFSHLGHARAAIAFDLLYRYLQHLEYEVTYVRNFTDVDDKIIRRANETGEDPLSLSDRYCKEYNVDMADLQCLSPTHEPRVSEHMEEIEDMITQIIEKGFGYVVDGDVFFAVDKFPNYGNLSGQRQENNRAGERVAVDSRKRNPSDFALWKAAKPGEPSWDSPWGHGRPGWHIECSAMSARYLSFRFDIHGGGLDLIFPHHENEIAQSCAACEESGVSYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRYFLINAHYRSPLNYSVVQLEGASEAVFYIYQTLKDCEDALSQLQEECIKDGKKPQTPPDAKGCIEKLQKEFQDKMSDDLNTSLILTGAFLDALKLVNNLLTMLKKKQQKQKRLSSIQSLIEVEKEVKKVLDVLGMLPPYSYAEVLQQLKDKALIRAGLTEDDVLRTIKERVEVRKNKDFLRSDQIRAELQQKGIALMDIGTETTWRPCVPVQPEPEVVAVGEPKALAEPAE >OMO57196 pep supercontig:CCACVL1_1.0:contig14430:3612:3677:-1 gene:CCACVL1_25917 transcript:OMO57196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSERRPVTWDVTLVSLQLYL >OMO57198 pep supercontig:CCACVL1_1.0:contig14430:14423:16971:1 gene:CCACVL1_25919 transcript:OMO57198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrogenase, E1 component MFQRFESTIAEKQLASVKNGEEDSQVLDFGGGKVTFSPEMRFTSESPSVNNNCYRVLDENGQLIRHSNFIQVSEEIAVKMYSSMVTLQTMDTIFYEAQRQGRISFYVTTIGEEAINIASAAALSSEDFVFPQYREPGVLIWRGFTLQEFANQCFGNKADYGKGRQMPIHYGSNKHNYFTVSSTIATQIPHAVGAAYSLKMDKKDACAVTYFGDGGTSEGDFHAALNFAAVTEAPVIFICRNNGWAISTPTSDQFRSDGIAVRGQAYGVRSIRVDGNDALALYSAVHTARKMAVNEHRPILIEAVTYRVGHHSTSDDSTKYRPADEIEWWKMARDPVTRFRKWIESNGWWNNEAESELRSNVRNQLLLAIQVAEKVEKPPVMDLFTDVYDDLPSNLREQEILLRQTINRHGQDYPADVPV >OMO57201 pep supercontig:CCACVL1_1.0:contig14430:26272:29183:-1 gene:CCACVL1_25922 transcript:OMO57201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase KVQKALLQSDVQSKNDRSPVTVADYGSQALVSYVLQQEFPSEFSLVAEEDSKDLRKDGAQELVERMTKLVNDSLASDGSYSVTLSTEDILKYIDSGKSEGGPEGRHWVLDPIDGTKGFLRGGQYAIALALLDRGKVVLGVLACPNLPLTSIRDQQSPNDEVGCLFFAEIGGGTYMQPFDGSSAVKVQVTAVENPEEASFFESYEALHSKHDLSSSIAEKLGIKAPAVRIDSQAKYGALSRGDGAIYMRLPREGYREKIWDHAAGCIVVTEAGGVVTDAAGNPLDFSRGKYLDLDTGIIVTNQKLMPLLFKAVRESLEEKASSL >OMO57199 pep supercontig:CCACVL1_1.0:contig14430:18151:19027:1 gene:CCACVL1_25920 transcript:OMO57199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34Ae MVQRLTYRTRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLPRNRRTVNRPYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKLASKS >OMO57197 pep supercontig:CCACVL1_1.0:contig14430:9263:10260:1 gene:CCACVL1_25918 transcript:OMO57197 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEEDGSSMKQLPPGFRFCPTDEELVLHFLYPKALLLPNNCQYPNFLPQLDLHQLLPWELHGKALLSGNQYFFFSKIRMGNYGVCSREINGYWKELLDMEEPIFNNGAAAGTKVGIKKLFVFNIGEEAPFAIQTNWLMQQYHLCYDHLQGNNKLADSTWVLCRVHESTNKGNSQSMINYSDEDEGTELSCLDEMFLSLDDDEDLDDITSMRLFGM >OMO55593 pep supercontig:CCACVL1_1.0:contig14659:1115:5546:-1 gene:CCACVL1_27162 transcript:OMO55593 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSLMQQLVGVVDRQQRFQENHAGYRSGLTDFVKLAPPFEGSSIDPLDAEKWIKEIERAFAAQAVSDEQKIPFASYQLKGAASDWWQSVENLLEKPILWDVFKREYYKKYFPNSVRLQMQGEFYSLKQGSRTVSGYEMEFSRLMRFAPDSFKNDDVAKAQRFLFGLNPRLQHEVKSFELTTYSDVVNKAKLLEEGHELIATSENKKRPWTGNSNFRGHNAGGGGKKQQTVQTNNRVQQSSGGNCWRCHGNHDPKDCRWLSGACLICGEMGHRAASCSRARPTIEFCYNCGQKGHKSFECPQPKKCASVGQTSTPAAAKNGNQKPKASNTVVTGMVLVSSVYALTLFDTGASHSFVSPAFVEKLGVIVEPLDFEFVIDTPTGVDVLVNQVRKSCIVVIEGVSLPADLVVLDMHGFDVILGMDWLDKYYAILDCHRKRIDFRIPDFEEFSFVGSPAKSPPRIVSMLQAKRLLKSGCLGFLVSVQNNLDGELPSLNSIPIVQDFSDVFPEDLPGLPPDREGAPVLFVKKKDGSMRLCIDYRELNKVTVRNRYPLPRIDDLFDQLKGAQVFSKIDLRSGYHQLKIKVEDVPKPAFRTRYGHYEFLVMPFGLTNAPAAFMDLMNRVVKDYLDKFVVVFIDDILVYSKSMEEHGEHLRLVLQILREKKLYAKFKKCEFWLDSVAFLGHVVSKDGISVDPEKGKAIVEWSRPTNATEVISFLGLAGYYRRFVEGFSSIAMPITELTRKGAKFEWTKECEKSFKELKERLTSASVLTVPGGSGGFTIYSDASKKGLGCVLMQNEKVVAYASRQLKPYERNYPTHDLELAAVVFALKIWRHYLYGEKCEIFTDHKSLKYIFTQKEINMRQRRWLELLKDYDLTISYHPGKANVVADALSRKNHGNLAALLTSQRSILDDLRRMEIGVRKHGTEGMLASLRIQPTLIERIKEAQLVDSALQKVRANIETGVPSDFRIHDDGSLRFDDRLCVPNDVEIKKVILDEAHYSGYTVHPGGTKMYRDLKETYWWNNMKREIGEFVAQCIVCQQVKVEHQRPAGQLQPLPIPEWKWEHITMDFVSGLPRSPRGHESVWVIVDRLTKSAHFIALKVGYSLEKLAALYVQEIVRLHGVPVSIVSDRDSRFVAEFWGSLHKALGTKLNFSTAFHPQTDGQSERTIQITRRHASIQMAPYEALYGRKCRSPVCWDEVGERKLLGPEIVQQTVDKIQLIRERLRTAQSRQKSYADIRRRTLEFDVGDHVFLKVSPTKEVIRFGVRGKLSPRFIGPFEILEKVGEVAYRLALPPSLSGVHNVFHVSMLRKFTPDPNHVIELAPLPLRADLTYDEQPIKIVDRKEQVLRRRTIPYVKVQWHNHSEREATWELESEIKEKYPELFETNDT >OMO79743 pep supercontig:CCACVL1_1.0:contig10380:11560:14400:-1 gene:CCACVL1_13455 transcript:OMO79743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MEMEKESELVRRCMESACESRESVEKWRRQRRTLERLPSHLSQSLLQNLLRRRLLFPSLLEVFKFSVEEIDLRGESYVDSEWMAYIGAFRYLRFLNLADCHRINNSALWPIVGMTCLKEVDLSRCIKVTDAGIRHLMSISTLEKLWISETGVTASGVALLSSLKNLSVLDLGGLPVTDTALNSLQALTKLQYLDLWGSKISNKGTMVLQRFPKLSFLNLAWTNVSSLPNLPSLECLNMSNCTIDSIFEGDGDKPLLVKLIFSGATFTNEAEALLYIETSVLSFLDLSNTSLNQFSFLPDMKLLEHLDISSSMMGDDSIDLIISIGASLKNLNLGGTRVSSTGIGLLAEHVPKLEILSLSHTSIDDVALSYISSMPSLKVIDLSNTNIKGFIHQPGTELHIDSTLTALQSLSCLESLNLEHTQVRDQYLYPLSSCKELKHLSLKCASLTDATLHHLSSLPKMTNLHVCEAVLTNTGLDTFSPPPTLRVLNLMGCWLLTEDAISLFLRKHPQVEIKHEVIQILLTEQQTFSSHASPSKPSLKSSRGNRKQGKEPISQFFVDQRLKYSRDELLALQFTPLSLKSAHGTGTGVPNMQ >OMO79742 pep supercontig:CCACVL1_1.0:contig10380:4647:10499:1 gene:CCACVL1_13454 transcript:OMO79742 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MAEKGSYIIEVEGGKPACDGKPSIGPVYRSSFAKDGFPAPIPGLESCWDIFRMAVEKYPNNHMLGRREIVNGKAGKYVWQTYKEVYDIVLKVGNSIRSCGVEEGGKCGIYGANCAEWIMSMEACNAHGLYCVPLYDTLGAGAVEFIICHAEVSIAFVEEKKINELFKTFPNATKYLKTIVSFGKVTPEQKEEAEKYGLAIYSWEEFLQLGENKQFDLPVKKKSDICTIMYTSGTTGDPKGVLISNDSIVTLTAGVKCLLESVNEELTAKDVYLSYLPLAHIFDRVIEELFILHGASIGFWRGDVKLLVEDIGELKPTIFCAVPRVLDRIYSGLLQKISGGGLLKKKLFDVAYSYKFFNMRKGQTHGEASPICDKIVFNKVKQGLGGNVRLILSGAAPLSAHVEEFLRVVACCHVLQGYGLTESCAGTFVSLPNELSMLGTVGPPVPNVDICLESVPEMGYDALASTPRGEICIRGNTLFSGYYKREDLTNEVMVDGWFHTGDIGEWQPNGSMKIIDRKKNIFKLSQGEYVAVENLENIYGVVSEIDSIWVYGNSFESYLVAVANPNKQALERWAAENDVTGDFESLCQNPKAKEFILGQLSKIGKEKKLKGFEFIKAVHLDPVPFDMERDLLTPTYKKKRPQLLKYYQGVIDNMYKSINKPNA >OMO67743 pep supercontig:CCACVL1_1.0:contig12397:4425:11841:-1 gene:CCACVL1_20344 transcript:OMO67743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MGTSLHYLRWTKDNVQSSIGAKEKMFHFPWNSLSRKFNINMIGGSALGLTNKSEPCIWDPMIQCILRYWKKNNFLFQLIHRRFKRGSYWKVGPVTVTEVHVTRRKDSSDTLFPPLTSSRDGAAKLTGPEEDLSMVEIDWSLYNFMYLRFARSVCSLIGSSLLLGLSNPYSSHSLRIMIKDPGFVAHESFCSDALDEGSVLRVQTQNESHLLQMRVRYCKSCKTYVQGFDHHCPAFGNCIGQKNYVLFMVLLVGFLTTETFYIVCSSQLAMKFPVMEGIRSGANPMYAIARSTLLFCLLQVLWQAPFLLWHFYCICFNIRTEEWVNWKKYPEFQLIATPIPGPSSVMF >OMO67745 pep supercontig:CCACVL1_1.0:contig12397:20074:20154:-1 gene:CCACVL1_20346 transcript:OMO67745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGPLKLLRMDPMCQKRHPLPKTDCEA >OMO67744 pep supercontig:CCACVL1_1.0:contig12397:13546:17841:1 gene:CCACVL1_20345 transcript:OMO67744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MEEEKGALVQSLIDSVNQIASIGDYRCPVKKQYCNLARRLKLLTPMFEEIRESKEPIPEETVKALVSLKEALVSAKELLRFGSEGSKIYLVLEREQIMHKFHELTAKLEQALSGISYENLDISDEVKEQVELVLAQFRRAKGRVDAPDVELYDDLLSLYDKSNDAAADPEVLRRLADKLQLVGIAELTQESIALHEMVSASGGDPGETFEKMSNLLKKIKDFVQTENPNMDAPAREKNLPPSSSGQATTDGNHKTPVIPDDFRCPISLELMKDPVIVSTGQTYERACIEKWLEQGHGTCPKTQQTLTSPALTPNYVLRSLIAQWCEANGIEPPKRPSSSRPSKTTSACSPAERTKIEILLRKLTSSNPEDQRMAAGEIRLLAKRNADNRVAIAEAGAIPLLVSLLSTPDSRTQEHAVTALLNLSICEDNKGSIISSGAVPGIVQVLKKGSMEARENAAATLFSLSVVDENKVTIGGSGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVVPTLMRLLTEPGGGMVDEALAILAILASHPEGKAAIGAADAVPVLVDVIGNGSPRNRENAAAVLVHLCSGDQQHLAEAQELGVMGPLVDLAQNGTDRGKRKAAQLLERMSRFVEQQKLAQVQAEAQAQQSQSQTQTQTQQPRPPSVANTVDS >OMO67742 pep supercontig:CCACVL1_1.0:contig12397:2111:2551:1 gene:CCACVL1_20343 transcript:OMO67742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTFSSQYDQPSYSTSGFFSSNLFAASPSAAATPSKQMGYVRSDKVYLVRDNLMLEPMSYTTSNMLLKAAGSPEEKPVTIDYQKGLQLVKAIFESETVLTDVFLKK >OMO76503 pep supercontig:CCACVL1_1.0:contig10892:1068:7874:1 gene:CCACVL1_15620 transcript:OMO76503 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MLMMEEGNYNGTDGLFTSGDPAADADFDDFGSDGLCIDLDTFNRILEEDDPNPPQSNQYDPSCRSMSQGDSAPESIQFQSGLQFSENSSFQDVLRGNSDTSDARTGPVDGSLDYHGKLELSAQNCSPVQASSASFKEWYSTCQGTSYTERVGMSLLEMPSCSTASSFAEMDGNQVLDHGDNLNFDLVDDKPGMQFKNTAEEFNYNDAMHSPATENINPIYDHYGGFRANTLETPEASENMVARSMEFLSFGADMSSHNVSSIESTICHGSDVVSDVSDPCSIRPGCMNGDDAYYTDSSSQVLPSSSNFIFEESMEGEVVEVQMTDFFDVKRQCFEHGDNGHVSRACGNLSYANDGLSDDKRLLHPSNPSQPWLYNDKQTCVVNEDNTSFNIFSHSAEPIDKADWGKLMRRRAVEEGFKLLSDISPHTSNLEFTVKGNEVHHYCQGLNLNVGSHSSFGGGHMDLTSSEQYIPSSYPITSTKMQLGIFGDEKENKLITPRSLSLSKVSPESTHSNSSDCRSHVDNDPDLCILEDISQPARLNQSLVLAKKTSISPNTTYSNPLHNSGVGGVKSKGKDERLIFRVALQGLSQPLSEATPPDGVLTVPLLRHQRIALSWMTQKERVNSHCLGGILADDQGLGKTVSTIALILKERAPSSRASQDMEKVEPETLTLDDDDDDDGDVKMKQESDSNEVMSNGNSKESSSPSGQAKGRPAAGTLIVCPTSVLRQWAEELHNKVTSEANLSVLVYHGSNRTKDPYEIAKYDVVLTTYSIVSMEVPKQPCVDRDDDEKGKLAGDNALSMDFPLSKKRKFPPSSNKKGLKHKKKVDESLLDSASRPLAKVAWFRVVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLKYEPFSAYKTFCSSIKFPITKNPAKGYPKLQAILQTMMLRRTKGTLLDGKPIINLPPKVIEMKRVEFTKEERDFYSRLESDSRAQFKEYANAGTVKQNYVNILLMLLRLRQACDHPLLVSGFDSNALWKSSIETAKKLPQEKITFLLRCLASLALCGICNDPPEDAVVTVCGHVFCNQCISQHLSGDDNQCPATNNCKVRISASSVFSSAALTSTLSGQPIQESSPDCPDSQVDEVLGPRVEDGCHGSSKIKAALEVLKSLAKPQDHRLKSSGCPEGSSDVHSGDTPNGSPDEKNMVTGESLNDSSKVLGEKAIVFSQWTRMLDLFEVGLKSSSIQYRRLDGTMSVAARDKAVKDFKTLPEVSVMIMSLKAASLGLNMVEACHVLLLDLWWNPTTEDQAVDRAHRIGQTRQVTVSRLTVKDTVEDRILALQQKKREMVASAFGEDETGDRQTRLTVEDLQYLFMA >OMO76504 pep supercontig:CCACVL1_1.0:contig10892:8596:9546:-1 gene:CCACVL1_15621 transcript:OMO76504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MEQEEDVHYWGDIPEEEYYKQQGIKCTKSYHTSPRGLSLFTRSWLPISGNPRGIIFGVHGYGNDMSWTFQSTPIFLAQKGFAVFALDMEGHGRSQGLRCYVPNVDLAVQDCLSYFNLIKQDPNFNGLPCFLYGESMGGAICLLIHFADPKGFQGAILVAPMCKISDKVRPRWPIPQVLTFMSKFLPTLAIVPTEDLLHKSVKVEEKRIMGDKNPLRYRGKPRLGTVVELLRVTDYVNQKLCDVRISFLIVHGSADVVTDPEVSRALYREAASEDKTLKIYEGMWHSLLFGEPDENIELVRGDILSWLNERCNTKSG >OMO76505 pep supercontig:CCACVL1_1.0:contig10892:15712:18973:1 gene:CCACVL1_15622 transcript:OMO76505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MAFGLMKLAFFFTLAFVSTISVVKGKYVPELAELIYDNNKDPSLRIELKGILLGNPETHDAEDWRGLVDYAWSHAVVSDETHKIISESCDFNSNDTWSNEDCSEAVDEVLKQYNEIDIYSLYTSMPRILGGYDPCLDGYAKAFYNRLDVQKALHVTDGHHTRNWSICNQKIYDDWKDSKPSVLPIYKKLIAAGVRIWVYSGDTDGRVPVLSTRYSLSTLGLPITKAWRPWYHQKQVSGWFQEYKGLTFATFRGAGHAVPCFKPSSSLAFFSAFLLGQSPPSAR >OMO83325 pep supercontig:CCACVL1_1.0:contig09903:4632:7415:1 gene:CCACVL1_11431 transcript:OMO83325 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MGSCCSSAKGKSGSQRDDQVTDASTATTTTCSSPTKQKQRQGSGRWRKKSSGLVPMDEAELRLISGRMFLNGSSNVACLYTQQGKKGTNQDAMLVWENFSSRSDTIFCGVFDGHGPYGHMVAKKVRDSLPIKLCTQWRSSLAGEQSSLSKSESAPDSTLSEDAASMSMDDEFCEPLEVEENEQIPEMYLPLKQSMLKAFKLMDKELKLHPTIDCFCSGSTAVTLVKQGQHLVIGNVGDSRAVMAVRDKDNSLVAIQLTVDLKPDLPREAARIQQCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDIFYRHLTERDEFIILATDGVWDVLSNKEAIDIVASAPSRATAARALVDCATRAWRLKYPTSKNDDCAVVCLFLEHLSASNGAVEENHTTNLPHESGDGMAGVDDNKIVSHAVSLVRSGTFESSTEIVPAPESTDERLSSKFPGQSRRSLAECISVADDEEWSALEDFAGTGFERG >OMO55022 pep supercontig:CCACVL1_1.0:contig14811:5116:6099:-1 gene:CCACVL1_27438 transcript:OMO55022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQNTHLVEGQPIDRPPLFDCTNYELWSTKMATFIKAYDYEVWDVIMDGPFVPTKSSNARRKKSAKARSELSMEEKRKVQVNFKAINVLHCALSQSDFDQISTCSNAKEIWEKLKKDYSTPKVEASKAKVETNLCLMAKEDEIEVISNCPIVHYDNDDMQYDYDNLCDEFDKLILKYKVLKKKANALHDDLEKIKVEFQIVFDDRNMLQVELEHARNDYDALKLDLESKSKALQETLVENSTLKLTIDELSKLNLKNDVGNACHKCSHHASHVHCYACGRRGHLSYDCYHKGGNHPRTKMIWVPKGSHVLTNPRGPIKVWVPKIQT >OMO55024 pep supercontig:CCACVL1_1.0:contig14811:22824:23713:-1 gene:CCACVL1_27440 transcript:OMO55024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIKTTSEAADLAVDEMHGFGPWMLVKSKKDKKKYFSNVLPNEPVNIKKVTTGNFSKDNSNLTLPKPMSSAPKSSSLFSIPDISPSVIIPKISSAQDIMGKRDLISSALPTPILNSCAPFSVASEVSQTQAENEEEPQEVLQREEQRAPDAIQAVSSAERGGAPTTQQPTKRANARAVGIFAGTAPPTEPVTNRVNKPVFCLPSQEGDSPQSSPIGGGGGGGRRKRSVSSSFNFGECHSRGN >OMO55023 pep supercontig:CCACVL1_1.0:contig14811:10358:17426:1 gene:CCACVL1_27439 transcript:OMO55023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MKGENQGESEKETFDPEKHIETYLPVRVHEQRVSNLLQSLLVAASICAMPIIKLIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFITPGRRYKVLERVHASFVESVPYRYIVMFTLFQFVYFLICFGMTCIPIVGILFPLPFFLLIGIRQYILPKLIKPNYLQELDAAEYEEVTGVPRSAPSRVKSLRKKQPQKSLPPGHMGWPLIGNTIAFIRAYKSQNPDSFLNNLKLRYGETGIYKTNLFWNRSIIVCNPELCRKVLTDDEHFISGYPIPALILGGKKFDFISSSGHKRFRRLTAGLNGHESLSTYIRIVEEIVISKLEEWGGKINQPIDFQSEMNKIAFKVIMTIFLGSASDDLVIDSMEKYYKELVNGLLSTAVNIPGFAFHKALKARKMLVKSIKDVLVDRRDKECNGKKGLIDLIKEIEDEEGEKLDDELIVILLLVFLVAGNESVGRAATWATIYLHQHPQVLQKAKEEQEEIMQRRPNSNQGGLTLSEVKQMKYLSKVIDETLRIRTNIFGLFREATKDIELNGYLIPKGWKVLVWHSAIHMDPEIYSNPKEFLPSRWDDLRPKAGTFQPFGAGSKTCPGADLAKLEISVFLHYFLLNYKLEQLNPGGPVDYLPSPDPVDRCRAKIVKLH >OMP11816 pep supercontig:CCACVL1_1.0:contig00800:1713:2979:-1 gene:CCACVL1_00245 transcript:OMP11816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRDSPRGRVLRSETPNDRQAQKHCLLMKDIPVPLCTKVYYSQRNNYLRSTLSQPFRVTSTEESCSDMVSAQASQQSMMRLQGSSQEVCALEQMDNLLIKKRDLSPLQNPDQVLVQSSEVCLSKSGEYLPSNDYSNQHPMDNSSRSQAASVGLTRSKYISNPYSFAGNSGKLLAPMQPPNGSVPVV >OMP05081 pep supercontig:CCACVL1_1.0:contig05534:9912:10883:-1 gene:CCACVL1_02064 transcript:OMP05081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MSHPIHQANENSPYGDLTREEFYQKHRIKHLESFMLNKRNMKVFTQSWRLVPDPDDHDKGNISPTLRGLVAMVHGYAMDSKWLFELTAIAIAKCGFLVCALDLQGHGLSDGFPGHIPNVECVIEDCIQFFDSIKFENPKLPAFLYGESLGGAIATLICLNQKNEWDGLILNGAMLGISPKFKPAGAWLLPVAAFLAPTWKVAPVKPNASKFYKEEWKRKLAAKNPNRRTSRKPTTATALQFLRLCECIRLRCHELELPLLIVHGEDDRVCDCSSARLVYESAGSHDKTFKSFPGMAHMLIGETEENVELVFGTIFAWLKDRGA >OMP05080 pep supercontig:CCACVL1_1.0:contig05534:3796:4758:-1 gene:CCACVL1_02063 transcript:OMP05080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MSHPIHQANENNPYGDLTREEFYQKHRIIHQESFMLNKRNMKIFTQSWRLAPGPDDEKGMSLSMRGVVAMVHGYALDSTWLFELTAVAIAKCGFLVCALDLQGHGLSDGFPGHIPNIKHVIEDCIQYFDSMRHENPKLPAFLYGESLGGSIALLISLNQKNEWNGLILNGAMLGVSPKFKPAGAWLLPMAAFLAPTWKVASVKPHASKLYKEEWKRKLSANNPNRRTSRKPTTATALQFLRLCKYIRLRCHELEVPMLIVHGEDDRVCDCSSARFVYESAASRNKTFKSFPGVAHMLIGETQENVDLVFGTIFAWLRDRS >OMO62285 pep supercontig:CCACVL1_1.0:contig13286:79126:81850:-1 gene:CCACVL1_22913 transcript:OMO62285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta subunit-like PLP-dependent enzymes superfamily MVLWCKYCSTYLLFKESDGPHKIQGIGAGFIPGVLEVNLLNECVQISSEQAIETAKQLALKEGLLVGISSGAAAAAAIEVAKRPENAGKLIVVVFPSFGERYLSSVLFESVKKEAESMQFEP >OMO62287 pep supercontig:CCACVL1_1.0:contig13286:93157:100908:-1 gene:CCACVL1_22915 transcript:OMO62287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MGVPAFYRWLADRYPQAIADVIEEEPREDAKGNQIPVDVSKPNPNGMEFDNLYLDMNGIIHPCFHPEGKPSPATYDDVFRSIFDYIDHLFSLVRPRKLLFMAIDGVAPRAKMNQQRSRRFRAAKDAAEAAAEEEKLRKEFEAQGQALSPKEKPETCDSNVITPGTPFMAVLSVALQYYIQSRLNHNPGWRNTKVILSDANVPGEGEHKIMSFIRLQRNLPGFNPNTRHCLYGLDADLIMLSLATHEVHFSILREVITLPGQQEKCFLCGQVGHLAAECRGKPDNGQDCNVVDDTPIHKKKYQFLNIWILREYLQYDLDIPDPPFEINFERIVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMQVYKREFSAMGGYLTDAGEVLLDRVECFIQSIAIHEDQIFQKRSRIQQAYENRTRGESSEEAPPPVVDKIKLGEPGYKERYYAEKFDLSNPEKIEEVKRDVVLKYVEGLCWVCRYYYQGVCSWQWFYPYHYAPFASDLKDLADLEITFFMGEPFKPFDQLMGTLPAASSGALPEEYGKLMTDPSSPIYNFYPSDFEIDMHGKRFAWQGICKLPFIDEKKLLTATRKLENTLTLEEQFRNSVWLDLLYIHPLHPLASQVISYYQICHRLAPHERFVWPIDTNASGGMNGYLWLSERNCWLSVFPSPVKGLPPIQPNQVLNVTYLNPSRHSHIPELPQGVHVPKKVLTPLDIKPFPVLWHEDNGGRRQHGRDRPRVPGAIAGPQLGEAAHRLVKNTLNIKSNGSSSGWSEQPPFFNISSNYMNMRPRPAGPSGYERGLFDDPNHYYSNRPRPAGPSGYGRGYSDDPNYYGQYSIPQGAMGNPRHPLSNDLRNAMSALTFDASAGGRSNMEMSTRTPTSGYAPNLGHRSEQNSGALPTPPPKWINYPMNVNTGTNLKQEYASSGAQEKQMKKVYQIKTRPQEMTGPVNQQ >OMO62288 pep supercontig:CCACVL1_1.0:contig13286:103516:104853:-1 gene:CCACVL1_22916 transcript:OMO62288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQYDGVFEESQDDDEEPSSSDNDLDENDDVLNGNDDVLNDEEDEELLEEEEDINSPSLLPQTPVAAVSSAVSSVAIPVVPVAVPSATAVTIAAVSTDESPPDSKRQRVESAITEKKPAPPSQFDESRRLFQRLWTDEDEIELLQGFLDYSTSKMTSNSSSSHHHHHDTALFYDQIKSKLQLDFNKNQLVEKLRRLKKKYRNVMNKISSGRGISGLAMNAMPLNFGGSLNFGVGGANGELMDERWRRQQILELEVYSKRLELVQDQIKAALEELRSMGG >OMO62286 pep supercontig:CCACVL1_1.0:contig13286:87276:87964:1 gene:CCACVL1_22914 transcript:OMO62286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubredoxin-type MASATTRLTTTFSFPHLTQPSPKPQKPHLFFLSPKPLPLIIIRFKPQKKHLHFPINSIDVSKEDSSQQQDTPTSNQPQEETQPQEEEEVQFDKRRLEEKFAVLNTGIYECRSCGFKYDESVGDPSYPIPPGFQFDKLPDDWRCPTCGAAKSFFESKSVEIAGFAQNQQFGLGGNTLTSGQKALLIYGT >OMO62277 pep supercontig:CCACVL1_1.0:contig13286:1586:8096:-1 gene:CCACVL1_22905 transcript:OMO62277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKREKMKHIFKKLHIGSSHDPNRTSTTDASPSPSQSCAADHQRTMSGNSPTSPSTTTTSSPPMTTTMAPVTTSMGLSTPSQVAAENRAADYMLSEEEFQVQLALAISASNSDDPEKDQIRAATLLSLGGHHRMDLGLGRDKGDVSAESLARQYWEYNVLDYEERVVDGFYDVYGLSTDSGIQGKMPSLADLEANHGNSGFEVVIVNRTIDPALEELLQIAHCITLDCPATNVGVLVQRLAELVTGHMGGSVKDANIILAKWMERSTELRTSLHTSVLPIGSINIGLSRHRALLFKVLADSINLACRLVKGSHYTGVEDDAVNIIKLDDEREFLVDLMAAPGTLIPADILSAKDTTFKPYNPIIGNMPAFNSSNDCGVVYSRIKPLNGEGSSQSPALNSTQSLDWGSSSGTAESLPPLSGPSGDSGVGSSGLSNRVTPNQFDHLPSTAVGTSVYKGSRGANAVGDGMRMNVNVVPYGQSNQEDPKNLFADLNPFHIKGTGKSSLQNKPTETKVDEFQRQRNNVVVGRPPVPLMYKNRPAFNEVPQKKEYNYMEGLFPKIIREPNDFNQSSSASTSSTKPEKVYPHGFKSPGDFDMSNRDNKVRSSSSGAGSSLAPNTSQFNNSPLVEDTDTKFKEDNLMNGQNSQNNTGDLANEQDNEIGFHDYRQYTQERYIGNNIRLMDAESPSSSVDSVRPKVDQLFDDVDVGECEIPWEDLDIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFSGAALAEFKREVRIMRRLRHPNVVLFMGAVTRPPNLSIITEFLPRGSLYKILHRPHCQIDEKRRIKMALDVARGMNCLHTSTPTIVHRDLKSPNLLVDKNWTLKVGDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLRLPWSGMNPMQVVGAVGFQNRRLEIPKEVDPLVARIIWECWQTEPNLRPSFAELTVALKPLQRLVIPSNQDQSSPPLPQEISVNSTP >OMO62276 pep supercontig:CCACVL1_1.0:contig13286:505:1038:1 gene:CCACVL1_22904 transcript:OMO62276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIDLSRITLRPFKLEDADDFLLFAGDDQVTRYLRWKTLTSKEEALEHIKDVCINHPWRRSICIDDRSIGFVSIFPFSGDDRCRANFGFGVAVKYWGHGIATKAVKMAVPRFFVDFPDVARLEAFVDVDNKASKKVVEKAGFQKEGMLRKYAYHKGKLTDFVVYSFLSSDLPIVEP >OMO62289 pep supercontig:CCACVL1_1.0:contig13286:109934:116538:1 gene:CCACVL1_22917 transcript:OMO62289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Sec23/Sec24-type MATSMPPGAPRQPPPQILSPNFQQKPDSVSDNLQKLNLNKPPQLPNSAPTVPPFVRSPSTPSRPIAPPPGVVPRSNVPPNAGSVVPFGPAGGQPSPFGTRPPPGYLPSSMGGGPVITPGGSGTPPLGGQPGAYVSPPSALGGSIRNGPPVGVPPPMMGTIRSPSQAPSMGSFLARPPVSHPLGPPTSPFSAPLPAPTWPPGQHTAAPGAFQPPGMLGGPPSQPNQYSPIINQTGANLEGSLKNSSNHLPRPIPCSSITLHDTRQGNQANPPPPATSDYIVRDTGNCSPRYMSCTVNQIPCTADILTNCGMQLALLVQPLALPHPSEDPIPVLDFGESGPIRCSRCKGYINPFMKFIDHGKRFICNFCGFVDNTPHEYQCNLGPDGRRRDADERPELCRGTVEFVATKEYMTQDPMPAVYFFLIDVSMNAIQTGATAAACAAINQVIADLPDGPQTKVGIATFDSTIHFYNLKRALQQPLMLIVPDVQDVYIPLETDVVVQLAECREHIDQLLESIPSMFEDNRTAESAFFAAVKAAFLAIKSTGGKLLVFQSVLPSVGMAALSARDDEGRSNISAGDK >OMO62279 pep supercontig:CCACVL1_1.0:contig13286:16797:17771:1 gene:CCACVL1_22907 transcript:OMO62279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seed maturation protein MSQEQPERPQQLQEPIKYGDVFSVQGELAEKPVTPKDAAMMQTAENSLLGHTQKGCAAAAMQSAAMQNESAGFVTHDDLNAEAGVTVKETDLPGKRIITESIGDEVIGQYSQASPLTSSGPFSASGGADSGAITIGEALEATALTAGKKPVEWSDAAAIQAAEVRATGRTSIMPGGVAAAAQSAATLNARATRDEDKTKLGDILSNATAKLPSDKPATRRDAEGVTGAEMRNDPNLTTYPAGVAASVAAAARLNQSHKS >OMO62280 pep supercontig:CCACVL1_1.0:contig13286:18445:33283:-1 gene:CCACVL1_22908 transcript:OMO62280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAVLESDLRALSFEARRRYPAVKDAAEHAILKLRTLSSPSEILHHEDIVRIFLMACEVKTVKLSVIGLSCLQKLISHDAVAPSVLNDILPTLKEHAEMADETVQLKTLQTILIIFQSRLHPESEENMAQALGICLRLLENNKSSDSVRNTAAATFRQAVALVFDHVVHTESLPVDKFGSGTYTSRTSSVTGDVNRSLNNSESLEHNFASGTPSLMRETTTSTGKLGLRLLEDLTALAAGGSACWLRVSSLQRTFALDILEFILSNYVAMFKMLVSYEQVLRHQICSLLMTSLRTNYELEGEVGEPYFRRLVLRSVAHIIRHYSSSLITECEVFLSMLIKMAFLDLPLWHRILVLEILRGFCVEARTLRILFQNFDMHPKNTNVVEGMIKALARVVSSLQFLETSEESLAAVAGMFSSKAKGIEWSLDNDASNAAVLVASEAHAICLATEGLLGVVFTVASLTDEAVDIGELESPRCDYIPSAKCTGKTAVLCISMVDSLWLTILDALSLILARSQGEAIVLEILKGYQAFTQACGVLHAVEPLNSFLASLCKFTISFPNELERRSGVLQSPGSKRPDLIADQRESIVLTQKNVQALRTLFNVAHRLHNVLGPSWVLVLETLSALDRAIHSPHATTQEVSTSVPRLTRESSGQYSDFSILSSLNSQLFESSALMHISAVKSLLSALCQLSHQCMLDTSSGFGPATSQKIGSISFSVERMISILVNNLHRVEPLWDQVVGHFLELADNSNQHLRNMALDALDKSICAVLGSEQFEYHAMSKSDDNTQEVGTKERELRLLESAVISPLRVLYSSSQSIDVRAGSLKILLHVLERCGEKLRYSWPNILELLRSVADASEKDLVTLGFQSLRVIMNDGLSTIPAECLDVCIDVTGAYGAQKTELNISLTAIGLLWTTTDFIVKGSVHGSAEEKEKGVVEVNSVSNKMDGLKGEEQRDDASNDINGKSPSINGVDRDKLMISVFSLLQKLGADERPEVRNSSIRTLFQILGGHGQKLSKSMWEDCLWNYVFPALDRASHMAATSSKDEWQGKELGVRGGKAVHMLIHHSRNTAQKQWDETIVLVLGGIARLLRSFFPFLRTLDNFWSGWESLLLFIKDSIFNGSKEVSLAAINCLQTTVLAHCSKGNFPMPYLVSVLDVYEAVLQKSPSYSGVATDKVKQEVLHGLGELYVQAQRMFDDAMYTRLLALVGLAIKQTVTTSNNCEAEFGQVPHVLRTVLEVLPMLCPAEHLSSMWLIFLRELLQYLPGPDSPSESEEEEEGQASTSDHIPVPSPSSSSASGATVNVPSYVFAEKLMPVLVDLMLQAPAVEKHIIFPEVIQSLGRSMTTRRDNPDGALWRIAVEGFNRVLVDDVSKLAADSGLDLKTSKPARLRIWKEVADIYEIFLVGYCGRALPSNSLSAVTLKGDESLEMTLLNVLGEKILKSPIDAPIEILQRLVSTLDRCASRTCSLPIETVELMPSHCSRFSLTCLHTLFSLSSFDGESSNWNLARSEVSKIAIMVLMTRCDYILKRFLVDEKDIGDRPLPAARLEEVIFVLKELNRLVIHSDSASVLPLHPHLQTGLAEGNPDKRPHLLVLFPSFCELIVTRETRVRELVQVLLKLIAKELTLEKCISGC >OMO62281 pep supercontig:CCACVL1_1.0:contig13286:34422:42730:-1 gene:CCACVL1_22909 transcript:OMO62281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta subunit-like PLP-dependent enzymes superfamily MGLGLGLGLGLGDFVGIASGAAAAAAIKLAKRPENAGKLIAVPGEEAIETAKLLALKEGLLVGISSGAAAAAAIKLAKRPENTGKLIVTIFPSAGERYLSSPLFESLRHEAENMTFED >OMO62282 pep supercontig:CCACVL1_1.0:contig13286:43071:44817:1 gene:CCACVL1_22910 transcript:OMO62282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRYKSYDTRSSTSSHFSDPSSSLELNNSSSGSGNRRPKPSSSSSRALVKAKPLDVVAGQGSRSKPKVDHNLTSMVKRFMDKKSSNKPIGKGQLLIPSDVIAQDLNKTRKGAAFTALQKKLFGKGGSSSADKKEVKALTEVKGNTRTLAMVLRSERELLSANKEQEMEIAQLKLLLQDKNTEVEKLKDLCLKQREEIKSLKNAILFPDVQQLVEKQGSELTQAKQLIPTLQRQVTSLTGQLQCLAHDLAQVKADKYSARACHQRQGSSPRTPRYDREEPSDSLEFSSSDPTTPGSPDHDLFLEDVNPCLTPYYPKTKSKDFDEIRYNLPHNETLSDNNKQTFNELGISCRGKKLSKSSDCYQDSGRGSSMSRANRRSDESTGSYPTQIYHKPF >OMO62278 pep supercontig:CCACVL1_1.0:contig13286:9985:12519:-1 gene:CCACVL1_22906 transcript:OMO62278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAETATNMTVDAQNPQSSMQIDEQRPNQTAEAEEGWHRGAVDGGDCSAGVLFIVLGPCWYTKFRDVGEDRVICSTLTKEYM >OMO62284 pep supercontig:CCACVL1_1.0:contig13286:71901:72122:1 gene:CCACVL1_22912 transcript:OMO62284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHGLKRARSPTTRITRTRPSEPEAPPPEHSYPPPFFSPTRSTSTRTWQSTHTRPRFSLLGLLWPGSSPRIG >OMO62283 pep supercontig:CCACVL1_1.0:contig13286:54303:64384:-1 gene:CCACVL1_22911 transcript:OMO62283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISWYGSGHKLNWLTGIEVAVACARHHGCKMGAETTKERKIGKTITIRMQYCKSDHSAGLGNQLPCSTLVDVKRSIDGGEKRFYQLSIEEQGKFDEETLVNVNNIRRQSTANQKASEFSNEYMVITILVGVKGVLKLPPISGSGDIKEALQKLASIPTSKILAWATQG >OMO87021 pep supercontig:CCACVL1_1.0:contig09359:317:2673:1 gene:CCACVL1_09308 transcript:OMO87021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C14, caspase catalytic MGLQQSMNSTASMDSGRNIICSGCRQRWVVKASNKSAAIVCPSCRINILEFPNEELQANQQQQGLRSRIRNFGHHMKSKMHQGTIIPHNPSLNHESSHPNPPRTCKRAVLCGITYNNWKYKLKGTINDVRNMRELLITRFGYPRECIRVLTEDETDPRFFPTRKNIEDSLRWLVNDCRSGDSLMFFYSGHGLRQPDLNNDEDDGFDETICPVDFLTSGMILDDDLNALIVRPLKPGVTLHAVVDACHSGTILDLSHVYFSREKKWKENFPPPGIDKHTSGGLAISISACLDNQAAVDTSAFNGKMNGAMTYLLAEVLKKFPGPTYGDLFDLIHETFDDINQASCLANSRIIRRIFNNRFTQTPLLSSSHKFDVYQKHLFL >OMO87025 pep supercontig:CCACVL1_1.0:contig09359:17220:17435:-1 gene:CCACVL1_09312 transcript:OMO87025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGWGEADFCFPHLDPMILASNPASALSPDRSLENKINLAFDDGKKKNPMHPTRPDYTILQSQQMIKSQI >OMO87024 pep supercontig:CCACVL1_1.0:contig09359:13859:16135:-1 gene:CCACVL1_09311 transcript:OMO87024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMENSPTTMAPLLIRNMVTSLFIYADKSLLNLSEKYKLLELIRYVAISSFLFFLRILPSLFPSLNPEPEDYDSFKFKPLLQAQTDSHVACACGVGVGDSGIARALSQLLSIVNDIPVSSRKYEIVRSLAERLIEENHREDVQALREVNRTVLSAAFSRTLRQLEAAMAELGEDGSVSGPGELVQYRLGKVLRAVRSVGGGAWTRAGKRREDMNLSGNSAEKLAAELLWLAQKLGGCGFEEEAVERWASASNVARLSLSAEPRLQCSLLKVSAFLFKQAKDIGAEEEESDEQDKKARQRQTKMKMLMSWLPLLCRASNGTDVPALSINERAEVEKVLEESIEMLEQEEQEQVLSLWLHHFTYSPSSDWPNLHASYARWCSTSRNLLLLH >OMO87026 pep supercontig:CCACVL1_1.0:contig09359:20109:20351:1 gene:CCACVL1_09313 transcript:OMO87026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGSCLHHLKKSYPNGFEEAIIASILKETLRGLEYLHRQGYIHRDVKVGNVLLHHDGTVKLTDFGVSACMFEEGDIQHR >OMO87027 pep supercontig:CCACVL1_1.0:contig09359:20434:20853:1 gene:CCACVL1_09314 transcript:OMO87027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIWDYDFGTGPGSCPHLEYSSMKVLLRIMQNALPALYFDDDKKFSKSFKHMVTMCLVKDPSNRPTSEKLPKHSFFKHAKPPQQTLEKLFEHMEPNANDWCTTTSKSKENCAYGFTIARIKSHIEQSFLRVVSDWTFLL >OMO87028 pep supercontig:CCACVL1_1.0:contig09359:21160:21276:-1 gene:CCACVL1_09315 transcript:OMO87028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALFFKAFYFYGINYFIIVLLCFEHALWLPASYVEL >OMO87022 pep supercontig:CCACVL1_1.0:contig09359:9333:9611:-1 gene:CCACVL1_09309 transcript:OMO87022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein C36E8.4 MQPSTVDKTIVEDKLATFRNEFKSELLFEFREMLEAVLAKQQPISKAVESPISMDNNTLPPPCWPLQVPPWLYPAPSSSSPQTSSWVLPRQY >OMO87023 pep supercontig:CCACVL1_1.0:contig09359:11817:13498:1 gene:CCACVL1_09310 transcript:OMO87023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LSD1-type MARRERCSGCGLYLLVPPEAQTIRCAVCQAITVTNTITPVTGNQRHNAHWVQLHDSVLGPAGRPSYPGTGTHQIPYVYVPHRPPQPRPSLSPVSVHGRKRALLCGVNYHGKSYRLKGSINDVKCMRYLLVEKLGFPTDSILMLTEDETDPFKIPTKQNIRKALRWLVYGCQAGDSLVFHFSGHGTRQIDYNQDELDGYDEALCPLDHETEGNIIDDEINDTIVRPLPRGVTLHAIIDACHSGTVLDLPFVCRMNKDGFYMWEDQRNPLFDKGTSGGQAYCFSACDDDQSSADTTAFTGTSTRTGAMTFSFIQAVQNEPGLTYGRLLNAIRNSIRDVKAGLRLNGPIATLFNKVLFGTTSQEPQLTSSEKFDIYSKQFVL >OMO67406 pep supercontig:CCACVL1_1.0:contig12439:35571:36839:1 gene:CCACVL1_20539 transcript:OMO67406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSLEPKATIFPDTTIVVPLKSKPTLNLNIPDSPPPTPGFLPTVTVENKKGTKLRNLYIAGSIVGVFLVLVSLVACGLYVKALKKWKGEKNLQSFTDRNSILSCSTPPSAQTGRSSPRSGQTGRSSTNSCLSPDLLAGIKFSLYNYSIEDIRRATNEFDENSKIGEQIYKGLIDNGDLMIKQMKFEDTKQVIDMHSRINHINIVNLHGVCYGENDFSWSYLVFELPTNGCLRDCLLNQPKRLRWSKRTQIAFDVATGLHYLHYCIFPSYAHMSVNSRNIFVTSKWRAKLANIGSSISTLASSTRNYNDINGLVAPEYPVHGSASEKVDIFAFGVVLLEIISGKEALEGNLLKESIGFLGGGESEGGCFDQLRNFIDPSLEEDYLLAEALCLAVLAKACIEDDPLRRPSMDDILKVLGRMV >OMO67404 pep supercontig:CCACVL1_1.0:contig12439:12323:15160:1 gene:CCACVL1_20537 transcript:OMO67404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic amino acid lyase MDQVSQRKENKSLENVYHNDPLNWNFAADSLKGSHLEEVKRMVNEYRKAVVQLGGETLTIAQVAAVAAAGGDNGGVMVELSESARAGVKASSDWVMDSITKGTDTYGITTGFGATSHRRTMNGAALQKELIRFLNAGIFGSGGTECSHTMPQTATRAGMLVRVNTLLQGYSGIRFEILEAMIKLLNHNITPCLPLRGSITSSGDIIPFAYISGLLTGRPNSKAVGPKGQVLDADEAFRLAGIHGGFFELQPKEGLALVNGTGVGSGLAAIVLFEANILAVLSQVLSAIFAEVMHGKPEFTDNLIHKLKHHPGQIEAAAIMEHILEGSALVKAAQKWHEMDPLTKPKQDRYALRSSPQWLGPQIEVIRSSTKSIQREINSVNDNPLIDVSRNKALHGANFQGTPIGVSMDNTRLAIASIGKLMFAQLSELVNDICNNGLPSNLSGGRNPSLDYGFKGADVAMAAYCSELQYLANPVTNHVQSAEKHNQDVNSLGLISARKTAEAVDILKLMSSTYIVGLCQAIDLRHLEDNLKNAVKNTVSQVANKFLTMGDKDLLEVIDREHVFSYVDDPCNATYPLMQKLRHVLVEHALTLTNNHEELLDNVKLIRKIGGFEEELKRVLPREVENARSGFESGNPTIPNKIKECRSYPLYKFVREGLGTEFLTGDKVRSPGEECDKVFVAMCEGKLIDPLLQCLKDWNGAPFPSLKKKKTLTSGCDLGLFLCL >OMO67407 pep supercontig:CCACVL1_1.0:contig12439:37280:39314:-1 gene:CCACVL1_20540 transcript:OMO67407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase MAKLPTQLLAIIFFIVLVLYSCPARSQEVEDESEFEYHENHGKGPSKWGELHPNWTSCGNGRMQSPIDMSNERVQIVSHLGRLKRSYRPANSTLKNRGHDMMLSWEGEEAGAIEINGTVYVLQQCHWHSPSEHTINGRRYDLELHLVHVSADGKIAVIGIMYKIGRPDSFLSSLVEHLTAITDITEGEKVVGMINPKDIKIGSRKYYRYIGSLTIPPCTENVIWSIVRKVRTVTREQVRLLRVAVHDSSDTNARPLQAINQRSVQLFRPADDEEN >OMO67405 pep supercontig:CCACVL1_1.0:contig12439:15390:30982:-1 gene:CCACVL1_20538 transcript:OMO67405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MANGTRSTRRDKDDEEDNNSKGSLSSGKKFVNSGATTAEASGVRRSPRETPSRKNTTPASSSGTRKSERLEKQSSNSNSLTPPGKRKFERIEKQTDPSPLRRSERSKMASSSGSSGSKKSDKSPDSSEMKRKKEKKEKSVKQLTMDTLEVDKIDKEDKKTDGGTDKKREVDKTDKEDKKTDGGTDKKRDARSYRALLGKDLKSPTTRDHSDNRNRTNGEKREKGLLGEHTERTLERTVMTSASQSVKEALESNTERKRPSTSQNDSDKYMFSNGDSRQVSKSGLAKEVNGDAEKAVLENLQKEKAVCDSAKQGTCSSNTKLNQFFEPSDSKDRRKLNSSSNTGDVEKPCNDMQTHISSTDLQRGDDQNICIVCKVGAKLLCCEGKGCQRSYHLSCLEPPLKEFPLGVWLCPVCVRKKIESGVHSVSEGIEAIWDSRELEASEGLQRQKQYFVKYKGLAHVHNRWVPENQVLLEAPSLVAKYNRRNQGAVWKQQWAVPHRVLQKRLLTTSKESDEQHHHKGHDGHKLDCHVEWLVKWRGLGYEHASWELENAPFLSCQEGQSLIQDYETRRKKAKTASKFDKEHAQERTEVASPCSQLPAGVSSRLNPNLDAVNKLCNYWRKGQNAIIFDDQERIPNVISFILSFLSYNSRPFLIISTSASQYSWEEFFHLAPSADVVVYSGSKEIRESIRSLEFYEERGGMMFQVLIISPEVISEDLNVLDCIGWEAIIVDECQRPRITSCFEQIKLLTARSRLLIVSGQLKDNMAEYLNLLSLLDSQSNSNDSDSLLINSSDVIGILKERLAKYIAYGESPRFVEYWVPVQLSDVQLEKYCFTLLSNSLSLCSPSRTDPVGAVRNILITCRKCCDHPYVVDESLQALLTKDLQMSEFLDVGIKASGKLQLLDAMLSDIKKRELKVLILVQSIGGSGRELMGDILDDFVRQRFGADSYERIESGLTSSKKQSAMNKFNNERQRFVFLLETRACLPSIKLSAIGTVIIFGSDWSPMNDLKALNKITLDSQFEQIKLFRLYSSFTVEEKVLMLSKQDKTLDSNIQSVSPSTSHMLLKWGASYLFGQLDKFHGMPTLDEGSLSEQSYLKDVIKEFFIILDQTEIDNDASMLSLILQAKQNRGIYRTEMPLFGEQRLQVMNEDPPHIFWMKLLEGKSPQWKYSSCSSQRIRKRVQHFDPEAETAEGVKKRKKVVSDCNDLSPKAGLHEDKMAAGDREGNGKRSSESLACGLSHSFSRPAASGSDKVHSTSNSLHLVNNMSQLPALDMAELEDRRKQRDLQKNLHGLLKPQMAKLCEVLHLSEDVKAIVEKFLEYVMNNHRVSREPAAILQAFQISLCWSAASMRKHKIDHKESLMLAKQNLGFTCTKEEADYVHSMLKCLRTLFLYRTGYLKVPESPKAAELSSKAAGRDYSNATFYQQNVKAKNEDMPGFQDSPDIKACAEPGVAREYQWAQMDLVKSIKGIEKKFDKKMTKLLDKQKEERVQFNRKYEEDKAKLENKKRTEAAVIRIHSNVSMRADKLKKLDTEYAGKFDDLEQQRDVHLKNLEELQEAARTNILDQKNRWIEAVKAWAPFELVKPSEMEVNPSGRTSARVIQSASGSEESLSKIIHIDPDEVMACSDPIDRVTVLPRPYKENTEGASVEECNATVSSAGAEQGVCNALSEQAIVGEAPDKGRPIDAPVTVSSGDVIESVPSLRSSDEDRICNGSKLNVTNGNPETVPPDSPDNFISVEAPSCGEIPGGATLIKPDNGEAESVTVISTDDQVNLVIKEAPSSVEVLDLSNLNKVDGQVSLRNPMLANSGEGRESLHSAEAPSSAELPDGDTLSMADGVLLASAPGIVSSSECPEQIMSDNCSPEKQISTGARSNFPDEGVPESTPEIATSSCGMDISVCRDSSSSKEQMPDTAAVSIPTEEVTLAGSETAPNQVLEGSVHRENDAGSSIGIDPQDGVACALNQESEFSEPAVADVSTVQHGPTIDQGGSLPRDQVSPNVGSLPSAPSALQGRDAVNVETQNASQVAESSTSTAAIDVRCDESNLDRPDVELGGQLQPLRSGESTANLSPPNLPSVSAIGHQPNNERQTASQTSQAPRQPVGNHIELSNQDVLLPPLHTPTHGPIGGLVRHVSETRTASVPSVSGAPPVQSAVPALSRVPHPLYSDPLQIEMERIRTETDQTIKNHEDMKLQIKLECEKEIEMVVAQIRRKHEVQLQEKEAEFMHQKKELDVNYNKVLLNKILAEAFRSKCMDNRASGSATVQQEASSTFMQQLAQLSSQQPSAAPGLPSIGSASSIVSPSVVNAQTIGPPLQVVHPPNPTRPRPPPHISSISPSTGNLQTGSEFRAPAPHLQPFRPSGLVQSYSNPFALRQPSASSCQSARVQPETAGGLAPVPSSSLPSSDVSMGMNNVSGANANPPTYMTTLARRESSLPSVPSNPAQQSAPPDIVCLSDDD >OMP06156 pep supercontig:CCACVL1_1.0:contig05057:10729:10815:-1 gene:CCACVL1_01699 transcript:OMP06156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SCPLPERHPHSATSSHFVAGCRLSRSEQ >OMP06153 pep supercontig:CCACVL1_1.0:contig05057:750:824:1 gene:CCACVL1_01696 transcript:OMP06153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARLYSLRQILNRVTRFGTCKIY >OMP06154 pep supercontig:CCACVL1_1.0:contig05057:1925:3709:-1 gene:CCACVL1_01697 transcript:OMP06154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant MADHALVVADTSHALIEQTLVIGQEFPDVETCRRTLKDIAIALHFDLRIVKSDRSRFIAKCSKEGCPWRVHVAKCPGVPTFSVRTLHGEHTCEGVRNLHHQQASVGWVARSVEARVRDNPQYKPKEILQDIRDQHGVAVSYMQAWRGKERSMAALHGTFEEGYRLLPAYFYALTAVEFESKIAEMVEISQEVIQWFQLFPPQLWAVAYFEGVRYGHFTLGVTELLYNWSLECHELPIVQMMEHIRHQLISWFDSRREMGMRWTSILVPSAEKRILEAIADARCYQVLRANEVEFEIVSTERTNIVDIRSRVCSCRRWQLYGLPCAHAAAALISCGQNAHLFAEHCFTVASYRETYSQMINPIPDKSIWKEQGEGAEGGGAKLDITIRPPKTRRPPGRPKKKVLRVENLKRPKRVVQCGRCHLLGHSQKKCTMPI >OMP06155 pep supercontig:CCACVL1_1.0:contig05057:8928:10348:1 gene:CCACVL1_01698 transcript:OMP06155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVATLLSPCSSTIICGLLTKEHSSYPRLFLGVRERILNQQATRCSNLYQKRRLSRRFLVFAATEGSAKSSKSEETIPSWARPDTDEPPPWAQDEGKENTAKQSFEIPFFVYLLASAITAIAAIGSIFEYVNQRPVFGVINSDSIFYAPLLGFFAFTGIPTSAFLWFKSVQAANKEAEEQDRRDGYL >OMP11645 pep supercontig:CCACVL1_1.0:contig01062:169:240:1 gene:CCACVL1_00367 transcript:OMP11645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGYIRKLGAYLSASSYRMGQHQE >OMP12088 pep supercontig:CCACVL1_1.0:contig00445:248:319:1 gene:CCACVL1_00132 transcript:OMP12088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTLIARRVKDVLAGIEGMKATTIS >OMO84811 pep supercontig:CCACVL1_1.0:contig09695:65803:68315:-1 gene:CCACVL1_10640 transcript:OMO84811 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MDKKKNPWLILSVHFIYFSLNYQLSFGADTISANQTLSGDQTIVSSGGNFELGFFKPGNSSNYYIGMWYGRGLVSEQTPVWVANRETPIRDRFSAELRISDGNLVLFNESQVPIWSTNISSSTGSSSVIAVLEDTGNLVLKDGPNSSTLLWQSLEHPSHTWLPGGQIKQLSWLDGSKQWNLFWSQPRQQCEVYAFCGAFGSCSEKGLPFCTCLQGFQPKSQGDWNNLTDYSGGCQRKTKLRCEDPTLPNVKEDKFLELPNMVFPEHPQSMSVGSNSECESTCLNNCSCTAYAYDSDGCKIWIGELLNVEQLAEDASNGKTLFIRLAASEFSSSSNNKGIIIGAAAGSVGLILLVAVLGILIWKRRTIKAPKVVEGSLLAFGIILKPEFADTEQHFKCFFSGKEHDIIDKFINHENNNVEMR >OMO84809 pep supercontig:CCACVL1_1.0:contig09695:42426:43334:-1 gene:CCACVL1_10638 transcript:OMO84809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTVKSIRVRCRWDDNMPDRGIFFIAPPPTR >OMO84813 pep supercontig:CCACVL1_1.0:contig09695:92059:93633:-1 gene:CCACVL1_10643 transcript:OMO84813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNLTIREGPLRKVLAAELWDTITAAAVTLKLK >OMO84812 pep supercontig:CCACVL1_1.0:contig09695:70682:73216:-1 gene:CCACVL1_10642 transcript:OMO84812 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair metallo-beta-lactamase MWKGLISVDRWAAGSQAYFLTHLHADHTQGLTSTWNHGPIFCSRLTAKLFPFKFPNFRLSVLRILDVDCWHTLSLTSPSSGSETTVQVMAIDANHCPGGVMFLFRGEFGCLLNTGDFRWEKNCERSKLARKMLVDALKDDVVDVLYLDNTYCNPSYEFPSREVATQQVVDIINSYPEHDIVIGVDTLGKEDLLLHISKALNTKIWVWPERLQTMHLLGFHDNFTTKTSLTRVRAVPRYSFSIPTLEGLNAMRPTIGIIPSGLPWVRKPLEGDDKLFGSLLTSRYKRSKMGSNGGEEISKMDGNLGSVKRFHKYIYSVQYSNHSCYPELEEFIKLVQPTNMKGIVSRSPCYVDPCYYFGRLCGINQPSQMLPQHEKKEGRSCYTELEKKRKRVFGSLGVHLSRLRVWRRARRGIKLAENESSD >OMO84808 pep supercontig:CCACVL1_1.0:contig09695:30966:31285:-1 gene:CCACVL1_10637 transcript:OMO84808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPPPSFISNGIVNYNFLLRIRILRLTAW >OMO84810 pep supercontig:CCACVL1_1.0:contig09695:61912:64293:-1 gene:CCACVL1_10639 transcript:OMO84810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKKVPWLMLPLFFLCQVSFAADTIFSNQSLSGDRTIVSKNGVFELGFFSPGNSTNYYIGMWYKMANQPNTVWVANREKPVRDTNSSVLKIVDGNMVLFNESQIPIWSTNVSSTNSTSVVAVLLDDGNLVLRQGPNSLMILWQSFDHPSDTWLPGMKLSFNKRTNQSQRFTSWKNQDDPAPGFFSLEMDPSETNQVIFVWNRSQQYWSTGPWDEHTKSFNMVPEMRMLYLAGFIFNHVSNQNESYFTYSFSKNFVNKDFVISRSSINASGQFVGTYWLTTNKDWTLYFSQPKQQCDVYGYCGAFGSCNDKSRQFCNCLTGFQPSSREEWNQQLYSGGCTRQAKLQCENANGRGDQFLEIRMTKLPTNPQNVAADSIKECKSTCLNNCSCTAYAYNDNECSIWTGDLLNLKQLGEDDEEGETLHIRLAYSEFSSLTKSKKKKLIIVAVAVSSGLVLLGLMMFIIKTRWRTTIIPTNPTENSLMAFGYKDLQKATNKFSEKLGKGGFGSVFKGTLPDGSLIAVKKLEGINQGEKQFRTEVSIVGVINHVNLIRLRGFCSESSRKLLVYDYLPNGSLDKHLFHAKDSEVLDWKTRYQIALGAARGLAYLHDKCRDSIIHCDIKPENILLDGDFCPKVADFGLAKLIGREFSRVLTSLRGTMGYLAPEWISGQAITPKADVYSYGMMILEIVSGRRNFQVQVQDSDNEGSFFPATVAIQVSTKGSDVLNLLDSRLNGNVNVEELSRICTVACWCIQDDEFQRPTMSQVVQILEGVSEVSQPPIPRFLQACIKEHSG >OMO83113 pep supercontig:CCACVL1_1.0:contig09940:27257:28661:-1 gene:CCACVL1_11552 transcript:OMO83113 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA splicing protein mrs2, mitochondrial MAEIEVVEVALLSRIQRLEQRLMDLKPRVELGSRAGALKGNDDVECSVPLEKQIAEEEEEEIEMLLENYLQRCESCHGQAARLLDSGKEMEDSIAVNLRIINGD >OMO73681 pep supercontig:CCACVL1_1.0:contig11192:8740:15151:1 gene:CCACVL1_17183 transcript:OMO73681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MNYEALPLQDPHQCHQASYFMDKYSGIHHLHYRNADKGCRRMTDEIVLKEIFRRFDMDNDGCLSKEELKKAFSCLGFIGIHEDLEALAQYVAGLGRPRVGGETSKPKHVAGGVPFTKEQLRDIFKRFDCDKDGRLSKEELKKAFKA >OMO99881 pep supercontig:CCACVL1_1.0:contig06783:5554:15200:1 gene:CCACVL1_03564 transcript:OMO99881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGVFRYKVMSFELMSIGAICQRAMARVNLAQARATSGGLTSVVPKPCPGASYTKKL >OMO99884 pep supercontig:CCACVL1_1.0:contig06783:47601:47720:1 gene:CCACVL1_03567 transcript:OMO99884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFMNPDSVPDRLPTPATTSSLVGTSIAIKISNPTLPNK >OMO99883 pep supercontig:CCACVL1_1.0:contig06783:44034:45428:-1 gene:CCACVL1_03566 transcript:OMO99883 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-hexosaminidase 3 MGSSGGEALMVVAVALVLVLVFGNALELVSSEEESLKIWPMPAEVRYGESQVYLSGDFGLSTEYADGSGILKDGFNRMLSLIKLDHDVDANFSASLHDHNSLLLGLHVLISSPNHQLQYGIDESYKLMVPLPSPEKPAYAHLQEGIKRK >OMO99882 pep supercontig:CCACVL1_1.0:contig06783:36752:40835:-1 gene:CCACVL1_03565 transcript:OMO99882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQTVYGALHGFQTFSQLCQFNFKSKVIEVNMAPWTIIDQPRFSYRGLLIDTSRHYLPLPVIKNVIDSMTYAKLNVLHWHIVDTQSFPLEIPSYPKLWDGAYSTSERYTAADAAEIVSYAQKRGINVLAEIDVPGHALSWGVGYPSLWPSKDCQQPLDVSNEFTFKVIDGILSDFSKIFEFKFVHLGGDEVNTSCWTTTPRISRWLKKKGMNESQAYEYFVLRAQDIALSHGYEIVNWEETFVNFGKKLNPKTIVHNWLAVGLTQQVVASGLRCIVSNQEKWYLDHLDTTWEEFYLNEPLRNITNLNQQKLVIGGEVCMWGETIDASDIEQTIWPRAAAAAERLWTPYDKLAKNPRQVTGRLAHFRCLLNQRGVAAAPLAGFGRSSPRGPGSCYVQ >OMO52724 pep supercontig:CCACVL1_1.0:contig15462:19497:26156:-1 gene:CCACVL1_29098 transcript:OMO52724 gene_biotype:protein_coding transcript_biotype:protein_coding description:LUC7-related protein MDAMRKQLDVLMGANRNGDVREVNRKYYDRDVCRLFLSGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEEAKAKGVDNYDRELEDAIDRLIVECDRKIGRALKRLEDEDAKAAIAISVSEVTQTPEVLELSKQIKEKLKEADQHDLEGKTDLKIRALEEVEELRTKRADKQSMLLLDAFNKDRASLPQPLPNPPPLAPLPVPAPDPRTQEMINEKLKKAEDLGEKGMVDEAQKALEEAEALKKLPARQEPVLDSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIRDKLAELQEERTKSRKLDRYDDRRSKERSRDRDRESSRDRDRGDSRDRRDYDRRSRDRDRYYDRDRGYDRDRERDYERSRSYDSRNRRRSRSRSRERSRDYDRHRCASDILFDMGYL >OMO52722 pep supercontig:CCACVL1_1.0:contig15462:8348:8965:1 gene:CCACVL1_29096 transcript:OMO52722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCYSLLLTPKLSPLSLNPKPLSNLTFRNQTKSNVRLQRRPTITRMSLNPTPATERLISAAAYTLPFFNSLQYGRYLFIQYPQLGTLFDPLLPLLSLYKSVPYASFVAFFALYLGVVRNPSFSHYVRFNSMQAVTLDVLLVVPLLLTRILNPGRAGLGHRLMVWGHTGVFVFSCFCFVYGLVSSILGRTPYLPFVADAAGRQI >OMO52721 pep supercontig:CCACVL1_1.0:contig15462:954:1124:1 gene:CCACVL1_29095 transcript:OMO52721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SARYSHHQARALYTLGPLSDKKSYMKLLQETPWETATTPTKGERARTILYSLPSQP >OMO52723 pep supercontig:CCACVL1_1.0:contig15462:9672:14465:-1 gene:CCACVL1_29097 transcript:OMO52723 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc phosphodiesterase ELAC protein 2-like protein MDTQDKTQAKGLQRFCTEHKIKLSKIDHICLSRVCSETAGGLPGLLLTLAGMGDGVPVNIWGPSNLNLLVDAMKCFIPHDAMVHTHLISHTGPLQTDSPSADASKFKDVKISAILLQPNGVEGSVVSPSDVAVVYVCKLHERVMVKFHKDKADALGVKVETKFKELTEGKSVKSDCLDITVHPSNVIGPPVPGPVVIIVDCPTDSHAKELLCTQSLNEYYSDLGNQPQATKIVNCVIHLGPASVVNSSPYNKWMKKFGSAQHVMAGHVMKQVDNPILKSSARMAARINYLCPHLFPPLSSWPIQDHSIAAPGPVSSTEVCFPLQDPFLNCESILAENLLKFTLRPHTHLGLDKSNVPCSLKASGVIDQLLLESPDIVDAAQDVKQLWQKPAEKKECTISMHDSKLKRKFAPGLWGRNPSTIKKKLLKNLENRYGMEGSNNAGKNLACVWISHIHADHHVGLVRILALRRDLLKRTTTIVPWETFELDNESNNNKLVPENDEEIELSSKDRESLQVCSKRQKLSVPLDNVAAFPLLKRLKKVLNKARLERLVSFPVVHCPQAYGVILKAANRINSVGKLIPGWKVVYSGDTRPCLEMIEASQGATILIPEATFEEGMDGEAIAKNCGTTKEAIEVGDSAAAYRIILTHFSQRYPKIPELDEISMQKTCIAFDFEY >OMO52725 pep supercontig:CCACVL1_1.0:contig15462:27622:34048:1 gene:CCACVL1_29099 transcript:OMO52725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MSRVTGSNGCDTPRAYHGLEEHIGANENHVDVIDASLEEHGDGGTSDRPLLGEAAQHRPQDRPCDMDMSGYNWLNDSAMPGHNRPRDPLSMPLGPITRARAKRFKEALVGFIRSHLEELKTIEDSLGRFEDHTTRNIPNDSMLCTMLSIDEHYTQYCVTNPIGFKWYKIGDKWSREEMSALGVALIRPIEANTMGDRQEEQNQGENTNFMLQQIIRQLGTMTTRLEALETRNPQAQQGANAAINNEDHPPPPRQIARIDPMERLRQQELGGQAHNENMRPRRGIEREESKDNIKYKILKFNGRGSPSDYLEWYKGDVLCDILPMQACHVLLGRPWQYDNKVQHDGETNQYTLMCGKKPFTFIPLSPQEALKDQLKLKEEFTKMESDYRAKETNRHAKLDVNCDLVEKHASSKKVVKECMLATKSEIKEALNDNSVLILLLLKYTLVSTNHLESELPSKIVSLLSYYVDVFPKEIPSGLPPIWGIEHQIDFIPGAQIPNKLAYRTNPKETKELEKQVGELLQKGFVRESLSPCAIPVLLVPKKDGTWRMCVDCRAINNITSGYHQIRMKEGDEWKTAFKTKLGLYECRNLDEHVRHLRCVLDVLRVEKLYANLKKCTFCTNKLVFLGFVVSSQAGFYRRFVKDFSTLAAPITSVMKKNAPFKWGDEQQETFETLKDKLTNAPLLVLPNFNNTFEIECDASGVGIGAVLMQGGKPVAYFSEKLNGAALNYPTYDKELYALVRALQTWQHYLWPKKFVIHTDHESLKYLRGQQKLNKRHAKWSEFIESFPYVVRYKQGKENVLADALSRRYVLLSMLDSKFLGFEYIKELYASDVYFREIFKACENSGFGKYYKHDGFLFKESRLCVPSCSLCILLLRESHEGGLMGHFGVDRTYDILHEHFFWPKMRHDVGKYVASCIVCLQAKSTSKPHGLYTPLPIPHEPWTHISMDFVLGLPRSRRGKDSIFVVVDRFSKMAHVIACTKTDDAINVANLFLKEIVRLHGMPRTIVSNRDAKFLSHFWRTLWAKLGTKLLFSTTCHPQTDGQTEVVNRTLSTLLRALIKKNLRTWEDCLPHVKFAYNRSIHSTTGCSPFETVYGFNPLTPLDLLSLPLSVQVDMDGQKKADYVRELHARVRAQIEKKTQHYMKNANKGRKEVIFEPGDWVWLHLRKERFPEKRKSKLLPRGDGPFVLERINNNAYKLDLPSEYGNVSATFNVSDLSLFDSDADLRTNPFQGRGDDTPRSYQGLEEHIGANEDHVDVIDASLEEHGDGGTSDRPLLGEATQHRPQDRPRDMDMSGYNRPNDSAMPGHNRPRDPLSMPLGPITRARAKRFKEALVGFIRYHLEELKTIEDSLGRFEDHTTRNIPNDSMLCTMLSIDEH >OMO95318 pep supercontig:CCACVL1_1.0:contig07698:4283:4444:-1 gene:CCACVL1_05436 transcript:OMO95318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFHDVTVGPSFSNHHQKPQSFLISLLFTKPLLINLKAIESRRPRQPSKPSET >OMO78601 pep supercontig:CCACVL1_1.0:contig10561:735:1067:1 gene:CCACVL1_14269 transcript:OMO78601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVVKTEMLSKAWKGIWKTVTSLEFKLGEDEVNSFLPFKGFMRQILNAYDKGKPIFKLCVQFDYFNAIRNEGKEEVVAYFDSCLQFATDHKAQEVHVILHVNEPDSEMD >OMO78602 pep supercontig:CCACVL1_1.0:contig10561:1858:2945:1 gene:CCACVL1_14270 transcript:OMO78602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKRRVGHKENNVRSGSQMMVFRRLLRISIKSLFEKFRYMGRGGIKHRTFSFLQFDSDDSKQNVDDGPMSPNFDIYYYTTY >OMO78603 pep supercontig:CCACVL1_1.0:contig10561:4481:9874:1 gene:CCACVL1_14271 transcript:OMO78603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MASNGYRNGTLKGTVKPLTAATSSNHKSSSFKSRSAIRRSNSASFNTAAGDDGVPGRVRVAVRLRPRNAEELVADADFADCVELQPEFKRLKLRKNNWDSETYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGRLGEEDTSARGIMVRSMEEILATVSPETDSVSVSYLQLYMETIQDLLDPANDNISIVEDPKTGDVSVPGATLVDIRDEHTFLELLRLGEAHRIAANTKLNTESSRSHAILMVHVKRSVLGADDANPSEMDKSSHFVKPPKPLVRKSKLVLVDLAGSERVHKSGSEGHMLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLIVTIGPSPRHRGETASTILFGQRAMKVENMLRIKEEFDYKSLARRLEIQVDKLIAENERQQKAFDDEVERINLEAQNRVSEVERNFTDALEKERLKCQMEYMESVKKLEEKMIENELKHGCDAFTKDKCNGEGPSSSTAEDISELRKLLEEEIHMRKEAEEEVNKLESQLGQCTDSGAGGGAEILKLQKALEDEARQKKKLEEEMIILRSQMLQLTFEADQMRRCLGRGGSGNAYTGPDSPMSQVRDSLNGHKTPVAALFEQVGLQKILALLESEDANVRIHAVKVVANLAAEEANQEKIVESGGLNSLLMLLRSYEDETVRRVAAGAIANLAMNEANQELIMLQGGISLLSITAFDAEDPQTLRMVAGAIANLCGNDKLQAKLRSEGGIKALLGMVRCGHPDVLSQVARGIANFAKCESRASTNGIKSGRSLLIDDNALPWIVQNANNDSAPIRRHIELALCHLAQHEVNAKDLINGGALWELVRISRDCSREDIRSLARRTLNSSPVFRAEMRRLRIEL >OMO78604 pep supercontig:CCACVL1_1.0:contig10561:13315:14253:-1 gene:CCACVL1_14272 transcript:OMO78604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVTAHGRPLPPPFLTRDLHLNPHHQFQHHSLGHHQQQQSSEDEQNRGQKRDRDHETATETTDTSEGKDLAAVPGTEGEITRRPRGRPAGSKNKPKPPIIITRDSANALRSHVMEIANGCDIMESVSTFARRRQRGVCILSGSGTVTNVTLRQPGAPGAVVTLHGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGPLVASGPVVIMAASFAEAYWGTGRPPY >OMP05788 pep supercontig:CCACVL1_1.0:contig05212:18:1156:1 gene:CCACVL1_01831 transcript:OMP05788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MKRIVRNRQYGLSWKGDYGPRILAKLEKHRQRVGTWNVDWNGGNHYEVYYENADTQTREAFAVSLIYRTCSCRLWDVCGVPCHHALVAITFEGKDPLDFIDDYFKKSTYMKAYEALLHPVRGLSYWEQRDLEELHPPPLRQAKGKRKTERIREPLEGRKKTKMSRIGRKMKCGICKQTGHNSRRCPLNPKEDCHNVGSKKRKTNPSKVAKQKAGGSSNHMVYTVCNPGKDNQLIVGRPVGKPRKYFNPRKFKEASSSQPRTEGNAPSQNPDSAIPTQ >OMO89395 pep supercontig:CCACVL1_1.0:contig08739:32292:32873:1 gene:CCACVL1_07865 transcript:OMO89395 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG2-like protein MGVDNTNCTNLIFTYGTLKRGFSNHVLLQDLMRSGDAVFRGTYRTVEKYPLVCGPYRVPFLLNMPGSGHRVTGELYAVSSRGLDRVDELEGTSRGHYERHPIKLVPAGNVNDSESENKENEEDLLTCAGGLTCAAEAYYAHKSYEKEMWKRNGRKGFCIYSEKEAKGYVKRKDRPQNLTFLDHIRIFISSPSD >OMO71698 pep supercontig:CCACVL1_1.0:contig11578:1:411:-1 gene:CCACVL1_18097 transcript:OMO71698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKKFENNKVTSSDFDVVDAVGMYFALKWVDRLWNHKQWSEPVDENNRKELLNSKQSEPVDDATDDEDNH >OMO71699 pep supercontig:CCACVL1_1.0:contig11578:4636:12405:1 gene:CCACVL1_18098 transcript:OMO71699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEVPQDMVEDILSRLPVKSVQRLRFLSKSCDSLISTRSFAMMHMKQTLSQKIFLSSPSNLMSFDCGKENAFANERAISILDFPVKTTPNNSVVPRIVGSCHGLLCMHFHKDFYLWNRSSRHHNKLPNPCLVPDLRSDTERFFELPTPNDRDTYASGLGVLQGRLCLLVRPFHYYELWMMNEYGVGQSWIRLPDVSFKEMCWHIHYPLCISKDNQLILLSGGQKGLTRLDAQERELVECTGLFCKCEEHHYSSYSCPRQPRDGVVYLESLVSPYMYVNNNTCVGKQELQGRLRKRKNIIGVDHNQNQIWHEKFSLSFSFELWFCELLLVAMTSLISSFSRPIFFSASSSSSSSPSKDSDSNTNSKKPVKLREDWRKRSKPIPPGGIYPAKDHCSRCGLCDTYYIAHVKEACAFLGDGMSKIESLEPVVHGRGRKSDSLDETYLGVYEKLLYARKTKPVEGAQWTGIVTTIAIEMLKAGMVEAVVCVQSDPDDRLSPRPILARTPDEVLAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQVDNGTREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVDVIAPSCYSCFDYTNALADLVVGYMGVPKYSGVSMTQHPQYVTVRNERGKEMLSLVENLLEITPTTSSGNRQPFVMETVKADDDAKFGMGPSQPAPKFIGNLIAFLLNLIGPKGLEFARYSLDYHTIRNYLYTNRTWGKQRADKHIPSYAKKIVDAYNRNGQIDKMLTRN >OMO71701 pep supercontig:CCACVL1_1.0:contig11578:24003:25326:1 gene:CCACVL1_18100 transcript:OMO71701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKRPELRDRRQIVNLAPETRLMKSPPLA >OMO71700 pep supercontig:CCACVL1_1.0:contig11578:13603:19258:-1 gene:CCACVL1_18099 transcript:OMO71700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLITLVNKLQRACTALGDHGEESALPTLWDSLPSIAVVGGQSSGKSSVLESIVGKDFLPRGAGIVTRRPLVLQLHRIDEGKEYAEFMHLPRKKFTDFAAVRKEISDETDRETGQSKQISSVPIHLSIFSPNVVNLTLVDLPGLTKVAIDGQSESIVQDIENMVRAYIEKPNSIILAISPANQDLATSDAIKMSREVDPKGERTFGVLTKIDLMDKGTNAVDILEGRAYKLQYPWVGVVNRSQADINKNVDMIAARRKEKEYFQNCPEYSHLASRMGCEHLGKMLSKHLETVIKSRIPSLQSLVNKTILDLETELSRLGKPIAADAGGKLYMIMEICRAFDQNFKEHLDGIRPGGDKIYGVFDNQLPAALKRLHFDKHLSMENVRKLITEADGYQPHLIAPEQGYRRLIESCIVSIRGPAEAAVDAVHSILKELVQKAMGETMELKQYPTLRVEVGNAAFESLERLREESKRATLQLVDMECGYLTVEFFRKLPQDAEKGGNPTHSIFDRYNDSYLRRVGSTVLQYVNMTCATLRNSIPKSVVYCQVREAKRSLLDFFFTELGKKESRQLAKLLDEDPAVMQRRNNIAKRLELYRSAQTEIDAVAWAK >OMO58718 pep supercontig:CCACVL1_1.0:contig14178:29200:30925:-1 gene:CCACVL1_25408 transcript:OMO58718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase/Amb allergen MVLNTTSFSSFFFFLFFVVIIPTLNAQNIVEHDDYWSQLREQALNNTLSAYHPNPDEVTEHFNLHVARFRLADCALGFDRGTTGGKNGPFYLVTDSSDDDVVNPKPGTLRHAVIQTGPLWIIFARSMVIKLNQELIMTSDKTIDGRGANVHIAYGAGITIQFIRNVIIHNLHIHDIVKASGGMIRNSVDHFGFRTGSDGDGISLFGATNVWLDHLSMYNCSDGIIDAIEGSTAITISNCHFTNHNKVMLFGPSDASSIDEKMQITIAFTHFGKGLVQRMPRCRWGFIHVVNNDYTHWLMYAIGGSSHPTIISQGNRFIAPPNIAAKEVAHRNFAPPEVWMQWNWRSEGDLMVNGAFFTQSGDPGAIGKYASTMNMMGARPGEMAPYLTQYSGTLLCTPGQPC >OMO58712 pep supercontig:CCACVL1_1.0:contig14178:5881:8971:1 gene:CCACVL1_25402 transcript:OMO58712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAGMGYTGTVLFKNGKLSDLIGELQAMVKGLEKNGEKSEGSEDMLATIRALSSEMRQAMSARPITVLNGDSGAKVTSLIVPAATLGALGYGYMWWKGISFGDLLWVTKRSMSVAVENLTKHLDSVSDALSAAKKHLTQRIQNLDDKMETQKEISKSIQGSVKDARMNLLNIEGDLDELQRLISGLDLANAGVWYLCNMVGGNGKKAKLPESLQEQLKLSGNSRALLTNSSAKGLKDVLFGGTDNLGTDAIVQDGIDDSNEDPRTLQRFDS >OMO58715 pep supercontig:CCACVL1_1.0:contig14178:17282:19284:-1 gene:CCACVL1_25405 transcript:OMO58715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVTAKSELSPGRRRLCMAEGESIETKCIKRRRRDPSSVSLGGNNQQGEQQQQQQLQNDQPTATTVKRSSRFRGVSRHRWTGRFEAHLWDKGSWNPTQRKKGKQGAYDEEESAARAYDLAAIKYWGTTTFTNFPVSDYEKEIEIMKTVTKEEYLASLRRRSSGFSRGVSRYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPGANHSLASEELKTNLASQPMTTSNLLPTEQTNDLPLFGSNPFMVEALDSPKKQDILPKNIPVSPLAKSSSATALSLLLRSSMFNKLVEQNLNANFDETEENDSKDLPEIVDNNGVGEMFYNDIDHVPFMCSSNTDILPGLESEESKLPLYNKTEQSLWNGALNLPSLH >OMO58716 pep supercontig:CCACVL1_1.0:contig14178:20912:22667:-1 gene:CCACVL1_25406 transcript:OMO58716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase/Amb allergen MALINTTTSFSSFFFFLFFVVIIPTLNAQNIVEHDDYWSQLREQALNNTLSAYHPNPDEVTEHFNLHVARHLKEFGNSTRRSLRGRKRRGGPCVATNPIDACWRCNPNWEQNRFRLTDCALGFARGTTGGKNGPFYLVTDSSDDDVVNPKPGTLRHAVIQTGPLWIIFARSMVIKLNQELIMTSDKTIDGRGANVHIAYGAGITIQFIRNVIIHNLHIHDIVKASGGMIRNSVDHFGFRTGSDGDGISLFGATNIWLDHLSIDASSIDEKMQITIAFTHFGKGLVQRMPRCRWGFIHVVNNDYTHWLMYAIGGSSHPTIISQGNRFIAPPNIAAKEVAHRNFAPPEVWMQWNWRSEGDLMVNGAFFTQSGDPGAIGKYASTMNMMGARPGEMAPYLSQYSGTLLCTPGQPC >OMO58717 pep supercontig:CCACVL1_1.0:contig14178:23403:25139:1 gene:CCACVL1_25407 transcript:OMO58717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase/Amb allergen MALINTTTSFSSFFFFLFFVVIIPTLNAQNIVEHDDYWSQLREQALNNTLSAYHPNPDEVTEHFNLHVASTRRSLRGRKRRGGPCVATNPIDACWRCNPNWEQNRFRLADCALGFARGTTGGKNGPFYLVTDSSDDDVVNPKPGTLRHAVIQTGPLWIIFARSMVIKLNQELIMTSDKTIDGRGANVHIAYGAGITIQFIRNVIIHNLHIHDIVKASGGMMRNSVDHFGFRTGSDGDGISLFGATNVWLDHLSIDASSIDEKMQITIAFTHFGKGLVQRMPRCRWGFIHVVNNDYTHWLMYAIGGSSHPTIISQGNRFIAPPNIAAKEVAHRNFAPPEVWMQWNWRSEGDLMVNGAFFTQSGDPGAIGKYASTMNMMGARPGEMAPYLTQYSGTLLCTPGQPC >OMO58714 pep supercontig:CCACVL1_1.0:contig14178:13317:16531:1 gene:CCACVL1_25404 transcript:OMO58714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALSPCFRPLLPQWRFNSARFLVLLHPKPKISFNPRAFASSSSNDDSISLPKPSQLGYDPSEELFGLSVDPKPSNASTGAPKPRSWFGPNGQYIRELPCPSCRGRGYTPCTECGIERSRSDCSQCDGKGIMTCHQCSGDRVIWEESIDEQPWEKARSISPLRVKEDDEVDNLDLNLDVKKKSKRVYQSPSPEVGLKISRSLKSLNAKTGLFSKRMKIIHRDPMLQAQRVAAIKKAKGTAAARKRVSEALKAFFSDPENRRKRSISMKGVQFYCRNCGREGHRRHYCPELRDSSIDKRFKCRLCGEKGHNRRTCRMSLGSNHQSSDRRPHRCKICRKSGHNRRTCPRVVGVQDTLTSGGRTYTCRLCRQKGHNVRTCPSKNIKPSNDDLQLEEGDQEHGLQ >OMO58711 pep supercontig:CCACVL1_1.0:contig14178:2689:3919:-1 gene:CCACVL1_25401 transcript:OMO58711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MQLGNPLLDVEISVNNAEFLWSHGVISDEMLFMQKTVCNESRYLKESLHHNLSTECINVFNKQDEEMGSYTDPGDLISPICLSPNSLVQTVYQGALNLIHDKLAMKPAAVANDPCLGDRIHQYLNTPKVQRALHANTTHLPSVWEFCGGHLAYQRENIGINVIPLLSKLLKRRLPILLFNGDQDSKIPLTQTRIIANMLAKELKLVPLGNYAPWYGKMQ >OMO58713 pep supercontig:CCACVL1_1.0:contig14178:9874:12888:-1 gene:CCACVL1_25403 transcript:OMO58713 gene_biotype:protein_coding transcript_biotype:protein_coding description:ssDNA-binding transcriptional regulator MLQLQFSSSPPLSAQTLNSNSNLCPSLSSLKFTQTHAFKFNPLSLTPKPSKFSIKCRQSDYFDQQQRSNNSSSTASPPASGLPPRFYVGHSIFKGKAALTVEPRPPEFLPLDSGAFKLSREGFVLLQFAPAAGVRQYDWSRKQVFSLSVTEIGTLISLGPRESCEFFHDPFKGKSEEGKVRKVLKVEPLPDGSGHFFNLGVQNKLINMDESIYIPVTRAEFAVLNSAFNFLLPYFIGWHAFANSIKPNETSRVNNANPRIGGDYEWSSVGKIVSPDFVCSLCSDADAGSLN >OMO51432 pep supercontig:CCACVL1_1.0:contig15826:19531:21157:-1 gene:CCACVL1_29799 transcript:OMO51432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGESGQSSHPQSISIREFICHGGAGATAGAIAATFVCPLDVIKTRLQVHGLPQASQSGAKGSVIITSLQHIIKTEGVKGLYRGLSPTIIALLPNWAVYFTLYEQLKGLLISHG >OMO56677 pep supercontig:CCACVL1_1.0:contig14490:4039:12026:-1 gene:CCACVL1_26366 transcript:OMO56677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ionotropic glutamate receptor MQQQQMMGVESMIHFIKFWNIAVSVLSEVPNEQHIAWEMSLGGLNTITGPKLSLTFQDLYGNSTSTALSVCDLITNQRVLVIICTLRSQDNEDSQLMTRMGNSVPTPCGEPALSKAKLETVTSVMYMVRHTSGKLSCLFTSLISSINWHKAFEEEDMKSHRGNTDIITLNLTSLATHSSNKVRKLWGVIEMDEASSFLTTSSPLQWIWAEEIGCKGALVGTARAEFRRQESTSRPARKAVLRIGVPVRSNITKFVGVSSDHNRITHITGFSVDVFEAAVRRLPYKITYNLIPFHGSSDELVKNVAHKPFTPGMWLTLVALSLFSGFVIWIIERQDEDGPNLLEALFFLHRVQLRNNASSIVNVLWLFLVLILTQTYTNVLTTELTSSQSEMFNIDSLKRTNAVIGCDGQSQSILYLVKVLGFKRRNIRTIASFDDYENALSTGNIKAAFLLMTYAEVLLAKHCTDFTEIILPYSYNLGGFGFVFPKGSPLASDISVAILELEESGELQLMEEEMPSFSDCSGKLFEDSTTRSIGPSSFIGPFVLMGGTCAIALLITLMRREWEERIQRMLMGRELWLYTFFTLTLELSLCGIEDSALSSDSTLDCGINMEISFKTFLDFCDLNFTATGDLHWSFYLIQKSRFSCFTALGYYWRKGFCEDNIFRNLSCFCTKLPILIEIVNETPSPLQQITLSDSTDGIWRDSGTENAFLGNTMQETLRRFSYHKLVEQIYNKVFDAVVGDATITSDRNQFVLFSDSQRTTEDLRKHKTQYFTADYYYQSEFTDQPHFTMVVHEKPTGVNKFWWFLSPFTRELWLTLLSLTLYTGFVFWIIERQNEQGPSLLEALLFFVQRASPRNSLTYFVLVPWLLVVLVLTATYTSILTSMITSSKTEPSCLLLDNFKTKTARIGCDGDSIIFPYLVEILGFEKENINNISQSSIDDYEKALSSGYIKAAFFSTHYADLFLAKYNKGFTAWEPIPTLHGAAVVFPRGSPQALVKGMSKWKQMLSFSDCSSSAVDGIGPGVFLGGGIELSVLAIHINDVVGLWLCEAENLKSSGRDEVDGRARKAFDKIGKAGQVFCSTTPRPNQMVLMFFQPFHDNISSVELADTMATFCTLTSYEAAIAKGDKSTRKTRAAILCSLSEHSQQVIPETVPLSIYMSQYIYETIEEIVKLISLYQWGTSSSTYKISGLDIITLNLTSHGHPKQSIRIRGQFQMAAILSFSTSLIKHFRSLTEADLFEGQYEDGPNLIEALLFFLQRELPRNRLSYVVKVPWLFLILVLTSTYISSLTSMITSSETEPSCLDMKNLKSRNAIIGCDHEDSIIFPYLVETLGFQRKNIKNISQYSIDEYKMALSSGNIKAAFFSTTSADIFLSKYPKGFTAWEPSTPNLNASSSLVFPRGSSLASNQLSTLSDCSSSTVDGSMKLVRIGPGAFLGLFVLSGFYNCNVNHGHLSNETTLGELHPEILAICNFNRQRTLVVVHNSVFSKPREK >OMO56678 pep supercontig:CCACVL1_1.0:contig14490:13612:18583:-1 gene:CCACVL1_26367 transcript:OMO56678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ionotropic glutamate receptor MDDYLTIDKGNRDLKPIFCSLTSYEAKGDKRDRSIKKTKATILCGLVHDETSHVAIGEAVRPSTYMIHYENKTIQEIIKLITFYQWGKSSSTYKISGLHIDALELRNQMTATFSFSTSLKAVRDLTIAVLVRSSFMQFGNISYDDDHLEVEPHFEGLAIDVFEAAVAVLPDRFNYKLVPFYGSCDQLVEEVASKTFHAGVGGILITAERSHVVEFSQPYAELGLVKVEKRKTNELNRVLWFMSPFTCEMWLTMAAITVFTGSVIWLIEHKTHCNNEVPSRQVEAVLCLFPFAFLLNEHRPKNILSYYVLVPWLVMTLIVTTTFTARLSSMVTSSQAEPPDNIDINSLKRTAAAIASCGNSLTISSYLVKTLGFKLNNMRIFASVDNCAKALSNGNIKAAFLLTTDAKVFLAKYRRTFVKSGPAYNLGSFGFVFPRGSSLASEMSEAILKVKEAGEVQEMKEYKLPSNSDCSESDETVSQGIGPEPFSALFIFSGDCAGDHQEIKATDDACIMHCIAAGDAYRIELMFNHSHGNVTPIDLDDYYQSYIGIRSLNSRNLPIFCTVTNYEAAFTTEINEVVRRTKATVLCALPAKPESTMGNESSTSYMSRHMYEITRQIAGLIGNYQWLKPGSGIYEKSDDYRVCELNIVTFNMNSHHMQNGREIVQMAATLSFLTTRPLQYYRELTIAVPVRSIPTQFLNISLSQQENHKEAQITGFWIDFFKATMEMMPNTTYKLVPFYGSDDQLVKELSRKTFDAAVGLTIITKERLELVEFFPLFTVGPVIVMKKNIQVNQVLSFLRPFTNEMWLTMAVITIFTAFAIWLVEHRTNISESDRQVGAIFWFSFATLFYGGHRESPRRNLTYFVLAPWLFLILIVTSTYTASFTSMITSSDSEPESSCLDLENLRKTNAIIACDEEEPLITRFLVDAAGFQPKNIKFIAQSSIDDYAKALTNGDIKAAFFLWPYADLFLAKYSCKGFRAWDAMHGLRGSPIMLPRGSPLAPFISEAISSLLQSGKFKQMQKELLSFSGSSSSTIYGSMKRGIGPGPFLGLFILSGGASAIAMLITIVRLMKRRWENFVQRMLMGRGLWVWLTTLLYQNQNQNQRTEIQLSRISSTSPTQVSS >OMO56679 pep supercontig:CCACVL1_1.0:contig14490:19587:23382:1 gene:CCACVL1_26368 transcript:OMO56679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHEARRSEKKVHDMMDAARKRAQRRAIFLAKRRGDPQQSIQVTGSRCRIHRDDALYHATQDQQGLIPWNGKQDVLIDRFDGRALLDFIREPGTRNFRNQEKSEEEEEVEEFVNFERYRDLIKHRRRGFTDEEGLQHVNQEMEAKVTAPFQVDRSQPAQPANKGSYSQVGFSYDGNGKEEAQVSDADEEDEEEEEDDDEFNSDDSNDEGMDIIAKEFGVKRYGWLVYMDKKAKEEEKRQKEVIKGDPAIRKLSRKERRKASQIEREREREAARITGTRVLHNDPYRESRRSPTYEAYPRSRRSRSRSRSYSPSYSRRHARGGYSDDSYRSKPKAPKIEYITEFGSSGDGDGSRPKGFSPPSSPPSQADMLNRPSSGLILEALHVDPASGVSLDKDKISKVSKPAVSTSSALAKLTKGSTSAGPSKQAQAEKKETPQERLKRIMNRQLNKQIKKDTAAEMAKKREQERQRLEKLAETSRLSRQRHRSRSRSVSRSPPRRYRRSRSRSPTRTRSSRRYYSRSRSRSRSHSYSRSRSRSDSRSPRVRSRSRY >OMO92840 pep supercontig:CCACVL1_1.0:contig08163:14657:15818:-1 gene:CCACVL1_06740 transcript:OMO92840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGACYSIWKPWAIYVHIGSGMRKGKGWD >OMO77953 pep supercontig:CCACVL1_1.0:contig10665:15910:22369:1 gene:CCACVL1_14732 transcript:OMO77953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 51 MRMRFPSGAGDDRDAESLFRSNPISEIRNVESATNKQIQDKKEELRQLVGTRYRDLIDSADSILQMKSASQSISSNISSIHHSIRSLSLSVSEVPSPKLQSPNPNTRLRIYAVACRVKYLVDTPENIWGCLDEYMFLEAAARYVRAKHVHSNLMLRNSDLDHNNILSNYPLLQHQWQIVESFKAQISQRSRERLLDRGLTVAAYADALAAVAVIDDLDPEQALGLFLETRKTWILRALNASASAGNAADATSSIAISVFCDALSIIQVSLAQIGELFLHVLNDVPLFYKVILGSPPASQLYGGIPNPDEEIGNKINGRYLVDAIPSGQELATAEKLIRQTIESKEVLEGSLEWLKIVFGSEIEMPWDRIRELVLEGDLDLWDEIFEDAFVRRMKVIIDLRFEDLTRSVNVSDAVRTIVVTAGEKMDFQAYLNRPSRGGGIWFTEPNNVKKPVPLLGSKALTEEDNFQSCLNAYFGPEVSRIRDIVDSCCKSILEDLLSFLESAKASLRLKDLVPYLQNKCYESMSAILNELKTELDILYMSIGSEHKEGDSVPPSIIVERSLFIGRLMFAFEKYSKHIPLILGSPRFWVNYTSTAVFEKLPSLWQSKVATDSPLSNGLGTQMFSGSQRQSSSTTSALLGANESASPKLDELVKITRELCIRAYSLWISWLSDGLSVILSQELGQDDGLSATSPLRGWEETVVKQEQTDEGSSEMKISLPSMPSLYVISFLCRACEEIHCIGGHVLDKSIVKKFASSLTEKVISVYENFLSTKEACGAQVSEKGILQVLLDIRFAADILSGGDFNVNEELSSTSKTKSSFRRKQDQIQTKSFVRERIDGLIYRLSQKLDPIDWLTYEPYLWENERQSYLRHAVLFGFFVQLNRMYTDTMQKLPTNSESNIMRCSVVPRFKYLPISAPALSSRGTPGASITAASNDISSRSSWRAYTDGEISRKVDMDDQQSFGVATPFLKSFMQVGSRFGESTLKLGSILTDGQVGIFKDRSAAAMSTFGDILPVQAGGFLSSFTTTRSDS >OMO77956 pep supercontig:CCACVL1_1.0:contig10665:34675:36656:-1 gene:CCACVL1_14735 transcript:OMO77956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLVKEVSEFGRVEECYVPSMEAGDGDAGQRSGREPESIPYDVCPFWVRVFGLPPLMMNAKIGLEIGESMGYVHDVDPSGGRFLRHRVELNILKPIKNGTTITTPNGDLDVELQYEKSPDFCWGKMAAREIVEKEKSCEIVSKFRAKEVEAFSREVEVTSKCVCGLAEDHGIIKAAENKGVLHGITDLIESGESVPLLHHHAKKVAVTLMLEGDQLSGSKISRIFYVVSIDYIPGVGPSQVGSKLNLGGAVGSRPVELYEDNGLGLVDLSNPSPKEQFGLGIYICFWHNTSSGERRFRKWKKNERVSAKYSFDILALQSNLKDGRKREFAQSRLHSNGAGPAKRSRDREDELEAVKLGTLRLHIVAHVVVNLDGTWRFMSFYGHPETSRRSESWVLLKLLASRSSLPLPWMCAGDFNEILSNDEKIGGPLRPQ >OMO77957 pep supercontig:CCACVL1_1.0:contig10665:47113:53282:-1 gene:CCACVL1_14736 transcript:OMO77957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MDIRRLALVVLVVAVAVVGEFGGSSYANVMTFDVKRKFSGKARNLSALKAHDYRRHGRLLSAVNVDLPMGGNGHPTETGLYFAKIGLGNPSKDYYVQVDTGSDILWVNCAGCDKCPTKSDLGIGLTLYNPKGSTTSSLVYCDQDFCTSTYDGQLPGCKPDIQCQYNVVYGDGSSTAGYFVKDTVHLQQVTGNLQTGSTNGTVIFGCGAKHSGELGTSSEALDGILGFGQANSSMLSQLAAAGKVKKIFAHCLDNTDGGGIFAIGEVVSPKVNTTPMVPNQAHYNVVMKAVEVGDSVIDLPSDIFDSGDQKRTIIDSGATLAYLPLTIYQPLMNKILAQQPSLKLHTVEDVYTCFKFSDNVDDAFPVVKFHFEDSLVLTVYPHEYLFNIRGGAWCFGWQNSEMQSKDGKDMILLGDLVLSNKLVVYDIENQTIGWTEYNCTSSIKVKDASSEAVYSVGAHDIGSASSLTFGGILTFLSILIALLHPSIA >OMO77952 pep supercontig:CCACVL1_1.0:contig10665:4164:4226:-1 gene:CCACVL1_14731 transcript:OMO77952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTELGSRIKFNGKTS >OMO77954 pep supercontig:CCACVL1_1.0:contig10665:23562:26548:-1 gene:CCACVL1_14733 transcript:OMO77954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAAASVSSALTAPTATGCFHRHTHRFTNAKIFPLFSFNHSHPFLLRVTNETSRTEVSLDPAAIGTSEGDKIVDGMDFGELCNEFECISSPLVESTARQVVRDILELREGNRALGTYAVSVKYKDPVRSFTGREKYKRPLWITGALEKPSVTVQEMVMLSTSVLSIKWTIQGKPKSFLASIGGDLIIRVNSKFTLNQISGQVVEHEELWDLSSSSVIAQAFFWASRRLFATIEAGKDLADGAKDLSTRFPSKQENVEVYPDPSGDPTKFFQRDDGFQRDVYQIALFLAVVYFVVQFLRTTL >OMO77955 pep supercontig:CCACVL1_1.0:contig10665:27154:30869:-1 gene:CCACVL1_14734 transcript:OMO77955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, MYND-type MDSDAKGDSMEELKGLRITPLDDDDDDGEEEELEDEVDEDDEEEEDEAVILGFLEKPENSWSLLRQQFPSKAGGIPAWLDPDNLPSKKSSRCDICGNPLQFVLQVYAPLVEKETTFHRTLFVFMCISMKCLSQDQHEQWKRHPEKQSRSVKVFRCQLPRANPFYSSEAPKGNATDKPLTPGAPLCAWCGTWKGNEFCTDCKIAQYCSSEHKAMHSSSGHKLECQQLSLSSNSSDPNACNSGIQQIRKRKVASRSLWPEFEMKNEHESEYDTDMSEDDRCTDNSLVPSNKIDYSMKSLEKYFEEDGEKNSNAVFQRQISKAPEQVLRYCRSANSKPLWPMSSGRPSKADIPRCNHCGSPLCFEFQILPQLLYFFGVKDDNESLDWATIAVYTCESSCEGVGYREEFAWVQLAPPTNFPSLPL >OMO98422 pep supercontig:CCACVL1_1.0:contig07110:19825:19902:-1 gene:CCACVL1_04228 transcript:OMO98422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLVFEENCVPDFQMEEIRWSKL >OMO98423 pep supercontig:CCACVL1_1.0:contig07110:25867:26853:-1 gene:CCACVL1_04229 transcript:OMO98423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding protein MEKCEELVFSVESQKSVPAPFLIKTYQLVDDPLTDHIVSWGEDETSFVVWRPPEFARNLLPNYFKHNNFSSFVRQLNTYGFKKVVADRWEFANEYFKKGAKHLLSEIHRRKTSQSQTHQQLYHEQPQYLKPEDHLSLGWMDDQFQSPLPTSPHILTALSQDNQRLRRKNYMLHSELAHMKTLYNDIIYFIQNHVKPVANYQQKLSSMTPELAFQDPDHILHCSSTDQNAKSSNVCVLGKPSEEPNNTVKLFGVPLSGKKRLFSKS >OMP05699 pep supercontig:CCACVL1_1.0:contig05265:463:1372:-1 gene:CCACVL1_01846 transcript:OMP05699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MAKQFSLPPLYEKVARKNTTQRALYIALLFLLLTLLIYRLLSLRNHGFVWLLAFLCESYFTFNWFLIVNCKWNPADFITYPQNLEKRFPELPPVDMFVTTADPVLEPPLITINTVLSLLAVDYPAEKLACYLSDDGCSPLTFYSLVEASKFAKLWVPFCKKYQVQVRAPFRYFLDDPICSTSAGVNSDQFKQDWKRMKAEYEELCLKIEGASRKPVPCELTGEFAVFSNVERSNHPTIIK >OMO90325 pep supercontig:CCACVL1_1.0:contig08494:38246:39923:-1 gene:CCACVL1_07406 transcript:OMO90325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MRRVIPLLFLTLALSLCTVDVEAAEGLQPPVKLEWHFYYNTCREAEIYVRHQVELFYKNDPTIAPKLLRLLYSDCFVTGCDASILLDGPNSEKTAPQNRGLGAFVLIDRIKTVLESRCPGVVSCADILNLATRDAVHMAGAPSYPVFTGRRDGMTSTAASVDLPSPSISLEAALAYFGSKGLDVLDMVTLLGAHSLGKTHCSFIEDRLYNFNNTGKPDPSMKPSFLAEMRKQCPPRLKKGQSDPLVFLNPASGSKYRFSSTYYSRVLTNEAVLGVDQQLLFSDDTKGITQEFAAGFEDLRKSFALSISRMGNIKVLTGKDGEIRKNCRFTNKNYRSKN >OMO90324 pep supercontig:CCACVL1_1.0:contig08494:28404:34785:1 gene:CCACVL1_07405 transcript:OMO90324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDIGDPCLSQSPIKVVLAVSKMLKPEKWQAIFDNTGKVSGFQKALKLIVLGGVDPSIRPEVWEFLLGCYALGSTADCRQQLRAARRERYKDLVKQCQTMHSSIGTGSLAYNVGSKVMDMRTSSKDGRKSEAKVGCRQAPTDDTDKTEKYSDSRNYFTEKSYAGQTESSTDSANLVSVGGNTGNAAYDSSLLSTSGPYGHCSSKIGGECHGSEFKTESDFDFPPLPVTDLFEKIEDEKGIDANEKGYSTQCKLKFEDDNMHSFQINNNADLIMESNVSASLPKNNSCPLNSEIELVHPSAYQPLMRSKTISYKTETVNRLRISDVPEKPLSNATRSQEGASNDERVSEWLWTLHRIVVDVVRTDSHLEFYEDTRNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLIRRMRENFQMEGPTGVMKQLQSLWHILELTDREIFAHLSNIGAESLHFAFPMLLVLFRRELSFNEALRMWEMMWAADFDESFTCNLEEICLEALIVHLPRDSGAEIREENSENGNDGVNGGLQLKHSLSENDAIKSASAHPFCGLARNFWSRNDHLQVCNVVSSSRKGDDNLPVFCVAAILIINRQKIIRETRSIDDLIKIFNDKLLKIHVKRCVGAAIKLRKKFFYKVW >OMO90323 pep supercontig:CCACVL1_1.0:contig08494:13962:14066:1 gene:CCACVL1_07404 transcript:OMO90323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSLVAEAETLAIFLSGYGEKVAAMTPAAVVI >OMO90322 pep supercontig:CCACVL1_1.0:contig08494:8144:8332:1 gene:CCACVL1_07403 transcript:OMO90322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGDDDGAGGSGIGRDGGDISKSILGGGGDDGSGCGDLGPSPTKNAATREKMPRIFLEKCPSR >OMO59147 pep supercontig:CCACVL1_1.0:contig14048:52425:55183:1 gene:CCACVL1_25048 transcript:OMO59147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGFALTNPDPTRVAYLVMVGECPNRVSCVRKVSNPRPA >OMO59146 pep supercontig:CCACVL1_1.0:contig14048:37033:39391:-1 gene:CCACVL1_25046 transcript:OMO59146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MPICGKNAGKNSFMWLGPRPRLTLLDPEDIKEVFNKFNDFTKPELSPLLSLLLPGLVSLDGDKWAKHRKIINPAFHQDKLKNMLSAFYQSCVEIMSEWEKMVSVQGSSEVDVCPYFVNLTGDAISRAAFGSSYEEGTRIFQLLEEQANLAVQVIWSDYIPGWRFLPTKTNKRIKLIEKDIKDSLKEIINRRMNEIKGGKDGNGDLLGILVESNNREVEEHGDHQSKGMSIEEVMEECKLFYLAGQETTSVLLVWTMILLARFPDWQTKAREEVLQVFGDNKPDFDGLNRLKIVTMILNEVLRLYPPVVALGRSVHKETKLGQLLLPAGTEVGVPILLIHHDKQLWGDDAHEFKPDRFSEGVSKATKGQVIFFPFASGPRICVGMNFALIEAKMALSMILRQFLFELSPSYAHSPFTIITLRPKHGAQLILHKL >OMO59150 pep supercontig:CCACVL1_1.0:contig14048:110311:110814:1 gene:CCACVL1_25051 transcript:OMO59150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NGAESEAEKSFHPKPNVFLYKSQNGAQLEAEKSFQPKPNVFLYKSENGAQSEDEKSFQPEPNVFLYKSQYGAQSEAEKSFQSKPNIFLYKSQNGAQSEAEKSFQSKPKPNVFLYKSQNGAQSEAEKTFEPNPNVFTYKSQNGAHESEAEKSFEPNGLMFSHILYKDF >OMO59144 pep supercontig:CCACVL1_1.0:contig14048:28318:30413:1 gene:CCACVL1_25044 transcript:OMO59144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKQSIIASSASCGENRPPSLSPHSPSPPALCRKAVDGSDYKIKCRTKVTMFRVFCSST >OMO59149 pep supercontig:CCACVL1_1.0:contig14048:77822:89723:-1 gene:CCACVL1_25050 transcript:OMO59149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVTKGKAGDEILEDSGENLLQLPKSEPARQTGEHISHHHQHHHMAHMDPLLMTFFVLNDLKLGKTMPLYFPYVDPSKSLDLLPKEKPDSVPFSPNSLPYLLQFFSISQDSPQAKAIEETLRICQDDQSINVGKGETKYCATSLETLVGQASKIFRSDTGVQILMTSHTSKTKTTASTPVLQNYTIVGKPKEIAAPNIIACHSMSYPYAVYYCHNPEGDTKVFEVTLLGEIDGDRIDAVSVCHMDTSQWDPHHVSFSVLGVLPGSSEVCHFFPPGDNLLLLPYY >OMO59148 pep supercontig:CCACVL1_1.0:contig14048:70079:76069:1 gene:CCACVL1_25049 transcript:OMO59148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQPPSILQDSAVVGVREAQISLCFVADTRRGTPVLHLSHGRGATGFCLGHGRGAIVFCLCHDRGVIGFRLRHDRGAIEGRPVP >OMO59145 pep supercontig:CCACVL1_1.0:contig14048:33119:33376:-1 gene:CCACVL1_25045 transcript:OMO59145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGDGLGKIMFKTRPDCSPLDTTTSSARVAIARGRATSRTSTGQSGLAIGELVGLQLDDDNNTFPTITQSFEIIKACWRLRNYY >OMO53209 pep supercontig:CCACVL1_1.0:contig15290:24656:24751:1 gene:CCACVL1_28811 transcript:OMO53209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAKNPREDFPFTAPSKKTSLLSKTSPPLS >OMO53208 pep supercontig:CCACVL1_1.0:contig15290:3493:5721:-1 gene:CCACVL1_28810 transcript:OMO53208 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein GRIP-like protein MQKCHQAYRSNTTDVPSSPANEASGTTTSDAYLPSHSPTPFSSPSPACPYAHLCRRQSAAVNRSSLFAVGSSRQLEPASSYSSSSRCRLLSSSTVSTSNKHENKQQEEDPLLYDLTEDDVETTDGWEEEDEMVEPKLHIYGIIRCLDFDNVGTFYDLASSKSH >OMO57307 pep supercontig:CCACVL1_1.0:contig14409:1267:1512:-1 gene:CCACVL1_25846 transcript:OMO57307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELASLQYRMPSLAMKKYKEFSPSNDNERFKEYLDNVKAGKEKIVVGALLPHNIIGLLNDEDGGEVAELQWSRMVEDLALN >OMO86874 pep supercontig:CCACVL1_1.0:contig09396:17111:20609:1 gene:CCACVL1_09407 transcript:OMO86874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPATMKTIAGTMSVILLSSLMSIIKIQNKGAKLGTMSPMDQVLWRTHLLEASLMGFTLFLGFIIDRMHHYLIKLIGLRSSVGSSKEEVERLQKEKTQLQEKDEKASKEIKLLKEEISTLSEKLKKMKSESEEKDKMIDTAAAHVASLQKQSADLLLEYDRLLEDNQNLQNQALGYKS >OMP08214 pep supercontig:CCACVL1_1.0:contig03929:745:855:-1 gene:CCACVL1_01146 transcript:OMP08214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRCPEKITRIDPDDSTLKLPKMFKPVEPDFNADHDT >OMO49781 pep supercontig:CCACVL1_1.0:contig16402:20027:35007:1 gene:CCACVL1_30809 transcript:OMO49781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHKHSIHCTVSGDSLDTDKKVLLNLKSFLEKQNRVNRGRYSQWNHGISNPCNWPGIFCFRDGAGTARVRGIDLSDSKISGEMFDNFSALTELQHLDLSSNSLSGFLPDGLNSCHNLKFLNLSHNIMGGELKLTGLTSLEKLDLSTNRFHGEVKFSFPAICNRLVVANLSMNNFAGPMDKHFDGCLKLKYLDLSSNRFTGNLWKGFGKLVEFSVSENSVTGKIPESAFMQNCSLQVLDLSENKFHGAIPGQISCCKNLVMLNLWGNNFTGPIPSEIGSISTLEGLLLGDNSFSRDIPESLLNLKNLASLDLSRNNFGGQVQEIFGRFRQLKSLVLQGNSYIGGLNSSGIHRLTNISRLDLSYNFFSGPLPVEFSQMLGLKFLILAYNQFTGPIPPEYGELSQLQALDLSFNQLNGSIPPTIGSLESLLWLMLANNSLTGEIPAELGNCASLLWLNLANNELSGRFPRSPVRKYLVSGYVQLSGNQISGEDRSSVLTPGTAITFVRSGSQGFCYFVKC >OMO49780 pep supercontig:CCACVL1_1.0:contig16402:5220:17650:1 gene:CCACVL1_30808 transcript:OMO49780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein virilizer MGRPEPCVLFSQTFVHTHLDEYVDEVLFAEPVVITACEFLEQNASSVSQAVSLVGATSPPSFALEVFVQCEGETRFRRLCQPFLYTHSSSNVLEVEAVVTNHLVVRGSYRSLSLVIYGNTAEDLGQFNIEFDDSSLTDLVSSADGKLEDLPLALRTINRTFEESLCSLNVLSLPVVTLDLSVEVKQLLHLMLKILELANLDYTVHKVVSTVGLAASSLVTFDIDSNAINQKHLMLGRDKDFKESDSGISEAKKELLELYEALQSKSMLGSSEMECSFMESDADLASSKQLVEMLLPYFHFNRSSGFGHQQLSESNNVTLGLNVALLLCSSKESCFHFVNCGGMDQLAYLFDHEMQKSTAITVLLLGVIEQATRHSVGCEGFLGWWPREDDNIPSGTSDGYSHLLKLLLQKPRHDLASLATYVLHRLRFYEVVSRYESEVLSILGGLSANVKGTNDSKIKLVGVGSLLRKLLNLLNSHGPIEDPSPVAHASRVLILGQTDGLVSYKATSGLIASSNCCFSNWEIDSHLLALLKERGFLPLSAALLSTTILHSEAEDVVDISMDIVSSIGSIILSLLFCRSGLVFLLHQPELTATLIHALKGPDAMNKEECVPLRYASVLISKGFTCSPQEVGIIVETHLRVVSAIDRLLSSTPQSEEFLWVLWELCGLARSDCGRQALLALSFFPEVVSVLIEALHSVKETDPAIKNSGASPLNLAILHSAAEIVEVIVTDSTATSLSSWIGHAMELHKALHSSSPGSNRKDAPTRLLEWIDAGLVYHKNGAIGLLRYAAVLASGGDAHLTSTNILVSDLTDAVDNVIGEASNASEINVMENIASIISLKSFEGLSLRDSSIAQLTTAFRILSFISENPIVAASLYDEGAVAVIYVVLVNCSLMLERSSNSYDYLVDEGTECNSTSDLLLERNREQCLVDLLVPSLVLLITLLQKLQEAKEQHRNTKLMNALLRLHREVSPKLAACAADLSSPYPDSALGFEAVCHLVVSALAYWPVCGWTPGLFHSILASVQATSSLALGPKETCSLLCLLNDLFPEEGVWLWKNGMPLLSGLRSLAIGTLLGPHKEKQVDWYLEHGHLEKLLNQLMPQLDKIAEIIQHYAISALVVIQDMLRVFIIRIACQKAEHASKLLKPILSWIRDHTSDSSPSDTDAYKAYRFLDFLASLLEHPNAKVLLFGEGFSQILRRVLDSCIVAMDSDGGQISDYRNSSNFGFTMISWCIPVFQSISLLCNSRTMTPYNGRQDILSTKDCSIFIHQLLKFCQVLPVGKELASCLAAFKDLGSCSEGRSALMSALLYSSSLDYGVFDSESGHEKNVNLHFLNESEWRKSPPLLCCWKKLLRSVDSKEFSTTYAIEAVNTLSLGSLSFCMDGKSLNVNAVVALKFLFGLPDDMTGISDFPEENINYIQEFSTLLSSRTSDDDYQSPSDMRITMFQVSESVKSLLSLFQKSTDAVKVDDSILFENLSLSQNDVQVPSRINQMTRGVYEKADEDLYLGGLEDRFAWELPETLPDRLSQAALPTRRKVQPADSSTRRARGDNSSTEITNSNTFSRGLGPTTAPAGTTRRDTFRQRKPNTSRPPSMHVDDYVARERSVDGASNSNVIAVQRLGSSGGRPPSIHVDEFMARQRERQNPAASAAETAAQSKNAAAVSGTDNEKVNKSKQLKTDLDDDLQGIDIVFDAEESETDDKLPFPQPDDNLQQPAPVIVEQSSPHSVVEETESDVNGSSQFSHMGTPLGSNVDDNAQSEFSSRMSVSRPEMPLTREPSVSSDKNFFEQSEDSKNAMPIKNSSGYDSAGANSSAFSAPVYSNTPATLAQLPADSRINRQNFYPKSSPQYASNIPVGSRGIYEQKVLSNQPPLPPMPPPSTISPVSYHTTCFMLLHKLTAFLVKENIFLTSL >OMP04241 pep supercontig:CCACVL1_1.0:contig05771:8570:22837:1 gene:CCACVL1_02176 transcript:OMP04241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKKGADCGEDIAERVLALQQQLLPEGSRNAPGVGLCHMGLGESSRAAVGLTKMQPRRWKKIARTSDKYSFEHLASQTNVLHGRKRGSGQECLMFVEDGTAKRSREKEEKLTATTPESSKKPIVVSDMGDFIPLDSSYDLEVD >OMP04240 pep supercontig:CCACVL1_1.0:contig05771:5207:6220:1 gene:CCACVL1_02175 transcript:OMP04240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MVQEKKIVDEVSGWLTVYDDGSVDRAWTGPPEVKFMTEPVPPHENFVEGVATRDVTIDSNSGLKVRIYLPEQIPTTNTKLPIILHFHGGGFCISQADWFMYYSIYTRLARSVPAICVSVYLRLAPENKLPAACDDGYAALLWLRSLAKGESQSHEPWLNEHADFNRVFLIGDSSGGNIVHQVAARAGEVDLGPLRLAGGIPVHPGFVRAEQSKSELEQPESPFLTLDMADKFLALALPLGSTKNHPITCPMGSTAPPIDGLDLPPILFCVAEKDLLKDTEMEYYEAMKKANKDVELFISHGMSHSFYLNKIAVEMDPNTAAQTTALFEGIKEFINKH >OMO87720 pep supercontig:CCACVL1_1.0:contig09190:5852:9881:-1 gene:CCACVL1_08802 transcript:OMO87720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRDGDRSRSKFSTEYPILVSLSKWGLRVSETSCLIPEIYNLCIEEEYDTLHFHGRVEKFPFDDNHVPNLEMVKSFCESVYSWLSSDPKNIAVIHCMAGKGRTGLMVCAYLVYTGMSAEEALHLYAQKRTTNNQGVSIPSQRRYVEYWESVLSFPRGIGNGPPDVNLPPPCSRELRRIRLYDTINTTSVFFVVSELQEIPNQRYRPPVEVAKNCCREIKKGYEGNSSPRYFLSYLEGNKEGIESEPEEPHVVYQMDTESPVLYQKTCLDYHFNKPVISWDAEYFGLATQDICEEPSRVFRTYFKFTPTLWQ >OMO87719 pep supercontig:CCACVL1_1.0:contig09190:54:3280:-1 gene:CCACVL1_08801 transcript:OMO87719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin conjugation factor E4, core MASQKPQRSPEEIEDIILRKILLVTLNDENAVSSDPRAVYLEMTAAEILSEGKSLLLSRDLMERVLIDRLSGDFPNSESPFNYLIGCYKRAHEEIKKIANMKDKTLRSEMESAAKQAKKLAVSYARIHLGNPELFSNGNATDTHSKIGFSLTSKSPLLPLVIAEVSSGVMLDGFGGNDLASGVDCPPGFLEEFFKDTDFETLEPILKALYEDLRGTVLKVSALGNFQQPLRALLYLVKLPVGAKCLVNHPWWIPKGVYLNGRVIEMTSILGPFFHVSALPDHSLFRSHPDVGQQCFSEASTRRPADLLSSFTTIKTVMNNLYDGLAEVLLCLLKNTEIRESVDPISSASSGMFVNLSAVMLRLCEPFLDANLTKRDKIDPNYVFYSNRLELRGLTALNATSEEVTEWINKHGPIATDDGQNRLLKSQEASSSSGEKGKYSFISECFFMTARVLNLGLLKAFSDFKHLVQDISRCEDTLATLKAMQEQTPSAQLQLDISRLEKEMELYSQEKFCYEAQILR >OMO87721 pep supercontig:CCACVL1_1.0:contig09190:10299:14445:-1 gene:CCACVL1_08803 transcript:OMO87721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSNFSLSRWIGLCELQNTSHENSDQSGNTSEDNPISNTIECYACTQVGVPVFHSTSCDHAHQPEWEASAGTSLVPIQARTGQKKTQPTTPRRPSGPFGRVLDPRSKRVQKWNRFFLLARGMALAIDPLFFYALSIGRGGSPCLYMDGALAAIVTVLRTCVDAVHLFHLWLQFRLAYVSRESLVVGCGKLVWDARAIASHYVRSLKGFWFDIFVILPVPQAVFWLVVPKLIREEQIKLIMTILLLIFLFQFLPKVYHSICLMRRMQKVTGYIFGTIWWGFGLNLIAYFIASHVAGGCWYVLAIQRVASCLRQQCARDANCNLTLSCSEEVCYQFLFPAEAVGTSCGRNLTKVVAKPLCLDGHGPFHYGIYQWALPVVSSNSVAVKILYPIFWGLMTLSTFGNDLEPTSHWLEVIFSIIIVLSGLLLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMKRRQLPSRLRQRVRHYERQKWTTLGGEDEMELVKDLPEGLRRDIKRYLCLDLIKKVPLFQHLDDLILDNICDRVRPLVFSKDEKIIREGDPVQRMIFIVRGCIRRSQSLSKGMVATSMIEPGGFLGDELLSWCLRRPFMDRLPASSATFACVESIEAFGLDANHLRYITDHFRYKFANERLKRTARYYSSNWRTWAAVNIQLAWRRYRTRTRGPMISVTENGNSSDRRLLQYAAMFMSIRPHDHLE >OMO68789 pep supercontig:CCACVL1_1.0:contig12172:19319:19387:1 gene:CCACVL1_19826 transcript:OMO68789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKMTKMTKKAQSIMTLELRF >OMO68787 pep supercontig:CCACVL1_1.0:contig12172:6585:7508:-1 gene:CCACVL1_19824 transcript:OMO68787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEKLEKVGEGTYGKVYKAKDKASGQLVALKKTRLEMDEEGVPPTALREVSLLQMLSQSLYVVRLLCVEHVDTNKNGGSKANLYLVFEYLDTDLKKFIDSHRKGPNPRPLSPSLIQSFLFQLCKGVAHCHSHGVLHRDLKPQNLLLDKEKGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTAVDMWSVGCIFAEMVRRQALFPGDSEFQQLLHIFRLLGTPTEKQWPGVTSLKDWHVYPKWEPQNLARSVLTLSADGVDLLSQMLKYNPAERISAKAAMDHPYFDSLDKSQF >OMO68788 pep supercontig:CCACVL1_1.0:contig12172:8204:10772:-1 gene:CCACVL1_19825 transcript:OMO68788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKYISLDEGMIIMDEAITKAKKIIEGYPETKFSGEEYQRFFEYLDRFFIPQNGELESLHVKFGSVQFSMQICQEREGLQIDYILLKNVVDMFVEFGDSDYGGSNYYRDFEMIVLADNSNYYSRLASEWLPFDSSTEYINKVFWCLNREKQSASHYLHPGSEAMILQNVRYHLLHKVANKLIEKKQAENSGLVTDHQEMLSKCAGMSLQEGSSSTSSEEWLSKLMESSTRIG >OMO68790 pep supercontig:CCACVL1_1.0:contig12172:22628:26098:-1 gene:CCACVL1_19827 transcript:OMO68790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERQTKRKRKTLPEKIQLAKNPKIVILDPDYPKVLTLICDPNRSSFYEETIGWWIQKEDLPKNLKMILHLKCYCGAKGSVLWYKSGVYRYPLNEIMSSEKQKFVYFATLCKCGSCVSYCGIEIGEGGFKRLNIQRGKTIPLAVNPATINLTSSHADHPAFNFTVSDLALFRDSYHLKLILLHENPSKYKGKFPESPNPNPKAIFKDSDYPTVIPLCELAVDRSGFHEKTIGWWIQKEDLPEDELKMIVHLECKCGAKGYVYWYDEGFSYTKDDLIAVLSRKSVYFGTRCSCASDITWCGIVRGAANKKKEKKAGRKSFSITPKILPSALHPAFNFSATDPGLFQHFYFYNLVMLLEGKVDRSDYDKQIVDPECSFCNIDFSFLPHPNPKAVFKCLDYPTVIALSRLSPDRSEFHNETIGWWIEKEGFPRDDLKMIVHLKCICGAYGCVGCYNDGGFSWTKDRISAVLSRKVSYFATSCMCGSHTTHCGITLDKSIDELICLVDEVA >OMO68794 pep supercontig:CCACVL1_1.0:contig12172:48279:48671:-1 gene:CCACVL1_19831 transcript:OMO68794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, CBFA/NFYB, DNA topoisomerase MKQILPARAKISKEAKQTLQECATEFISFVTGEASDKCHKENRKTVNGDDICWALSALGFDNYAEAIVRYLHKYREAEREKANLNKLQAAATCSSSQGKDIEEDQDQSSEDKNGAQQQATQQQEEEGTPN >OMO68791 pep supercontig:CCACVL1_1.0:contig12172:29049:30113:1 gene:CCACVL1_19828 transcript:OMO68791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSQDQEINMVAEELPFDLLLDIFSRLPAKTLARFRCSSKTFLNHLTSPYFRQLHHQKSSKNPFLISLALSNQSADWRRSSTVLVRKDYVLSMIDHKGEPISQFHEKIEIDDRLSTKIFLLPSHQNLVCLSSTNRIYVFNPSKHEFVELPKGFNFDSRADMVGFGYVPSKDVYKVVRLFYKVFDYHNYYYDVGVEILTISNYNGSNNNNIIQSCSSWRVLDGEDLCRFVVEGKSVSVNGLIHWKINDYCCDREKGVEEILSFDLEDEKFLVLPFPRFAIEDASLQLVELRGNLWGSVSKFRGDDYIMDMWVLKDFDKFDWVKEYSINLDYFERFCGEVIGEMFNVINVNHKEE >OMO68793 pep supercontig:CCACVL1_1.0:contig12172:39093:42323:1 gene:CCACVL1_19830 transcript:OMO68793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVEKYKAANQRAIQTLEKYGTTQMEVDGDGSLSYPEPIVRDTADKHSRPKPLNIEEEQSMRVFYENKLREVCSAFYFPNKIQATALIYFKRFYLQWSVMEHHPKNIMLTCVYAACKIEENHVSAEELGKGISQDHQVILNYEMVVYQSLEFDLIVYAPYRSVVGFINDMEEFSGVNDGENQMFKDMQETARVEVDKIMLTDAPHLFPPGQLALAALRSANRMHGVLDFEQYLRSIVMRQNAEHNISQLTESLNAIDACVTKYKFPTEKDMKHINRKLKSCWGHSSHDDKKREKKRHKSHKSSNEVPNEPSVA >OMO68792 pep supercontig:CCACVL1_1.0:contig12172:38590:38664:-1 gene:CCACVL1_19829 transcript:OMO68792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGGEKDFATTSAGSGVDSVSEA >OMO69901 pep supercontig:CCACVL1_1.0:contig11938:64263:65847:-1 gene:CCACVL1_19212 transcript:OMO69901 gene_biotype:protein_coding transcript_biotype:protein_coding description:disease resistance protein RPM1-like protein MNKGVMPGLEELYVISCPEFTTLPNGWESDLPDLKQVGLMNASSKIMQQLCAVADVDYQPTIQAAKASRIEVDDSKLFVGKIYNKFTLEDAPILELPCELVNLFNLRYLNLTRTKVKELPKYIGKLSNLQSLIAKETQIKELPPGIVKLKNLRHLVAFCYNDNIVEFEILGSGIRVPSNICSLEQLQVLSTVEARGNLIKQLSRMTQLTSLTIVMVKEADYENLCISIGNMRFLRSLGVMSCNKDEALNMDALEVAPPLLEKLFLAGRLEKIPHWFNSLHSLTFLCLHWSRLRDDFLPHIQALPNLRQLTLINAYDGERLCFLEGFQKLTSLGIAGCQLEEIVMNKGVMPGLEELYVGSCPEFMTLPHGWESDLPALKQVSLANVSGKIMQGFCAAANRGYQPTIQIVEASRIEVEDSKFVWHYKFSS >OMO69896 pep supercontig:CCACVL1_1.0:contig11938:2775:6588:1 gene:CCACVL1_19207 transcript:OMO69896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDTLYLQLHKLSAINSEEILDQILTTLWKTRKSGLRPPEKSRIQSLLSLPSLPEVDPVLACLRSLIRKCVHENFSRDDLLKLFPPDLSLDLQSILVLLLQKYQSQWKEEVAKEQASSKHSLPRTSVSYHVKASAPPSYTPLTSSDIPTSLWPRQDDPITRINLPEFGASAQIIADAAGSCLAPLSMQQDACPPDNLEVIPRLKSMTWTTENLNSAPANKVAIINLKLQDYSKSPSGEIEVKFQLTKDTLEAMLRSLTYISEQLSNMAATSSEPAQKKPKQ >OMO69899 pep supercontig:CCACVL1_1.0:contig11938:39144:55457:1 gene:CCACVL1_19210 transcript:OMO69899 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MAQQQSSRLNRLLTLLDTGSTQATRFTAARQIGEIAKSHPQDLNSLLKKVSQYLRSKNWDTRVAAAHAIGAIAQNVKHTSLADLLSSVGEKMNGAGISGTVEDLVASPDLCSKFVAGVSFNSFEINKVLEFGALLASGGQEYDIANDNSKNPKERLARQKQNLKRRLGLDMCEQFMDVSDMIRDEDLIVHKSHPHGNGLDNRYYTSASVHNIQQFVSRMVPNVMSKRRPSARELNMLKRKAKISSKDQSKGWSDDGDAEVSPAHNVSTPRGTCPDPLGSSKFDAVTDEDSSDHDGDGRWPFCSFVEQLILDMFDPVWEIRHGSVMALREILTHHGASAGIYLPDLNSDVALYLEVKDIDYSSKVKREREIDLNMQVSPDESEPNLKRPKFEDGSFPVMDNMVSASHHSGFDVAVKMENAACAKPPGLFNGQNDISNMKIETEFDHDGLMSQTREPVDLGEPKNYSEDKAAIADSDVLKTLPENRELINSVKLARHSWLKNSEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGATLKYMHPSLVHETLKILLQMQRRPEWEIRHGSLLGIKYLVAVRQEMLHDLLGQVLPACKAGLEDPDDDVRAVAADALIPAAAAIVKGQSLRSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEDMMPKMFGTSKSEDKQHFDLNEVVNVEEVGGGKDLQENPYMLSTLAPRLWPFMRHSITSVRHSAIRTLERLLEAGYKRSISEPSTGSFWPTFILGDTLRIVFQNLLLESNEEILQCSERVWRLLVQCPVGDLEVAAASFMSSWIELATTSYGLALDATKMFWPVALPRKSHYRAAAKMKAVKLENESCGTVGLESVREVASQERNGDASTNLVKMIVGSDSEMSVTNTRVVTASALGIFASKLQANSLKYVVDPLWKALTSLSGVQRQVASMVLISWFKEIKSRDPSGNQEIMQAFPDYLRKWLLDLLGRADPACPTKDSVLPYAELSRTYAKMRNEATQLLRAVESSGKLADLLSNMKINVETITVDEAISFASEVPSLCNENPENESLGRNVDDIESAKQLLITTSGYLKCVQSNLHVAVSSLVAAAAVWMSELPARLNPIILPLMASIKREQEEMLQQKAAEALAELIYHCIARKPGPNDKLIKNICSLTCVDPSETPQAAVISSMETIDDQDFLSFGANTGKQKSKVSMLAGGEDRSRLEGFIGRRGAELALRHLCEKFGPTLFEKLPKLWDCITEVLIPGSPAEQHIGQALESIKDPQILINNIQVVRSIAPVLDETLKPKLLILLPCIFKCVSHSHVAVRLAASRCITTMAKSMTVDVMQAVIENAIPMLGDVTSVHARQGAGMLISLLVQGLGVELVPYSPLLVVPLLRCMSDCDHSVRQSVTRSFAAMVPLLPLARGLPPPVVLSEGLSRNAEDAQFLEQLLDNSHIDDYKLCTELKVNLRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASSIVASDIAERRATNNCEDLHSSLIVCPSTLVGHWAFEIEKYIDASLISTLQYVGSAHDRIALREQFNKHNVIITSYDVVRKDADYLGQLLWNYCILDEGHIIKNAKSKITLAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTERQFQSTYGKPLLAARDPKCSAKDAEAGALAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPVQVKLYEQFSGSHVKQEISSMVKLDESGVAKGGNSSSPKASTHVFQALQYLLKLCSHPLLVIGEKVPESLTLQLSELLPANSDIVSELHKLHHSPKLVALQEILEECGIGVDTSASDGPGTVGQHRVLIFAQHKALLDIIEKDLFHTHMKNVTYLRLDGSVETEKRFDIVKAFNSDPTIDALLLTTHVGGLGLNLTSADTLVFMEHDWNPMRDHQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKLSVANAIINAENASLKTMNTDQLLDLFGSAETSKKGATASKRSESSAEGGDPKLMGTGKGLKAILGGLEELWDQSQYTEEYNLSQFLAKLNG >OMO69897 pep supercontig:CCACVL1_1.0:contig11938:8252:13567:-1 gene:CCACVL1_19208 transcript:OMO69897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLERLQIGVRVRKFTVISFPLLFSLLVTASPVLVCTAVLLGTLLSFGSPNIPEIEEKEEEETVSHEVSPLKTGIIEDDTIVERDIGDDDFVVERHVGKRWDMVENADEKVSLVNNEVSEVEEDDGGSVHYKPLVDDDLDSRDIHCENGGIDEVESLNEELLKDSLVGKKRETEDEMLEGKGVLSVGEAAEDRHLLADETVDTKLKVEDGKLAVDFSDIPRGDELDASLVSSWKRVGHDVNNDDGDDDDESMDSGSDGAESSSPDASMADIMPMLDELHPLLGTEVSQPVQMSHDGSDAASESSDGSSNDESIESDELDNHAEEDNDDEDEGDEREGAKGDKDDESKSAIKWTEADQKNLMDLGTSELERNQRLENLIARRRARKSMRLMAEKNLIDLDIADIPLNIAPISTTRRNPFDLPYGSYDDLGLPPIPGSAPSILQPRRNPFDLPYDSSEEKPDLKGDGFGEEFIGFNQRETVSQREAFFRRHESFNVGPSSLGVPRQELKWKPFFVPERLATEGASPSSFQRQSSEVSESKLSSVPDTESVSSAVDDEDHDPNEQDVSQELILNGDHASAHDEQESQSSGDVESVDVDETENRDIRHDVVEITLGDGESQLEMESSLSEAGATFHMEPNAGEFEPRTEPVEEDYSSRSSLSSLSEIDEKISVVKGEDSAGFETSDHDIKESGISTQPSFEESDFHFMNRVVDDNQHREPVYDSSPPSVGKFLSFSSVSSDTQAEISEVGSPSMLVESTDKELEGHGETTEQGTSSFEGMHAATSDLLNENEPRARDLLENCEPDVVNATSGVSSASSNHNVSMAPESVVEHVSLDEGSSSSDKGLVEDVPIKEESFNLNRVDSSSLGAETDLGVDQSLGMVLDSSPEEQHHPMHPNESSEAEPLDKHTVEKEETQLVEDDFHSSSSSVGNVVEGSDMPKEEVHQHEKESAMDQGQSAWSGSKIDDTGLSSDMNMEEMSSDSSYEDVPSRGSSSSEAEIQASCSNEALDIPLIHDNHKIEEPYIVAAEFREVNVVNNNVNICEVHDYEDKISTNFTSMTSESTSYPVESPELRLPTDQEDVQYKILDKNGSEGPKEIPEHFNYAEEVYATHVDEENTSEEVNEIQEIDEGILSELDTVGDFNVREIGLPEGSHVGYTVSGTLPDVQTEANMELPILEARSVEDIDLAFKQLHEGVDVEELILPSMIENKQNHVDANANLPVVEARSLEDIYSALQQVPDSGPAELPPSSELGNVSSELEWHDAISAKEIEASNVISGIQENSNNAADEPKIEEWHDVVSPKEIEVSSIVSGIYENAENSASELETEERHLVVSNKEIEDNNAVSGIQETSENAAGEQTNEEGHAVVSTKEIEVSNVVSGIQENSENAGRELEIEEGHDVVSTKQIEVMNVVSGIQDNSEIAAGELKKREGHDLVSSKEIEVTNVVPGIQEHSETAAGDTRKEYEEASEKSSQSISDHKGKKAKSHDSSSSSSSSSSSSDSE >OMO69900 pep supercontig:CCACVL1_1.0:contig11938:57429:57860:1 gene:CCACVL1_19211 transcript:OMO69900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSPGANPPGTDSRDEALRRAKKKYKKRRSEASPDRGIMDESDGQSEEPPGNPSATVPTKFVSYRDRVTGNFEEEDSTWEEWTEDAEGDDCIIFDDAVSDEEDGVDSDSHVKFTPDEKRLLRKPRRKSLIVKLLGKVLGFHV >OMO69898 pep supercontig:CCACVL1_1.0:contig11938:18695:21499:-1 gene:CCACVL1_19209 transcript:OMO69898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellular retinaldehyde binding/alpha-tocopherol transport MSLSPEEQQAKIDDVRKLIGPIADKLPVLCSDASISRYLRARNWNTKKATKMLKETLKWRLEYKPEKIRWEDVAHEAETGKIYRASFCDKLGRPVLVMRPGFQNTNSASGQIKYLVYCMENAVMNMSQDQEQMVWLVDFQQWARASVSIKVTRETAHILQDHYPERLAVGILYNPPKIFESFWTMVKPFLETKTYKKVHFVYSDDLKSQKIMEEVFDMDQLDVAFGGRNRAGFDYQVYAQQMKEDDIKKSSYTSPSYSASILSESRPSEESSDIDRDSNDSDEVGLSSSDEATPSNLEYINKKTQELSLGCKDVAVATAAAARVQ >OMO50279 pep supercontig:CCACVL1_1.0:contig16234:15834:15974:1 gene:CCACVL1_30538 transcript:OMO50279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTFQSQAILTAESSILAKFANLNADQEVNWPELSLTQDLTSLRE >OMO87109 pep supercontig:CCACVL1_1.0:contig09328:2222:8659:-1 gene:CCACVL1_09262 transcript:OMO87109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 17 MSSSLTESLIPEGKLLVHIAENGHSFELDCDETTLVEAVMQSIELAAGIHFNDQLVLCADMKLEPQRPLSAYKLPSSDREVFIFNKPRLQTNSPPPPPEQVDIVEVSEPRPPASSSDPHPLDDAPDPALKALPSYERQFRYHCQRGHVIYNRTLAKFDHCERLLREQKVQERALEVARGNLDQYYRMIHQNCSEFMKRYKQQHRFHSDLLANFEKDMHKLRSTKLHPTLQTATRKCLLDFVKEDNLRKSAENCNGSHRQFENKVVQFNQMFADVKRRVEDLFTSRAPFPIRNLELTIKEHQRYLNEQKSIMQSLSKDVNTVKKLVDDCLSCQLSSSLRPHDAVSALGPMYEVHDKNHLPKMLACEHAISKLLDFCKDRKNKMNEFVHNYMQKTTYVTYHIKDVKLQFPVFREAMVRQEDLFMDLKLIRGIGPAYRACLAEIVRRKASMKLYMGMAGQLAERLATKREVEVRRREEFLKANGLYIPKDVLASMGLYDTPNQCDVNIAPFDTTLLDIDISDLDRFAPDYLAGLPIKADKSGSLRGSISMSNESSHSADTEEISVDTLEKDDSGDFLDGCELVEIAGTSKMEVENARLKAELASAIALICSLGPEFEYESLDDSKVDTLLKNAAEKTAEALHLKDEYGKHLQSMLKAKQMQCVSYEKRIQELEQRLSDQYSQGQKLSNTNDGTDFGLLASKAVECKPEISGSEMNMPRISSSEPMDEVSCISNSLDAKLGLFTRQSSKGREGVDENMMDSSGMLNTQLDSSMQEPHREELQVGEKDGKDKMVAQSGMSLTNSSTAESMPEPLNALPCETTADLVLDSKGREDLVLELQSALVEKSNQLSETEIKLRDALDEVAMLRRDLETSRKLLDESQMNCAHLENCLHEAREDAQSHRCAADRRASEYSALRASAVKMRGLFERLRNCVYAPGGMTGFADSLRALAQSLANSISDSEDDGTAEFRKCIRVLAEKVGFLSRHREELHEKYTNVEAGNEQLRKELEEKNELVKTLYTKHQLEKQVNKEKISFSRLQVHEIAAFVLNSAGHYEAITRNCSNYYLSAESVALFTDHLPSQPNYIVGQIVHIERQTVKPLPPSTTRPEHGRADSVDQLTSDTGTERLTLNSGSSLNPYGLPIGCEYFIVTVAMLPDTTIHSPPPS >OMO87110 pep supercontig:CCACVL1_1.0:contig09328:18790:22198:1 gene:CCACVL1_09263 transcript:OMO87110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MANSKWDFVPKTFLVLISLYLFLGSFANATSWEDSIAQQKLDKVQKLPGQTFNVSFAHYAGYVTVNEDSGRALFYWFFEAAEDPDSKPLLLWLNGGPGCSSVAYGEAEELGPFHIKPDGKTLYLNPYSWNRVANVLFLDSPVGVGYSYSNTSSDLLSNGDERTAQDSLTFLLKWLERFPQYKDRDFYISGESYAGAASKRYLSYSYLYGSRNCKRVLTSANVGHYVPQLSQAIVRHNSAVNEKAINLKGYMVGNALTDDYHDHVGVFQFMWAAGLISDETYKLLNVLCDHESFVRNSNACDKILDVASEELGNIDPYSIYTPPCSANVSQSKGLLKRRLKAGQLSEKYDPCTERHSEVYFNLPEVQKALHVLPEVAPSKWETCKYVV >OMO87108 pep supercontig:CCACVL1_1.0:contig09328:133:933:1 gene:CCACVL1_09261 transcript:OMO87108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSCFEILQLKSVRFFVWNISLPDPQPAGAGQFLFSIKSQILRSPPASSNIEGFTTPINHFVRFDLESLFSKNDVEQQVHDAILATEQFLKQRNVTALAKILSEFLVKNYGLGLGLGLGSSKPNSPIPAVVVEVNKTWVINPSGDYGGYMMDEVGMFDGCDHEAANEESIHLSLRNLFAVSVFSVLKPIDGSEPLGLRKVPRLDSFQQFESAAAADPDDDTSCAICLEGFSGNPCFKLPCSHVFHGECVAQWLWRKRSCPLCRFQLS >OMO87010 pep supercontig:CCACVL1_1.0:contig09363:732:902:-1 gene:CCACVL1_09324 transcript:OMO87010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVALMKSFRTCDFPPSKSVGIKPQKYHGHGTLNRTGPDRLVLLTCQRGLFLLVT >OMO87011 pep supercontig:CCACVL1_1.0:contig09363:1436:3935:1 gene:CCACVL1_09325 transcript:OMO87011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alternative oxidase MNRFVVRSVMQGLINGRSSIINGQVCRAAVVGRGVQLSGQQNGMPFASVELRRMMSTASAPASMEKVPLATEEKKENPATEEQKAKDIVVSSYWGISRPKITREDGTEWPWNCFMPWESYKANTSIDLGKHHVPKNFVDKFAYRTVKILRVPTDIFFQRRYGCRAVMLETVAAVPGMVGGMMLHLKSLRRFQQSGGWIKALLEEAENERMHLMTMVELVQPKWYERLLVLTVQGVFFNAFFVLYMLSPKLAHRIVGYLEEEAIHSYTEYLKDIESGAIENVPAPAIAIDYWRLPKDATLKDVITVIRADEAHHRDVNHFASDIHFQGKELREAPAPLGYH >OMO81460 pep supercontig:CCACVL1_1.0:contig10169:10023:10964:-1 gene:CCACVL1_12408 transcript:OMO81460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQASPILSLSPSSPSFNTCSSGRLAEIAARVVEEFRQESGSCHEDDIYETWSEENQQYHQPEVIHEEEEEEEEEEEEEEEEDKEEDDDFEFAFVCKEPEISPIISADEIFYNGQIRPIYPLFNTKLLEEDNIQTTPADCKKAEFTSSSFGSKPKPHRLPLRKLMSEDRETSSSSSSSEADELDGLTPGSYCVWKPKGKSSGNDQDSPGRCKKSNSTGSSKRWKLKDLLYRSNSDGKDTFVFLAPSKREKTGNSDVKEIPGGKLKEADQEHFGSNRDLMKTEEKRKSFLPYRQDLVGIFSNVNGLSRNLHPF >OMO81459 pep supercontig:CCACVL1_1.0:contig10169:461:4349:1 gene:CCACVL1_12407 transcript:OMO81459 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-deoxy-D-xylulose 5-phosphate reductoisomerase MALNFVSPPEIKSISFLDSTKSKSYSLPKLFPGGFVLKRKDYGTTCGRRVQCSAQAPQPPPAWPGRAFPEPGRQTWDGPKPISIVGSTGSIGTQTLDIVAENPDKFKVVALAAGSNVTLLADQVKTFKPQMVAVRNESLVNELKEALADMEQKPEIIPGEEGVVEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDICLANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDWPVEKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDNIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRLPILYTMSWPERIYCSEVTWPRLDLCKLGSLTFKAPDNVKYPSMSLAYAAGRAGGTMTGVLSAANEKAVEMFINEEISYLDIFKVVELTCEKHQEELVATPSLEEIIHYDLWARDYAASLQQRTSGLSPVPA >OMP11263 pep supercontig:CCACVL1_1.0:contig01434:126:1037:1 gene:CCACVL1_00593 transcript:OMP11263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIPVHRRRTVDIQVALSAFLHQQINRVEWKNSLRPQRPVVARVNAALNSEIRRGLVSVVADGFHRAIGEIDSCLAAEWNTKHVQRILIPHHAKAHRTVPHIRRASFCHSVEIHVDHVVQHPHRDPDSAPQLLVIERHTAIWQFHQMSNKVHRTKVAHGDFAVVGVQRDFRAEVGAVHHADMLLRRPNIARVLERDPRMSGLKQQTEHLAPKINSGQPFEVLQRTSIGQSFIMLVARFELRTVQIMQVRNIVRREQRPVCTLVHALHKQVRNPVGRVHVMRTTPIIPGVLAKLQEFLDVEVPRFK >OMO71581 pep supercontig:CCACVL1_1.0:contig11597:378:3500:1 gene:CCACVL1_18152 transcript:OMO71581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRYAMVCSSNQNRSMEAHPAVEEAGVHIKLPVPSLTSTCSKTSAVNRNDPELIILFSFTMLTNNGCKFETLMKWVFGFLAVLGGFESSAPRLFAMMATLAVLPTVWLRDLSVLGYISSPWSQHDQSLDFGDLHNLQKLDEGKFHFAFPLCQKLCLESFWLNVSHSTWFIERVEELFQLLPAKNLIPDVVTWTSRIGAYSRKKLYTRCLEIFEEMVDAGCYPDGGTAKVLLSACSSEDQIEQVTTVIRTMHKGYKDSFASRMNLAYMHFFRISL >OMO80732 pep supercontig:CCACVL1_1.0:contig10279:734:2324:-1 gene:CCACVL1_12792 transcript:OMO80732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S24e MSDKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRPNVSKAELKEKLGRMYEVKDPNAIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRSKKVRGVKKTKAGDAKKK >OMO65280 pep supercontig:CCACVL1_1.0:contig12673:13405:13719:-1 gene:CCACVL1_21564 transcript:OMO65280 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate receptor 2.8-like protein MKMKKPIFHCFCLLIILLDKLVVTQSTTIPVNVGVVLDFESLVGKIGWSCINMALSDFYATHGRHYKTRLVLNARDSHQDVVAAAAAGSFSPPSTDDTFSSCIP >OMO65281 pep supercontig:CCACVL1_1.0:contig12673:37649:37942:1 gene:CCACVL1_21565 transcript:OMO65281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFIEVLCGRGLRGFGGDRGDGMGGEKGVHRTDGGRLEGTIGARFGDGDDAAHANGRGPAAGKAGIRVARRLYHQRIERVSGMAARGAPHFCSLKTK >OMO65279 pep supercontig:CCACVL1_1.0:contig12673:132:1879:-1 gene:CCACVL1_21563 transcript:OMO65279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVLSSASVALRKSQRLKILFSNNSFISIPNKSPQITSLDNGICKACNSQKAFAPIYDVRRLLLEGRVWIHSSASLSASEKVVEKLNHSEKGASLDDAGSVNGKVKRKKLKGKRAVVRWLKYFRWKKKKEYERMTAEEKILYKLNKARTKEERYVTALNKIEPAESSETTHDPEILTPEEHFFFLKMGLKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFSPEEVKEIAAELARLTGGIVLDIHEDNTIIMYRGKNYSQPPTEIMSPRVTLSRKK >OMO86831 pep supercontig:CCACVL1_1.0:contig09405:2915:3253:-1 gene:CCACVL1_09439 transcript:OMO86831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRNWCNSGCKTVCLGQGYHEVGATVVDSPSSSAAIGSGSNKLNWKAIWMKIKKEKRKMFESPAQVLYDPYTYSQNFDQGFAWNIEPDNLSRSFSMRFADPSSVFLRKAAV >OMO53094 pep supercontig:CCACVL1_1.0:contig15336:12754:12870:-1 gene:CCACVL1_28887 transcript:OMO53094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLLELYQKSGMGFFSVKSGGVGASSKLHYGFRPRDF >OMO91738 pep supercontig:CCACVL1_1.0:contig08307:6398:9383:-1 gene:CCACVL1_07037 transcript:OMO91738 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase MDRRSFPVCSLSTVDLMFEFDFLFHEKARERESLLFFSGSIYHTTRPVTMTRQLLTLLDRNSNSVAAMSCSAAAAITKLIFIRNNHHPRSHSLPPFQVAAMSNNSKQPEEEGHLESIFKQKKILRSKVRQALKSMDPSLRSQEDDLIQTIVSEAPWFKSSKRLCAYISCSSLQEVDTSKLLSQILHNPSTDGSMLQTAKTLYVPRVEDKNSNMRMLNISRIDDLVANSMNILEPASVDADGNEREDVMHANDPVDLLILPGLAFDRSGRRLGRGGGYYDTFLKNYKDLAKERNWRQPLLVALSYSVQIMDEAIPITPSDIPVDALVSPSGVIPISPAAVERM >OMO91739 pep supercontig:CCACVL1_1.0:contig08307:10311:12364:-1 gene:CCACVL1_07038 transcript:OMO91739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEHIKEISLEDLKLYTCNFSNDNLIGNFQFGKVFRGKINGDHHVTVKVWMPQTLYTCFPGDNEIRLQAELIILTETRVKLHPNLPKLIGYCGQGQLAVVYDLNPIDTLQNFILRDDFTWLQRIKVALQLACLLQILHYPPPSSDQYLVRNISPAHIVLDKDYNLVLYDFGMFTGGSLPEVDKENPGRRHLHGCIGYIDGYLSWTDKWFPEMDVFSFGVILIGLIAKKVFIVEDHSALIGLDLHSLVDWWAIKEYERRKSVSGENASLVHQTLEADGDFEYADGVEVTKLAMECLEPSARDRILIDVVVEDLLKLHVVRDTFSRWALSRL >OMO78879 pep supercontig:CCACVL1_1.0:contig10532:43944:47628:-1 gene:CCACVL1_14035 transcript:OMO78879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIQVQHQAPVSGPNGVAPAPGGAQFMPTSLYVGDLDFAVTDEQLYELFSQVAQVVSVRVCRDLATGRSLGYGYVNYHGPREAARALDLLNFTPLNNKPIRIMYSQRDPSLRKSGTANIFIKNLDKSIDQKALHDTFSSFGNIMSCKIATDGLGQSKGYGFVQFDSEEAAQTAIDKLNGMLINDKQVYVGHFLRKQERDTALQKTKFNNVYVKNLAESTTDEDLTKVFGEYGEITSAVVMRDADGKSKGFGFVNFENADDAAKAVEALNGKKFEEKEWYVGKAQKKSEREQELKTRFEQSMKEVADKFQGVNLYVKNLDDSISDEKLKELFSEFGNITSCKVMRDPSGISKGSGFVAFSTPEEASRALAEMNGKMVVSKPLYVALAQRKEERRARLQAQFSQMRPLAIPSVAPRMPMYPSGAPGLGQQFLYGQAPPAIIPQQAGFGYQQQLVPGMRPGGPPVPNFFVPMVQQGQQGQRPGGRRGANPVQQTQQPVPLMQQQMLPRGRVYRYPPGRNMPEVPMSGVGGGMLSVPYDMGGIPLRDAGMGQPMPIPALATALANATPEHQRTMLGESLYPLVERLERDAAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRTVAAQQQANNPADQLASLSLTDNLVS >OMO78891 pep supercontig:CCACVL1_1.0:contig10532:127298:128557:-1 gene:CCACVL1_14047 transcript:OMO78891 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine-threonine protein kinase, plant-type MSNISKILVVSSVYILVITCLILNITAQDSSREALEIQIGCNNEHHTTLSSKLHCSLPSLDEVLVFADQRLAVVYPVIQKFKSIITSDPLGITKTWVGSDICKYKGFFCDNPPDNTSAIAVASIDFNGFQLSAPSLDGFLDQLPDIALFHANSNFFSGTLSEKIAKLRYLYELDISNNQFSGPFPAAVLAMSGLTFLDIRFNFFTGLVPAQIFTQNLDALFINNNNFMIQLPDNIGNTHFLYLTLANNKFIGPLPRSIFRAFSSLAEVLLLNDQLTGCIPYEIGLLKEAVVIDASNNQLTGHLPFSLACLKNLEQLNFANNLLFGMVPEVLCGLGNLVNLSLSDNYFMHVGPFCRVLIEKGVLDVRNNCIPDLPFQRPVMECANFLAHPRFCPRMWSYNYIPCSHFPHSSSIPEMAPSP >OMO78882 pep supercontig:CCACVL1_1.0:contig10532:66318:66776:-1 gene:CCACVL1_14038 transcript:OMO78882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDCCSTSSSTTSGEKRKQRRQQKDKPFRGIRMRKWGKWVAEIREPNKRSRIWLGSYTTPIAAARAYDTAVFYLRGPSARLNFPDLILQEDDLRDISAASIRKKATEVGAKVDALQTSLHASTSSESNSNTSRVFDKPPDLNKYPESSDED >OMO78887 pep supercontig:CCACVL1_1.0:contig10532:94118:95425:-1 gene:CCACVL1_14043 transcript:OMO78887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MSAGIARSRLAEERKAWRKNHPHGFVARPETAADGSVNLMVWHCVIPGKEGTDWEGGFFPLTIHFSEDYPSKPPKCKFPPGFFHPNVYPSGTVCLSILNEDYGWRPAITVKQILVGIQDLLDQPNASDPAQTEGYQLFVSNTAEYRKRIQLQAQQYPPTL >OMO78874 pep supercontig:CCACVL1_1.0:contig10532:15790:18153:-1 gene:CCACVL1_14030 transcript:OMO78874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDRAVGVAAASQKRSRNGGTSKNGKAANGGDQSEHEMHIWTERERRKKMRNMFSNLHALLPQLSAKADKSTIVDEAVTYIKSLQQTLQTLQKQKLEKLRSATMADSSSIITPHQVQANSESNSRESFLADHVQAGKPSNNNYPMSSNIIDVPQTLPAAPISPTSSGGFQTWFSPNVVINMCGDNAQISVCTPRKPGILSTILYILEKHHLELVSAHISSDQHRNMYMIHVHGTGGASTDQFSEALSVEETFKLAAGEMNLWLLSS >OMO78878 pep supercontig:CCACVL1_1.0:contig10532:40047:41417:-1 gene:CCACVL1_14034 transcript:OMO78878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MNPAAVRCISECFVKPYLVSEESKQPYYLTTWDLAMLSVQYIQKGLLFAKPPHKDCEDQNLMKNLLDNLNKSLSLALVHFYPLAGRLVTRKEDNPPAYYVYVDCNNSPGAKLIHAAIDMTISDIVSPTYVPEIVQSFFDHDRAINHDGHNSPLLSVQVTELVDGVFVGLSMNHAIGDGTSFWHFFNTLSEIFQGKGDHLKISRPPVLKRWFPEGHGPLLSLPFTHQDEFITRFEAPQLLERMFHISAESVAKLKARANSEYNTTKISSFQSLSAFMWRAITKARCFPKDQVTSCRLATGNRSRLEPPLSPDYFGNSIQTVRAVTTAGELLEQNLGWAAWQLHQAVVNHTDKSVREFVDNWVRTHFIYQLAQFFDPQSVMMGSSPRFNKYGNEFGLGKALTLRSGYAHKFDGKVSSYPGREGGGSIDLEVCLPPHSMHALESNEEFMAAVSSPSIEA >OMO78875 pep supercontig:CCACVL1_1.0:contig10532:19235:22520:-1 gene:CCACVL1_14031 transcript:OMO78875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLYGAAPSSNPEPEEISTFLNQLLHNSSATSSSSSPCMQFKNKYIHSFPSHAQQFSPAAGNSGSRLAIPVEDRYRLGGSAVRADSEPRVNFSDPETYFGANVNDSTENALSSAGDFSYDSETVPEVSEAPSNQDRPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGLSLYPMCLPGVLQPMQLPPTGMAYDEGNGFLSPNTGAGTFSTNEESSMNTTFNLSNPCTMSNQPIAPPSVANISNLEASFVFESSGQAPYGSFSQSTSSKYSSKIFTINLISHFSPSLPVRSNNVL >OMO78883 pep supercontig:CCACVL1_1.0:contig10532:67105:67393:-1 gene:CCACVL1_14039 transcript:OMO78883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVCVLGAEVGRRRKINVAAVPRRQTSSQVGN >OMO78886 pep supercontig:CCACVL1_1.0:contig10532:82610:86950:1 gene:CCACVL1_14042 transcript:OMO78886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGRELCLFRPLNPMEAKDFSPYRSIVSPKGRPHYTRH >OMO78892 pep supercontig:CCACVL1_1.0:contig10532:130424:131749:-1 gene:CCACVL1_14048 transcript:OMO78892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPQLLFSTFLLFNTYFFQFSIAQTCPPPPTCPTISPPPRPTRTVTYVRPRPPLYDLSLRLLPRQPFNNPGPLANRARILAITQELKRNITFDPQNYTGTWVGNNYCLFKGFYCDTVPDLNITGLAGIDFNGARFGGNLNFFRFITNLPDIAIFHANSNNFSGAINQGLNGLRYFYEIDLSNNKFIGGFPTNVLSATNLTFVDLRFNNYVGTVPRSLFNFDTDVLFINHNVFNRTIPSNFGNTPALYLTLASNNFTGSIPRSIGAAWDTMTEVLLLGNKLSGCLPFEIGYLNRSTVFDVGSNRLTGPIPQSFGCMAKLQILNMAHNKFYGPIPEVLCRLPNAFNFTLSNNYFTQVGPQCMRLIRLKRLNVNRNCIMGLPFQRSAADCASFFARPRPCARESTFNIVPCRLPAASMRTRTVSQEDEVPPSPRSYSALIKPPH >OMO78889 pep supercontig:CCACVL1_1.0:contig10532:111383:113355:-1 gene:CCACVL1_14045 transcript:OMO78889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHMGADMMETEAAAAAVAPLQQGQQDVGKELLTMARSLIDQGKPTQALQAVVMAMRTRGGDAAVFQSLHRAREVYRTRIQENTAVDRLASLFAECAIAEAEPSESESLTCNPSNLSIPSDAHENSILAESGRMQIVLDAFSDGSSFICLQCGGLVSVHRRDEHYAYWCGNM >OMO78885 pep supercontig:CCACVL1_1.0:contig10532:78759:80703:1 gene:CCACVL1_14041 transcript:OMO78885 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPla/RYanodine receptor SPRY MLEWMHVVAAAVIIGILVILLFVFIRRWCYYKDRKDIVNDNRQAAAAAVARTENFQDGIARLHQGTTLHLHQVDSESKKKGNYYVFRRGVSAKPLFNWSDHPSLITDAVENGWSRFGFTGYMSSPSTRSTLLGLCAAGDYGSRNDIEINWEVCQGSADFMQKIRLNSGSRKGSSMNHHNHSMVSAASVIRTALPLPGPPLGNSAFPQEAYFEITILYCHGNHHDPHDLSGKLKEGEKTKLIQENSNAKANSDSLVHVISNHHDINKIEELKLASNNNDANGHEAVILSVGLTAGGSLPLKLPGSYPGSIGFNSDGSVFLDGIKLVFESEKEEWGKAEKVIGCGFDPRQKKVFFTLDSELVHVINCKSEEFGTPLYPTLASNHDVLVLVNFGQSAFAYGPANGQRTPNPCFIGPLVNSPAAAAALGYEDSRELFSMGRIDSQWLNRCATRGGHGLGSNYPTTEFDEQSDSEADLFEIVLDSNGKSPNL >OMO78881 pep supercontig:CCACVL1_1.0:contig10532:60629:65784:1 gene:CCACVL1_14037 transcript:OMO78881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVLLHLTATGPPSQFLSPLLWDFSGWKDTS >OMO78880 pep supercontig:CCACVL1_1.0:contig10532:51172:52108:-1 gene:CCACVL1_14036 transcript:OMO78880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMKVHQLARGLWEHEPSLSLGCKRLRPLAPKLHPSSSPDHTSVSSFDLKTFIRPESGPRKLCPSDDKRDSHSRQVETHPGGTRWNPTQEQIGILEMLYRGGMRTPNAQQIEQITAQLGKYGKIEGKNVFYWFQNHKARERQKQKRNSLGLSHSPRNSAPITTITLDTRGEVMEREEDSPYKRKCRSWSFEYLEEESRSSSSSQEEENRTLELFPLHPEGRS >OMO78888 pep supercontig:CCACVL1_1.0:contig10532:102505:110050:1 gene:CCACVL1_14044 transcript:OMO78888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAASEDCCVKVAVHVRPLIGDEKLQGCKDCVTVIQGKPQVQIGTHSFTFDHVYGSTGSPSSAMFEECIVPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGIIPQVMNALFSKIESLKHQIEFQLHVSFIEILKEEVRDLLDPTSLNKSDAATTNTAKVNVPGKPPIQIRESSNGVITLAGSTEVSVSTLKEMAACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNPAVSGDGSPNDIMNEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSNEMLKMRQQLEYLQAELCARGGSDEVQVLKERIAWLEAANEDLCRELHEYRSRCTNVEQRETDAQDGSPCSVKSDGLKRNLHSIEPADYPMGETMIGDSREIDEEAAKEWEHTLLQNTMDKELHELNRRLEEKESEMKLFGGDTVALKHHFGKKIQELEDEKRAVQQERDRLLAEIENLSHGSDGQTQKLQDVHGQKLKSLEAQIMDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQFIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQALNQRQKLVLQRKTEEAAMATKRLKELLEARKTSSRDNSAVANGNGTNGQTNEKALQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDEFASKGLSPPRGKNGFARASSMSPNARMARISSLENMLSISSNSLVAMASQLSEAEERERAFSNRGRWNQLRSMGDAKNLLQYMFNSLGDTRCQVWEKDIEIKEMKEQLKELVSLLRQSELRRKEVENELKLREQAVAIALATSATGNSPNSLKHVPDDMNGPLSPMSVPAQKQLKYSPGIVNGPGRESAAFVDQTRKMMPLGQLPVKKLVAIGHAGNGKLWRWKRSHHQWLVQFKWKWQKPWKLSEWIRHSDETLIRSRPRPQALPRRV >OMO78890 pep supercontig:CCACVL1_1.0:contig10532:114600:122753:-1 gene:CCACVL1_14046 transcript:OMO78890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRRQLHTQRFPILFILVLCSLVAFPEAAKRLPPPYEATALLGFQSKADLRNQLGFSPNASFKFCDWQGVTCYQQKVVRLILEDLDLGGVFAPDTLSHLDQLRVLSLQNNSLTGPIPDLSKLINLKTLFLDHNFFTGSFPPSILSLHRIRTLDLSYNNLTGPLPTSLASLDPLYYLRLDWNQFNGTIPPLNQSSLKTFSISGNNLTGAIPVTPTLLRFGFSSFSWNPGLCGEIIHKECHPRPHFFGPTAAMVAPPPAVALGQSAEMHGVELAQPSSKKHKRAALIIGFSTGIFLLIGSLLCFVVAVRKQKDNKKQSTTVIDSDDGVATAQVAAAIQMEQENELEEKIKRVQGMQVAKSGNLLFCAGEAQLYTLDQLMRASAELLGRGTVGTTYKAVLDNRLIVTVKRLDAAKLAGTTKETFEQHMESVGGLRHPNLVPLRAYFQAKEERLLIYDYQPNGSLFSLIHGSKSTRAKPLHWTSCLKIAEDVAQGLSYIHQAWRLVHGNLKSSNVLLGPDFEACISDYCLAALAVTSVPDEDPDSIACKPPETRNSNHQATSKGDVYAFGVLLLELLTGKPPSQHPYLAPDEMMHWLRSSREDDGGDDERLGMLLEVAMACSLSSPEQRPTMWQVLKMLQEIKEAVLMEDGDQLDPPQSGNMS >OMO78876 pep supercontig:CCACVL1_1.0:contig10532:24942:27990:-1 gene:CCACVL1_14032 transcript:OMO78876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MQGQRGTVGSLPETFFDHGSTSNNAAIDQQVCWNNIRNPIENRLPDCLLSPNDMSISYVNSIGREEQELGRWSLGEPSATQNEVGHNERKTDHGWSSSMNASTSAGPRLEERRYDQNSLFVQSSNSESVPQNLNLNASLVGHSDDNCQVTERSNLYKPSGCENERILPDAGPEAFLLSSGSGGYAVGDNDTRPGRSYEGRRASCKRKALEGNVGQSSSSGSSSYFHRAESSAWHGVSAGYSAGSSMNMSTSSGQAPPRLGLDVRGSASDSITEPIVLPTSDNSHRNFRLRINPSSIQEPIAPPIFSTGDTARQSVSSSHQSSRLLPTDHSLDLRSAPVVDNASTQNPNVVIHVPTLPRNVQPFRWNGGSGSRSGSSSSSNVSGDRDAISREGHQSRNLARNLLDHPMFVPAPELRTMVRNPTIRSLNGGSMSVPGSVASTSRAGSSSGANASSASAWVPHPNPNTQYPRRLSELVRRSLMSSHGAESGGQGNPSSLSSGPPAAEEMVASQNHHRPYPRSVSWLERQDAGVLGIPHSLRSLAAATEGRSRLVVSEIRNVLDLMRRGENLRFEDVMILDQSVFFGVADIHDRHRDMRLDVDNMSYEELLALEERIGNVSTGLNEETVLNRLKRRKYSSAPGSQSEAEPCCVCQEEYNNGEDLGTLECGHDFHADCIKQWLMHKNLCPICKTTGLTK >OMO78873 pep supercontig:CCACVL1_1.0:contig10532:9930:12774:-1 gene:CCACVL1_14029 transcript:OMO78873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYSFLNDQLSKRTSIFGLRLWVVLGICVGAAIVIVLFLISLWFTSKRQNKATGGSSNSVKPTHNSTIIPSVSKEIQEIRIDPSRHSNVELKPHQVSNPDPLPESESALVLHSEEENSPVSARNRIHIEIGKDHRIAYPERGTGSGHGSGEARSGDQSMAIMAVPEVSHLGWGHWYTLRELEESTNGFAAENVIGEGGYGIVYSGVFEDNTRVAVKNLLNNRMLVYEYVDNGNLEQWLHGDVGPCSPLTWEIRMNIVLGTAKGYVAPEYASTGMLNERSDVYSFGILLMEIISGRNPVDYSRPQGEVNLVEWLKTMVTNRNAEGVLDPRLPEKPSSRALKRALLVALRCVDPNAQKRPKMGHVIHMLEADEFPFRDDRRTGRENMRAVRDGVKDRITEKRVNESGDSSGYESGAQTNRSLWRKQESEEQ >OMO78884 pep supercontig:CCACVL1_1.0:contig10532:74143:75384:-1 gene:CCACVL1_14040 transcript:OMO78884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLCSSFKSLHLNATPLLNKSDLHFSHSISSTFPTHLKTNYIARKCHYLTVKSLASSGGANAVEIDMVRNKQGVYAPKQKKVVILWDLDNKPPRGPPYEAALALKRVAEKFGEVVDMSAYANRHAFIHLPEWVLQERRERKHLDILERKGVVAPSEPYICGVCGRKCKTNMDLKKHFKQLHERERQKKMNRMKSLKGKKRQRFKERFISGNHKYNETARSLVTPKVGYGLANELRRAGVYVKTVQDKPQAADWALKRQMQHSMSRGIDWLFLVSDDKDFVDMLRKAREADLGTVVVGDWDRGLGRHADLWVPWMGVENGEVTEKDLVPKRRRMEEDDGLFSVSEFDGGSVGELDGVVNELIVGRNEFGGVRISVFSEGEELDEEEWETVEVDHKDYFDDSEDEIIFEDDGYY >OMO78871 pep supercontig:CCACVL1_1.0:contig10532:1260:3512:1 gene:CCACVL1_14027 transcript:OMO78871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCNYYLHIVSLLLFLVLGTNDAMPKPEEFKTYIVHMDHSLRPPSFLTHESWHRATLRSLSEPVDDEKMLLYSYNHVMHGFSARLTPSQVSEIKKSPAHIATREEASGKLFTTHTPEFLGLRHSSGLWNLSSFGEGVIIGMIDSGVWPESESFSAKGMPPIPARWKGKCHNATIPFPCNRKLIGAQVFIKGSQAANMTDPRDDTPRDFLGHGTHTSSTAGGNYVPEASEFGYAKGTARGLAPRSHLAMYKVSTGGLVLESDVLAAMDQAIADGVDIMSLSLGFEQTPYFQDVIAIASLSAIQKGIVVVCAAGNDGAPNTTHNSAPWITTVGAGTLDRSFIATVTLGNNQTFEGKSHFPERVLIVDTPLYYGKGDKRICNMGALNMSEVFETVLICDNSEKISIYDQAMELERVGAVAGILIEDGTPFDVDELSIPGLVLNASSGALIKKYAIEAANEANVSIMRFGLTSFGKKPAPQVADFSSRGPDPIDPNILKPDIIAPGVQVLAAFPPTIPVGEIVNYPLASDYALLSGTSMSTPHVAGVAALLKAVHPEWSPAAVRSALMTTADTIDNNGTTLTNELTNLPGTPLDYGAGHINPNKAMDPGLIYDIDWQGYVDFLCGLHYNDTEMRAILRQSKWNCSQEGTDLNYPSFVAMFSNKTSPPKVKKFTRVVTNVGDDQSVYQAVAELPSGMSVTVEPSTLTFTNKYQKQSYVVTVEINGKASPVTYGYLKWIDQTNHVVTSPIVVLNF >OMO78872 pep supercontig:CCACVL1_1.0:contig10532:5375:7672:1 gene:CCACVL1_14028 transcript:OMO78872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRMNFCNYSLVILSWLVLSLLLEGNNAMPAPEEYKTYIVHMDHSHKPDSFSTHELWHRSTLRSLSNPVDDEEMLLYSYNHIIHGFSARLTSSQLSEIEKSPAHLATYQESFGKLFTTHSPNFLGLKHNSGLWSAASYGEGVIIGVLDTGIWPESQSFSDKGMPQVPRRWKGKCENGTAFSPSACNKKLIGARSFSKGIQAAVGNFSKELDYDSPRDFDGHGTHTSSTAAGNHVLGVSHFGYARGTTSGVAPHAHIAMYKVLWETDSGNSAASDVLAGMDQAIADGVDIMSISIGFDQNPYFNDLIAIASLSAVEKGIVVVCAAGNDGGHNTTYNGAPWITTVGAGTLDRSFTAKVTLGNGFTFEGTSYFPQSIYITNKPLYYGKDDANKAICYMKALDAKEIAGKVVICENSSKIDIDGQMEELARVSAYAGIFMSDRSDLDAEDYIIPSLVLPTSSGMLATEYAKNASDAHIRSMRFMFTNLGIKPAPQVADFSSRGPDPVNPTVLKPDIIAPGVDVLAAVTPNRAFIEVGNYKLVTDYALSSGTSMAAPHVAGVAALLKAIHPEWSPAAIRSAMMTTAYTINNNGTALTNQLLDLPGTPLDYGAGHINPNKAADPGLIYDIEFQDYIDFLCSLGYTDTQMKAVLRRSKWTCSQERTELNYPSFIAIFSKDDSSPKVKNFTRVVTNVGDNKSIYEAVATTTYSGMTITVDPPTLTFTKKYQIQSFVLSVEINQEAPEVVYGYVKWTDQYSHIVSSPIVVLNF >OMO78893 pep supercontig:CCACVL1_1.0:contig10532:138017:139566:-1 gene:CCACVL1_14049 transcript:OMO78893 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MAVELMMGYGSGASFAGKMEETALREAATAGLQGVEELIKLMSKSQQLYNRDASFKTSSLPQAQEPAMEIQAVTDKTVNSFKKVISLLDRPRTGHARFRRAPLAPIQQEDKPNQQEEDAAAEQQPRQKIQESGPSVQVNKDQVSAFKPFCPTPVHRLPPKPHNHQSKSSPLLGSRSGSLLEKNNEAPTTTINFSSSPPLSAGNSFMSSLTGDTDSMQPSLSSGFQFTSPSHLPSSGKPPLSSSLKRKCNSMDDAALKCGSSSSRCHCSKKRKSKLRRVIRVPAISNKMADIPPDDFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPRMLIVTYEGDHNHSHNVTDAPGALVLESS >OMO78877 pep supercontig:CCACVL1_1.0:contig10532:33466:35756:-1 gene:CCACVL1_14033 transcript:OMO78877 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MFNDSDSILPLVEIGKADSSRARSLCHILPGFRFYPSEEEILQHYLSKKNGCGTAGNADVYGYDLINELNLYDYQPADLPEGACFLHGNQGRKRHWLCYTESKGGRRKRTRRAKGGFWRKIGKVRHVFDDGGNILLGTRTKFVFFEINSVRAAVRTQWTMYEYALLHHLKASFVLCRVFVKSPAGNSISENILSSSADISLSAVGHVGIQHDESVTPDILEPEINGDHFTKELEDQTASRPVSVASFEFASGISPDLPNDLVGYQLADDLMSILEEDFLELDDLR >OMP03183 pep supercontig:CCACVL1_1.0:contig06129:4433:11981:1 gene:CCACVL1_02513 transcript:OMP03183 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MALQHGVSRVSRSPNFNTIHRIIHSFQAGFLSRPRPADLIPRFSESLPPSLAQSIPAPPFPAMPFNVLSLEKESYEIVLQAMGRAINKTVMIAERRIADLHQNISIGSSDITDMCVETTRHVTMITITLSLKDLNTYVCQCKTEPCSWSLFSAIAVGVMSNSLFQSLFSMNFGDGSSMRLGQGSASSTVLSPSCF >OMP03184 pep supercontig:CCACVL1_1.0:contig06129:23472:24395:-1 gene:CCACVL1_02514 transcript:OMP03184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENASMDGLRMGWMKVKTLGKGSYGVVHLVKPTNPSFDHQLYAFKTCLYRDSSSLQKELEILSRFRGCPNIVQCYGDMLGFNKGLRVYNLVMEYAPGGNLLDLIKKYGGKIPERDATCYARMLVEGLRNIHMQGYVHCDFKPDNILVYPPASSGFTMSTLKIADFGLARQQGEIIDVPMVEPWHPRFPGTPIYMPPEGIDAEEVNAPLDIWSLGCALLVMLTGKFPWNYKDSIDLASQLSMWGNSPTIPENISIEGKDFLSKCFARNPKERWTAEMLLGHPFLLPDHLIHCCRNAPLFGELPSTLCT >OMP03182 pep supercontig:CCACVL1_1.0:contig06129:637:720:1 gene:CCACVL1_02512 transcript:OMP03182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDVRNRGTSGFIWGEWRFSEMKVQR >OMP03185 pep supercontig:CCACVL1_1.0:contig06129:28343:30163:-1 gene:CCACVL1_02515 transcript:OMP03185 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MMTSETMELPIDNKIQYTIKTQKLSYWLSQPVDDFSWFFWKNARASRKILKNVNCVAKPGEMMAIVGPSGAGKSTLLDVLAGMIPLSKLSGNLLVNNKPMDPSHFRRVSGYVTQGEVLFPLLTVEETLMYSARLRLRDGRDKAAARVKELLEELGLEHVANIRVGGESNRGISGGEKRRVSIGVDLVHDPAVLLIDEPTSGLDSASALDVVLLLKSMAVKQSKTIVMTIHQPGSRILELFDQVLLLSNGTVLHQGSLSLLEQRLRFAGHLIPRHVNVLEYAMEATEALLIDADDIEQGCHDDQDIGNPDDDDQLISYVKESNLSYANSLFKEVLILGQRFTNNICRTKELFVSKTLQSIIAGIVLGTLFMNAASGSELQTQIGLFAFTLTFLVSSSIDGLPIYLQERRILMRETSRGAYRISSYVISNTLVFLPFLLIVALLYTVPVYWLVGLKRDMVAFLYFSLVVWMVLLMSNSFAAFFCALVPNFITGTTLINGLIGAFFLFSGYFIVKEDIPSYWIFMHYLSFYKYPFESFMINEYGGDEGQKRCLKIVGGQCYLYGKDLLMQQGLKESQKWINIIIMLSFIIGYRILSILILTFRSYRTKG >OMO61386 pep supercontig:CCACVL1_1.0:contig13496:4304:17630:-1 gene:CCACVL1_23561 transcript:OMO61386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MALDNEKPQTLSTFNTSNVSSTQAFPSSTNTNQNNSTSFSIASSAKPPDSIPTIPVTDLPIENMSYKNKLLAQTRHLYYNSWLDNLQVESDFDCNEDVSIGDQSIPTLILSPDEKQRIFKPWRNTLIIKLIGHPLSFNRSATNLSKSVANIPKSSPPVSPLSPSLATNLLVNSLIPTTENNNTDLELIRDCPIIPQLAQEIRPNIESTHSPLLQTHLAPTSDDPTNQTQSPTSSSLSELGNSPKPLVSSLSTTSSMVSPDTTIHLSSTSQLISPRTSPTTLQDQPLTPIMPSSTRIIMSSEGNPTINQTTTHSNPIPSLSLCNSSTTTESEHEPPSSEQQFQPTSNTRDEPTSTSPSKTSDNTSEDKHHNSTITTTRATDESRATESASDDPPPGFEQPFYFTASKSATNQSTKPTSSRKPKSTTSYVSKSSTTSLPRHRPSQFYSRKSNAHSKPGGISVPIKPLPTPYLCKPNDRSPGRFKRFSGGLWLLWDPAQLTVHSNITAPRYISAHVRPPAPDPPTELIAVYQNPHPQAHQQAIRHGLKLAASLQITHLIVESDSLSSIQMFNRRRPLHPLLELLHHECTEVAAGFTSLSYLFVYRECNQLLVSSAAAAHMEATKEIEEKVDEVEEKFSGFKKFDILPITTVFHDHHFFPYLYPINEIFRRKSVEKIMKQELKTLEQSLPNWIFVRGYDCKGRLENTPYRYGLFFFDILIPYDYPSKPPQLFYHSWGHSLNNPKLGQDGKVGVPFLQVPNYRFNILEILTFIQRSVLNYQVECVPSTYFFEEEKIFGGTREEKSSSDFQADLRNHALHNGETPSEDFGDLVAAYFRKWSNRILLCCKEEMQKHNSVMDLQLFLNLFKAFEANGSYCRHHYDHKIKSLIMNMDKDTEPIDETFLEKFTTILFNLGEPPAYIQEMMKMMKAMEERDKASDEMANERFTVMEERIVQLSKIVNGGNGKALELPATADGEDMSVTHNGRFIDLVNQTPTKNTVTSATEPTYVTKEQLQRVLEQKNKTLSFSEFDLKLSYAASIAAKHYPKDYTSPKFKLFDRKTGDAREHVMKFVETLGVPGLDDDLKLKEFSKSLTEKAYTWYVNLVPGSIESWNQMCRQFGEKFFPTQEKVTLINLGRECQKSGEDVMDYIQRFRERVLDVHDAHNEKELVKVCIHGMFNEYRIHLENLPLDTFATLVDATRRTNSTIQRQKERYNRKNVHAVQFRQRDERSGGNMPPKRSKKDFQGEDDAPPFPISVERVRALLREWIRDGQINLPYTTRLPTAQEKADAKYCDYHRTVNHPFVECRNMRRLIHRRVQKGEIVINDTGVHNNPLLDHGGQANAVIHSLLDEPEDHHSEDTSVATVMTSTIAASLLKTPNVRRFFDLLGFCEETRKEAAEELVQIANKYHAEGIDRGSLRHPMTINSFDNRGTRNLGCVLVNFKIGNIQEQTRFHVIDADVAYHVLLGRKWLNSHYLVGSSLHQCVKGYWNGKEIFIPATKAPFEKSEVRYAETLFFDEVAEKGERVLSLPMGIPLPPLQEYGERSENNMKYNRKRTRRGGKRRRGNTSEGYRVPEKGVTSHTKSNKDLVYYL >OMO91327 pep supercontig:CCACVL1_1.0:contig08356:22999:25428:1 gene:CCACVL1_07154 transcript:OMO91327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase MIGARLIHQQWLSIVICGLILLKADAGSVGITYLQNAVAKGAVCLDGSPPAYHFDKGFGAGVDNWLVHMEGGGWCEDVAKCVSRRNTDLGSSKQMVKQFGFSGLLSSQQKSNPDFYNWNRIKVRYCDGSSFTGDVEAVDPANNLFFRGNRIWEVIIEDLLAKGMRNAKNAILSGCSAGGLASILHCDRFRSLLPATTKVKCISDAGFFMHANDVSGGKHIEEFYGKVARLHGSVKNLPASCTSRMKMKPELCFFPQYVVQTMQTPIFFINAAYDSWQIKNVLAPTAVDSGKAWKSCKLDLTKCTPAQLKIIQDFRTQMLSALSGGVNSSSRGLFIDSCYAHCQAGKQITWSSDSSPAVGNTKIAKAVGDWYYERSPVQKIDCPYPCNPSCPKVDDSD >OMO91324 pep supercontig:CCACVL1_1.0:contig08356:3783:6576:-1 gene:CCACVL1_07151 transcript:OMO91324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MDRKRDFCTNKSMSTSPRKSTSSSSSESAGPIIREFQSVEGGDIHSRSQPDGIRFRLVSYNILAQVYVKSSLFPHSPSSSLRWKARSQSILHLLKNLSADFFCLQEVDEYDSFYKTKMEDLGYSSIYVQRSGQKRDGCGIFYKNNCAELLLKETIEYNDLVDSVQGESETSLSVDEQNETLTHGNNGADPKSDSSVKGNKEGRGDPNDPRVRLKRDCVGIMAAFKLNDPFPHVIILANTHLYWDPAWADVKLAQAKYLLSRLAKFKTMVTEKFECIPSLVLSGDFNSTPGDKVYQYLISGNSSSASSVSCLEEVPLPLCSVHAFTRGEPPFTNCTPDFTNTLDYIFFSPSDCLKPVGILDLPELDSPDVAGGLPNYSHPSDHLPIGAEFEIIKE >OMO91332 pep supercontig:CCACVL1_1.0:contig08356:60308:63783:1 gene:CCACVL1_07159 transcript:OMO91332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADARARSMQHLTAVSDSVDARRDPILASSNQLA >OMO91336 pep supercontig:CCACVL1_1.0:contig08356:86021:89037:1 gene:CCACVL1_07164 transcript:OMO91336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCEFPTPKLGFGATLLYYSDAEFGAGERFPTQMSPIMKPPSPLRLRIPVPPILPFYNSFKFRPFLSNSKLDPYFHAPQFPLLTKSSASAPQIPRAATSSKFDTAAVFQASPTDNPQAFEETIEKVIYRCRFLTLLAVFGSLTGSILCFIKGCAYIVSSFMEYFVNRSKVILLLVEAIAYGYPGYSVYVVVSNDSSRKIRFVVVNAILVCIKYIDQLRLSCYRIGISDIYLLGTVMLVFGMGLYELFVSNLDIAKSQSKGEVTSRSNLFGLFALKERPRWLEIKSVSELKAKLGHDASFCYLSSITDVHEDEGAVYSVKTIVRQKLN >OMO91328 pep supercontig:CCACVL1_1.0:contig08356:33577:36098:1 gene:CCACVL1_07155 transcript:OMO91328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin MDWAFVQKSWDKWVSSNVGSSDEPLKAALLINHDPLRPSRLLSTIAEQDGMNIGPIELSQFVSFIKCNKLQTETFIIGHREYMVTSIHENWFCARCLNTSKPAGEGAIVMQTSAFILVALDRQRGVRSDTTDYSYI >OMO91334 pep supercontig:CCACVL1_1.0:contig08356:67984:72360:-1 gene:CCACVL1_07162 transcript:OMO91334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKFSSGPEKRTSPLVWCAAIICTILTLAVIIAGIVTFIGYLVIHPRVPYVSVVGASLDRLLIDYAGILEIQVTVVIRAHNGNENAHASFSESSYFLSLDGKNLAQLVAGPFEVSKNNSVDFNYVAVSKPIPLDPEEAEDVDLAIKNDRITFDLKGGTKARWRVGPLGSLEQHVREMQFELESLVWDRKELKDHLQAAVRERRIMESILIELEEEHDKAIANIELLKAELQDLKQENLRLKEIQGKAAWSFRSGGQDEVTNKSKKVGDNDQVIPYGTIASLISTSNKGSGISFQELMMNRQVWEDKSSKTNGGYNIDFIKPASAPTGSVIKPFNPTSIVPNIDGNGGVVEERREIALSQTLFSAILSLLVGMIVWEAEDPCMPLVVALFTVVGMSLKSVIQFFFSIKNKPASDAVALLSFNWFILGTLSYPTLPKVTRMLAPLALTLFHWIASCLGISFN >OMO91335 pep supercontig:CCACVL1_1.0:contig08356:75545:77008:-1 gene:CCACVL1_07163 transcript:OMO91335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADAEKPNPALPTKSHSNSIPNNLQPRRFPTHLDAPGISPTARTLCQLLTRTSPLHIESALSSSGIVPTSDLLQQVLTFSYNHPFSAIKFFRWASRSFNPSAYAWNLMVDLLGKNQSFESMWEFVRSMKEEGLLSLPTFVSVFSSYCAADRFSDAIKSFHDMDQYGVQQDVVAVNSLLSAICRQDNQISVAVEFFDRIKSKIPPDGDTFAILLEGWEKQGNVAKARTTFGEMVIRVGWNPANLSAYDAFLTTLVRGAHTDEALKFLQVMKGHNCFPGLSFFSNALDFLVKQNDLTHIVPLWDIMVGGGLVPNLIMYNAVIGLLCNNNDLDNAFRFLDEMVFYGAFPDSSTYNMIFQCLVRNKRVRDVGNFFVEMIKNEWPPTCSNSLLAIKMLLEEDDPEMAIDIWHYMAENCVSPLDESSNELLIGLCNLGRLLEVKRFAERMLDRRIKLFESTMETLKKAFYKEGRSFRDKYDSLSSKWKVAQM >OMO91326 pep supercontig:CCACVL1_1.0:contig08356:21498:21644:-1 gene:CCACVL1_07153 transcript:OMO91326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYYLAIYHVSEDKYKFLCSKIQSEQQPAGWPPRRTPPPVLKSRIYID >OMO91329 pep supercontig:CCACVL1_1.0:contig08356:36639:39641:-1 gene:CCACVL1_07156 transcript:OMO91329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGSGGSKGSCRGGGGGAAASSSSSSSSSSSSTGGRRGRSKGKNRVFQSSCLGAPSGSADPDFDQVAHHRNKDYDSNFTNLNRNWNRRDAESESEQGKRESYRKIKGEAPSDDEMPMPCRDASRSSSSSGRAAAASSEHHSPSRCLSGFSFLPGNVSFRLSRANSLGTSRAYPVSSTSLAMLNHDDNSGTVEPQQLPHNSSSANTHTHNANIHSPIAFNDADIDRDRDRERERDRRVAVGSREPVERNVRFSRTLSVGRLRDRVLRRASLSDLTFCPLQEDRSLTNQTQAIGGDTDTLPSATSPSTATTTTTSANAPSNISSNSVFNNQDHDNETSRSREARYHDLLEHRSNFLERRRRIRSQVRALQRLGSRFENLSGHERSCILSGQHRTGRCTCCVNNRESNSNEDTSARASISRIVMLAEALFEVLDEIHQQSVVLSSRPSVSSIGSVPAPSEVVESLPIKVYNKSHKLQHDEAAQCYICLVEYEEGDSMRILPCNHDFHRTCIDKWLKEIHRVCPLCRGDICKPDVSPAEN >OMO91330 pep supercontig:CCACVL1_1.0:contig08356:41995:48667:1 gene:CCACVL1_07157 transcript:OMO91330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 14 MQNPQSSNNANQDPTIDAQPQPRRPRGFAATAAAAAASGSPTGTSSGAGAASTGSSSGKGKREREKEKERTKLRERHRRAITSRMLAGLRQYGNFPLPARADMNDVLAALAREAGWTVEPDGTTYRLRHSPPAQPQHHQHLGAFPVRSVESPLSSSSLKNCSVKATLDCQPPVVRIDESLSPASLDSVVIAERDTRSEKYPSASPINSVECLEADQLIQDVHSVEHENDFTGTQDVPVYIKLSTGVINNFCQVADPESIRQELSHLKSLNVDGVIVDCWWGIIENWNPQKYVWSGYRELFNIIREFNMKIQVVMAFHEYGGTDSANVLISLPQWILEIGKENQDIFFTDREGRRTTECLSWGIDKERVLNGRTGIEVYFDFMRSFRTEFDDLFAESLFSAVEIGLGPSGELRYPSFSERMGWRYPGIGEFQCYDKYLQQNLRKAAKLRGHSFWARGPDNAGQYNSRPHETGFFCERGDYDSYYGRFFLQWYAQSLIDHADNVLSLASLAFDETKIIVKVPAVYWWYKTSSHAAELTAGYYNPTNQDGYSPVFEVLKKHSVTMKFVCSGLQVCSYESDEAFADPEGLSWQVLNSAWDRGLTVAGENTLSCFDREGCLRIIETAKPRNDPDRRHFSFFVYQQPSPLVQGVICFPDLDYFIKCMHGDITGDLGPQ >OMO91325 pep supercontig:CCACVL1_1.0:contig08356:16845:19543:1 gene:CCACVL1_07152 transcript:OMO91325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase MVDTRSCHWLNLLLLGLLLFKAQAVYVPITYVRSAVARGAVCLDGSPPAYHWDRGFGTGINSWLIQLEGGGWCNNVTSCLVRKNTHLGSSNRMVKQIPFSGILNNKKLFNPDFYNWNRVKVRYCDGSSFTGDVASVNPVTNLHFRGARVWLAVMEDLLAKGMRNAENAVMSGCSAGGLASILHCDSFRALLPMGTKVKCISDAGYFINAKDVSGGHYIETFFDQLVATHGSAKNLLPSCISRIRPGLCFFPQYMAQQIQTPLFIINAAYDSWQIRNVLAPVIADPHGFWESCKLDIKNCLPRQIKTMQDFRLQFLAALLRIGKSSSRGMFIDSCYAHCQTEMQELWHMSDSPLLNKTTIAKAVGDWFYDRNPFQRIDCAYPCNPTCHNRVYDPQPHHYPDL >OMO91331 pep supercontig:CCACVL1_1.0:contig08356:49182:58076:-1 gene:CCACVL1_07158 transcript:OMO91331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MPGRGRREREISLDFDEQDRIMTYNGLESCILNNQSYENESRTSRGDGASDSLDYDDNFSSSSSSKEYAFGSFSSHWMTMKRDEQGSDEWELSDSPKKFYVKDKPTYAVPFSDVETMKEKFAKLLLGEDVTGGRKGVSAALALSNAITNLAASIFGELWKLEPLAEERKSKWQREMDWLLSPTNYMVELVPAKQSGANGRTMEIMTPKARADIHMNLPALQKLDSMLIELLESMVNTEFSYAEVGSKAEGRNKSARESKRWWLPLPQVPKTGLSDAERKKLQYKNKVVNQVFKAAKSINENVLLEMPVPSIIKDALPKSGKANLSEEMYKVLSVESSSVGEMFVSLNLKSEHNALEAINRLEAAAFAWKERIREEGSGKSPVRTSWSFIKDPMSEVDKMELLVERAEALLQQIKSRYPNLPQTFLDATKIQYGRDVGHSILEAYSRVVKNLAYSIMCRIGEILEEDTLSNPNSEANIIKRNEQSGVEHHVQQGGRDGECDSESESTATTPTRNRAPWDREADDIAALPLGICKLRTGILILLLSCCRFGFWKMGLPRFARPKEGDGESSPNLYVANCGPAVGLSYDTIASAFSSFGEIKGVYAADETGARVIVSFLESSSADSAFKALNGRPCPHLGGRSLHIRHSVLQLQSSIVHHTVPVALMASDLNIPGLYLFHDFITAEEEKELLLAVDNRPWKSLSKRRVQHYGYEFCYDTKNVDTKQHLGALPSFVSSILKRVSSSPDINDHANLVLDQLTVNEYRPGVGLSPHIDTHSAFEGSIFSLSLAGPCIMEFRRYISGSWDRNNTSNTDGQIENPDSCTELSRKAIYLPPRSMLLLSGEARYAWQHYIPHHKIDNVKGTMIRRSSRRVSFTFRKFEILDYLPDCRSEQVRANASSHNTVILNLIDKTAAVALGIQDTDYNL >OMO91323 pep supercontig:CCACVL1_1.0:contig08356:164:1573:-1 gene:CCACVL1_07150 transcript:OMO91323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MEKENEEDRKLEIKFSKKSIIKAMNLSPEPEPEPEHHQKLTLSNLDLLSGRFPVTYLYLYHNTGLMNNNFSCMVETLKISLAQTLSYYYPFAGRIIQDPDSGEPLIICDNTGALLVEAQANVPLRKLDFYNFDDSLRGKLVLSVNPDFPTQVQVTHYACGGVSITFTFDHALGDATAFGKFLSSWSQLAQNLPLSCIPDHRRDRIRPRFPPTYHPSLDDTFVKCTLEEIRNVPKTNILLKRLYYVDVSSINKLQSLASSAEGNKQSQRTKIEAFSAYLWKVMASSIDKRHAKCKMGWLVDGRGRLNSIGDEGSSMSNYIGNVLSVAIEEASIAEIKQGSMREIANKVHEAIAKVSNEAHFLDLIDWIEWHKPGLMLSKAVLGREGPALVLSSGSRFPVTELDFGFGSPVLGTVSSIIENSGVGYMNQRPSARGDGSWIISAILWPELAAALESESDSVFQPLRASHLQI >OMO91333 pep supercontig:CCACVL1_1.0:contig08356:64378:64464:-1 gene:CCACVL1_07161 transcript:OMO91333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSRHHYSTLQLQTTRTCSYCTPRILP >OMO72699 pep supercontig:CCACVL1_1.0:contig11418:412:2850:1 gene:CCACVL1_17642 transcript:OMO72699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPQSPTTPRPALVLSNSGKALMVSNSGKALVGSNSSKSLAGSNSGKRFDKKKYVKQVTGRHNDTELHLAAQRGDVAAVRQIMGEIDAQMMGTLSGAEFDAEVAEIRLAVVNEVNQLGETALFTAAEKGHLDVVKELLQYSTREGISTKSRSGFDPLHIAANNGHEAIVKVLLEHDPGLIKTVGQANATPLVSAAMKGHTAVVRELLSKDPSLLEISKANGKNPLHFAARQGHVDIVKILLEKDPQLARRTDKKGQTALHMAVKGVSAEVVRLILRADSAIVMLPDRFGHTPLHVATRKKRAEIVNELLHFHDTNINALTREQKTALDIVDGFPLSEEIAEIKESLSRFGGIRANDINQPRDELRRTVTEIKKDVHTQLEQARKTNKNMNGIALELRKLHRAGINNATNSVTIVAVLFATVAFAAIFTVPGGDDDSGMAVAASRPSFKIFFIFNAISLFTSLAVVVVQITVVRGELKSERRVVEVINKLMWLASVCTTISFMSSSYIVVGRRNQWAAILVTVIGGVTMAGVLGSMTYFVVKGKRIRKLKKQEKKNFARRLSDSDFEANPIYAI >OMO72701 pep supercontig:CCACVL1_1.0:contig11418:7057:9278:1 gene:CCACVL1_17644 transcript:OMO72701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKQGSQKKKMMKQLTSKRDDTPLHTAVRAGDFELVLEMIGEDGVELKDLLSKQNQSGETALYVAAECGYADLVKEMMKYYDIVLAGVKARNGYDAFHIAAKQGYLEVLKILMEANLDLSMTFDSSNTTALHTAASQGHTEVVNFLLEEGSNVAAIAKSNGKTALHSAARNGHLEIVKALLSKEPGIATRIDKKGQTALHMAVKGLNVEVVDELLKSDPSLINMVDTKGNTALHIATRKGRIQIIQKLLNQSGVDKIVINKSGETALDTAENNKQSDIADILKEHGVQCARFLKTQPTNPARELKQTVSDIKNGVHHQLEHTRQTRKRVQGITKRLNKMHVEGLNNAITSTTVVAVLIATIAFAAIFNVPGQYADTPEEFSPVVSPGQARIASKVPFMVFIVFDSIALFISLAVVVVQTSVVVIHKKAKKQMMSVINKLMWLACVLISIAFLALSYIVVGKDERWLANLITGIGTVIMVSTLGTLCYWVIVNRIEASKIRSLRRSSMNSESRSLSMSYMSDTEMLNSEHKKLYAV >OMO72702 pep supercontig:CCACVL1_1.0:contig11418:10829:10987:1 gene:CCACVL1_17645 transcript:OMO72702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQRLEITISVELVKLAIDFVMVVAEAVGIVIQQSERTPMIAAAGGRSISTP >OMO72700 pep supercontig:CCACVL1_1.0:contig11418:3560:5893:1 gene:CCACVL1_17643 transcript:OMO72700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLNGYDRERTRNPAATCEDRHVGSASIIKPEKHNNRHV >OMO79510 pep supercontig:CCACVL1_1.0:contig10407:24287:27831:1 gene:CCACVL1_13620 transcript:OMO79510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGGSAPYYIHRGVGGSSSGSMAHPGLAAFHSQPGFRPLSNPQIQIQSNVGSPFTGEAKNVSFHHSINMGVSPGMPPGEQPVKKKRGRPRKYAPDGQVSLGLLPMPAKPKPSSASDTSGQKQKRGRPPGSGRKQQLANLGEWMNSSAGLAFAPHVVTVGIGEDIVAKMLSFSQQRPRAVCILSGSGSVSSVTLRQPASSTPTVTYEGRFEILCLSGSYLLAEDGGPRNRTGGISASLSTPDGQVIGGGVATLIASSPVQLVVCSFVYGGSKTKNKQLASPKDSKEPMSQLSNRPAMPNTAPSTQNFTPPSMNVWPGSRPVDLRNPHTDIDLTRG >OMO79508 pep supercontig:CCACVL1_1.0:contig10407:17752:18642:-1 gene:CCACVL1_13618 transcript:OMO79508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTRNQRRKQNWPSLPPESAASNAEAIDVPSSPEENDVVTPPRLPKRVPLQKANGSTSKSPLLENARKKYVPLQKANGSTSKSPLSENARKKYAAAVKLVKEALVNDLTTGNNQDVDSLFEIANKAFTSLDGLQADYGDLLKAVHDFILKKMRASHCESGVVEAKKALGAILPQEDEIDAKVEFLKREQESIDISLSGASKKMNRLRKRISETEKQICSLKKLEQEMAVEDIGINQLKQEWTGVEASRAYLKAVKEKIAKEAETAGITMADAYRRRGEAVAELEMAKTELLNCLS >OMO79511 pep supercontig:CCACVL1_1.0:contig10407:31053:33156:-1 gene:CCACVL1_13621 transcript:OMO79511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase MASQSSQTSVYDFTVKDARGKDVDLSIYKGKVLLIVNVASECGLTDSNYTELSQLYEKYKDQGFEILAFPCNQFGAQEPGNNEQILEFACTRFKAEYPIFDKIDVNGDNASPLYKFLKSGNWGLYAGDIQWNFSKYLINKDGQVARRYYPTTSPLSLEISEINAEK >OMO79507 pep supercontig:CCACVL1_1.0:contig10407:4576:17437:1 gene:CCACVL1_13617 transcript:OMO79507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRTRAVETGPNLSVSTTGSLSIASGPVYYPSEEEFRDPLEYILKIRPEAEPYGICKIVPPKNWNPPFALNLDSFTFPTKTQAIHQLQVRPASCDSKTFELEYNRFLEGHCGKKLKKRVVFEGEELDLCKLFNAVRRYGGYDKVMKEKKWGEVFRFVRLGKKISECAKHVLSQLYREHLYDYEVYYKRLYHERAKSCKRGIHEDAKSENKVKVSSSKRRRKNSDHDKVKGCKEEEEEEVLDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPPGNWYCFECLNSEKDSFGFVPGKQFSLEAFRRLADRAKKKWFGSGSASRVQIEKKFWEIVEGSAGEVEVLYGSDLDTSVYGSGFPRSSDQRPESVELKAWEEYCRSPWNLNNLPKLKGSMLQAVHHNITGVMVPWLYIGMLFSAFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEASAFEKVMRSCLPDLFDAQPDLLFQLVTMLNPSVLRENGVPVYSVLQEPGNFVITFPKSYHGGFNLGLNCAEAVNFAPADWLPHGGSAAELYQLYRKAAVLSHEELLCVVAKQSDWDSKASAYLRKELLRIYTKEKTWRERLWKSGIIRSSPMSPRKHPEFVGTEEDPTCIICKQYLYLSAVVCRCRPSAFVCLEHWEHLCECKSMKLRLLYRHTLAELADLVLLVEKHASEETLSSDSLQRNILCSNQLTASRKKVKGAHITYAQLAEQWLSRSCKVLQSPFSGDAYTNLLKEAEQFLWAGSEMDSVRNVVKNLTRARKWAQGIRECISKIKNWSSGGGFEKVPLKLVNKLLSVDSVPCNEPGYLELKGYAEEAKLLVQDIDAALSTCSKIDELELLYSRACSSPVHVKRSEKLSKKISLAKVCIDSARKLISDKCPEAIEVDILQKLKAEILELQVQIQETETLSDLLSQAETCQARCRSVLTGSVTLKDVEVLLQEMESFTVIVPELRLLKQYHSDTSSWIARFNDIMKNGYQREDQNNVINELNRILEDGASLKIQVDELPLVKTELKKACCREKALKARDTKIALDCLQQLLAEAVVLQIESEELFLSLSRELAVALQWEESAKSVLARKAQMSEFEDLIRISEDIVPIAPSSHDIKYAISEAKSWLNNSRPFLGSVLSGSPTSCSLLKLGDLKELVSQSRFLKITLEEQVVLETVLKNCMEWQQDASSVLQDVDCLYDMTDIGDGRSNSLISKIEHLLTLLESVIKAGLSLHLDFPEIPKLQHASSTLQWCNQVLSLCYLTPSYEDVDSLMDIAEHLSIMCSSCTLLSSLIVGLKWLKNVSEVISAPFKSKACKLTDAEEMLAKYQDINISFPVMVAKLTDATCKHRLWQEQVHQFFNLELGERSWSQIMQLKECSKSSFFTCAELDMVLSEVEKVEKWKQRCMNALANFAGDENTLLYSLQKIKESLDRSLYVYEKSQGCQQACCFMCCANVSEDLEFLTCSTCKDCYHLQCVGNRNHAEVYVCSYCQLLEGGTAPYVGSGILVCNGKYSDLKLLSELVSIDGNFCVRVEERDTLQNIVDQAYACKMCLTEIVEFELSYCDKDLSVIRKKLTTALKAIGMAGVHDHQSDCNLERALARYSWRIQVTRLLDALEKGLERPSIQQIQQHLKEGEAINILPEDHFRMKLSELKVVGLQWADRAKKVAADSGALGLDEVYELIAEGESLPVCLKKELELLRARSMLHCICRKPYDKRSMIACGQCGEWYHTKCVKLLSPPKFFICAACMPGMENLVSSLNSSDQERSTYAKSVEPKTPSPRHTKPRIVLKKSDSSLTQKKLAITSGKGVFGGGSSGIDRLWWRSRKPFRRVAKKRVELASLSSFFHSQELSQEQEQEQKQE >OMO79509 pep supercontig:CCACVL1_1.0:contig10407:19264:21883:1 gene:CCACVL1_13619 transcript:OMO79509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Resolvase, holliday junction-type, YqgF-like protein MQYVKPLNLFQHLIKAKSGQRGRLLGLDVGDKYVGLAVSDIDNKIACPLSVLFRDAKNMDLMANDFKSLISQLSLVGFIVGYPYDKQKLARDAIQVKLFIDDLSNTGKLDGVKYTFWDEGFTSKNVELLLKPLNMHPTSSKTIADKFAAVQILQFSLASDETMGHDNFLIYRTELFYFIAYLDYANKKEKLGAAG >OMO79512 pep supercontig:CCACVL1_1.0:contig10407:33549:36783:-1 gene:CCACVL1_13622 transcript:OMO79512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase MASQSKKNPESIYDLTIKDAKGNDVDLSIYKGKVLLIVNVASKCGMTNSNYTELNQLYEKYKDQGLELLAFPCNQFGEEEPGSNDQIAEFVCTRFRSEFPIFDKIDVNGDNASPLYKYLKLGKWGIFGDDIQWNFAKFLVSKDGQVVHRYYPTTSPFSLELVNNMKNTNGA >OMO69659 pep supercontig:CCACVL1_1.0:contig12003:15751:18752:-1 gene:CCACVL1_19359 transcript:OMO69659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MYDIFDNTCQATIGIDFLSKTMYLEDRTVRLQLWDSAGQERFRSLIPSYIRDSPVAVIVYDVASKQFFLNTSKWIEEVRTERGSDVIVVLSDLVDKRQVSVEGGEAKARDLNVMSIETSAKAGFDIKAFFRKIAIALPGMETLSSTKQEDMVDVNLKSSNTNASQSKQQGVGCYFVSIMGMGCYLVSKRLCFNRGLYVIPSKLEEKIMTDLPEEICMEILERLPVKSLIRFKTVCKSWKSLINSPDFIERHTDRSATNPSKLGIVLEKYSETKKECSLFFHELNFNPISLGETTIIELPITRCKEVKPLSWCRGLLLLGVKCSHNFLLWNPSTRECKEVRDSPYHSCYNSEAISASALGYDFIIKTHKIVLIWRFGRDPNDISVYNLKTNSWTSVEVDEDHEYASFKPSTTVVNGAPHWLVCRRRDRLDVYFGDGDLYYEIEYFDYDMNKFLVVPQPCGDYDSPPRLFDMEGRLCIGCYKCGKVEIWVMMKYGVKESWSRQMIFGDIIPWYPICFAKDIGVSLVAEGKYRCCSYVIYNGKERGTELKFLAADSNIRSRNAFAFVESLISLEPERY >OMO55055 pep supercontig:CCACVL1_1.0:contig14801:12693:12797:1 gene:CCACVL1_27418 transcript:OMO55055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDDAYEIQYRLDHKKLLDRLVGSAYMDRLDH >OMO93051 pep supercontig:CCACVL1_1.0:contig08138:25191:29893:1 gene:CCACVL1_06657 transcript:OMO93051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, ZZ-type MEKGKKIHVNNEETLFKTQEEEEKREGNDGPPPEEFPDEFQCCVCLDLLYKPVVLACGHMSCFWCVYSAMNHFHESNCPICRCPFNHFPSVCQLLHFLLLKLYPIAYKKRERQVQEEEKTAGHFSPQFDKSLFEPQLRDNSDNLGNNLTLSHLQTDLHSESCSKDGESSSFKDSPKPTMHDEINLATSLISSEHAANVPDQGSNSRNDSEHRDQKKASVTDLLCVACNKLLFRPVVLNCGHVYCESCFVIPKDEIAKCQVCQSLHPNGFPSVCLILDHFLEEQFPEEYSERRATLLKENNCSTPAQRYANRATAISTDVYSSWFFGNGPKVHVGVGCDYCGMSPIIGERYKCKDCVEKIGFDLCESCYKAPVQIVGRFNQQHKPDHEFEIVPPLSISDFIFRMNSEQSDEEGSDAAEHMDDASQVTTLEVGVQPDQGDESQEPEDISPSLILSVDVSLDQEDDSDDPSDNNSSHLT >OMO93049 pep supercontig:CCACVL1_1.0:contig08138:6483:8608:-1 gene:CCACVL1_06655 transcript:OMO93049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MQGVFGLAVILLLASSCSYSMQQMMPWKMTDYFDLESQNNDYATAFFGNDEQKLLAGSANSMSFNVMNYGAAGNGQTDDSEAFKKAWSEVCSATSRDDVPTLFVPEGKTFVVNQIAFMGPCKSNSIRFQIQGTVAAPQTTSWSQDSFGSLLRFRKIDNLIVEGSGTIDGQGARWWNACIRKPDFVFVLDFSACNGVQLKGLTHVNSPRAHVTVHGCKDVTISDIKITAPEKSPNTDGIDISSSSNIKIQDSTIATGDDCVAINGGASFVNITGVKCGPGHGISIGSLGDGGDYDTVEEVHVSNCQLIKTTNGVRIKTFQGASGYARKISFEHITLENVENPIIIDQHYEDKSKKPKMDSASKAAAIKLSDITYSDLHGTSNSDYAINLNCDDNIGCSGIKMKDVDITSAVPGKSTLASCQNAHGTADSTQPKVPCL >OMO93050 pep supercontig:CCACVL1_1.0:contig08138:16577:22577:1 gene:CCACVL1_06656 transcript:OMO93050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFISRTNDKHSESAAAYIEAQQIKAKEQQQLQMQQLQLMRQAQLQRRDPNHPSLGGPVNAIGSEGVLGQSNASALAAKMYEERMKHPNAINSETSQPLLDARMALLKSATNHPGQLVQGNHGSDIKSEVNLGGAQRSMPMDPSSIYGQGIMQSKPGIANTGLNPGVGGLPLKGWPLTGIDQIRPSLGAQVQKPFLQNANQFQLLPQQQQQQLLAQVQTQGNLGSPPMYGDMDPQRFSGLSRGTLNTKEGQPTANDGSIGSPMQSTSSKQMNMPPIRQSSSQQDPLQSQQVQQTNRKRKGPSSSGPANSTGTGNTVGPSPNSQPSTPSTHTPGDNVAMVGNMQHGSSMSKNLMMYGSDGTGGIASSTNQLEDIEHFGDVGSLDDNVESFLSHDDGDGGNLFGTLKRNPSEHATETSKAFSFNEVGSIRKSNNKVTCCHFSSDGKLLASAGHDKKALIWHMETLQTECTPEEHTHIITDIRFRPNSTQLATSSFDTTVRVWDATQPSYCMWKFTGHTAQVKSLDFHPKKNELFCSCDSNSEIRFWNINQYSCTRIFKGGSTNVRFQPRIGQLLAAAAENVVSIFDVETDRRTHLLQGHSSEVHSVCWDANGDFLASVSQESVRVWSLASGECIHELNSSGNKFHSCVFHPGFPALLVVGGYQSLELWNTAENKCLTISAHDCVISALAQSPVTGMVASASYDKSVKIWK >OMO93048 pep supercontig:CCACVL1_1.0:contig08138:4429:5571:-1 gene:CCACVL1_06654 transcript:OMO93048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLGRCFTVGFLFILVVNGLVVADHELSGVDDDKRLLHRPFLYKAKGGGLGRGIYRKGFRHGIGGGVGGGFGGGGGLGGGGIGGGAGGGFGGGAGGGGGLGGGGGLGGGGGGGFGGGGGLGGGGGLGGGAGGGLGGGAGGGLGGGGGLGGGGGLGHGHGLGKGGGLGGGHGLGKGGGLGGGHGLGKGGGLGGGGGLGGGHGLGGGAGGGLGGGGGLGGGAGGGLGGGGGLGGGAGGGLGGGAGGGLGGGGGIGAGGGGGLGGGAGGGGGLGGGGGLGGGAGGGAGGGFGGGAGGGGGLGGGAGGGGGFGGGGGVGGGSGGGFGAGGGFGKGGGVGGGVGGGGGGGFGGGGGGGFGVGGGGGAGFGGGAGFGAGGGAGGH >OMO56328 pep supercontig:CCACVL1_1.0:contig14539:16381:18146:1 gene:CCACVL1_26634 transcript:OMO56328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhamnogalacturonate lyase MVKKVANRRSHLKQLGFWLIMIIELLLLHPISSQKIPARKILNDDSISNSSHFAVQLKTSHPESDSVVVDNGLVEVTIENPSGYLLGIKYQGIDNVLEERNEHSDRGYWDLVWYNNTTYDKMETEYFDIITQTDDLVELSFSRTWNPDNPNLVPLNIDKRFIVHRGVPGVYMYAILERQENFPSTEMFQIRIAFKLLGKK >OMO56327 pep supercontig:CCACVL1_1.0:contig14539:10099:11462:1 gene:CCACVL1_26633 transcript:OMO56327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhamnogalacturonate lyase MEKVAKRSYFIRQLVFWLIMIKLFLFLSVCPRKIPSRKILNHNNTTNSSPSAVRLETSHRHSVVVDNGLVRVTIGNPSGHLVGIKYKGVDNVLEWRNKPGSRGYWDVVWDKDKYDKMETEHFIVITQTDDLVELSFNKRWNPDNGKSVPLNIDKRYIVRRGVPGVYMYAILERQENFPPTHMYQIRLAFKLAQEK >OMO59462 pep supercontig:CCACVL1_1.0:contig13953:744:806:-1 gene:CCACVL1_24808 transcript:OMO59462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEQNRKKFGHTFFKISQR >OMO57361 pep supercontig:CCACVL1_1.0:contig14390:10320:13976:1 gene:CCACVL1_25810 transcript:OMO57361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETGKRRNAAPVQSKRSGKTEKRSNKPNQDKGGKTLNAKETESKSLKARSDNNSLVSDSNAGSEPSEVYENVVVHYVDDVNRSEETSQGSKANAMTTKVKKDEILDDRSTDMEGEGSTGKEGESDSETIKDSVSSQGDSLTAEDEKEERNSGVGVSHENLSDSSSRGSRKDSERETNILNSKALNNAPKKSAKSNGGPTKDATKSSLEKNSKTLKVSPKSSAESAEVTNGKHAEDVKEIDVSSETSNGAQSFVSDNEPIDAEDKIKVEDEAALNEKIVEMEARIEKLEAELREVAALEIALYSVVPEHGSSAHKVHTPARRLSRLYIHACKHWTQNRRATIAKNTVSGLILIAKSCGSDVSRLTFWLSNTIVLREIISQAFGISRNSSPLTRLPESNGSNKRSEVKPANLKWKAGTGSKQANGFVQFVEDWQETGTFTSALEKVESWIFSRIVESVWWQALTPHMQAPLEGSPASKTIGKLLGPALGDQQQGSFSINLWKNAFQDAFQRLCPVRAGGHECGCLPVIARMVMEQCVARLDVAMFNAILRESAHEIPTDPVSDPILDSKVLPIPAGDLSFGSGAQLKNSVGNWSRWLSDMFGIDNDDALIEEQHDSQDDFRQNGDGESKSFHFLNALSDLLMLPKDMLMDRSIRKEVCPSIGLPLVKRILCNFTPDEFCPDPVPGAVLEALNAESIVERRISGDTARSFPYAAAPVVYTPPSSADVGEKVAEAGGKLQLSRNASAVQRKGYKSDEELEELDCPLTSIIDKMPLSPTIVANGRVNGKQEHEGYGAVNARYELLREVWSA >OMO99797 pep supercontig:CCACVL1_1.0:contig06805:191:2125:1 gene:CCACVL1_03628 transcript:OMO99797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSENWEPATLILRRSSYQIRINSTEVVEISEKYSKELSIKVPSGLSTQFVLTCSDGSSRPFSTSNVRMRDTLALTMRMFQSKALDDKRKGRA >OMO99798 pep supercontig:CCACVL1_1.0:contig06805:8646:9791:1 gene:CCACVL1_03629 transcript:OMO99798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSSSSSAASSPNPWSPYDAYKDCSQRLCSIYCPQWCYLIFPPPPPFNIDDDENNSGTDFSPLIIAVIGILASAFILVSYYTIISKYCRRRRQTNSSLEFNESRDEMNHDGLQAAASEGLDESVIKSITVCKYKKNENLVEGTDCSVCLSEFQEDENLRLLPKCNHAFHVPCIDTWLKSHSSCPLCRAYIGSTTNSLPNPEEEAAAAGAGIVEVSRNNNNVSVSAAFEYQPRNDAVSVVQDLESGVREEAVVSLVVGGDMGNMEEIRQDRNGNGIFQLPLRRSFSMNSSSSVCQGQVFSIADILRISEEEEEDEHLQVEQQHDFQPSMGIGEYYGNKTSNHRNGVLNLVRSPLAMKRSISTGRFIFSSSSRYERERNSILPN >OMO86188 pep supercontig:CCACVL1_1.0:contig09521:2975:7479:-1 gene:CCACVL1_09740 transcript:OMO86188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRPSHSSSNSGRSRHSARIIAQTTVDAKLHADFEESGSSFDYSSSVRVSGDQQPRSDKVTTAYLHQIQKGKFIQPFGCLLALDEKTYKVIAYSENAPEMLTMVSHAVPSVGDHPVLGIGTDIKTIFTAPSSSALLKALGIGEVSLLNPILVHCKTSGKPFYAIIHRVTGSLIIDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLPSGSMERLCDTMVQEVFELTGYDRVMAYKFHDDDHGEVVSEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMIVDCRAKHVKVFQDDKLPFDLTLCGSTLRAPHSCHLQYMENMNSIASLVMAVIVNDGDEEGDSPDSAQPQQKRKRLWGLVVCHNTTPRFVPFPLSLNDAGFPGALALGDVACGMAAVRITPKDMLFWFRSHTAAEIRWGGAKHEPGEKDDGRKMHPRSSFKAFLEVVKTRSLPWKDYEMDAIHSLQLILRNAFKDIDTSDTNTSAIHSKLSDLKIEGMQELEAVTSEMVRLIETATVPILAVDIDGLINGWNLKIAELTGLPVDKAIGKHLLTIVEDSSVETVKKMLFLALQGKEEKNIQFEIKTHGPRTEAGPISLIVNACANRDLHENIVGVCFVAQDITGQKIVMDKFTRIEGDYKAIVQNPNPLIPPIFGMDEFGWCSEWNPAMTKLTGWKRDEVVDKMLLGEVFGTHIACCRLKNQESFVNLGVVLNNAVTGHEPEKVPFGFFARSGKYVDCLLCVNKKLDREGAVTGVFCFLQLASHELQQALHVQRLSEQTAMKRLKALAYLKRQIHNPLSGIIFSRKMMEGTELGPEQKRLLQTSTLCQHQLSKILDDSDLDSIIDGYLDLEMIEFTLNEVLVASISQVVMKSTGKGIRIVNDTAEEVMTETLYGDSVRLQQVLADFLLISVNFTPNGGQLVLLASLTKDQLGQSVHLAHLELRITHAGGGVPEPLLNQMFGNDGDASEEGISLFISRKLVKLMNGDIQYLREAGRSTFILTVELAAANRPKPN >OMO86189 pep supercontig:CCACVL1_1.0:contig09521:12064:12516:-1 gene:CCACVL1_09741 transcript:OMO86189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTFVYVLMSEAEREISEVSGGVADGNDDGHLDAGGAVTHTCRIDFDGRPCKRDLEGKVKQVLLFPFAKSRKKKQIKTRAKLSSLPSSGKRVGGGGGVCSGFLCLQPCALESPPNSRTSESNDPNFTYEMLKALIEGNHFYTKECNPHSV >OMO86193 pep supercontig:CCACVL1_1.0:contig09521:22882:23082:1 gene:CCACVL1_09745 transcript:OMO86193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDHRVDNVKKLIKSGRKRKGRSGSRSRLAVCAQKLEMSFTTKNAIQADNNGGSRCTSSVKTDGV >OMO86187 pep supercontig:CCACVL1_1.0:contig09521:402:587:1 gene:CCACVL1_09739 transcript:OMO86187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLFCLFILLYPGFVMGMGALIAVFLSTFALVLGSVVGDPDLLQDICVADLSSGVSFSDLID >OMO86191 pep supercontig:CCACVL1_1.0:contig09521:16427:17475:-1 gene:CCACVL1_09743 transcript:OMO86191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIGAEILVGPNHHIKSCFHNLQIHKQTACV >OMO86196 pep supercontig:CCACVL1_1.0:contig09521:43941:51486:-1 gene:CCACVL1_09748 transcript:OMO86196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Na+/H+ exchanger MMLVLSFVLGHVLRRHKFYYLPEASASLLIGFSLSPKPFFSNFGAIVTFAILGTFIASVVTGVLVYLGGLMYLMYRLPFVECLMFGALISATDPVTVLSIFQELGTDMNLYALVFGESVLNDAMAISLYRTMSLVRSHDSSGQNFFMVVVRFLETFVGSMSAEKSYQYFVVYMLAEGLSLSGIVSILFTGIVMKHYTYSNLSENSQQFVSAFFHLISSVAETFIFIYMGFDIAMEKHSWSHVGFIFFSILFIGVARATNVFSCAYLVNLDFEGQMAFALALQSVHDLPEGHGQTIFTATTAIVVLTVLLIGGSTGTMLEALQVVGDGHDGHLGETFDSDNGYVAPSYDEDGTAGNRLKMKLKEFHKSTASFSALDRNYLTPFFTSQNGDDEGERDDPMPSSRRGGFGSLRDN >OMO86195 pep supercontig:CCACVL1_1.0:contig09521:33481:34853:1 gene:CCACVL1_09747 transcript:OMO86195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLCPNIDKEDGLETVLEVPIPEEMFTSMGGNLQVRLTNMLTWMKAQTSDKWSQPVVAGRINELRFLLHLVGSPLIPLQVQLGHSVFKPVKDSSIQASTAKYIVQQYIAATGGQQALNAVNSMCVTGQVKITASEFHQSDTSVNVKSSEEMGGFVLWQKDPDLWCLELVVSGCKVISGSNGKLSWRHSSNQQTPISKGSPRPLRRFLQGLDPRSTANLFIDATCIGEKIISDEDCFILKLETSPSIREAQSGPNFEIIHHTIWGYFSQRSGLLIQFEDSRLLMMKTKDDNDVFWETRAESVMDDYEYVDGVNIAHSGKTSVTVFRYGEQSANHKRQIEEKWKIEDVDFNVWGLSMDHFLPPSDLPQD >OMO86192 pep supercontig:CCACVL1_1.0:contig09521:17988:22452:-1 gene:CCACVL1_09744 transcript:OMO86192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic solute transporter Ost-alpha MGDLVPIYLNIVAFICTIGAIALAILHIYRHLLNYTEPIFQRYIVRIIFMVPVYALMSFLSLVLPQSSIYFNSIREVYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRVLKPSWCLMTCCFPPMPLDGRFIRRCKQGCLQFVILKPILVAVTLILYAKGKYKDGNFSPKQAYLYLTIIYTISYTMALYALVLFYMACKDLLQPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKDAEEAAQFQNFIICVEMLVAAVGHLYAFPYKEYAGANIGGSCGFTGSLAHALKLNDFYHDTVHQFAPTYHDYVLYNHNDGDEGTRKYRSRTFVPTGPEMDAVRRNKFMFGNKLDDIQLSSLSSSGASTPQNSSSLPDSARGDVIKSSLLVDPSNSYTVPYDMSLIDMDLSNFPPKVPAAKETETR >OMO86190 pep supercontig:CCACVL1_1.0:contig09521:15654:16004:-1 gene:CCACVL1_09742 transcript:OMO86190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLNHNHVDANPVSSIWRSESFSYGYGYMEHNYIMMEKRQLFLKSYQFCRKRTLTEKIKRSLGRVKRVIWFRLRSARKLRRLVLSRLRVAFYRRRRFIRLMNNHYRHTNNSSCFW >OMO86194 pep supercontig:CCACVL1_1.0:contig09521:25945:32312:1 gene:CCACVL1_09746 transcript:OMO86194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLGLSSSIHHTPSLEVSSSGSSRRITPATVAPCMSLPFTDKSHFNALVVAGRPLLGFRHSFVPRAIATPNSVLSEQAFKGLPLHQDQDQDQDDSPVEAETSFNQVDELDISKLDLPQRLVDSLLNRGITHLFPIQRAVFVPALQGRDIIARAKTGTGKTLAFGIPIIKRLTEDASPRRMSGRLPKVLVLAPTRELAKQVEKEIKESASYLNTICVYGGVSYNTQRSALSRGVDVVVGTPGRIIDLIESNDLKLGEVEYLVLDEADQMLAVGFEEDVEEILENLPSKRQSMLFSATMPSWVKKLARKYLDNPLNIDLVGDQDEKLAEGIKLYAISATATSKRTILSDLITVYAKGGKTIVFTQTKRDADDVSLALTSSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLVIHYELPNDAETFVHRSGRTGRAGKEGSAILMYTNSQRRTVRSLERDVGCKFEFISAPAIEEVLESSAEQIVATLNGVHPESIEFFTPTAQRLIEEQGTSALAAALAHLSGFSRPPSSRSLISHEQGLVTLQFTRDSSSSRRFISARSVTGFLADVYPMAADEVGRIHLIADERVQGAVFDLPEEIAKELLNKQMPPGNSISKITKLPPLQDDSPSGDYYGKFSNRDRFSRGVSKDRRGFKASRGWSSGRDSDDEVQGGRSSWSRASKSSRDDWLIGSRRPKRSSSHDRSFGGSCFICGRPGHRASDCPNKLDF >OMO52902 pep supercontig:CCACVL1_1.0:contig15403:10082:10810:-1 gene:CCACVL1_29020 transcript:OMO52902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPSLVNYVISPYYIHASDNQGQVYVSDILRDGNYGEWMSCNAMVIGWLKSAMDKEVRGTVRYAKTAREIWVVLEERFGKESSPRVYEIRRAITVLRQEKMTMLAYYTKLKGLWEEIQSISPLPKYVCKDDAFGTVKTQILSTKPTPSLGNAYHLVAEDEQQKQISASRKPMVEAKTFQMG >OMP05386 pep supercontig:CCACVL1_1.0:contig05413:1510:3975:-1 gene:CCACVL1_01925 transcript:OMP05386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVFTRMFSSLFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRIAIAKEEFHSILEEDELKGAIALILANKQDLPGALDAAAVTEALELHKIKNRQWAIFRTCAIKGEGLFEGMDCQMSDLVYVRMEASIGLIVE >OMP07624 pep supercontig:CCACVL1_1.0:contig04305:311:991:-1 gene:CCACVL1_01267 transcript:OMP07624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHAGTVVGKLEGERDITLGFVDLLRDDFIEKDRSRGIYFTQDWVSIPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNEVIREASKWSPELAAACEVWKEIKFEFQAMDTL >OMP07426 pep supercontig:CCACVL1_1.0:contig04448:433:573:1 gene:CCACVL1_01304 transcript:OMP07426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTEPVNAEGSIEMKTNPNDLSGRMAEQTRDHFISFYSDSDSERS >OMO52120 pep supercontig:CCACVL1_1.0:contig15598:17871:18235:-1 gene:CCACVL1_29349 transcript:OMO52120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKADKHDHSARTSTSQDNKIAIKQYEIRVDQRRVKGEEQDKQSK >OMO82812 pep supercontig:CCACVL1_1.0:contig09968:12638:13996:-1 gene:CCACVL1_11735 transcript:OMO82812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MVAPCVIDTARTLGCRDGAAATHLKLISLLVIFFTSVVGISAPVLLARYFQGKPVYDKAILIIKCFAAGVILSTSLVHVLPEAFTALADCQVASRHPWKDFPFSGLVTLIGALLALFVDLTASSHVEHSHKPSGDYLPVGTQDGGKKVGNGLSSEELVKLKQKLVSQVLEIGIIFHSVIIGLTMGMSQNQCTIRPLVAALAFHQVFEGMGLGGCIAQAGFSMGTVAYMCFMFSVTTPMGIVLGMIVFSVTGYDDSSPNALIMEGLLGSLSSGILIYMALVDLIAVDFFHNKLMGSQIWLKKASFVALALGSTFMSILAIWA >OMO82826 pep supercontig:CCACVL1_1.0:contig09968:88318:91843:-1 gene:CCACVL1_11750 transcript:OMO82826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERWWGFKWFRFRMMLMLLCLFLLFDQNLSFPSSSNGEGLALLKLRERVVSDPFGALSNWKENDGEIDHCSWFGVECSNGKVVILNLKDLCLVGTLGPEVGKLGYLKSIILRNNSFSGSIPKEIGELKDLEVLDLGYNNFSGPFPSDFANNFSLTTLLLDNNEFLGSLAPELHEVKMLSEFQVDENQLTSAASIPSCKTGGFPRNIAKPVDVAHGRRLQQVVDPSNTLKDNAKDSQLSLSPSLAPSPLSSPSPSPSPFSPSPSPLSPSLSPISSPSPSPSSLFLTPSLSPALAPNPASPPAVHPPVSVSNPPQSHGIPTNSPASSPSQIADESSHSNHHLALILVGSIGGSILALMSVLGIIFFRSSKVVTVKPWATGLSGQLQKAFVTGVPKLKRSELEAACEDFSNIIGTFSDGTVYKGTLSSGVEIAVTSTAVTSRDNWSKNLETQFRKKIDTLSKVNHKNFVNLIGYCEENVPFTRMMVFEYAPNGTLFEHLHIREAEHLNWGMRLRIAMGIAYCLEHMHQLTPPIAHRNLQSCSVYLTEDYAAKISDFSFLNDATAAKVGSATMELLESPLSDAESNIYNFGVILFEMITGRIPYSVNNGSLANWASDYLNMDRPLKEMVDPTLKVFEEDELVKLFEVIKSCVHPDPKERPTMREIAAKLKEITSMGPDGATPKLSPLWWAELEILSTEGS >OMO82827 pep supercontig:CCACVL1_1.0:contig09968:102818:104281:-1 gene:CCACVL1_11751 transcript:OMO82827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MESHPLWPIYNPLEDSTLDEIGLYFAEMDTQLSSFDQFSNSSVSIEDFSDISSSTLHPFLGNHFPGILPSLGCGESPSSDQFMLDGSENFPELITYERSNSSQQIFSEDFSISSSSQNLSPISGEVSVEIPSIQAPLVLPSENMEVDEQLVIPHLLKAYGEAMESQQIELADEIVSCLKDKASPTGKTLERLLFYLTFALDKQGNYLRQESIKNYEAAFEAFYQIFPYSRFAHFGANSAILEAIPIDAKEWEESTRKSDFLSELGLAPRRMSRENYEEAKELVREGKSSYW >OMO82820 pep supercontig:CCACVL1_1.0:contig09968:58293:61274:-1 gene:CCACVL1_11744 transcript:OMO82820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase MAATAVSFFSCTIPKLSSSTKLNSFIFVTHFPPPFSSTSLSVATATNADKLSNVLSFRFTKSRRNPTPPVAAMIYPQNPVLSDIFANVFSGIVALSVLRFWEETAKRDLFDQKLNRKLVHISIGLVFMLCWPLFSSGYRGAILAAITPGVNIIRMLLIGSGIWKDEATVKSMSRYGDYRELLKGPLYYATTITVACAVYWRTSPIAVAAICNLCAGDGIADIVGRRFGKQKLPYNRDKSIAGSVAMATAGFVASVGFMYYFAHFGYIQESWEMVLGFLIVSLASAVVESLPISTELDDNLTVTLTSIFVGSLVF >OMO82829 pep supercontig:CCACVL1_1.0:contig09968:110634:116115:-1 gene:CCACVL1_11753 transcript:OMO82829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEANKWFIMLVLMLVLGGGCKGCLEHERIALLQLKPFFDDPTYHYLSDWVDIDCCQWNRVECHITSKRVIGLYLASTRSEYWRDQLGSYLNFSLFLPFGELNKLDLSYNQIAGCSDKEDFAKLASLPKLEILDLSGNHFNDSILLSLTKLSSLKSLKLVGNEFNGQINHTNGLSGLHNLEVLDLSRNYLKNNFLSHVGVLSSLKILNLGYNQISGSLDILQGNRRKVMTNLERLDLSGNLFNNSILEQLRGISNLKSLSVANNTLKGLINIEEIAALNNLEELDLSFNELHGFLPLKAFNSSLRVSTNVEEIYISDSHLPNNTLQTIGVLPSLRTLFLQNCSGLIGTLPTQGWCDLRNLESLYLRNNELEGTFPSCLDNLTSLRVLDISFNCDFQVPTSLISFANHSNLKELWFTGNEIVTDTNPTSQTWVPNFQLETLRLSNCRPPSKGSLPNKQLPKFFYYQSNLISLFLSNINYGGKFPAWLMDNNTRLRELSMVGNPFVGSFQLPQHPNFDLSLFQLRHNKIESEIPRNICLTFPNLNVLNLVQNGFRGNIPTCLAGLESLAVLDLAYNNLSGGIPDEFGKKSNSSLETLRLSNNNLNGKLVPTIFSSSSLKGLYLDGNHFDGEIDDVDFDFSASSDLLDIDLSNNNFCGKLPIWLGKVHGLQRLALSNNHFNGTIPLEFCHLDRLSVLDLSDNNLFGSLPSCFNLSKTKHIHLRGNKLSGPLPRVLYNSSSLVTLDLSQNEFSGKIPHWIGTLSALSILILKSNHFGGEIPSQLCKLESLRIIDLSQNQLFGPIPSCLSNLTFDLKDGDSPYKTLLSEFNYLHPGYTPGPWELLQVLTMEDSIAHAYVQQEVNIVTKRASLTYAGNLLGNMSGIDLSCNRLTGQIPPEIGNLSEIHLLNLSHNNLTGFIPSTFTRLKQIESLDLSYNSLSGRIPNELTELNFLAVFNVSYNNLTGSIPDQKGQFGTFDESSYVGNPSLCGPLLHKKCSPTDSPPTPPNGEEGSGLAVDSFSFWLSFSISCAIVLITMTIVLSINPYWRQAWFYFIQQCIHTCHFFVEDNLVKLYIHMRRLRRMIM >OMO82822 pep supercontig:CCACVL1_1.0:contig09968:66630:68499:1 gene:CCACVL1_11746 transcript:OMO82822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEMMNNTNVNLQLQPGVPQNPNPFTLMSPTYLPKAPTSFQVWQRPYFMNQVGGGAGAAAAAASGSATNWNGGIFQFQASASNYNHPFGMNVCTNNNLQPYNPNLYPMTSSHQILPKIPIPALRKPVKIDGSDLRLGIGSRKALDHNMDPKKLKRVISNRLSAQRSRVKRLDHVSEMQRKADEYQAETGKESIFFIDGDDDPYGQGIEIDLMKKPGEYPMFGWEIGIGPLNVDLNRSGDSEQVPNQILTGPHRRNVSGIFGGIEQLLNMDPGWNPNPRNDDDMII >OMO82819 pep supercontig:CCACVL1_1.0:contig09968:57281:57628:1 gene:CCACVL1_11743 transcript:OMO82819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLSIPPSMEPSRPPTGELVLSHDKHFALHGEIWLLVMVLGFALFLVFIIVFPRLRRRSRRRSSESDVSDSNINSPPRRRNCLFLCSRKRNRVDEVEAEEEQRYSNRINDIFPL >OMO82824 pep supercontig:CCACVL1_1.0:contig09968:74858:77043:1 gene:CCACVL1_11748 transcript:OMO82824 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide repeat-containing protein MAFARQCTPLTELSLPFQRHRFLAPQFYQAFFLSKHGVRRISTRICNHRTPPSFVLRKSQPKTREFRLFNFKSVELDQFVTSDDEDEMSEGFFEAIEELERMTREPSDILEEMNDRLSSRELQLVLVYFSQEGRDSWCALEVFEWLKKENKVDNETMELMVSIMCSWVRKLIEGEGDVGDVVDLLVDMDCVGLKPGFSMIEKVISMYWEMEKKEGAIGFVKEVLRRGISYEDEDGEGQKGGPTGYLAWKMMVDGNYREAIKLVIDLRESGLKPEIYSYLIAMTAIVKELNEFAKALRKLKGFARSGLIAEIDTENVELIEKYQSDLLADGLRLSNWAIQEGSSSLYGLVHERLLAMYICAGRGREAERQLWEMKLAGKEADGDLYDIVLAICASQKEGSAISRLLTRIEVSSSLRRRKTLSWLLRGYIKGGHISDAAETVVKMLDLGLHPEYLDRAAVLQELRKRIQQPGNIETYLNLCKRLYNASLVGPCLLYLYIKKYKLWVIKML >OMO82817 pep supercontig:CCACVL1_1.0:contig09968:36683:37436:-1 gene:CCACVL1_11740 transcript:OMO82817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase MSKDEQGMSKVADPREYLGCNKFYYAATYYAAAITLACLFWRTSPIAVAAICNLCAGDGVAGIVGKKFGRQKLPYNKNKSIVGTVTMAISGFITSIGFMYYFASFGYIQESWEMVFGFWIVSVASALVESLPCVPQLDDNLTVPLTSMFVDILVF >OMO82823 pep supercontig:CCACVL1_1.0:contig09968:70095:71638:-1 gene:CCACVL1_11747 transcript:OMO82823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKMNLNMDEDDQEGTDDERKTSASSSNSIVDQEVLNNRELLGNVDYISQNLWHQSMLHHDQRISSEFSDISWSSFRGNRMSDHLTRFINSRSSDMSQVFGSNMNSNNFIFNVQQPVRKMEEFQDKLQFQDNCVQAKMQPMVMNHQSSANYKWLLGKEAERQRIGAKRKGMDHIEELDLSLSLKLRQDQVRRKIITSNIDEEEEVGNYNNLSLSLSSSSPSKSTEEMYSVNLNMVSKSSKLKEDDNKYSTMNNYLKLASTGLDLTI >OMO82813 pep supercontig:CCACVL1_1.0:contig09968:20382:21849:-1 gene:CCACVL1_11736 transcript:OMO82813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGDQLIIRSYDAQNDRARVEDLERRCEVGPSERVFLFTDTLGDPICRIRNSPIYKMLVAEVDKKLVGVIQGSIKLVTVHKPTKELARVGYILGLRVAPLYRRQGIGSNLVTKLEEWFIANDVDYSYMATEKDNEASYKLFVDKLNYVMFRTPAILVNPVSHRMSHLSSNFELAKLKIDEAESLYRTFMSSTEFFPNDIGNILRNKLSLGTWVAYPKGETWGYKGNNNNNSMPSNWAMVSVWNSGEVFKLRLGNAPLSCLMYTKSSRVIEKLLPCFKLPAMPNFYHPFGFYFIYGVYREGPSSGKMVRTLCRFVHNMASKAKDCCKVIVTEVGGSDTLRLHIPHWKLLSCPEDLWCIKGLKNEERNSLHELTKTPQTRALFVDPREV >OMO82828 pep supercontig:CCACVL1_1.0:contig09968:106985:107944:-1 gene:CCACVL1_11752 transcript:OMO82828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MWRPWGSKKSPVQIHDTSSPFSCSSFKDIQNLCNTEDSSSSSSRSSAARRTSNVFHRVRMANTLLRAWAPPRADKKPDPIGKNPDSVTDRSISIPGADKRIVVYFTSLRVVRSTFEDCKTVRSILRGFRVSIDERDLSMDSGFINELKGILGQRKLPLPRVFIGGRYIGGADEIRLLHETGELKKIVEGLPAAEPGTCDVCGGYRFVLCNECDGSRKLYTEKSGFKL >OMO82816 pep supercontig:CCACVL1_1.0:contig09968:34244:36394:1 gene:CCACVL1_11739 transcript:OMO82816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MKRFSSSSKRVAVNNNNNNNNGVNKGEEIEWELRPGGMLVQKRNLGDASSGPMIKIKVSHGSYHHDITVPAQSTFGDLKRVLAQETALEPKEQRLLFQGKEKDDGECLHMVGVTDMSKVVLLEDPASKERKLEEMKRNQSIQKAYEDVAKVRTEVDKLSDKVIALEAIVRGGTKVSDKEFLVLTELLMVQLLQLDTIEADGEARVQRKVEVRRVQGLVETLDNLKARNSNPFSSSCNAVSVSTKWEAFESGVGSLTAPAPLPSSTRITHDWEVFE >OMO82825 pep supercontig:CCACVL1_1.0:contig09968:84023:87371:1 gene:CCACVL1_11749 transcript:OMO82825 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MRGLKLIERFKSTQVHALNQPDSTSVNTAGSSSIAGKLSNHRVKFIGSKLKSNKAFSASAAKTLLPFGLPKTDLLEPPIEPYLKPIQLVETLADVYRRFETCIESEKSLICIEQYSILSSLGDAKLLRRCLRAARQYASDVHSKVVLSAWLRYERREDELDGVLPMDCGKFILECPKAALVSGYDPNSIYDHCKCYEEGTESSDGQTSMGNEYLPLEEDSDISFYVGNEVIDCVRFKIAALSSPFKAMLYGNFMESKSYKIDFSENGISVEGMRAVDLYSRNRRVDMFSPEIVLELLSFANRFCCEEMKSACDIHLASLVDCIEDALVLIEYGLEERANVLVASCLQVFLRELPSSIYNPKVMKMFCSFEARERLASAGHASFLLYYFLSQVAMEENMVSNATVMLLERLRECATQKWQKALALHQLGCVLLERKEYGSAQCCFEAATEAGHIYSLAGMARSRFKQGQQYSAYRLMNSLISEYKAVGWMYQERSLYNVGKEKIVDLDTATKLDPTLTFPYKYRAVSKAEEKQIRAAISEIDKIIGFKLVPDCLELRAWFFTAIEDYASALRDMRALLTLEPDYSMFNEQVSGEDFVELLSYKVQQGSQADCWMQLYDRWSSVDDIGSLAVIHQMLVNDPENSLLRFRQSLLLLRLNCQKAAMRCLRLARNLSSSEHEKLVYEGWILYDTGHREEALARAEKSISIQRSFEAFFLKAYTLADSSLDPESSAYVIQLLEEALRCPSDGLRKGQALNNLGTIYVDCGKLDQAATCYTNALEIKHTRAHQGLARVYFLKNQRKAAYDEMSKLIEKAHNNASAYEKRSEYCDREMAKNDLNMATELDPLRTYPYRYRAAVLMDDQKETEAVQELSKAIAFKPDLQMLHLRAAFYESIGDLNSALCDCEAALCLDPNHIDTLDLYNRARNRATHPHEM >OMO82815 pep supercontig:CCACVL1_1.0:contig09968:28252:31475:-1 gene:CCACVL1_11738 transcript:OMO82815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDQSLTVSEAVRKIQLHLLDGIRDEKQLLASGSLISRSDYEDVVTERTIADSCGYPLCPNPLPSEPRRKGRYRISLKEHKVYDLQETYMFCSTDCLINSRAFAGSLQEERCSVLNQAKLNGILSLFEELDTKDEGLGRNGDLGFSKLKIKENEDVKAGEVSLAGPSNAIEGYVPQREFISKPLPSKSRKNEVCDSSSSKLGKKKEDFFVNNEMDFTSEIIMNDEYTISKSSGNSRQKERTKPGSKKSDFVFNEMDFTSEIIMNGEYTASKMPSGSSQSSSDPTFKEVEGKGLCKDFKDKCAVSGSSSALREKDSSIIELPSTKNVDQSSLDTSIAEADKETQAGKAATSSETALKSSLKSAGAKKLNRSVTWADNKKVNDSGNSNLCEVREMESLKGDSVICSGAAEGDEDNMLRYASAEACAMALSKAAEAVASGDSDITDAFSEAGLIILPCPSEVDKEEPMKDVQTLEPEPEPELETATAPVKWPSKPGIPSSDLFDTEDSWFDAPPEGFSLTLSTFATMWNSLFEWVTCSSLAYTYGRDDSFHEDYLSVNGREYPRKIVLRDGRSAEIKETLAGCISRALPAIVADLRLPIPISTLEQGMGHLLDTMSFMEALPAFRMKQWQVIVLLFIDALSVSRIPALTPYMTSGRTLLHKVLDGAQISMEEYEIMKDLIIPLGRAPQFSAQSGA >OMO82814 pep supercontig:CCACVL1_1.0:contig09968:26907:27092:-1 gene:CCACVL1_11737 transcript:OMO82814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAIKKEEHYLSFDIYKYKMKEMEAGSPNHSNKKLPKKQILCLQKLQNILLMSGQKKKSY >OMO82818 pep supercontig:CCACVL1_1.0:contig09968:46091:46831:1 gene:CCACVL1_11741 transcript:OMO82818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MNNDLTLSELIYPELKTTYRLGHTGTNFHTWRSKLDFVLVDNQVNYVLTEPKPSKDNPDDVVGHDKWAADDFKARHIILGTLDDNLYITYQKQETAKSLMEALTRVFARTPMAKFALFKKYVGYKMKEGTNINLHIVEMEAMAKELELEGLKMPEEIHSTLLLHSLPESWGDDVAAIEMNLNSDEDGLGFDNICCKLRNAGCWKEFRKARNDEEESSSSRDGSRVGFKGNCYNCGEFGHRKSFCPN >OMO82821 pep supercontig:CCACVL1_1.0:contig09968:63169:64232:1 gene:CCACVL1_11745 transcript:OMO82821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINVTGGNVNQQASLQDPNANFGFSESAQVDQPMAMAENLPIPTDQGQAQGMHPMDPKRLKRVNASREYSQRLKWQSPFPGSTEYHELKKERDMLKQISLQRHAPVAAAAEMAVQPNHYSMVNNLPMDQSGFNQFTGGAGPSNMAAAPNQNMGNVQFGFMAPDQTSGNLQFGFGPSVGLDGHGPSIGDNGHRDQM >OMO52462 pep supercontig:CCACVL1_1.0:contig15525:15175:15243:1 gene:CCACVL1_29218 transcript:OMO52462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWFNKKKQAASKKGTRAIQQPW >OMO91580 pep supercontig:CCACVL1_1.0:contig08324:11274:14464:-1 gene:CCACVL1_07072 transcript:OMO91580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MEEGILLQWSVFRSLLAILQWWGFNVTVIIINKWIFQKLDFKFPLSVSCVHFICSSIGAYLVIKVLKLKPLIVVDPEDRWKRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVVLQWLVWRKYFDWRIWASLVPIVGGILLTSITELSFNMFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILGVPALLLEGNGVMDWFQTHPSPGPALIIIFSSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISSMNAVGCAITLVGCTFYGYVRHIISQQPPGTPRTPRTPRTPRNLMELLPLVNNEKLDDKV >OMO91579 pep supercontig:CCACVL1_1.0:contig08324:9354:10651:1 gene:CCACVL1_07071 transcript:OMO91579 gene_biotype:protein_coding transcript_biotype:protein_coding description:UspA MPSSGAFLRQLSGKEAWKSTSWRWGGNTKYNNNVGGTGYSGGFEMEGLNMYGNGVENGLVLRKRVMVVVDQSSHSKHAMMWALTHVTNKGDLLTLLHVISPTQDGSDSSSTYLANSLGSLCKACKPEVEVEALVIQGPKLATVMSQVKKLEVSVLVLGQKRPSAFLNCLCLFGTSSSSEEFVEQCINNADCLTIGVRKQSKGVGGYLISTRWQKNFWLLA >OMP10378 pep supercontig:CCACVL1_1.0:contig02566:339:422:-1 gene:CCACVL1_00980 transcript:OMP10378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLPIQPGRHEWNSDHNIIDKSKMYSYK >OMO69538 pep supercontig:CCACVL1_1.0:contig12017:1602:1790:1 gene:CCACVL1_19441 transcript:OMO69538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLEAEKQRGKGIEEEKEEGKNMGDRFWWDEPIDDMGVDELEAYVKALDELRNNVAKKASG >OMP06640 pep supercontig:CCACVL1_1.0:contig04868:4093:4317:1 gene:CCACVL1_01485 transcript:OMP06640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYLMKFPPAFFCCCTCEVLSMLLTCALSFANASCTWKAYQSLTTQL >OMO79133 pep supercontig:CCACVL1_1.0:contig10484:9963:10082:-1 gene:CCACVL1_13891 transcript:OMO79133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERLRQRPTGNHYEDDQIRGQRRHLPPTNSSINPLNFNKS >OMO96950 pep supercontig:CCACVL1_1.0:contig07345:17512:19218:1 gene:CCACVL1_04736 transcript:OMO96950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MESRTSLAPGFTLFPTLKPPNKTLFFHQIFILILTFLAYASFHASRKPPSIVKSVLGPTIQSNSTATDTGWAPFNGPKGTHRLVVSPDDLGFELVENGKEIEMNAEGETVGNLKVESEEAGLLENKDSDLGSDPLAAIGFLEAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYLRHTAVAGVHLSHKTAGILSTIFDIGGVFGGVMAGFISDIIEARAVTSITFLLLSIPALFLYRVYGSVSMVTNISLMFISGLLVNGPYSLITTAVAADLGTQDVIKGNSRALATVTAIIDGTGSVGAALGPLLA >OMO96949 pep supercontig:CCACVL1_1.0:contig07345:7917:16251:1 gene:CCACVL1_04735 transcript:OMO96949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MGSSGADKLPRDSETEGSETTIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHMVVRQPIPPSFDGSPNQSANDPASGTSRVHTPSVVIETFNVPDQADGVPPEISRIVSAVLGSFGFANLGTGNIGGDVREHGSQRLERTSGSSGIPDSSQAQIEQASMRSQSDRAHSAFGLPAAVSLGPLQPPVIPDALATLRQYLSHIRREFDGIGRTGGSDPQAASMSRTGDRDSNSASNSGTVREGLPTPASLAEVLHSTRQMLIEQAGECLQQLARQLEDQGNVTDSAARLSAQSNAWRTGVLLQNLGSLLLELGRTTMTVRLGQTPSEAVVNAGPAVFVSPSGPNPLMVQALPIQPGASLGAIPMGTVQSGSGLVNGLGTGFLPRRIDIQIRRGSSTATPNINREERGDTAQQSSQRNTSVGSGNDNRSTQTTSRVPDAPSLAGESGVRVVPIRTMVAAVPGPFGRLPSDSSGNSVGLYYPLLGRFQHVASGHVSGERGSPASGEHLSHGVPSEQRTVPEATVQQQNLEESTRDVPNPSSRQQERSNTRTVSINILAAGRAQNNQESERQIPSSVLQFLRAIFPGGEIHVEEASAQGAATGSVQEQAGTSSDTPAAEPSATDQGMFLSNLLHQIMPYISQHSASQQSSVPAEEPNTSTQAENSGTGNSRGRPSGSDSNPPNSKRQKME >OMO57144 pep supercontig:CCACVL1_1.0:contig14437:23904:24326:1 gene:CCACVL1_25964 transcript:OMO57144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRATWVFECGISQSGNSVAVRLVKPVGWGSILGEYCRGGQPRKPQFKAKMASSAAETSQNQNPSKPKTIDSHLHIWASPQEAVEYPYFPGQEPTLPGHLDFLLQ >OMO57143 pep supercontig:CCACVL1_1.0:contig14437:17641:18393:1 gene:CCACVL1_25962 transcript:OMO57143 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate receptor 2.7-like protein MAFEQHQVIQIKLDGPNYSYWSHLMRTFLIGKELWGYVDGTVTEPNSTSTEYAKLKKEWETYNARILSWINNVVEPSIGMHLAKFKTAKEVWDYLANLYVQSNFAKRYELKKVIRSEGQKDRSIQDFYNFMNSVWDQLDMMDPPELSSFPAYLKLREEQKLVQFLMALRNEFEQLRGSILHRSPLPTVHNVVSELIAEETKLKTPTPPVMNTQAVPIASSQVKPANLNSGARGNQRIAIDECGYCHEKGH >OMO57142 pep supercontig:CCACVL1_1.0:contig14437:7196:12780:-1 gene:CCACVL1_25961 transcript:OMO57142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance, DNA-dependent ATPase MAGEDSGNPVPSTPESPTSAGFNTDQLPHDSSPSSDEEEAAVDPEIIRDEVEEVDEEDDEGEDLYNDNFLDDYRRLDDNDQYESIGMDDSMEDERDLDQIMQDRRAAELELDTRDARLSNRKLPQLLHDQDTDDDNYRPSKRSRADFRPPAAARSYDDTDGMQSSPGRSQQGHSRDDVPMTDRTTDDYGYEDEDDQGDFEMYRVQGTLREWVTRDEVRRFIFKKFRDFILTYVNPKNAHGDIEYVRLINEMVAANKCSLEIDYKQFIHVHPNIAIWLADAPQSVLEVMEDVAQRVVFDLHPNYKNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCNKCGAILGPFFQNSYSEVKVGSCPECQSKGPFTVNIEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDMSLNTKNGFPVFATVVEANYIAKKQDLFSAYKLTQEDKEEIEKLAKDPKIGEKIIKSIAPSIYGHEDIKTAIALAMFGGQEKNVEGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADKGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDILCVVKDVVDPITDEMLAKFVVDSHFRSQPKSSNTDDRAFSESQDEAQAPARSDEILPQELLRKYITYAKLNVFPRFHDKDMTKLTKVYADLRKESSRGQGVPIAVRHIESMIRMSEAHARMHLRQHVTGEDVDMAIRVLLESFISTQKFGVQKALRKNFRQYISFKKDYHGLLLALLRELVNKAVRFEEILAGSTARLTYIDVRVADLQAKAEEYEITNLEEFFSSPEFKASYKLDEQRRIIRHRLADYENQP >OMO96785 pep supercontig:CCACVL1_1.0:contig07378:16181:16898:-1 gene:CCACVL1_04771 transcript:OMO96785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVDGEFRFKKDLCCDRGEEIKVGPQDIVDIFGLPGSGVQLRREASTIGRGGSGLNIDTSYFSSFTDDLIFNGRIDWATHVYTTLINGIDGFLGPKQREYVSGCVVILQVIYADVVSGDRRRRNEQRPRIELFNKWGDEEMNNLLRNISDYANPKMVR >OMO96783 pep supercontig:CCACVL1_1.0:contig07378:8330:8491:-1 gene:CCACVL1_04768 transcript:OMO96783 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAE1/Type III polyketide synthase-like protein MEAARAEAEIAIFSAIDDLFNKTGLKPKDIYSYGQLQSVFSSSIFVFHGDQQV >OMO96784 pep supercontig:CCACVL1_1.0:contig07378:15344:15881:1 gene:CCACVL1_04770 transcript:OMO96784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDEDMLDLNEAVDIIDEDEEDGGAGERDKKHYKRENCKCGPKPESRKGCDVHMRILKRGEKFVVTQFQTAHNHPMVPKKL >OMO96788 pep supercontig:CCACVL1_1.0:contig07378:29892:33916:1 gene:CCACVL1_04774 transcript:OMO96788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding protein MDSLHDSISAANAAAAANGNTVPPFLSKTYDMVDDPSTNSVVSWSSGNNSFVVWKVPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKSISRRKPANAHSNQQPQAQSSSVGACVEVGKFGLEEEVDRLKRDKNVLMQELVRLRQQQQSTDNQLQAVGQRVQVMEQRQQQMMSFLAKAMQSPGFLSQLVQQQNENNRRLSGSNKKRRLPRQDEENLTGEHGAISPNGQIVKFQPSLNEAAKAMLQQILKMNASSRLEPSMSNHGAFMIDGMPPSNALDSGSSMSRISGVTLSEVPPVSGQSYLQAESGLPETCTSTATHLTNEHTKVDQISKMNGHKSQENAVFSNAPEIQGIVADNNVGISNGSLVRSERGNAEYTDPMSAVLDGAMPVETDDFCADHDMDTFLDETPKLPAINDIFWEQFLTASPLTGDTDEISSSLENGVNKEQDSLLGQENWDRAQNVNHITEQMGLLASDGRRA >OMO96786 pep supercontig:CCACVL1_1.0:contig07378:18098:20358:1 gene:CCACVL1_04772 transcript:OMO96786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKNLEREFPIIDSNFQNFCASHGIFSVEDFLVHDLYTLAAYAEQNIASERLKEGITQVLSILDDMHQPWLNGMELLEDAKRNKHFLQTGIQGIDMLLGGGIRVGQLTELVGPSSSGKTQVCLKTASNVARNHLSTVVYLDTGNSFSPQRIAYFLGKTNELASAQGNNAILQKVMSNILCHSVFDIFEMFDVLHKLEYRLRSQDRGVDTRLLIIDSISLLITPVLGSTSSQGRALMVSAGYLLKKLADQHNLAVLVTNHTVGGEGGTFKPALGENWKSIPHTRLLLYCDRTSNACNVTILKHSSMASGKAARFVI >OMO96789 pep supercontig:CCACVL1_1.0:contig07378:35552:37983:-1 gene:CCACVL1_04775 transcript:OMO96789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLMRKVVVVVVYISYPDKLFIKLQPLKKIADAKLAKDLQSALKDFQKSQRLAAERETAYSPNVPKEVLPSSYSAHELDIKSSKSPEQQTLLVSKRQEVVLLDNEITFNEAIIEEREQGIKEIQQQIGEVNEIFKDLAVLVREQGAMIDDIGSNIDNSHSATVQATSHLKKAAKIQRATSSTRCLLLFIFGIILIIFVIVVVA >OMO96787 pep supercontig:CCACVL1_1.0:contig07378:22288:26358:-1 gene:CCACVL1_04773 transcript:OMO96787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTARDCFSSSSCSLLPWLSRKPIFFLSKKLRVCPRTRSTRLCRASLITSPDSFEVGRLIGSYGFMNVTSYSGFQSGADLEYSSRDLGQLRVQDVGEGSVKIRLYEGRIAQGPFKGTRVVFKVYPGQRAGGLEADMMAANELHAHAFLQSSSEGTCKNLLSLLGGFETKTGEQWLAFRNDGIYSAADFAKVSSEKISSTHSLEEKPWNPFEQEQAFKRRSYFVIKLFQGAMSGLAYMHNCERLHQSLGPASIVLNTMVERDAAYLVPRLRDLAFSVDIRFSYLEEGPRTFSEDLWRRASSAGAFTPMEKRAFGIADDMYEAGLLFAYLAFVPFCEAGIVDSLSLQRLLESTFKLDLVATREYCLADDRLSEAVKFLDLADGAGWELLQAMLNPDFRKRPIADAVVNHRFLTANII >OMO84086 pep supercontig:CCACVL1_1.0:contig09795:12175:13416:1 gene:CCACVL1_11004 transcript:OMO84086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRKRQRTSRKTTEKSDFFDGLPDDLVVSILRKLSSSASYPSDFINILLTCKRLNRLGLHRFVLSKAGSKSLAVKATNWSDSAHRFLKNCINAGNIEACYTLGMIRFYCLKDRGSGASLMAKAANKSHAPALYSLAVIQFNGSGGSKTDKDLRAGVALCAQAAILGHVDALRELGHCLQDGYGVRQNINQGRRLLIQANARELASSLNSFVKRQSQQQNHHNQRRVNYQHYTYATGSGCPLLSDFGCNVPAPEGHPVNVFLKEWFESGMGELSQGLRLCSHNGCGRPETRAHEFRRCSVCGTVNYCSRGCQALDWKLRHKVECKPMERWLEEVGDGEGGDVGMEEVGGAEDLAIE >OMO52814 pep supercontig:CCACVL1_1.0:contig15426:2865:5059:-1 gene:CCACVL1_29073 transcript:OMO52814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSGITAAGGLVRDREGFKEVELETDSISVVSKIKHLHATPGSHSKLLDAIKEAPCKTMAVIYQEANACADWMATHFDHLDLGLHTFDVPPQGISSLLTVDTMRLVWP >OMO93908 pep supercontig:CCACVL1_1.0:contig08033:53228:57816:-1 gene:CCACVL1_06270 transcript:OMO93908 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase MQKASSLLCSNPISSAPTSLYTRTPLTLPSKNRLSSSLSASSRLRLSLNGLHGHRHGGISPLQSTAAEEMIEVSNNVSGFVEIGYLASAHGLQGEICVKPNTDFPELRFCKLGRRWLRQQVSGKETIKEVELVEGREHPGQKSWILSFSGIETVDQARQLVGSTLLAEEDDRPHLEEGEFYTRDLVGMRVILKETGQVVGRVVNVFNSGANDLLHVMLKSSMPNGSGESNSTEAGDSGPLVWVPFVEEIVPNVDLTRREMLITPPKGLLELNVRSDERSKKERRQLEWKERKKFQKRLIAAKKKLSEMEQQHIFLGFRFGEKSQTSLLADQILSVNSKLLQQALQNIEIASKRWTITESLTGTKLVRNRLKISERCLGPCTHEEKLGENLKLWEKALNLVSKGKLAVVLDMSDHRNEEKEYDAGLALSLSMENSETSSLQTLLGDDERFVKVEYRSSVPLVLICPTDEINSLEKLFSSNNHFGFDPEKVWFLEEERLPVVSSVLEQNRHKILMKSPWEILQSPVGSGGVISLLSSNNIAESLAQMGVEYIQVCHGERYIDGSSLLLGFVNSSKADIGIQIFEETKDVEEGFGMIFSMDLMKKLTRQINKLQFYATTKPNSHVELVEKEWVNVDPSFPNSYEIFSTIYSCLNACSLDKICVMEITE >OMO93919 pep supercontig:CCACVL1_1.0:contig08033:118795:122348:-1 gene:CCACVL1_06281 transcript:OMO93919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGPKKDISSSPVNLILMMELRKKLLTFRDIIDLPPCQTIVSMDVLLQRTIKDLHSFYPGSIPQYHSAELKGLPLDKVLIYFCKALQELGDTSKMNDEWIDKYRYNIFENDKCKNVERLVEIAVATLHGLIKIAKEKFDMMDEYEEKKDYSPKENTFGKALKDSYNSDNVSGSSSPVTPTSVLPDELINGSPKSPSSSSLLLSLRVQAVGKLNPIDVKRLTLRMLPDASGSKNSSRAEDSTSVKDDSVSNSGNASDGSSKDGKITTTSPPKSPNVAAPPSSPPPPPSSPQPPELWRDIEVAEEKLSSSSPEAVTPPQPLESPKFSTDTEMYKGELLSEPLEPEEKVLLSSPPLKPAVLEIDIKSLPPPPPPPPPPPRGRKPLPPTPTTPPSSPTNKAEESKEVAETGGAAVTPPPPPPPPSRQTGSRRTASVVPPPPPPMLIASKGSVSFPPTPRLISSNGGAAPPPPPPIAAKSLRPKRSTTKLKRSSHMGNLYRILKVKLEGSNTPGKSPSGRRSGVGSGGNGKQGMADALAEMTRRSAYFQQIEQDVQKYAKAITEIKTSISTFKTTDMTELLKFHKNVESVLEKLTDETQVLARFEGFPIKKLETIRAAAALHLKFESIINELQKWKVEPPVSRLLDNAERYFNKIKGEVDAMERTKDEESKKFKSHNIEFDFLIIVRIKEAMVDLSSNCMEIALKERREAKLADNKTQNKSNTKLLWKAFQFAFRVYTFAGGHDERADRLTRELAKEIETDPEYKQSK >OMO93903 pep supercontig:CCACVL1_1.0:contig08033:33194:36752:-1 gene:CCACVL1_06265 transcript:OMO93903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutrophil cytosol factor 2 p67phox MDALRKQAFKLNNICVDKCIDHSFGYLAVIKQFSGTGYESSDVVVIDEIEMQRHQQLDKLYKSTRSGRDFQRDVVKAAEAFTAIGYKHIEAGTKFSEECCRYGTENSENINENVLAKAAAVYGDGLKHVEKEQEDLIKLLSQQVLDPLRAMVGGAPLEDARHLAQRYSRMRQEAEAQAAEISRRQARIREAPLPENVAKLHAAEARMQELKQNMAVLGKEASAALAAVEAQQQRLTLQRLLAMVEGEKTYHLRVAAILNELEAEMVSEKQRRESAPPVIVPENGTEKTMYYLAEAMHPFVAASEKELSLAVGDFVVVRKVSPSGWSEGECKGKAGWFPSAYVEKRQRLPSNNNGF >OMO93918 pep supercontig:CCACVL1_1.0:contig08033:112768:117926:1 gene:CCACVL1_06280 transcript:OMO93918 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA-like protein MSGRGGGGGGNNGVGKGNTGISGIPAGSRKMVQSLKEIVNCPEPEIYAMLKECNMDPNEAVNRLLAQDPFHEVKSKRDKKKESKDPVDSRSRGANNLGNRGSRSGSDRYSGRGGSTHYSSNESGPSHGKPAQKRENGSHAYAGSSSAASAVSGNNLNRRLPSQSEATGTDHKLSTVGIGEGISPSSQPSGYQSAWLGVPGQVSMADIVKMGRPQSKVSTVPNPPHQGVNSRHRATKVSDVSYEPDVTTNQQVPPTDEWPPIEPSAGSVTSVLEAPADTGLYANAANLHSERTNQQNKSQLEEAQAVENGPVETLNANHVGSPSISSRNVHEDDSGNSSLFDNNVYKDMNSYQPQMHAFEHDEAVDDSSSVAVNMQQLNIDNDDQESPSEEDNPSVIIPNHLQVHTPDCSHLSFGSFGAAGIGSAFSGPFSSMPLKNNLDEVPETADAASIGHSDNRNPEYYGDEHLINNTEGNIINSRSNVSTGNYEAPEDSRPEVLKQDASEAVQGNQYTFPSSATGYSYENSQQLNPAFNHPQTSSQMQNLTPFSSVMQAYTNSLPSTLLPSTVQTAREPDLPYSPFPVTQSMPTKYSNAASSISGPTISMPEALRAGSISAPQPTQQTLPGGNVATGPALPQHLAMHPFSQPTLPLGHFANMIGYPFLPQSYTYMPSAFQQTFAGNSTYPQSLAAVLPQYKNSVSVSSLPQSAAVASGYGFGSSTSIPGGLPLNPPTAPAGTTIGYDDVLGSQYKDSNHLMSLQQNENSGMWIHGPGSRTMSAVPASTYYSFQGQNQQAGGFRQGQQPSQHFGGGLGYPNFYHSQTGVSMDHQQQNPRDGSLSGSQGQPSKQTQQLWQNSY >OMO93905 pep supercontig:CCACVL1_1.0:contig08033:43601:44330:-1 gene:CCACVL1_06267 transcript:OMO93905 gene_biotype:protein_coding transcript_biotype:protein_coding description:AWPM-19-like protein MANPQMKNVAALLLFLNFCMYVIILGIGGWAVNRAIDHGFIIGPDFNLPAHFSPIFFPMGNAATGFFVTFALLAAVAGVASAIAGINHVRSWTASSLPSAASVAGIAWTLTLLAMGFACKEIDLEVRNARLRTMEAFTIILTVTQLLYIAAIHGSA >OMO93901 pep supercontig:CCACVL1_1.0:contig08033:17356:18998:-1 gene:CCACVL1_06263 transcript:OMO93901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutrophil cytosol factor 2 p67phox MVGGAPLEDARHLAQRYCRMRQEAEAQAAEISRRQARIREAPLPENVAKLHAAEARMQELKQNMAVLGKEASAASAAVEAQQQRLSLQRLLAMMVSEKQHRESAPAVIVPENGTEKTKYYLAEAMHPFVAASEEELSLAVGDFVVVRKVCPSGWPEGECKGKAGWFPSAYVEKRQRFPSNNGYSSYNQGLSIHYSGKRVSFFAFSKYNMFHYRKDVHIML >OMO93900 pep supercontig:CCACVL1_1.0:contig08033:10291:16744:1 gene:CCACVL1_06262 transcript:OMO93900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEMEAAEDQNKNNNENENNNNNKKGVAADEQRVPFYKLFEFADRLDLVLMVVGTISAIGNGLAQPIMSLIFGQMINSFGASDPSHVVQEVSKIALKFVYLGIYACIVAFLLKNVNDYGTWLVLGAIGARLSTDASTVRTLVGDTLALIVQNISTITAGLIIAFTANWKLALAILAVSPLMLAQGFIQAKFMKGFSADAKVMYEEASQVANDAVGSIRTVASFCSEKKVMDLYQDKCKRPMEHGVRIGLVSGSGFGFSFLALYLTNAFCFYIGALLVKRGQATFGEVFKVFFALTISALGVSQTSALAPDTNKAKDSAASIFEILDRRPRIDSSSDSGSTLATVTGNIELEHVSFKYPTRPDIQIFRDMCLSIPSGKTVALVGESGSGKSTVISLIERFYDPDSGRLTLDGMDLKKIKLSWLRQQMGLVSQEPILFNETIRDNIAYGKEGNATEEEITAATNASNAHNFISSLPQGYDTSVGERGVQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERVVQEALDRVMVNRTTVVVAHRLSTIKNADIIAVVKNGVVAEKGRHDALMKIADGAYASLVALHMSAT >OMO93913 pep supercontig:CCACVL1_1.0:contig08033:86839:93524:-1 gene:CCACVL1_06275 transcript:OMO93913 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MEVGVLTTETESRPGEGDSLESFDELKLKEFIAEGNLDFDGWTSLIAEVESLCADEIEKICLVYDSFLSEFPLCYGYWRKYAEHMIRLCTDDKAVEVFERAVQSATYSVGVWVDYCGFAISVFEDAGDVRRLFKRAMSFVGKDYLCHTLWDKYVEFEFSQQEWSNLAHVYIQTLRFPSKKLHRYYESFQKLVATWKEDMPGLNDLDLQSDCKADHGVSTCYTDDEISCVIKDLLNPSTGVDATNALEKYFSIGKVFYKEASQLDENIHRFESSIKRPYFHVKPLDISQLENWHDYLNFIEMHSDFDWAVKLYERCLIPCANYPEFWMRYVDYMESKGGRELANFALARATQIFLKRMPVIHLFSARFKEKIRDVSGAHEALLGYETESDLSFVEIVSIRANMEKRLGNFVAASNTYKEAVEIATAKEKFDVLPILYVNFSRLQYMITNDSDAARDILIEGIKRVPHCKLLLEELIKFGMMHGGSRDIHVLDAIINDVISLGPSQGMDAKAAEDISNLYLQFVDLCGTIDDIRKAWKRHMKSFPDSARTSTNSVTGLKPIPNLKITAGGRQGSPGALPSYPSGDGSFDIPARSPPLDKVMKSLENDDTQPNNAASDCVSDKKSPLQENHEIPSDQATFNSLQSGEDESLQGEMEHRSQAVSEQLREDVKANTAMSSPDSMQEVTNEVEVLPTSEENSKESDFQKEPDHKSEQDLNHLSLERLSLDHNNSLDSNRVTNHEVETIADTSLSNESMRKSEPQETGMFDASVPEVGQINDGDHLVSSPRSGRASDSAGIQTEMASPSSSVNQTNIKKTESVSCRSPPYGGRSWHQRSNADRGHRDNKFGFRRHSHKRMHQRRQFSPQRQYPQNETGSQVPMNQGYPSQSMSSQSPQVQQGGLTQNQYSASAAHPNSMAANAWSMQNMQQQSFDPSQSQLLPLPAYPQPQISPQSMQSNQQLGEMQNNQAYNQMWQYYYYQQQQQHQFLSQQQQQSQNQHPQAQQQLLQQQYHQHQQMLQVQQQQVPYQHPQLLQLQQQQQFIQHQQQQYVQQQHQMIQQQGSYPQQLPPQNHLYLQQQQGQEQWQQEQVTASQVQTRNELRKEGQDKLSQRADATETAISQKRTSPSQ >OMO93910 pep supercontig:CCACVL1_1.0:contig08033:68249:72632:-1 gene:CCACVL1_06272 transcript:OMO93910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPESGDRAKLQPNTTFSSSAASNMKPINKGKAIAQYNADAGLMAEFEQSGVSGKSFNYSRSVIDAPLSVPEEQITAYLSRIQRGGLIQPFGCMIAIEEPTFRIISYSENCFELLGLRFGNEDESNKGFKGLIGIDARSLFTPASGASLAKAAASREITLLNPIWVYTRSTQKPFYAILHRIDVGIVIDLEPARSGDPALSLAGAVHSQKLAVRAIARLQSLPGGDIGVLCDTVVEDVQKLTGYDRVMVYKFHDDDHGEVVSEIRRSDLEPYLGLHYPATDIPQAARFLFKQNRVRMICDCHADPVKVIQSDELKQPLCLVNSTLRSPHGCHMQYMANMGSIASLAMAVIINGNDSTKLWGLVVCHHTSPRCVPFPLRYACEFLMQAFGLQLYMELQLASQLTEKKILRTQTLLCDMLLRDAPFGIVTQSPNIMDLVKCDGAALYYSGKCWLLGVTPTESQVKDIAEWLLNTHGDSTGLSTDSLADAGYPGAALLGDAVCGMATARITSKDFLFWFRSHTAKEVKWGGAKHHPEDKDDGGRMHPRSSFNAFLEVVKSRSLPWEIPEINAIHSLQLIMRDSFQDMEDSGSKGLIYAQQSDSEMHGMDELSSVAYEMVRLIETATAPIFGVDKTGNINGWNAKISELTGLQAEDAMGKSLVNEIVHEDSREVIENLIRRALQGQEDKNVELKLRNFGLHQQNKVVYIVVNACTSRDYTNDAVGVCFVGQDITSEKVVMDKFIRLQGDYRTIIQSLSPLIPPIFASDENACCSEWNAAMEKLTGWSRNEVIGKMLPGKIFGDFCQLKGQDTLTKFMILLYQGISGQDTEKFPFGFFDRKGKFLEVSLTASKRTAADGSIIGCFCFLQVVVPDQQQATEGQKQEDKEFFMKLKQLLYIRQEMKNPLNGIRFTHKLLETTAMSENQKQFLETSDACERQILAIIEDMDSGSIEEGSMELSMEEFLLGSVLDAIVSQVMILLRERNLQLFHEIPEEIKNLSLYGDQIRLQLVLSDFLLNVVHHAPSPGGWVEIKISTGLKLLQDGNEFIRLQFRMTHPGKGIPATLIQDMFEGGNRLMTQEGLGLNLSRKLLNKMNGHVHYVREHSKCYFLIDLEIRTRKGRQKAPQAE >OMO93912 pep supercontig:CCACVL1_1.0:contig08033:85450:85722:-1 gene:CCACVL1_06274 transcript:OMO93912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRKVYGELKGLKVKEVPSYVKPMLSMNFVKRAIQRGLDNYHAKYIQTNSIVPLYHVCFGGMIFSYLVALPEERRHLEHQQHAKEHGGH >OMO93915 pep supercontig:CCACVL1_1.0:contig08033:97857:99760:-1 gene:CCACVL1_06277 transcript:OMO93915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRLLQFLTIFLLHLLLFSGASPTALQVALDYACGYGGADCSAIQPGGSCYDPNTVRDHASYAFNDYYQKHPAPTSCVFGGTAQLTNTDPSNGNCHFAASSSTSVPPPASITPPATITPPATTTMSPPFTMTPPTFSVPGGDTVYGSAEPTGLPSSATSVSFNFLLIFATIGIGWLVTPNKL >OMO93907 pep supercontig:CCACVL1_1.0:contig08033:50684:52336:-1 gene:CCACVL1_06269 transcript:OMO93907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L32e MAVPLLSKKIVKKRVKKFKRPQSDRKISVKESWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVQELEVLMMHNRTYCAEIAHDVSTKKRKEIVERAAQLDVVVTNRLARLRSQEDE >OMO93914 pep supercontig:CCACVL1_1.0:contig08033:95708:96873:1 gene:CCACVL1_06276 transcript:OMO93914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFSISPTPATATQSLCYLKAGNAPLLSLRNAPFQATTKLSTLAHSSSSSISFNPLRFSIKYKEIKKKSRGSGAVCSAAPLAPRNLQWISTISSVVLLLAKGTAIQKTFLVPLFALQAPASIISWMKSEYGLWAAFLALLIRLFFYIPGELELPFMALLLVIVAPYQVMSLRGTQQGAIISLAIAAYLAFQHFSRAGSLQKAFDQGSIIATTAIVCITAVSCLLLI >OMO93904 pep supercontig:CCACVL1_1.0:contig08033:40453:42056:1 gene:CCACVL1_06266 transcript:OMO93904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSKKRKAAKKKKEQASNNNINSSTNNSPHGNDDPRSQDERDSDGGDVASPASQDDGHNHQNPFSKGEEEGKTAPSPVQSYVTEDKPVEQVTRDAESTEKVGLDSVVDVKIDKELESKGDLEISDVKILHVVHDKSSSSSSSSSSNSSSSDDESPASEKKSKEEAEEAVKVADDKILGGGDSISAVETADADNLVKIELSVPEKVDQAADIYVNKPVVSDVVDSEMKECQEKSLPPSNGVSGVELEENGGNILPSSGAPTAERSNVVETTQDSGSPEYTEKQPLVASTPPVVQRTSVFNCCGLFDVFTGSGR >OMO93916 pep supercontig:CCACVL1_1.0:contig08033:101790:102401:-1 gene:CCACVL1_06278 transcript:OMO93916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MKTIENEDDRLITFSKRRSGIYKKASELTTLCGAEIAFVVFSPAGKAFSFGHPSVESVANRFLNQNPPPNDNTHPLVEAHRKVRINHLTQQHNELLNQLDAEKERAKALELVTGGKGSDGWWETPVDQLKQQDLHDSFSKFAELRNEVVSKLNDKGAAAAPLINGPMDPAQLMANPFDHVNANEEVVPAVFAAPAPGYGPGCQ >OMO93911 pep supercontig:CCACVL1_1.0:contig08033:76959:80513:1 gene:CCACVL1_06273 transcript:OMO93911 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor MPSLRMKTKSSTGSLKEKNGLHVCQKSSMISKRTCSSVRVSQQGAEFSTCIQNSNDDSSDFKVASQLFVTEEASQQLILDEDDSELHKQPPFFVDSATLGRMESAHTCASSLETIFSPFLEPIQFNGEPNIDNDSGSNDGPEVPTLGADDSDDNKSSLGSQTCNVSDFFISDMIIASIPFDGNAADENISGANSFPDFKCSEPSMLFDVAEQYMILPFLEDTVKANEMNGVNMHEEAMMTQDNPGLYLAIDQMRSCIQESDVNSDSDQADDFDPQSFIQNLPELSDVSSFRPAMAPKEAWKRKPITLVLDLDETLVHSTLEYCDDADFTFTVFFNMKEHTVYVKQRPHLHTFLEKVAEMFEVVIFTASQSIYAEQLLDILDPDRKFITRRVYRESCIFSDGSYTKDLTVLGVDLAKVAIVDNSPQVFRLQVNNGIPIKSWFDDPSDCALISLLPFLETLADADDVRPIIAKKFGNKE >OMO93902 pep supercontig:CCACVL1_1.0:contig08033:24424:32609:1 gene:CCACVL1_06264 transcript:OMO93902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEMEAAEDQNKNNKNENENNNNNKKGVAADEQRVPFYKLFEFADRLDLVLMVVGTISAIGNGLAQPIMSLIFGQMINSFGASDPSHVVQEVSKIAIKFVYLGIYACIVAFLPLLKEKVCKSAKSMQNRGAIGARLSTDASTVKNLVGDTLALIVQNISTITAGLIIAFTANWKLTLAILAVVPLMLAQGFIQAKFLKGFSGDAKVMYEEASQVANDAVRSIRTVASFCSEKKVMDLYQQKCKGPMEQGVRIGLVSGSGFGFSFLAIYLTNAFCFYIGALLVKRGQATFGEVLKVFFALTISALGVSQTSALAPDTNKAKDSAASIFEILDRRPRIDSSSDSGSTLATVTGNIELEHVSFKYPTRPDIQIFRDMCLSIPSGKTVALVGESGSGKSTVISLIQRFYDPDSGRLTLDGMDLKRMKLSWLRQQMGLVSQEPILFNETIRENIAYGKEGHATEEEITAAAKASNAHNFISSLPQGYDTSVGERGVQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERVVQEALDRVMVNRTTVVVAHRLSTIKNADIIAVVKNGVIAEKGRHDALMKITDGAYASLVALHMSAT >OMO93909 pep supercontig:CCACVL1_1.0:contig08033:60891:65427:1 gene:CCACVL1_06271 transcript:OMO93909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSADPLASGPTKVPMANESKEVKSAWGILPGSDAYNASSDATLFSSSLPVLPHEKLNSNDTDNNYQSLDDIASGLNNLRQDAEGNDPLGDIEAHALGSLLPDDENELLAGIMDDFDLSGLPSSLEDLEEYDLFGSGGGMELETEPQESLTIGMSKVSLSDAVVGNGMPNYGLPNGVGTIAGEHPYGEHPSRTLFVRNINSNVEDSELRALFEQYGDIRTLYTACKHRGFVMISYYDIRSARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDPSVSNEDLRQIFGVYGEVKEIRETPHKRHHKFIEFYDVRAAEQALKALNRSDIAGKRIKLEPSRPGGARRNLMLQLNQELEQDESRGFRQQVGSPIGNSPPGSWAQFNSPIEHSPLHSLSKSPVFRTMSPTTSNQLPGLASILHPQVSNSMKVAPIGKDQGRSSHMEHSFTNTNSTQGAGFLSHSLPEPKLSQFHGTIPSFGPSNGSSMESLSGPQFLWGNPNSYTERTNSSVWPTSSIGHPFSSNGKGQGFPYSGRQGSFTGSSQHHHHNHIGSAPSGAPLERHFGFFPESSDNSFMSPAAFGGMSVGHNDGGFMVNMGSRSAMSSGISIPRNVSENGSSMRMMSSPRLSPVFLGNGPYPGLVPNGMEGLTERGRSRRIENNGNQLDNKKQFQLDLDKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDEFHRGTYDFLYLPIDFKNKCNVGYAFINMLSPSHIIPFYEAFNGKKWEKFNSEKVASLAYARIQGKGALVAHFQNSSLMNEDKRCRPILFHSEGPDSSDQSIPEHLHSSLNIIRQPNGLLSGDSSGSPKERDAGEEAETL >OMO93917 pep supercontig:CCACVL1_1.0:contig08033:103926:108196:-1 gene:CCACVL1_06279 transcript:OMO93917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILLCNSNSPPSSTTLLSQTPPPQKTPVFLPNAAVWAKKTLVTALTGALSLTLLVSSPSPSISRDLPSFQSPQPPQISIPLPDRCTEEEEQQELEEDKVELKPEFVTNEAIVQEAWQIVNDSFLDSGRHRWSPQSWQQKKEDILGTSIQTRSKAHELIKRMLASIGDPYTRFLSPAEFSKMARYDMTGIGINIREVSDDTGDVKLKIQGLILDGPAHTAGVRQGDEVLAVNGEDVRGKSAFEVSSLLQGPNETFVNIKVRHGNCGPIESLEVQRQLVARTPVFYRLEQVSNNPTSVGYMRLKEFNALARKDLVIAMKRLQDMGASYFILDLRDNLGGLVQAGIEIAKLFLNEGETIIYTVGRDPQYQKTIVADHSPLVTAPLIVLVNNKTASASEIVASALHDNCRAVLVGERTFGKGLIQSVFELRDGSGVVVTVGKYVTPNLMDINGNGIEPDYRNFPVYIPKLFSVQVNMIGFARQ >OMO93906 pep supercontig:CCACVL1_1.0:contig08033:48339:49454:1 gene:CCACVL1_06268 transcript:OMO93906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLFNIPSSSSSSSSVYQTALDEGEDRDFLEVVAEISDLNQPSKGLGREVSRLILDNVKENEKLMSLKRRIEQVNEDENELNREIEELERRVLMLMLENGFKDDKLEKYGDKKQIEEYEDLKEKKLKRKFLDLMLEIGESNDKLRDLRIGIEETEKCEKVKVFNELRSDLGRKQVNVKEFVSDKRDIVEKLEKISNVVDWSYGDVGNEITELNVSDDGEEEELIGEAGARELFKEIELLEMMLERGSYGLLDLKMMMEEVEALNGPVNVASEMELKMEEMESGLCELKDAIVELKGKKSKELMDWVSKEEDKESESEPEEEVNVRKHNWGSIAASIGAVVVAVAAAAMVFTGRARVKAAADKGKKNKNG >OMO65477 pep supercontig:CCACVL1_1.0:contig12646:18927:19040:-1 gene:CCACVL1_21513 transcript:OMO65477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNDLMINIDRRFMNSREVDKLCVVVMTWQRPYANA >OMO65480 pep supercontig:CCACVL1_1.0:contig12646:30027:34787:-1 gene:CCACVL1_21516 transcript:OMO65480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHEAVRAVAITAMDRMVGLSAGEKHFIQGGIAQDLRSDGRKRLTYRPIYVETGVIPQAHGSARVRLGATDVIASVKAELGRPSGMQPDKGKIAIFVDCSPTAAPMFEGRGGEELSTELSVALQRCLLGGKSGAGAGIDPASLVVVEGKVCWDLYIDGLVISSDGNLLDALAAAIKAALSNTGIPKVDVAAETTGDEQPEVNISDEEFLQFDTSGIPVIVTLTKVGRHYIVDATSEEESQMSSAVSISINRKGHICGLTKRGGAGLDPSIILDMISVAKHVSEQLLNKLDSEIAAAEASDEES >OMO65483 pep supercontig:CCACVL1_1.0:contig12646:53410:57741:-1 gene:CCACVL1_21519 transcript:OMO65483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MGCASSLPDRSSGRLTGLNNAENSGAPDAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAGVAVIVYDITSPESFTKAQYWVKELQKHGSPDIVMALVGNKADLQEKREVPVQDGKDYAEKNGMFFIETSAKTADNINQLFEEIAKRLPRPSPS >OMO65475 pep supercontig:CCACVL1_1.0:contig12646:3399:11786:1 gene:CCACVL1_21511 transcript:OMO65475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1-like protein MAQIPNLDNSPLNLKSLREQSQRDLVKILKDIRGKKCLVIEPKLGGSLSLIIQTSLLKEYGIELRHLSAEPVQTDCTKVVYLVPSQRDLMKFISSHVHNDISKGLQREYYIYFVPRREIQCERILEEEKVHHLMTIGEYPLYVVPLDEDILSFELDLAFKECQVDGDTGSLWCIAKAIHKLESSFGVIPNVRAKGKASVLVADILSRMQTEEPVNSSDMAVPEINTLVLIDREVDMVTPMCSQLTYEGLLDEFLHINNGSVELDASIMGGQQEGKKMKVPLNSSDKLFKEIRDLNFEVVTQVLRQKATSMKQDYTEMTTTNQSVSELKDFVKKLNSLPEMTRHINLAQHLSTFTSKPSFLAKLDMEHTIIEASSYESCFEYIEEMIQKQEPLVNVLRLLILFSVTNSGLPKKQFDYLRRELLHSYGFEHMATLNNLEKAGLFKKQESKSNWLTVKRALQLVVEDTDTANPNDIAYVFSGYAPLSIRLVQHAVRSGWRPMEEMLKLLPGPHTETKKAGFASSASFDTLQAASNGVEKIADGRRSLVLVVFVGGVTFAEISALRFLSAQEGMAYDVIIGTTKIVNGSTLAESFFQIYG >OMO65482 pep supercontig:CCACVL1_1.0:contig12646:49552:49614:-1 gene:CCACVL1_21518 transcript:OMO65482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAQSRCGSVTVWTGMVFS >OMO65476 pep supercontig:CCACVL1_1.0:contig12646:13588:17252:-1 gene:CCACVL1_21512 transcript:OMO65476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MHGNLVRRIKLLSPLFEELRDANEEIMLEIEGIKGFELLKDALNSAKELLQSVNEGSKLYQALQKDQTSYKFQQMTETIEAALNEIPYDRLDISEEVREQIELVHTQFRRAKGRPDSPDSQLDQDLAVAQKEKDPDPMILKRLSDKLQLRTINDLTKESLALHELVIASAGDPGECFEKIASLLKKLKDHVLIENPEADTSEGERGLMKHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIQKWLDAGHKTCPKTQQTLLHTALTPNYVLKSLIALWCESNGVELPKKQGTCRSTKPGSSVSDCDRAAIVALLEKLANGNSEQQRAAAGELRLLAKRNADNRVCIAEAGAIPLLVELLSSTDPRTQEHAVTALLNLSINDGNKGTIVNAGAIPDIVDVLKNGSMEARENAAATLFSLSVIDENKVAIGAAGAIPALIKLLCEGSPRGKKDAATAIFNLSIYQGNKARAIRAGIVPPLMRLLKDAGGGMVDEALAILAILASHQEGKVAIGQAEPIPVLMEVIRTGSPRNRENAAAILWSLCTNDLEQLKMAKEFGAEEVLKDLSDNGTDRAKRKAGSILELLQRLEAKENPVSLSSL >OMO65481 pep supercontig:CCACVL1_1.0:contig12646:35430:48241:-1 gene:CCACVL1_21517 transcript:OMO65481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYEEARYQGNGDNNLDNSYGGGSSPQPRADDQNDSKSQHGSRDYERESSRSREKDREKGRDKERDKDRDRHRDRERDRDRDRDRDRHHRDRHRDRSRERSERRRDRDDDDYHRTRDYDRRRDYDRDREDRHRRSRSRGRSEHRSKSRSRSRSRSRSKSKRISGFDMAPPPNAMLTTGAALPTVAGQIPGTNPTLPGVFPNMFPLGTGQPYGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSHVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGATQPKPEQESILQHAQQQIAFQRLMLQPQGVPTKVVCLTQALNVDDLTDNEEYEDIVEDMRQEGVKYGPLVNVVIPRPNPSGEPSPGVGRVFLEYADVEGSKKAQIAMNGRKFGGNQVLAVFYPENKFAEGDLPVSPMARPRKKQKIPKRAPGILNDVQSGGEITQRKEEEIVEKMEETTENKEMIKKTTKQQPKKDKKQKDLKKADGEGNEYEDKSNVENLSVKKEDNEKAAAFGVGESLKERLRPPVGGKRKNYCFDELFEEEADEGFPGKKRKSAGKQKKKVSKAKVEDGGERGDHSGEEDLENEEEEKVENSKKKGGGSKRREPKSEENGEKEVKEGITRKPSRKSKIPERLGESVSDNTAKKKKRPGFIFVILDIVVKVQCQIIKVSYRQRYPKMSEKEFTVSCPVCRGNCNCRSCLRLERLTVVTKALKQEFSDEEKLLHCRYLLQKLLPYIRQFSEEQMMEKNMEARIQGSLLSEIRLKQAACYQKERVYCNNCRTSIVDFHRTCPECNYDLCLICCREIREGQLKGGGKEVIVHYVDKGSEYLHGEFDPSIVANREKPLDSPVKTNCSEHEEVGSKWKANENGSIPCPPKDMGGCAESLLELRCMFSENAVVELVEASERIARDINLENLPKLTSKQCPCYNSTAEAHLGDFKLRKASSREDPNDNHLYCPAAMDIQDGDLQHFQCHWARGEPVIVTEVLENASGLSWEPMVMWRAFRQIRNTKHGLHLDVTAIDCLDWCEVDINIHQFFEGYTKARFDDKGWPQILKLKDWPPSDKFQERLPRHHAEFIRSLPFKEYTHSESGILNLATKLPEKSLRPDLGPKTYIAYGVAQELRRGDSVTKLHCDMSDAVNVLMHTAEVKLDQDKLKKIENLKQRHHKQDQKELYGMDVKVEKEPHDEYCDTAGAVWDIFRRKDVPKLKDYLKKHFKEFRHIYCSPVQQAVLIK >OMO65484 pep supercontig:CCACVL1_1.0:contig12646:61380:65462:1 gene:CCACVL1_21520 transcript:OMO65484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKSVGYTLKPRRKFFPSRDPSHVTALGPAEHSSSGKPHLCHRHSSPAVKLPCFGLFKSYSLAED >OMO65478 pep supercontig:CCACVL1_1.0:contig12646:22557:22958:-1 gene:CCACVL1_21514 transcript:OMO65478 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MLKLKSQDNQIFEVEEAVAMQSTVIKGMVEDGCGDTDGVVSLPMVNGEILTRIIEWCKKHVNIVEKGGEKEELKNWELSFLDVDKDTLSQLILAANKLKIKTLLYRAWMPRLDGDDLPTAPSRGRNCFGFKLI >OMO65479 pep supercontig:CCACVL1_1.0:contig12646:24916:28916:1 gene:CCACVL1_21515 transcript:OMO65479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGFPVHRGDIFHAINRLRKLKLNKLALEVMEWVIRERPYRPKELDYSYLLEFTTKLHGISRGEKLFSCVPQEFQNELLYNNLVIACLDKGLIRLSLEYMKKMRGLRYPISHLVYNRLIILHSSTGRRKTIPKILSQMKADKVVRHVSTYNILMKIEANEHNIEGLVKVFNDMKRVEVEPNEVSFCILATAHAVARLYTAAEAYVEAVERAMTGKNWSTLDVLLILYGYLGKEKELKRTWGTIQEVTHVRSKSYMLAIEAHGRIGQLSQAEELWTEMKSIKGLQSTEQFNSMISIYCKHGLINKATAIFREMKAHGCKPNSITFRHLALGCLKAGLVEESLKTLDMGMNLTTSNKVRYSTPWLETTFSMVEIFAEKGDVKNAEKLFEELNKASYTRYTFVYNSLIKAYVKAKIFDPNLLKRMILGGARPDSETRKKFYLLHFYPNNIVDNNVALGKIPLQYFSLLIVQATAATQPLILFNCSGQVLSRRETRVSKTRTVKAHEWWKTAENPHQSTFNEVDETTLRITELPIRRWTQDDKEFLESTLMDCKQYTTDLWVNFEVTLTKETMMMAKQENLLKKFKLTTTISTSNMHLSLELQEKGLTPCPKKSKTAEVAVAGGILIMRRKLRRTLRLASKEYKALVMTIYCPSQLEL >OMO85438 pep supercontig:CCACVL1_1.0:contig09619:39771:45450:-1 gene:CCACVL1_10188 transcript:OMO85438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEYAKLIRRVNQPRVVIDNSSCEDATVIQVDSVNKHGILLEVVQVLTDMNLVIRKAYISSDGGWFMDVFNVVDNDGNKIRDKEVIDYIQRRIETSAGFFPSRRGSVGVMPSEEHTSIELAGTDRPGLLSEVCAVLADLHCNVVNAEIWTHNARAAAVVHVTDDLTGSAISDPKRLSTIKELLCNVLKGSDDLKTAKTVLSAPGFMHRERRLHQIMFADRDYERVERAGVRTAQDGSSRPQVTLLNIEKDYTVVTMRSKDRPKLLFDIVCTLTDMQYVVFHGMVNTGRMEAYQEFYIRHVDGLPISSEAERVRVIQCLEAAIERRASEGLELELFTDDRLGLLSDITRIFRENSLCIRRALISTKGGKAKDTFYVTDVTGNPVDPKIIDSIRRQIGHTLLQVKHDKSLAPKPPHQETTMVMRPVTDIATRIGRALISASNHAIPTRIWTPSLEQTLHRLGCRDSLSPSLVAQVIDSFLSTHHSLALGFFNWASQQPGFSHDSVSYQSILKSLSFSRQFNALEILLKQVKVQKLSLDSSVYRFIISSLLKGKKTQNAFWVFYEVNSPRTELGADLCNSLLAALVSDGYHGHAEKVFDEMFQKGVAFNSIGFAGRVRVAYEVLQEMRKKGLAPDVFFYNSLMEACCREDLVRPAKRLWDEMFASGCRGNLNTYNILIGKLSKIGEVDEALRLFQHMREKGVTPDATTYTTLLQGLCQESNFESAIAIFNKSVEQDVMLAQSILSTFVIHLCRKGQFLIASKLLCGLTSDITSSDAHAVLLKCLADAKEIQFAIKHVDWVRETLPLMLQAIYNKLVASLSSTSRPDSIEQLLQAIQVKCLPDCTEAYTGY >OMO85434 pep supercontig:CCACVL1_1.0:contig09619:15741:17409:1 gene:CCACVL1_10184 transcript:OMO85434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7A/L8 MAPKRGVKAPVAAAKKKTVVNPLFEKRPKQFGIGGDLPPKKDLTRFVKFPKTVRIQRQKRILKQRLKVPPALNQFTKTLDKNLASNLFKMLLKYRPEDKAAKKERLLKKAQAEAEGKPVEAKKPIVVKYGLNHVTYLIEQNKAQLVIIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGAIVHKKSASVLCLTTVKNEDKMEFSRILEAIKANFNDKYDEYRKRWGGGIMGSKSQAKTKAKEKVLAKEAAQRMT >OMO85437 pep supercontig:CCACVL1_1.0:contig09619:37567:39510:1 gene:CCACVL1_10187 transcript:OMO85437 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MVVLFLAVIVSGLFGHIVGLHFSLGPLLFGLVIPSGPPLGSAIIEKLDVITDWVLMPLYFLRFGLTVDIFAIGIQTFYRVQFITLIAAVGKFLGAFLCGLFYQMQLIDAICLGFVVNFQGILELGLYKILSDESFSAMCISLLIVTGATTPIVRYFGDSSRRYKFYHGRTVTHSSPNSELRILVCIHDEEHVPSAINLLGALNPSKQSPIGVYMLHQIELTGSNAIPLLIPHKLTKKLSSRDSGHVINAFKNFAKDYEGLVSVFPFIALSPPQTMHNDVCSVALEKGTSLIVIPYYKRYHVNGETQSTRKALRIANQNVLDKAPCSVAILVDRGPFESPRALWTWTSYAVAVIFLGGADDREALAVGVRMAELPYINLTLIRILHDGECPAAHSDGNILDNEVLHDFRANISGNHRAMYKEELVTGGPGTATVLRSLENQYELVVVGRRYDRLSPILSGLAEWNENEELGVIGDVLASSDFLGNTTILVVQQHTDHMLNEIENILS >OMO85436 pep supercontig:CCACVL1_1.0:contig09619:27361:34195:1 gene:CCACVL1_10186 transcript:OMO85436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGKFDLPDDLLSSKTACDPSSLKGEAWDGNLEVKGLTILDDTKDQANSESIIPLSPQWLYAKPTDAKMLAPGASGDIKGSNSLAHGTSGDPNLKDSWRLDGSQDKKDWRRATPDLESSRRWREEERETSLLGRRDRRKDDRRADVASTRDAPENRTLSSSERWHDVSSRGSGNESRRDGKWSSRWGPEEKEKESRTEKRADAEKEDAPADKQAFVSGSRIASERENDSRDKWRPRHRLEVHSGGSAPYRSAPGFGSERGRVDGSNVRFAAGRGRSNPSGSLLNGRPGSASVIGSLPADRHKTSSAYRYPRGKLLDIYRKQKSDPNFDTRPDEMDHISPITQKETVEPLAFVPPDAEEEVALGDIWKGRTTSSGVLYNSSRDSGRGSNDCIAGSVSLCEGKQNSLVSRDDSIESVGKAPVNSSYRVNHAEIFDVSDSEMIMTKERNSSIEGEQRCPTSDVTNSLMSSSQIGGSRNMDETKSFDDQQVADLNLQKHRKWEDNQTSMQFEVGNELPEDSSFLFDFPSLQPTQGSYQINNKGNNESRPQESITLPEDLSLCYLDPQGVIQGPYLGIDILTWFEQGYFGIDLPVRLADAPDGSPFQELGDVMPHLRMNSGSASSANGLPGMQGPDSVEGSLEETIPSSASALELKGSDIGNEQERTASAFETSGTNFQLRGLNQSNYSEHQISEDQSIHKVAAQEEEIIFPGRPGSAGGDRLKGYSDIQGSHGNPASHLSSANEFSKTNVPSHRDDELHPFGLLMSELRDTSHLKRSQSSNMASSMGDRGQFLDQLLDREATFSGQSVVGTLAEQPSFPEAWSDEYRQNALSNPGNHLGNTGAQPSSHREQEYKGYDLVQHLMSQNLPNEALQEQNRFSHPFSHSAGFGVEQIQVQLRMHLLSELQQNSHASRHTGFISGATYPSKMNQSALQGQQADILNFMSQSKYGNMLPTEHQLRLHQEQLQAHQLSMALRQQLGMEGERRNAGSWSVDEIGQFVRNPSSHHLAQSAGLNASDLYQQRLSPLEEQFGNVRLNHALQEQQLRGIFDHNPSAFDRSSLPAVGPAIKADNLNSLDLAEHLYMQSNNQLGPFSSAELQKRESEVDSSARASAGVHGNSKKALMDLLQQKLGIQSGQPSEVEYQHPISSSRDRESLWPISEPQSSNFPFNHFPNQEVHRNNSFMERSQNSNSSTFMQDHFFGVAASDGVNHIGNGEKLPLNASSGLFAEEQSILLGMEDPSRSSRADASLMGKSTVDKEFTELVGTEKKNGLKGKIAMSGSLFGNEENMAEQIETGLNHGGNDRLHSYETGLDKSVTENASDDRLQSVLPKGLDKVSQKSTPVSRVPSSQDVFSDQNSMGFVKPKNSTSLATSDDGRQEAGGNLGSMKNPETQASLKKDARFRRTSSLNDTAGPEASSFIDVLKKPVLHGIEAANGSIGSEPSDGAQAGKSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRLDD >OMO85431 pep supercontig:CCACVL1_1.0:contig09619:618:695:1 gene:CCACVL1_10181 transcript:OMO85431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAPPTPWICQRNEALVPSHFPS >OMO85432 pep supercontig:CCACVL1_1.0:contig09619:3493:5410:1 gene:CCACVL1_10182 transcript:OMO85432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MGVAFNKSAEAEEFQLQPWKSMWKTLSLKPEPSKNIAQITVMGFVYCDICSTNSFSRHSYFLPGAEVQIDCNFKAYVPKTKEQIEFSVNRTTDKHGVYKLEIPSVDGIACAEAAIASSCRASLVGSSASSCNIPGHRSTTDQIAIKSRHPNLCIYSLTALNFRPSKRDPALCGN >OMO85435 pep supercontig:CCACVL1_1.0:contig09619:19145:25858:1 gene:CCACVL1_10185 transcript:OMO85435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGKFDLPDDLLSSKTGSDPSSLKGEAWDGNLEEKGLTGLLDDTKDQANSESIIPLSPQWLYAKPTDAKMMAPGASRDLRTSNSLAHGTSGDPNLKDTWRLDGSQDKKDWRRATPDLDSSRRWREEERETSLLGRRDRRKEDRRADNPSTRDVPENRTLSSSERWHDASSRGSGNESRRDGKWSSRWGPDDKEKESRMEKRADAEKEDAPSDKQAFVSGSRIASERENDSRDKWRPRHRLEVHSGGSAPYRSAPGFGSERGRVDGSNVRFAAGRGRSNPSGNLQNGRPGSASVIGSLPLDRHKTSNAYCYPRGKLLDIYRKQKCDPNFDTLPDEMDHLSPITQKETVEPLAFVPPNAEEEVVLGDIWKGKTTSSGVFYNSSRDTSKGSTDCIAGGGKQSSFVSREDNVESVEKAAVNNNYQGNHAETFDVSDSQMIMTKERNSSEEGENRSLKSSNPDVTSSLMSASEYSGSRNIDETKSFDDQQAADMKMQKHPKWEENQSSQFEVGDELPEDSSFLFDFPSVQRTPGGNQINHKGNNEAHPQESITPPEDMSLCYLDPQGAVQGPYLGIDILTWFEQGYFGTDLPVRLADAPDGSPFQELGDIMPHLRMNSGSASSANGLPRMRGTDSVEGSLEETIPSSASALELKGSAIGNEQQRTLSAFGTSGANVQLTGLNKSNYSEHQISEDQSIHKFAAQEEEIIFPGRPGSASSDRLKVFGDVQGSYGNTGSHLPIANEFSKTNVPSHRDDELHPFGLLMSELRGTSHLKRSQSSNMASSMGDRGQFLDPLLDREATFSGQSVVGTMAEQPSFPEAWSEDYRRNALSNQGIHIGNTGARPSSHREQEYKGYDLVQHLMSQNLPNEALQEQNRFTHPFSHSAGFGVEQIQGLELMQGKNLNLQQAIHHSDPHVEHLLELQFQQQQHLELQRQQQQLELQRQQQLRHHQMKLLQQQQQQQQLQQQHSHAQQLVLDQLLQRQLSDPGYGQPKFDVARDNMLDPVQLRMHLLSELQQNSHASRHPDSSLEQIIQAKMNQSALQGQQADILNFMSQSKYGNMLPPEHQLRLQQEQLQAHQLSMALRQQLGMEGERQNAGSWSVDEIGQFVRNPGSHHLAQPSGLNASDLYQQRLSSLEEQFGNVRLNHALQEQRLRGIFDHNPSAFDRSTLPAVGPAMEIDNLNSLDLAAEHLYMQSNNQLGPFSSGNHSRGQQISGDLYASHTDAIESFHSRNNGQLEKSWTEKQMQQLHLEAELQKRESELDSSAWTPAGVNGNSKKALMDILQQKMGIQSGQPSEVDYQHPLSSSRDRETFWPVSEPQTSNFPFNHFPDQEVHRNNSFMERSQNSNSSTLLQDHLFGVAVSDGVNHMGNGERLPLSVSSGSFAEEKPFLFGMEDPSRISFADASLMGKSAVDKDFTELEGKEKKTGLKGKIARSGSLSGSEDIIAEHVETGLDRGELQSSIHSRHNSFSTGGIDRLYSYETGLSKSVTENASNDRLLSALPKGLDKVSQKSTPVSWVSSSHDVFPDQNSMAFVKQKNSTSLATSDEGRQEAGGNLGSMKIPETQASLKKDAQFRRTSSLNDTAGPEASSFIDILKKPVLHGTEAANGNIGSEPSDGGAQAGKSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRLDD >OMO85433 pep supercontig:CCACVL1_1.0:contig09619:8466:15367:-1 gene:CCACVL1_10183 transcript:OMO85433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MDSRNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPMLRYEESLMEQEVKDIVVGESCADLRHQLDISYPVNNGIVQNWDDMCHVWDHAFYDELKINPAECKILLTDPPLNPSKNREKMIETMFEKYNFSGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITSYLVDLLSRRGYAMNRTADFETVREIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVIKLGTERFQAPEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEILDRYLEVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKGAEVIEGSAIMEKSNGKVSLLSVKQGEPSLVTPAEETEKGLYFLSNLDQNIAVIVRTIYCFKSDEKGNANAGEVLKDALRKVLVHYYPLAGRLTISSEGKLIVDCTGEGAVFVEAEANCKMEEIGDITKPDPETLGKLVYDIPGAKNILEMPPLVAQVTKFQCGGFVLGLCMNHCMFDGIGAMEFVNSWGETARGLPLTIPPFSDRTILKARSPPKIENLHQEFAEIEDKSSTSELYKDEMLYRSFCFEPENLEKLKKNAMEDGVLEKCTTFEALSAFVWRARTKALDMLPDQQTKLLFAVDGRPKFNPPLPKGYFGNGIVLTNSISQAGELLNKPFSHAVGLIQDAIKMVTDDYMRSAIDYFEVTRARPSLSSTLLITTWSRLSFHTTDFGWGEPVLSGPVALPEKEVILFLSHGKERKSINVLLGLPASAMKLFQEEMKI >OMO84739 pep supercontig:CCACVL1_1.0:contig09709:35772:43061:1 gene:CCACVL1_10676 transcript:OMO84739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKVELATQDKFKQKADPKKPNVGVKNSGETLKQLLERNSLTPSYTGSPSSPLSHPKAQISILEDSLQIFNGNFERETNSGQSE >OMO84735 pep supercontig:CCACVL1_1.0:contig09709:5080:6618:1 gene:CCACVL1_10672 transcript:OMO84735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRVEVGVEDQDIETEEISIFDAERYFNETNGGGDGSRVYKIVSPIKVPNLSRINSSDGCDGDLSHSHSHSGLSRFSSASSDQYGYGYGGGGRNYRVGSFHAIATPTASSEASWNSQTGLLSNPPGAIAVSMTTSCKNQTNGINIDNDKKKKKKAGSGSSSGSSTKHKIKCKWLWGPRCPCSGKKSVQVDLKSTPISSILNNHKLKLDLDLDDHNSTTIPLGLAANCNIPPKPKPKPKARPNLMMINNTSASASAAAFTFPILNQHQHPVSTSSTSIQVDPARDSLEVFRPSDHHNIHIHNPSSSVSISVSNKLTSRIISNTIITDDDVASDTSSDLFEIESFSTTTHTQTQSQTTPSYQFYHRRDSLDEPSMNARRALIAVVAATNANGNGSSLASASGFMGCPYSPMTMTECYEPSEVSIDWSVTTAEGFERGSVGVSEAEESVAVVMSGGGKKKSGGGLLSCRCEKAVSVVGSVKYVPPHGQAPPTSKHVVANVNNPPLARLSLPFAV >OMO84736 pep supercontig:CCACVL1_1.0:contig09709:8614:10161:1 gene:CCACVL1_10673 transcript:OMO84736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRVEVGAEDQDTETEEISIFDAERYFNETNGGGDGSRVYKRVSSIKISNLSKINSSDGCDGDLSHSHSDLSRFSSTSSYQYGYGYGGGGRNYRVGSFHATATATATPTASSEASWNSQTAGSGSGSSSSAKPKIKCKWLWGPRCPCSGKKSVQVDPKTTPISSILNNHKLKLDLDLEDHNSTTIPLGLAANCNIPPKPKPKAKARPNLMMINNTSASASAAAFTFPILNQHQHPISTSSTSIQVDPARDSLEVFRPSDHHNIHIHDPSSSVSISVSNKLTSRIISNTIITDDDVASDTSSDLFEIESFSTTTHTQTQSQTTPPYQFYHRRDSLDELSLNARRAWIAVAVATNANRNGSSSASASGFMGCPYSPMTMTECYEPSEASIDWSVTTAEGFERGSMGVSEAEESVAVVMISGGKKKSRGGLLSCRCEKAVSVVGPVKYVPPHGQAPPTSKHVVANVNNPPLARLSLPFAA >OMO84740 pep supercontig:CCACVL1_1.0:contig09709:45363:47612:-1 gene:CCACVL1_10677 transcript:OMO84740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MSKLTKKLNGYTDYEEIKQVLQTVVYDSSTESEFENGWSLMIDTYNLTDNEWLGGLYRERQRWVPAYVKCSFWAGMSTTQRSESINAFFKGYVSHKTTLKQFVELYDVALKSKVEKENLADYESFNSWYACLSHYDIEKQFQRVYTNAKFKEFQDELKGKFYCHPYLMKTENLIYEYKSKVEKENLADYESFNSWYACLSHYDIEKQFQRVYTNAKFKEFQDELKGKFYCHPYLMKTENLIYEYKVVEDVQVGEKRKDVAFLVQFNEVDFEVNCQCLLFEFRGILCRHALSVLIDRKVNEVPQKYILSRWKKDLKRRYNFIKSSYNPLRLQTERYERMTKEFQKVIVLAADSEDKYELVLKGIEELKIKVASDELIDNQTIKRPASPSQSCADNRVPSRTNKVLSPLVTRRRGRPSTKRKIPRIEEVIRKLKNKKKVQQVKENIGSKKSRTKKNLQQRKEVEQCEGTCLSSQNCTKMQSLESFNLTESRTAGEYCMFTPSNLRATSNYTNFEGNQAPLLGGYYPPTHFAPNIYHSSQESVIGFQDYFNQSGSGVYGGSQQTISNRNWPQENPYTFESNKDQRPKM >OMO84738 pep supercontig:CCACVL1_1.0:contig09709:31702:31881:-1 gene:CCACVL1_10675 transcript:OMO84738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAVLTVLLLVLPLVLPPLPPPPFILLLIPLVIMLALLFLAFAPSKVPQPHLDISSSA >OMO84737 pep supercontig:CCACVL1_1.0:contig09709:12706:20827:1 gene:CCACVL1_10674 transcript:OMO84737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prohibitin MGSCICEKLILGSSHLVKAQGEAKSAQLIGQAIANNLAFITLRGIEASREIAHTMSNSANKVYLSSDDLLLNLQDMKLDNVNSKK >OMO75829 pep supercontig:CCACVL1_1.0:contig10965:5405:5852:1 gene:CCACVL1_16021 transcript:OMO75829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIQSWVSEHKLTSIGAIWASAIGASMAYNSRARTLKPSLRLIHARMHAQALTLAVLSGAAAYHYYEKSCSKQEEVANA >OMO75831 pep supercontig:CCACVL1_1.0:contig10965:14448:15740:1 gene:CCACVL1_16023 transcript:OMO75831 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing protein MPLRSYEEVASELSSTVADQISIRSYDGFSRSSSSLSKNRHDAPSTSEVPKVAAQHAIQKKEHDDQIQVLSGENGKQHFSSLENFKVSSMTQLMDLPPSLLTLRIESCDTLHSLPSGVKDRSFEELYIIDCISFKTFPLGHLATSLKTLYIRNCRNLELPQPKELNQFILLEDLCLGSSCDSLKSFPLNCLPKLKTLSLWDCRNLEYLSIDKGLQNDVKSLDALEIRNCPNLTTFPKEGLQAPSLTSLVLSNCSNLKSLPRWMQSLSCLQSLHINKCPELESLPSRGLPSSLKILCINFCDKITPQRAWELDKLDSLCHFEIEGGCKGLLSFPEEGLLPTNLKSLRVSRLLNLKCLDEYGIQELTSLQTLEINCCNELHSFPEDGLPSSVSFLRITDCSVLKQKLQKRRGKEWFKIAHIASIHIDEVKML >OMO75832 pep supercontig:CCACVL1_1.0:contig10965:31217:34277:-1 gene:CCACVL1_16024 transcript:OMO75832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLDGYSAKPNKVCRLIKSLYGLKQASRQWNLKLTTALLSYGFHQSSADHSLFIKETANSFIALLVYVDDCIIASNNFEEVLAIKKFSHDEFTIKDLDEVKFFLGLEVARSDKGINLCQKKYTLDLLKDTKFLDAKSVSTPILPETRLSKQKGIPLEDSTQYRKIIGKLQYLTTTTPDISFTVQQLAQFLDKPTDEHMIAVHRVLRKSKKQNTVNRSSSEAEYRALASTACEIQWINYLLEDFKVQLTPSTTPVFCDNKSAIYLAQNPSFHERSKHIEIDCHIASAALRLPSVGKQLHALALKSSQIFDSFVGYSCLDMYIKTALRDEARKLFNEIPDERSIVMWNASISNAVLDGRPSIATHNALYLELGRQLHGYVIRSGFDGNLSGWQGLNWVGQFMHLAVKACVEGNIFVGSALVDMYGKCGTIEDAERAFHDIPERKLFTWNGMIGGYAHQGCADMALALFQDMMSSGEVVPNYVTLICVLSACSRAGEVKIGMENFESMKERVYKKPELGKIAADKLFELDPKDSGNHNKVRIFQAKDTSHEMNSKIQEMLTKLRNKMKVAGYIPDTNCALYDLEEEEKISELGYHSEKLALAFGLIVVPPGYKNHKKHQNLWRLP >OMO75830 pep supercontig:CCACVL1_1.0:contig10965:6910:9954:1 gene:CCACVL1_16022 transcript:OMO75830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MGLEAVVLAVGGAFLSSFLNVLFDRMASPQFLNLLKQQKLKRDLWQSLEILLLTVDKVLGDAEDKQISNPSVKKWLDMLKDAAYDAEDLIDAIATEDKRGRLDKDKKTHFNTRLEHLHQKLKDIVAQMDALSLKETHGGRQFPRLPTTSLVDETEVCFREDVKEQILDFLLSDAMGEDKIPVTAIEGMGGIGKTTLAQFLFNDDSVENCFDLRIWVYVSEEFDVFKVTKTIYESIILWNCSISDLNTLQLVLERELRGKKFLLVLDDVWNDSFVDWDLLRRPFQVGTPGSKIIVTTRSQNVSLAMSSIRVHHLQALDDKNCWSLFAKHAFKNKESDGDPSLKAIGEMIVEKCKGLPLAAKALGGLLRYKAEAEEWYNVLNSKMWDLPYEKSSILPALRLSYHHLPSHLKRLFAYCSIFPKGYEFEKGNLVRLWIAEGLVLQPNGQRRMEEMGGQYFDELLSRSLFQQSSRSSMCFEMHDLVNDLAQDVAGEFCFKFEDGSLPHTPERVRHLSCIPKQDEAPQKFEAFYGVFKSLRTFLPLRSPNSGRVSLNPIVLKNLFPEYGSMRVLSLSPYHITKLPDSISNLKHLRYLDLSHTDIQTLPEGVGSLYNLETLNLSHCNSLLRLPTNTGNLTKLEHLDIRETAVNEMPEKFGNLKCLKFLTAFHVSRNSGARLSELKDLSLLFGSLLILGLQNVFQPEDASKANLKGKKYLEKLVLKWTSYDPANATEVLEKLRPHDNLKELSIECFSGTKLPEWLGDPLLSKLVSLKLFDCGNCSSLPPLGQLPSLKELHIVRMKNVQQVGDEFYGKDVRPFESLEILQFRAMPAWQQWFPFKDDAFPSLQQLILHKCPILTGYLPSCLPSLMTLDIYECKALKFLHQNGINQYSALERLYIRKSCDDLKSFQLDSFTKLKKLKLQDCMCIRSVAMPQDFHDDHKFLQKLTIMNCDDLGFFYGRGLSSLQKLKILDCSSLLSFGEGGLPSNLQSLSIRNCKKLPPQETWGLNVASLRHCEVD >OMO64840 pep supercontig:CCACVL1_1.0:contig12728:786:5179:-1 gene:CCACVL1_21607 transcript:OMO64840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MSSFYLHASDNPGQIYVSDLLHDGNYGEWVSDMSNALFAKNKIGFVVGTIQKPRVDSPNLQPWMRCNSIVKGWLKSAMGKDVRGSVRYANTAREIWVDLEERFGKGSDPRAYEIRRAVTLLRQEKMSVSSYYTKLKGLWDEMQSIFPLPKCVCNGCKCNISKQLVDMREKEQLYDFLMGLDDEFGIVKTQILSTKPTPGLGHAYHLVAEDEQQKQISANRKPIAEAAAFQMQGGQDGGNRGFGKKDKPRCEHCQKVGHTKEQCYEIIGYPTRKNLRDKKEKGGQTVNHRTFPKAAQVESEMTSIPGLTQAQLAKLVQFLNVDGESSKLTQRSTTSAVNMAGKIETDKSWVIDSGATDHITNNGELLAEIEKAIGGSPVTIPNGDKIPVKSVGKVKLPNGMKIDHVLNIPDFKCNLISVGRLTNDLNCALIFISDFCVIQDLPSRRLIGVGRLRDGLYYLEPVHNGGVLMSVNKGKDSSVWHRMLGHASNEKIRQIQLSCCEVVCENVLHCDSCLRAKQTRLPFSISGIKSVSCFDLIHGDIWGGYKTTSSSGAHYFLTIVDDFSRGVWVYLMEYKSEVSHYLIMFCNMIATQFGKKVKHIRTDNGPEFQSNCMLDYYKEYGIVLQTSCTDTPQQNEVVERKHRHVLETARALRFLQIYQFVFGESVFLQPSISSTDYHPRPVLNAADNDCEEVMTLPQVKGLSNAADRFIAEEIPETAAGDITAGVTTNQETTIIESTVEEPVISSATPISGQSCVEVRRSVRERTQPKRFDGFDVQLPPSTVPAQPTLPSANSSVYPLSHYVSYDRFAHSHKAFLAAITSHDEPKHFSQAVKHKHWREAMEKEIQALEENGTWDLVPLPQDKRAIDSKWRWVVHQLDVNNAFLHGDLEEEVFMKIPQGFAKAGETRVCKLKKSLYGLRYASRNWYHKFTKALENVGFRQSKADHSLFFYDKCETFLTALIYVDDVILAGNNGDKIQEVKSYLKDKFGIKDLGPLKCFLGIEAARSPAGIVLSQRKYALDILEESGMQGCKPSAFCMEQNHKLRADSNGPIIDAAQYRRLVGRLLHLTVTRPDLTYATEPTPLFCDNQAALHITANPVYHEGTKHVEMDCYFVRERAQSREIAPRKISTGAQLADIFTKALGKDRFESLVFKLGAANLHAPT >OMO64841 pep supercontig:CCACVL1_1.0:contig12728:39599:40313:1 gene:CCACVL1_21608 transcript:OMO64841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHVWKGCRAGMVLRLPPLRSFYAYQ >OMO80895 pep supercontig:CCACVL1_1.0:contig10262:19238:20178:1 gene:CCACVL1_12708 transcript:OMO80895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRHGNKIRVMLLHLVRAPSRSVNPIFDEG >OMO80897 pep supercontig:CCACVL1_1.0:contig10262:53691:54539:-1 gene:CCACVL1_12710 transcript:OMO80897 gene_biotype:protein_coding transcript_biotype:protein_coding description:epidermal growth factor receptor substrate 15-like 1-like protein MEQRELQLQQELAKLQEERQAEIARFQELEQQNAVMSAKLSQLMKFVAEKFPGEELGQPNNSPQGTQNGSGSDDGGADADSQNHFVNNTSISSA >OMO80896 pep supercontig:CCACVL1_1.0:contig10262:38594:52891:1 gene:CCACVL1_12709 transcript:OMO80896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGDAKEAVAREREGRQAMVERMVAEKQVGGGFWRRSPRVAAGGEGFGQRLGVFKRRYKIRRHLKKRHAKRRLIGRREI >OMP05555 pep supercontig:CCACVL1_1.0:contig05323:5787:5861:1 gene:CCACVL1_01868 transcript:OMP05555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RNIREAKVGGKERKEERKKLLVFS >OMO78751 pep supercontig:CCACVL1_1.0:contig10542:34157:34717:1 gene:CCACVL1_14148 transcript:OMO78751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase MKQVCSSVFLFLGFLFLFLSVEAQTCRPSGRIEGQNPPPGQCNQENDSDCCKDGKWYSTYTCSPPVSTKTKATLTLNSFEAGGDGGGPSECDNEYHSDDDPVVALSTGWFNHKKRCLKYINIYGNGKSVRAKVVDECDSTMGCDSDHDYQPPCPNNIVDASKAVWKALEVPESDWGDMDIYWSDTD >OMO78744 pep supercontig:CCACVL1_1.0:contig10542:6411:7791:-1 gene:CCACVL1_14140 transcript:OMO78744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPKADKLVRRTTMVATVTASYFLLTADYGPEPNALGPIKKAILSAQNSVKEFVLGPSKEHQESSLTSNNAKEHP >OMO78746 pep supercontig:CCACVL1_1.0:contig10542:11339:14093:-1 gene:CCACVL1_14143 transcript:OMO78746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCQCSKLTPCCWDSQFKAAVLEAPDVENEEKGEVDHLPAFREFTLEQLKNATSGFAVENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRMAWPDPRQFMEEARSVGQLRNNRLANLLGCCCEGDERLLVAEYMPNETLAKHLFHWETQPMKWAMRLRVVLHLAQALEYCTNKGRALYHDLNAYRVLFDEDANPRLSTFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSDNDGTELVRLASRCLQYEPRERPNPKSLVTALTPLQKETEVPSHVLMGIPNSATFTPLSPIAEACSRGDLTAIHEILEKLGYKDDEGVANELSFQMWTDQMQETLNSKKKGDSAFRQKEFKEAIECYTQFIDVGTMVSPTVFARRSLCYLINDMHQDALNDAMQAQVISPVWHIASYLQATALAALGMENEAQTALKEGATLEAKRSSLAGQK >OMO78743 pep supercontig:CCACVL1_1.0:contig10542:4233:5856:-1 gene:CCACVL1_14139 transcript:OMO78743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bestrophin/UPF0187 MAQSSNPSKLSLSSDFNPKLFPNKLHRYSSFPFKLSQPRSFKTLSSLSPPPPPSSPPPPSSEPTTTKTLNLVSLLRAIPDWADRVQERGMRQNRALYNHDKWSEHRSSLRHLRHLLSSLQSRVILSLVPPVLAFTSVAAVIATYNTAVDLHWLPGFFPVLRASSLPYQLTAPALALLLVFRTEASYSRFEEGRKAWTKVISGTNDFARQVISGVENSSDDQSLKDALLRYIMAFPVALKCHVMYGSDIGRDLQNLLEVDDLTVVLNSKHRPRCIIDFISHSLRVLNLKESERTVLESKISCFHEGIGVCEQLMGIPIPLSYTRLTSRFLVLWHLTLPIILWDDCHWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDELCNVVQNNIREAIATEKVIKAKLIGKRKRHSYKHSPNGWPNT >OMO78745 pep supercontig:CCACVL1_1.0:contig10542:8116:9999:1 gene:CCACVL1_14141 transcript:OMO78745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPKAAQNPPSVQDPNPPTASVTDTATQTAPPPPQQEPPRPPAAAPPSTAPEQPANKKRPLENRNDQSQNSKYVKMRLILKDLRPHFIEVLRTPDFRNCKAANEIKEQIKLLLELHGQITAETVSAEKCNNAPGNQSLTGQTDKKQKPEQQPQAVKPAVSSENKGFQLSSVSVKQQSEDGEAPGTYIVGGSAFGWNFITFTGNTPVYYGVTKEAFRISQATLGGE >OMO78742 pep supercontig:CCACVL1_1.0:contig10542:2654:3784:-1 gene:CCACVL1_14138 transcript:OMO78742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVASDLSIKEERGVPELLHQLQQYPHGLETSKSSPSTCRHPAVVVNGVCYHCRQKIENKDTYGLEFSYLYMGLKLSHSEMDRLRCLGSKKQLSQKKLHLVLDIDGTLLHSFPPGEASKLGLSKNDKNTKEIDGWVVKLRPMVLEFLEKASAMFEMYLYTLGSRSYAKMIAKILDPQGVYFNNRIISRDDNPYGVYFKTLDLILGEESNILILDDNPEVWAKHLRNLILMKPFVFTGTETSDEITERNTPILGEILKLLHTIHSLFFQQEVGCRDVRDLASEVRSNVLRGCNLYMKQVKDSKLWDVAKALGGTCCSELNSSVTHLVSCKRGTKDYNWGVKKKKFLVHKRCIEEANYLWQRLPEENYRPTMTCCCW >OMO78748 pep supercontig:CCACVL1_1.0:contig10542:18394:18843:1 gene:CCACVL1_14145 transcript:OMO78748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKNSLARRKRQHEFELQREQQEKEKKAKKLQLKKNKMKVDGSDKKKKKGGSGFQVGKRKLKTKLTEVTKAKVAQAMELDK >OMO78747 pep supercontig:CCACVL1_1.0:contig10542:16725:18016:1 gene:CCACVL1_14144 transcript:OMO78747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTLPFNGSRGTLYSASPLPVRVDEG >OMO78749 pep supercontig:CCACVL1_1.0:contig10542:20459:25349:-1 gene:CCACVL1_14146 transcript:OMO78749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEITRLQAGKAKPRIYKAANREERRKGKTARRKERVEISKLASELERKRQKCKLYYSLPNHRKQRKRLHVKDIKKQMEMKTRKSASGLLDIQISRPSTVHYKPTSQRKMIQNSEAGAR >OMO78750 pep supercontig:CCACVL1_1.0:contig10542:25856:28285:1 gene:CCACVL1_14147 transcript:OMO78750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGSASYYMQQRGIAGSGSGTQTGLHGSPGIHPLSSPNVQYQSINAASMGSTLPVESSSGITPHSVNVGTPSAVPPSETVKRKRGRPRKYGPDGTVSLALTPASATHPGTITPTQKRGRGRPPGTGRKQQLASLGEWLSGSAGMGFTPHVIAIAIGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLRQPSSSGGTVTYEGRFEILCLSGSYLMTSNGSSRNRTGGLSVSLASPDGRVIGGGVGGMLIAASPVQVIVGSFLWGGSKTKNKKGGGQEVGKDLDHQTVDSLVSPGISPSQNLTSPSPAGVWPGSRSIDMRNTHVDIDLMRG >OMO70004 pep supercontig:CCACVL1_1.0:contig11908:8615:8734:1 gene:CCACVL1_19149 transcript:OMO70004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LITSTSDHQQKRTIQVVNHLCSIINRGDIEKEKGEETTCE >OMP08653 pep supercontig:CCACVL1_1.0:contig03669:6:317:1 gene:CCACVL1_01103 transcript:OMP08653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTTTPLFVPRAEHVAADDTAPWTDLLIERAPPMQHEKGMGQRFVLGDEQAKLIVLKRTSDWGKQKVLRHVLCEKRVLRVSRNAFPRNLLDSSFTMRVPQN >OMO71361 pep supercontig:CCACVL1_1.0:contig11660:60659:62161:1 gene:CCACVL1_18264 transcript:OMO71361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQASAAALPPATANPNTHSTTTKGNRGAMGLLLVFFPEDQNKINPSTRFIRRTSSSLLFNKAQSTISICALLVFLTLLVFTLSTFEPSIPTPTTSQTSTVKSSRRFLSQNSHLSSSSSDSHWFFPMWDYKPLHVKQKSNVSSSSSFALQGMGTLYRRGSKAMNDLIVSHVVEDVNEDELRLFLRVLHRSGVTSKADTVFIFGSSLLSSRFGPVIQEENESFLKLVQHYKEMNNKDFPDSVFRFDPTQFWKSGKKDVAEPIWGKRSRGNYSNNSTEAEAESTRLTYGSIVGFDVNELDPENSLAGFLDHVPMRLRRWACYPMLLGRIRRNFKHMMLLDVKKLMLLSDPLGRVRNRSPESVLLLTKESSSGKHGKKNSEKIHLQVNSAILMGGAKGIRRLANAMLTEIVRATMQHKKKNSISESGILSQLVGNEHILKNVNLITSTESIQEASSLMGLSSHSNADSSVYRDC >OMO71359 pep supercontig:CCACVL1_1.0:contig11660:28443:28661:1 gene:CCACVL1_18261 transcript:OMO71359 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MSEIVMPHGVRFYPEKEEIFSYYLYPAINGESIPYAEVDKRKSPGICLNGTKTPLKLKPNRFGYFTKRVDRR >OMO71356 pep supercontig:CCACVL1_1.0:contig11660:10406:10888:1 gene:CCACVL1_18258 transcript:OMO71356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPEHKMSSVKPPRPRLQAENASSTFGFHRSRVLSDSRNQNGRANELEMR >OMO71357 pep supercontig:CCACVL1_1.0:contig11660:11988:17575:-1 gene:CCACVL1_18259 transcript:OMO71357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALPHPIPVKLTLNSPDSTHSTRPESVVPCKKPKNETAFKEQKSGFVDYDKGQHEVSTRVSGLRKADIQKRYRLRVEGDRFQKDWTVSDVVDRILELKHWENVDPLLNRWVGRFARKNFPVLIKELTQRGAIEHCVKVFEWMKNQKNYCAQNDIYNMMIRLHARHNRVDQARGLFFEMQKWRCKPDAETYNALINAHGRAGQWRWALNIMEDMLRAAIPPSRTTYNNLINACGSSGNWREALKVCKKMTDNGVGPDLVTHNIVLSAYKSGAQYSKALSYFELMKGTNIRPDTTTLNIVINCLVKLGQYGKAIDIFNSMREKRADCRPDIVTFTSIIHLYSVSGQIENCKALFNTMLAEGIRPNIVSYNTLMAAYASHGMSNEAFEVFEQIKQSGFRPDVVSYTSLLNAYGRSQLPEKAGLVFDMMKKNNCKPNLVTYNALIDAYGSNGLLAEAVEVLRQMEQDGIHPNIVSICTLLAACGRSGQKVKIDAVLSAAELRGIKLNTVACNSAIGSYMSVGEFEKAVALYKSMREKKVIPDSVTYTVLISGCCKMSKYAEALGFLDDMVGLELPFTKEVYSSLICVYSKQGQVAEAESMFNMMKAAGCPPDLVAYTSMLHAYNAAENWEKALALFLDMETNDIQPNSIACSALMRAFNKGGQPSKVLVLAEYMKEKAIPLNDAIFFEMVSACSLLRDWKTTMDLIKLMEPSFPLVSIGLTNQLLKLVGKSGKIESMMKLYYKIIASGASVNIDTYSILLKNLLAAGNWRKYIEVLQWMEDAGIQPSNGMIVDIVSFSQKGCGAEYAEKIRERLESMNRYADQNSSSTVASSLH >OMO71355 pep supercontig:CCACVL1_1.0:contig11660:6304:8080:-1 gene:CCACVL1_18257 transcript:OMO71355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMINALFRELKVIKSKIKACQSEEVQSLKLIEKNLINKIYTDSAEAKIPAVLDYLETVIKKKKVGCIRIDGTTAASSRQALVEGFHQGGSAIYQSWRCRLDLNCCKHCHLCRVGMDSR >OMO71360 pep supercontig:CCACVL1_1.0:contig11660:30724:41820:-1 gene:CCACVL1_18263 transcript:OMO71360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGKKQTLNRMEDDNADPNEIVNENEGEAPFKDGTDICQQLMDRYSKSSAPQHRHLLATAAAMRSILSAESLPLSPPAYFAAAISSLDDDSSTTLDAMAIGALLTFLSIVVTVVPKGGIASGKAKEAVEVVVRVAGTEGLGVASLRSAVKCLGVLMVGFCDLEDWDSVRFGLETLLGFAIDKRPKVRRCAQEYIEKVYKSFQSSFVIKDASKLVLSLVKKHMPTALTLSTIRSGDDCKDETLSKPEYLEVLHMLNVLKLTVPHLSAKVRLKSLSELRKLLSSEFSPLTKNVHKTIEVYFGHPNVDTILPEMENIIISLASYLSGEKNPVDTLISAATLLKCAVDKLHAGESNLWMKNVPLVSSSLAVLLTSEATASQASAILKELISHHIELKSYSADNDGIGNEEADAIKSICATFENTLNSTDGIPNEHVLAVLATLFQKLGESSYIFMKSIVHKLADFMTLASGDMSNMNHLQNCIGSAVTVIGPERILTLLPITLHSDNFNYSNVWLIPVLKNYVVGASLRYYMEHIVPLAKSFQLATCKVKKSVIRQDLQAHAYGLWGLLPAFCRYPIDTHKKFKALAELLIDILKEESFMHENIAVALQILVNQNKSIIRSEKNTSEASNSTEGDSVAELGGLASYSKKSATKNMEALSSCAPALLQALIDAFVCTLAAKRLYLKDAIRCLASITDSSITKRIFMSLVDKLQLVDDEGEFEKQAGNANVLMEKEGGNTSTMEKGARRRMIMELASSIVPGAEEDLIDFIYALVKKTFQETDEIGHAEAYCTLSRVLEEHAWFCSSRSEELIDLLLALKPPADIASLGSRLDCFNILMVHTLKLSSLEENTKPFLILNEIIVTLKDGKEETRKATYNILLKMSSTLRNLSDLRSDPPYHKLISMIMGYLSGSSPHIKSGAVAALSLLVHDDPEICISVPDLVSSILSLLQTKAVEVIKAVLGFVKVLVSSLQAKDLQNFLSDIIHGILQWSSISRNHFRLKVTVILEIMTRKCGIAAVQSVTPEKHRGFVNTVIENRRGKTSKEADASDAEKALGDSLTEGSQKRKDKGKGTFEHRKRKRDKRDGGKLPDSSEPGISAGHGSGMKRAKAGRQFEKSTKGHSDGNGKPNKKNFKKGFIKGQKRKIDQSTMSQRDKPAGDKHSFKGGRQKKVRVK >OMO71358 pep supercontig:CCACVL1_1.0:contig11660:18645:20954:1 gene:CCACVL1_18260 transcript:OMO71358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLIALGFEGSANKIGIGVVTLDGTILSNPRHTYITPPGQGFLPRETAQHHLQHVLPLIKSALKTAQITPDEIDCLCYTKGPGMGAPLQVSAIVVRVLSLLWKKPIVAVNHCVAHIEMGRIVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLTLSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSYIEATAEEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDKKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYYVDNGAMIAYSGLLAFAYGSSTPLEESTFTQRFRTDEVHAIWREKQESGDMNGVLEGGI >OMO86002 pep supercontig:CCACVL1_1.0:contig09549:14272:15915:-1 gene:CCACVL1_09875 transcript:OMO86002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MCPCKCNSNVPFLLSIKDSDGSNIYEPLIPKTPPQKQEQQKKLHLTIQSQRQNRGSPTLPVAVKEAISIAKIALPMILTGLMLYSRSLISMMFLGRLGELALAGGSLAIGFANITGYSILSGLAMGMESICGQAFGARKYSLLGITLQRTVLMLLVASLPISLLWINMRKILILCGQDETIATEAQSYLLYSVPDLLAQSLLHPLRIYLRTQSITLPLTCCAILSILLHIPINYLLVSHFELGIKGIALSGVWTNFNLVGSLIIYILYFGVHKKTWEGISMECFREWKSLLNLAIPSCVSVCLEWWWYEIMILLCGLLLNPRATVASMGILIQTTSLIYLFPSSLSFSVSTRVGNELGANQPKKAKLAAFVGLFGGFILGFSALAFAVTVRNMWATIFTKDKEIIALTSMVLPIIGLCELGNCPQTTGCGVLRGTARPKVGANINLGCFYLVGTPVAIWLAFYAGFDFKGLWLGLLAAQGSCMVTMMVVLVRTDWELEAERAKQLTGAVMVVVDDSNEVDQQEKLPKPEIKEDSFSLLEKPDHYSIV >OMO60092 pep supercontig:CCACVL1_1.0:contig13791:3353:3738:-1 gene:CCACVL1_24407 transcript:OMO60092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETDDDDTFFLGEDGLIHCPTRVQVRMAIPNDDDDEVSGNALPLVSRDFCNAMANGAAPTYMKASTTKMVPRF >OMO56098 pep supercontig:CCACVL1_1.0:contig14554:6109:6222:-1 gene:CCACVL1_26767 transcript:OMO56098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTANGGGATTKQSGGSSCSAAATASATAAGGAGGG >OMO56097 pep supercontig:CCACVL1_1.0:contig14554:4374:4481:1 gene:CCACVL1_26766 transcript:OMO56097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRKISAGAHLACCCFFLVGCRTTSDTRLMGGVM >OMO67201 pep supercontig:CCACVL1_1.0:contig12462:3678:6838:1 gene:CCACVL1_20717 transcript:OMO67201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MPVLRSREIPPVPPKNRKTRAQVDPPTTPTQCREQPAAPPSLTPSPITPAPASHLVPARRRSLRLASQASSSGEQEEVDVPEQEKRVVRRNGAVSKKRKLTVDGDGGDFQSEENKEVLSLRSGKRVVKTSSLDDGVGDEKVESDKKGKRVLQEEPENSEKLEGTSENVSLGSRRRFSREEKGKGKLVVETGLESKAENSVDNSVSGVDLSAEKVTLPDENPSNKRRNEGRRQQYRNVARENASRFAHFDAHEEDDSNFPSIEAETEIHSEEEKPVEDWPGPFSTAMKIIRDRAEKLNLKQRSSSENVQSVQIKWVPQKGKGKDERSKRLPPSLIEMCIRVLVNNADAIASLDLMPDVLRHKLCQMLCDSRRMNVNFLNVLVSGSPTEIRLRDCSWLKEEEFSKCFEGCDTTNLTVLQLDQCGSCMVDYIILSTLARSTNNLPALTSMSLCGAYRLSDAGLNALISSAPALRSINLSESSLLTSCAIDSLAKSLGSVLRELYINDCHCIDAMLILPALKKLEHLEVLAVGGLESVTDGFVKEFIVERGYGIKELIFTGCGKLNDSSFKIIAETCSDLRALDISNVSKLTDSTLGYLANGCQSLQSLKLCRNAFSDDAIAAFLETSGENLKELSLNNVGKVGQNTALSLARRGRNLISLDLSWCRNLTDEAVGLIVDSCLSLRVLKIFGCTQITNVFLSGHSNSNVEIIGLKLSPLLEHIKVSPTDV >OMP05650 pep supercontig:CCACVL1_1.0:contig05299:7189:7353:1 gene:CCACVL1_01858 transcript:OMP05650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRESKFKIQDILLASKRENPKRVFRERALTSQRMSMNFFTRRRGALPPPRERF >OMO93793 pep supercontig:CCACVL1_1.0:contig08059:53868:54391:1 gene:CCACVL1_06348 transcript:OMO93793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRREIEKLKVELVKKRLKMKKIELFGSIELILPLILVVVEDDVQASVEIGINICPPRRENGHKVADRTASNTRLKGGWAGKICGIQCHMFVS >OMO93790 pep supercontig:CCACVL1_1.0:contig08059:12282:12452:1 gene:CCACVL1_06345 transcript:OMO93790 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat receptor-like serine/threonine-protein kinase MVFLSKTAMSPDGVDEILAKQLVSKCKIEDVRDLAKIAHRCLHKSPKKRPSIDEVT >OMO93792 pep supercontig:CCACVL1_1.0:contig08059:38158:38735:1 gene:CCACVL1_06347 transcript:OMO93792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MVLILLGLLSSFLTTTPSTSTAAAARLPDHRRSDLHLEDKWRVTIVNNLPPGKILTTHCQSGDDDMGVQQIPLEFNVKSLE >OMO93794 pep supercontig:CCACVL1_1.0:contig08059:55482:58182:1 gene:CCACVL1_06349 transcript:OMO93794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDAILEDIEDQDGEEQQDESSEETPTRPIVPLRTSVSGASPSVASSHPQSAEEGSSTGSMASSTATVEEDWVPKHNSECKKKFSNVASCVSKYVLFRKKGKTFIKGPSSWHFWKPEFFRIQVKDGEAWPVETQWRKVKTSKLNAERFTLTAIEERQVAYLERHSYKPKDLVEPARLVLYGLSPEPYKMKGIKKQKCSVGVCTPLTIFTEVEKDGEIIEVSKRVIVVSKGGYPHSCMPQQDPANPFEIRERLMRKIKLIVPPLLEKLILTVYCYRGDYNMNHACLAAAQKHLQDEMAAAAAGKSASKANVTSLTIGSTLPSIAEESNEANDLQVLPSPPTKRLLTGQSSQSVPSEASHLSLFTSGIFSGGGFGEWRELECQGQVSPPFVELLGRYFAHGLSFGTLHDVAAVRDLSDVERAAFIMASTSQAKLIATEGKLKTTELSLRDANRKAKKKDDLLRKLKRTHLKSVSKLQTKVNNLNAEVDACYLATTRQVLRARASAIYMIKKAKDIDDVSGINFEDEELSVGDPLSKEFDPKPFEAPPKDPDSSDEDGTVTGKEVEGGKEVSHETEDVEQHDSPLKKKRKTGEE >OMO93795 pep supercontig:CCACVL1_1.0:contig08059:65812:65913:-1 gene:CCACVL1_06350 transcript:OMO93795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PGTDGTDLVGSLSVPSLIGSVLGAKKVPRFPRF >OMO93791 pep supercontig:CCACVL1_1.0:contig08059:16406:16863:1 gene:CCACVL1_06346 transcript:OMO93791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAEEESREEAPKVQIATLCARAALLLSSLKSTMIRGFEAAINDEIEEKEKMRREIEKLKVELVKERVKMKKIKLFGSMELILPLILVILISSFFMKRALDSFLLDDQSSFCDY >OMO56354 pep supercontig:CCACVL1_1.0:contig14532:7937:9082:1 gene:CCACVL1_26611 transcript:OMO56354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MELNSLKDAFDRVAKKQKLSCSKTQEVLEQIVQEIEKAVEMMQSATTDYKFALAELKNKLHEIAPLSQIEGTQKELNIALNKYPKALEKVLNPDISKAYRNIEFDSETVNQIIASHFYRQGLFDVGDCFINEAGNAEAAAAMRSLFQEMYEILEAMKSRNLEPALKWAATNADKLKENGSDLQLKLHHLQFVKVLQKGSKEEALKYARTNFSPFAANHMAEIQKLMGCLVYSERLHDSPYAHLLSPTNWDIVSEELTRQFCNLLGQSYESPLSVTTAAGVQGLPPLLKFMTVMAGKRQEWQSMKQLPVPVELDKEFQFHSIFVCPVSKEQSTEENPPMLMTCGHVLCKQSINKMSKNSSKSFKCPYCPSDIDATQCRQLNF >OMO56353 pep supercontig:CCACVL1_1.0:contig14532:1737:6304:1 gene:CCACVL1_26610 transcript:OMO56353 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-dihydrouridine synthase MEYENKLVLAPMVRIGTLPFRLLAADYGADITYVEEIIDHKLLKCERIVNEYIGSTDFVEKGTGNVVFRTCNEERNRVVLQMGTSDAVRALKTAQMVSKDVAAVDINMGCPKSFSVSGGMGAALLTKPDLIHDILTTLRRNLDIPVTCKIRLLKSPQDTVELARRIEKTAKWNEIADVVSALSIPVIANGDVFEYDDFQRIKAATGASSVMVARGAMWNASIFSPKGKSHWEDVKREYVRKSILWDNDVKSTKHTLKEMIMHFSCLEFPEGKAVIKSENLADLAKVYGEEEYYRAFTSLPRLTLTDPLLRKSESFSLLLYTPKLKIGLS >OMO57494 pep supercontig:CCACVL1_1.0:contig14364:3689:6732:-1 gene:CCACVL1_25752 transcript:OMO57494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MGTICEEPKPSLLQDLKISIENVSLVFPSQKTERKSMFLSNIDKVLNFPVETVHFFAAHKEFPPPLVAEKLKSRLEKLLVPYDFLAGRVRTNPENGRLEIECNAAGIGFALASSHYTLDDVGNLVYPNPAFGQLICKSLDSNLLESDDQPLCNVQVTSFRCGGFAIGFITNHVTFDGLSFKIFLDNLAAAIAADGNTPLPLTPCNDRLLLASRSPPRVDFPHFELAKFHDSNPPPVFDATSEDLDFKVFRLTSSDIADLKEKAKAAGSSGDGGGGARIITGFNVVTALIWRCKALSWHKETTPNPQDRVSTLLYAVNIRPRLVPPLPVSYTGNAVLTAYATAKCEEIEEGPFGRIVEMVWEGARRVTDEYARSAIDWGEIYQGFPNGEFLVSSWWKLGFEEVEYPWGRPRYSCPVVFHRKDIILLFPDIQDKNGVNVLVSLPRQQMIKFETLFRNYLAC >OMO57495 pep supercontig:CCACVL1_1.0:contig14364:53583:53905:-1 gene:CCACVL1_25753 transcript:OMO57495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVVLRFRNHVDSMPLRGRHVARHLHREMSDEVESRFKGKDVTRNNEVVEKSVVVQHEGTEYVATIPRKGVMKEVPSY >OMO57497 pep supercontig:CCACVL1_1.0:contig14364:55962:56042:-1 gene:CCACVL1_25755 transcript:OMO57497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDLFKVQVIRLSPKARLEDRRAIA >OMO57496 pep supercontig:CCACVL1_1.0:contig14364:55449:55508:1 gene:CCACVL1_25754 transcript:OMO57496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGAHGSGRARFGRVFA >OMO57493 pep supercontig:CCACVL1_1.0:contig14364:253:389:1 gene:CCACVL1_25751 transcript:OMO57493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTLAGFEDTDISFGPVEGP >OMO84217 pep supercontig:CCACVL1_1.0:contig09768:29694:43537:-1 gene:CCACVL1_10949 transcript:OMO84217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MFFISFLKQISYEYARRRARLVLVDIRGDRLGRVVRNVKNLGSPDVIAIAADVSKVEDCKRFVDETIKHFGQLDHLVNNAGIARIKLFEEDESVSEFPRVMDVNFWGTVYGTHFAIPHLRKSYGKIIVIASGLGKVPFPQTSFYNSSKAALISFYETLRCEIGWGIGITIVTPGLINSELTQTVAAKAAIGFLDFVPMASSERCVFKLLWSIWRSVFKENVAGKVVLITGAISSGIGEHLAYEYGKRGARLALVARRTDRLQKVAGRARELGSPDVIVITADVSKLQDSKRFVDQTVNHFGQLDHLVNNAGIFNSQTFEGIRDISKFVTFMDTNFWGSVYGTHFALAHLRKSKGKIVVMSSAAGWLCPPRATIYTASKAAQIGLFESLRVELGPEIGVTIVTPGFVESEMTQPKPFKLKQQSATTTNRVRHRTTTPELSPPSVEPRTLQKWSPSPPELESKP >OMO84213 pep supercontig:CCACVL1_1.0:contig09768:5809:6355:1 gene:CCACVL1_10945 transcript:OMO84213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWKKPRVDRDEIGSGHDQTTCDDDIDAYLANPSPPRRVELPNVVDEIIQTQVEGSLGQVSDFTDINPNEETIGEIVDWDQLQVIEAVDHKFRSDEFGSLVCQAFIDKPIIPTGFDDMESYGFQSLCASTIFPIS >OMO84215 pep supercontig:CCACVL1_1.0:contig09768:13797:15327:-1 gene:CCACVL1_10947 transcript:OMO84215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MDYLIDKLMTAIVATISLITLVFILQHYRFLNTLVSTVRTVFKENLAGKVVLITGASSGIGEHLAYQYARRGARLALVARRAHRLQEVADGCEILGSPETVYILGDVSNIDDCKRSVDATVNHFGRLDHLVTNAGVAPVCLFEDYDDITKGSPAMDINFWGSVYCTYFAQQHLKKSKGKIIVIASAAGWLHTPRMSFYSASKAALISFYETLRIEFGIQIGITIATPGLIRTEMTEGKFLSKEGKLEMDQEMKEVQVSVMPQESAENCAREIVDSACRGEKYVTVPTWTRTTLLWRVFWPEIIDWCYRMMLMTAPGSSPKEAPTKKMFDVVKGVKEFFSLDSSPVS >OMO84216 pep supercontig:CCACVL1_1.0:contig09768:17201:18817:-1 gene:CCACVL1_10948 transcript:OMO84216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MDMINNILNVVLPLIVFTTLLPVYPLYIVHKFLNFIKRLISSEDVAGKVVLVTGAASGIGEQISYEYARRGARLALVDIREDRLGKVAEKVRILGSPDVITIRADVSKIEDCERFVDEAVKYFGQLDHLVNNAGTAVVLLFEEVKSLSDCSRVMDVNFWGTIYGTHFALPHLIKSKGKIIVMASTLGWYPFPRAGFYNASKAALISFYETLRCEIGSDNIGITIVMPGLIKSELTQSEAALKAGAGGFVPMESAERCGRAIVKKACRGDKYVTEPSWIISALYPLKVLCPQLVEHCNHFLFVSNSKKKAA >OMO84214 pep supercontig:CCACVL1_1.0:contig09768:9024:13168:1 gene:CCACVL1_10946 transcript:OMO84214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRLRLSQFSDEEEEEDQQQRHGNEPPPESSAVTHQPLTVSPPNPNTAEPLPISDDDFVDVSESFTPPSPPPPAPLVVAETATPVTSVESTGSPVGDFLLRMGLKLRREWLDSCVQGLERSVPRFSTLDVSAKAKLCFQHFLISDMNYSGGGILPENVDSMHLVDLKGPFVLQVDEIVNLSCPLKGRYQEAPSGIKRCLKLSMTDGVQRVFGMEYRPIKDLQVLAPAGLKVAICNVHIRRGLLMLVPESLEVLGGVVEDLEAAHQRLVNEVNKPPRGRRTKTGVAPPLATRATLAAWQLNGVNAVEPTDSLVSENAAPFRADERGATLVSSGTVTTQRTVQESTVHIGGMNANQSSDVASDVERMHIDSVPITRESAMSNLNSNTALDVEDVHIIDAVEHPLILSGDREVPFTYLASLSAKWAAVKEKATYVQGKIKCFLTGVKGFQYKQRTTYELRCYVDDGSLISEILVDHNVVQKGIGYSPQEVTAALSSSDRQTASGMKDILRQFQTFLAQFEGMMLVEINKKSSLPIAMEMTQGCPASDAKLLLRRLKPSSSSRIAGDQPPYPISISP >OMO53226 pep supercontig:CCACVL1_1.0:contig15270:7280:7459:1 gene:CCACVL1_28795 transcript:OMO53226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHFGSSPNGQFEHPLLARPQQPIHRIGVGGAPPVHNQVPNSYVEDLESANRNSGNGGRK >OMO53225 pep supercontig:CCACVL1_1.0:contig15270:2107:2451:1 gene:CCACVL1_28794 transcript:OMO53225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLMSVDGLTRENVASHLQKYHLYLKRMQGLSGGGGGRVAGANRGAGGGASTDPTTNHLFASSPVPPHFLHPGRGNSEHFLPFVPVPALQHHQQQQIVAAVSQRPGPGSTGSYR >OMO95387 pep supercontig:CCACVL1_1.0:contig07685:3454:3576:1 gene:CCACVL1_05416 transcript:OMO95387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAFHASLADAFTKLGTQHMACLLLDNCPCTVFIIHVGP >OMP09700 pep supercontig:CCACVL1_1.0:contig03082:1376:1645:1 gene:CCACVL1_01048 transcript:OMP09700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLRQDEALLSVKSKHPRAMVLCASEEQCDQLLQTFSMDIYLFALSLFFYHSWWYQDSIKLRCIIPSLAKRTPDNNFSVQSFLSALGSLV >OMO51619 pep supercontig:CCACVL1_1.0:contig15773:16140:17897:1 gene:CCACVL1_29680 transcript:OMO51619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MSKYSYTMPQSFQVLPHNSSKISLCPSPKIKCGKTIFCSINTTSFPSKPFNEKGFEQICSKTKKKTPPSSPQSLFSHLSSISKNLLLNTLNIIHPPLDPSTDPKHVFTGNYAPVNELDPTDCQVIEGELPLSLNGVYIRNGPNPQLQPRRALHLFDGDGMLHSLRLSNGNATYCSRYVKTYKYMLEKEAGFPIIPNFFSGFYGLADAFQFLLIDIGKVLTGHIDLMKGFGVANTSIAFFANKLHALSDSDLPYLISLTQTGDIETLGRWEVSKKLLANMSAHPKIDMETKETFAFSTSLTFPHLSLFRFDSNGVKQKELPISSVRKPTFLHDFAVTKRFAVFGDTQLGFAPEKVAMGRGALVDYKQDKVTRIGIIPKYATDDSEMEWFPVPNFNAIHIFNAWEDGEDEIVLIASNIVSIENIYERTCDIKLEKVKINMRTGHVSRNLISSRNLELGSINPFCAGKKSRYAYMGVFDEVPKMLGVVKIDLETGCEVGKRFYGHNCFGGEPLFVTKNGKNKVDFDHDGEEDDGYVMSYVHNEESGESKFLVMDAKSPELSIVAAVRLPRRVPYGFHGLFLSEEDLLH >OMO51618 pep supercontig:CCACVL1_1.0:contig15773:7003:8656:1 gene:CCACVL1_29679 transcript:OMO51618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MGEAAAAAHQIVTMEVNAHGIGVITFLNPPVNALNMEALEQLKGKYSEAMERDDVKAIVLTGGGGKFSSGLDISLMQIIQKTGDNSFLAEICRDLNNLVEDGQKPSVAAIQGYALGGGLEFAMGCSARVATPGTKLGLPELTLGVIPGFGGTQRLPRLVGVSKAAEMLMVSKVLLAEYEAAQKKNKAFKGLGIEHMNKPCLVIPSQLTSATLR >OMO51620 pep supercontig:CCACVL1_1.0:contig15773:23488:25943:-1 gene:CCACVL1_29681 transcript:OMO51620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGKRNSQANFSPIENLEDDDTMVPEYENYSNSDDDSIEDLEALDTNTKATGQIVRESNVTNQSNKPLLDEVIMRGVPENSKLTDRGPKAKGLIADAFHNMDRHQVDKEIVTALCANEDALIDDSHVRLEEMRWPSLDDDGEETFGHEDMQQEEDTLNARIGSDSGNKKRMSSSASGSGTSSSQPRKRKQSNISTFMRQGSSSSKRI >OMO51621 pep supercontig:CCACVL1_1.0:contig15773:26407:26529:-1 gene:CCACVL1_29682 transcript:OMO51621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQIRVTINRPSCYDLRIGSHDPNRGSLAIQFHNFNNPYQF >OMO54066 pep supercontig:CCACVL1_1.0:contig15062:16941:17396:1 gene:CCACVL1_28087 transcript:OMO54066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S17 MSITSSLQSLKLSSPFLHGSTSLSLLSKPNSSVTPQPSKALTFLPPIKAMRSMQGRVVCATNDKTVSVEVVRLAPHPKYKRRVRKKKKFQAHDPDNQFKVGDYVQLEKSKPISKTKAFIAVPVPLRERPVKAETGELGIPLESQQPQEQQS >OMO54064 pep supercontig:CCACVL1_1.0:contig15062:12303:14574:1 gene:CCACVL1_28085 transcript:OMO54064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MVRAIEQEQESYRSRLFNFRGMRGGGDNNNGRHAKSLSVESASMLEDEVQQEEARINLASRTSNIEQMKEKFGKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQSKLEPMAPETKVRWKKEIDWLLSVSDQIVEFVPGQQTNKDGSNMEIMTTKQRTDLQLNIPALKKLDTMLLDCLDNFKGEKEFYYLSKDAPDSEKGNNKRKDDKWWLPTVKVPKEGLSDAARKHLQHQKDCVNQVLKAAMAINAQVLSEMEIPENYIESLPKNGRASLGDSIYRSITVEFFDPHQFLSTMDLSSEHKTLDLKNRIEASIIIWKRKMNQKDEKRAWSSAVSMEKRELFEERAETILLILKQRFPGLPQSSLDISKIQYNRDVGHAVLESYSRILESLAYTVLSRIEDVLQADYIAQNPNQASCKKNSVKDDRDAILVVPTARNDLDSSNAMTLSDLLTWSLDNNDSEEKKDSTDELSKDADAKQNQKLSINTNKKVSYLETLGGLRSPTSRH >OMO54070 pep supercontig:CCACVL1_1.0:contig15062:48336:51358:-1 gene:CCACVL1_28091 transcript:OMO54070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQVEIENGNFPPKSIEQVVTATPCIALKGIKSVESARDSVKVTIRERSPLHNFPPKRKLARQLVFTEFGLSPISISSPSLVNSSSEQHLSPQSPLSYKSESPLPLHSTNMKANNGTPKYPKQCGCKQSKCLKLYCECFASGEYCNGCNCTECCNNVENEDLRKAVAEIILERNPHAFKPKIASTPCSPQNGDEATDAAPVGRNERGCHCKRSQCIKRYCECFQANVLCSTNCKCMDCKNFEACKDTMAAFGKNDSESEICKKSEGCEGIIATSPRDNGIRKLFKSLKVSEGLNAVNHENSIDTKIHIQRNTAATSNATGSLGQCLSQESRKRKHLELNLDEKDSLIESFYGFQKVNNLKNRCHSATISIEPTCHNINSAMMGSLRNPYRLMAADVYHLQDTSDINSGLAVLAEAAALAQSAKLFTDKVDKADMKNEVERSYKNTLGDKENNCQWGPVHVQRNVSDDLNVGFGANYGQEGRTLPPGTFNICNEKHEQFIKPASPNQILNCNINSVYAEQEKCVLTTFLDFLQKLVAFTNIKDDASSYYGDKPVLIS >OMO54060 pep supercontig:CCACVL1_1.0:contig15062:1427:2857:1 gene:CCACVL1_28081 transcript:OMO54060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFFNHPKVQNPSFFSFNKPFSILPPLSSSSYTLLISQQSDQPPLVNPPDAITVKTTMLPLGKAHNDDLRNLFSKPGPHLFKDATLPISFVGPHVTELRKSAVVSGKANLVSTLIDHGGDVNDKDSKGWSLISLAVQAEDFDVASVLISSGCEIDNSVDHVLQYAAAINRVDLIELLFKAYKNIVDLNSVALCGKTPIHVAASQGYTEVIQFCLSVGGNPEVLDVNGCTPLHLAAQEGHLEAGECLLEASNYIKYALNKQGKTAFALAVENGHSNLYDVLHLGDSLNAARIDNVNGIKSCLAEGANVNGKDQNGWTPLHRAAFKGRIESVKALLNHGAMVNLIDDNGYTPLHCAVEAGHVQVAFLLIAHGAKANLKGLKLKGVVPLNSDCLRIILLFFSLCCVCHEKEQT >OMO54063 pep supercontig:CCACVL1_1.0:contig15062:8766:10601:-1 gene:CCACVL1_28084 transcript:OMO54063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIGVLMACPMNTYLEQELEKRFNLHKFWTVPEKSAFLTTHKNSIRAVVGNAFAGADAELIEALPKLEIVASFSVGLDKIDLAKCKEKGIRVTNTPDVLTDDVADLAIGLMLAVLRRLCESDRYVRSGKWKKGDYKLTTKFSGKRVGIIGLGRIGLAIAKRAEAFSCPINYFARTEKPEIKYKYYPSVVELAANSDILVVACALTEETRHIINREVIDALGPKGVLINIGRGPHVDEPELVSALVEGRLGGAGLDVFEHEPEVPEELFGLENVVLLPHVGSGTIETRQAMADLVIGNLEAHFLNKPLLTPVV >OMO54061 pep supercontig:CCACVL1_1.0:contig15062:4158:5755:-1 gene:CCACVL1_28082 transcript:OMO54061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIGVLMTCPMFKYLEQELETRFNLIKLWNTEFLKKNSSSIKAVVGNTKVGADAELIDSLPNLEIVASYSVGLDKIDLDKCKERGIKVTNTPDVLTDDVADLAIGLTLAVLRKICVSDQFVRSGKWINGDFGLASKFSGKSVGIVGLGRIGSAIAKRAEAFDCLISYHSRSKKPNTNYKYYSNIVDLAANCEILIVACALTEETHHIVNRKVIDALGPKGILINIGRGAHVNEPEVVSALLEGRLGGAGLDVFENEPEVPEELLTLENVVLVPHVGSDTEETSKAMADLVISNLEAHFSDEPLLTPVL >OMO54062 pep supercontig:CCACVL1_1.0:contig15062:6587:8214:-1 gene:CCACVL1_28083 transcript:OMO54062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESINVLMTYPMNDYLEQQLEKRCNLHKLWTVPEKSAFLATHSNSIRAVVGNSTVGANAELIEALPKLEIVATCSVGLDKIDLVKCREKGIRVTNTPDVLTDDVADLGIWLMLAVLRQLCQCDQYVRSGKWKNGDYMLTTKFTGKRVGILGLGRIGMAIAKRAEAFSCTISYFSRTEKPETKYKYYPSLVHLAANSDILVVACALTEETRHIINREVIDALGPKGVLINIARGAHVDESELVSALIEGRLGGAGLDVFENEPEVPEELFQLDNVVLLPHVGTATVGTRQAMADLVIDNLEAHFLNKSLLSPVV >OMO54072 pep supercontig:CCACVL1_1.0:contig15062:71370:72596:1 gene:CCACVL1_28093 transcript:OMO54072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome C biogenesis protein CcmH MENKDDDVKKAQIVEARARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIRAGKSDKDIYKKLEEDYGETVLYAPKFDLQTAALWLSPLLVAGGAAGIWAYKKHRQKTNVHIMALNLVRGVPLTPKEKETMLDLLTPPPPRGVSPSSLWNRLRGR >OMO54071 pep supercontig:CCACVL1_1.0:contig15062:53709:69598:1 gene:CCACVL1_28092 transcript:OMO54071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MAWFSGKVSLGGFPDLAGAVNKLQESVKNIEKNFDTALGFEEKSESSSNEASASGLWSTDRKALFDPVMAFMGQKSEESALESSEKLESSEISPKVEEKEEAETDKSVHSPEKTSVEEDKPAVRAEKYDEYAEAVERSDTVSSDTGKAEPESEPVSVEPSESTFQNVESSDSPDNEQQKGSSEVVPSENTDSKEAKLEATEVDQVEAATTVAEQSEAVDIHESTDEQKTRTEEIVEKGSPVKSDESEESRDSQAGASGGPDEPESVSSHSIQVEETNHDREFSMPSVLPSDEAQEIVSESVFIENDGNTKGVEVDQQTTDSETDAKEEQRLSSASTMSVSADSVYELEKLKKEMKMMESALQGAARQAQAKADEIAKLMNENEQLKSVIEDLKRKSNEAEIESLREEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQVMAEGEELSKKQAAQEAQMRKLRAQIRELEEEKKGLTTKLQVEENKVESIKKDKTATEKLLQETIEKHQAELAAQKEFYTNALNAAKEAEALAEARANNEARTELESRLREAEEREAMLVQTLEQLRETLSRKEQQASFREDMLRRDIEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETTSRRAEAWAAVERSLNSRLQEAEAKAAAAEERERSVNERLSQTLSRINVLEAQISCLRAEQTQLSKSLEKERQRAAENRQEYLAAKEEADTQEGRANQLEEEIRELRRKHKQELQDALVHRELLQQEVDKERAARLDLEKTARLHLVAVSEQAPIARHNSALENGSSSRKLSTSSSIGSMEESYYLQASLDSSDGFSERRNMGEATLSPLYMKSMTPSAFESALRQKEGELASYMSRLASMESIRDSLAEELVKMTAQCEKLKAEASTLPGIRAELEALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKFTAESVVITSGEGVYDKNVAMRGRHLDAYSMYKRGSRDYINAYDKEQGSARLLNGVGKDIGNPPWKRSFPHLLVATLSSLLFGYHLGVVNETLESMSHDLGFHGNTMAEGLVVSICLAGAFVGSLFSGLIADGVGRRRAFQLCALPMIIGSSMSATATNLWGMLLGRLFVGTGMGIGPPVAALYVTEISPAYVRGTYGSCTQIATCLGLMGSLFIGLPAKETMGWWRICFWVAAIPAAALALFMEFSAESPHWLFKRGRGADAEAEFEKLLGGPYAKGALAELSKSERGDDADTVKFSELVYGRHRKVIFIGSALFALQQLSGINAVFYFSSIVFKSAGVPSESANICVGIANLLGSFVATLLMDKLGRKVLLVGSFSGMAVAMALQATAASSLVSSDNEIYLSVGGMLLFVLTFAMGAGPVPGLLLSEMFPGRIRAKAMSMCMAVHWVINFFVGLLFLRLLEQIGALVLNTIFATFCLLAVIFVKKHVLETKGKSLQEIEIAFLPPE >OMO54067 pep supercontig:CCACVL1_1.0:contig15062:18598:23617:-1 gene:CCACVL1_28088 transcript:OMO54067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFDMSNPPTKDFFASPALSLSLAGIFRDAGAAAAAAAAASMEVEEGDEGSGGGGEGGSSKREETVEISSENSGPARSRSEDELLLEHDDDEDDHGDKSKKKKRKKYHRHTAEQIREMEALFKESPHPDEKQRQQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKQELDKLREENKAMRETINKSCCPNCGTTTTSKDGSMTTEEQQLRIENAKLKAEVEKLRTAIGKYPPGAASTSSCSAGNDQENRSSLDFYTGIFGLEKSRIMEIVNQAMEELKKMATAGDPLWVRSVETGREILNYDEYVKAFSVENSSNGRPKRSIEASRDTGVVFVDLPRLVQSFMDVNQWKEMFPCLISKAATVDVICNGEGPNKDGAVQLMFAELQMLTPLVPTREVYFVRYCKQLSAEQWAIVDVSIDKVEENIDASLVKCRKRPSGCIIEDKSNGHCKVTWVEHLECQKSTVHTMYRTVISSGLAFGARHWMSTLQLQCERLVFFMATNVPTKDSTGVATLAGRKSILKLAQRMTWSFCHAIGASSYHTWNKVPSKTGEDIRVSSRKNLNDPGEPLGVIVCAVSSVWLPVTPNVLFDFLRDEARRSEWDIMSNGGPVQSIANLAKGQDRGNAVTIHAMKSKENSMWVLQDSCTNAFESTVVFAPVDITGMQSVITGCDSSNMAILPSGFSILPDGLESRPLVITSRQEKSNDTEGGSLLTIAFQILTNSSPTAKLTMESVESVNTLISCTLRNIKTSLQCEDG >OMO54068 pep supercontig:CCACVL1_1.0:contig15062:41850:41972:-1 gene:CCACVL1_28089 transcript:OMO54068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRWPPEFPQTLQWNEDPNFPAKGSKETSSEVVKGTPEDN >OMO54065 pep supercontig:CCACVL1_1.0:contig15062:15177:16075:-1 gene:CCACVL1_28086 transcript:OMO54065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaH, reaction centre subunit VI MASLATLAAVQPTTIKGLGGSSLNGTKLFVKPTPQTKYGDKSVYFDLEDLGNTTGQWDLYGSDAPSPYNSLQSKFFETFAAPFTKRGLLLKFLILGGGSLGLYLSATASDDLLPIKKGPQLPPKPGPRGKI >OMO54069 pep supercontig:CCACVL1_1.0:contig15062:45890:47581:1 gene:CCACVL1_28090 transcript:OMO54069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTASLPPPILLQGRKTLFRTLQKLPLSSTRGRRNSAVIVKASSESSESSTSLSIVKSVQNVWDKSDEDKVAVIGLGFSAIVVLWASANVVAAVDKLPIIPNVLEIIGILVSTWFVYRYLLFKPDREELYKIINKSISEIFGK >OMO81734 pep supercontig:CCACVL1_1.0:contig10117:38216:39757:-1 gene:CCACVL1_12256 transcript:OMO81734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MAISQLFSLNHPKCSFQLGNPLISKHNSNKKASKNSFQLFPDKPFKSIKCSTTSLSSSTSLTPQIEEETTLSLEDERPLSQIWKEIQGFNNWDGLLDPINPHLRREIIRYGEFAQACYDSFDFDPHSKYCGSCKYQGAHFFEKLGMADRGYQISRYLYATSDINLPNFFQKSKLSSVWSTHANWMGYVAVCTDEEEIKRLGRRDIVIAWRGTVTYLEWIYDLKDILHPAKFNKDPSIKLELGFYDLYTKKENNCKYCSFSAREQVLAEIKRLMEYYDGEELSITITGHSLGAALAIITAYDIAELELNLVDEGEMSNKIPITVYSFAGPRVGNLKFKERCGELGVKVLRVVNVHDKVPTVPGILANEKLDQFQRYLEETVSFPWSYAHVGVELVLDHTHSPFLKATNDFSCAHNLEAHLHLLDGYHGKGRRFCLANKRDIALVNKDSNFLKSEYGVPPYWRQDENKGMVRNSDGRWVLPERPRVETHPHDISHHLEKILHKFDHSGSSQFKEA >OMO81733 pep supercontig:CCACVL1_1.0:contig10117:30278:30799:1 gene:CCACVL1_12255 transcript:OMO81733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRALEEGPWTVMGHCLLIKKWQQGLTVTEMEFRMLKFWVQVHNLPIEMLTHKNALVIGSELGRIVRIEEPITDGGLGRSFLRIRIEIDVDNHLVCGFWVPRKNLDKVWANIKYERLADFCYGCGKIGHVVKHCSNYIGDVEETFNDIHKFVPHMRTTQARGVHWSDLRGERE >OMO81736 pep supercontig:CCACVL1_1.0:contig10117:62814:65247:-1 gene:CCACVL1_12258 transcript:OMO81736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLDMTTEYQEEVQTLNRVFYEVQSRLLEAYKEQQKFRIYKPTLAAGKFSLWISETAPKDYDFIFNTIKNKNVQVDELDWTTCSRKSLPRLHAWEPIEGYTTMTIGNLMGEKECTGGLVIRDGVGNVGKYLSVLLSTNWKIHQAKLITILKGLHWSSAYLEKVEAEKKLRVKTDYWRIVRTLNSRDIENIMDNKTTNIYRAIFKVIDDKFDACEFSYIPKEMNQLANLLASNYQLPEKKRRRDRVSSKFEG >OMO81735 pep supercontig:CCACVL1_1.0:contig10117:50735:59037:1 gene:CCACVL1_12257 transcript:OMO81735 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MSTGEGKIVCVTGASGYIASCLVKLLLLRGYTVKASVRDPNDPRKTQHLLSLEGAVDRLKLFKANLLEEGSYDSVVEGCEGVFHTASPFYHDVTDPQAELLDPAVKGTLNVLSSCAKTPSVKRVVLTSSIAAVAYNGKPRTPDVVIDESWFTDPDYCRGLKLWYVVSKTLAEDAAWKFSKEKGIDMVALNPAMVIGPLLQPTLNTSAAAVLSLIKGAQTFPNATFGWVNVKDVANAHIQAFEIPSANGRYCLVERVAHYSEIVEILRELYPSFQLPEKCADDKPYVPKYQVSKEKAKTLGFRFCPLDEELILRYLRQKVLNMPLPPNRINEVNLYGYNPEKLADDWVLCRIYKKDEKSNARASSKDHEEDQSSLIYHEDSNELMNMEFDHNSVADSLDYSNAFGNLAFDGAYHHQLSSLSIGGSSPAYPFRSSFPVRVQDDIWSFANLDFASQLEIFGVPFVDPLINLDNILPVDSPDDSDKPGPDNSRMDD >OMO81731 pep supercontig:CCACVL1_1.0:contig10117:2707:4182:-1 gene:CCACVL1_12253 transcript:OMO81731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTSSQTITNSPTNISHIRFVLVGSVKTWKHRKPYIEAWWRPNETRGNLFLDSPPTQEFLPWSSASPPYQINEDLTKLRIYPKLVNPGQVRMYHSILESFRLGDNKDVRWYVMGDDDSLFFVDNLVELLGKYDHNKYYYLGTNSETIRSNYDFSFDMGYGGAGYALSYSLIEALVPMMDSCIERYPYLFVSDHLSSYCSADIGVALTIEKGIHQIDLVGDISGLLSSHPQYPIITLHHFDTINPIFPSKNQSESIYHLMKAAKFDQSRMLQQTICYHRPSNWSFSVSWGYSAHIYENIIPRSVLRKPLETFAPWKKGRPPLYMFNTRWLSNNPCEAPHVFFMDSIKKMEDNLVLTTYNRTSPRNLQACSASGNHSADSITQIRVFLQSTKRKEAGRIECCDVKYVAGMNVANVKLRTCLNGEVIA >OMO81732 pep supercontig:CCACVL1_1.0:contig10117:6118:13229:-1 gene:CCACVL1_12254 transcript:OMO81732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIATCLFTPTPSSPFSPLPQTTPKFPTTFPLHLRLSFSSSSCCTTTLRHRQPSPFLTFSTLPGGDGGDINHNNNSSGGGGGGNNENGKGKGGDENAGDKNREEAMILLAEAGRSLESLPKDLAAAIQAGRIPGSVIERFLSLEKSGFMRWLMQFGGFKERLLADDLFLAKVAMECGVGIFTKTAAEYERRRENFFKELEIVFADVVMAIIADFMLVYLPAPTVSLRPPISVNAGAIAKFFHNCPDNAFQIALPGTSYSFLQRFGAVVRNGAKLFAVGTTSSLVGTAVTNTLINARKAVDKSSAAEVENVPILSTSVGYGVYMAVSSNLRYQLLAGVIEQRILEPLLHQHKVMLSALCFAVRTGNTFLGSLFLGTWKKRRPYTEAWWRPNETRGHIFVDLPPSEEFLPWPKTSPPFQVSDDATKLPVYPKLANPMEARIFRSVLDSFRLGDNKDVRWFVMADDDTIFFVDNLVEVLGKYNHTKHYYVGMYSEAVISNFLFSFDMAYGGAGYALSYSLVEQLAPLVDDCLERYPFTHTSDFITSSCLFDLGISLTLERGIHQIDLLGDISGLLSSHPQSPIVTLHHFDKIDPIFPSKNRSESINHLMKAAKVDQSRLAQQTICYHRPTNWSFSISWGYSAHIYENIIPRSILKRPLETFKPWKIGPPPIYMFNTRLPNNDPCEAPHVFFMQTVKKIKGLDVTTYQRKAPRRLKPCLVAGSHSADGIDRIRVVSNAKVPKEAGKVECCSVKYVPGTNIANIKLRDCWKGELIA >OMO81737 pep supercontig:CCACVL1_1.0:contig10117:69626:74267:-1 gene:CCACVL1_12259 transcript:OMO81737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MASGFFEACVSNALATMFVDYLVKPIVRQLGFMFRFNKIVKDFGEQRKQLTLKQVSVEDAIQDAKNQNQKIDVMVEDWWTTARKLQQEAGSLEVNIQENKKCFNWCPNLCWRYQLSKQAAKKSVAISDHVKKSEFQYIGHPAELPDINLITSKDVLLSKSADLAKSQIMEALNDDGVNIIGVWGMGGVGKTTLVNEVGRKAKESKHFDKVLNVVVSQNQNIEKIQDRVAESLNLTLDKKSEVGKAEQLWVRLQNEKSILIIIDDLWKKLDLKAVGIPIGEHHKGCKILLTSRSQKVCSLMESEKVVRLDVLDKDEAWHLFQSCAALNDDTSPGILKVAAEVAKECKGLPIALSSLGKSLKGANLNEWSEAIRKLRMSRLLEIECVEEDKNAYKCLKLSYDFLRHEETKICFLMCSLYPEDSFIPVEELVRHVWGLELFQGVKSIQEARNAVFTAVDNLKASSLLLDTDVEKTYIKMHDMVRDVALWTASQKENPHFVIKSEFGARGWPRNENLEHYTTISFTDCNIKRIPRSLYLPHLEFLSFGREEMMKISGASFEGMKSLKVLDLNNIMASLSQDTFEFLTNLRTLYLEYCNLKTYNISSLGKLKKLEILSLSGSDIEVLPDEVGELKSLRLLDLSLCSKLKRIPPNVIRRLSQLEELYLSFCGFDEWLIDGTDAEVGNGSLLELNELPHLTILALAVRDSRSFPTGLVFPKLQNYEIAIESYSDTRETYSSHRWLKINKIASLHAFENLFEDVELLELGSIGNCQNLVPSLDQGGLNSLIFLRIRSCEDMGCLIDLRKQNVPTAFSNLLVLKIKDMDSFQQLCYGPSPNGFLKRLETIKLKRCCSMKSLFPLPLMQSLMQLKSVKIAKCDMMEQVFEEIKGANIKVLLPKLESLEINDCDSLKSVFPSSVMQSLVQLKRVRILRCHMLEQIFEMEGANCEVLQKLESLEIFSCGSMKSLFPLPVAKNLVQLKSLEIFHCDMLEQIFKEMEVVGSEILLASHVQPPYLLPELESLIMFDCAKLNLECLIDTRKQHFPVIALSNLKRLRLVDMTSLKLLFNGKCPKGFLQKLKSLEIDNCSCMTSLFPSSFAQNLVQLKELCISSCNMLERLVMDDFDILSNKFHHRTPCFQKLEILQIRSCSKLEYVFPSSLVENLPRLKHLHLERSCELKQVLGPRKGMDENDACLKLQCLEVFHLSACPKLGPFTISSQMEVLNFQENSVSNIYGGSKLCNQDIPQSSSRENSSNMEYAWIGTHVEEMFQLQDGHILSNLSEFEVKKLPELRVIWRSPNQIVTLQNLTQMTVFNCKKLKYVFSPMLARTLPQLHSLRIKKCEDLEQIIDTTSSSGYPDLQSICFPTLHVIIIERCNNLKYVFPVSIVHDVPELGAISISRASKLEQVFGCEDKADAKDDDQGQERVELPRLTHVILEKLPTLKSFSSVDYQFIFPSSIRWEVDDCPQMTITTSLVHHRGDIQDCR >OMO53620 pep supercontig:CCACVL1_1.0:contig15179:245:458:-1 gene:CCACVL1_28496 transcript:OMO53620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAVESHQQKYPTMPEVMEELKRISDIGFPIAAMSL >OMO94438 pep supercontig:CCACVL1_1.0:contig07882:229:846:-1 gene:CCACVL1_06005 transcript:OMO94438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNLVADIGGITIKDEFSNSSGSSQNGGFASKDEQPNNAAPGKQATVGSLTFSVTDASSLKPSSESSRLVASGDAGASGKNAEKPKVSTRKPATRTKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNRRLISMSEVKQNQTEGSMWTVLKGRVYNISPYMKFHPG >OMO51393 pep supercontig:CCACVL1_1.0:contig15855:12756:16438:1 gene:CCACVL1_29818 transcript:OMO51393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGLDRGFYHDVPLYMYHEDEVAYHTMRDASSSSSHPPITCCSNQKEKESSLQLPGSFLQPIRRVRLPQQAILGVPRQLTSNSRDTPCWYLSQNGKFSLSSAYNLIRDLPREDQYPTDWRWVWKLNILPKLKFFIWECAHGILPTRELLAHRGLDDPSHCILCNNAQEYIHHLFKECPFAASIWNKIGVGLPDIAFDHLDKADGNTTFEVACFKAVEFFVVNGKTKILPIAPIIQVSWKPPDQGWLKLNTDGSSLGNLGLVGSGSVIRDNEGNWVRGSIRHLGFCTNFVAEFWALRDGLFLAVSLNIRKLVIELDSTTVIATLNSQCPDNLFIQPIVNDYRLLISKLEAVKIQHVFREGNGVADALAKLASFSSCYLLEDSYSVLFDPPFCIKDLLVLDAVGHMFCRTISI >OMO51392 pep supercontig:CCACVL1_1.0:contig15855:10034:11899:-1 gene:CCACVL1_29817 transcript:OMO51392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASQSLERAKKFGSNSHDGDSNSAQPRPGINSMVIDPKSAHQQGNPVAEVPSNFKLELAIGDIDIFLGNAKQAAMKKDADTIQRAIDTLCAYPRVDPHIVQFWPDFPILFKVFAAEYNLTSMALAQLHLARADYLTSLGGLELEIVKFHEIAAPTKDERELVALLEELKSQVVTVGNGLASLRANRE >OMO51394 pep supercontig:CCACVL1_1.0:contig15855:22098:22181:-1 gene:CCACVL1_29819 transcript:OMO51394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKDRFQGVRERKGCRFQPARREQGG >OMP06044 pep supercontig:CCACVL1_1.0:contig05077:2859:3347:-1 gene:CCACVL1_01736 transcript:OMP06044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMELEEQLRFIRRRKHIAFCEQLLNENFHQFWERFNNLCASFPNHELSEKTLLDSFYFGLQANDAKMIEDACGGHHFMMSGVFFSKTLTKQRELIEDIVQSVQRHQEPEIEATLSWEKSIHSDTIKIGGEFHDVTDIPTVKEVEGLSSEIRDDLEPHVLDS >OMO88996 pep supercontig:CCACVL1_1.0:contig08870:43421:45864:-1 gene:CCACVL1_08070 transcript:OMO88996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase MMQSRFSSLARTLKSSAAKSRRFSSTVESPGTKPEFPPTLAGLRARLAAESPALSDFVGLQNDNQYSVEVGTKKKPLPKPKWMRESIPGGEKYVQIKKKLKELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPGNVAEAIASWGLDYVVITSVDRDDLPDQGSGHFAETVQKLKALKPNMLIEALVPDFRGDAGCVEKVAKSGLDVFAHNIETVEELQRAVRDHRANFKQSLDVLMMAKDYAPAGTLTKTSIMLGCGETPDQVIKTMEKVRAAGVDVMTFGQYMRPSKRHMPVSEYITPEAFEKYQTLGMEMGFRYVASGPMVRSSYKAGEFYIKSMIESDRAAASS >OMO88991 pep supercontig:CCACVL1_1.0:contig08870:13716:14006:1 gene:CCACVL1_08065 transcript:OMO88991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AERYIIWLLPTKILTMPPRTGEFSVLDEAGNFHGLKHGRSSPASIAKLMKKAPIVAENRAGDATQFSKAPVRRSPRNLTKTNVASSKELNGKKKLF >OMO88994 pep supercontig:CCACVL1_1.0:contig08870:38454:38621:-1 gene:CCACVL1_08068 transcript:OMO88994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRSLAPNHEDSDQNLKNGLLSAKSLEGSLMVEEEAIAGGEVKQAEWRGGGLRR >OMO88993 pep supercontig:CCACVL1_1.0:contig08870:28779:30687:-1 gene:CCACVL1_08067 transcript:OMO88993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSVLLLLKHGSASLDILNGRFTCIVGEVTLRIDCYQLMEDHMDDYETNNDPHLTSEEVEDKCDRMSSAENHAETGNHAQIESPFPSGDLKMEQT >OMO88995 pep supercontig:CCACVL1_1.0:contig08870:41073:41936:-1 gene:CCACVL1_08069 transcript:OMO88995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCLSSTAATRKIDEQKNQNPTKSPHRSPLKPSILQSDKTPPPPPEEEEEEEAVIKEVLVLSETSTLYKKEQEKITQTETPLTLTQPPNTQPDHVSSPIKKPPQQQQEHDLSQVSEMYSMTETMSTTATTTTTATGTKGPKEDEATSKDNSDLKRKSPAKVIKKRPSNGERGSVRARTVDPSPEKRARGRGRGRGLGEVRSSVQRNVGSRSSSPGTGRAGGVGRGSVGKSPTTVTGKTDHSKPVAPMTAEGQSQKEEGGNGDDVVEQQGTESLENPLVSLECFIFL >OMO88997 pep supercontig:CCACVL1_1.0:contig08870:50369:50509:-1 gene:CCACVL1_08071 transcript:OMO88997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AWRLVTGEPPTHKQCLVRKGPPRRVWSPTQITYVDTLLSSSSWTLN >OMO88992 pep supercontig:CCACVL1_1.0:contig08870:15558:16556:-1 gene:CCACVL1_08066 transcript:OMO88992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MSNWIECYDPSTNSWHRLGRIPGLLENHVRKGFSMAAIGDSIYIIGGRLCRKEIGHEPDEIIEVELRVLPCVLRYNITTGVWSTCAPLGTPRFDFACTVCDNKIYVAGGLCTLDIARGTSSAEVYDPALDEWRPLPDMSVLRYKCVGVTWQGKVHVIGGFAEKADMTTLPWAWDTIGRCSAEVYDTDNTKWGLVMGMWQLDVPPNQIVGVDEKLYSSGDCLNAWKGHIEAYDGKIWNEVDGSHLETLSSPISISQANWPPIKRLYITMAAIGTHLFFLAGYRKPGEISRLMSVVHIFNTSANRDAWRSLEPMEEDGVKELCCHGCVVTRHGS >OMO67302 pep supercontig:CCACVL1_1.0:contig12449:11420:14551:-1 gene:CCACVL1_20627 transcript:OMO67302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPPSITEIFARLASHLQLSSSQREDEEESLNLAISKLNQSLNLDESPDSGVRVLDTALSLMCYKSPQVYDSVIEYSVKTIVSILSSSVNCKVLRLQNEEFMLVGVFPRLQHAVVRVAVLASCYQYSYPLVVPIFDVKSREERSPAVSKLHSHLPTDLSLGNEELPLRLMFWYLDPLTLKQAVSKLLQDTMGRPFLCLTEEFHQRMDWRAIIICLGLSPLMFIEIRALLHSWFLKTGLDMVLELLVGLVSAILDIISRPTWWGISMEMGSKVPFSFAYFPNKNHLLRILAGPFTAENFLRLVHATSEEVHPTVKPKGLEVSSVDHKSLWALAIDFPDWFYFSSYLLFYEKSLQNSFQSNCTLVAPKVGETHDKESLSASAASYIAWILSPINKSNQDLIVEFLTKISESWTLKQFDSVMYYNGAGACKKKLKKPKLHDKKEDYAPAEEYDCQKIGIWVTEFENICLHYAEKIVKSCASAASNASHGSLQRNVFLRRIPLGILIGYPSSITEDGFELLLHYAATGRILQETRRAGSRYVKQKSDRQDLTAWVNHCSKRDVLAGASAVFNLTDAVDHISASLFETEEGGLDFICQVKAKAGNYLMKCVKRLCQVNIEKDEVLNLRDLCSRLRRWMHQGQEILQLKQDIDDFINDLSQKLSSLEGDCVKD >OMO67301 pep supercontig:CCACVL1_1.0:contig12449:3375:9089:1 gene:CCACVL1_20626 transcript:OMO67301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSTNQNIYIAVAGEKEVSATVSYDSKAIIINGKRRILMSGSIHYPRSTPQMWPDLIAKAKEGGLDVIQTYVFWNGHEPSPGQYYFEDRYDLVRFIKLVQQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGIAFRTDNEPFKAAMQKFTEKIVSMMKAEKLFETQGGPIIMSQIENEFGPVEWEIGAPGKAYTKWAAQMAVGLGTGVPWIMCKQDDAPDPVINTCNAFYCENFTPNAKYKPKMWTENWTGWFTEFGGAVPTRPAEDVALSVAKFIQNGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLPREPKWGHLRDLHKAIKLSEAALVSADPTVTKLGSNQEAHVFRSKSGACAAFLANYDTKYSVKVTFGNAQYDLPRWSISILPDCKTAVFNTARIGAQSSQKKMIPVSSGFSWQSYNEESPTADDQDATVNYGLWEQINVTRDSTDYLWYMTDVNIDPNEGFLKNGQDPLLTIWSAGHALQVFVNGQLSGTVYGGMDNPKLTFSNNIKLRAGINKISLLSISVGLPNVGVHFETWNAGVLGPVTLKGLNEGTRDLSKQKWSYKIGLKGEALSLHTVTGSSSVEWVEGSLLVKKQPMTWYKTTFNAPGGSEPVALDMSSMGKGQIWINGQSIGRHWPGYIAHGSCGACDYAGYYTEKKCKTNCGEPSQRWYHVPRSWLNPSGNLLVVFEEWGGDPSGISLVKRTTGSVCADIFEGQPTLKNWGMLASGKINRPKAHLWCPPGQKITDIKFASYGLPEGTCGSFREGSCHAHKSYDAFQKNCIGQQSCSVTVAPEVFGGDPCPETMKKLSVEAACS >OMO67304 pep supercontig:CCACVL1_1.0:contig12449:30248:31924:-1 gene:CCACVL1_20629 transcript:OMO67304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MAKKPRIVIIGAGMAGLTAANKLYTSTSSNDLFELFVVEGGTRIGGRINTSEFGGDRIEMGATWIHGIGGSPVHKIAQEINALESDQPWECMDGFSDDPTTIAEGGFELNGSIVEPISKLFKNLMDFAQGKLPEDSEDVCYYNFASKGFKSNGVSGKQSVGGFLRQGLDAYWESCKNRDEELIGCYGKWSRKLLEEAIFRMHENTQRTYTSAGDLLTLDFEAESEYRMFPGEEITISKGYLSIIQHLASVLPPGVIQLGRKVTRIDWQPEGHQKSAEMGNGYDSRPVKIHFVDGSVILADHVIVTVSLGVLKAGTCCQDDSDSGSGKEALELEKLSDEEIIKGVSTTVSSLLKSNTNNSHDEFCNGNGKSVEIFESCSSESEVRFGKVLKTKWGSDPLFLGSYSYVAVGSSGDDLDTMAEPLPKFENGHVHVHPLQILFAGEATHRTHYSTTHGAYFSGLREANRLLQHYHCVGV >OMO67305 pep supercontig:CCACVL1_1.0:contig12449:39067:39588:-1 gene:CCACVL1_20630 transcript:OMO67305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEEMKRASGGVVESPVLKRKKETGTAEFEDELTAWLSMEESETESVSELLKLLDDDSAEAAKVRFSDNPYSSALVFQSSSSYITINGNEESCGSSFSDSESSVMASVDMGGMLSRNVKVENGLEGIREWLEAEEGGAWGRNEEEARGWMVNWEWDEEQLARFLGEEDSLF >OMO67303 pep supercontig:CCACVL1_1.0:contig12449:17203:25090:1 gene:CCACVL1_20628 transcript:OMO67303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 35 MHQAIKQSEIALLWSNPTVTWPGKNLEVHTFEVGPHNCAAFLANYNEDSSATITFRDTQYNLPAWSISILPDCKNEVFNTAKVTAPTTQPKMLPTGGTFNWKSYTEAPPSFGGWKTFAANGLKEQLPTTWDHTDYLCVTIDSNEAFLKYGQLPILTVESAGHALSAFINGQLAGIEYGNSQTPQFTMSEKVKLQAGVNKLALLSSSIGLPNIGTHFEQWKIGLNSVTLKGVNVGTWDMSKWKWSYKIHTFEPGPQNCAAFLANYNQDSSATITFRNTQYNLPAWSISILPDCKNEAFNTAKVTAHSIHPKMIPTGGTFNWYSYTEAPVSVGGTKTFAANGLKEQLSTTWDQTDYLWYITDVTIQQDEAFLKHGQLPILTVESNGHALSAFINGQLVGTAYSHGNLNTPQITFSEKVNLQAGVNKISLLSSSVGLQNIGTHFEQWKIGILGPVTLKGVNAGTWDMSKWRWTYKIVWFGGSSR >OMO87967 pep supercontig:CCACVL1_1.0:contig09146:3718:3804:-1 gene:CCACVL1_08631 transcript:OMO87967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PAFLKPFSVEFRGTLLEFNGSCSPEKRWK >OMO50703 pep supercontig:CCACVL1_1.0:contig16071:3569:3949:-1 gene:CCACVL1_30313 transcript:OMO50703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MGTEIMEPLILDAKNAAGGPVVSERIPEWKEQITMRGLAVSAILGTLFCIITHKLSLTVGIIPSLNVGAGLLGFFLVKSWTVFLKKLGFQVSAFTKQENTVIQTCVVACYGLAVSGGFGSYLIAMS >OMO50705 pep supercontig:CCACVL1_1.0:contig16071:10096:10188:-1 gene:CCACVL1_30315 transcript:OMO50705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPPRLGLFLTVMRKVKTSMDPPTGSKYVTS >OMO50704 pep supercontig:CCACVL1_1.0:contig16071:4355:8995:1 gene:CCACVL1_30314 transcript:OMO50704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MTSIQSLLCDPNPNSPANSEAARNKREYNRRVREIVEQSWTASLMGNNAHSVEASKVQGNYLLATVYMYVLTLTLPSATAIDLAFADILLDH >OMO92601 pep supercontig:CCACVL1_1.0:contig08193:11407:12523:1 gene:CCACVL1_06798 transcript:OMO92601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTPSCDKSGLRKGTWTPEEDRKLIAYVTRYGCWNWRQLPRFAGLARCGKSCRLRWMNYLRPNLKRGNYTKEEEETIVRLHEELGNRWSAIAAQLPGRTDNEIKNHWHTTLKKRFNHKSSTGTKDAKNNSSDHPIKRVTSKEKEFETNLKNLLINPPSPPLILESSLSASLLSPQQPASSDQSQNSSITTDNTAVSSSVSYNNNNNNHNSDIDMALFEAYEANSGSFWTEPFFSDDLFMSSDISVPMMDLTNFEAQFPVLDGDEEILCPSGFYDEFEGLLNF >OMO92603 pep supercontig:CCACVL1_1.0:contig08193:18460:18579:1 gene:CCACVL1_06800 transcript:OMO92603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERELSEEATEGVQEEEEIASMEEEPGKKKSEMKETEK >OMO92602 pep supercontig:CCACVL1_1.0:contig08193:13314:13382:1 gene:CCACVL1_06799 transcript:OMO92602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNWEGYTIAIEFEGLWRLRN >OMO50507 pep supercontig:CCACVL1_1.0:contig16150:7198:7326:1 gene:CCACVL1_30398 transcript:OMO50507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKAVVCNDQTQYKAASGDTKTVYQKAAKSLPKVKAHSPISE >OMO79564 pep supercontig:CCACVL1_1.0:contig10400:56477:56656:-1 gene:CCACVL1_13584 transcript:OMO79564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNDFQRLGFTTLLSPTLVVSHWSTCHLPLQLKNQAAGAGLQAKPITGSSEACDVHVS >OMO79568 pep supercontig:CCACVL1_1.0:contig10400:81617:87793:1 gene:CCACVL1_13588 transcript:OMO79568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1, alanine aminopeptidase/leukotriene A4 hydrolase MDAPKEIFLKDYKSPDYYFDTVDLKFSLGEEKTIVASKITVSPRVEGTSSPLVLDGVDIKLLSIKVNGKELKEGDYKLDSRHLTLPSPPSGKFTLEIDNEIHPEKNTSLEGLYKSSGNFCTQCEAEGFRKITYYQDRPDIMAKYTCRIEADKSLYPVLLSNGNLIEEGILEGGNHYAVWEDPFKKPCYLFALVAGQLESRDDVFVTRSGRQVSLRIWTPAQDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETASDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDLGSRTVKRIADVSKLRNYQFPQDAGPMAHPVRPHSYIKGAEVVRMYKTLLGTQGFWKGMDLYFERHDGQAVTCEDFFAAMRDANDADFANFLLWYSQAGTPVVKATSSYNADTHTFSLKFSQEVPPTPGQPVKEPMFIPVAVGLLDSSGKDIPLSSVYHDGTLQSISSNNQPVFSTVLRLTKKEEEFVFSDIFERPIPSLLRGYSAPIRLESDLSDSDLFFLLAHDSDEFNRWEAGQLLARKLMLSLVADFQQNKPLALNPKFVQGLRSILSNTSLDKEFIAKAMTLPGEGEIMDMMEVADPDAVHAVRTFIRKELASQLKSEFLSTVENNRSSEEYVFNHPNMARRALKNIALAYLASLEDPEITKLALHEYNTATNMTDQFAALAAIAQTPGKARDDVLADFYSKWQHDFLVVNKWFALQAMSDIPGNVENVRNLLNHPAFDLRNPNKVYSLIGGFCGSPVNFHAKDGSGYNFLGEIVLQLDKINPQVASRMVSAFSRWRRFDENRQKLAKAQLEKIISANGLSENVFEIASKSLAV >OMO79567 pep supercontig:CCACVL1_1.0:contig10400:75168:77921:1 gene:CCACVL1_13587 transcript:OMO79567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVEFNGPKDSPYHGGVWKIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRTVYEQRVKEYCEKYAKPEDIGAVGEEKSSDEELSEDEFAASDDEEIAGKPDP >OMO79563 pep supercontig:CCACVL1_1.0:contig10400:39320:54867:1 gene:CCACVL1_13583 transcript:OMO79563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLESLKDLISDNNPMVVANAVAALAEIQESSSRPIFEITSHTLSKLLTALNECTEWGQVFILDALSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPPQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDPSLLDELLANIATLSSVYHKPPEAFVTRVKTATQRTEDDDYPDGNEAGYSETPSHAAGASPPTSSSSGYGATRQSAPAPAAPAPAAPVPDLLGDLIGIDNNAIVPVDQPATPSGPSLPVVLPASTGQGLQISAQLTGQDGQIFYSLLFENNTQTTLDGFMIQFNKNSFGLAAAGPLQVPPLAPGTSARTLLPMVVFQNMSAGPPSSLLQVAVKNNQQPVWYFNDKILLHVFFTEDGRMERTSFLETWRSLPDSNEVLKEFPGIVVSSAEATLDRLAATNMFFIAKRKHANQDVFYFSAKIPRGIPFLFELTTLIGNPGVNFNVSQTTLSPYDWRYIRVELPPFYSSTSVTINSDVSLDHKIIQKGPKGKLPMVCFREGGPPLPDVSDSALNDLVLNSLNESAGGIQRLGNENQCYTLQKNITFMLTFEQTPPGVYYFGLFNGLGPVRTQSKSVNSGSSYTISANVTTEGCWNPTVLGQYCNQTVIAPKCIEHHPEKNEPLSLIACGNFSDIICQKMNATEIKSVQVWGITESLVVWGANVRMNALMPNSGELSMMCYARYNAIPTEAAYDYSVDISIIPLILQNPKVGKWYFKLQPVYKSKGDKWVPESYTEVCYSADYDLYTCPHGKTGPRCAWRRYVLEAIPKENSTAPVYYMPKNANVSAGATNFSVESLVTRTFHADDLGYTWTYFLANTSGNAAAKHLHFQLTSDKANFYGLFIRYGGLSTIDIWDYCYLNKSISSTSTNHSIFKLNDTEGKNISIYILYAREGLWSIGFRHPVLNSGSPLPNSTMSFSLEDCPNQCSNHGICQSYKAAGGSTSYSSCSCDRYHGGFDCSINVVSEEGRKWQKMLLVFSNAAALLPAFWALWKNAWAESVIFMASGVISAIYHACDVDWWCALRFSVLQFMDFWLSFMAVVSVFVYLALISEPSKRTIHTIVAISTALIAVIDPTRALNIGLVVVAAILALLVGCLQEYYTKHVSSTSSQKNTQNIYIRQQSRQEQVVIIAKRLRGYYNWIYLVLGFLVSTMAAMSHSREGRSPSPGRGRRSRSLSRSRKSRSRSSRSVDASNPGNNLYVTGLSTRVTAVDLEKFFGNEGKVVDCHLVTDPRSKESRGFAFVTMETVEDAERCIKYLHRSVLEGRLISVEKAKRSRGRTPTPGRYHGLRDRRGHGGHGRRRSRSYSPRRHDRDRERERDYHPRGRQGRSRSRSPYGRKRDDHDSHRRRRERSLSGDGGGYRR >OMO79561 pep supercontig:CCACVL1_1.0:contig10400:24207:25074:1 gene:CCACVL1_13581 transcript:OMO79561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPIFQGQAFSLPENSFFAGQMRKVCSTGDIENMRNAQANNQRSAMENSFMEETHFKVGRYNQEERQERISKYRAKRNQRNFNKTIKYACRKTLADNRPRIRGRFARNDEPVEIPKAACSSSTRDEDEDDFWAAHEVENETVARTGAPFISSFSQAQYQYHHGCF >OMO79562 pep supercontig:CCACVL1_1.0:contig10400:33298:38694:-1 gene:CCACVL1_13582 transcript:OMO79562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase Ercc3 MGHGEKGRPSKKLKFAAKDDYKSSTADDDYSFYPNEDEGPRDGENEGKSRDFSKLELKPDHSNRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLNKLSKTKLPKEMIDFIHASTANYGKVKLVLKKNRYFIESPFPEVLKKLLQDEVINRARIASEGAHGSDGFTISKAAGEIEAGDDGLLNEAELAAATEEKETHAFEIDPAQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAATRIKKSCLCLATNAVSVDQWAFQFKLWSTIRDDQICRFTSDSKERFRGNAGVVVTTYNMVAFGGKRSEESEKIIEDIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEQERGDKIIVFADNLFALTQYALKLKKPMIYGATSHLERTKILQAFKTSRDVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLEDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPDSGADLSYYHLDDQLDLLKKVLVAGDDQVGEEQLDEDADDIALHKARRSMGSMSAMSGANGMVYMEYSTGKLKHSGQNKSKPKDPNKRHYLFKKRFG >OMO79559 pep supercontig:CCACVL1_1.0:contig10400:13499:14029:1 gene:CCACVL1_13579 transcript:OMO79559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGFPVVGYSELLLPKLLIHTFCLLGFIRKFISTLFLFLGLPDFLEPDIPWRETAETDAALSASTTVTRRRSTPVSALLIRELLPVVKFSDLVDPPESCAVCLYDFEVEDEIRRLPNCRHIFHRSCLDRWMGYDQKTCPLCRTCFVPDDMQETFNERLWAASGIPEFVGDYSQIPAL >OMO79556 pep supercontig:CCACVL1_1.0:contig10400:2361:4268:1 gene:CCACVL1_13576 transcript:OMO79556 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1/A1 complex, subunit E MNDTDVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYEKKEKQVEIRKKIEYSMQLNASRIKVLQAQDDVVNEMKEAASKELLNVSRDHHSYRHLLQELIVQSLVRLKEPAVLLRCRKEDVHLVESVLDTAKAEYAAKVHVHAPEIFIDHVHLPPAPHHHNAHGPFCSGGVVLASRDGKIVCENTLDARLDVAFRKKLPEIRKSLFGRVAA >OMO79565 pep supercontig:CCACVL1_1.0:contig10400:59867:61969:1 gene:CCACVL1_13585 transcript:OMO79565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase complex subunit SAP30/SAP30-like protein MLEHELCSSRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEHPTGNEVDDDHDFDNSSSGSDIGEKDRDFSSSIEFQRPAKPRVRHAKPWVSSASVKSTNRNGYRDVRSISHAPLSVNLARLDIDSLRRYCRHYKIGGVNAYSPREQMLNAVQQHFVSQPSLKETQVIPEFVSAAKRRKTDETQSEQQ >OMO79557 pep supercontig:CCACVL1_1.0:contig10400:4975:5136:1 gene:CCACVL1_13577 transcript:OMO79557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLMAWNGQATDGPRWTRLRESNQCPTTLLPTEGSQARVTKLGEQDLWSKHI >OMO79569 pep supercontig:CCACVL1_1.0:contig10400:89100:91440:1 gene:CCACVL1_13589 transcript:OMO79569 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein MAKLARKREKLELCVFRIESMKRLQYPTLSHLKQLELHVILTNGSLLALAPLIKACPSLNKLALKLSGAPSKTYVRRSVKKAKKCVHHSLKVVEVSGFNGQRNATEFCRYVIKNAIMLEKIIIDPIDPIFKGTPLENRHSEKVEAARESAEKLKFKYFLGDKLIVL >OMO79560 pep supercontig:CCACVL1_1.0:contig10400:18293:20656:-1 gene:CCACVL1_13580 transcript:OMO79560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQEYLDKMQVRQNYRNLWHTDLTSTIQHDPPYCCFAFWCGPCASYMLRKRALYNDMSRYTCCAGYMPCSGRCGESKCPEFCLFTEVFLCFGNSVASTRFLLQDEFNIQTTKCDNCIIGFMFCLQQIACIFSIVAMIVGSDEIQEASQLLNCLADMVYCTVCACMQTQHKIEMDKRDGKFGPQPMAVPPLQQMSRIDQPIPPAAGYAQPAYGQAYPPHTAPGYPAPGYPPAGYPPPAQYPPAAYPPSSYPPAQYPPAAYPPSGYPPK >OMO79566 pep supercontig:CCACVL1_1.0:contig10400:62562:72007:1 gene:CCACVL1_13586 transcript:OMO79566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPRASDEHVPLSSNSGAASALPTGLLVDTNLEVSVPDAYRPPPAPIPFDAVLGTPRTPPVAQIMHNSKSDEAVQITNVDSSQETVGTNTQETSKKSEDTKESDCKAKPDTEIESPKAIEVEISKPVEIIVSKKEEEEEVCPTCLEEYDVENPKIITKCEHHFHLACILEWMERSDTCPVCDKTNGCHLDDGPVSEDSVEEQSGVHKDRLRCLFDLILSGYLKEVASKGFVRPLPAMLGKDGQSPDLLKLFLVVNELGGYEFVSERGLWGFVVKELGLDLEVSAPVKLIYSKYMNELEKWLFNNLEDRNEQSASGGKFGFLSLEQEKEFRGLFKNGVEVVINKLALVEYMKNNNSIGKDNKKNGLKVSDANLSCSLHNGVEQMFCDNDENSCRNDLVVLDPTVSRKDFCTRKRKRESLAGMLNWVTQVAKCPKDPSVGAILEPSKWKDHGGNEFWIQAIRAREALRQKRDDHSLDEQSFLQNNKMHPSMYEDDSLSHHFTERLRCSERLPTSKSCSLSCCDSGSASQNNLTCLHKTQSECATKEQSPAISKSSDMIAEPYGDDSFRREVFVGPLFQAEVPEWTGFISDTDSKWLGTQEWPLNGQEHDSLAVVDQIGRGRPDSCGCQIPGSVECIRLHIAEKRMKLKLELGSVFYRWRFNVMGEEVSLRWTAEEENRFKYMVQLEPPSLNSFWPDAFKFFPRKTRQDLVSYYFNVFLIRRRSYQNRVTPKSIDSDDDESEFGCISDSFGSDALKVPGSNMLICSQNNQCIDLE >OMO79558 pep supercontig:CCACVL1_1.0:contig10400:8927:9025:1 gene:CCACVL1_13578 transcript:OMO79558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKTREETKVVESGEMELGSVGWVGLHPTYG >OMO66922 pep supercontig:CCACVL1_1.0:contig12489:30205:31243:1 gene:CCACVL1_20915 transcript:OMO66922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKAVYDVERTDSTSRIQHDLWPLDEIDPKKAKFPCCLVWTPLPVVSWLAPFIGHVGICREDGAILDFSGSNFVNVEDFAFGAAARYVQLDRNTCCFPPNLAGHTCKHGYQHTEYGTALTWDDALRLSAQHFEHKSYNLFTCNSHSFVANCLNRLCYGGSMDWNMISVAALILIKGHWVDSMSLVKYGVSKTVFIIADREWQ >OMO66934 pep supercontig:CCACVL1_1.0:contig12489:95374:96486:1 gene:CCACVL1_20927 transcript:OMO66934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGYSQQGYQARPPTSWGQGAPPMQQPGYGYMQPGAYPGPSPQYNMSQPPYGGYPSQPTSGGYASGWDQSAPPNPQSSAGGAYDYYNQQPSSQQQQTPGGSAAPADNSGYSYSQPPASSYMQPGQSYPHDGYGGYHAPTQSGYGQPSSYDQQQGYGSVPSYGNATNPTQEGQTPSYGGQGDSGQAPTSTQPSAVGQQGYSTTQQPSPNPGSYPPQGSTQPGYGVPPTSQAGYGSQAPAQSGYGPGYGPPQSQKPVANAPVYGQSQQSPSTPGSYGQQGYHSQPASGYGQSESGSQRAQPGYGAPPYGAPPTSQSGYGAPYSTSYGGGYSQPPAYPADSNASGSARGTYDAAPASQTAQQSGVAKASPQS >OMO66929 pep supercontig:CCACVL1_1.0:contig12489:71626:75370:1 gene:CCACVL1_20922 transcript:OMO66929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPIKPIPIQRMQTLQSRFRFKLAIAL >OMO66918 pep supercontig:CCACVL1_1.0:contig12489:1961:2939:1 gene:CCACVL1_20911 transcript:OMO66918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MNSHEGSSSDIQQASSSSSISVHESPMHEDHSAAAIHGGHKLTLGRKEEDGDHYESASDNNNDGSSVKWMSSKMRLMKKMMTNSSNCGGGGGGGEISAADHNMNSNKLPLKIRHKFHQYQMHDNSDETNNNNSFMSKSTINNNNNTTVRVCSDCNTTTTPLWRSGPRGPKSLCNACGIRQRKARRAMEAAAAAGNTGEAAVVATDNVTSTTTTAAAAASTGMKIKVHINKEKKGRVGQYKNKQSPQSQKKLICFKEFALSLSKNSSALQRVFPQDVEDAAILLMELSCGLIHT >OMO66926 pep supercontig:CCACVL1_1.0:contig12489:57366:57932:1 gene:CCACVL1_20919 transcript:OMO66926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MDSSQAPPQGLTQEEYEELKPLIETYHKFEPIPNTCTSLITQRIDAPAQAVWPLVRSFENPQRYKHFIKSCNMSGDGGVGSIREVTIISGLPASTSTERLEILDDEKHILSFRVVGGEHRLRNYRSVTSVNEFEKDGKVYTIVLESYIVDIPEGNTGEDTKMFVDTVVKLNLQKLGVVAMGSSSHGHD >OMO66921 pep supercontig:CCACVL1_1.0:contig12489:24708:26585:1 gene:CCACVL1_20914 transcript:OMO66921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAVVLHLSPRPPAAAAAAPTVSSHSIPFSRPRFLPFHPIRKTNPTTKTLSSYKNGNGSNTPPLPETECPVPHEQQPINEFQSLSTSFPFSWASGDLVEFCSRLFVTGASFALLIGLPVAWFGSVGPKSEPVKLILAAVSSGIIVVTIAVLRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTAEVLARDRLLGSFSVKPVLSRLKYTLVTLAVSLLVCVLPLVTIEASQEGSNTTSKEAGGRAIPGVYDDDSARSFEPDAFCGESGIL >OMO66919 pep supercontig:CCACVL1_1.0:contig12489:5961:11663:1 gene:CCACVL1_20912 transcript:OMO66919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system P protein, homodimeric MERARRVANRAILKRLVNEAKQSRNGEISSRSPVLYTPSRYVSSLSPFGSKSYSRSELLGARNVSNNVGFGVGSQVRSISVEALKSSDTFPRRHNSATPEEQTKMAQSVGFDNLDSLIDATVPKAIRIDSMKFSKFDEGLTESQMIEHMKYLESKNKIFKSFIGMGYYNTHVPPVILRNIMENPAWYTQYTPYQAEISQGRLESLLNFQTMITDLTGLPMSNASLLDEGTAAAEAMAMCNNIQKSKKKTFIIASNCHPQTIDICKTRADGFDLKVVTADLKDIDYSSGDVCGVLVQYPGTEGEILDYGDFVKKAHANDVKVVMATDLLALTVLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSSGKTALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKTIAQRVHGLAGAFALGLKKLGTVEVQGLPFFDTVKVTCSDAHAIADAAYKSEINLRVLDSKTITVSFDETTTLEDVDKLFKVFAGGKPVSFTAASLAPEVENAIPSGLLRKSPYLTHSIFNTYHTEHELLRYLYRLQSKDLSLCHSMIPLGSCTMKLNATAEMMPVTWPGFTDIHPFAPTEQAQGYQEMFNNLGDLLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHLSRGDHHRNVCIIPVSAHGTNPASAAMCGMKIVPVGTDSKGNINIEQLRKAAEENKDKLSALMVTYPSTHGVYEEGIDEICRIIHENGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPFLPSHPVVSTGGIPAPDQSNPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLENYYPVLFRGVNGTVAHEFIIDLRGFKNTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIENGKADINNNVLKGAPHPPSLLMGDTWSKPYSRQYAAFPAPWLRTAKFWPTTGRVDNVYGDRNLICTLLPVSQMVEEEAAANA >OMO66931 pep supercontig:CCACVL1_1.0:contig12489:82838:87128:1 gene:CCACVL1_20924 transcript:OMO66931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory subunit PR55 MNNGGAEAAVAPVGVPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDRSGDHLATGDRGGRVVLFERTDTRDHVGHRRDLERMDSPINRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQSANGALFLLSTNDKTIKFWKVQEKKVKKVCEMNVDSKKAMGNGPNVGSTITTSSKPCIANGGCTSNDFSFPDGGFPSLRLPVVTSHETNLMARCRRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTQCNMLAYSSSKGSIRLIDMRQSALCDTHSKLFEEQEAPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVTTFQVHEYLRPKLCDLYENDSIFDKFECCISGDGLRVATGSYSNLFRVFGCSEGSTEATTMEASKNPMRRQGQTASRPSRSLGSLSGVVRRVKGADNSGVDANGNAFDFTTKLLHLAWHPTENSLACAASNSLYMYYA >OMO66935 pep supercontig:CCACVL1_1.0:contig12489:115058:115464:-1 gene:CCACVL1_20928 transcript:OMO66935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQRAQEIVARLTLSASSAGADAKRPRVENGSGGGFDDEKGFNSAPSDVKPISDSAPSAMPI >OMO66920 pep supercontig:CCACVL1_1.0:contig12489:17391:23824:1 gene:CCACVL1_20913 transcript:OMO66920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLLRKLHIGGGLNEHQRLAEARPVISPSPSPSPTPNSNSNANSTNGTGPATTSSSSSSSVSSGTMGRIGAVESVVGDRTTGDDVDFNYMEEEFQMQLALAISASDPETAQIDAAKRISLAGTDTNSFVDFLSLRYWNYNVVNYDEKIVDGFYDVYGIASNLGAQGKIPSLVDLQAVSALDKVDYEVILVNRLLDPELQELEKSVYSIYIQSRGFGQAPVFSSLIQKIAEIVVNRMGGPVSDAEEMLRTWTLRSIELRNSLNSIVLPLGRLDIGLSRHRALLFKVLADKLNLPCMLVKGSYYTGTDDGAVNLVRIDNGSEYIIDLMGAPGTLIPAEVPSCHLLSSVLDVRGFADVAEASQRIGNLAVIASTDTGPKVGATSPAECVGNQTNEDERNLAGRAVSERSQQEFGKPFSSTLKSNESSCGIHEKPSSAQKRKVKNVSKYVISAAKDPEFAQKLHAVLLESGASPPPDLFMDINSQDLGEQSLPEQVNLMKGTNVNDAARFHSNHLLSNEQSLISFEMGIPENSNPNPRQKQLAKHQTELETNFIKINVPSPSDASSEGLLVVNNASNDWVQVRESSFCSTDEFCQRQPENALAMDDRLIQRSSDSDFTTQSAFELFKTTNSDLHLASNGHCEKIYPILGEASEWEIPWEDLQIGERIGIGSYGEVYRADWNGTEVAVKKFLDQDFSGDALVQFKCEVEIMLRLRHPNVVLFMGAVTRSPHFSILTEFLPRGSLYKLLHRPTLQLDEKRRMRMALDVAKGMNYLHTSHPTIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHHTFLSSKSTAGTPEWMAPEILRNEPANEKCDVYSFGVILWELVTLRVPWKGLNPMQVVGAVGFQNRRLEIPEDVDPTVAQIIRECWQTEPHLRPSFAQLMSRLRRLQRLYIEKPNSTNQIIG >OMO66923 pep supercontig:CCACVL1_1.0:contig12489:31593:37062:-1 gene:CCACVL1_20916 transcript:OMO66923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recoverin MTMGERICAAFIPIVAIVDFLIIAMANCFDYKLRFKKCRYGLTDLRRLADETRFTVNEIEALYELFKKLSSSIIDDGLIHKEELQLALFQTPYGENLFLDRVFDLFDEKKNGVIEFEEFVHSLNVFHPYAPIEDKIDFAFRLYDLRQTGFIEREEVKQMVIAILMESEMNLSDDLLEAIIDKTFADADADKDGRINKEEWKAFVLRNPSLLRNMTLPYLKITHFRFSSFAAYLLNLQICLSIRATAMADKPSRGLVIYGDGLARFIEPSHTHLHSLASKANCGFLSLPNAPPPPSESEDDRIVREFAVLMDACESFSNKDGQLMAEPKFQKSSLIPTMSERFMGMRAALLTNNSSLKSFGEMLGFNVLPLNGLLGNSNFPSTTSADNLASELLTLLGFQEGKILDASQFDLVIVHIGSGENLDGAGDMEFTNALIGAIMVVAQAGSEIASRLHLSLVLGYGCVSTADDPGLSILSPNCEKNSPLSELFPRQSYTMRGESPRNDVRHHSPMLVAQYQDGVTRKDMVETFSFEEIKEHSGNLSIPADRLLHEIAFKLWKAPKYGA >OMO66932 pep supercontig:CCACVL1_1.0:contig12489:88149:90360:1 gene:CCACVL1_20925 transcript:OMO66932 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase-like, 20kDa subunit MALITRNTANRLPHLLNAQRAVSIHTTLPALSAGSTPTTYARPSPPSTSGPPAGLSKPAEFVISKVDNLLNWARRGSIWPMTFGLACCAVEMMHAGAARYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWTK >OMO66928 pep supercontig:CCACVL1_1.0:contig12489:67029:70337:-1 gene:CCACVL1_20921 transcript:OMO66928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ndr MADSSDSVSIDMETISLGGKEYLVKTQHGPISVAVFGDQDKPALITYPDLALNHISCFQGLFFCPEASSLLLHNFCIYHISPPGHELGAGPIGSDELMPSVDDLADQILEVLNFFGLGAVMCMGVTAGAYILTLFAMKYRQRVLGLILVSPLCRAPSWTEWLLNKVMSNLLYFYGMCGVVKELLLKRYFSKEVRGSGQVPESDIVQACVRLLDERQSINVWQFLEAMNGRPDLSEGLRKLHCRSLIFIGENSPFHSEALHMTSKLDRRYSALVEVQACGSMVTEEQPHAMLIPIEYFLMGYGLYRPTLSLSPRSPLSPSCISPELLSPESMGLKLKPIKTRISLEV >OMO66924 pep supercontig:CCACVL1_1.0:contig12489:42951:46385:1 gene:CCACVL1_20917 transcript:OMO66924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase superfamily MSSSSSSSASNTDAETLRRKRINSSKLYLDVNPSKVPVIYSSYYDISFLGIEKLHPFDSSNWGRICRFLISERYLDKNSIVEPSEASTDDLLVVHTEAYLNSLKNSMNVATITEVPPVAMLPNCLVQMRVLSPFRRQVGGTIVAGKLAKERGWAINVGGGFHHCCSGKGGGFCAYADISLCIHFAFVRLNISRVMIIDLDAHQGNGHERDFADDGRVYIMDMYNPQIYPFDMTARGYINQKVEVVSGTATDEYLKKLDKALEEAGRNFAPELIVYNAGTDILDGDPLGGLKISPDGVVQRDERVFRFARERNIPILMVTSGGYMKSSARVIADSIINLSKKGLIDMASQGGRK >OMO66933 pep supercontig:CCACVL1_1.0:contig12489:91523:93397:1 gene:CCACVL1_20926 transcript:OMO66933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAQYSSGPEVGSNKRKYDDQTLPPSTGRRPTGFSSPDSAPPSYNSAPPTEFEMAKQRVQEIAARINLAAASAGAEAKRPRVENGSGGGFDNEKGFSSGFSSAPPDVKPMSNSAPSAIPVSYGSFNGTSKKIDIPQIRVGVIIGKNGETIKYLQLQSGAKIQAEAGGSGIVSRRLTGQAGSEHFEMQIPNNKVGLVIGKGGETIKSMQARTGARIQVIPLHLPPGDTSTERSLHIDGTSEQIKFARQLVDEVISEGKTFQSFG >OMO66925 pep supercontig:CCACVL1_1.0:contig12489:47786:53380:1 gene:CCACVL1_20918 transcript:OMO66925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MLRRSASSQFIAVFFFFVFFALFCLASFSLAQPQLTESDRFDDDGDAAASNASSTTKPKDGTFAAIIDRALEKEFTENEQNEVSDDSASFNNSVAEQQAVLETVARVKSKKNDTKEEKSFQLHDVFNLENDNRAEDTPMLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSLIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSVTKLRVVRAVAVLGGLFQIILFMCLCGITASLCGGRLSEGIFVGVFLSMSSTAVVLKFLMEKNSTNMLHGQVTIGTLILQDCAVGLLFALLPVLGGTSGILQGVISMTKLLVMLVAFLAVLSILSRTCLPWLFKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLSQHTLEQIEPIRNFFAAFFLASIGMLIHVQFLWNHVDILLASVILVITVKTIIVALVVKGFGYNNKTSILVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPAILHLGVLLRWFSPDRETSIEVGIKVDNLRSDSGKHRIVLLTQESHDS >OMO66927 pep supercontig:CCACVL1_1.0:contig12489:62683:65134:1 gene:CCACVL1_20920 transcript:OMO66927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDMFSVPRAMVSRYPVVIDEIPSQTVPNSIPESNSFNLISQNQMLAGFPVLPSAQGEFISDLCADLHITNRARFFDSNASLVTSLGRNVVCDASPSLGDSGSEVNIEVQEHFMGRTPIPSTPPAKCAPQENLNEMAIAAPSIYPLDFRTYTSTECSDGLNSSLVTSVNSVFNEALGNFTSKWDFDKFPAPLELVGKPPSRTAFQPFSSMGCADPNGWISSNEANMSIDYPCGPSKHSNELSLSLATSLPAVISGNGIPDQSSEINCSGANHGCSSTTRLGSEQTSSNARELSLSFGSYGSVQVSHLISGSRYLHGVQEILAQLASYSLENLDQVSVAGGTTPFSSSCIAGRGMPLMDPNELLDVTGNSEVQLEPEVRNRAAEAKRTQLLALLQVVDDRYSQCLDEIHTVISAFHAATELDPQVHARFALQTISFLYKNLRERISNQILAMGANFDSGSTGGREKSFQDTFIQEQWALQQLKKKDQLWRPQRGLPEKSVSVLRAWMFQNFLHPYPKDAEKHLLAIKSGLTRSQVSNWFINARVRLWKPMIEEMYSEMNRRKARQNEEGTNSNQRSQMSINNQRFNFN >OMO66930 pep supercontig:CCACVL1_1.0:contig12489:76737:79790:-1 gene:CCACVL1_20923 transcript:OMO66930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQMESYSNSVATTPKPPDTFQPMLSESIHRFLTEYRSGATDFSNFISIFSRLLQNSPDPPLEFLWFYSAVTFHSTKFNSPNSISVSKDLFQLLVSCSTSCNAFKRISVLAPVIYELFRLATDQKELKKGVESLLEGIVSYISICCGAEAAGNDDLSSSFVELLRVWMVDRAGKDEGERRNDLKAFFPIVSEEVRKVISGGCGIGYLSGVVMCQSFLLRLCLKFEAGIPKWQLEKDVHDCAVQTITGFRNFYFLDILFRMLLEPVLPVTILLGHGNEVLLREILYDAVMMMDYTFLSSPGGIPLPGKQLKNLALTWSFVADNAIRSVRENGNQMKAVSYINAFSGSCLPSQLIKWVTSQAGMGDKASSLNVSTPVALIKWLLVIEGQGVTIFECDISKVYARAVVCKSRVEYEIPVVKTNGKNMGDNLFHLVHEGKDDKKPDGDMKMIDSIDTFPLAALGLMKSTTDVVRKRKEESNGEDEKPVKFVKYHFHENLVKEKLLSLVNDDGLSSGSEVDNPATDEDMKDMEP >OMP11074 pep supercontig:CCACVL1_1.0:contig01585:1934:1993:-1 gene:CCACVL1_00683 transcript:OMP11074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DALDKVCPLATDDGLSADNK >OMO69852 pep supercontig:CCACVL1_1.0:contig11951:74917:75951:1 gene:CCACVL1_19230 transcript:OMO69852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYALLELEENLARIKAKAAQIEMERSKMEAMINFVRDQSIVLPEDIYKSMATMKVQYTQFMVEESQLEAMLNFAHQNQSFLEESDANMTSKSVNILVGKQHEAMQHPKVPQFSEASSPPLNTPEPVQKDEKSDEEDEGMALDEGSHTLETTYEDESMEIVRTKDVLVESEDNGVSHEYEDDSSSDTRVEEEHNKEIIEESPPLECENSKMVEEEVDSQAMIENLDCGEANFLGIEALLQPRSHGIPNDKWKLNDYFVKATTSYKGEKEMSTKLAWANTAILSLKRIGHQPITSTTMALATLAIHGVDQDEFGELFPSLFTFQCPYNFLFHSYLIFALKWQDPP >OMO69851 pep supercontig:CCACVL1_1.0:contig11951:37151:45133:-1 gene:CCACVL1_19229 transcript:OMO69851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLFLSAGESYFPPGFLVRALSCLRTGQSPGAEGSFQKGAYGGLLTPDNGGRVAPSASGIWGSGNQSVPSRSIADGGAPFRNHVDSLALGRGRVARALTFEENSCEIISSMEGRVVANPTLSRGGLRENRERDVGLVNSLGINGSVRRGNKGVVELDKLAIENRQQQQQKNIGVVESSHIHNRVMVSAVGRMEEEEEMGPPWLVPMLRASYFNPCPIHGNASKSECNLFCLDCRGNSLCSYCLIHHKDHRVVQIRRSSYHNVVRVNEIHKYIDISGVQTYIINSAKIVFLNERPQPRPGKGVTNTCEICCRSLLDSFRFCSLGCKLGAMKRGDRELTFTLRCSKHGKDGGYSESDESSTPKKIRKTHFFNRLMDGLSLSSDKNDGSGDDEAITPTNISPGTPPIFNHRNARRRKGIPHRSPF >OMP12371 pep supercontig:CCACVL1_1.0:contig00061:908:1000:-1 gene:CCACVL1_00020 transcript:OMP12371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VASIASLERSKAYNSWVHGLLPAFTVTVGQ >OMO59426 pep supercontig:CCACVL1_1.0:contig13970:4845:5376:1 gene:CCACVL1_24833 transcript:OMO59426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLNEPNSTQAARAGEAMDKRHGTKKLVKAIRDKPDQIESLLK >OMO67983 pep supercontig:CCACVL1_1.0:contig12332:174:553:-1 gene:CCACVL1_20153 transcript:OMO67983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEAMIKEFKEAVHFVLSVEFWRMAVFWTFSLLASYLQLYSTGLFSRKAQAYPRCHPPISESMRPVCVITGATSGLGAAAAHALSREGFYVVL >OMO67984 pep supercontig:CCACVL1_1.0:contig12332:5163:5225:1 gene:CCACVL1_20154 transcript:OMO67984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMQPLSLILKEAALVAHE >OMP00281 pep supercontig:CCACVL1_1.0:contig06696:11827:13540:1 gene:CCACVL1_03407 transcript:OMP00281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MKKMTPFHISSTATTFIHAAADSISISDIIKPVYVPQIVHSFFPLNGLNNYEGVANPLLGIQVTDLEDGLFIGCTINHSVVDGSSLWHFLNLWLEMNLSLILNKDACLSCLEGPYEHVNIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDHLNNWREEFLIQTSPSDPENFPFVVLGNKVDIDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGLNVEAAFEYIAKNALKNEPEEEM >OMP07573 pep supercontig:CCACVL1_1.0:contig04336:906:971:-1 gene:CCACVL1_01281 transcript:OMP07573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNDNYLNTFVSPEKPKGQQ >OMP00072 pep supercontig:CCACVL1_1.0:contig06729:14085:14711:-1 gene:CCACVL1_03468 transcript:OMP00072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQDADHHHPKLNPAVVLTGSAKNCKSGLPIGLIDIGVSQNAFLFRVALSGTRSDQSKVKCEIQRDGRVVIQGTITKGTELLQGCSSICQMKVQQMPTAGPLTISFNLPGPVDPRLMSPTFRPDGILEVMVLRYRGPSDP >OMP00071 pep supercontig:CCACVL1_1.0:contig06729:5819:5980:-1 gene:CCACVL1_03467 transcript:OMP00071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDGKEHFASSSSPNHDESFFAYSARYGVATTLRTTDLRRRNLRHPQQPYQS >OMP00073 pep supercontig:CCACVL1_1.0:contig06729:18984:21098:-1 gene:CCACVL1_03469 transcript:OMP00073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFRGIHNDSKALTIIPSGDQYFLLNFIMSNYLGPDVYSDNPRHSASQRLARGLPPYTSKNLGSSFISTTQLESLYYYVLRDAHPGLVLEPSMLHSYLEGNLPVPSSELLEDCQKFTSFFPPNIHERKRYSANNVIVKGVVLIDDPDTSHMKEDHERFRILTGLADFKIDKLKSLSYEHGYLKSKEDDDPNSMKASEERISGCVTNGNGIASASIQENNGKHQFDSLQPSAISPVMSLLKHISEGASKRTYERDGPAMMPLLAVPNVDQCISDVSIVLNGTAKKGIAGPQIGVLDIGVSKVAYFFRVALPGVRKDYCEFSCEIESNGTVHIQGSTSGGGTIKKRSRVFHMTFQQLCPAGAFAMSFSLPGPVDPRLFSPNFRSDGIFEAVVIKQ >OMO99816 pep supercontig:CCACVL1_1.0:contig06800:4912:5639:1 gene:CCACVL1_03608 transcript:OMO99816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MVQQQGALSQEALFDSAVESTIKDLLSLNLANIGSAMFKIQARILDIDTSDGWYYPSCPICTTSMAPELDAFYCKEHQRQPPHLTMKLSLYIGDNTAKTKAIIFGILAEKMSNINVVGMPILGDISSVRLPNIAETILNKEYNFVVGLSDEAFRQELLNYKIFAYKAINETQSSTCSSD >OMO99818 pep supercontig:CCACVL1_1.0:contig06800:17399:17500:1 gene:CCACVL1_03610 transcript:OMO99818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERALDEQLRNNKFSTAAEKPQKRGCYYTKIPQI >OMO99817 pep supercontig:CCACVL1_1.0:contig06800:13026:16013:1 gene:CCACVL1_03609 transcript:OMO99817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRAREVKLQGKVEPHILVKWKELPEAEATWEWLKDIKLFYQV >OMO84156 pep supercontig:CCACVL1_1.0:contig09782:30349:31080:1 gene:CCACVL1_10971 transcript:OMO84156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKKKGRVYPSLSSSPASYRDPDSVFKLFPVAILALAAALPPQDREVLAYMITGSIISTKNPSTSQTHHQNQNQPKNKCKKGKVPVFQCGCFDCYTRFWHRWDSSPNRDLIHQVIEVFEEHLLQNEVSKKQHCKASRKKDKVISVETFQNNHQPPARDSEILMVESEAAENGVGDEQGRAGEDIGSMGLGDDEVAAGNLEMEVVAVATGGGGVSHKGLARKVLPDVVGLFNSRLWSLWGPSI >OMO84157 pep supercontig:CCACVL1_1.0:contig09782:46333:47145:1 gene:CCACVL1_10972 transcript:OMO84157 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MANHQSYLQGGDLFDTRKVGDKCENLDAKEGSESEVMKVGKKGTRKHKYAFQTRSQVDILDDGYRWRKYGQKTVKNSKFPRSYYRCTHKECNVKKQVQRSSKDDEIVVTTYEGIHTHPVEKFTENFEHILRQMQSYNPL >OMO84159 pep supercontig:CCACVL1_1.0:contig09782:51483:54225:-1 gene:CCACVL1_10974 transcript:OMO84159 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA/THIF-type NAD/FAD binding protein MDGEELTEQETALYDRQIRVWGADAQRRLSKSHILVYGMKGTVSEFCKNIVLAGVGSVTLVDDRLVTEEALSANFLILPDENLHNGKTLAEVCCDSLKEFNPMVRVSVEKGDISTFGVEFFEKFDVVVVSCCSLSKKKLINQKCRKLSKRVAFYTVDCRGSSGEIFVDLQNYKYSKKKLEETVECELDYPSFEESISVPWRSLPKRVSKLYFAMRVIEQFEDVEGRNPGESSIADLPSVMKLKKELCETNSVNESQISDALLERLLIDTSEFPPSCAIIGGILGQEVIKAISGKGDPLKNFFFFDAVDGKGLIEDISNPNTGS >OMO84158 pep supercontig:CCACVL1_1.0:contig09782:47806:50351:-1 gene:CCACVL1_10973 transcript:OMO84158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQKLCLDDDDDDGEKNTDHQQKLHQIFEALEQEWDFIKQRPERSSSRSSKMIKTLQLLNTSPRQLMSSLQDRSSSPTEGSVGWKMRTNDLAVEEILTERRAAIETGKLKGRRLFVEEEEGAAAEVGFGKNLVEDESEVKKGIGIASNGVGLETAAGEIQKAELLWKKKCGGCMAMSEFDEDFDILVKSQNIPQLSASTD >OMO84155 pep supercontig:CCACVL1_1.0:contig09782:10364:12045:-1 gene:CCACVL1_10970 transcript:OMO84155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKAEDGKKGEEEKKEEAAKPAEKPAAEENKEEKKAEESKEESPPPPPQEIVLKVYMHCEGCARKVRRCLKGFDGVEDVMTDCKSNKVVVKGEKADPLKVLARVQRKSHRQVELLSPIPKPPAPEEKKPEEPEKPKPEEKKEEPKVITVVLRVHMHCEACAQEIKKRILRMKGVESAEPDLKSSEVTVKGVFEAPKLVEYVYKRTGKHAVIVKQEPDNKKDDEKAKDGNKDEKKGDEGGGDKEKKEAGGGEENKDKKEGGGENVEAANNGAVAAAAEGGGGEEVKVVELKKNEYYYYPPRYATGFYEYPPQIFSDENPNACSVM >OMO91044 pep supercontig:CCACVL1_1.0:contig08389:28:4377:-1 gene:CCACVL1_07230 transcript:OMO91044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDMILHFSSNSSNQSDHSLPTKIAKLEARLVGKASSATASQQPPQPQQQQQQQQHQPQQAAWSSLSAASKFASAEELPDASSSSDSDDENGGEFLIQANTLKRQKVQGDDNSPIFEHVEAVSEGRQKIAEPMEPRASSDGNRRKQGRGRGHSVSSRGRGSRANDQARQQVSSSIVSPSNGQLENSYHKDSRPKEQFRIDDRMSLEEEVTSLRAKVAALEEDLRKCRQEASDYQNHCRQLEKELKDIKDYDQQMKPKRTKMISDLLIAVSKAERQEARMKVRQDSLRLGNVGIIRAGTVISETWEDGQALKDLNAHL >OMP05185 pep supercontig:CCACVL1_1.0:contig05492:5658:5865:-1 gene:CCACVL1_02023 transcript:OMP05185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVNGVVHWVAIDTVKQGREFWVLGFDLSNETFKRIALPKSLRISSLRSRIDLHVREYGSSISVIKVD >OMO85447 pep supercontig:CCACVL1_1.0:contig09618:15323:17930:1 gene:CCACVL1_10179 transcript:OMO85447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein, HCG-1 MPEEDLIELKFRLYDGSDIGPFRYSPTSTVAVLKERIVAEWPKDKKVAPKGANDIKLINAGKILENNKTVGQCRVPFGDLPKAVITMHVVVQPSVAKAKTESSC >OMO85446 pep supercontig:CCACVL1_1.0:contig09618:7833:7973:-1 gene:CCACVL1_10178 transcript:OMO85446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVPPPTPTDPPQKFQKAQLTPSPFLLWSTSTSTPYTSSHTIHTC >OMO85448 pep supercontig:CCACVL1_1.0:contig09618:18956:21369:-1 gene:CCACVL1_10180 transcript:OMO85448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MINSMCGSMGSIKSENSCESIAESKKTPPSSEMEQSSLTPPSLNFPAVKFELDGDVEVQSPDSSIWETFFTDHFDSDFMISSPVRNLPSPQISTYNFSNVQAMQGQSLLGCSPPRFSSQLGSFSSSNHKGKGQSPLHRVFNSPNNQFMQVESLSLPAIGDFLDDGYGGDHHQFQTTTKISGTGSSSNNMFDMTPNGGLLDYISMPNSSRFCPASETSSSAGCSQLTQQDQVQYQVNSVPRVPLSQQLQQEQQQEKQQQTSAAAPPQQNLGHTLMVPIPIGPEQEQDSGLQLLHLLLACAEAVAKEDYLLARKYIHHLNRVVTPLGDSMQRVASCFTEALSARLAATITTSPSTSSNPRPFTPFPSNSLEVLKIYQIVYQACPYIKFAHFTANQAIFEAFEAEERVHVIDLDILQGYQWPAFMQALAARPSGAPFLRITGVGPSLESIRETGRSLTELAHSLHIPFEFHPIGEQLEDIKPHMFNRRVGEALAVNSVNRLHRVPGNCLGNLLAMIRDQAPNIVTLVEQEASHNGPYFLGRFLEALHYYSAIFDSLDATFPPDSAQRAKVEQYIFAPEIRNIVACEGSDRIERHERLEKWRSIMEGKGFKGVPLSSNAVTQSKILLGLYSCDGYRLTEDKGCLLLGWQDRAILAASAWRC >OMO85445 pep supercontig:CCACVL1_1.0:contig09618:6490:6702:1 gene:CCACVL1_10177 transcript:OMO85445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGVNPTVYELDEDPRGKDMEKALMRLLGSSTAVPVVFIGGKLVGAMDRVMASHINGTLVPLLKQAGALWL >OMO94957 pep supercontig:CCACVL1_1.0:contig07765:5531:5611:1 gene:CCACVL1_05675 transcript:OMO94957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFVTGPDDRTSPIGYRIGIRSNEGT >OMP12220 pep supercontig:CCACVL1_1.0:contig00272:4913:4975:1 gene:CCACVL1_00072 transcript:OMP12220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIQISERSAKLTGISFGP >OMO50372 pep supercontig:CCACVL1_1.0:contig16201:24880:30432:-1 gene:CCACVL1_30485 transcript:OMO50372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MASRSEDVAVNHDDLEMDSGEKRLNELGYKQELRREMTLFKTLAITFSSMAVFTGTPLYGPSLRYAGPASMIWGWLVTTFFTWFVGIAMADICSSFPTTGSLYFWAAHLSGPKWGPFASWCCVWLESIGLISGMGAQAYSGSQALQIVILLSTGTNKGGGYFASRGVFLCIYMCLILIWAFLNTFALRVIAFLGIISIWWQVIGGLIVIIMLPLVARPTQPISYVFTHFETSPHSTGINSIPYAVIMSVLLSNYCLYGYDTAAHLTEETKGADRTGPIAILSSIGIISVFGWAYNLALTFSIKDPNYLYDVNNETAGALVPAQIIYDAFHGRFHNSAGAVIFLCIIWGSFFFCGLSVTTSAARVVYALSRDRGIPFSQIWRKVHPKHKVPTNAVWLCAAISIILGLPILKLDVVFTAIISISTIGWVGSYAVPILARLVMDEKDFKPGPFYMGRSRRAVCSVAFLWICYTCWAFLLPTLYPIQWRTFNYAPVALGIVLAMVMLWWILDARKWFKGPMNVERLQKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDFVIQFLNPKVQASIAANTWVVSGSPQTKKLQDILPGIINQLGPDNLDNLRKLAEQFQKQVPPAGAGAATTQEEDDDDVPELVPGETFEAAAEEEGQAAK >OMO50367 pep supercontig:CCACVL1_1.0:contig16201:69:137:1 gene:CCACVL1_30480 transcript:OMO50367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STSEAGGDSEAELMAEPIRMLQ >OMO50368 pep supercontig:CCACVL1_1.0:contig16201:1630:3925:-1 gene:CCACVL1_30481 transcript:OMO50368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage H-protein MARYSRSGKDEILGILHSKKLVNSHPFECGWFIKVEMNDAGELKNLMKPKLCDE >OMO50371 pep supercontig:CCACVL1_1.0:contig16201:24046:24537:-1 gene:CCACVL1_30484 transcript:OMO50371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTTLALCCCSARRPLSKQTKTCCNHADDYKYKVMDRQGNDASTPLQHNLNLR >OMO50369 pep supercontig:CCACVL1_1.0:contig16201:4686:5063:-1 gene:CCACVL1_30482 transcript:OMO50369 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase MPLIHEVLNENKPDMEGLWSLALVVPFLRSVDLLIAPQRDLPFTPVFLHYLGQFVLIMAGTRHCLPMSFYCSVPYASLNDVKVYGWIEKKVETEDEDDQNEEENVNGRDAETARGEGEGEGAARG >OMO50370 pep supercontig:CCACVL1_1.0:contig16201:10577:22807:1 gene:CCACVL1_30483 transcript:OMO50370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA MATVPCLLKASFLTPKPLQQRKVLPCTKPTSPFLFPSSSVSRYTLQRLRFNGQTHIAASLKENFGLFRKTFGDFSSLNYWVVRDYYRLVDTVNALEPEIQKLSDEQLTAKTSEFKKRLGQGDTLSDIQAEAFAVVREAARRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGDGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQKGMTAEERRTNYQCDITYTNNSELGFDYLRDNLAGNSDQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEASKDAARYPVAAKVAELLMRGLHYNVELKDNSVELTEDGIALAELALETNDLWDENDPWARFVMNALKAKEFYRRDVQYIVRNGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEREFLKMFQMPVIEVPTNLPNIRKDLPIQAFATARGKWEYVSQEVEHMFRQGRPLLVGTTSVENSEYLSDLLKERNIPHNVLNARPKYAAREAETIAQAGRKYAITISTNMAGRGTDIILGGNPKMLAREIIEDSLLPFLTRESPNVEVDDMELSRKVLSKIKVGPSSMALLAKAALMAKYAGKSEGKSWTYQEAKSIISDSVEMSQSMPLEELQKLIDEQSEMYPLGPSIAITYLSVLKDCEAHGTKEGSEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVNLISKITNDEDIPIEGNAIVKQLLALQINAEKYFFNIRKSLVEFDEVLEVQRKHVYDLRQLILTGDNESCSQHIFRYMQAVVDEIVFGNADPMKHPRYWNLGKLLKEFIAIAGKLLDDSFAAITEEDLFQSLKQLHESNSVDIDDFHLPNLPNPPDGFRGIRRKNSSLKRWLAICSDDSTKNGRYRPTTNLLRKYLGDILIASYVNIVEESGYDDAYIKEIERAVLVKTLDCFWRDHLVNMNRLSSACNAKVNCRISPALLVFPYGVPRAIFVMKADIHQGSPSKDVYSRFGIVKVVMPWFQ >OMO92102 pep supercontig:CCACVL1_1.0:contig08264:1590:1652:-1 gene:CCACVL1_06920 transcript:OMO92102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATLMEAQAEISGASIQE >OMO92103 pep supercontig:CCACVL1_1.0:contig08264:25441:25536:-1 gene:CCACVL1_06921 transcript:OMO92103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILIDGSGVNAIDFERKPLPTLAYFKETTTPP >OMP10501 pep supercontig:CCACVL1_1.0:contig02385:623:1171:1 gene:CCACVL1_00926 transcript:OMP10501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIQEEQVVPARYRQEFLTIAWEQVHLRSIFPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQVALDSGVPAIADHEGKIISADTDKIKIIFSGNGDTLSIPLVMYQRSNKNTCMHQTPRVPRGKCIKKGQILADGAATVGGELALGKNVLVAYMPWEGYNFEDA >OMO95641 pep supercontig:CCACVL1_1.0:contig07634:5329:5490:-1 gene:CCACVL1_05336 transcript:OMO95641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S17 MAEQTDKAFLKQPNVFLNSKKSGKGTRPGKRGNRFWKTIRLGFKTPREAIEGT >OMO95640 pep supercontig:CCACVL1_1.0:contig07634:3007:3078:1 gene:CCACVL1_05335 transcript:OMO95640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GAENKQKEMQKQQQRKKKEDRAM >OMO67280 pep supercontig:CCACVL1_1.0:contig12453:1535:5604:1 gene:CCACVL1_20639 transcript:OMO67280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPFPVNHQTFPTSSYSLCYFVFQTQTHFPNNSFRVLNFKPVWCSHQTTTVGLEITRKKRKPKPSFLDQIKDKWSAKPIISSREKFPWQQKEEIEEEEEEEEEEVEREQYLPEAISESKCDEEPRVEVSNPVSFSLPTRVIHAPWSHGRKFDEPHFDFVPDISNFESKIGDSFASKKPIEFPGGNEVDVVGSLIEKSESLNREFRVNQQKNVLPVHKEVAAVEGLNDVVPPRENFEVSTSDDERGLGEGDTWRRKKRSNTQMAEKMIPEHELRRLRNVALRMVQRTKVGVAGITEALVEHIHERWKFDEVVKLKFEGPLSLNMRRTHEILEKRTGGLVIWRAGGSVVLYRGMSYKLQCVQSYTRQNKADMSALDHSNDVKTDTTQDIVVKDSVRTPESPMPSSSECLKDTTQNVVIEDSVRTLESFMPSSSEYLKELSNEQLIDLCELNHLLDELGPRYKDWSGREPLPVDADLLPPVVPGYRPPLRRLPYGVRHCLKDKEMTTFRRLARSVPPQFALGRNRELEGLAQAIVKLWERSAIAKIAIKRAVQNTRNERMAEELKRLTGGTLLSRNKDFIVFYRGNDFLPPAVTESLKEMQKSRILQQEEEEKARERGLALVGSNVKASNLPLVAGTLAETTAATSRWGHQPSIEEVEEMKRNSVLSQKASLLRNLENKLILAKGKLRKADKALAKVQRHLEPADLPTDLETLSDEERILFRKIGLSMKPYLLLGKRGVYDGTIENMHLHWKFRELVKIIVKGESFAQVKHIAISLEAESGGVLVSLDKTTKGYVIIIYRGKNYMRPRALRPKNLLSRRQALAQSVELQRREALKHHVADLQRQIESMKLELEEMKAGKEFDVEKTWYSSLNKAPRSDKDIEKDEWGDEYLEAYDDSSDDDSYGKRSS >OMO67281 pep supercontig:CCACVL1_1.0:contig12453:6421:17708:-1 gene:CCACVL1_20640 transcript:OMO67281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSHHQSAQNPGIEQQRVIIPNKQGEKLVGLLHETGSKGIVVLCHGFRATKDHHTMLDLAVALEKEGISAFRFDFAGIGESEGSFEFGNTRLDVDDLHAVIQHFCGANRIVTAILGHSKGGLVVLLYASKYHDIHTVINVSSRYNLKKGIEGPFAKGFLGKDFMDRIKKDGFVDVKNQTGTNEFRVTLESLMDQLSINMHEECLKIPRECSVLFFPLSFALLLYFNFISSATTLTLKMKAHSDDQSAQNPGIEQQRVIIPNKHGEKLVGLLHETGSKGIAVLCHGVGATKDHPTIVNLAVALEKEGISAFRFDSAGNGESEGSSGFGNIMREVDDLHAVIQHFCRANRIVNAILGHSKGGLVVLLYASKYHDIHTVINVSSRYDFKKGLEGPFGKDFMDRLKKDGFIDVKNQTGIEQQRVIIPNKHGEKLVGLLHETGSKEIIVLCHGFRSTKADQIMVNLAVALEKEGISSFRFDFAGNGESEGSFEFGNYLREADDLHAVIQHFCGANRTVSAILGHSKGGDVVLLYASKYHDIHTVINASGRYDLKRGIEERFGKDFMDRIKQDGFINFKDKKGEYRVTLESLMDRLSINMHEECVKIPKECRRFTYRLLTVHGSADEVIPVEDAFEFAKIIANHKLHIVRFISSVNRISFPNLTTLRMAHSLSDQNPGLQYNEDPCWCFRERRNQFFRFDFAGNGESEGSFQYGNYYREADDLRAVIQHLSGENRVVSAILGHSKGGNVVLLYASKYQDIPMVVNVSGRYDLKRGIAERLGEDFMEKIKKDGYIDVKNKQGDVEYRVTEESLMDRLGTDMHEACLKIDKDCRVLTVHGSADGIVPVEDASSFAKIIPNHQLHILERANHGYTLHQTKLASVVLNFIKDGLSAT >OMO93854 pep supercontig:CCACVL1_1.0:contig08047:143:205:-1 gene:CCACVL1_06321 transcript:OMO93854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGENNSNGMQEEVADDSDD >OMO87825 pep supercontig:CCACVL1_1.0:contig09169:21635:21769:-1 gene:CCACVL1_08744 transcript:OMO87825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CSESIGKSSFEIVMGYQPTSPSQLASGYTGPNPAAYKFAKEWQE >OMO87824 pep supercontig:CCACVL1_1.0:contig09169:315:16482:-1 gene:CCACVL1_08743 transcript:OMO87824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDIMEWGVFMHLDDHLTSLEVVFNTEFEYFPDAKKMKTPNNSIATSSKIHYEDSEEEEEDMESSSKDEKFDESIDSDEEEEIERELA >OMO90377 pep supercontig:CCACVL1_1.0:contig08482:4313:5733:1 gene:CCACVL1_07383 transcript:OMO90377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MEVMAVRGGFPFGVDGLRMDYYMMNCPFADQIVKNTVNRALQDDPTLAAALVRMHFHDCFIEAGGPFYEIPKGRKDGRRSKIEDTINLPFPTFNTTELINAFGQRGFTAQEMVALSGAHTLGVARCSSFKGRLSGSDPLLESEFAKTLSKTCSAGDNAQQAFDATPNTFDNFYFNALIRKNGLLFSDQTLYSTPRTRAIVNGYAMNQAMFFLDFQSAMVKMGRLNVKEGSNGEVRANCRKIN >OMO90376 pep supercontig:CCACVL1_1.0:contig08482:2370:3563:1 gene:CCACVL1_07382 transcript:OMO90376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYHAYSFLRKTKSLSTLSLHSTKEDTQSRPRLH >OMO87357 pep supercontig:CCACVL1_1.0:contig09244:90579:92594:1 gene:CCACVL1_09113 transcript:OMO87357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLCSRCPFPSTKAFQSSTSFLFLSLRIPKLVPFKVSRIVGGRCICSSSAAAKSQEPIRTSYAGVQLEETVDETKQGKLRLDSWISSRIQGISRARVQSSIKSGLVTVNGRVVDKVSHGLRAGDKVNCVISELQPLRAEPEDIPLDIVYEDDHVLVVNKPPHMVVHPAPGNATGTLVNGILHHCSLPTVALSEKELLSDTEDGSDDEGFPYGENAAAAIRPGIVHRLDKGTSGLLVVAKDEHSHAHLSEQFKQHTIQRVYISLTCGVPSPSAGRVDIPIGRDSNNRVRMVAVPGISHPGRARHAASRYKVIEVLAGGGSALVQWRLETGRTHQIRAHAKYMGIPLLGDEVYGGTKNMVLSLLRPRTPPSYNDQLSRLVSRLERPYLHALVLGFQHPQSSENMHFSCPPPPDFTGILSQLRKIGVEKPILKE >OMO87343 pep supercontig:CCACVL1_1.0:contig09244:10267:11174:-1 gene:CCACVL1_09099 transcript:OMO87343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVWKDISLTSLNDHSVISNTNSNHSAFPSMIFQDFLARPLNKELPPRRNSTVVSIAEETSPFGSLEPTPGTILSLNSGSDFQYLETTTVDPLRPNPAVMNGHGAPPFGSPTAFPSFCKRRAQENNENSVDRRHKRMMKNRESAARSRARKQAYTNELELEVAHLIEENAKLKRQQEVRLLAAPPQLPKKSTLSRSLTAPF >OMO87353 pep supercontig:CCACVL1_1.0:contig09244:61524:62030:1 gene:CCACVL1_09109 transcript:OMO87353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MRHGAHFIPPPLLSPSPSPAVSASVTAGSQHPMLVSVFLALFLPCAGMSAVFIVYICLLWYATNYRTDNHSGSSSAVKPGAQKGLSVSELEKLPKVTGKELVLGTECAVCLDEIEAEQPARMVPGCNHGFHLQCADTWLSKHSVCPVCRAKLDPQQLLDASHDDDNPC >OMO87347 pep supercontig:CCACVL1_1.0:contig09244:36497:38652:-1 gene:CCACVL1_09103 transcript:OMO87347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage H-protein MSNDMVDRTHELEETTVPKKVQNEQEGEKQPIREIADFEDEELHKLLVPDTSQLPLTPPSAVEFNFVSYFAPDFMKPGNDQYIYRHPNGLCVVGLASTHLAFKEEGGITAVDFNVGKSDRSGMKVTGKRKKNAQHFEANTALCKVCTTDDSYIVRCCVKGSLLEVNDRLVKQPELLNSSAEREGYIAIIMPKPADWEKVKASLLSLEEFKKLRALS >OMO87349 pep supercontig:CCACVL1_1.0:contig09244:46357:49369:-1 gene:CCACVL1_09105 transcript:OMO87349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDVEMKDHQTPSHSVSSAVPSTLQHLKEIASLIETGSYTKEVRRILRAIRLTFGLRRKLTASVLSSFLDFALQPGSEPHARLSSFLPKEDEHDMEVDTATSAVQAPAKHLLPELEMYSYLLVLLFLIDQKKYNEAKACASASIIRLKSLNRRTLDVIASRLYFYYSYSYELTGDLSEIRGNLLALHRIATLHHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGQIRTIQLEYTDAKESLQQAARKAPVAARGFRIQCNKWAILVRLLLGEIPERTIFMQKGMEKALRPYFELTNAVRIGDLELFRTVAEKFASTFSADKTHNLIVRLRHNVIRTGLRNISISYSRISLADVAEKLRLNSETPVADAESIVAKAIRDGAIDAILDHANGWMVSKETGDIYSTNEPQIAFHSRIAFCLNMHNDAVRALRYPPNTHKEKESAEKRRERQQQEQELAKHIAEEDDDDF >OMO87344 pep supercontig:CCACVL1_1.0:contig09244:15024:19125:-1 gene:CCACVL1_09100 transcript:OMO87344 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein Lupus La MAMTADSSSPRDGLSPQFRRKNLPSPWAQVVRGESETVNHSPPSPSTTSPLGVSLPEQLPFTDCSPSKASSSSSPSTSPPPDNSWAADGGSDSNNNNNAAARSKKPAWNKPSNGVVEVGPVMGAASWPALSESARASPKSLADSTPKTVPDGSHSTSQGPVIPQSTQSTQKQGTSNANHNSTHSRTMSGRQQRPSKRGGSGGGGGNASASGPPQSGFSHQHPPPPPPPPPFPVLQLPPNSYGNFIPAISDPSMRDPQYRGNNWEGRPVGGNEHRHSRRSNYRPRGDGGYHRHDQDRGSHGNTRDGHMPHQRSPRVFQRPPPPSAHNFVPPQPVRPFVNPLGYPELIYLPMEPFRPMPLFPPAPPPQMVMPELPLPALLLHQIHYYFSDHNLVKDEFLKSNMDDQGWVPISLIAGFPRVKNLTSNIQLILDSLRSSTVVEVQDDKVRRRNEWIKWIPSRVSTESGLISPGGSSSDMLTSSFQQIIMKDSSTQSKADQSQLSNGEGSEQN >OMO87348 pep supercontig:CCACVL1_1.0:contig09244:40056:41287:-1 gene:CCACVL1_09104 transcript:OMO87348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MAAVVAVAFAFLIMFQISSSPVRALSSNYYHNTCPELDDIVTNVVKKAISNDRTVPAALLRMHFHDCFIRGCDGSVLLDSTKNNQAEKDGPPNISLHAFYVIDHAKKAVEAACPAVVSCADILALAARDAVALSGGPNWEVPKGRKDGRVSLATETRQLPAPTFNVSQLQQNFGQRGLSMEDLVALSGGHTLGFSHCSSFQNRIHNFNATLDVDPTMNPSFAASLRSVCPAHNKVKNAGSPLDSSSFVFDNAYFKLLLQGKSIFSSDQALLTNPKTKALVTKFASSQEAFENAFVKSMIKMSSITGGQEIRLNCRVVR >OMO87354 pep supercontig:CCACVL1_1.0:contig09244:70582:81955:1 gene:CCACVL1_09110 transcript:OMO87354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGDSGKISSCRFSSLLASKDRDYLLSSSTIEEAEAHQVKISELEGKVIGLYFSANWYPPCRNFNQLLVDVYEQLKSNGSNFEIVFVSSDEDLDAFNNYRQTMPWLSVPFSDLDTKKSLNRKFEVEGIPCLIVLQPEDNKDGATFYDGVEMIYRYGVDAFPFTKDKFEQLQREEKMRHEHQTLTNLLTNPGRDYILDHSITGKVPVESLVGKTIGLYFSGQWCVPCVKFTPKLISIYQRIKQTLEEKGGEDFEIVFVSNDRDQTSFDSYFGTMPWLALPFEDPNIKTLAKYFDVQGIPCLIIIGPDGKTVTKQGRYLINLYQENAYPFTDAKVELIEKELDEAAKSLPRSELHEGHRHELTLVSEGTGGGPFICCDCDEQGSGWAYQCLECGYEVHTKCVRAVAPGSVEGSLNLKMGAEKDFTMDEGTLEIGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLEDHGEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLYNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLEKFERKFVTQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSREATS >OMO87355 pep supercontig:CCACVL1_1.0:contig09244:83716:83805:1 gene:CCACVL1_09111 transcript:OMO87355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFDGSNRGSMKTTGLRFCPNKSSGNGS >OMO87342 pep supercontig:CCACVL1_1.0:contig09244:6726:6788:1 gene:CCACVL1_09098 transcript:OMO87342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFRCRGSNPGLLGESQVS >OMO87350 pep supercontig:CCACVL1_1.0:contig09244:51027:52815:-1 gene:CCACVL1_09106 transcript:OMO87350 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MDRYQRVEKPKAETPIDENEIRITSQGRMRSYITYAMTLLQEKGSNEIVFKAMGRAINKTVTIVELIKRRIVGLHQNTQIGSTDITDMWEPLEEGLLPLETTRHVSMITITLSKKELNTSSVGYQPPLPADQVKASTEFDHEGEGSPNGRGRGRGGRGRRGNGFVSAEYEDGGFDHNRGYARGRGRGRGRGFRGRGRGGYNGPHVDRQQDGGYYDGPPQGGRGRGRGRGRGRGRGRGFRSNGPMAAA >OMO87356 pep supercontig:CCACVL1_1.0:contig09244:86105:90054:1 gene:CCACVL1_09112 transcript:OMO87356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCQSLGFCLRKSQTHEIPISSSSESSPRPYQPLPKTTDDRPYPSKQSWQNQNPPSSSQIGTILQKPYVDITTFYDLDKELGRGQFGITYLCTEKATGKHYACKSISRRKLKTDRDIDDVRREIAILQHMTGQPNIVEFKGAFEDKDNLHLVMELCSGGELFDRIIAKGSYSERQAASIGRQIVNVVHACHFMGVMHRDLKPENFLLVSKDEDSPIKATDFGLSVFIEEGRLYRDLVGSAYYVAPEVLHKKYGKEIDVWSAGVILYILLSGVPPFWGETEQEIFKAVLEEHPWMKEGADVSDKPIDSAVLSRLKQFRVMNKLKKLALKVIAESLSSEEEIKGLKQMFNNIDTDGSGTITLEELRAGLARLGSKLTESEIKQLMDAADVDKSGTIDYIEFITATMHRHRLEIEDNIAKAFQYFDQDGSGFITRDELRQAMTEYGMGDEATIDEIIEDVDTDKDGRINYDEFVAMMKRGTQDGDR >OMO87351 pep supercontig:CCACVL1_1.0:contig09244:53161:56497:-1 gene:CCACVL1_09107 transcript:OMO87351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MRTGKRTAFLALFCLFACVGVFHRTVKAQSLPPAKYDGFVYTNHKVDSDTIMIEAFFDPVCPDSRDSWPPLKQAIQHYGSRVSLIIHLLPLPYHDYAFATSRALHVVNMLNPSATFRLLESFFEDQDRFYNDQTRNMSRVAVLNEIIKFTAEAVGNSYYSAIQSGFNDRKTDLKTRISFKEKLGFKGREPGEPEKGEVG >OMO87358 pep supercontig:CCACVL1_1.0:contig09244:93463:96243:-1 gene:CCACVL1_09114 transcript:OMO87358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MAVKVRHSRLQAKKWSTVTLVLSMLFMLTVVLLMLLGLGIFSLPMSSDDSSPIDLNSYRRMASERGKGLGKRGEQWTEVISWEPRAFIYHNFLSKEECEYLINLAKPHMTKSTVVDSKTGRSKDSRVRTSSGMFLRRGQDNTIKAIEKRIADYTFIPVEHGEGLQVLHYEVGQKYDAHFDYFLDEFNTKNGGQRMATMLMYLSDVEEGGETIFPAAKGNISAVPWWNELSECGKQGLAVKPKMGDALLFWSMRPDATLDPSSLHGGCPVITGNKWSSTKWMHVEEYKDTKLKVIAVPCWESVGIADGIFDSNCSVPLSCNPSNSGLLLFFYLMGICGV >OMO87346 pep supercontig:CCACVL1_1.0:contig09244:34145:35379:1 gene:CCACVL1_09102 transcript:OMO87346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGRKMIQTKLKSGRSKELGSNLGGKTNVNEEYKEAFRTKSYVEMWSQVLHGQLEKTSLDRLSSSSSSIPYSINLSEYLLQPRQETLDKMEDLNFDHLLFDYFEAGLEACNLCELLLGSIHQTRVYYQRIRKIIKLSKKVKDFSSDEKHCRVILKELAGFALLKNPLSIISPVQFQEIHETNVDLFHKLTSKREKVKRKAKTRKFCKKIGSVCLVISHSALVIALVVIAFHGMIGIIAAPGLAAAGFFGLKKKKRFRTSSSRYQQGLDKTSLLERLGDQLDISAKGIYILINDFDTISRLVWRLSRRLVIEEIIDQQP >OMO87359 pep supercontig:CCACVL1_1.0:contig09244:99210:109159:1 gene:CCACVL1_09115 transcript:OMO87359 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein MAGQGGIWQPNEEGLREICGVLEQHISPTSDKPRIWQQLQHYSQFPDFNNYLVFIFAHAQGASVEIRQAAGLLLKNNLLSAFKSLDPAYQHYIKSQLLPCLGEAKKDIRNTAGTVISVIVQQGRILGWPELLQALVQCLDSNEINHMEGALDTLSKICEDVPHELDSDVPGLSERPIDVFLPRLLQLFQSPHASLRKLSLGSINQFIMLMPTALFLSMDQYLQGLFVLSRDPVAEVRKLVCAALVQLIEVNPSFLEPHLKNVIEFMLQASKDDDDEVALEACEFWSTYCEAELNPELLREYLQQLIPVLLSNMVYADDDESLVDAEEDESVPDRDQDLKPRFHSSRFHGSEDMKDDDDDSLNVWNLRKCSAAGLDVVSTVYGDEILPTLMPLVQAKLSTSDDDSWKEREAAVLALGAIAEGCISGLYPHLPEIVEFLLPILDDKFPLLRSISCWTLSRFSKYIVQGIGHQKGYEQYNKILMGLLRRILDTNKRVQEAACSAFATLEEEAAEELTPHLEIILQHLLCAYGRYQKRNLRMVYDAIGTLAEAVGGELNQPKYLQILMPPLIAKWQQLSDADKDLFPLLECFTSIAQALGDGFSQFAQPVFQRCINIIQKQQLAKVDPSSAGVQYEKEFIICSLDLLSGLVEGLGSGIETLVSQSNLRDQLLQCSMDDSIDVRQSALALLGDLARVCPGYLHPCLSQFLSVAAKEMSSCNLAESVAVANNACWAIGELAVKVRQEVSPIVMTVVSSIVPVLQHAEHANRSLIENSAITLGRLAWVCPEIVSPHLEHFIQEWCTALSTIRDDIEKEDAFRGLCAIVRTNPSGALNSLGHICSAIASWHVIRSEELHNEISQVLLGYKQVAKGEKWELQGSGLG >OMO87345 pep supercontig:CCACVL1_1.0:contig09244:28170:30168:1 gene:CCACVL1_09101 transcript:OMO87345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MAMETPNHTQTVAGWAAHSSSGKIVPYTFKRRENGVNDVTIKVMYCGICHTDLHHVKNDWGITMYPVVPGHEITGVITKVGNNVKNFKVGDRVGVGCLAASCLECEFCKSSQENYCDQIQFTYNGIFWDGSVTYGGYSQMLVADHRYVVRVPDNLPMDAAAPLLCAGITVFSPMKDSQLLESPGKKVGIVGLGGLGHVAVKMAKAFGHHVTVISTSPSKEKEAKQRLGADDFIVSTNTEQMQRGKRTLDVILDTVSAKHSLGPILELLKVNGTLVVVGAPDKPIDLPSFPLIFGKRAVKGSMTGGMKETQEMMDVCGKHNITCDIEVIKPDQINEALDRLSKNDVRYRFVIDIAGRSKL >OMO87352 pep supercontig:CCACVL1_1.0:contig09244:56760:59036:1 gene:CCACVL1_09108 transcript:OMO87352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQALPLLENLNRSIIPCLKSATASISQTRQAQAYILKSGVCNDAFICTKLISQYANHHCFAEADLILNSMSEPSVPSFAALIYALNKSNLFIQSLNVFTRMLSRGFLPDSHMLPNIVKACGQLSAFRWGKEVHGLVCKFGFDSDSAVQASLVHFYLKSDGIGVARNVFVRLPEWDVVTCGALLSAYARKGCVSEAMGIFKAMKSFGLRPNLVTWNGMITGFNQSRQCNEAVVLFKEMHSEGFQPDEITISSVLSAVGDLEMLNVGNQVLCYVIKLGLLHYKVVISALINMFGKCACAGELLRVSEEIDEEVIDVGARNAFITGLSRTGLVDVALETFEQFRVQGRELNVVSWTSMIAGCSQNGKDIEALELFREMQSAGVKPNSVTIPCLLPACGNIAALVHGKAAHGFALRAGIDNDVHVGSALVDMYAKCGRIHLSRLCFDRIPNKNSVCWNAIMGGYAMHGQAKEAIDIFHLMQRRGQKPDFISFSCVLSACSQGGLVEEGRHFFNSMSTEHGLEAKMEHYSCMVNLLGRSGKLEEAYAIIMQMPFEPDACVWGALLSCCRIHNNVSLGEIAARNLFQLEPSNPGNFILLSNIYASKAMWSEVDALRDVMRSRGMKKNPGCSWIEIKNQVHMLLAGDKSHPQMTEIIEKLYKLGMEMKKAGYLPNTDLVLQNVDEQDKEHILCGHSEKLAVAFGLLNTPPGSPLQIIKNLRICADCHAAMKFISDFEGREIYVRDINRYHHFKDGVCSCGDFW >OMO87360 pep supercontig:CCACVL1_1.0:contig09244:109487:109957:-1 gene:CCACVL1_09116 transcript:OMO87360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 LYR protein MIPYLRKCRSLTIHLKPVFDSDIVFIQRFLHNGPDTVEELLDRHVVKKEKSLNDEEEELLNRQRLTSTRREALALYRDIFRATRFFMWPDSRGVLWRDVLRENARKEFEEARFEKDPELVTRLLIGGRDAVQSALEKLAEKQKQQISKERDGGEGR >OMO49776 pep supercontig:CCACVL1_1.0:contig16403:13676:14050:1 gene:CCACVL1_30811 transcript:OMO49776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRDGSEGAQMLSSSLTGFLRCLAL >OMO49777 pep supercontig:CCACVL1_1.0:contig16403:16693:20790:1 gene:CCACVL1_30812 transcript:OMO49777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKRPCKATVMLLMFNLELSKGRATRPGP >OMO49778 pep supercontig:CCACVL1_1.0:contig16403:21172:21393:1 gene:CCACVL1_30813 transcript:OMO49778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLYAQDFGGVLCDSCGESSLFAIKAAKVRWSGLFD >OMO49779 pep supercontig:CCACVL1_1.0:contig16403:21744:22338:1 gene:CCACVL1_30814 transcript:OMO49779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCRRNMDASASLQSMLKLKAPLKLCSM >OMP04459 pep supercontig:CCACVL1_1.0:contig05734:6489:7033:-1 gene:CCACVL1_02160 transcript:OMP04459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFHEFAEFTTEDIGTSESGLNSVVLANNGRCRNIAPIFHLAVGDRPTLFLEIIIQGVGCMHGEG >OMO86037 pep supercontig:CCACVL1_1.0:contig09541:5627:5716:-1 gene:CCACVL1_09847 transcript:OMO86037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITGDPPPLWPQLTTMPRRRSPSPLFSPP >OMP06847 pep supercontig:CCACVL1_1.0:contig04782:3068:5863:-1 gene:CCACVL1_01428 transcript:OMP06847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TREVSRSKPAAGFCSRCGGGASVAEMKTSTSDPN >OMO65921 pep supercontig:CCACVL1_1.0:contig12605:19817:20065:1 gene:CCACVL1_21340 transcript:OMO65921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGSVACTSASTSTAATTIDSPTSSFSSISPHHALAPILSTVTSNTQNLTNPEPKTTKSRRLCRRESPEYDLSQPSRSPFY >OMO65920 pep supercontig:CCACVL1_1.0:contig12605:12161:15661:1 gene:CCACVL1_21339 transcript:OMO65920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MASLMSIAPQKAVIAETGEEVDADEVKLNTVLAVKAGEVIPIDGVVVDGKCEVDEKTLTGESLPVAKEKDSTVWAGTINLNGYISVKTTAVAEDCVVAKMAKLVEEAQNSKSRTQRFIDKCAQFYTPAIIFISAAIAVIPAACRVHNLSHWFHLALVVLVSACPCALILSTPVASFCALTKAATSGLLVKGGDYLEILSKIKITAFDKTGTLTRGEFVVTEFQSLCEDISVNTLLYWVSSIESKSSHPMAAALVEFGRSQSVEPKPESVEDYQNFPGEGIYGRIDDRDIHIGSRKISVRANGTVPTLEGNLREGKTIGYVFSGATPVGIFSLSDACRTGAAEAVNELKSMGIKTAMLTGDNQAAAIQVQEQLGNCLNVVHAELLPEDKARIIKEFKEEGPTAMIGDGINDAPALATADIGISMGISGSALATETGHVILMSNDIRKIPKAIQLARKAHRKVIENVILSISTKVAILALAFAGHPLVWAAVLADVGTCLLVICNSMLLLRGTHKHAGKCCKSSAGSHTDKHGCKTGHCHSSHGHQHASIEKTVHKACESKKCSSQMCATKCQSSTFKSDSCSNSSGSNKCADSACTNNGSTSNGSHQAKHCDQGSCNNHKTEAHNLSGNCCSGHLSSGLHTEDKHRKASCCAEPNDLHAVNHCHQGHLHHSSSSDEDRGEIKKGHCHSIHCGENHVRDHTNHKAFGNLVEHSCPESLNPEAHSHSNKSCCIAYSDHPPHTAIDIAMSQDHEAANLEKREYGGCCKSYMKECCSKHGHFRAAGFGGGLTEIITE >OMO61301 pep supercontig:CCACVL1_1.0:contig13533:2348:2410:-1 gene:CCACVL1_23613 transcript:OMO61301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMENRRRLDGQDDQRGFSTM >OMO84715 pep supercontig:CCACVL1_1.0:contig09714:10348:10884:1 gene:CCACVL1_10693 transcript:OMO84715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MPSEVIAPLDSSTTAPSAAPITAQLPSSSMTSQSLPVTNTSRGRASVHRPVGNMHSMQTRAKNNIFKPKTVSIATKHLCSSSIEPTCVSQALKDEKWCDAMSEEINALLRNGTWQLVPKSDTQNLLGCKWVFRIKRNPYGSVSRYKARLVAKGLIKGLELTIHKPLVQWLNPPPLDLS >OMO84714 pep supercontig:CCACVL1_1.0:contig09714:8352:8921:1 gene:CCACVL1_10692 transcript:OMO84714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSTTSSALPIAVYATTQLPVKLTATNFSSWRAQFDALLFGYGLVGYVNGSFPAPASEIEKNGQNVPNPEYSFWMRQNKLILLAIFLTISENITPFIAASNTSMEAWQKLIKMYANKTQSRIMDLKDKLSSTRRDTKPVGEYLHSNSRPVCKLCDKVGHLAKTCRQGKRFLTSSPTANYASTTSSEKS >OMO98906 pep supercontig:CCACVL1_1.0:contig06985:40799:47423:-1 gene:CCACVL1_04004 transcript:OMO98906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLKYFDLYSYLFRHGFADLPTMKRKTTIDLLCPVTASPLSPTFRHQVKTSIISIEADQVYKGIVELVNRHLSGTLFLVQYQKSED >OMO98905 pep supercontig:CCACVL1_1.0:contig06985:2313:2423:-1 gene:CCACVL1_04003 transcript:OMO98905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGGGTGHQPQLSSKFAFFYVIVHFRSSRPIPRW >OMO98904 pep supercontig:CCACVL1_1.0:contig06985:1310:1396:1 gene:CCACVL1_04002 transcript:OMO98904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERLSGTTSGMRNDIRNDLRNDFRNDFQE >OMO79164 pep supercontig:CCACVL1_1.0:contig10477:35423:36931:-1 gene:CCACVL1_13872 transcript:OMO79164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHHPSSTTHAWNRCLALLQNCTKTSQLKPIHALLLTQGFHQNPFIISKLITSLISSLPRNLHYCSLIFNQLQNSNLFIYNTLIKAHSNSPHPESSFHYFNLLLKEENLRPNGQTFNFILVSCAKSCSLLSGKQIQNWVFKNGMFSSDSYVQTGVIRLYVEARLWSDARKVFDEITHVDVVKWNVLMSGLLGCGFVTRALGVFKEMLAFGVEPDEFCLTTALTACAQTGSLWEGKWIHEYVKKRAMIGCFAVHGHARKAIQCLERMQNEKIRPDGVVLLGVLTACTHAGLVEEVWGAVLNSCRIHNNVELAELAVKELLELEDCDGDEEDAALVQLSNIYFSAQKSEDGHRIRRMIGDRGLKKAPGCSMIEVNGRAIEFVSGDISHPLHSQIHATLRLLFPEDPDTL >OMO79165 pep supercontig:CCACVL1_1.0:contig10477:38251:41304:-1 gene:CCACVL1_13873 transcript:OMO79165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFHKAKAVRLRSHLGKYLVAYEDEETVRQSRNGSSQRARWTVQFVQGDTHLIRLKSVYNKYLTATNEPFLLGMTGKKVMQAIPSSKHDHSSIDWEPITDRNQVKLRTRSSGKFLRANGGTPPWRNSVTHDVPHRTATQDWILWEVDIVDILESSDFPSSDFSSSIASSFSSFSTFSSNSDLPALLVSDSFDEHAFRTQASNFLDFVLANLESGKKVSQSLPKRLDSSVEWEPIRDGVQVRLRTRYGQYLRANAGLPPWRNSVTHDVPHRTATQDWVLWDVDIVEFRKKDPDPDPPPPPAPVLSPSPTFSPPPVEIPQPIDRIDSDRSDPGSPSAISLRGPRMGRVESDDSFCSRSRVSFEGRVINYEVIDDDGNVDEAIGERTFTFKGSGVEELKQMLQEEAGVNEEVCICSRNPLNGQLYPLRLHLPPNNAAMNLVVVPLSSKG >OMO79166 pep supercontig:CCACVL1_1.0:contig10477:42837:47794:-1 gene:CCACVL1_13874 transcript:OMO79166 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MKMGLNITCEYLDGKTQISGLVENGLTTVTDVSEITNEYLDRSSEVSGVEKPITNETSNIIDEYLDGQTQVSDLSKITNEYLDRSTEVSCLVEKLLKPITNEISDITDEYLDGNTQVSEITYASEITNEYLDRSIEVSDLVEKLLKPITTCTSDITDEYLDGKTQVSGSVENGLKPVADLSKITNEYLDRSTGVSGVEELLKPITTCTSNITDEYLDGKTQVSSLVENGLKPVTDETSEITKEYLDGKTQVSGLVDNGLKPVTDETSEITKEYLDGKTQVSGLVDNGLKPITDVNDVSCGYLDSTRNCSPRMKYICFRDFNSNDTRNKAPRTLTCTVINTFDSLEHDTFDAIVSAMPDTPVYSIGPLHLVADQIIQDNKLNRIGSNLWKEEPDCVKWLNSKEPNSVVYVNFDSVVVMTLENLIEFAWGLAYSKRQFLWIVRPDLVKGDVASFLPEFISEIKDRGMIASCCKFACTKWGTGMEISSDVKRDQVEMLVRELMDGEKGIEMKANALE >OMO79163 pep supercontig:CCACVL1_1.0:contig10477:29073:33334:-1 gene:CCACVL1_13871 transcript:OMO79163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MENVEIQEDHQTTELPDHTIHMENEIEEDQTTELPDHAVNYRGIKAMPFIIGNETFEKIGSMGTVANLSIYLTTVFHMKSITAATIINIFHGTSNLVPLLGAFLSDTYFGRYKTLVFASIASFLGMAVLALTAAIHKLHPPKCPSSNSGTTCVEPVTGQLAFLYFAFGLLVIGAGGIKPCNLAFGVDQFDPRTESGQKGINSFFNYYYFTFTLGVMVSVTLIVYIQSTLGWAIELGIPAVLMLFSCILFFMGSRLYVKVKPQGSPLTIVAQVVLAAARKRIFVSLIAMLVSGLVEGHRRHLALTRPTVGFSAKGGGISSMSAFCLVPQLVLTGIAEGLSCIALNEFYYKQFPENMRSIAGSFFFLGLAGASYLSGFLVSIVHRLTSTTNAAGDWLPDDLNKGYSEDASNPSSSEPSRVLTFHSTPRWQLHLNSVKETPKLMVIDFSASWCGPCKFMEPALHDMAERFSEVEFVKIDVDELPDVAQEFGVQAMPTFVLLKRGKEVDRVVGAQKNELEKKVDKHKTLPAAT >OMO79162 pep supercontig:CCACVL1_1.0:contig10477:22637:26296:1 gene:CCACVL1_13870 transcript:OMO79162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MDNKDETLDAVLKEAVDLESKVLKFFGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMASLAPKAKVFRDGKWTEEDASILVPGDIISVKLGDIIPADARLLDGDPLKIDQSALTGESLPVTKGPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQQGHFQKVLTAIGNFCICSIAVGMITEIIVMYPIQHRPYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSLQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEIFAKGVDPDTVILMAARASRLENQDAIDAAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDNQGRMHRVSKGAPEQILNLVHNKIELERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESSGGPWQFIGLMPLFDPPRHDSADTIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGQNKDESIVALPVDELIEKADGFAGVFPGILTCFPFHLEIKSCPKNTYNNSS >OMO68484 pep supercontig:CCACVL1_1.0:contig12220:2132:3164:1 gene:CCACVL1_19934 transcript:OMO68484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MNPSAFKDANWVVFSHVSDLTISGSGVFDGQGTAAWGKNECSKNKFCAALPINLRFNFVNNTLIQDITTKDSKQFNVNVLGCNNITFQRFTVSAPETSLNTDGIHIGRSDLVKILDTKIETGDDCVSLGDGSKNVYVERVTCGPGHGISVGSLGKWQGEEDVIGVFVKNCTLTNTQNGVRIKTWPTSHPGKASDMHFENIIMNNVGFPVLIDQEYCPWNQCNLKAPSSVQLSKISFKNIRGTSATKEVIRLVCSKGYPCQGVELADIDLKFQGHDGPGVSECSNVSPKLSGPLNPKPCTAKLTRIVGDA >OMP10790 pep supercontig:CCACVL1_1.0:contig01923:53:151:1 gene:CCACVL1_00790 transcript:OMP10790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWLIAPVLKTGIVRNKELSRVRIPLSPFAR >OMO59268 pep supercontig:CCACVL1_1.0:contig14021:16976:17177:1 gene:CCACVL1_24965 transcript:OMO59268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDFSSPKEIWEKCPLDLAVDKDFMDSKIISFGFTMGYQSVVRAKPINNMNVILFKDQVFLPRDRV >OMO59267 pep supercontig:CCACVL1_1.0:contig14021:10586:13850:-1 gene:CCACVL1_24964 transcript:OMO59267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTKMSITELDDDIVRSMSIGAVFSDFGGKINSLDFHRKDDLLVTASEDDSVRLYDIANAKLLKTTYHKKHGADQICFTHHPSSVICSSRHSLETTGESLRYLSMYDNRCLRYFKGHKDRVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLTTTNNNIYVLDAYGGEKRCGFSLEPSQNTNIEATFTPDGQYVISGSGDGTLHAWNINTRHEVAAWNSHIGVASCLKWAPRRAMFVAASTVLTFYIPNNNPKPAAEPGSIDTPAGSQSEQMGQ >OMO59266 pep supercontig:CCACVL1_1.0:contig14021:9431:9937:1 gene:CCACVL1_24963 transcript:OMO59266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin MADRPHHTQPHQVQVHPQPPMGRWGDQAGKYQSGGGPSMTQVLAVLTLLPVGGTLLALAGLTLTGTVIGLCVATPLFLIFSPVLVPAAIAVGLAVTGFLSSGAFGLTGLSSLTYVFNRLRRATGTEQLDLDQAKRRMQDMAGYVGQKTKEVGQKIENKAHEGGNRTAM >OMO59265 pep supercontig:CCACVL1_1.0:contig14021:1545:2066:1 gene:CCACVL1_24962 transcript:OMO59265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSYSNSPCAACKFLRRKCMPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVPPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVMRLQRELDATNADLIRYACNEMPPAASGQYGRRSGHGSGGGGGGAGSYNQNSSGFYYPSHQWNSNNGDNESSGGGSM >OMO96594 pep supercontig:CCACVL1_1.0:contig07429:25065:32809:1 gene:CCACVL1_04877 transcript:OMO96594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MGHKKKNSAPRSKQQQPPSLSPPVDVLNDSVEPDLIGNSNAKIDMAVVPLHSDGVSSSASYAAAKMECERALTALRRGNHTKALRLMKESCTRHEDSAHAALVHRVQGTVCVKVASIIDDPNAKHRHLKNAIDSARKAVELSPNSIEFSHFYANLLYEAANDGKEYEEVVQECERALAIQNPVDPAKESLQEESQQKLSTADARILHVQSELRSLIQKSNIASISTWMKNLGNGEEKFRLIPIRRVTEDPMEVRLVQTRRPNEIKKATKTPEERRKEIEVRVAAARLLQQQKSESASSSLQSQNEGERNGLDSTSGSGQRGGVERRRRKNGSTAERKDWVRSFWNSMSVELKKDLLRIKVGDLKAYFGLLKDGLPGEVLSEALAFADSNKTWKFWVCCRCSEKFADSESHMHHVVQEHMGNLMPKMQTVLPQSVENEWIEMLLNCSWNPLDISAAVKIIGNQSKCQDLEFSEDFYSGNQNEECDDCFKDAWDSSPEKENLGDRYNCGSAEGNDCDKVSSIDCKECDHGNQGSVAYPLVDSWPTVDDAERAKLLERIRATFELLIRHKYLAGSHLNKVIQFTMDELQSLVSGSQLLNHGVDQTPMCMCFLGATQLRKILKFLQDLSHSCGLARYPEKTAPVDDVNTATQIPEVKENIVLNGDASYLLLDEHLLPDAAIEAPALSNANGSIGNGVLQDADALLSWIFAGPSSGDQLASWIHMKEEKIQQGLEILQMLEKEFYHLQSLCERKGDHISYEEALQAVEDLCLEEGKKRETATEFVHRSYESVLRKRREELLESENDVMFLSSRFELDAISNVLKEAEALNVNQFGYEDTYAGVTSQLCDLESGEDDDWRTKDYLHQVDTYVEVAIQRQKEQLSIELSKIDARMMRNVNGMQQLELKLESVSAHDYRLIMLPLVKSYLRAHLEDLAEKDATEKSDAAREAFLAELARDSKKGVRGGSDNSKNSQEKSKDKKKNKEFRKSKDSKASGATERSMLNEESADQVSFAVASDGDHRDSEVVSMNSDDSKQQEEEFRRKIELEAEERKLEETLEYQRRIENEAKQKHLAEQHKKTYHAFPDKTTDGLHNAYLDASDLDIQASIPLVTNNLDSLPLRTANGSAVPVTSDTSGTYAQFNQGLSNGAVPEDGLFHSDRRGRKSRRQRSSNKSSEMENIQVGSAHGNGEEQVKYVDGVPMDSVAPISGEGGTKTLRQLQAEEDDEERFQADLKQASIGVFDLGGKCLKIFGWTDLPYISIELSSLARLYRVNSTVFASRLHSCAYMFAYTYQAQQKMPLISGLNSVQRVPLQVNNHGVSTNEVSGEGSNETDVFGTGLQNEVGEYNCFLNVIIQSLWHLRRFRDEFLRKSTSAHVHVGDPCVVCALYEIFTALNTASTDARREPVAPTSLRIALSNLYPDSNFFQEAQMNDASEVLAVIFDCLHRSFTSGSSVSDADSMDGTCTGSWDCANSTCVVHSLFGMDIFERMNCYSCGLESRHLKYTSFFHNINASALRTMKVMCAESSFDELLNVVEMNHQLACDPEAGGCGKLNYIHHILSNPPHVFTTVLGWQNTCESADDIAATLAALNTEIDISVLYRGLDPKNKHNLVSVVCYYGQHYHCFAYSHDHERWIMYDDKTVKVIGGWADVLKMCERGHLQPQVLFFEAVN >OMO86437 pep supercontig:CCACVL1_1.0:contig09481:9830:9895:-1 gene:CCACVL1_09593 transcript:OMO86437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRIKRDGIVGKYGTRYGAS >OMO58363 pep supercontig:CCACVL1_1.0:contig14245:18397:21533:-1 gene:CCACVL1_25476 transcript:OMO58363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MISLTQAPPLLHGRVHYLPTKRRTPFIVRSSSSVQEIDKSSRPPTTAEDSELRSELYASVPFPPIKAAKRVVLVRHGQSTWNEEGRIQGSSDFSVLTKKGEAQAETSRQMLFDDSFDVCFSSPLIRSKRTAEIIWGNRKDEIITDSDLREIDLYSFQGLLKHEGKAKFGPAYRQWQVDAANFNIDGHYPVRELWARARNCWDAILAHESKSVLVVAHNAVNQALVATAIGLGTEYFRGLLQSNCGVSVLDFTPRAEGGSPHICLNRLNQTPNSPVAAGSSAGRKASKRIILVCQGSTQDAEASFSNLGDLPMNMLGVIQAQKTAELLLDQKVSAIVCSPKNACAETASIISRVQEAADCLGADCVPRYVEMKKLQDLDVAEIIQRSLKDTNDGSSQRPGWLNGLNDGVTSTLWEQSGQAWSSLLTELSDGAEEEKIIVAVSHPAAHIALMGHCLNLTKEWLGTFHLDAGSISVIDFPDGPTGRGVIRCINYTAHLGRWSIPITRSTVDDDSF >OMO58364 pep supercontig:CCACVL1_1.0:contig14245:26097:27906:1 gene:CCACVL1_25477 transcript:OMO58364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MSSSDPKTRPKPGPWPPAPDAAPVPPSSWAKRTGFRPKFSGETNASDSGQIALPPRARENENQPDLEAGRARPTPPVANGEQPVTEKGPAAEKDQSVKKRREADGANKGASGASVNGHMGTNGAAETGSVPQPTRRPSRNEEVVDVLPQNVDDDGFVGRHSHMKYELRDTPGLVPIGLYGFQHYLSMLGSLILIPLVIVPAMGGTYEDTANVVSTVLFVSGVTTLLHSFFGSRLPLIQGPSFVYLAPALAIINSPEFQGLNGNVCFCLWL >OMO58362 pep supercontig:CCACVL1_1.0:contig14245:1542:2453:-1 gene:CCACVL1_25475 transcript:OMO58362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDIVSCFSENAVSTVSHSSCSSYPTNACISPSLVPSVQNAVTGIYKVVLSTEKQFLIKVTWCKNQTGQGLSINFGDESPSTCNFKLNTNLRFFRKKKGNKMIESDNSKIEVFWDLSTAKYDAGPEPVDGFYVLVMVDSEIGLILGDMGEETVTKKFKITKTPVAKVSLISRQEHCSGNTLYSTKAQFCDTGIVHDVLIRCSGEHEGLKYPVLLVCIDKKTVIRVKRLQWNFRGNQTIFVDGLLVDLMWDVHDWFFNPGNGSAVFMFRTRSGLDSRLWLEEKLLQKDQDRVEFSLLIYACKST >OMO97627 pep supercontig:CCACVL1_1.0:contig07222:16321:16380:-1 gene:CCACVL1_04500 transcript:OMO97627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPERPEIRTVLNELKTSQ >OMP09519 pep supercontig:CCACVL1_1.0:contig03178:814:873:1 gene:CCACVL1_01057 transcript:OMP09519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVDSGGLAVDYLEEMTH >OMP05614 pep supercontig:CCACVL1_1.0:contig05305:16277:21338:1 gene:CCACVL1_01861 transcript:OMP05614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MAGGGAPAKADEPQPHPPKEQLPNISYCITSPPPWPEAILLGFQHYLVMLGTTVIIPTSLVPQMGGGNEEKAKVIQTLLFVAGLNTLLQSLFGSRLPAVIGGSYTFVPTTISIILAGRFSDTSDPIERFKRIMRAIQGSLIVASTLQIVLGFSGLWRNVTRFLSPLSVVPLVSLVGFGLYEFGFPGVAKCVEIGLPQLILIVFISQYMPHVIKSGKHVFDRFAVIFSVVVVWIYAHLLTVGGAYNGKAPKTQLSCRTDRAGLIDAAPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFISVSRYASATPMPPSILSRGVGWQGVAILISGLFGTVNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGSGGLSFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTAINGYGPVHTGARWFNDIVNVPLSSEAFVAGCVAYFLDNTLHRKDSSIRKDRGKHWWDKFRSFKGDSRSEEFYSLPFNLNKYFPSV >OMP05613 pep supercontig:CCACVL1_1.0:contig05305:14786:15473:1 gene:CCACVL1_01860 transcript:OMP05613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLSISNALFKGSHIFTIGLDKGFKGN >OMO55060 pep supercontig:CCACVL1_1.0:contig14799:1943:8699:1 gene:CCACVL1_27416 transcript:OMO55060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAKASPILLIDEIVDDILERLPAKSLKRFKLVSKPWNSLISDPNFAESHLHRLQRDATVTPDKRLRIGQIYSKGGPQPSFSLYSMDTDGSNREIVKVDCDYGYARFHDPNVSILGSCNGLLFLSLDSNYILWNPTTSEFNYFRPGSSLREEPIWMISGLVYVSSSKIYKGITSSHHMSRMSSPHDDAGGYDVIYCYIYDFKQDFWSYKDLEEFPYRLHSSSSAIMVNGVPHWCVYRRNGNHEQYRVSYVIVYFDLETEEFKEVDLPEWAAEEVKFNLGILGGCLCMCLDSQGSSSGIEVWTMKEYGIVESWTKSFVVSSPFIKELRPLCFIDTRKNQVLMGVEEKNKTGWKLIIFNLIDKTEKVVLLVDKNVKLGGAVKKQVSLHHLFLYGHESGAEIGKDGDGTSQGRTTAHGDLASTLEDVINKMEARFQEMIQEQITRNENGFKQVHEAISVISATLGGQVQQQMVPHPMAAPYPMVVPHPVAAPHPVLAPHLVAAPYQVVAPHQVATLVQQPPEITEKRDQVQKHLVRKDANGGVCTKIRRYGYPNSETKPWEHQLERPWIPRSVALRNFAPRQKLEFSPPSAKQEMVRSKDPPPRKMARRRLDFDTIPTFQKENSAPSRQMAPRFSKPRELTHEKHSPYYGRKAWHMAPSTSSSAPSSSKMVSSVTKQFQKALKLDPSAKTPTRPRSTKPKHEARPWLDRWKEEFRALAMEKEVNLREERAVQSGRPKMVQPPPKAQNGVWQRVQHPKLPSQPIQGSKKTWRRKELRKRSKARKDMETNVDSALQKLTIDNTTSNTKDGDDEFGATNSSDGATTTTSNTTHVTNVTIDGMNESGDDDDTIKLSHKDGDGTLNDGTKINCTQDDGTSGDGTLNDGTKINCTQDDGTSGDGTLGDGTEIDGTKDDGTQGDCTESVYCTESGDEAIDDATSDNMNSSVGSNDYGDEQTNEFKVANEEVMLGGQLSNHPHFEEADGSTTYKEIEDPIEIKEHEDNLEIDNISEPNVQENENLHDEDEVDQVHVDLSQDQSETIHDIKAQIWAMLDKLESKDMKERQHVQNEGFNEITRRLEATPGGQRRWC >OMP03590 pep supercontig:CCACVL1_1.0:contig06034:755:3680:-1 gene:CCACVL1_02353 transcript:OMP03590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MRLMDVVTAYLYGSLDSDIYMKIPEEFKMPVAYTPRNLFSIKLQRSLYGLKQSGRMWYQRLSDYLIKEGYMNDPICPCVFIKKSETGFVVIEVYVNDINIIGTPYELSKAAGYLKKEFEVKDLGKTKLCLGLELEHKENGILVHQSAYTERLLRHFNMDKSHPLSTHMVVRSLDPQKDMFRPKQTDEDMLGPEVPYFNAIGGLMYLREFIKGDRTKHISPKFFHTHELQESRQVDVKQIRSSDNLADLFTKSLPTSTFERIVYGIGGVIIVRCTLFPLYSVFIPLGFTDLSTRFPLMANRDLNRSIDPTTFPTPQTLLHWLKPRLPSETVTLWGTKPGTKNVHNLWLELTLGESSILYNTNSPPICTTEMIFVEIIGNFNNNKKTLCQFRQLLSDGTMQPYNCPLSRRMKQGESPEVAAYRAIKEEFGSILQVEDVTQIVQMVPGKYKRVENEINSSTYPGLKSRYVMHTLFTYVEGLTAGEPFVTEVSEFGDCSEFKEFVDKALRVKRRNWIWRRFDEDSMNF >OMO69221 pep supercontig:CCACVL1_1.0:contig12089:15583:16127:-1 gene:CCACVL1_19599 transcript:OMO69221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKFPQLGRSGRSVVTVKNLKFGFGNEVAIRFKR >OMO69222 pep supercontig:CCACVL1_1.0:contig12089:17284:27465:-1 gene:CCACVL1_19600 transcript:OMO69222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHAQITQAVLKKNNEEHFQVQVIKQILRIEGIRYELRIIYAPVSASLFSFSPFSSSTLALSLPTVGEARA >OMO51428 pep supercontig:CCACVL1_1.0:contig15832:3537:5828:-1 gene:CCACVL1_29803 transcript:OMO51428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKFIDKKKAATFQLFARDSSDPNYSNAPGSDKVFVRVDNNPVSFNTVFDEENHYYGDTQYNDEEDSRFADAPDDDGETDDEYNRVSGNPSGAAIYEKGALPENVRREILELGFPDDGYNYLNHLREIRNTGGGSAFYPNPKFKPDQLPHDVKAYDASRVHISESKGDYNEKSIYNVASKTVNARMQKVVDPEVAVLLDDSDLSRFGSDVEDLEEDFVVRANTAEAEDDENDKNNKKLNLVEEPEVSNKGVKDVSDQEFARELKNHVVDEKPRLRRLLDEQFDILQLLEYGSDDDQYEGYMVEEEEFLADKLKNVLNENDMDELEHDDKYRAPADVLHGSERPKSKELQDSAADVLRRCAEYAEKYENECEDEKVVIVEESSDESEKFDCESIISTYSTLDNHPGKIEAPEVARKKKLAETVSGALSAKNKVISLRGKEKLPVDFLPNSRKTTTEKVKSAGSCKPEQLNRKPHGQETKEEKKERKVAVKAERREARMMKKAMKELYCSETQQALKVAAIAGPSSIRLM >OMP11195 pep supercontig:CCACVL1_1.0:contig01486:287:3267:1 gene:CCACVL1_00630 transcript:OMP11195 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter A, ABCA MSGPAFEAISLEMKQQEIDSRCIQIKDLHKVYATKKGKCCAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKSILTDMDEIRQELGVCPQNDILFPELTVREHLEMFAVLKGVEEDTLESAVTEMVDEVSSS >OMO99364 pep supercontig:CCACVL1_1.0:contig06909:9613:20703:-1 gene:CCACVL1_03839 transcript:OMO99364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPRVGKSVETRAPPAIVDEQRRLKSSTDKRVHEMLKELQSLRVFETYFGVDVKTGPNEVAHVYDSLFGQFDRNYNGTVDLEEFKAETKQMMVAMANGMGFLPV >OMO99363 pep supercontig:CCACVL1_1.0:contig06909:6657:6758:1 gene:CCACVL1_03838 transcript:OMO99363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLETHKASRKRKRKHSSSRGKRRSVGRKFNQP >OMO99362 pep supercontig:CCACVL1_1.0:contig06909:5415:5834:-1 gene:CCACVL1_03837 transcript:OMO99362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEVLDGATIVNFVEDEEAFSGSISDRFSLLDTDHDGLLSYAELLKELQSLRVFETHFGIDVKTDPKELARVYNSLFVQFDHDSNGTVDLEEFKAETKRMMLAMANGLGFLPVQMVLEEGSFLKKAVERESIKLALAA >OMO99366 pep supercontig:CCACVL1_1.0:contig06909:22698:23117:-1 gene:CCACVL1_03841 transcript:OMO99366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEVLDGATIVNFIEDEEAFNGYICDRFALLDSDHDGLLSYAELLKELQSLRVFETHFGIDVKTDPKELARVYNSLFVQFDHDSNGTVDLEEFKAETKRMMLAMANGLGFLPIQMVLEEGSFLKKAVERESTKLALAA >OMO99365 pep supercontig:CCACVL1_1.0:contig06909:21011:21556:1 gene:CCACVL1_03840 transcript:OMO99365 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine-rich protein MSAKTSFLFFALLAAVVLLISSEVAARDLAETTTEINNAGEVATETEVEDAKYGGYGNQGGYGGYGGRGGNGGYGGRGGYGGYGGRGGYGGRGGYGGRGGYGGGCAYGCCRSDYYGRGCRRCCSYAGEAVDAENHN >OMP04855 pep supercontig:CCACVL1_1.0:contig05606:6323:10352:1 gene:CCACVL1_02122 transcript:OMP04855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19/L19e MLERWRRPKSKPRPNADFARAVAAIGMFGFRNCCMQHRGFLFQCSKALHLRVQETTSCSSTSSSASVRHSLVQLSSALKVVLELGRFGIGSVTAIIVIVAIAKRLASSVLKCGRDKFWLDPNEINEISMANSSTRKGTWGSRLPIKILWMRIMRSSRSLFHQYRESKKIDKHIYHDLCMKVKGIVFKSKRVLMESINNSTAEKAREKTPSDLFEAKPAKNKASRECLDGKSQQVRN >OMP04854 pep supercontig:CCACVL1_1.0:contig05606:3557:5252:-1 gene:CCACVL1_02121 transcript:OMP04854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding protein MEPVIIKEEETVTFATGTAGLSSSASSSSSSNATPQPMEGLNEVGPPPFLTKTFEMVEDPSTDTVVSWSKARNSFIVWDSHKFSTTLLPKYFKHSNFSSFIRQLNTYGFRKIDPDRWEFANEGFLGGQKHLLKTIKRRRNILQSSQQQGGGACVEIGHFGLEGDLERLRRDRSMLLAEIVRLRQQQQHSRDQILAMEERLLSTEKKQQQMMTFLAKALRNPTFFQQFARNKQMKGVEIGRKRRLTATPSIENLQDESLTVVADTDQELATIESEIDTFLTSALDDESSTDINDPITSSVPTCSGTNLGSLNETTWEELMADDLIAGEPEEEIVVADQADLDVKVEDLAASPADWADDLQELVDQMGYLRSKP >OMP04853 pep supercontig:CCACVL1_1.0:contig05606:478:2667:1 gene:CCACVL1_02120 transcript:OMP04853 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGASGKWVKSLIGLKKTEKEDQEKVSGKGKKWKLWRSSSGDLGSSWKGFKGKFRADSEGSDSSPRTDAFSAAMATVVRAPPKDFRVVRQEWAAIRIQTAFRGFLARRALRALKGIVRLQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSIEGQAVQRMLDERRSAADILKEAEDGWCDSKGTLEDIKVKLQMRQDGAFKRERALAYSLAQKQWRSNPGSTTRTNNSVPYLKSQEFDKNSWGWSWLERWMAARPWESRLMEQSQADPSEATPPPSKNSDSHVGKNTRSSEPCSVKIRKNNVSTRISARPPHVGLATRSSSSPSSEFRFEESSASSSICTSNTPFSGNTLLASDRTTEESGNSRPNYMNLTESTKAKQKAGNQAFNRVQRQSMDEFQFKRSAVLYNGDSKSTAGSDPSVHMSRPLYQPTRLDKSSLKPRERGNCMYD >OMO71555 pep supercontig:CCACVL1_1.0:contig11600:5182:9612:1 gene:CCACVL1_18159 transcript:OMO71555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MGQSRFIVFHFVLLLCSTCFSSSFGDQNLDKIIELPGQPKNVGFNQYSGYVTVNEQAGRALFYWLTEAPSNRTPEKRPLVLWLNGGPGCSSVAYGAGEEIGPFRIRPDGKTLYLNPYAWNNLANILFLESPAGVGFSYTNTTSDLYTAGDNRTAEDSYVFLVKWFERFPQYKYREFYIAGESYAGHYVPQLSQIVYERNKGVENPVINFKGFLVGNAVTDDYHDFVGTFEYWWTHGLISDSTYKRLRVACDLGSSTHPSLECMNALRVAEVEQGNIDPYSIFTQPCKDAATLRQNMRGHYPWMSRAYDPCTERYSAMYFNRPEVQKALHANVTGIPYPWQTCSEIVGNYWADAPLSMLPIYKELIAAGLKIWVYSGDTDAVVPVTATRYSIDALKLPTVTNWYPWYDNKKVGGWSQVYQGLTLVTVTGAGHEVPLHRPRQAFILFRSFLENKPLPS >OMO71557 pep supercontig:CCACVL1_1.0:contig11600:18575:20381:1 gene:CCACVL1_18161 transcript:OMO71557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MYRFSNTVIGFLNLFTLLASIPIIGAGLWMAKSSTTCESFLQTPLLVVGFVILVISLAGFIGACFNVVWALWVYLLVMLFIIATLMGLTIFGFVVTSQGGGEEIPGRVYREFRLEDYSPWLRNRIKDPLYWNTVRSCLLNSKTCAKIQFWTPIDYLNSDMTPIQSGCCKPPTSCNYNMVTMVAQNPDCYRWNNAPTMLCYECDSCKAGVLESVRRDWHKLSVLNVVMLLLLIGIYCIGCCAFQNTKRAETDYPYGQNRMSKVRPRWDYYWWRWWHDRKEQLY >OMO71556 pep supercontig:CCACVL1_1.0:contig11600:13339:16376:1 gene:CCACVL1_18160 transcript:OMO71556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MSAHNSFKNSSSFSFLYVFFIATILLLPTVSFAADGGGRKERERDRIIRLPGQPPKVKFSQYSGYITVDQKAGRALFYWLMEVPVKSKPASQPLVLWLNGGPGCSSIAYGASEEVGPFRVRSDGKTLRLNPYAWNHETNLLFLDSPAGVGFSYTNTSSDIYTVGDKRTAEDAYKFLTKWLERFPQYKHRPFYIAGESYAGHYIPELSQVIVRRNKGVKNPILNFKGFLLGNPLIDDYYDNVGTHEFWWNHDGCSNAINNAFVEFGDINPYNIYGPACDGIASHTQNLGQVPLPFRYRGDDECVVKYTKQYMNNPRVQRALHANVTHVNYPWRTCRSAIRADWKDSPKSMLPIIKELIAAGIRMWIFSGDTDAILPLTATRYSIKALKLKTNTSWYAWIDDQSEVGGWTQIYNGLTYVIVRGAGHEVPLTQPQRALALFRHFLKNKPLPASASD >OMO91666 pep supercontig:CCACVL1_1.0:contig08317:44969:47547:1 gene:CCACVL1_07061 transcript:OMO91666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoribonuclease XendoU MDGLIKGLVNVAIDAVGGGRDEENETRDERSRSSWAQVVSGEQENDDRPHGHNNPPTQWRRQEESQQEQEGWEVSGSRPSRRPQKAKHEEYERNEGDRQDGYNYNQSQWNRKEEEKENNDGWETVGRKPQRQTHKVQKDNWHGYKRPASEQEYSDEVQTGASIEPSEEELSDLSQACNRLWELDLNRLVPGKDYQIDCGDGKKVYQKEDMAEGCLFNWLNEDVFRRPTFSRFCSLLDNYNPNAGCKEVVTSEEKQEQSAFIEEISRTAPIKYLHKYLSMKGIISENVQDFKRMMTKLWFDLYGRGGTSGSSSAFEHVFVGEIKQRGEQEVTGFHNWLQFYLEEAKGRVDYQGYIFPRRRGECPDSETQLLTIQFEWNGILKSVSSTLIGASPEFEIALYTLCFFLGGEENYVQLGPYSVNIKCYRFGDKIGSVFPVAEC >OMO91665 pep supercontig:CCACVL1_1.0:contig08317:15153:44340:1 gene:CCACVL1_07060 transcript:OMO91665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDQVAYLLQRYLGNYVRGLNKEALKISVWQGDVELTNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVSLDRIFLLAEPATFVEGCTEDAIQEAKKSRVREMEMRLLEKAHQLKSEMNKSWLGSLISTIIGNLKLSISNIHIRYEDLESNLGHPFTAGVMLEKLSAVTVDDSGKETFVTGGSLDRIQKSVQLDRLALYLDSDIVPWHIDKQWQDLLPSEWDQVFRFGTKDGRPADHPVEEHTYILQPVTGNAKYSKLRQNDYFDSNEPLQKAVVNLDDVTLCLSKDGYRDILKLADNFTAFNQRLKYAHYRPPVSVKSDPRAWWKYAYKAVSDQMKKASGKLSWEQVLRYTRLRKKYISLYASLLKSDLNRPVVDDNKEIEELDRGLDIELILQWRMLAHKFVEQQSMESENYLKKQKANQSWWSFGWGSQSLQDESESFRFTEEDWEQLNKIIGYKEDDVQQLMINKQPDLLEMFLEVHMKHNASKLLDGAYACLAELSCEGLDCSIKLYPETKVFDVRLGSYQLSSPNGLLAESATTSNSLVGVFHYKPFDAKVDWSMVAKASPCYVTYIKEPLDEVIKFFESNTAVSQTIALETAAAVQMTIDGVKRSAQQQVNRALKDHARFLLDLDIAAPKITIPTEFQPDGKHSTKLLLDLGNLVIRSQDDYARPSSKELDLYLQFDLVLTDVSAFLVDGDHHWSQTFMEKSAASANIDGVSFLPVIDKCGVILRLQQIRFENPSYPSTRLAVQLPSLGFHFSPARYHRLMQVIKIFQEDDNDSPDLLRPWNQADFEGWLSVLSRKGVGNREAVWQRRYLCLVGPFIYVLENPGSKSYKQYISLRSKQVYPVPAELVGDVEFVLAVCDAARSNSKMVEDVNALILRCDSEDSRKAWQSRLQGAIYHASGSAPITSLSETSSDSEIEPNDSNDTAELAKIESLFVTGVLDELKISFSFNRRHERSFIKVLLAEEYPLFEFRAIGGQVELSMKENDMFIGTVLKSLEIEDLICCNTVSRPCYLARSFIRSADAHSSMDDAGNQGVESNDVSPSEGEDKFYEAPESLVDSADCTTATPRKASGLVLQRFFSAKEPSFMAPSFSRVTGLLPDDNLLVRREDNELTDTLDSFVKAQIVIYDQNSPLYNNIDMKVTVTLATLSFFCRRPTILAIMEFANAVIIEDESCESFSDNSSSVGVKHDISGEDPADTQQSTSIEEPVVKGLLGKGKSRIIFNLTLNMARAQIFLMNENETKLATLSQEHLLTDIKVFPSSFSINAALGNLRISDDSLPSSHIYYWICDMRDPGGTSFVELVFTSFSSDDEDYEGYEYSLFGQLSEVRIVYLNRFVQEVISYFMGLVPKDSKGIVKFKDQVTNSEKWYTTSEIEGSPALKLDLSLRKPIILMPRQTNSLEYLKLDVVQITVRNTFQWFSGTKNDLNAVHFEIMTILVEDINLNVGTESDLSESIIKDVKGVSIIIWRPLRDLMHQVPNIEAAIKIEELKAELSNREYQIVTECALSNISETPHIVPPLNSDFLTSSVDVIEPLISQDMVGEHRTPDDETWTVMKVSVLINLVELCLYVGEARGSPLATVQASGAWLLYKSNTLGEGFLSASLKGFNVIDDRVGTEEEFRLAIGMPKDPLLSVSDTKSQLSNGSNTVGNDVKSFPTMLILDSKFGQFSTSVSVCVQRPQLLVALDFLLAFVEFFVPTVGSMLSKEEDKKSLHMVDAFILDKSTFIQPSAQFSLSPVKPLIADDEKFDHFIYDGNGGILHLKDREGFDLSAPSDDAMIYVGNGKRLQFKNVLIKNGQFLDSCISLGTNSSYSIAIDDLVYLGDSEGMPMDASRENANDMEPQNTAAERSSEFIIEFQAIGPELTFYSASKDMGESPVLSNKLLHDLRRELTISLVNSPSDKKKKSSLSWVILKGDTVEMTANALGLTMESNGIRILEPFDTSIKYSNASGKTNIHLSVSDIFMNFSFSILRLFLEVEDDILAFLRTTAKEMTVVCSQFDRVGTIINPNSDQIYAFWRARAPVGFAVLGDYLTPLDKPPTKGVLAVNTNSVRVKRPVSFKRIWPPLDSEGISNESEVKSYSLSSGKLGDGESSCSVWFPEAPEGYVALGCVVSPGIIQPSPSSTFCILSSFVSPCSLRDCITISNTNLYPSSLAFWRVDNSLGTFLPAESTTFSLLGRAYELRHVIIGSSEVYPKASRTSDFEASPSGRIHSRHSESSAVVNSGRRFEAVASFRLVWWNRGSSSRKQLSIWRPEVPQGMVYFGDIAVQGYEPPNTCIVLHDAGDEELFKAPLSFQLVGQIKKKRGIDNISFWLPQAPPGYVSLGCIAWKGPPKLQDFCTLRCIRSDMVTGDQFLEESVWDTSGTKFGTEPFSIWAVANELGTFFVRGGSRKPPKRFALKLADPYLHSGSDNTVIDAEIGTFSVALFDDYGGLMVPLFNISLSGIAFSLHGRPEYSNSAVSFSLAARSYNDKYESWEPIVESVDGFLRYQYDPNARGAASQLRFTSTRDLNLNISVSNANMIIQAYASWNNLSDVRQYQKSLESFSANHATRSVVDVRHKRSYYIIPQNKLGQDIFIRATEMRGFSDIIKMSSGNLKPIKVPVSKNMLDSHLKGKLCKKIRTMVTIVIADAMFPRVKGLTSHQFALAVRLSPDNSLPGESLLHQQSARTCGRVSSSLSSDMELVDWGEMFFFKVDSLNSYTLELIVTDLGKGDAIGYFSAPLNQIAIYVPDHSHQYNHINNFKWVDLSLNRTQPNKIEKNSSGKLRCAAILSPKLNIDESNELFLAGRKSGFIQISPSMEGPWTTVQLNYAAPAACWRLGNAVIASEVSVKDGNRYVNIRSLVSVHNNTDFILDLCLVCKASTEMMEPPTDISMHEGLLDDNRIQTDEFFETEKYDPHIGWVGCDAELNQTHGGRPQQATSSVELPSGWEWIDDWHLENSSTNTTAGWVYAPDVESLKWPEPNNSQLTSDSVRQRKWIRNRKQISLDAKKEIFVGQLRPGDTMPLPLSASTQSGVRLLLRPSFLDGSDKYSWSSVVGKPGQLEVSGNSEGVSEIYLSALTESEELLCCTQLSETSSSASVHKLWFCLSIQATEISKDIRSDPILDWSLVVNPPLSITNYLPLTAEYSILEMRTRGHFIACSRGIFRPGRTVNIYNADLCNPLFFSLLPQRGWLPIHEAVLISHPREIPSKTISLRSSISGRIVQLIIDQNYEKERVMMAKTIRVYAPYWVSVSRCPPLTYRLVDVGGKKHKRKIGFLLHSKTKKEGIIEEITDEEMYSGHTIASALNFNFLGLSASITEPSNEHFGPVKDLSPLGDMDGSVDVYAYNGDGKCMRLFISAKPCPYQSVPTKVITVRPYMTFTNRLGQDLYIKLSSEDEPKVLRASDSRISFVHCENGGTDKLQVRLEDTEWSFPVQIVKEDTIPLVLRRHDAMRTFLKVEIRGYEEGSRFIVVFRLGSTKGPFSTCVYVHVCIDLPKLNKTGLRTEPAGKHYAFANLDLVRSLASAGKPQINVTPVEIIIELGVVGLSVVDHVPKELLYLYLDRVFISYSTGYDGGTTSRFKLIFGHLQIDNQLPLTLMPVLLAPEQMSDLHHPVFKMTITMRNANADGIQVYPYVYIRVTDKCWRLNIHEPIIWALVDFYNNLQLDHIPQSSGVSEVDPEIRVDLIDVSEVRLKVSLETAPAERPHGVLGVWSPILSAIGNALKIQVHLRRVMRKDRFMRRSSIIPAVGNRIWRDLIHNPLHLLFSVDVLGMTSSTLASLSKGFAELSTDGQFLQLRSKQVSSRRITGVGDGIIQGTEALAQGVAFGVSGVVTKPVESARQNGILGLAHGIGRAVLGFIVQPVSGALDFFSLTVDGIGASCSKCLEILNSKSTIQRIRNPRAIHADGILREYSEKEATGQMVLYLAEASRHFGCTEIFKEPSKFAWSDYYEEHFIVPYQKIVLVTNKRVMLLQCSSLDKMDRKPCKIIWDVPWEELMALELAKAGSQQPSVLLLHLKDFRRSETFAQVIKCSVEEVEGIEAQAVKICSAVRKMWKAHQYDMNSIALKVPSSQKYVHFAWSESERKAPNAPKKSIIESRELSSSSSASKGTKFVEHSINFTKIWSSERELKGRCALCRKQVADDTGVCTIWRPICPDGYVSIGDIAHVGSHPPNVAAVYRNIDKLFALPVGYDLVWRNCPGDYITPVSIWLPRAPEGFTSPGCVAVAGFEEPEADLVQCVAETIAEETTFEEQKVWSAPESYPWGCHVYQVRSDALHFVALRRPKEESEWNPTRVRDDDLLLLPSSSEAR >OMO91664 pep supercontig:CCACVL1_1.0:contig08317:14511:14639:1 gene:CCACVL1_07059 transcript:OMO91664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVRGEDFPCIRLYVITKAYVSILRRNGYQPVSHRFYTVAC >OMO91663 pep supercontig:CCACVL1_1.0:contig08317:10831:11572:1 gene:CCACVL1_07058 transcript:OMO91663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYGPSRRPCFIEEDDGLASLADMEAGYSGSHYQSHNSNGFFSRSLCYSRRSSLRNLSSSSVSSPRSARFYDARFEEPQPHFLDACFLCKKPLGGNRDIFMYRGDTPFCSEECRQEQIEVDEAKEKNWNLSSSMKALRKKDQRKSTSPTKGYPFRTGTVAAA >OMO59250 pep supercontig:CCACVL1_1.0:contig14024:6992:10566:-1 gene:CCACVL1_24967 transcript:OMO59250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSDRISNGGGAIMLEKSETTDQSKEDVKDEEKIDQVINKRISKVKENNNGKSCSFLMPLHYPIFTKEEYEKMPLWRLDQLFGEYGLKVMEGDLDYKRKFAMAAFLWPTTPPKLRHRTADAAAAFSFALFLVFRSLLLSPDPPPSFPYSEKLNPAQNRRKGKTSCPSGAVPPWQSRPSGEAPLWQSRPSGEAPPWLRAKVKKWQRSKGKSVKSGTVNSKNRTNSVSKSTMDDLRQYIVSNHCDREDARLTYLRQNQISHRIEMQIWLKELRTIGNGERQGSVCINLSPQRPRSIGQIQHGPSIEDCD >OMO59254 pep supercontig:CCACVL1_1.0:contig14024:42967:54325:-1 gene:CCACVL1_24971 transcript:OMO59254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSQFMDKQIMDLTSSSSSSPPQNTNKDFIDLMNHPQNEDNRNQVSGISASNNGIITSKEEILPSYDFQPIRPVSTSLDSAGVNNNNNLRSWTSVDSKIKNYGSLDPLEPSKLVPVKDRNAFDTSIVAEIDRTMKKYTDNLMHMLESVSARLTQLETRTRNLENSVDDLKVSVGNNHGSTDGKMRQLENILKEVQTGVHVLKEKQETIEAQVQLAKLQVTKGDQPSETQNTVQQIASAPFQSHQQLPPAASFPQSLPSVPPPPPPTVPPPPPPTVPPPALPQQTLPPPVQHSNQFPQSQAPSVPQRDAYYPPPGQTQEVPNQQFPIPPTQQPQPPSAAPPHQPYQPVPPPQYSQPPQPHQIQPSLGHHHEEAPYAPSQTYPPNLRQPPSQPPSGPPSQQYYGAPPQMHEPPSSRPGSGFSAGYVPPSGPNEPYAYGGSPSQYGGGSPMKMPQLPSSPMAQSGGSGPSCYPQLPTARMLPHALPTASGVGGGSGPSGPGNRVPLDDVVDKVTTMGFPRDHVRATVRKLTENGQSVDLNVVLDKLMNDSEVQPPRVICVDVFLASQLLHLGRAWDFACCKEYGLYLYSNKDPMELKVSSPKPGGLSPPDCASEPEEKEVSDEDDDDRNHKHRRRETRSQSLERDSMDPVFARPYRKRNKTFENGHPFRGNESQAGGYSSLPLDKELTSKFDRRRPGVASLPRGIRSHQAFSGDSGPGRGRGRDGFWNQRDSRFNSVDIASQMVQPGSIAPGLFAGRGMPNVSNAQSPSWSPFGLVPGIPPGIPNGGLDALHPIGLQGALRPPMNSSLNMGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVAVPSAPLLATPAGPGPLSSGVPPSTINSKGVHSKSSKPGMPDDVLGLNGAAYTASTSASGADLYDPDQPLWNNNGPEASAALSGLHSPKIDESEALLNDDISDRHNSRIRDSADNELPIRSTLSQGNNMSVWGRIGSARSRVDTKEKFDVTPSDYLENETREQQEAFPNSQSSSRQVKRISTGDNGAKVMDSSVRNSRKSTQKALRTLFVNGIPLKSNKREALLSHFRKFGEVIDIYIPLNSERAFVQFSRREEAEAALKAPDAVMGNRFIKLWWANRDSVPDDGSGSGSGVSVTARGPSASAIPAQPSVANRGKDNFQPTAPKSNAVHGADVPSLNSPASTNGPKVPPPLLKKLETLLQMKEELRKKQEMLEQKRNDFRRKLDKLEKQSGGVKSDLLTEQAAKRLKVGTTADPAKVSTPRSSEPGASSGTPCTTGITDKNKSTDNVVSPSPKENTAMPVQDLSSKQQSRPPAPVGHPFQMNRYKLDNRPTAFRVIPPLPSGFADVEVLKEHFLQYGDLLSLELEDVEEDEMGSEDLKNCSALITYSTRRSAERAFVNGKCWQGNDLQFTWVTSSNSSNDPSSKETSSSTPKGPLDSDVQTENKLTCSVSQEVVASGKGESENSEGKSFVELIESPKVSQRSPSPTSREKESPKGDTS >OMO59251 pep supercontig:CCACVL1_1.0:contig14024:11157:12536:1 gene:CCACVL1_24968 transcript:OMO59251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSKRRSTALLLCQDQHQNPSSIRTGKNVKLLKKLNKKSDGDHKKPNNPRKPRTALSLLIDSNVVLPMAKVYYQNKAGVSLKKGRITRDGILCDCCLNSFGLTAFETHAGSTNHRPAAFIMLDDGSGRSLSDCHKQVDGSSTNFKKVSKVQINGQYNSSCGSESPDHVCSVCGDGEELIVCQQCPAAFHLKCVGLKQVPKGLWFCPSCCCGICGNGVEGCCATCRQCDRKFHSICLKMKTKSSEYWKNCQVNWFCSQSCENIFSGLENLSGNPIPISVDLSWTLLRSNNGDSDHDDKKKLSAALDVMHECFETSKDFYTGRDVAKDVIFGRESKLKRVDFKGFYTVILEEKGDVATVATVRVHDGKVAELPLAATRFSHRRRGMCRMLVDELEKQLRELRVERLVLPAVPSALETWTKLGFSKMTDEDKSSLLLNYTLLHFQGTIMCQKLLKTTHSPC >OMO59252 pep supercontig:CCACVL1_1.0:contig14024:25557:37757:1 gene:CCACVL1_24969 transcript:OMO59252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type MDSYTSLLEKTRVPQPSLQKFAVISIFSKLRTAPVHLGPDSEPGSHAISQCLQSSSPAVVDQSVRELCRLVLDSNLDLSRALLELQSALEGSDPKFVSLFVKSLGFLVRVGFERSYGSWKPESHEDHPFVKILSSRREVEPELVNQILLFMAKNKALGMVEVCEFLRPFVNFSVLSIPFSDASLFLFFRQLISSMASFCCAFPDEAMPVFRLLINCLKYFPRKSSEETRNFYSVAECVIDSFTVALRQLVGKGLLVSEAQLCGVELMENVLSLHASPHKQSGGTEPIVELLKHMLTAQKDLELQYIPELSSVILSLSVLLIESELEHEQLSILKFLHFLLKWKSETEYVVDRAEYFLSEELLIIFPIISLVSSPSKLVKGAASDLLVLLERLLLKLLTTPKIEIAKKRSDTSIGRPELIAYRLLQHLWFQDQFSLSSSFFLSFASCRETDVEEMDGRPRSWAYQLKEIALWIVESRKSGLPVPRSVENLLTEMPLLLGAIAAVLVMHPSLGSAAIDALASIGVMNPKLGVPLLLAILFYNNIFTRKEAIYLNMLPKLLGMLPSLASQSGMIPIVIQTILPMLHKDAKPVLYATATRLLCQTWEINDRAFGSLQGVLLPKGFTEFMSERNICISMAVSTRDVCRKNPDRGVDLILSVSACIESPDPTIQALGFQSLAHLCEADVIDFYTAWDVIAKHVQGYNEDPVLAYSVCLLLKWGAMDADVYPEASREVMKIVWSIGRSIRIGHELQWAKAKASAFEALTHYEVPTVVNNISNCKQLLMDLLLSETNPDVLKALEGLQVKIIRHEHSVRRRFAKEKRVPASKIEKLLDVFPQVIFSSAKKSNAGELPGVALLCGSFTSKDLRNQGSARGLLEDSHSGYEDTMAQIATSLQLSRNIFVALLSLQSWKAFVRRWMRANILSVGAKVSVIVSDKTSKAANDILKSMMRVAEESIPRSAENIALAIGALCAVLPPSAHTIKSTASKFLLGWLFQYEHEHRQWSAAMSLGLISSSLHVTDHKQKYQNITGLLEVLCNSKSSLVKGACGIGLGFSCQDLLSRVEATDDSNANEENHKMQEERLLGQVVRTLSLMLCPVANSSVNTLESLCADFPASIDDKDTCATAEMLYENCVDLEDDIWGIAGLVIGLGSSIGAMYRAGAYDAMLKIKDLIISWIPHMNSLAQNFGSASERSEVLLSVGSCLALPSVVAFCQRVEMVDGNELDHLVNGYMELISELLSVNKCGTFHKSLLMASTTGAGSLLAYILNEGVHFIEVERIKCLLELFRKCYLSPYPPIIHLGGMLGVINVLGAGAGNLVDYNPSNSSAHADYDQKEQSFISGPILANPACEEHSTSLIQEIFLIAQDSEDHQRQHYAAWAVSFLRDRLWSREILNSANSMQTEPAGSKSVSQGVPEDSAVMKLGLWIKSFNHSGVSLRINTRTVATILRCLSLAPRLPSFDWGAIVRRCMRYETQVPGLLVPDIASKEGTLREECLQFALVHAMQFDSLLTFLDELSDLSRFRTLELSLQSCLLSHLADLIKLFSGSRLEKLLDDVTNYLSSVMSDQVHNLEQKSSLRISCWKGLYHCLDEASLDSLEYIKNIERCMEMLFSLLPTPQATAFVGVYQLNSMEWSAAVRSLAKARQGWLLDFLQVSHLDSVQRDSRFVEVLKKIQAKAKLVRIGSIPQIELGKLKFHLLNTELPGTWGVLVEVVAALQHAERNVKRQWLVDAVQISCVSSYPSTALQFLGLLSGSCCKYMPFLILDQSSVLSDLPVTLTSLLSDPSWEVIAETFTSYLLTLTERLYSWASKLSSGDDTPSSHPIADSENYMAPFLLRVTHHTCVSLKDYLPLEKQLRLANMVLL >OMO59253 pep supercontig:CCACVL1_1.0:contig14024:41259:42013:1 gene:CCACVL1_24970 transcript:OMO59253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKRIEADLVTYKALICCMCRRGKIREAESLMEEMLKSGTRPDAQICRALMQCYCKQLDVDKAESLLKFFAQEFQIFDTESYNFLVGTLTEDGDFTRKNPKRDMGF >OMP12376 pep supercontig:CCACVL1_1.0:contig00055:774:962:1 gene:CCACVL1_00017 transcript:OMP12376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Protein CASP MDAPQAGSDRDKPNPSSSPVPVVSNFWKDRIWQ >OMO60114 pep supercontig:CCACVL1_1.0:contig13783:2327:7394:1 gene:CCACVL1_24387 transcript:OMO60114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLTDLINLNLTETTEKIIAEYVWIGGSGLDLRSKARTLSAPVSDPAKLPKWNYDGSSTNQAPGDDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPGNNRFNAAKIFSHPEVAAEVPWYGIEQEYTLLQKDVKWPLGWPVGGFPGPQGPYYCGVGADKSFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPTVGIAAGDQIWMARYILERITEIAGVVLTFDPKPIQGDWNGAGAHTNYSTKSMRNDGGINVIKKAIENLGKRHKEHIAAYGEGNERRLTGRHETADINTFSWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >OMO60118 pep supercontig:CCACVL1_1.0:contig13783:49551:54145:-1 gene:CCACVL1_24391 transcript:OMO60118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEFDAVPSTSEAILDEGRGDYLCNTIKFHFLETLEEWRTEDPFQRNSKVPVGDGIEKLSTASKTSKPR >OMO60117 pep supercontig:CCACVL1_1.0:contig13783:46380:48980:-1 gene:CCACVL1_24390 transcript:OMO60117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGTTSRAARRMGAEDDKLVFETTEGIEPISSFEQMGLKDDLLRGIYDYGFEKPSAIQQRAVMPIINGRDVIAQAQSGTGKTSMIALTVCQVVETSSREVQALILSPTRELASQTEGVIRTMGNFMNIQAHACIGGKSVGEDIRKLENGVHVVSGTPGRVCDMIKRRTLRTRAIKILILDESDEMLSRGFKDQIYDVYRHLPPELQVVLISATLPHEILEMTSKFMTDPIRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTDRMQRNNFTVSSMHGDMPQKERDTIMGDFKGGITRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIKILRDIEQYYSTQIDEMPMNVADLI >OMO60116 pep supercontig:CCACVL1_1.0:contig13783:32052:44636:1 gene:CCACVL1_24389 transcript:OMO60116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQAATSAFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMNLNHKNIVKYLGSSKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDEHPPIPDSLSPDITDFLRQCFKKDARQRPDAKTLLSHPWIQNCRRALQTSLRHSGTLRNISEDAAADGESSNGDNQSAGESLPVEKAEASETSSRKDLLSADIPDRSKSDHDHSADNNLPQERIDNADNDLLSDQVPTLAIHEKSSLQSSSGRLSVKNVVAALGPHVQDISHQDEAMMNGDVVSPESKRKHADKRQGGKGGSIDFESKSFGFGARTQDAAQQKATKSSVASTGNELSRFSDPPGDASIDDLFRPLDKNLEEKAADASTSASTSNVNQGIVPDAGKNDLAKKLRDTIAKKQMEEEMGQSNGGGNLLRLMMGVLKDDVIDMDGLVFEDKLPAENLFPLQAVEFSRLVASLRPEEPEDAIVTACQKLVAIFQQRPEQKIVFITQHGLLPLMELLDVPRTRVICSVLQLINQIVKDNTDFQENACLVGLIPLVMSFAGPDRPREIRMEAASFLQQLCQSSSLTLQMFIACRGIPVLVGFLEADYAKYREMVHLAIDGMWQVFKLQRSTPRNDFCRIAAKNGILLRLINTLYSLNEATRLATISVGGFSVDGSAQRPRSGPLDSSHPLFLQNETPLLTGAQETSRASTSHSQRSDTNLPDARYLAVDSDRPQSSSGALDVSVGSKLADLTSLEKATNIATRDSSTISKERENMDRWKLDPARISNSVNRTSTDRPPKLIEGMSNGFPASTTTQTEQVRPLLSLLEKEPPSRNFSGQLEYVRHLPGLERHESILPLLHANERKTNGELDFLMAEFAEVSGRGRENGIVDSAPRVSHKTVSKKVGQLGFSEGAASTSGLASQTASGVLSGSGVLNARPGSATSSGLLSNMVSTMNADVAREYLEKVADLLLEFAQADTTVKSYMCSQSLLNRLFQMFNRIEPPILLKILKCINHLSTDPNCLENLQRADAIKYLIPNLELKDGPLVSQIHHEVLNALFNLCKINKRRQEQAAENGIIPHLMNFIMSDSPLKQHALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDELWSVTALDSIAVCLAHDNDNRKVEQALLKKDAVQRLVKFFQCCPEQHFVHILDPFLKIITKSSRINTTLAVNGLTPLLIARLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRSGGQVLVKQMATSLLKALHINTVL >OMO60115 pep supercontig:CCACVL1_1.0:contig13783:16084:29386:1 gene:CCACVL1_24388 transcript:OMO60115 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MYARRIKGRSRLVFQQYRHIVRQQFQDHACYRSLNCPNAVRTGCSDGSLIRPDSFYTRAIAPAFTYTGLHGRSAPCLGNHQLRLYSSKGDGRNASEDNSRPANDCANFDKGKTQTEKVGEDVKPSDAHAQLGEQDQKEWLDNEKHFLEIKKKESPFLSRREKFKNEFLRRVVPWEKIHVSWETFPYYINENTKNILVECVASHLRHNELTGSYGGRLSSSSGRILLQSVPGTELYRERVVRALARELQVPVLVLDSSVLAPYDLGDDCSSESESDDDNLESAAECTSESEIEDENDATNEEDWTSSNETRTDCSDLDEVAATAEAALKKLIPCNLEEFEKRVSGESESSESSKSEADESADKAKLKKGDRVKYVGSNVQKDGKRHLSSGQRGVVYEVNGDSVAVILDSSSDDKAKEEQKDEKSTEQSANPPVCWLDVKDIELDPDSQAEDCYVAMEALCEVLDSMQPLIVYFKDSSQWLSRAVPKSNRKEFVRMVGEMFDKLSGPVVLICGQNRVETGSKEREKFTMILPNFGRLAKLPLPLKRLTEGLKATKRSDDDEIYKLFTNVLCIHPPKEEDLLRIFNKQLDEDRRIVISRSNVNELHKVLEENDLSCLDLLHANTDGVILTKRKAEKVVGWAKNHYLSSCTRPSIKGGRLCLPRESLEIAVLRLKEQETVSRKPAQNLKNLAKDEFENNFVSAVVPPGEIGVKFDDIGALEDVKRALNELVILPMRRPELFTHGNLLRWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAGNRMKILKIFLAQENIETNFSFDELANATEGYSGSDLKNLCIAAAYRPVQELLEEEKTGGKNAVLRPLNLDDFIQSKAKVGPSVAFDAASMNELRKWNEQYGEGGSRRKSPFGF >OMO60119 pep supercontig:CCACVL1_1.0:contig13783:64624:64788:-1 gene:CCACVL1_24394 transcript:OMO60119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKLSMEPPGLQAPAGRQSQPYPNSLVGSIVNRPPMQSPRHVSVEQWAAARAG >OMO50835 pep supercontig:CCACVL1_1.0:contig16047:7299:7945:1 gene:CCACVL1_30219 transcript:OMO50835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MGSVEQIEAAPKLEDEKLKQEPDEDDESELSPREEFFGYRSEPLTVTQITVQVATLPIGRFMASTLPTTKFRIPGFGSREFSLNPGPFNMKEHVLITIFANAGAAFGGGTAYAVNIVTIIKAFYGRSISFVSSWLLIVTTQVRIYIYAISYILYIYIYIYIYILYILVKVMLKRKESS >OMO50834 pep supercontig:CCACVL1_1.0:contig16047:1007:1129:-1 gene:CCACVL1_30218 transcript:OMO50834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARQSRANYYAACDTKRKRSNRVLTVIWRATTTKAARSL >OMO71434 pep supercontig:CCACVL1_1.0:contig11641:2796:2873:-1 gene:CCACVL1_18219 transcript:OMO71434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPATSHKTTLAPALYIHYGGRKSR >OMO54948 pep supercontig:CCACVL1_1.0:contig14847:111441:111557:1 gene:CCACVL1_27458 transcript:OMO54948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNGSPLGPSGLRSQNARKAWSLVELERSRLSTHSKPS >OMO54944 pep supercontig:CCACVL1_1.0:contig14847:24851:27037:-1 gene:CCACVL1_27454 transcript:OMO54944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MLANNTCSSVPSSEPFWCIENGNSNNNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVRKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACHMGRIRSESQALQPAACLSRTASSPSPSSDTNFSTAPWPNLMLSKTKTCTETTILLSPTITKDHNSSPKNAHHHNLDLQLLTTSNPSEATVSPRKDGHNHSTQLLQLSIGSCDIGEKFEQSTVTCININKEPIIKKSAASHEKPARQQAREQLRLAMAEKVYAEEARQQAKRQIELAEQEFANAKRIRQQAQAELDKAQALKDHAIKQINSTILQITCHACKQQFQARTPPEENSLVLSYSATTEGEVERDKSCKGY >OMO54946 pep supercontig:CCACVL1_1.0:contig14847:43429:43554:1 gene:CCACVL1_27456 transcript:OMO54946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFLGFGKTERRENYLKEDSSPAHPLHVSPLVEEEKAGR >OMO54945 pep supercontig:CCACVL1_1.0:contig14847:35814:39937:1 gene:CCACVL1_27455 transcript:OMO54945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKSRMCSVKKISRDARGAGRISQLPRRLGHHVGRPGTIGIQHQRCLVGCPCWHSCCTFMPINPPNFLKTANPKPTLVISFTDKLSTKPDANNNKAN >OMO54947 pep supercontig:CCACVL1_1.0:contig14847:52235:58015:1 gene:CCACVL1_27457 transcript:OMO54947 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MREPRALRAAARRGPLDRLREQEAGGEAYLDNLRPRLNREIHDIVEMQQHYDLEEMLQNALKAEGQVKKNSSAKKSFTSSSRSWKTPYKKDERMPYKEKERFGHYAKDCINKKVMYFNQHGELLSEEEGDFKLDSSGDGDDDMDDDKAAIDDDDDGEEPAYRTNPDETKELEKQVGELLEKGYVRESLSPCVVSMLLVLKKDGTWRMCLDCRAINNITVKYHHPIPRLDDMLDELQGACVFSKIDLKSVYHQILMKEGDEWKTTFKIKLGLYEWVEKLYANLKKCSFCTKRLVFLGFVVSAQGIEVDEEKIKAIKDWPIPSNIGQVRSFHGLDGFYRRFVKDFSTLPAPITSVMKKNAPSECGPKQQEAFETLKTKLTNVPLLVLPNFNSTFEIECDASRKAEFVKDLHEKVRANIEKKTQHYMRMPNKGRKEVIFEPGDWVWLHLRKVASSISFSSKIRTFAALASMANHRPNDRRLWTVEEERALLQCMTDIVEQGAINIDIDRLVTMMGHKMPNCGLRKVYIHSKVRCLKRHYFAIDKLLQAPYAFTWDKENHKSIGDQAAMDMWSQGNNPYGGWVRNKPFLWFLELQYVFSKQLEIFPRSQVQEDDDGANVEGENDD >OMO63617 pep supercontig:CCACVL1_1.0:contig12930:12969:13079:-1 gene:CCACVL1_22379 transcript:OMO63617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSIASFCKPTLLTSKALYIPDMNPYPIQNLSSPQLM >OMO72359 pep supercontig:CCACVL1_1.0:contig11460:9762:15179:-1 gene:CCACVL1_17844 transcript:OMO72359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKTTLEYIDSINNKDVKDDYVKVQLEALAVIGD >OMO72358 pep supercontig:CCACVL1_1.0:contig11460:1143:9057:1 gene:CCACVL1_17843 transcript:OMO72358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKSTCDSSRRHYLPLPKKNSRLWSSKSWISQVSTFTQLGILKKHSKVLCISAGTGHEVMALSKMGMDDVTDFVSIRKTDGSRVSLKSFNVVKAACEEGSIGGISKVKV >OMO72361 pep supercontig:CCACVL1_1.0:contig11460:20850:20912:1 gene:CCACVL1_17846 transcript:OMO72361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGIEREISKSKKREDIKN >OMO72360 pep supercontig:CCACVL1_1.0:contig11460:19558:20037:-1 gene:CCACVL1_17845 transcript:OMO72360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRYDQGTVTVKVNRNHRHEWAQISVWQEGKKGVRKEIYLIQCPVDTPLLESDGEDEVGGEGDNGVPELLGGCRSDGVPEPLGVGCVGFGVEGLGLGLGGEGLEDGGGGGE >OMP11006 pep supercontig:CCACVL1_1.0:contig01718:961:1059:1 gene:CCACVL1_00732 transcript:OMP11006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDMPYPPGVEAPVVEPVGEIGSPDEIESER >OMO73619 pep supercontig:CCACVL1_1.0:contig11207:2463:13519:-1 gene:CCACVL1_17199 transcript:OMO73619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin MATNVLASCSSLISSKEVSWHATPFEERLKKALSYESHLSEEPTTRHSQEYVSYVYHSLYAYFDRDNVALKGLAK >OMO62866 pep supercontig:CCACVL1_1.0:contig13136:19001:19087:-1 gene:CCACVL1_22601 transcript:OMO62866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLVMEAGGGGYGGGDGGNGCDIGHTTDA >OMO58044 pep supercontig:CCACVL1_1.0:contig14281:8099:8167:1 gene:CCACVL1_25605 transcript:OMO58044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWELGKDRSKSSGERQAGDFD >OMO58046 pep supercontig:CCACVL1_1.0:contig14281:16984:17333:-1 gene:CCACVL1_25607 transcript:OMO58046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNTVAENDITICISITKEKANPAVKANWVEIIRAEVETGKRATP >OMO58047 pep supercontig:CCACVL1_1.0:contig14281:17447:17722:1 gene:CCACVL1_25608 transcript:OMO58047 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative purine transporter AIPKEANEYELGKSTFYVVLVFNAVLWQFFFIGAVGVIFSGSSLLSGIIITALLVTESLVVLFYYEKFQVEKNSNLWKQNWPKQLLSLHQIR >OMO58048 pep supercontig:CCACVL1_1.0:contig14281:21509:30993:1 gene:CCACVL1_25609 transcript:OMO58048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDRQRSTIGIVRSNSQIATQIAIYACIAWPTTTTISAASNGGPFTSVDNYLIDCGANSTPTLPDGRVFKTNEQASKFLNAQGTVQLSGSTRLDSGLIEANVVTKYRR >OMO58045 pep supercontig:CCACVL1_1.0:contig14281:9886:10047:-1 gene:CCACVL1_25606 transcript:OMO58045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPTRRARSLFDKRYNSFSSEQLKPPAAAAKRGTKATRKPQELHGNHYKQIP >OMO96125 pep supercontig:CCACVL1_1.0:contig07521:10251:10778:-1 gene:CCACVL1_05067 transcript:OMO96125 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribonuclease BN MQCAALKVLVDVYINQNQRSPELLEAIANMSESNQMIFDHMVKLSHMCKATSPSLNILKPIQEADKEDEEDNIMTLDEDNHSHETTHKNEIVEAISTNNVLVESEENVISHERRVEMEHNVEIIEESPSIECENAKVEEEVDSQAMIENLDFEEPDFLGIEAFLQPRSHGIPNDK >OMO77769 pep supercontig:CCACVL1_1.0:contig10713:901:3330:1 gene:CCACVL1_14836 transcript:OMO77769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRARNLSPSKLKSLCSLSVFFEEAFTSSTTAKTSLPLLPTKPQISNRLPHLLFFTNHFSSQSTATPSPPKRHKKQQQKPEPSSSKSLTLNRDGNYDDATPNNVVCPGCGVHIQDSDPKAPGFFIKPPTKTKDPMLKIDSRYLVPVSTEPEFTVFLKKGMLLEEKGESPDREQENLEKEMPEKPVVCARCHSLRHYGKVKDPTVENLLPEFDFDHTVGRRLASVSGARSVVLMVVDASDFDGSFPRKVAKLVSHVTEENYTAWKQGKSGNVPRIVLVVTKIDLLPSSLSPTRFEHWVRQRAREGGASMIAKLHFVSPVRDWGLKSLVEDIVEMAGPRGTVWAVGAQNAGKSTLINAIGKCVGGKIGFLTEAPVPGTTLGIVRVEGVLPGQAKLFDTPGLLQPHQMTTRLTREELKLVYVSKELKPRTYRIKADHTVHIAGLMRLDIEESSVESLYVTVWASPYLPLHMGKTENAQRMLEDHFGRQLQPPIGQERVKELGNWVRKEFHVSGNSWDSSSVDIAAAGIGWFAIGLKGEAVLGVWTYDGVDVVLCNALIPHRAQIFEEAGFTVSKIVSRADQTLNKSKKQSEKKKKQSDKKTTIAAEC >OMO77772 pep supercontig:CCACVL1_1.0:contig10713:20039:20737:-1 gene:CCACVL1_14839 transcript:OMO77772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AMIQVLVEEEEATKEDLSCAHGHGG >OMO77770 pep supercontig:CCACVL1_1.0:contig10713:4227:4757:-1 gene:CCACVL1_14837 transcript:OMO77770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISPQPNPCSVRLQPKNNHFPLPSLVSIGTGKHVSHIAVISTVNINPVLQESPSRNGKLFPDQAYEVKSGYLSTKHKDDEALGKEVEGIICRLRVVVERIREMEIEKLMGRFKGTNTMSDEDRLLVENTSKEIVDKFLVRPIQYLQSVNGDFEQKLKDLNLLIRMLEKSCLECQR >OMO77771 pep supercontig:CCACVL1_1.0:contig10713:7009:19238:1 gene:CCACVL1_14838 transcript:OMO77771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein MAPSRRKGASKAAAAAAARRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYSSDWKKVLVYFFGTQQIAFCNPADVEAFTEEKKQSLLTKRQGKGADFIRAVQEIIDSYEKSKKQDEVVDYNSADRVAEAKCGNSADSSASKDLNETHEATVELRIKTSNADTNQSDPSVATEVAPAEAKTEALHEKEFIAEQPPDKVLVKETPVLTTYSSRKRSGGLRSQKSASQQKAPPVRRVRSSSRVESSRFQNFMTSNDVTSGADVSANGIHDVSLRKNKRVRKSTDASESDDVDSSVLLSNGSTGDNGSEIATIDSDGVSLNDGSTMDSSCKPEHSETVVECSEGDVELCKGLDFQIKAVVIKKKRKPLRKRVNHDSAEPSVRMDAEADVDLGANSSRQNLRNMNESSNERYAKDDGDEHLPLVKRARVRMGKLLATDEELTSSSPTEEKPVNEGAVNSFEQMSPSSSCRNDSPADRDSLARGALVSASPSKVETQVHESRLEPWKVMRNQLGCLAGGEAALPPSKRLHRALEAMSANAAEEDQACAEHSPAMKLDDRCNGSPIRSCSHATVDDKDTNGLEQCNVDLPANSDSGILSTSNPTPLEILAQSSLEPDICNESAKIPTNQKHEFHKDVSECVNHFSHDTDEDQNLEHKNRAIFRPTCDSSDEQLPSKDDSDAEPAGMSNFRAENPDEQFNMSEHDMNSDPVAGTEKNGKISPQDVSNACQCTTEHTSREKSDSAKSQTDESSLVNSMSEVPKELQPEQNEKATSSLICDANSEKDVVVVRLSPSSADRVDSPARVSPSNASICHTSTSESANIIRSNGYCSPNIHSSHNKSLYSSVADDEGKADTAASERPKSVSKCNNYTEAHAALASFENMLGTLTRTKESIARATRIAIDCAKFGVSAKVLEIVSRSLERESSLHRRVDLFFLVDSIAQCSRGLKGDVCGIYPSAIQAALPRLLSAAAPPGSSAQENRRQCLKVLRLWLERRILPDSVIRHHIRELDSLSASSSGGAFSRRSARTSRTERSLDDPIRDMEGMHVDEYGSNSSFQLPGFCMPRMLKDEDEGSDSDGESFEAVTPEHCSRTPDEQETNTANEKRRHILEDVDGELEMEDVAPEIEMSSTGGIGINIAQTSHDQNPPLSFAPPLPHDVPPSLPPLPSSPPPPAPPPPPPPPSIAPHCPISDPFASGVDSAIHTNIHVRSPCLQDATVPPSVAPRINSTMCNNAVPYHGPESRDHPCPMQVSDCNTSFNGYPVHPVNNIQQLDGPNFHHNSYPPRPPHPSQTSQFSYVNSGQHHMNSMRDAPPPPYPNRYYSPNVDGGNYYNSHERMKLAPNEPRESWRLPPPPFSGPRYDDKIKASYGHGSYGGPQCEPTRMPNQGWGYHPPAMNHRNSFPVRPPPEGAVPVGSREMGASESVPQKSIHEFTVKNSKGQDVDLSIYKGKVLLVVNVASKCGFTDVNYTQLTDLYSKYRNQGLEILAFPCNQFLKQEPGSSQEAEEFACTRYQAEYPIFRKVRVNGPNTEPVYKFLKSSKSGFLGSRVKWNFTKFLVDKDGHVIGRYGPTTAPLAIETDIKKAMEADT >OMO75846 pep supercontig:CCACVL1_1.0:contig10958:2283:2504:-1 gene:CCACVL1_16004 transcript:OMO75846 gene_biotype:protein_coding transcript_biotype:protein_coding description:A-kinase anchor protein 3-like protein MSPPSTKPSSAASTLTVNASSKPRQNPRYLLYQQEPETRNLETPKKSSRSSPRDRKYFNSGGKRHRPLKLSSS >OMO75847 pep supercontig:CCACVL1_1.0:contig10958:12773:14924:-1 gene:CCACVL1_16006 transcript:OMO75847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKISCLDVDSPPVRPCIFKAGKLILGATTDPAVVEENEGKLAKVLDVYEARLSQ >OMO75848 pep supercontig:CCACVL1_1.0:contig10958:76062:76520:1 gene:CCACVL1_16007 transcript:OMO75848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTKRIVTRRKASMPILQSDIYKLLGKELAMELLATELAKTVAVGPNLDESKIHKRPSIRNEPAKRKASEPNLESEIYKRLRSIVVTEILERVPGHPIFAYLPKDLLMEILARLPTKSVAKFRCVCKYWKSALTSPELITKQAILAQKNSQ >OMO69498 pep supercontig:CCACVL1_1.0:contig12027:20328:25581:-1 gene:CCACVL1_19464 transcript:OMO69498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETSSQKTRQPSLPLSLRTSITLRHRLPRSDQTRALTSPKQPPFTQRHETRPL >OMO69499 pep supercontig:CCACVL1_1.0:contig12027:28761:29060:1 gene:CCACVL1_19465 transcript:OMO69499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPDGWINIDYGNEAEITEGNGLRWSTDESFTKVGINKRLPALQSLIEMSTLLYFPNSSDQNCYTIPTDPKIIKYLIRVGFFYGNYENLIILQRSIFSST >OMO69497 pep supercontig:CCACVL1_1.0:contig12027:10542:10850:-1 gene:CCACVL1_19463 transcript:OMO69497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISYMVEETRVYVNQLQRRIEELRRRRLLDQEANRATSETITSPILNIVELDSSMKVHLITRSNVTFTLSDIVNILEEEGAQVLNLSYNNTGDINILSIHCQ >OMO94161 pep supercontig:CCACVL1_1.0:contig07953:25659:40676:1 gene:CCACVL1_06136 transcript:OMO94161 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-octaprenyl-4-hydroxybenzoate carboxylase MAFVSNSQGMEAFYVFIVDMQSVCMILLVKLYKFSLKIRIDHINELDRVPVVPRMKKNCDLDRSNRIADPKGDSSPFTEKLAQDPRFILALTISPKLGPFETFLGATQAAFFPVSASMFPNQRRAAKTSASVAVQSIIEPSFSDESIPVLYLYAILFSFPFSPVNPRPSSFLLTKTPLPPTSTVSSSFSDHHLLLVAVTFSAGTSIGNRLLHQHLIRLLN >OMO94160 pep supercontig:CCACVL1_1.0:contig07953:12187:14534:-1 gene:CCACVL1_06135 transcript:OMO94160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGGSSYYNPPTQGTPVELLDLNIAVEEGFHTQIPDLNIGVEEGQTFMLPSNNSTQIPPENGSNMEEADDISIEESNSNMEEADFDMKDADFDAKEAYFDFVKAGFDMEEANYDIEETDFDMEEAEVQGSSHKSDQFREIPLSRRSTLMSMADALKISKTCHRLLKIRAILRHSSPLKLIFTPENKIVRLRFCLSMLESNDSTFKGIHDTAHIDEKWFYLTRKFANYYLLPDEEEPYRTCKSKSFIPKGIFLAAVARPRFDAAGNVLFFGKIAIFPLVTKEPAKRSSVNRPAGTLETKPITTVNKDVMKTFLIDKVLPAIREKWSRDDMRSPIYIQQDNARPHVKFDDDDFKKAVKQNHFNVILTNQLANSPDLNVLDLGFFFCAIQSLQQQAATPKTIDDLIMAVENSFEEFSPMDSDKNFFIFTKLYEGNYEGERL >OMP07926 pep supercontig:CCACVL1_1.0:contig04124:341:846:-1 gene:CCACVL1_01190 transcript:OMP07926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPIFGRIWPVGKAAIRLNPRTTWTTGTFASSELEPCRVCGITGGAGFYVGKPVRPKAIFHSRESLTLEVQGTARSKLSVHTACIGTLRSLSAVLDAFGSLRRRLIDTKLLPGAPQPSRTHSSRNTLCRLESRSAQ >OMO88060 pep supercontig:CCACVL1_1.0:contig09111:9593:10067:-1 gene:CCACVL1_08561 transcript:OMO88060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGIYAVSAQTVANKLASIFLDAGFSLNEHDYNLSTKLDDRYEIVAWLLFAIF >OMO88062 pep supercontig:CCACVL1_1.0:contig09111:29537:29779:-1 gene:CCACVL1_08563 transcript:OMO88062 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger protein MEVVPHALVVEEETCTHKVVEEETCTHKAVVEKEKVVEETCTHKVVEVMSIYKHLQTLNLHCWQQLQWPKPLSFGAKTDP >OMO88061 pep supercontig:CCACVL1_1.0:contig09111:10755:10952:-1 gene:CCACVL1_08562 transcript:OMO88061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKNVPKRYLKKKLVINLISIAPPASPAMVLDIIPRCTLQADMVIQDSVAYSLAMELNLTLEAQ >OMO98076 pep supercontig:CCACVL1_1.0:contig07166:27082:28996:1 gene:CCACVL1_04347 transcript:OMO98076 gene_biotype:protein_coding transcript_biotype:protein_coding description:CHD5-like protein MAAPLIFFIVIIFQFATKRLQDLKKGASKTDKEIQLRGEIKQLLKEAASYSQPSTFAQAAKLRRTAAAKEKELANYQAQRSQEVKMSYDSYLKGLFILKVVIHLMLIIWFWRSPVAFVSQQLVQPFGLAGLFVDSSSRTRVATMWFLDAVRYTADS >OMO98074 pep supercontig:CCACVL1_1.0:contig07166:13814:15124:1 gene:CCACVL1_04345 transcript:OMO98074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor eRF1/aRF1 MADHETDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGIDDTLKALETGAVETLIVWENLDINRYVLKNSSTGEILIKHLNKEQEADQSNFRDPATSAELEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDIRSFDEVSDDGEVYEDSD >OMO98073 pep supercontig:CCACVL1_1.0:contig07166:209:11615:1 gene:CCACVL1_04344 transcript:OMO98073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQMKVAQAVHVLNHDTESCNRVAANQWLVQFQQTDAAWEVATSILTSDHRPFFSDFEVEFFAAQILKRKIQNEGYYLQVGVKDALLNALLLAAKKFSSGPQQLLTQICLALSALILRSFEHGKPIEQLFYSLENLRTQNDGNVAVLEMLTVLPEEVVDTQTTNSNISSSHRSQYGQELLSHTPTVIEFLLQQSENNFETGVQVNERNRKILRCLLSWVRAGCFSEIPQGSLPTHPLLNFVFNSLQVSSSFDLAVEVLVELVSRHEGLPQVLLFRVPLLKEMLLIPALNGGDEKVIAGLACFMSEIGQAAPSLIVEASAEALALTDALLSCVAFPCDDWEIADSTLQFWSSLASYILGLDVNGTNRKNVEGMFFSVFSALLDALLLRAQVDESTFNDESGTFELPDGLVQFRTNLVELLVDICQLLRPATFVQELFFGGCFSTTLAIPWKEVETKLFALNVVSEVVLQEGQTFDFSVVMQLVTVLSSRPRDELKGFMCIVYRSVADVIGSFSRWISALQANVRPSLLFLATGISEPLSSNACASALRKLCEDVSAVIHEPSNLDILMWIGETLEKRCLPLEDEEEVVSAISLVLGSISNKELQNTLLARLLSSSYEAIGKLIEDNDNHSLRQNPAVYTQILSFATRGLHRMGIVFSHLAMPMPCEPSTDNPILAVLGAFWSMLEKLFRSEHMENSSLSTAACRALSLAIQSSGQHFEMLLPKILDCLSTNFLSFQSHECYIRTASVVIEEFGHKEEYGPLFMSTFERFTHASSVLALNSSYVCDQEPDLVEAYTNFASTFIRGSHKEVLAASGALLEISFQKAAICCTAMHRGAALAAMSYLSCFLEVGLASLLESTTFSPEVSFCATAIHVISHSGEGLVSNIVYALLGVSAMSRVHKCATILQQLAAICCLSERTTWKAILCWEILHSWLQSAVHALPAEYLKQGEAQTLVPVWVKALAAAASDYLESKSSNGGNSDYGHMQGKGGRVLKRVIREFADGHRNIPNLS >OMO98077 pep supercontig:CCACVL1_1.0:contig07166:30453:33588:1 gene:CCACVL1_04348 transcript:OMO98077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK MELSQTPSLTTLLVFENTINKELIRTLHVYRLDNEEEHEVEREFVFRKGAPYVEMAATPLLRLQGFRPQDLFEGRVLGLWLCVFAFHANRLPRFFSIPSLLSISRNPKLKSIPSLANDLQKISQRDCSAEERGSSGESSKETYTEVGHNHCQSKRSLPVLDQDLNCLPNSVATSEFLKSQQIEQSATGDAAKKRKRADSKDIARIALEDLAKYFDLPIVEASRNLNIGLTVLKRKCREFGIPRWPHRKIKSLDGLIRDLQEEADKRQEEDEAAAFAVEKRRMMLESERESIERDPYIELKSETKRFRQDIFKRRHKARALKS >OMO98075 pep supercontig:CCACVL1_1.0:contig07166:16475:21927:-1 gene:CCACVL1_04346 transcript:OMO98075 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MLSNCNRIIISIFPLPVLPQPALVGFQRYKKVKAHHHHHHPASPGPVGGRSMASPKNLTTNNHLRHVESMASLPSGAGKISHLNAVILGEALASEEDDLVFPSHDFSRQALIPSPQKVSFFPFFFLLPPSISLSLWNTYLEMYKRSIEDPAGFWSDIASQFYWKQRWQDHVYSENLDVRNGNVKIEWFKGGITNICYNCLDRNIEAGLADKIALYWEANEPGIDASLTYSQLLQRVCQLANYLKAIGVKKGDAVIVYLPMLLELPITMLACARIGAVHSVVFAGFSSESLAQRIVDCKPKAVITCNAVKRGPKTINLKDIVDAALVESAKDGVSVDVCLTYENQLAMKRQDTKWQEGRDIWWEDVVPKYPTTCDVVWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPNDVYWCTADCGWITGHSYVTYGPMLNGAKIVLFEGAPNYPHPGRCWDIVDKYKVTIFYTAPTLVRSLMRDGDEHVKRFSRKSLRVLGSVGEPINPSAWRWFFNVVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGVEIEGECSGYLCVKSSWPGAFRTLYGDHERYETTYFKPFPGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEIKGQGIYAFVTLVEGVSYSEELRKSLILTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLAEPGVVDQLIALADC >OMO98078 pep supercontig:CCACVL1_1.0:contig07166:34387:35564:1 gene:CCACVL1_04349 transcript:OMO98078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETEAGTRFGIIGCANIARKVARAINLAPSSVLYAIGSRSLEKAKQFASANGLPESVKIYGSYDEVVQDPSVDAVYIPLPTSVHVDWAVKAASNKKHVLIEKPTAMDGAQLELILEACESNGVQFMDGSMWLHHPRTLKIKEMLFDSKLLGDVKYMYCTSTLLASPEFLENDIRVKAELDGLGVVGDLGWYCLGAVLWAKNYQLPTSVTALPHVIKNSNGVVLSCSASIQYGQPSAETVAIVHCSFLSNTSMDLTVTGCGGTLNLRDFIIPFQENSASLEFTKGAKFVELHVGWNVKPEQVVVESQLPQEALMVEEFARLVRGIKVSAFPPDPKWPEISRRTQMLVDAINKSIHLGCKPVYF >OMO52959 pep supercontig:CCACVL1_1.0:contig15387:45348:45431:1 gene:CCACVL1_28967 transcript:OMO52959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRWPPRGDRREQPKGGCREKKKKSI >OMO52963 pep supercontig:CCACVL1_1.0:contig15387:92823:94999:1 gene:CCACVL1_28971 transcript:OMO52963 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MTSELVHRNQTTSLESPTRTSPLKPSNLAKNTTTPFRYLLQKQRFVFVLVGIAIATLFFNRFPISPSQEPSLGVFPDLVSASESSHVTRRVLYEAYPAQLRRNINVGGKVPLGVKKKNLRIVVTGGAGFVGSHLVDRLIERGDSVIVVDNFFTGRKENLVHHFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGLGIEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGDHVGPFNLGNPGEFTMLELAQVVQETIDPNAKIEFRPNTEDDPHKRKPDITKAKELLFWEPTVSLRKGLPLMVSDFRQRIFGDQKTGGGGSTAA >OMO52960 pep supercontig:CCACVL1_1.0:contig15387:85203:86390:1 gene:CCACVL1_28968 transcript:OMO52960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLPQEIVLDIISRLPIQSLLQSKCVCRAWQCLIQDPVLVHKHLCRMEKNDPSIILQSDHPIDSELYLGVLSCQNDGNYNMITKKLPMPPLLRKFYLSGSSNGLICLLDSYQKLKPFIYNPFTGNYLDLPDLPKRHDTRILGFGFAPTTKEYKVVELSFSPWVRFDQVDPPFEADVHVLTIGSPTWRNLGTVPFYFMRRKYNHHVLINGKLHWVSHPTRNRTTNLIISFDLATEKFEEIPLPDCSSSNSNLAKEGFGQVAVLRGCLSALCYEDENEQLEIWVMKEYGVKESWSKEFSTGVFVPKIMLEQEDPGSFFNSKYYLHQRQTGVLCLLRSGELLLEYRNKALGIYDPKCRIFRDVQFIFEGIPKFRAVLDHVTSLNWIDSTSLVNIANG >OMO52958 pep supercontig:CCACVL1_1.0:contig15387:38603:42549:1 gene:CCACVL1_28966 transcript:OMO52958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMEKKIRLKKNREEEDRIKKKTENLSKFSGNPNSISPISMRLRETRKQRKWGSDDAANDRNAIPGASATSPVDENRHLAAIIVIHSATKHALVGYSRALLDVLEVSS >OMO52957 pep supercontig:CCACVL1_1.0:contig15387:471:9474:1 gene:CCACVL1_28965 transcript:OMO52957 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein SRG1 AIGHRIPTSFLDKTRQDTREFSEQPMEEKKKYNKGIGEVEGNGGDPTPEEGEVYLSSA >OMO52962 pep supercontig:CCACVL1_1.0:contig15387:90828:91952:1 gene:CCACVL1_28970 transcript:OMO52962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLPPEIVLDILSRLPITSLVKSKTVCRAWRRIIQSSLLAEKHVSHASEYDPGVLFQSHWPIKNQYFFVDFASYIEEKREEKRNLKQIHVSTMHANLVGSCNGLLCFYNAAQIHICNPLTNYSMELPKLLKDPGEKGTLGFGFSPTTKEYKVVEIVYPRKRPRPRLRGNPNLAADQNSNQGEVRVLTIGDSSWRSIGKVPYQCIQQPSQVLVNARLHWISQLGKPYIDDNIVSFDLATEHFQEVPKPVCESLNKCHYELLVLQGHLAAAASDTTGGLEMWVMKEYNVKESWVKQFSIEGHLPRILQRINAREPFPRSFFRVICRFRNGKVLLEHRSQALIIYDPVQDRYKDLIFPEAPNWFKMFVHVGSLISI >OMO52961 pep supercontig:CCACVL1_1.0:contig15387:87321:88475:1 gene:CCACVL1_28969 transcript:OMO52961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLPQEIVQNILSRLPIPSLVQSKLVCRAWRSLIRSLIHDPSFILQSNHPIQSQLYLGDFSSQNHGNYNMVTMKLPRPPLSRFTLASSCNGLLCLLDYHRSFEPCIYNPFTANFMELPHLTRHHNFQVLGFGFHPITKEYKLVEISYTIYTCGGGSFHRVDPSPFKSDVHILTLGSSTWRHLGTVPYHVHSQKKSQARINGKLLHWVSWMKNRRNTIIISFDMETEKFQEIPLPDCCSSSNNPTEGFDQVVVIRGCLSAVRYGNDSEELEIWVMKEYGVKESWSKEFRIGAYVPKILLEQDESEFLIYSRSYLHRKQTRVMCMLRSGEMLLEYRNKALVVYDPHCKTFKHVQLTFEGIPTFFIIDVHVANLNWIDTLVDMAEN >OMO68040 pep supercontig:CCACVL1_1.0:contig12300:9225:11812:1 gene:CCACVL1_20112 transcript:OMO68040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MSSSTPNVTSTPHQPGIGSSTNQDQATEETNPANTDPVAEGLDEERVPENKRAKTSTVWSEFKDVTSNGTDFGQCIHCKKQIKKNKSRSTTQFKRHLETCVRRQIFQNQQRTISLQPKDLGSGGGIQFQPALTNGKFDMAKMREAAAHWILMHEHPFSIMEEEGFNMMQKRGMPQWEKVSRVTIKKDSHFIDSNWRLQKCIISFVHIPPPRRGVEIADCFYKCLQEWGIENKVYTISVDNATSNDVAIRNLKDTFSRTKKLLCGGKLFHVRCCAHILNLMVQDGLSEISNMIDSIHESVRFINHSEARLRSFAAIVQQLQLPERKLILDCKTRWNSTFEMLSTAIKFKDVFPRYQDREPSYNCRPAPGDWVKVEKICEILEVFNAVTKIISGSDYPTSNLFLNEVHRVKVLLDKNMNNENEFIRAMVSRMKSKFDKYWGECNLLMFIAAILDPRCKIMAVEYCLNRMYSEQEARANMAQIRDALYEIYEDYVSEHQHGDEHSAETNVLDHGSSGGNSTDSTSGWSEILTYVKSKGSGPPQESDLDAYLSEGCFIYSGDPAEFNALEWWKANSLRYRILSKVARDILAIPITTVASEAAFSAGSRVIDTYRASLAPETVQALLCGGDWCRNLHGVKKKNKVKFRAQFELIDRALSRVLENSNIFVYYRAYRSSSSNSIRVSSRARSP >OMP05999 pep supercontig:CCACVL1_1.0:contig05098:15179:15564:-1 gene:CCACVL1_01762 transcript:OMP05999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDSLNRSPLYLASAEGHEQVVQALLAANPDACLVFDDEGKIPLHLAIMRGHVGIIQELIRMKPDSIKELVNGDQTVFHLAVRSSRQHHLAFSIHAKTLASTNY >OMP05997 pep supercontig:CCACVL1_1.0:contig05098:764:3305:-1 gene:CCACVL1_01760 transcript:OMP05997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNSLLELYKAAMSGSVSTLTTLLQNDSLILHKVSLTSFTETPLHLSALAGHLDFTITLLNEKPEFASQLDSLNRSPLHLASAEGHDKVVQALLATNPDACLVFDEEGKIPLHLAVMRGHVGIIKELIRVQPDSIKELTNGETVLHLAVRFNKLKALQELVKWVKDDRLLVSPDHEGNTTLHVASMLKHLQIIKFLLSISRIKASINALNCKGFTTLDLVEHCPQDFRSLQVRDTLIKAGCKRSTDQTMEDPPTPPWKGKSMSVLRKCDKYLMKSRQDWIEKMQGTLMLVATVTATVSYQSAMNPPGGVWQQDTTSSISGVTCNDPAKGVKFCEAGTAVSAYEWAEVYLFFVGCNTACFVASISVVLLIISGAPLRNKFCTWLLTIAMVVAIMFMSLAFLQSLLLVTPGHIFQDVRKMYHISIKIWIGLFGFVGLVATIRLLRWILIHVIKFKRRLTKQTKANAGQIISA >OMP05998 pep supercontig:CCACVL1_1.0:contig05098:4173:11558:-1 gene:CCACVL1_01761 transcript:OMP05998 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-dependent dehydrogenase MEVKYTENNEDDQILELYKAAKSGSVATLTTLLQNDSLILNKVSLTSFTETPLHISALAGHLDFTITLLKEKPGFASQLDSLNRSPLHLASAEGHEQVVQALLDANPDACLVFDDEGNIPLHLAVIRGHVGVIEKLIRSNPDSIKELVNGDQTVFHLAVRRNKLMALQELQKWVKQADDDQLFVSPDHQGNTILHVASMLKHVQIIKYLISISSIKASINALNAEGFTALDLVKQCPVQDFRSCQVRDILIKAGTEPVAEHDLVVVSNAAELEGSRPPPQLSLISNYWKQKIIFVLRKSDKGIEKCEAGYAVLAYEWDYDYLFFMSCITVTFVASLSVILLIVSGAPLHNKFCTWLLTVAMVVAVMFLSLAFLRSLILVTPWHIYGYILQMIMAEEPVNVNEFRVLARQALPKMYFDFYTGGAEDQYTLKQNEEAFARIIIQPRILRDVSSIDLSTTVLGYNISMPIMIAPTSMHKLANPAGEIATARAASACTTIMVLSTSSTCSLEEVASCCTAIRFFQLYVYKRRDISAKLVQRAEKNGYKAIVLTADSPRLGRREADVKNKLIVPQLKNLEGLLSTTFVSDGGSGLEALAKGTVDASLCWEDIRWLKSITNLPILIKGVLTHEDAIKALEVGVSGIIVSNHGARQLDYSPATISVLEEVVDAVKGKVPVFLDGGVRRGTDIFKAMALGAQAVLVGRPIIYGLAAKGEYGVRRVLEMLKDELELTMALSGCSSLKEITRSHVRTKHDKQLLSML >OMO97945 pep supercontig:CCACVL1_1.0:contig07184:967:4403:-1 gene:CCACVL1_04399 transcript:OMO97945 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminyl transferase component MRRKCRIWWPEQLSSTQPSSDNLLFGWFVSCLSDSLDIVVAFASNLDSSSNLQSGLQEILHSINGNMHVSLQDKSKFCLLGQSRGSLSNGQVFCNGMQEDDLRKSSDGLTGCCRQWSYGCLKLDGLLEQCRQMSTGRDYWLELTYDSHDLHAREIRWIPKVHHIHWNSVLVSRCDVHVIVYETPKYGAHHFSLRFWNSSEHGKTSIKKPKWVDELHEKKPLNDLDTVILAINSATAAKKIFERHDGFRRSRVNIPIIWMFCAFMCHLLAMSMASLSTVFYIFLQFSHSFLNLGSQSWVYSASAKAFSNTWINIRIRCCQILYWPIFLQDNDLRSQSSVECAEKVALHKHSMWSSLLVDILLGDLIGLTLLFHMESVCSWVSNFASDFTNELLRSGCVWLMGVPAGFKLNIELAGILGMISLNTIQIWSTLWIFVGSLLIYFIKGLAILAILFGVTVPAALVIDMIAIATLHLSTLHWLISILYSLQLHALAALWRLFRGRKWNPLRQRLDSFDYTVKQHVVGSLLFTPLLLLLPTTSLFYIFFTIMNTAVSLSSMFIEVIISVIHATPYIKIILRLIKPRRFPSGIWFEIIGCQNNSSDSPCSAFIDKNSSPSDGMPQQEDESILISVLHSNYMNIGEAPCSQKSFISLDILD >OMO97946 pep supercontig:CCACVL1_1.0:contig07184:22488:25081:1 gene:CCACVL1_04400 transcript:OMO97946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEEKLRSGVSEVGGLKKKRKRKKEENLEDQNQAIEQHEEEEEEETVVGRDPLEVFGRDIMMKILRNLDARSVALSLLVSRAWHGVASSDSLWSSKCEELWHGKAHIPRASQVRGLSKLAAYSISVMDGKRVRIMKDDLCDHVWEFHFNKAAPDYWRNLDPYWKGTSPLMRRYFHPDGSQTADPGDKVWGGHQCCYSIVTSIIGEGKIRSHYVRINRWPQMFVSRNRDWSWEMSNCLYCYSSVPDADKIGGTGPLYSPSVNIFPQAYW >OMO97948 pep supercontig:CCACVL1_1.0:contig07184:64862:68110:1 gene:CCACVL1_04402 transcript:OMO97948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MSYKNLAPFICMFFLACCMSQTLAVSSAEVGPTVFVRLKFKVHILNGFEDNAKPLLVHCRSKDDDLGNQILWKGKEYRFKFRVHWFKTTHFTCNFDWASKKLDDITVFKNDQHAESYACVATGNCFWKAAMDGLYFSNNDQNWRMTIWEIILFGRAMNFDSNSAYTGLGPLISLAISIGHQRNWIISQFSKMVEMVKQNLVNAQIQGIASGKQLRMGFTLALIINTG >OMO97949 pep supercontig:CCACVL1_1.0:contig07184:72194:72646:1 gene:CCACVL1_04403 transcript:OMO97949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MSYKILAPFLCMFFLACCMSQTLAISSAEVGPAVFIRLRFKVHILNGFADNVKPLLVHCRSLDDDLGNHTLWKGNEFRFQFRLHWIKTTHFICNFEWASKKLDDITVFKDEDHAESGECVDTGNCFWKAAADGLYFSNNNQKWVKKFDWK >OMO97947 pep supercontig:CCACVL1_1.0:contig07184:54170:54595:1 gene:CCACVL1_04401 transcript:OMO97947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MCFLACCMSQTLAISSVADLGTTVNNGVMKYKVVNIVSGFADNAKPLVVGCRSNDDDLGEHTLWKGQEFRFLSAISGVETTQIICYFEWGSNKLEDITVFKNDEYFDPCAIRPDICFWKAAEDGLYSSNNDQNWVKRFDWQ >OMP03775 pep supercontig:CCACVL1_1.0:contig05978:9584:10768:-1 gene:CCACVL1_02272 transcript:OMP03775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAEPRKKGFTSDETSSSSTQALSTPLIEPSSDALESTAIQESQHSDLTFAAELPSNEQVVEQNTSMAPSSTPPDSNPPPSTSLHPM >OMO65326 pep supercontig:CCACVL1_1.0:contig12666:3009:3215:1 gene:CCACVL1_21548 transcript:OMO65326 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative WRKY transcription factor 33 protein MSANSHPQNAFSLSTHPFMTTSLSNLLASGIADNDNPSSAANGGLSSERGDGLSLRRSGSSRPLYLGN >OMO91530 pep supercontig:CCACVL1_1.0:contig08333:25251:27048:1 gene:CCACVL1_07083 transcript:OMO91530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLKSQQLLLPLLPILLTIATLPTKTKASTNTNGVYLPCSDTKVQTSDGFTFGLAFSSRESFLFNLSQQLSPCDTHLALSSINSQLAVFRPKVDEISLLTINTSPFFPDSYGGSMVAFAGRRYAGRSIPAFVANSTFTVTSFTLVLEFKKGRLQNLYWKRDGCASYAGQSNFVCLNKQDCAIRTSDCKNRGGSVDCSLGIQLAFSGTDKHLAVLNSWYEVKNLRQYSLYGLYSNLRDSLTSQYNKFF >OMO91532 pep supercontig:CCACVL1_1.0:contig08333:37160:41397:1 gene:CCACVL1_07085 transcript:OMO91532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNEKTGLGRALVKHHNSMIQQSKEKGMFYKSQHKKVLESVTEVSDIDAVIEQAEEAEQLFSVQHPTPNLLINLPPWNAGMSVEELDANEKQAFLVWRRSLARLEENEKLVLTPFEKNLDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEAYAREIDEHKRTLLLVNKADLLPVSIREKWAKFFRLNKILFVFWSAKAASAALEGKMLTDPWKTQNSMQKSDDPDTKIYGRDELLARLQAEAEEIVKMRKLGSGTSKASNIRSPSGNAEGTSAPKNVMVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLIISDELTLCDCPGLVFPSFSSSRYEMIASGVLPIHRMTEHREAVQVVANRVQRHIIEDVYKINLPKPKPYESQSRPPQASEFLRAYCASRGYVASSGLPDETRAARQILKDYIDGKLPHYELPPGMSDESGAEDDGKPILPEVQNSDASDVDDSLESTSETAPLPDHVLDDLSSFDLANGLASKKETVKKSKASHKQHKKPQRKKDRSWRVGNDDDDGMPVVRVFQKPVNSGTLKVSNA >OMO91531 pep supercontig:CCACVL1_1.0:contig08333:28302:32284:-1 gene:CCACVL1_07084 transcript:OMO91531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial surface antigen (D15) MGAQKSIHAGKAKIDINVDFTHKLCASVMFPSLSTNSGSPLSLVIGSLCIKHPNLFGGSEKLDVSWDKGLYDSNILVAYRRPRPQWVAQQCFVMQHSLSPEIGVHGIPVDNFSRSGSGGVNLSRLSVGLDLNEPTSSKWSSTTSIKFENVRMLNDDVRSITRDLDGFPVTCSGNAHDSMVVLKQESRYAKATDRGFSRFTMQIEQGIPVLSKWLIFNRFKFVASKGVKLGPAFFLTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGCGRSCLVANTELTFPLSKMLEGSFFLDCGTDLGSGRLVPGNPALRQGKPGSGVGLGYGLRFKTPIGHLQVDYAINAFQQKTLYFGITNLAS >OMO91529 pep supercontig:CCACVL1_1.0:contig08333:8163:20520:1 gene:CCACVL1_07082 transcript:OMO91529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEDHDHPLQCVEVANGRVRLATFNVCRLVTGSNKIPFTSRICPSKHHNRNWSQETCMVFNGIFDISINHGT >OMO88913 pep supercontig:CCACVL1_1.0:contig08886:16199:17041:1 gene:CCACVL1_08110 transcript:OMO88913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRKWGNGVRLNQVIHGRSKAKKLVKETLGILEARKEKVKISRAEVRKYSFKDEDQTSGGRKGVKSKQKRVQENEGQEYGVKKGKEIEPETVEGGKEVDPKGEISMTKTRESIQARKEKVKILKAEVRKYSFEEEDQTSGGRKGVKSKQKRVQENEGQKDELRRGKRQSQERLKEVDPRSGISMRKHSNKEGGVIRSSNRSKFRRRHNERASKWERLSKGI >OMO88914 pep supercontig:CCACVL1_1.0:contig08886:48276:49673:-1 gene:CCACVL1_08111 transcript:OMO88914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLSNYCSKIFLQEDVQEDAATGEEQTKIVLPEELFEEPDGDSPKFLLIGKIFTKRVIDVEGLQNVMFQAWKVELGLQIRMVGDKIYLFKFGDKQERDWVMRADARAREDSSSWVTGRNGGLRNHVDSMVVRERAVARALMVAEEAQEVGAVGKARMGEQNSEEVVVVSKLNPRAGELGNGGLNESPVDLLKKAAYLPSGANADNLVGSALAHGEGIGDNQLANQTHGSEDSSFKIDGPIYGNIPGLRPVDGNLGDPN >OMO88916 pep supercontig:CCACVL1_1.0:contig08886:81680:81751:1 gene:CCACVL1_08113 transcript:OMO88916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVAFLPWPVAKKSFFDLVNPN >OMO88915 pep supercontig:CCACVL1_1.0:contig08886:55450:71016:-1 gene:CCACVL1_08112 transcript:OMO88915 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLAVATA3/ESR (CLE)-related protein TDIF-like protein MNLHPKQTRKAHTSSPSSSSSKSSRREFGAEAHEVPSGPNPISNSVKLGGSDVILKKGHQLRLTRCGFDLRGIWEKFVGFFHGNRRTSASGSPDLGGPW >OMO59434 pep supercontig:CCACVL1_1.0:contig13966:29860:29922:1 gene:CCACVL1_24831 transcript:OMO59434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGEFHINTGQNNSTPHDS >OMP12387 pep supercontig:CCACVL1_1.0:contig00036:782:946:-1 gene:CCACVL1_00014 transcript:OMP12387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKAFALRHPNPQLPSSRLQETTLFYRTVQSYRRHPLGPIRHRQCDPKPNPNWS >OMO87799 pep supercontig:CCACVL1_1.0:contig09172:1608:1688:1 gene:CCACVL1_08751 transcript:OMO87799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSKARALPPQLINGLTEERMLFF >OMO87803 pep supercontig:CCACVL1_1.0:contig09172:13211:15838:-1 gene:CCACVL1_08755 transcript:OMO87803 gene_biotype:protein_coding transcript_biotype:protein_coding description:disease resistance RPP13-like protein 4-like protein MSSSNGDPVKTTNTIEEIIKSLDNLLDHLKIAKKSYPEKKSHDDHESTKEKLVATKDSKAAHDFEGPKIIAAEDDESTKKKEDPTSTTTQLEKESKAHDDLGATATPRPEEDDESTKKKELPMPTTTTQLEKKSKAHDLGATTTPRPKDDESTKKKEKELADMHTQLEKVCKEVDYMIRSFQKLKNFEDNLKEPLATLQHNVEDIKKDLELSRNTENESVKKQLEQNLKVLRRNITKVKILIPLQHQASNLISDSSRYLQATVASREAGDLPNLYQARNILESDFLKEIKVKYDELQDNWLKLCLLCFAIFPENAEVRKSLLRFWWVGERLTEYTPSQPEGVNEILQKLANVGFIDPVKKQSRLPATSYTMHPIVRAVVIRLAKEAHFFDYDPKGNPATDINSGSRSKKTCLMKENRWLFKKLAVNSETQQQQQITKTKSQQKEDLEKEQKSQDKYQDSLNKYLENLQTVFNIGKQFVDLPEEEFSKMKNLFVLYLGRWERVAERHMEIENTDFLRGLENMNKLRLFSLQGISGIKELPPSVSKLSNLRMLDLRACHNLEKLAKGSKEMSSLKKRAKGIKDLSSLKKLVYLDISECYLLDSMPKQLAQLSELQVLKGFVMSKDKHSCPLESLTALEKLKKLTINVSTNDAFKNDHHWEVLSTFKELQKLRIAWGAGSEEPSISDQEPKSNNKPSNNVEKKGNANGNKEIRRQQTDHAPKLGSKLKMPWGHCGGESSKSAHEENKMAASGKEPKENGQAMQVTTLFKKLKKLDLQCFPNPDPPSQLVPSVLPNLNSLYIRGGKLSFLGDIPASASDKKWKVEFLRLKYLGGVQISWKDLQTQFPELKYLEKVKCPGITLCPCDANGVWQKESLKRN >OMO87804 pep supercontig:CCACVL1_1.0:contig09172:19526:21961:-1 gene:CCACVL1_08756 transcript:OMO87804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPKLYISISLHAKQLWDRWNVRGAILFSLFLQVVLIFIGPIRKSARNQIVVLFIWFAYLMADTAANFAVGQISNSQRNFNQTVAVIQKVSNSQSSNSTKLAVDDNPDLLAFWAPFLLVHLGGPDTITAFALEDNQLWLRHLLSLAFQAGAVLYVFIQSLPNDLLWIPTLLMFTAGIIKYIERTKALYLASLDKFRDSMLEEPDPGPNYAKLMEEYAFKQRNQLPTRINMTPEPDKEAKASDVPVKSGTLNHVEVVRYAHAYFKTFKGLVVDLIFSFRERDESRDFFYKRSAEEALRLIEVELNFLYGTLYTKVEVVHSKIGYVFRFFAFGAVLSTLGIFYFQTDKSTINGVDIAITYTLLLGAIALDVIAFFHLIFSDRTIALIKNPDRPRGWWRRSACFVFNGYLALKKPRWHSCKCKTTNSGRHVSQNVLVTPTGFRRWSGSISTHNIIRYCLCSKTTTIHEFPSFLGIMFGKMKIPCFLRNKHDDPVPEKGNSSNGKVTNICKVINLPLDFLVQKFRFFYKPWDLPFKDFMDELLYVSSEPFTKELWKFIFEEIQNKAEFADTPETAKRISSARGDWVLTDTSDGNSQVQDEVRSNLLKYVSDVPYDESILLWHIATDLCYYDNSIEENLEILDRESLLHREFSKILSDYMLYLLIFQPTMMSAVAGIGKIRYRDTCAEARIFFERRGLGPNRDRDACIQILGVNTDVGPATVKGDRSKSVLFEACILAKELKRLGSQAKWKLTSRVWVELVSYAASHCRANTHAAQVSKGGELITFFWLLMAHFGLGEQFQINEGHARAKLLVGK >OMO87802 pep supercontig:CCACVL1_1.0:contig09172:10918:12225:1 gene:CCACVL1_08754 transcript:OMO87802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MGSVRRKSAPLPEALYDKFLETLPNEDETPSTLGLDKVLSYCKKERVGESSEGSEHSTKSSDGDQSLNAGAGTEKSFNEEETKEFLRKIYEPVDSSKIPLSELEEPKPKSNSMEMVVRRMLKHLGVEEEIVNSISNVQKLPGLLYALHLQLKGKRYLILLDDVREEDEYYGKLVSCLRNGHGFPTGHGGVVIVTSRKEESVTKMVEKQNLHLHRLVPISDPESCWPIYQNMALGYVKTKDTDPKEVKDELKNKCGGLPLAARIMGEEGSKEASATSKVGPKETLTASKDGQKNQAPVISEDGPNPKEASTASKDVVSAGDGDGPEEESAVSKDGSSQASTASTKPDLQPETNQNQA >OMO87800 pep supercontig:CCACVL1_1.0:contig09172:2873:4030:1 gene:CCACVL1_08752 transcript:OMO87800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat 4 MGDPLSSSPTNPLFPNGCRLLKVLDLRAAPIQTFPEAILNFKLLRYLSLRDTNIKTIPSSIGKLRDLETLDLKHSQVSELPVEILKLQRLRHLLVYRYEFISYSRFHSKYGFHVPGNIGALQSLQKLCFLEVNHDDSLIIELGKLTQLRRLGITNLSREDGNLLCSSIEKLINLRALSIVSSVKEEFIDLQHLSSPPQLLERLYLYGRLEKIPDWIPSLESLVIVYLKWSRLPDDALESLQNLPNLIHLELLQATEGNTLRFKAGGFKKLKHLGIDKFEGLKCIELEKGAMPCLENLSIQRCKLLERVPLGIEYLAKLKVLEFFDMPEELIMTLRPDAQGGDYLKVANIPEVHYTYWRNGEWEVYFIESSGNTVISSETLQSRFK >OMO87801 pep supercontig:CCACVL1_1.0:contig09172:4511:9991:-1 gene:CCACVL1_08753 transcript:OMO87801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDSEEWDDSILDEIIQAEERAVSLSSSNQSNPPSSSCLPPSPPAPPPSHHQLLCFPPASRVDFSPPRELSQRTTDFSGAVATNGIVDKCANPSTPVRRPRDSGSAKELEIERLKKELGSAKKQLADLKHEFSKLKNERDKDNQLKFADSGNEVKAANFHGSSTANIGHGIHVVPHHEGIQENLQTGLHTAKSSCKAIGVQTDLNACSHLSKKLQDIWVLQSNQKFGRDLISKLYAVCSSDISVLFGFISMNLPFKTMESVAVESSANMALQQSTDSFLNTEAAKVFRFYSALTKISSGMLKLEVIFEALFDLCTVENCTLLRENVLVEHLHCGSSVDEIFGHETSGRVSVGMGGTYASRVPTGISPSEAETLCKIGHSNMGLSLVFSFVNWIYLFELMHQIVMKRSEEYIRLEAVSIMNVILTRSDAYTDREKFGLIQVFGSISQLLKKEAGLLVQKEAVRTLYLLLNCPKLVVTFCSGCTIVASAGAEDDEENTASFKGILEGLVDCIACCGNSLQALELRKNAIALLAFIASSGKSGFEILVHFKLSRGVNFLTVILQILVSEMDLEASVYPESVETSRARMLLIREVLILLNRLVSNPVHSATVLRLLTKSRDMVSLTVDVANRLSKNEQKPTQFDPITKQMRESEIVNLGRIFKRRVSTYLGE >OMO99777 pep supercontig:CCACVL1_1.0:contig06812:1717:3776:-1 gene:CCACVL1_03640 transcript:OMO99777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVFKGFKALLASPAAATPSSGMAAVASKTPKKAASPKPKASKPAAPRTTGINKVTPVSPALGQFLGTQQASRAEAVKQIWTYIKSHNLQNPTNKREIFCDGKLKTIFDGKEKIGFLEIGKLLTRHFVKTN >OMP10897 pep supercontig:CCACVL1_1.0:contig01789:479:544:1 gene:CCACVL1_00753 transcript:OMP10897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVGSGTMVLVEPERPEFRRVS >OMO67772 pep supercontig:CCACVL1_1.0:contig12393:27656:30570:-1 gene:CCACVL1_20333 transcript:OMO67772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREIHEQYSDDVGFEIEKYHQPGCMGGIFNVLDYHHHWYNVKKMLPHRKYNRRARHARCCANPQTLSMEREPGESQGLLHGEAGQIQVEQQSRKSSGKAYTKGSPGKEKSKEENHKNWVLGYSARPQLQQTDLSHHLEPSGFGLGWMNPIILVRKRADASETSSTSSLQETPRKQVSRSKKSEIHGRVNAENRLEHKENHGKHAIFQKKRGTGTSTNQKPMTKKLNKEVSVNQVEGVDVLEIFKVNKDLFLDILQDPEVGISQHFLGKQTSKTMKLTKSGSFPLSRTRYLKSSTLEHKRKEVWSFKKGEKSHTGTQSSNLRALRTDDYVRSTAAEEASSSSQGPDSQSWNHSVMNRLKDIKQRIKQALKERRKTINHTMVDRVTLQISSRDTITTDKSEMSDSFEKMTLEQDRMNNFYPSQQTDASDHDPSDVRFNRMRRTNSISESLDRYTQLFEHSVSKEANLHHSRSLKLTSEDRVPSRGRAPKFFRRISSLSDLESFCSLLHEVSRDALSSELPPITTVLNYEANNETYEHNDPKSISFPEDMDKFELVEAVLEAELQETMTEGVKRSSTAGLVVDRDHEDIARPCDFSEDSLELPGDRSSPHQEQESDFAVNLSRELTQRASDSDEITSRADHQISDGPELSCPKLPAEEAESSTILKDRGTSHKKLESMGTSSRFQFFESDKEADPCYNYVKDILELSGFIQNEHLQTWFSADQPLNPSVFKELEALLHPELEFSIEEIGSNCDHQLVFDLVNEALVEISDKSSVYFPKPFSFNYHISPLLKRNNVLHEVWAKVSSNLALQPEPDQSLDDIVARDLAKDAWMNLQAEEEFVALELEDLIFEELLDEVFC >OMO67764 pep supercontig:CCACVL1_1.0:contig12393:5826:9859:-1 gene:CCACVL1_20325 transcript:OMO67764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG DNA binding protein MSNPVQPSTSDLPSDPLLKPGAFIDANGQDRSFETPPKRPNGSIPNGAAQGADKSPSSAEPKAKRRVVPPETWLPAGWLVEDRVRTSGATAGTVDKYYVDPSSGCKFRSKKEVLYYLETGTKRKKGTETSSGCEEVPPVVSSGKKQKKTPKKQKPLNFDFHNVPEKVNWLLTNASADSWTPFLGDGQVPESTRQDWAAAFAAKTSSSSNGFCDVGKLGKAMYLFDQLKTTGLSPSLITYNILISGFARARDAAVVAGLVKEIEERGIKPSKVTYTIVIDAFMKSENTERAFELYQFMQKAGLVPDVYTCSVLIHGLCTKGNMKEARKLFESMEEMQLKPNDVIYNTMIHGYCKEGSSYRALRMVREMGEKGMVPNVASYSSTIGLLCKDGKWQEAEALLNEMIESGFEPTVSLYNIISKAKHET >OMO67767 pep supercontig:CCACVL1_1.0:contig12393:17053:17148:1 gene:CCACVL1_20328 transcript:OMO67767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAVTAGVAWVGGGVGTIGSSVDWGLKQRR >OMO67765 pep supercontig:CCACVL1_1.0:contig12393:12240:14574:1 gene:CCACVL1_20326 transcript:OMO67765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MAILSATKLLFFFSFLFAWDVIIFSSTTAAAYSIGVNYGTVADNLPPPSQVANFLKTKTNIDRVKIFDTNPDILKAFANTGISVTVTVGNGDIPSLAKLPAAQSWIAKNILPHHPETIIRYIAVGNEIQATSDKALIAHLLPAMKALRSALDVANASSIKVTTPHSLGILSTSEPPSTGKFRKGYDKLIYAPILEFHKQTKSPFMVNPYPYFGFAPQTLNYALFKPNGGVFDAATGINYTNMFDAQLDAVYSAMKKLGYEDVEIVVGETGWPSAGDPGQPGVSLENALAFNGNLVKHVNSGKGTPLMPNRTFETYIFALFNENLKESVSERNFGLFKPDLTPVYDVGVLRNEQGMGPATAPPTATAPSGSASGSGKGKWCVAKSEASDAALQANIDYVCSTVVNCRPIQSGGACFNPNNVRSHASYAMNVYYQANGRHDFNCDFNHTGLITSTDPSHEACNYSSDQGEALKLEKSVAACSNVWQMIYYSASCMILIHISLLH >OMO67771 pep supercontig:CCACVL1_1.0:contig12393:26699:27144:-1 gene:CCACVL1_20332 transcript:OMO67771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARITCRVSSKIPEKPLSSDPPDPFPTNIIATSATWWKGVAKTP >OMO67773 pep supercontig:CCACVL1_1.0:contig12393:46742:49969:1 gene:CCACVL1_20334 transcript:OMO67773 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MGNGFGKLSVCFTGGGGYGGEEARRRKEISMLLSDPLDEGLGHSFCYVRPDPTRLSSSKVHSEESTTTFRTISGASVSANTYTPLSTALVDPYVSYNNSCFDRAAAFESTTSFSSIPLQPIPRNLMNSSGPMSGSLVPGSGPLERGFMSGPIERGFMSGPLDNVNNNYSRGLFSGPLDKGFSDQFQRSFSHGAFAFKPRSRKGSFIRVLQRAISKTVSRGQNSIVAPIKGVVTVKEPEWAIGSDKNPIHHHNENLTVSSLNLSSEGSLDDDDSMESQNLHWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDYLLSNLYSNVHKELKGLLWDDKFEQAPATSPEEEKQSGEPEPARGCSDDACSRCMEQENYPCEKDDVDFDSNISSKKRKGRKSKGKYKDAAKRWEENQRRWKCEWDRERLELDRKLKEQLNRNKSDGSRSMINHGDVLKALSQALKKTEESYLEIADKMLMENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKAEPDYWLGKVRQDLERINEETLHDLEGFDGDRSSGITDLTAFQLSVDHSTSIEEEVQRIINEHPDDAYAVMNDRVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYKGTSPYITCTPSLHHHKLGPKDRFLILSSDGLYQYLTNEEAVSEVELFIAMQPEGDPAQHLVEEVLFRAAKKAGMDFHELLEIPQGDRRRYHDDVSIIVISLEGRIWRSCV >OMO67774 pep supercontig:CCACVL1_1.0:contig12393:51328:51402:-1 gene:CCACVL1_20335 transcript:OMO67774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEAHSTQEEPKSRAGQSSVRVF >OMO67769 pep supercontig:CCACVL1_1.0:contig12393:21833:22955:-1 gene:CCACVL1_20330 transcript:OMO67769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger MDKNMLAGLDGLPEEDKARMSAMIDHLQLRDSLRMYNSLVERCFTDCVDNFTRKTLQKQEETCVARCAEKFLKHSMRVGLRFAELNSQAATQD >OMO67770 pep supercontig:CCACVL1_1.0:contig12393:24657:25433:-1 gene:CCACVL1_20331 transcript:OMO67770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MASIPSVEILQLQKVRLFSWNMRLSSDVQEDGQILFLIKSRTIDSTATSNGEFTGPIRFNFPFNLDSLSTKNDVKKLVRKIIRQTKQFKVLREIFALANNITEFLVKNYSLGLGLETSFSNPDSPKLIIVVEVNQTLVSEVGILDKVGILDQGNNYEGANEGFIEILLRNLMNVPVSSLKPVDGSERMGLTQVPGLDSFQPCELMAGDDHEGTCAICLEGFSGNSCFKMRCSHVFHGDCITKWLWRKRSCPMCRSRLS >OMO67763 pep supercontig:CCACVL1_1.0:contig12393:3044:5267:-1 gene:CCACVL1_20324 transcript:OMO67763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Triosephosphate isomerase MARKFFVGGNWKCNGTTEEVKKIVTTLNEAEVPSEDVVEVVVSPPFVFLPFVKSLLRPDFHVAAQNCWVRKGGAFTGEVSAEMLVNLGIPWVILGHSERRALLNESNEFVGDKVAYALSQGLKVIACIGETLEQRESGSTMAVVAAQTKAIAEKVTNWDNVVLAYEPVWAIGTGKVATPAQAQEVHCELRKWVHDNVGAEVAAKVRIIYGGSVNGANCKELAGQPDVDGFLVGGASLKPEFVDIIKSATVKKN >OMO67766 pep supercontig:CCACVL1_1.0:contig12393:15271:16104:-1 gene:CCACVL1_20327 transcript:OMO67766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAPAPAPAPTVSFDARHDFVTITIVMAICFAIMITIIIIIDKSKTKKIASELQQQQASNSVRPMQQPRRQPPASNSTPRPMQQPRQPLASNSTPLPMQPPRQPPASNSIPHPLPAALWASYRVPNIPPNPIPAAANYSTNECAICGAASYPVPNVTRNPILTVANYYSTAGCAICRGLAAAQPQRASNPVPINVPGNPIIPASDPVPINVPRNPIPAAAAANYSTAECPICLIELKEDVIILPKCKHVYHRKCIDSWLKDHGDCPTCRGSVHGPHNV >OMO67768 pep supercontig:CCACVL1_1.0:contig12393:18958:19941:1 gene:CCACVL1_20329 transcript:OMO67768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDSKTTSNQMAATAEPDGENAYASFQGLLALARITGSNADEARGACRKCGRVGHLTFQCKNFVSLKESKEKEPELQAAVLNGLDKLKGGKVNGNKEVESESEEEEEESESSDSDVDSEMERIIAERYGRKDDEYRRHRKRKSRKEKRRRRSHRSSDDSESSDVSDDSGKRHRRKSQRNESRSDSDVNGSEDYRVGRGAKRSEERSRKRRHEYDE >OMO92249 pep supercontig:CCACVL1_1.0:contig08246:4766:6686:-1 gene:CCACVL1_06896 transcript:OMO92249 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MTWCNDCSDVQTIERSSNSPPCHKAVIAERHKDCLVRTCPSCGHQIKCRERAGIHDLPGLPAGVKFDPTDQELLEHLEGKVRCDARKLHPLIDEFIPTIEGENGICYTHPEKLPGVRKDGLVRHFFHRPSKAYTTGTRKRRKVHTDTEGGETRWHKTGKTRPVFISGKVKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGNNEEEKDGELVVSKVFYQTQPRQCGSVKESLSSKLKLPGGHDHQGSQHHHHHHPKNNGFVEFYNPSFISFDQGGQTRPNPSQLLPHFAVHDGSFIP >OMO76683 pep supercontig:CCACVL1_1.0:contig10878:9088:10595:-1 gene:CCACVL1_15491 transcript:OMO76683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRQPNGEDLVSSENPNNWIFDEYSLLDIQPQLDLPSLEPSATIWSSHSLASSTPPLSVEFNDSFGNSENPNETGSRKRARSGSCASGSKACREKLRRDRLNDRFMELGSILDPGRPSKADKAFILVEAVRMVTQLRDEAQKLKESNETLQEKINELKAEKNELRDEKQRLKTEKENLERQVKTMGSQPGFLPHPPAIRTPFSTPGQIVGGKLVPFVGYPGVSMWQFLPPTAVDTSQDHVHRPPVA >OMO76685 pep supercontig:CCACVL1_1.0:contig10878:13906:18155:-1 gene:CCACVL1_15493 transcript:OMO76685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDQNRPPRSTSIRREVRDENPLDKRRRIGAQGKAVGPTSSGRTRQPLGTANRQDPSTAGDAGNAEECPTHEFTKEEVEALLNEKPKPQKQPDFKAKLQQSADYIKRLKLCVKWFQQCDENHVLEKENLKNSLESAEKKCTDTELEKKNKEEELNAVISELRENNASLQEKLSKEVSEKLDAIDRHKSEAEARVNAEKSVASLTEELEKAQKDIVAANERADSLDNTHKRLQEYILSLQQYNSKLIADHEAARESLKRVEKEKLTIVENLSTLRGHCNSLQEQLTLSRTSQDEAITQKETLINEVKCLRGELQQVRDDRDRQVSQVHALSDQMVKFKETAGKSFAELDNLTMKSKSLEEKCSSQREQIRILEIQISAANEKLKMADLSASETRTEYLEQKRIIQELQDRLAEMEQKLIEGEDLRKRLHNTILELKGNIRVFCRVRPLLPDDGAATEAPVISYPTSVESLGRGIDLIQSGNKYPFTFDKVFDHEASQQDIFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPEAQEEKGLIPRSLEQIFQSSQSLQAQGWKYKMQASMLEIYNETIRDLLSINRPIASESAVSGKQYTIKHDANGNTHVSDLTVVDVSSIAEISSLLRQAAQSRSVGRTHMNEQSSRSHMVFTLRISGVNEATEQQVQGVLNLIDLAGSERLSRSGATGDRLKETQAINKSLSSLSDVIFALAKKEEHVPYRNSKLTYLLQPCLGGDSKTLMFVNVSPDPTSVGESLCSLRFAARVNSCEIGTAHRQTSLRLADSRLS >OMO76689 pep supercontig:CCACVL1_1.0:contig10878:24705:26549:1 gene:CCACVL1_15497 transcript:OMO76689 gene_biotype:protein_coding transcript_biotype:protein_coding description:(2R)-phospho-3-sulfolactate synthase, ComA MYYRWKNFEEEEDRPEKPRRFGLTELRGPSHTLLTQNFLQDIFESMGQFVDGLKFSGGSHSLMPKSFLKQVIDMAHQHNVYVSTGDWAEHVLRKGPSAFKDYVEECKQMGFDTIELNVTSLEVPEETLLRYVKLIKSGGLKAKPQFAVKFNKSDIPSGRDRAFGAFVPPSPRSTEFVEDVDLLIRRAERCLEAGADMIMIDAEDADSVRADIIAKVIGRLGLERTMFEASNPRASEWFVKQYGPKVNLFVDHSQVMDLECLRGCNLGKDHTSVLGSSYYLF >OMO76684 pep supercontig:CCACVL1_1.0:contig10878:11561:12999:-1 gene:CCACVL1_15492 transcript:OMO76684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MEGQEQQNKSRVVKVDSKESWDFYVTQATNQGCPIIVHFTASWCMPSVAMNPFYEELASSYQDVLFLTVDVDDVKEVATKMEVKAMPTFVFMREGAVADKLVGANPEEIRKRIDSFVQSIRVYVA >OMO76687 pep supercontig:CCACVL1_1.0:contig10878:22136:22632:1 gene:CCACVL1_15495 transcript:OMO76687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A MAGRGKAIGAGAKKMGQSRSSKAGLQFPVGRIARFLKTGKFAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGTVTIANGGVLPNIHNMLLPKKASKSGPADDE >OMO76688 pep supercontig:CCACVL1_1.0:contig10878:22893:23554:-1 gene:CCACVL1_15496 transcript:OMO76688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMAMDITAGVLGIEAEIAENKVKHLRMWIFECRDPSLKAFKLGLAAAVLLGLAHVIGNLLGGCVCIWTKEDLDRASANKQLAVASLIFSWIILAVGFSMLIIGTLSNSQSRKKCGIAHHRLFSIGGILCFIHGLFTVAYYVSATAAAREDKANRPQTTA >OMO76682 pep supercontig:CCACVL1_1.0:contig10878:7037:8636:1 gene:CCACVL1_15490 transcript:OMO76682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein TauE like protein MVWFSFSAIYLLRGNRYGQGILPMKPCGVGYWTLSLFQIPLAIAFTAWILGSKEAIACQGSNEKVLNNINKLIFPLMALLAGGLGGLFGIGGGMLISPLLLQVGVAPEVTAATCSFMVFFSSTMSAFQYLLLGMEHTEAALIFSIICFIASLVGLLVVQKAIKEFGRASLIVFSVGIVMALSTLLMTSFGALDVWEDYSSGSYMGFKLPC >OMO76686 pep supercontig:CCACVL1_1.0:contig10878:19723:20912:1 gene:CCACVL1_15494 transcript:OMO76686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbQ, oxygen evolving complex MASMAGLRGSSQAVLEGSLHISSQTRLNIASNTRVNVARPGFTVRAQQQASTEPETGRRAVLGLVAATLAGGSFVQAVLAADAARSIKVGPPPPPSGGLPGTLNSDEPRDLKLAYKDRFFLQPLAPSEAAKRAKESAKDILGVKSLIDKKAWPYVQNDLRLKAEYLRFDLKTVISAKPKDEKKSLSELSGKLFDTINKLDYAAKIKSTPEAEKYYAETVSTLNDVLAKLG >OMO76681 pep supercontig:CCACVL1_1.0:contig10878:1342:2192:-1 gene:CCACVL1_15489 transcript:OMO76681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGSQCSVADNPTSESSFKANLDGLLNLLTINAALHNGFYKTTVGENSDKIYGLVQCRIDVSAENCANCTKEAVAEALNGCSNSKSVQVWFTWCTIRYSNEKFFGVMEPSSAALLNSTNLDDASMVSKGVSFMSEVAAAAAKQALRFNASVLDGGQFGKRYGMAQCIRDISKSDCSKCLESPLDSFRTTIGNKRNWEVYGTSCSMWYHDFQFFSNISISTNHGARKRMSIHGVVTGTTMSMILLFLFLL >OMO64636 pep supercontig:CCACVL1_1.0:contig12761:9896:9964:-1 gene:CCACVL1_21628 transcript:OMO64636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRWSCVTSNTEVNPEMEAMVE >OMO55464 pep supercontig:CCACVL1_1.0:contig14684:56328:60895:1 gene:CCACVL1_27235 transcript:OMO55464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLLRGISLSALIFRSQASQSAFHSIELLNGRCWLGSFHCYLDSPMAFTASKVPDGSVLTKRVGKNTPQSKPFPTSQRFERRRLRNAHLLMAKLSFPNESLGSIHGKTAGFTVSRGSSMICLSARTQNSEATESAKTYADSADVSGAQIEEEKVVHPVMPAKTIHSSQALAEACKYASNDIVLLSRGIMSLDARARQDVAILGSGFLKLDARAREDTEKIDRDVKEKAERLRHIATILKNKAQSRLKNAADKHWSDGALEADLRRADFRAKQRAMEDALMALEFMKNIHDMMVSRVYKFPLRWEKGSLSANDIMLEKNGKTMDFFNGEVSTDRINAIQEAYWSIASALSEADGIDYTDPEELELLIATLIDLDAMDGKTSVSLLAECSSSPDVSTRQAVANALAAAPSMWTLGNAGMGALQRLAVDSNPAIARAASKAIYELKKQWEIEEGDSWQFTMNLKQVEEIDGEGDKDDADKN >OMO55463 pep supercontig:CCACVL1_1.0:contig14684:44252:44434:-1 gene:CCACVL1_27234 transcript:OMO55463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29e MAKSKNHTTHNQSYKAHKNDIKKPNRHRHTSTKGMDPKFLRNQRYARKHNKKSGESADEE >OMO55465 pep supercontig:CCACVL1_1.0:contig14684:63232:66270:1 gene:CCACVL1_27236 transcript:OMO55465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNKFATFLHKNTSKITVILVYAVLEWILIILLLLNSFFNYLITKFANYFGLKPPCPWCTRVDHVLEPKKDKTSCSYRDHVCDKHATEISKLSYCSNHGKLAESHLMCEECLASRPDSSDDIKSIGMTRRIAFISWVSPSDHKLDNGEKVLPCCSCCNGSKLHPPYFLFKPSTWGALDYAQKGSLIVEAIEDDGKLDSQENQEGDDMIEIRLNSEEDYNQNSEEECSRSESKLQDICNEKEVHEDETSGTMNIIEQYFTVNGEIHQSSDENVIEPCFVEDGLIEFINLEPKKYVSDRLFPVELIDLSTSANHCSEKQDLGNQVDENYETCDSPIEETAERDIAENAEDSCPKEVENLELSAGDNENSSILDSDKEKEDLVDEAFEQEQVSSLHGDIDGDVTEELSTQETDNSPAHQQEENGLNMLAVQPKPETSLNTQESDLYQVEEFPSLQSLQEDSSSTFNNEAKEAEGPESNNTNNAGGNQREKSTMENKMSSSDENQEGLNLTLSVSLDPNEAVEEKFPETPTSVEGLQMHYLDKKLLLFEKRESGQEESLDGSVVSEMESGDPMQTIEKLKTSLKAERKALNALYAELEEERSASAIAANQTMAMITRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMIKREKEKEELEKELEVYRKKVLDYEAKEKMTIIRSKDGSVRSRKSSASCSYAEEIDQLSIDLNREAKDEDSSDNTPAADAVINLEEMALDCVNHISALDESLTEFEVERLSILDQLKGLEEKLLTMGDVQFMEDLKSVQNSLNGFDENNDLMSSKEENGFSTDRSYPKRQTMASMAKSLLPFLDAADNETEEEEEEEVMMDQKQGDEPAEMLKYLSSDVDLDSKKLDLVEEVDHVYERLQALEEDREFLKNCVGSIKKGDKGMDLLQEILQHLRDLRAVELRTRTVSDDLQG >OMO55467 pep supercontig:CCACVL1_1.0:contig14684:82870:85198:1 gene:CCACVL1_27238 transcript:OMO55467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf1 regulator MKFLEYTPLDRINDFLSELNLGERTIKGSLEAYSCKHTGTDKRLSLSLENEILDYLGKSSDTDSSSPVESLLSRSSRKTLIYLVLTLYHMYPDYDFSAVKAHQFFTEESWDTFKQIFDTYMLEASKEWIETYGGSSLLETVYKALDEVVKLSECEIYSYNPDSDADPFLEKGAIWSFSFFFYNRKLKRVVSFSFSCLSNLVADGFLADNLCYEEDGEIFDNMDI >OMO55458 pep supercontig:CCACVL1_1.0:contig14684:118:1416:-1 gene:CCACVL1_27229 transcript:OMO55458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSENPFYCRRWPMEGYPICVRAQSWVGNSFEKHLLRSARLLEINKAKVIDSMVTSGIRPKDVYSYLSNEAGGVENIGFTRRDCYNFVNKQKMMMIEVGDGQSLLNNFKIRASEDPLFFYTIQVDQENRMTNFFWRDSRSRIDYDYFGDVVVFDTTYRTNKYNLICAPFVGINHHRQTIMFGCAFLLDEKADSFVWLFKSFLESMGNKAPKTIMTDQTHAISKAIEQVFPDTSHRLCLWHISKNATSHLGSLNSNAEFHALFYKCMYGCESEIEFERTWKKMITDHKLQDHSWLNSLHKCRDKWSTAFSIDVFSSQIKSSQRAEVTNNVLQGLSIATTSLTKFFIEFEKLVARWRSSEGEKDFQCMHGSVTRAIKNCAILVHASEAYTHEIYKCFEKEFLDGIALTWKQVSSEGTICTFEVEVVGNDNSRIRK >OMO55462 pep supercontig:CCACVL1_1.0:contig14684:39899:40318:1 gene:CCACVL1_27233 transcript:OMO55462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSESLTGHISSKDPINTILYETEAEEMFESAEIKIKYPGLQAYFTF >OMO55459 pep supercontig:CCACVL1_1.0:contig14684:5776:20733:1 gene:CCACVL1_27230 transcript:OMO55459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSSPCDYSFSSCRVRRYRKPQPLPRKKSSTSHQPNKMQPASRNCYLPNPTPIATDLECLPLSRVVSDKTSANLTVVVVH >OMO55466 pep supercontig:CCACVL1_1.0:contig14684:73609:74787:1 gene:CCACVL1_27237 transcript:OMO55466 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group, HMG-I/HMG-Y MATEEVNKPPSLPSYPQLILNALEALNDKNGSNKTSISKYIESKYGDLPAGHTTLLAHHLNRMKETGELVFWKNNYMKADPNAPPRRGRGRPPKPKDPLEPASVLGPARPRGRPPKDPNAPTRSPKPKSPSGSGKPRGRPRKMARPSGGIGVSSTSTMVTGVRGRGRPPKVKPSTLTEVSVEH >OMO55460 pep supercontig:CCACVL1_1.0:contig14684:25545:25811:1 gene:CCACVL1_27231 transcript:OMO55460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 30S ribosomal protein S31, mitochondrial precursor MAMMQWCAAVAKRVMTGQQPSLTSASPSGAAAMGAPVLCGRGDKKTKKGKIFKGPYGNARPKKEKMIERIKDKVEVPRCPWPLPFKLI >OMO55461 pep supercontig:CCACVL1_1.0:contig14684:34415:34501:-1 gene:CCACVL1_27232 transcript:OMO55461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLTSVQNHSAHRAVSRITETLNLVKT >OMO50013 pep supercontig:CCACVL1_1.0:contig16328:17135:17977:1 gene:CCACVL1_30699 transcript:OMO50013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDELSTSRHEVRIDMGDEHRIDMGDELSTSTASTRPSGSQSPRTWLSPILGFFLASPQTRQSTHRRTQSDLMSTLAASTRSDAQDTTSGSTLAGSDAQDIISGSTLTASTSPDAQDTISGSTLAASTGADAQDTTSGTTVAAHTGSDAQDTTSVSSIVLEDRPPETTLSATQSVELSTQTSTLPFDPLSTPRTSGAPETTFGASRAVVLALSVGHASRVSTQISTLPFAPLSTPSTSGTSTESGTIPPAPPLPPDLLRYRSRAIQQVKKIENPSDKKED >OMO50009 pep supercontig:CCACVL1_1.0:contig16328:4442:6962:-1 gene:CCACVL1_30695 transcript:OMO50009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLFDIVNVYPADIMLELALQIIVVNLRDSPLLPKPKAMDIRTRSEAEYIKAATDLTTRSEPEYIKARSKAWHLRSETKSTVEMIGLGVAMMSSLFIDGVNYRYAIVLLITHLSVVMTPLFNIAGMCPGDIMLELALQIIMINLRGNELLVYIANIM >OMO50012 pep supercontig:CCACVL1_1.0:contig16328:12326:15324:-1 gene:CCACVL1_30698 transcript:OMO50012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dna2 MSLDLAVNSSCSDKAPKFDSGSGCIFSQDSAISYVWNAWNGRKTYTMVHAVKALLVSLEPLMFASTFVLFGDHYQLPPLVQSAEARENGMGISLFFRLSEAHPQAISPLQSQYRMCQSIMELSNALIYGDRLHCGSPEVANAKLNFPRPSSCSSWLKAVLNLSRPVIFVNTDMLPAFEVRDHKTVNNPLEAYIIAEITAGLVNNGIEGNDIGIITSGESPQTCLPSISGDTYYGQIPGKRQRLHTVSFVRSNENPRNCISSLLADWQRINVALTRAKKKLIMVGSCRTLSKVPTLKLLIDKVDEQSRYF >OMO50011 pep supercontig:CCACVL1_1.0:contig16328:8635:10996:1 gene:CCACVL1_30697 transcript:OMO50011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPCWTFHDLFHDYFDVFPCPPPRPLLKTSNLSPRKLIIIICNANSNTISPGHMPAMLNNGVITTERCVMSNTIA >OMO50010 pep supercontig:CCACVL1_1.0:contig16328:7836:7910:1 gene:CCACVL1_30696 transcript:OMO50010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGVKSRSDLESFGGDFSLRHGG >OMO67524 pep supercontig:CCACVL1_1.0:contig12419:15:296:-1 gene:CCACVL1_20474 transcript:OMO67524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MGCNSWGSTALVFFWSTIALSLIQVSLGSKARHFRWEVEYMYRSPDCLEHVVMGINGQFPGPTIRAKAGDTIVVHLTNKLHTEGVVIHWHGIRQ >OMP10475 pep supercontig:CCACVL1_1.0:contig02452:293:358:1 gene:CCACVL1_00952 transcript:OMP10475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVGSGTMVLVEPERPEFRRVS >OMO71054 pep supercontig:CCACVL1_1.0:contig11730:1875:1976:1 gene:CCACVL1_18475 transcript:OMO71054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGWSEQKTDITPVEKECLSLLFCSRHDSTDS >OMO71055 pep supercontig:CCACVL1_1.0:contig11730:5094:5168:1 gene:CCACVL1_18476 transcript:OMO71055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEGTRERGDESGNKEATAKTKK >OMO71057 pep supercontig:CCACVL1_1.0:contig11730:9389:12586:-1 gene:CCACVL1_18478 transcript:OMO71057 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MAGSTARSFLQVAATEEVASPLRVVQIEGLVILKIIKHCKEFSPALVTGQLLGLDVGSVLEVTNCFPFPIREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSTILGSYQTVELIETFMNYQENIRRCVCIIYDPSRSNQGVLALKALKLSDSFMELYRANNFTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTELESDTPVTQCDYDRLQLSTNPYMERNMEFLIECMDDLSVEQQKFQYYYRNLSRQQSQQQTWLQKRRAENLARKAAGEEPLPEEDPSNPIFKPIPEPSRLESFLITNQISNYCNQINGVAGQSFNRLYLMKALHDK >OMO71056 pep supercontig:CCACVL1_1.0:contig11730:5557:8704:1 gene:CCACVL1_18477 transcript:OMO71056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRSMSIMGFLLQLALVICLLLSPSVFHGCMGNDDVSIQLNDDVLGLIVFKSGIQDPSSNLASWNEEDNSPCSWRFIQCNPVNGRVSQVSLDGLGLSGKIGKGLQKLQFLKVLSLSHNNFTGSISPELALLGTLESLNLSHNSLSGPIPRSFVNMNSIRFLDLSGNHLSSSIPDDLFQTCSSLRYLSLSGNSLEGQIPSTLTRCSSLNTLDLSRNRFSGNIDFVSGIWSLQRLRTLDLSHNAFSGTVPEGVMALHNLKELHLQGNTFSGPMPLDIGLCPHLNTLDLSYNLFSGPVPDSLQRLHFLSFFSLSNNMLSGDFPQWIGNMSNLAYLDFSTNSLTGSLPFSIGNLKSLKYLSLSNNRLTGNIPESVAYCSQLSIVHLRDNGFNGSIPAGLFDLGLEEIDFSNNSLTGSIPRGSSRLFESLQGLDLSRNNLQGNIPAEMGLFANMKYLNLSGNNLYSRIPPELGLFQNLTVLDLRSNTLYGAIPGDICESGSLAILQLDDNSLTGPIPEEIGNCSSLYLLSLSHNNLSGSIPKSMSNLSSLKILKLEFNELSGEIPKELGMLENLLAGPCKMNVPKPLVLDPDAYNGQMGGQRQRNESSNPTRFHRHMFLSISSIVAISAAILIVSGVIVISLLNVSARRRLAFVETALESMCSSSTKSGSLTTGKLILFDSKLSPNAIDNPEELLNKAAEIGEGVFGTVYKVPFGAQGRMVAIKKLVTTNIIQYPDDFDREVRVLGKARHPNLISLEGYYWTPQSQLLVTEYAPNGNLQTKLHERLGRRPVEYGEDNVVILSDHVRVLLEQGNVLECVDLSMGDFPEDEVLPVLKLALVCTSQIPSSRPSMAEVVQILQVIKTPVPQRMEIF >OMO62343 pep supercontig:CCACVL1_1.0:contig13281:51950:52330:-1 gene:CCACVL1_22884 transcript:OMO62343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPMRFLLVFFSAVLAGYVAWRTARSSSDIDDDVVSEGSEKTAVAKQEFSFKMMVQNGFWVFVDMASGRYLWRNLKELKNDEKVKSC >OMO62340 pep supercontig:CCACVL1_1.0:contig13281:16562:18179:1 gene:CCACVL1_22881 transcript:OMO62340 gene_biotype:protein_coding transcript_biotype:protein_coding description:disease resistance protein MEKKKKKKDNLASNPNPCFGPASSGSSPTKFVFPQVRYLKFESLSKLKYFYPSMITSEWPALTNLVVTDCPYVEAFSRKSMNVEYYQDEYLFWFSKEAFPLLEEEGFGTDELGNKYFFGREKKR >OMO62342 pep supercontig:CCACVL1_1.0:contig13281:48982:51480:1 gene:CCACVL1_22883 transcript:OMO62342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAHLLVGPSPINVLSSSFVSGGNPSISASPVNGGNWPYSYNNHRRSRNRWVPPPSASFTSAAGINGEQNHYSVLGVPRDASSADIKRAYRLLARKYHPDVSKDSQAGEVFKSIRRAYEVLSNEVTRVQYDRALTFEEDAGRSYKGKRNYSPEFEDGVRIYRWAELKRKMQEERFRQRYKVNEEYSFYDETDDESEEVDLDQDRGSFIEVLKSAFITLCLLKTFGSLFSLTFSSLMALFDKKLDAGYKIGYVIAWVLGGRGGILLTLCLSFASWVCGKTSSSLVVLVVLAMWIGSSLARYAPLPQGALLTLLYMSIKLQDDII >OMO62349 pep supercontig:CCACVL1_1.0:contig13281:120019:122316:1 gene:CCACVL1_22890 transcript:OMO62349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose-1-phosphate uridyl transferase, class I MAANPPPTRSPELRKDSVTGRWVIFSPARAKRPSDFKSKAPENPNNNPSSCPFCIGNEHECAPEIFRVPDDPNWKLRVIQNLYPALSRNLEYPIGRNDEPSNELGGWNQVVPGFGFHDVVIEAPVHSIQLSDLSPSEIGDVLIAYKRRIEQIKGFDPIKYVQVFKNHGASAGASLSHSHSQILSLPIVPPSVSARLNSMKEYFNQTGKCSLCEVQSKDLLINETSHFISIAPFAASFPFEIWIIPRDHSSDFDDLDDEKAVDLGGLLKLMLRKMSLQLNNPPFNFMIHTSPLQVTNSDLPYSHWFLQIVPQLSGVGGFELGSGCYINPVFPEDAAKLLREVNVPI >OMO62346 pep supercontig:CCACVL1_1.0:contig13281:71855:73350:-1 gene:CCACVL1_22887 transcript:OMO62346 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex, subunit Gar1/Naf1 MRPPRGGGGFRGGRDGGRGRGFSRGGGGRGGGRGFGGRGGGGFRDEGPPEEVVEISTFLHACEGDAVTKLTNEKIPYFNAPIYLQNKTQIGKVDEIFGPINESYFSIKMLEGIVATSYGPGDKFYIDPGKLLPLAKFLPQPKGQGQSGGRGGRGGGRGGGGRGFGRGGGGRGGGGFRGGRGPPRGGRGGGRGGGFSRGRGRY >OMO62348 pep supercontig:CCACVL1_1.0:contig13281:112074:116578:-1 gene:CCACVL1_22889 transcript:OMO62348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGARRSKRLDDLQPPQPVDQAENWALPLQNRTRRRVGGRGRGNAAGVAKAPTPAVPTRPTAAGRGRGIRLIDLDPEPCQVLPEAAPLAAAEPPFNRVEVVADKDIAMEGGSGDKVVAVEEEASTTPVPERVQVGNSPMYKIERKLGKGGFGQVYVGRRVSGGSDRTGPDAIEVALKFEHRNSKGCNYGPPYEWQVYNTLNGCYGIPWVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPNMVACIAVEAISILEKLHLKGFVHGDVKPENFLLGQPGSAEEKKLYLIDLGLASRWKDAHSGQHVEYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKQFLEAVTNMKFDEEPNYAKLISFFESLIEPCTPLRPIRIDGALKVGQKRGRLVINLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLRQHIDKGNEDGLFISCVASATNLWALIMDAGTGFSSQVYDLSTAFLHKDWIMEQWEKNFYISSIAGATNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGFSDQVFLFLHPYACL >OMO62344 pep supercontig:CCACVL1_1.0:contig13281:54245:58773:1 gene:CCACVL1_22885 transcript:OMO62344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHRVAVHNPRTPRATKCHYAPTKSMKGKPGVALQSSTVRTVALPATEPTVKPIAKGSATPATEPSTTPAAVTPYGHNYLFTGSRDGTLKRWALGEDAATCSATFESHVDWVNDAVIAGDHTLVSCLSDTTLKTWNCLSNGTCTRTLRQHSDYVTCLAAAEKNANVVASGGLVGEVSVWDIEAIVTPLSKSSDATEDDCSNGINGSANSFTNIKFANHWLE >OMO62345 pep supercontig:CCACVL1_1.0:contig13281:69177:71274:1 gene:CCACVL1_22886 transcript:OMO62345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate/phenylalanine/leucine/valine dehydrogenase MNALVATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSISELERLTRVFTQKIHDLIGVHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLNEHGKTISGQKFVIQGFGNVGSWAAQLIHEKGGKIVAVSDITGAIKNSKGIDIPSLLNHVKENKGVKGFHGGDSIDPNSILVEHCDILIPAALGGVINKENANHIKAKFIIEAANHPTDPEADEILSKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKTYMTKGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWEA >OMO62341 pep supercontig:CCACVL1_1.0:contig13281:44331:46189:-1 gene:CCACVL1_22882 transcript:OMO62341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylylsulfate kinase MSTVGNATNIFWQELPVGKLERQKLLNQKGCVVWITGLSGSGKSTLASSLSRELYTRGKLSYVLDGDNLRHGLNKDLGFKAEDRTENIRRVGEVAKLFADAGLICIASLISPYRKDRDACREMLPDNNFIEVYMNMPLELCEERDAKGLYKLARAGKIKGFTGIDDPYEPPLNCEMEINEKDGVCPTPGDMAGEVVSYLEEKGYLHDQ >OMO62347 pep supercontig:CCACVL1_1.0:contig13281:75635:89866:-1 gene:CCACVL1_22888 transcript:OMO62347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSQLSKSYFIIFFLLSLSFIASLSCLHEQQEALLEFKDLLLGDMTRDNSTDLFLGGLETWNSSSECCQWSLVQCNSSSSSQEVTGLSLSGLFPSLQIQKDSTVLAPVFRIKTLALLDISYNSIQGGIPGTGFSNLTELVHLDMRENSFNCSIPPQLFHLRNLEFLDLSFNMIDGSLPSGVGNLKNLRQLSLDTNFIRGEIPEEIGNLTELRILKLPNNQISGRIPLSILELRKLEVLDLHNNTISMEIPADVGNMVNITTLDLSKNNLSGEIPSSIQKLGKLETLQLENNMLSGEIPTWLFDLKQMKMLNLGGNRLIWNSNIKINPKCKLSSLSLRSCNIKGQIPVWLSNQTDPIFLDLSENQIEGNFPDWLARKSLGTIILSDNKLQGSLPPQLLQSQALLVLSLSRNNFSGELPEISAISLMIIMLSENNFSGPVPKSISKINNLLILDLSKNSFSGSEFPAFRADSILVFVDISSNKFSGKVPAAFGLYPSMLSLSQNDFSGPLPESFRNLNMLTHLDLHDNNISGEFPAFLSQLSSLQVLNLRNNSIEGSIPDDLSSLSSLRILDLSNNKLRGKIPQSFGNLTGLIDTPHLSLPEKYAPVVDLFWGYHELIVNWKNSKRGLSNRNLDIYTFLDLSENQLSGEIPPSLGSLKSLKLLNFSYNALSGNIPLSFGDLQSLEALDLSHNNLGGEIPDTFAKFQQLNTLNLCNNKLVAKIPQGPQMDRLIGSSIYANNSGLCGVKIEVPCEEDLLPPDHQEKQEKWFSWEAAGIGYPVGFLSSTAMMYVIGYFNMTPFLRRRRRTNRSFIASFSCLHEQQEALLEFKDLLVGDMTRDNSTDLFLGGLETWNSSSDCCLWSMVQCNSSSSSQEVTGLSLSSLFPLLQIQKDSNVLAPIFRIKTLMLLDISSNSIQGGIPGTGFSNLTELVHLDMRENSFNGSIPPQLFHSRNLEFLDLSVNMIDGSLPSGVGNLKNLRQLILDTNFIRREIPEGIGNLTELRILTLPNNQISGRIPLSMLELRKLEVLDLHNNTISMEIPAGIGNLVNLTTLDLSKNNLSGQIPSSIQNLGKLETLHLENNLLSGEIPTWLFDLKQMKMLKLGGNRLVWNNSVRINPKCKLSSLSLRSCSIKGQIPVWLSNQTDLIFLDLSENQIEGNFPDWLARKKLGTIILSDNNLTGSLPPQLFQSRILSILVLSRNNFSGKLPETNTTSIMVMMLSENNFSGPVPKSISKIYRLLLLDLSKNSFSGSEFPAFGEQSLLASIDISSNKLSGKVPAAFGMNINMLSSSQNDFSGPLPESFSNFSLLEYLDLHDNNITGEFPTFFSQLSSLQVLNLRNNSIKGSIPEDLSSLSSLRILDLSNNNLEGEIPQSFGNFTGMIDTPHDLSSTLSGLFSFPVEIHDLILNWKNSKRGLSSRNLGIYTFLDLSKNQLSGEIPPSLGSLKSLKLLNVSYNALSGNIPLSFGDLQSLEALDLSHNNLDGEIPNTFAKFQQLNTLNLSNNKLVGKIPQGPQMDRLIDSSIYANNSGLCGVQIEVPCEKNLQPLDDHHKKKREKWFSWEATGIGYPVGFLYSIAMMYVIGYFNMTPLNRRRRRSNRSFIASFSCPHEQQEALLVFKDLLLGDMTRDNSTDLFLGGLETWNSSSECCLWSMVQCNSSSSSQEVTGLSLSSLFPLLQIQKDSTVLAPIFRIKTLTFLDISYNSIQGGIPGTGLSNFTELVHLDMRENSFNGSIPPQLFHLRNLEFLDLSVNMIDGSLPSGVGNLKNLRQLSLDNNFIRGEIPEGIGNLTELRILTFPNNQISGRIPLSMLELRKLEVLDLHNNTISMEIPAGIGNLVNITTLDLSKNNLSGEIPSSIQKLGKLETLQLENNMLSGQIPTWLFNLKQMKMLKLGGNRLVWNNSVRINPKCKLSSLSLRSCSVKGQIPVWLSNQTDLIFLDLSENQIEGNFPVWLARKSLGTIILSDNNLTGSLPPQLFQSRNLSVLVLSRNNFSGKLPEINTTSIMVMMLSENNFSGPIPKSISKIYRLLLLDLSKNSFSGSEFPAFGEQSLLASIDISSNKLSGKVPAAFGMNINMLSLSQNDFSGPLPESFSNFSLLEYLDLHDNNITGEFPTFFSQLSSLQVLNLRNNSIKGSIPEDLSSLSSLRILDLSNNNLKGEIPQSFGNLTGMIDTPDLSSTLSGLFTFPVEIHDLILNWKNSKRGLSSRNLDIYTFLDLSKNKLSGQIPPSLGNLKSLKLLNFSYNALSGNIPLSIGELQNLETLDLSHNNLGGEIPDTFANLQQLNTLDLSNNKLVGKIPESPQMDRLIDPKIYANNSGLCGVQIQVPCGDDLKPPDYQEEKQEKWFSWVATGIGYPVGFLSSTAVMLFLGHLQVALEMKKVHHEGRKTPFKASQLRVSKQRKRTLRPPRGRGGFRGGRDGGRGRGFGRSGGGGRSFGGRGGGGNHDHEDEGPPKEVVEVSTFLHACKGDAVTKLSTNEKIPKFNAPIYLQNKTQIGKVAEIFGPINESYFSIELLEGIVATSYTPGDKFFIDPRKLLPLAMFLPQPKGQAQPGGRGGRGGGRGGGRGQAQAGGRGGRGGGRGGGRGFGHGGGGRGGGGFHGRGPPRGGRVGGRGGGFSRGRGRY >OMO94005 pep supercontig:CCACVL1_1.0:contig08013:6322:7284:1 gene:CCACVL1_06227 transcript:OMO94005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MDPILGLLLLTSFFTNLVAESTNPISRITVVGVVFCDICSSNTFSRHSYFLPGVEVNVQCKFKAKSPKTTEQMSVSVNRTTDKYGVYKLEIPQVDGVDCVEGLAIESLCEASLIGSKASGCNVPGLKTSTNQISVKSKQDNLCIYSLNALSYKPSKRNTTLCRNHKKEQLPLPLPASSSSLNSSKCFFPFPNLGFPWPFPSLPLPPLSSLPLPPSLPLPFPPLPPFPPTSSLFSPPPPPPAFNLGDPTTWIPNFPKLGTPPPPPAFNLGDPRTWIPYLPPPSTPQKQTP >OMO94006 pep supercontig:CCACVL1_1.0:contig08013:7873:13174:-1 gene:CCACVL1_06228 transcript:OMO94006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVKNNEDKVKAEADNLLAKKARQRQTQNPIDISSGSSSSNGSSSSDSSDIEDSLISHNSLPKLGQNKRNSDNPGDAFSTKKPKVENFGFDLPAGFLAPLPSEHMTEPLCYRLPSVAEAVPVSVKFPVAVVENHQLSNKVSRGCKQFWKAGDYEGGNAGESAISSVSMDHVRVHPKFLHSNATSHKWALGAFAELLDNALDEVCNGASYVCIDMLKNQKDKSKMLLVEDNGGGMNPEKMRSCMSLGYSSKSKMANTIGQYGNGFKTSTMRLGADVIVFSQSPGKDGKSPTRSVGMLSYTFLTETGQQDIVVPIIDYEQSGHGWNKIMQASEDDWYRKLDTIVQWSPYASEADLLQQFDFLKDRGTRIIIYNLWEDDEGKLELDFETDEHDIQIRGVNRDVKNIEMAKNFRNSRHFLTYRHSLRSYASILYLRLPTNFRMVLRGKDIEHHNIVNDMMLAAKTTYKPVLSGKAPTTSDMVANVTIGFVKDARYHIDVQGFNVYHKNRLIKPFWRVWNAAGSSGRGVLGVLEANFVEPAHDKQGFERTIVLSRLEAKLVSMQKAYWFENCHEVGYAPRRPTKNSSEDTSFVPCVGEKSTQKSNVKEKSSCPPSGDPKLKHKLQDKSSSHSNKNANLSVRRSSRMQFDKKFEPTSLSDEASEDESGSRGSTPLKGESQQGPRPNTRSSNLKAAKVNKDGQDPSKNDTESITELKAENHDLRKRLDKMNGDFHDERERCKSLEGQLKQEKHMREVSEKELDALIGIIEEERKRRDEEEERLRKRLTDASVTIDELLEKVKRLESRGVAVIKKEYLN >OMO94644 pep supercontig:CCACVL1_1.0:contig07821:4797:22689:1 gene:CCACVL1_05894 transcript:OMO94644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MGKKITAINYIETKFRLDIPHSWVFSNMGKKWRDFKHDLKKLCFNRDDGWDVTISLQPLDIDIDAYDEMDRFWYSTKGEEKLKIGIGSRKQQKFSHCEGAKSFASVREEMINKSEPAGVVAVYKKTHTRKNGEFLNDESRAVIQRELQSEQQFAKLQEERQVELARFQELEQQKAVMSAQLSKLMKFVAKKFPGEELGQPINLPQDTQNDSGNDDGGGDAHKLFVSNTSISSAQSHCGLIDDLRPTKEGTMGDRRDGENLADLATLLQNLVQRMNSMSTRIDQRMEALANEVQQVREAQNQHAQPPQPRTQLGVELVDDLMREPRVPRAAARGGPLDRLREQEAGGEAYLDNLRPRRVVEREEPKDNIKYTIPKFNGRETKKVEIAILEFVGNALNWWNQLVSRWNHLCVSPFFSLWRMKKLHYPHWNRSQMPSMDLDLARKRRKMQSTRNVPQGLKALTLSGSGSELEEEEERIRKRIELAIGRVRAGSGGFGVEVEEALQATMAKFLASLNREIHDIVEMQQHYDLEEMLQNILKVMYFNKHGEILSEEEGDFNLDSSGDGDDERDDDEAPIDDGDELAPLKSLVARRTLSAYVKGGHGNMTIKCIMMGRQIGTLLFAVRVKEKSKTKPKIDDLGIENRAVLSEKKETSRKVVKEYVFPEEIPSGLPSIRGIEHQIDFILGAQIPNRLAYRTNPYDGTWRMCIDCRAINNITVKYRHPIPRLDDMLDELYGACLFSKIDLKSGYHQIRMKEGDEWKTGFKTKLGFRTLEGHVEHLHCVLDVLRVEKLYANLKKCTFCTNRLVFLGFAVSAQVIKVDEEKIKAIKDWPIPSNVGQVRSFHGLAGFYRSLDFCLLGGLVNYLTILDIVVGLLLWVLVFILLPRMHIVQGLSQQLVSEFQRNCKLLKQERKDGKSKKNSEEESNVTVVVSDEETVSLICGHGDCNHVVDPSIEWIVDTGATYHSVPKRELFTTYKVGDFGETRMGNKSVSQIVGIGDIVVQTSTGCTLTLKNVRHILDLRTNLLAINVLDKERYESRQKDGQWKLFDGSLLVAKGSLHYVQDLHRRVSFHIPATRKENKLELIHSDVCGPMEGESLRGNRYFVTFIDDASRKTWVFCVRYKSQVLHVFQKFPAMVERETGLPLKCIRTDNVGEYTSNDFVAYCSKYGIRHELTKPVTPQHNGEAKRMNRTIVERVICMLRIVKLPKTFWGEAIKVACYLINRSPSAPLGFHIPEKVWSGFGIQKRKRLLKEGIVFHEHEIIADFEKKEKTSRLVHDDDVIPPTIPPRRATDDGNEQGIELCTDEPAIGNDELDGDDDIAQPEAVGIEQAAAWLEKHLVGNATVMAGPNLVKTRGGVTVASSGAVLTSADTVGGSPGRAVAGDGRAMACRGAAVA >OMP12161 pep supercontig:CCACVL1_1.0:contig00344:334:435:-1 gene:CCACVL1_00093 transcript:OMP12161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGSERRVRDRRVRARRSAAGGSGAGGAAARRN >OMO89984 pep supercontig:CCACVL1_1.0:contig08567:5164:8695:-1 gene:CCACVL1_07552 transcript:OMO89984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDFEFPTGSNMEEDMMDIPEDDPVSPILKVCEEKEVGKNGLKKKLLKEGQGWETPENGDEVEVHYTGTLLDGTKFDSSRDRGTPFRFKLGLGQVIKGWDEGIKTMKKGENAIFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGILKKILVEGEKWENPKDLDEVFVKYEACLEDGTLISKSDGVEFTVGEGYFCPALAKAVKTMKKGEKVLLTVKPEYAFGENGKAAVGSEGAVLHITLELVSWKSVSDVTKDKKVMKKILKEGEGYERPNDGAVVQVKLIGKLEDGTIFVKKGHDEEEPFEFKIDEEQVIDGLDRAVKTMKKGEHALITIQSEYAFGSSESQQELAVVPANSTIYYEVEMVSFVKEKESWDMNTQEKIEAAGKKKEEGNALFKAGKYERASKRYEKAVKFIEYDSSFSDEEKKQTKLLKVTCNLNNAACKLKLKDYKQAEKLCTKVLELDNRNVKALYRRAQAYIQLVDLDLAEMDIKKALEIDPENRDVKMEYRVLKEKIREYNKKDAQFYGNIFAKMNKLEQAKAAKQEPAPMVVDSKA >OMP07058 pep supercontig:CCACVL1_1.0:contig04698:937:999:1 gene:CCACVL1_01385 transcript:OMP07058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRKIQYFYYSTNHQEKQIQQ >OMO72255 pep supercontig:CCACVL1_1.0:contig11481:16412:16471:-1 gene:CCACVL1_17876 transcript:OMO72255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTSSRRGSLIKKIKRQWFD >OMO68456 pep supercontig:CCACVL1_1.0:contig12224:2208:5837:1 gene:CCACVL1_19936 transcript:OMO68456 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MPLFNYWRWFFLIATSLATILFINPSAAKSRPLVTYADINTICSKTEDQPFCFRVLTNQTFRPYETNLLGLAKIPINLALSGAFYINEDIPPLLKQAKNYKEREAYTLCSQNYNEAYDTVRKAIRFLTKDDYRGLRVAALSGGEEAKACGNNNCSTQPSCLDPEKIELLHFKMEQKKSHGVIALFPIPYQGHINPMLQLANILHDKGFSITIIHTCFNSPNPSKYPHFRFLSISDGLPEDQVVPSGNSDVLALTNALNLNCVTPFRDCFQELLSSNDDDPIVCLITDGIWHFTQAVANDIKVPRIVLWTSSATVYLNFIPNPIPHDYERGDIVQENDLESALQFVASVFRETKASSGLILNTCEDLEQEAIEKCSMGFSIPIFTIGPFHKYFSASSSSLLPQDQSCITWLDKQAPNSVIYVSIGSLAAMAAKTEFLEIAWGLANSKQPFLWVVRPGSVPGSEWLEPLPEGFLEMVSERGHLVKWAPQQQVLAHPATGGFWTHCGWNSTLESLSEGVPMICQPFFADQGTDATLITDVWKVGVRLADKIERGEIEKTIKRLMVEEQGQEMRGRIMLLKEKISLCLQPGGSSYKSLDNLVTYISSL >OMO68459 pep supercontig:CCACVL1_1.0:contig12224:19393:24932:-1 gene:CCACVL1_19939 transcript:OMO68459 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEQEQRPQATSPHVLIFPVPAQGHVNSMLKLAELLALAGLKITFLNSQHNHERLICHTDIRSRFIKYPGFEFETITDGLPVDHPRVGDRIMEIFDAMELRTKPILREMLVKMKPPVDCIIGDGILGFIVDVANELGIPIFQFRTISACCIWAYFSIPDMIQAGELPIKGFEFETIWDGLPRDHPRSGFSAAMEMLEGLELRTKPIFRDMLVKIKPPVDCIIGDGLLGFIVDVADELGIPILQFRTIGASCIWSYFFIPDMIQAGELPIKGQ >OMO68463 pep supercontig:CCACVL1_1.0:contig12224:63885:65064:1 gene:CCACVL1_19945 transcript:OMO68463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSINSCTFSFNLQIFIFLFLTAKPISSFAHQALDNNPSFSPNISLFGDAKVDNGGSHVQLTRPHAQSSGLLFLEKPFKFGDQRNAARPTSFSTEFAFSISPGNGDGLAFVLIPNGYQKRFQGQGNFGLVGEHRFLGIEFDTKKNDKVGDLNANHVGIDVWSLESVKVSNLSSLNLVLNNGEVLKSWVDYDSSSKLLQVRLSKLNDKRPFSPILAYHIDLLEIWGNEDVFVGIVSTTNEESAQSSSNVYSWRFRVRDVYSWMHSMPADPRGSAIKDSRVCTAEKRKFCALTILAKLIFATGCGALLAFVMLFMWAILISRHTIFPVKEAGPDDFRYEKVNVIIETTSQGDEMQYSHAFV >OMO68458 pep supercontig:CCACVL1_1.0:contig12224:13904:18875:-1 gene:CCACVL1_19938 transcript:OMO68458 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEPQAHSPHVLIFPLPALGHVTSMLKLAELLSVSGLKITFLNSVHNHERLISRHSDIHSHFVRYPGFEFETIWDGLPPDHPRVGNAFLDIFGGLYFKTKPIFREMLVKMKPPVDCIIGDGVLGFVVDIADELGIPILQFHTVGASSAWACFSILNMIEAGDLPIKGLKVSFLNSEHNHNRLVRYANIHSRFEKYPGFEFRTISDGLPEDHPRSGNRFMEMFEAMNLKTKPLLKNMLVEMIPDLDCIIGDGILGFVLDVANELGIPIINCCTIGACYLWSNYSIPDMIEAGELPIKGPVNAMLKLAELLASSGLKVSFLNSEYNHNRLIRYADIHSRFEKYNGFEFRTISDGLPEDHPRSGNRFMETFEAMNLRTKPLLKDMLVEMIPDLDCIIADGILEFVLDVANELGIPLINFRTISACFLWANYSIPDMIEAGELPIKGKLLPV >OMO68462 pep supercontig:CCACVL1_1.0:contig12224:42127:45053:-1 gene:CCACVL1_19942 transcript:OMO68462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGEERESNRLDSPAAGGSGSGSGGDELESILAESELAGGGVGGGGASGGSNSRVKGPWSPEEDAVLSRLVAKFGARNWSLIARGIPGRSGKSCRLRWCNQLDPCLKRKPFTDEEDSIIIQAHAIHGNKWASIAKLLPGRTDNAIKNHWNSTLRRRCIELGRCRQGPGDMMDDGSLERTKASSEETLSVEDINSFKHLEGRDVVMDDRPNLQEDKRPTKDDQSAVEAKDQSTLYRPVARVSAFSVYNPPSSSGADSGMSSTVLRKGPLAHSSKPEWGVGKILEDLRSEPIIPLRCGFGCCSTHCAGHSQSSLLGPEFIDYEEPPAFSSHELISIATDINNIAWIKSGLENSCGGMPGNASAHRMSQGGTSSMQIGVLEQHVQNDRLHFDDGRIKLTGMTTEVIPAQMPKQTFTIQSEENGHVRISSNAAPKRMSQGASSSMQMGISEQYLKSDHLRFDDGRSKLTGMMTEVLPTQMPKQTFTMRSEVEGLS >OMO68464 pep supercontig:CCACVL1_1.0:contig12224:66008:68904:-1 gene:CCACVL1_19947 transcript:OMO68464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MVEGGIIKTEKINFSEFWRTLRENPYIIWLALSAGIGGLLFGYDTGVISGALLYIRDDFPEVDKETWLQSAMVSMTVGGAIFGAGIGGWINDGFGRRITLLGADVLFTVGALVMAFAHAPWMIIVGRAFVGLGVGMASMTAPLYISEASPARIRGALVCVNSILLTGGQFLSYLINLAFTNTKWTWRWMLGVAAVPAIVQFFLMLYLPESPRWLYRQNKVEEARSILAKIFPAHEVDHELNVLKLSVEAEKAEKGTNSENEQSLCGIQKVRKCVSLFFGNVVVRRGLYAGVTVQVVQQFSGINTVMYYSPTIVQFAGFASNKTAMALSLITSGLNALGSVASMFIVDRFGRRKAMLVSMAAIIVCLTTLLVVFYEATVHAPKITRFDTSFPINATCPSYLSASNSYSWNCASCLKAGCGFCANKHNQASIIFARGTCLTFTTEIKDLCRAEHRTWIRDGCPSKIGFVAIIFLALYIISFSPGMGTVPWILNSEIYPLKYRGICGGLASLSNWVSNLVVSLCFLPVSLALGSSGTFGLFAGFCVVGITLIYLFVPETKGLPFEEVEKILEVGYTPKLLRCTKADNEGHKGTSKGDNGV >OMO68460 pep supercontig:CCACVL1_1.0:contig12224:34451:36903:1 gene:CCACVL1_19940 transcript:OMO68460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLCPNYDFPEGLDTVLEVPIPEEMFASNKTSSNKSWQNMKSWMNMKQSSDKSPPSMTAIFGGRNTEIQLLLGVIGAPLIPLPVKLDHDQSSISKNIKDHPIEASMAKYIVKQYIAAVGGEKALNSVDSMYAMGKVKMAASEFCAGEGTVNNKIVKVRNLRNGGGEIGGFVLWQKRPDLWCLELVVAGCKISAGSDGKVAWRQTPWHNSHASRGPPRPLRRFLQGLDPRSTANLFSNSVCVGDKTINDEDCFILKLEAEPSTLRARSSTNVEIIRHTVWGYFSQRTGLLVQLEDSHLLRIKAPGNDSIFWETTMESWIQDYKTIDGINIAHSGKTWVSLFRFGENSESHSRTRMEEAWTIEEVDFNIKGLSMDCFLPPGDLKKEEEGYGIVSSNVRLPFKLRNGTKRVISASKIVAIDVDECDDSSDDDDDDDDEDL >OMO68457 pep supercontig:CCACVL1_1.0:contig12224:10324:11076:-1 gene:CCACVL1_19937 transcript:OMO68457 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEQGESKASAPHILMFPLPIQGPVNAMLKLAELFASSGLKVSFLNSEYNHNRLVRYANIYSRFEKYPGFEFRTIPDGLPEDHPRSGNRFMEMFEAMNLRTKPVLKEMLVEMISDLDCIIGDAFLGFVLDVANELGIPIINCPTTGSCYLWSNCFIPDLIEAGELPIKGNLDFISYLEFSTDFERAYYSLFAPELQIRTICSRIWKFCPLNFKSGQFAPEFGKLREQIVSTLGGRLSLTHYSWGKKTNKPF >OMO68461 pep supercontig:CCACVL1_1.0:contig12224:37530:40041:-1 gene:CCACVL1_19941 transcript:OMO68461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MEALPKQPQGVVVIDVVDGEEEEQRNQTDNEEQEEEEDGSSSSSSSTEIIKERGSSVSETSSLEVDLESGVNQSETKLHLAKVERDCRICHLSLDPTNQESGVPIELGCSCKDDLAAAHKHCAEAWFKIKGNRTCEICGSTARNVAAANETEMTEQWNEANDSTAAATAPIAIHSAETRNFWQGHRFLNFLLACMVFAFVISWLFHFNVPS >OMO68465 pep supercontig:CCACVL1_1.0:contig12224:71497:71559:-1 gene:CCACVL1_19948 transcript:OMO68465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKITPLAPKAVALFVAMLV >OMO69521 pep supercontig:CCACVL1_1.0:contig12020:9465:11764:-1 gene:CCACVL1_19454 transcript:OMO69521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSPESPISHKTNPNPNLTPNSDHYDENFNLKPPLSSINPKSKSRTLTLPDIWFKEQALKHVIYKMQHQQQQQFHRPSASNTPSASPPSEPDLTSFQSLSVDPAAPDCTSLLSDELLLRIFSKLPISQHVSNSLVCKRWLYLSGRSVRFLRVTDWLFVVSGRVFKRFPNLTDLDIVRSCIRKPRSSGILVTHKTMSVNVDISYSLNGFLEETALLPSSSVDQGLKMIAEKYPTLQRLVAIGASEEGLLRIAEGCSMLQELELHCCGDLALVGISGIKNLQVVKLIGFVDGYYNSIISDIGLTLLAQGCRRLVKLELCGCEGSYDGIKAIGQCCQMLEELTLSDHRMDGGWLSGLSFCGNLKTLRLKSCKTIDKSPGLDEHLGSCFTLEELHLQQCQIRDKQSVKALFLVCENVRDIILQNCWGLEDDVFSLASICRRVKLVSLEGCSLLTIKGLESVVLSWKELQQLRVVSCNNIKDTEVTPELATLFSVLKELKWRPDSRSLLSSNLEGTGMGKKGGRFFKRSKD >OMO69522 pep supercontig:CCACVL1_1.0:contig12020:15217:18706:1 gene:CCACVL1_19455 transcript:OMO69522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQCVPSWDLDENPVTARHSLRSNSNSTAPDVPMSDYEVAELTWENGQLAMHSLGPPRVPTKPSLNSTAPTKYAWEKPARASGTLESIVNQATQFPYPTMTMDALVPCSNRSEDRTTHVMESIPGLGGTCVVGCSTRVGSCSGPAGNQEEEVLLTGKRAKEARVPVAPEWSSKDQSACASASATFGRDSQHVTVDTYEKDLGVGFTSTSPDNTSTKADDHDSREAGEEDKQKETGKSSVSTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVNMMSRMNMPPMMLPMTMQQQLQMSMMAPMGMGMGMGMGMAGMGVMDMNSMGRPNMSGISPVMPNPFMTMTSWDGSGDRLQAAAAASAAVIPDPLSAFLACQSQPMTMEAYSRMAAMYQQMQQPPASSSKS >OMO51313 pep supercontig:CCACVL1_1.0:contig15885:40483:45076:-1 gene:CCACVL1_29866 transcript:OMO51313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligosaccharyl transferase, STT3 subunit MSSTMTSDLLKPFKLKTKQQELLIRVSILCLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTQNGFYDFWNWFDSESWYPLGRIIGGTLYPGLMVTAALIYRLLHFLRFAVHIREVCVLTAPFFASNTTLVAYFFGKEIWDTGAGLVAAILIAVCPGYISRSVAGSYDNEGVAIFALLFTFYLFVKAVNTGSLAWGLASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRYSMRLYVAYNSMYILGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLDWVKYMLSDTKLFQAFLRITVTCAVAVGAIALGVGTVSGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATVKNLTVLLRSKNKVVQTGSTKGTSSGKASSKGSLDQSQPFQKNGAMALLFGAFYLLSKYATHCTWVTSEAYSSPSIVLAARGAHGNRVIFDDYREAYFWLRQNTPQDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLSYYRFGELVTEYGKPPGYDRARGVEIGNKDIKLEHLEEAFTTSNWIVRIYKVKPPNNRW >OMO51312 pep supercontig:CCACVL1_1.0:contig15885:22948:39001:1 gene:CCACVL1_29865 transcript:OMO51312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGKRRPGELSQAEGLEELKQLFRHHIESFDYLIDEGLDLMLKHVKPCRQAKISYTGSFYITVCFQYDGGVVIREKFNFGEFPIMLRSKRCYLREADPTKLVACKEEAREMGGFFILNGLERLVRALIMPKRNYPMSVVRDSFRDRREGYTDKAVVIRCVRGDLSSVTVKLYYLQNGSARLGFWLQMKEYMLPVGVVLKALIDTNDHEIYKKLTCCYSEKNGEVKGAVGTQLIGERAKIILDEAQHLALFTQEQCLQHIGEHFQPLMEGMGEESYSAVADALLRNYIFVHLDDNNDKFNLLIFMVQKLFSLVDQTSTPDNTDSLQNQEILLAGHLITIYLKEKLEDWMRKGKLLIEETIKNKSKNFDFNSVKDIKKVMEKNRAAQVSSAIENLLKTGTLLTQTGLDLQQRAGFTIQAERLNYLRFISFFRSVHRGASFAGLRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTSTCRITSNYNSQGNIRDFFKIRMSIVDVLVGVGMTTSWPKVEHAGPPQVLPVLLDGRVIGSLPSTEAEKVVAHLRRLKVSAASVIPDDLEVGYVPLSLGGTYPGLYLFTSPSRFIRPVRNISIPSVDGKNIELIGPFEQVFMEIRCPDGGNGGRSNSFPATHEEVSPTVMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMAFSLQAINARADQKLYHLQTPQSPIVRTKTYTKYCIDEYPSGTNVIVAVLAYTGYDMEDAMILKKSSVERGMCHGQIFQTETIDLCDSKRMSDQGQRIFKREHSDMSISSFVDSDGLPHVGQVIHQDEPYCSTIDQVTNSKYIYRRKGSETVIVDYVAVDTKNKNLQKANIRFRHPRNPVIGDKFSSRHGQKGVCSQLWPDIDMPFSGITGMSPDLIINPHAFPSRMTIGMLLEILAAKGGCLHGNFVDATPFSDDTESESLVEDLGSKLRALGFNYHGTEVLYSGVYGTELQCEIFIGPCYYQRLRHMVSDKYQVRATGQVDQITRQPIKGRKRGGGVRFGEMERDSLLAHGAAYLLHDRLHVCSDYHVADVCSLCGSLLTTTTIQPPKRVIREFGGLPPTKALKKVKCLACQTSKGMETVAMPYVFKYLVAELAAMNIKMTIQLNSRAAA >OMO51310 pep supercontig:CCACVL1_1.0:contig15885:1134:3205:-1 gene:CCACVL1_29863 transcript:OMO51310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease MQNCEAALKCLQTKGFPYSLQCTGNSVEGLPELKDEIGTHPGGDVVESVCSLSGEFMELPNEYYHKPIQHEYGAWSTFYPDSHKLQPYPLNAFGSQFYHFPVDNRFHYAPVNVITHGYPYEVQFQDFQYFVVIDFEATCDKEKNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQLLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLEKKGIKNTNFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFREVFGGMRCNLKEAVEMAGLAWQGRAHCGLDDAKNTARLLALLMRKGFKFSITNSLMWQTSDGPVAWNPIPENMAFSPHQPHKAKDQQMPLFQYHPYCFCGVKSSKGMVRKPGPKQGSVFFGCGNWTVTRGARCHYFEWASP >OMO51311 pep supercontig:CCACVL1_1.0:contig15885:17927:18289:-1 gene:CCACVL1_29864 transcript:OMO51311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKQVSNLKGTETSDPENTAATMDAKLEFCKRPFKMEILDDIREVIDQLLAKRNRSFEKKRHGDHTRPQLNQFHPSSDNATPPPWSPNAPSPSIAPSTQWPPQMNTLGASPAQPFPRPT >OMO83029 pep supercontig:CCACVL1_1.0:contig09949:14459:15447:1 gene:CCACVL1_11597 transcript:OMO83029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIKLKVKEVGSRGRPKGPFPRSRRGPTPDA >OMO83031 pep supercontig:CCACVL1_1.0:contig09949:21859:24092:-1 gene:CCACVL1_11599 transcript:OMO83031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRLWRCEAFTQGVSAAVGRRHPPSPQSRLLSESLTVRTHPVFCARKVWLSSSSDSNATSDSKNAGAGAEVITFAEAKKLMRLVNVESLKTKLGMEGKEVIGYSELLEACESMGVARSLDEAIAFARVLDEAGVVLLFRDKVYLHPDKVVDLVRRAVPLALTPEDDPVRDELKKLQEKKEEIDVLAHKQVRRILWSGLGLAVVQVGLFFRLTFWEFSWDVMEPIAFFTTTTGIVVGYAYFLFTSRDPTYQDLMKRLFLSRQRKLFKRHNFDVGRLKELQRKCKTPLDASTSIRNRVGLELELDDALHKD >OMO83032 pep supercontig:CCACVL1_1.0:contig09949:24827:27952:-1 gene:CCACVL1_11600 transcript:OMO83032 gene_biotype:protein_coding transcript_biotype:protein_coding description:transmembrane protein 56-like protein VYTITGLISQFCFKGYGKLSNKERVEWNNRGFSTFHALIAASASLYLLVFSDLFDEDSSDELIVNRASPISNTVLGAEILVLEFRLTGEEDISIGLLQPAHYTFRLGLDECGMVLEDHKGID >OMO83030 pep supercontig:CCACVL1_1.0:contig09949:18915:21036:-1 gene:CCACVL1_11598 transcript:OMO83030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLGLGCAAAPFTAQCGNAGAAKVLASPSSSCSSKLPTQLLINKSAFPCTSTSISTTAPSFRTAVAAVDSDQLSPDKIFSKSKLYFHIVSKCCAVIELVPSGFQQQPKKYYFVVANAKFMLDEEEHFKELLYERLRMFGERNIEQDFWLVIEPKFLEKFPNITKRLRRPAVALVSTNGPWITFMKLRLDRVLADSYEADSHEEALASNPTTLEFEKPENWVAPYPKYEFGWWETFLPSGVKESKV >OMO83027 pep supercontig:CCACVL1_1.0:contig09949:1218:1595:-1 gene:CCACVL1_11595 transcript:OMO83027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKVEKPITEKEKAVGSAKTKPSSSAPKAPASKPAPKKTEQKPRDPKRRHRELPSHQQLSPSSRIGN >OMO83028 pep supercontig:CCACVL1_1.0:contig09949:4691:7887:-1 gene:CCACVL1_11596 transcript:OMO83028 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MMAPEQEVHSSPATVMLTNRTDSNSASSANVVPCSDQTSQQQQQHFSFLCQSLHPVTLKSVRASRSCCLSSGSSSTSSSINEPAKTRTTSILNGISGVVRPGELLAMLGPSGSGKTTLLTALGGRLTAKFSGCITYSGRPFSSSMKRKTGFVAQDDVLYPHLTVLETLTYAALLKLPSNKLSRSEKMEQAELIIAELGLTRCRNSIVGGPLLRGVSGGERKRVSIGLEMLVNPSLLLLDEPTSGLDSTTAQRIMATLRRLARGGRTLITTIHQPSSRLYRMFDKVLVLSEGYPIYFGEADQAMDYFTSIGLSPSFNFLNPADFLLDLANGIGSDVKADEQVEVDGRSVEHHVHDDQNSTKQYLISAYKKNIYPVLKADMNQNMQDISSSSTVVSSKSRAGAGREQVEWNSRWWEEMTVLARRGLRERKHESYSGLRIFQVMSVSLLSGLLWWHSNTSHLQDQVGLLFFFSIFWGFFPLFNAIFAFPQERPMLIKERSSGMYRLSSYYIARTVGDLPMELVLPTIFVTVTYWMGGLKPSLLTFALTLLIILLNVLVSQGLGLALGAVLMDVKQATTLASVTMLVFLLVGGYYIQHIPALLGWFKYISFSHYCYKILVGVQYSGDEIYQCEIDGVMRNCKVMDFGGIKCLDLGLHYNFFWDVAALTIMLVGYRLLAYVALRLAQPH >OMO99528 pep supercontig:CCACVL1_1.0:contig06872:25137:25199:-1 gene:CCACVL1_03752 transcript:OMO99528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQNVFIARKKLLQQIDAS >OMO62911 pep supercontig:CCACVL1_1.0:contig13110:8284:9940:1 gene:CCACVL1_22579 transcript:OMO62911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEIDTIIVSTIMADFQASQGRLSGVSGQTFKRLR >OMO55636 pep supercontig:CCACVL1_1.0:contig14646:102429:104164:-1 gene:CCACVL1_27144 transcript:OMO55636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQETRPGVATILAIGTANPPDCFCQSEYPDFYFQLTNSQHMTQLKQKFQRICERSAIQKRYMHLSEDMIKENPNLSIYRAPSLDVRQEILITEVPKLGKEAALKAIKEWGQPLSNITHLIFCTSCCATAPTADYHFANLIGLKPSIQKFFIYGQGCYAAGTALRLSKDLVENNVDSRVLIVCSELMLGCFHAPSETHLDILVGSAIFSDGAAALIVGANPDTNKNERPLFEIISANQTVIPDSETMMHGKICEMGINYYISKELPKYIANNIEQCLIEMFTPFGINDWNTLFYAVHNGGPAVLRGIEEKLGLDKDKLEASWHVLREYGNMWSPSVLFALDKMRKMSIKQGKSTTGQGLEWGVLLALGPGLTVETVGLRSCAVVGYACI >OMO55628 pep supercontig:CCACVL1_1.0:contig14646:59016:63267:1 gene:CCACVL1_27136 transcript:OMO55628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSLGQRNGSYGSLANLNGVIAGPGGLLHSKSFGSVRKSSTKMLSGSREKERSLPSLWCRYLGRRKVSMLLLVAFALLVFVLGSFVVNKESTSPNVDQRTGTLGLVPFVNGAHNTPEASSILGRKDLQKDEHYSEANSLKGDDGDRFPIPASKGATILPFNHPCANFSFPPPPPPNLRRIGPRPCPVCYIPVDQAIASMPSSPSPSPLLHNLTYAHDENPIKSEPHGGSDFGGYPSLKQRNDSFDVKESMTVHCGFVKGSKPGHQTGFDFDEADLAELQQFHDIIVASAIFGNYDIIQQPINISEEAKKNIPFYMFIDEETEAYMKNKSVLDSSKRVGLWRIVVIHNVPYSDARRNGKVPKLLLHRIFPNVRYSIWIDGKLQLVVDPYQVLERFLWRQNASFAISRHYRRFDVFVEAEANKAAGKYDNSSIDEQVDFYRKEGLTPYSEAKLPITSDVPEGCVLIKEHIPITNLFTCLWFNEVDRFTSRDQLSFATVRDRIMAKVDWSINMFLDCERRNFVIQAYHRDLLEHMPPPVATMTQLPQALPVINTRGKTPGRRIPRHGRDRKSGSRRHRKAAAGNRDNNSFRMLFEPIEK >OMO55619 pep supercontig:CCACVL1_1.0:contig14646:8151:11145:-1 gene:CCACVL1_27126 transcript:OMO55619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASVATPWLLRRAFQALAISQPFHSNKKLSLYGNPCSFPVRIPLRSSGFCHIAQVIKGDDDVLLKGVADKSTIEEVKHILEMARRAGTRREVLHTDFLTPPVLKESMLVLEKLADVKAVAQGGYPQAERCRISVGHSEVLTTDPDVVAALNITGNFGFQPCMHGDFLGAILGTGIAREKLGDIILQGRNGADVLIVPELVDFLISTLDKVGNVSVSCTQIPLSALQYEPPRTQSFKTVEASLRVDALASAGFKISRSKLVNLISNGDVRVNWSTVTKNGTILKTGDIVSVSGKGRLKIGEINTTRKGKFAVELIRYV >OMO55634 pep supercontig:CCACVL1_1.0:contig14646:91664:96512:-1 gene:CCACVL1_27142 transcript:OMO55634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MNEALLPKTEGRILHLEVLTWRVFMAELQRVSSVASPFMAATISQHFLQTISIIMAGQFVFKSGLGYNGAALALSLSYWLSVILLGIYMKFSSSCEKTRVLVPTDVFLSIKEWFYFAIPSALMICLELCSFQSLIVQIGLLPNLQLETSVLSICFTTVSLHYFIPFGISAATSIRVSNKLGAGNPHAARMASYAAMALTLAESVVISTILFCYRHVFGISVAKMVPLMCLSIIMDSLHVVLNGIIRGIGLQHVAVYANLGAYYFFGIPMGILFGFILELRGAGLWIGMLTGSIVQKILLSLVVIFTNWKNSREPRAWGSNLIMEEVKKVILIAAPMAVVTVSRYLLQLVAVMMAGHLGELPLSGVALASSFANVTGFSVLLGMAGALETLCGQAYGAEQYHKLGTFTFSAMISLAIVSIPVSVLWIFMENILTLLGQDPLIAHEAGKYSCWLIPALFGFAILQSLIRYFLAQSLILPMLLSSCLVLCFHVPLCWILVFKLDLGIEGSALSVGVSYWLNVIFLGFYIKYSSTCKKTSSFWISEDMLITIKEFFRLAIPSALMMCLEWWSFEILVLLSGLLPNPQLQTSAISICLTITTLHFLIPFSIASAASFRISNELGAWNPHAVPITVTVALILNTVEVIIVSLILFFCRQYLGYAYTTSKEVVHYTAELIPLLCLMLIADSLQAILSGVARGSGWQHIGAYVNLGAYYLVGVPLAAVLSFIFHFEVKGNHRKGKNIGRDILMIKSY >OMO55632 pep supercontig:CCACVL1_1.0:contig14646:76623:80308:1 gene:CCACVL1_27140 transcript:OMO55632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MDPEVIEVPPPVHRSLKSKNKQVVEIIDVDKDEDSVDIMILDDVVNARNKGKAVKSSSGAYSTIQAEDFVAKSLSSNNIEPSNHATQGSHKIVNLDCDLFYDDESFDNYYLDEFMDVDDYAMLQAHFDSVDLPAGVEAPIPWFPKFSESKEKTSQRNVASSVAGSDQALSSSFLSEPGHINKKPASVSSSSFQTPGDPLSSPGGASLSSPLLFPHGSQSNNIKMSAPSQHSWNSQNLPFGHSLQSSQAGGSTNGSHVNHSDAVTLPNAFNPTYWNDFGPASTSSSGFHLNMPTPLHSLNHTMVPIPTMSWSAAPSMNPNFHFNMHNTYSNFSDPVGGTLMKPKFSVKKHNIYSNISDPVDGAYVTPQELAEIRNQRIVKEDDVLNKLQNFKKFDTVENFTDHYYASSGSSTNQPQKNWAKKIQEEWRILEKDLPDTIFVRVCESRMDLLRAVIIGAEGTPYHDGLFFFDVFFPASYPKVPPLVYYHSGGLRLNPNLYHNGKVCLSLLGTWSGKKNEKWIPGMSTMLQVLVSIQALILNQKPYFNEPGWECQSGTPQGEIASHKYNEDTFILSLKTMIYSMRKPPKHFEDFVVGHFYKRAQDILVACKAYMDGAQVGCLVKGGVQDVDEGDKSCSQRFKDSLAGCVNMLVKEFTVLGAKDCDKFLTAPAPKGQKNHRVNNMPKAAI >OMO55618 pep supercontig:CCACVL1_1.0:contig14646:4529:7288:1 gene:CCACVL1_27125 transcript:OMO55618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MDLIGPIVDMIGLIGPSICNCLKYHIKLKEYLENLERSQAELQSRIEDIQLRIANESRYGKTTKNEVENWVKTAKQIIAEAKHVEEKARKVKWFSRCCLGKLADKKTQEIKEEYGKSNFESLVVDAPSSGREELRAPKLVGERDVKERIWRCLMEDDDQVGKIGVCGMGGIGKTTIMMHIYNDLLKETKFGRVIWITVSQAFNVGKLQNDIASRLNERLDDDDAIMRAGKLSKMLERQGTCVIILDDVWESFGLEQVGIPEPTPQNGCKLVLTSRREEVARSMGFQIIRVKPLSHEESLKLFSSKVGDGAIMKTPTLAPTVKLVVKECAGLPLAIVVVGSSMRGISDPSLWKNALNELRQQVKRSLQQVEDEVFGRLEFSYNRLKHEKDRHCFLYCALYPEDHDIYREELIEHWIEEGLIDEMESREAMRCSGRAILQRLEENCLLIEVDDFFIKMHDVVRDMALHMTRKRFFVKAGLQLKEVPEWNIEDVEKVSLMTNSISEIHLTIPSSMQSPRCQHLTTLLLSENRLRTIPEAFFDDMPNLKILDLSRNPIQALPKSLSNLESLTALLLSGCEDLKAMPCLSKLQALKKLNLWKSGMEEVPQGLEMLINLRYLILGYCYEIPRGTISKLYRLQHLVMFSTLQGGAEEIRELNKLEVLLGVWSKVSELNNFASFGKRLINFSICITEEGSGYEWLRALGSGDFQDRKEVSFSEFKIEDGDVIRLPSDVEEIYVLSREWWESLEWDHPNAKNVLQCFLRFYV >OMO55627 pep supercontig:CCACVL1_1.0:contig14646:55030:56793:1 gene:CCACVL1_27135 transcript:OMO55627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVEASGYMPPPEFGEDSSEKLVDLSLSDSTELFLIQWPIHHSPEIDGKELTLQLGADGKLGSFVDSNGRAYELVSSSSQEPDATVIVSSASESKFVGKISRRVSLVHYMEPGEYESGKRLIYEKSSGTLMTSSSPFRTPMQSKGRRNSLSLGRSVSTHGSRQKSKFSGISGLSEPLKRKHEHGSTGSMNQSTRSNDTTPLSKSKEASRKGDSRTTSSGLSEPSHKGKSKKKAKTEE >OMO55629 pep supercontig:CCACVL1_1.0:contig14646:67825:68106:1 gene:CCACVL1_27137 transcript:OMO55629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase copper chaperone MGAGLATASYPQNQASATTTTSSPESKPGSQQNQASAITTTSGPESKPKKKICCACPETKKLRDECIVENGEEACSKWIEAHRKCLRAEGFDV >OMO55631 pep supercontig:CCACVL1_1.0:contig14646:75807:75887:-1 gene:CCACVL1_27139 transcript:OMO55631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTYASKLSGRWDPQKHGDQAERFG >OMO55623 pep supercontig:CCACVL1_1.0:contig14646:30332:32294:1 gene:CCACVL1_27130 transcript:OMO55623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MVSLNMEKKWVFPLVISSLICTFLLVTSLNMGLASSVYRINSIFSIFPTHMSMNHRKPGYAESKIDLSSPPPPSGPTIPRFAYLVSGSKGDLEKLWRTLHALYHPRNQYVVHLDLEAPAEERLELASRITNHTLFSKVGNVYMITKANMVTYRGPTMVANTLHACAILLKKSKDWDWFINLSASDYPLVTQDDLLHSFSALNRNLNFIEHTSQLGWKAEKRAMPLIIDPGLYMSTKADIYLATPRRTLPTAFKLFTGSAWTVLSHSFVEFCVWGWDNLPRTLLMYYTNFVSSPEGYFQTVICNVPEFAKNVVNHDLHYISWDNPPKQHPHVLTLNDTEKMIQSNAAFARKFKQDDPVLDKIDKDLLGRAKGSFTPGAWCAGKPKCSDVGNLDKIKPGPGAQRLSQLIAMLAMKANLGQDQCK >OMO55621 pep supercontig:CCACVL1_1.0:contig14646:15070:15192:1 gene:CCACVL1_27128 transcript:OMO55621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVVQIFEGVQEVNIPPIPWFIQTITNYAKADISNNLGN >OMO55630 pep supercontig:CCACVL1_1.0:contig14646:69427:70060:-1 gene:CCACVL1_27138 transcript:OMO55630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKCGNCDCADKSQCTKKGNTMIIETEKSYVATVVMEAPPAENDGKCKCGSSCSCTNCTCGGH >OMO55633 pep supercontig:CCACVL1_1.0:contig14646:81055:83798:1 gene:CCACVL1_27141 transcript:OMO55633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTCFFSCETESTESAISYSEYCSKIVPESNPYFSSRPSTTFGTLRQSEYGAYYPVGENRILNSNGTRFVNSFLFRTRLVYKTDRDGVFKIESRLAFQSPENIPGRSPKLYLKLQGFWSESSGNLCMVGEGSAYNSKEGKQLTPAAVLKLTNIKNSCNITTLITGTLVSLSSNTDDNYFEPVSLLMIPQLKYNYTVASEESEDGFSGESDNNLQGLPRRGFFSLISQGETFFDLQYTIGCSPGKNCFPFDGKIGYNNLPSSMSLRRSEYCSEIQRRLRLMIEFHNVSHVGMYRSFNPNSILIGEGFWDYNKNQLSVLACRFLNIAESWSVGDCTTRLTLTFPGILSIRETSTMMGQIWSDSGYFYPIMFRNTENHVELSSSGLKYEYTEMERVKKSCPRKKPVRKREEISYASAYNSIDMEFEISVKTSEGKTGWGSAVPVSVGDRLYNRYSINPVGVRTEVEYGPINISYEIGIRLFYNLTSEGEKLMKITAEGIYDSETGFLCMVGCRKIGIGSSNDQVSQSAYSLDCEILLNFQFPPIKPDKNGGYVKGSIESRREKTDPLYFNPLHLASAAYVVEQAKQSLSSWNLKPDDSEQNKVDKISNLPYEILRRTISRLPFKSVVKTSRSFINQMEKFMENGLQG >OMO55635 pep supercontig:CCACVL1_1.0:contig14646:98488:99901:-1 gene:CCACVL1_27143 transcript:OMO55635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVEEIRKAQRAEGPATVLAIGTSTPPNCVYQSTYPDYYFRITNSEHKTDLKHKFKRMCEKSMVKKRYLYLTEEILKENPNMCAYMANSLDARQDMVIVEVPKLGKEAARKAIKEWGQPKSKITHLIFCTTSGVDMPGADYQLTMLLGLNPSVKRTMIYQLGCYGGGTVLRLAKDLAENNKGARVLVVCSEITSVTFHGPSETHLDNLVGQALFGDGASAVIVGADPDPKTEKPLFELVSAAQIILPDSEGAIHIHFREVGLMFYLHEEIPEMISKHIEKSLVEAFKPLGISDWNSLFWIAHPGGPAILDEIELKLSLKPEKLRATRHILSEYGNMSCACVLFVLDEMRNKSSEQGLKTTGEGLEWGVLFGFGPGLTIETVVLHSIFT >OMO55622 pep supercontig:CCACVL1_1.0:contig14646:15679:19018:-1 gene:CCACVL1_27129 transcript:OMO55622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEVVGSDMAQVSVDKVTEVDKPFMHDKENGKLDKDPVIDEPIQFVSHGEEADKGEENNASNANFPKDAVADWPATKQIHTFYVVKYRYYDDPKIKAKIEQADKENQKWNNARFQITNELKSIRSDRTELVSQLKALNADFEQFKTILGEKKKEMEPLQQALGKLRNNNNYGGRGGAGICSSEEELNDLIHSLQYRIQHESIPLSEEKQILREIKQLEGTREKVIANAAMRAKIQDSLGQKEAIQDQVKLMGVDLDGVRKEQQAVWSKKNQIKEKLTAIDGKISSLQDELTAVTQKRDKTVETIRELRKQQDEGNALFHQFRPVSFKAKDLAAKKDIKALEEFTTEEVEKFMALWNGKKALRDDYEKRILLSLDNRQMSRDGRIRNPDEKPLVEPEAPVPSETEVAPKASVKQAKEESKSSSQPDIKVNKKVQKDADLKVTESKSSPENGVVTEKEIAGSGTLQKDASADKEVDPAKLKEMKREEEIAKAKQAHERKKKMAEKAAAKAAIRAQKEAEKKLKEREKKAKKKAAASAGATNSEESQTDAVAESSEAENVDTNADASAPAPAPAPVPVNDKVQKEKPIRHRNRAKGPESVPRAILKRKKSTNYWLWAAPAALVVLILLALGYYYLV >OMO55620 pep supercontig:CCACVL1_1.0:contig14646:12784:15057:1 gene:CCACVL1_27127 transcript:OMO55620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRTKLLLPLQHVLFLTFLYFQTNLCIELNIINPGQSLSGNQTISSQNGRFELGFFNPGNSTNFYVGIWYKKIPVQTVVWVANREKPLHDPFSSKLQLSEKGNLVLYNQSEIPVWSAESSSNTMNSIVAVLENSGNLVLKDSSNSNPSVMLWQSFDHPTDTWLPGAKLGKDKISEKGYISWRNSSDPAPGSYFLGLDPNETGTYFVLRNGKRHWSCGTWLERVSSFSNETVVDDYTTMSYVSNSNHEEQNVYIYSVNNSSVLVRYVMNISGQVQKLVWKDDLQKWEQIWAKPKDQCEIYAFCGAYGACNQYSRPLCKCLPGFEPKVHREWNSDSSNPRHGCVRRTPLQCNEGVKDGFEEIKNVRLPASDVSLTNKKSLKECESACLRDCSCKAYSYNGNCSIWQEDLLNIQYLSYGDNLGRTLYLRLSMAEIQALRGKTKERIEWFTIKAAVAIVTLITILGLIFVSTFRMTNFSNTTNKAIDDVLIVFKFSDLRSATKNFSEKLGEGGFGSVFKGTLPNSAAIAVKRLKCQEGDKQFRTEVSTIGKIHHVNLVRLLGFCINGMKRFLVYDYMPNGSLEAHLFYKDSKILDWKTRYHIALGVARGLAYLHDECRECIIHCDIKPENILLDADYNPMLSDFGLAKLFGRDFSRVLTTMKGTRGYLAPEMISGEPITPKSDVFSFGMLLLEIISGRRNWEIILEYGTDSTYFPARAANCISNGGDVLSLLDSKLKGNANAEEVIRACRVACWCIQDEE >OMO55624 pep supercontig:CCACVL1_1.0:contig14646:33477:37929:-1 gene:CCACVL1_27131 transcript:OMO55624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSSESAWHKSNSSRARNTSGVSDRDRRLFRAERINVSGDVSRDFGFRKEDGRDVQAHNDQLRSQVGVSGVFEDEAAVNPFVRTLEWGDVSLRQWLDKPERSVDVFECLHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGSDSVEDALNSQNMEVKDLSSTLPLDMRRQRRLMKEDVETPTNALSETSCMQSGSICARNALLEESEENKILDRRNFEQVEERKQPFPMKQILLMETNWYTSPEEGAGSPSTCASDIYRLGVLLFELFCPFSTREEKTRTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPSSRPKMGELLQSEFLNEPRDDLEEREAAIELRERIDEQELLLEFLLLIQQRKQEIADRLQDTVSFLCSDIAEVTKQQTILKKKGGSYTEVGKDDNSTSNLPSINIVDTDDSSSLGSRKRFRPGLHIQNIEECGDNLDSRQKSDTLTENQESFLQKSSRLMKNFKKLESAYFLTRCRPLRQPGRPLSRQTPLNSDGRGSIVLTERSSVNNLTSKERYSESLESGWINPFLEGLCKYLSYSKLKVKADLKQGDLLNSSNLVCSLGFDRDAEFFATAGVNKKIKVFECDSIINETRDIHYPVVEMASRSKLSSICWNSYIKSQIASSNFEGVVQVWDVTRSQVQTEMREHEKRVWSIDFSSADPTLLASGSDDGSVKLWSINQGVSICTIKTKANVCCVQFPLDSGRSLAFGSADHKIYYYDLRNSRIPLCTLVGHNKTVSYVKFIDASTLVSASTDNTVKLWDLSTCTSRVIDTPLQSFTGHMNVKNFVGLSVSDGYIATGSETNEVFIYHKAFPMPALTFKFNNMDPLSGHEMDDAAQFISSVCWRGQSSTLVAANSTGNIKILEMV >OMO55625 pep supercontig:CCACVL1_1.0:contig14646:41643:41789:1 gene:CCACVL1_27132 transcript:OMO55625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYCNFLEKRHFFRAVLRLAVLQKAALFSVGPKQIEAVKKGGVQIEP >OMO55626 pep supercontig:CCACVL1_1.0:contig14646:43065:47998:-1 gene:CCACVL1_27133 transcript:OMO55626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICCKPSAIEDSKESPRERPLSKASSDVRVSRATSSRREEAYRAKDRYDSNEGRTMLIDKQVNGSVRLHGENTDRKREKMEYVVSQHPAMGSVPKAAEGEQVAAGWPTWLAAVAGEAIRGWVPRRADSFEKLDKIGQGTYSNVYRARDLDQKKVVALKKVRFDNLEPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPGLKFSEAQVKCYMQQLLCGLDHCHSRGVLHRDIKGSNLLIDNNGILKIADFGLASFYDPQQSQPLTSRVVTLWYRPPELLLGATYYGTAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWRKSKLPHATIFKPQQPYRRCVAETFKEFPAPALALMETLLSIDPADRGSAASALKSEFFTIKPLPCDPSSLPKYPPSKEFDAKLRDEEARRQGAAASKGQRPDLDRRGTRESRAVPAPDANAELVLSMQKRQGQSNSKSRSEKFNPHPEEVASGFPIDPPRPSQAVESNADAQGNLHKRACHSGPLAHRAAWAKSGKNLDDAPKVSTGADLSMMSGLVAARRSGLISEDRRERSSSSQSEAPKMIARFPGSFKEASESFIQQDQKHSVQQKEDGRSNNKDPVLLGYGSKGHKIHYSGPLIVPSGNMDQMLKDHDRQIQEAVRRARLDKAKMRKVQLEGNQISTNSLFVSGR >OMO98991 pep supercontig:CCACVL1_1.0:contig06974:23710:23940:1 gene:CCACVL1_03977 transcript:OMO98991 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase METTPPLFDPNVNQRDTRVLTAHARAANEGIISKHFGNKIIDELFDRFHKKAEENSSLLNNPSYLSNQLFLVLIRK >OMO98990 pep supercontig:CCACVL1_1.0:contig06974:2513:7514:1 gene:CCACVL1_03976 transcript:OMO98990 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, eukaryotic-type MGKTIQVSGFLSGVTAENVKTFLESYTGQGTVYALKLRQQKKGGRAYAIVQFTRTTDAELIIKLANQRLYYGSSYLKAREMENDIVPRPRTFLHTMRHVKVHFGCQVSNEKIYALWEEVDFTVNFGMAMRKLQFLLSHRPVEYKLELSYENIWQIELRRPRDRTSKYLLIQLFGSPRIYEKDVRPSGTVFDSPLLNYFMNVPDDQWLRTTDFTRSCYIGQSSVLCLELPRDLQLPNFRENFAYYKESEGRLVFETGSSYSHDLSLVPVVGPPPGVKLPFEILFKVNWLVQNGCIPGPALDANFFRMVDPHRIQRDYIEHALEKLYFLKECCYEPSKWLLEQYRNYIASKKILRSPAISLDDGLVYVRRVQITPSRVYFGGPEVNVSNRVLRHFPDDIDNFLRISFVDEELEKIHSTELLTRGRRTEIYGRILSALENGIVIGDKRFEFLAFSSSQLRENSAWMFASRNGLTAAGIRRWMGDFSKIKNVAKYAARLGQSFSSSTETLTVSKDEIEVIPDIEVEWEGIKYVFSDGIGKISAEFAKKVAKKCNLHGCTPSAFQIRIGGYKGVVAVDPASSRKLSLRRSMSKYDSDNTKLDVLAWSKYQPCFLNRQLITLLSTLGVPDRAFEKTQKQAVDQLNAILTDPLKAQEALELMSPGENTTILKEMLLCGYEPDVEPFLSMMLQTFRASKLLELRTKTRIFVQEGRSMMGCLDETRTLNYGEVFVQFSGSRSEQRYIVQGKVVVAKNPCLHPGDVRVLTAVNVPDLYHMVDCVVFPQKGFRPHPNECSGSDLDGDIYFVSWDPELIPLKQVPPMDYSPAPSTTLDHEVTIEEVHEYFTNYIVNDSLGIIANAHTVFADRESTKAMSSKCIELAKLFSIAVDFPKTGVPAEIPQELRVKEYPDFMEKPDKPTYKSYSVIGKLFREVKDLAPTECSINSFTRERLRSVYDHDMEVDGYEDYIDDAFYHKSNYDYKLGNLMDYYGIKTEAEILGGGIMKMSRSFTKKRDAEAISMAVRSLRKEARSWFNEKGSGLDSETEDVNAKASAWYHVTYHPSYWGQYNEGMNRDHFLSFPWCVYDKLIRIKKEKVARRAARVSDLQSVFERGWHI >OMO95648 pep supercontig:CCACVL1_1.0:contig07630:4460:11026:1 gene:CCACVL1_05332 transcript:OMO95648 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein MNIWSGGVPKTDTKSGEDMDIDQKGGAPISLFGVSLSSTEKAHVAAPQSRSTAKSPVKAPSKSASAANDDYEAVIVLALSFSLMLVIGLVALIVYYQERNTPPEFRVESLSVSSFNLAGSQITAKWNAGFVPSKKDSPFLDQHLNFSVFYQNQLLSQQVVAPLLFDVPGSDDSDQTTEAYSVLKVKAVALGETIDGWMAEVMAMGRARGVLAFNLKLEAVGGKKFRVFCENIKVPSDQRLSSLYLLDSIVKNIGRDYIKYFAARLPEDRMLETAVRED >OMP02075 pep supercontig:CCACVL1_1.0:contig06330:6014:6460:-1 gene:CCACVL1_02928 transcript:OMP02075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDGRKPTLLRASCRFNRARSFRLGRLAQPRAHSLTARARVRQAQPSLEARSNKPASTQVLAAVVVRLYGRAAAGRASALPPCLFVGLPTSPCAHPPLAVGGSSPPQGRHQPMRGTQSPFPPVCNRALMLRAMNSMRVLPSSIRCSA >OMP02076 pep supercontig:CCACVL1_1.0:contig06330:13177:13299:1 gene:CCACVL1_02929 transcript:OMP02076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAATLVNAPLTMALEARTHQGLCSTCACGTSATSVGST >OMP11619 pep supercontig:CCACVL1_1.0:contig01094:7253:8989:-1 gene:CCACVL1_00396 transcript:OMP11619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACKAPIFHLLSSPNPSTTKTLKNPLLLIPEKTTSRRFLLFSIPLSSLFILPSSSSNYALSNTGPAGSFMAALAASFEPVSKAERDASAAITRRVAEAVELLEKGKELQAQGDFSKALQFFTLVVENYKDFALTEYARVGRALALYEVGDREEAIAEMEDVSISLKGYPEVHAALAAALYVDKHAPLLAENQFAIATLLDPKFTDLSYVRETKHWPPSLVSSLQHFITLS >OMP11618 pep supercontig:CCACVL1_1.0:contig01094:4035:4340:1 gene:CCACVL1_00395 transcript:OMP11618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MARLEQVLGIERTKEELINNPNGVKAVVKMVFRVSDHEGSQSAVNSLMMVCQESMEAREKAIGAGVLTQLLLLLQSQCSGRTKTKARTLLKLLRSKWAEEK >OMP11617 pep supercontig:CCACVL1_1.0:contig01094:3371:3526:1 gene:CCACVL1_00394 transcript:OMP11617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGSRSPINNNNRSPFSLPQAQTRISPSFFTPKTPNTRKRHRFNRRFTI >OMO56847 pep supercontig:CCACVL1_1.0:contig14470:22882:23016:-1 gene:CCACVL1_26224 transcript:OMO56847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNSLKSPKNVFPASMVDLEHPLALLKTKDSGHPGAHQELHQN >OMO56849 pep supercontig:CCACVL1_1.0:contig14470:25724:27299:-1 gene:CCACVL1_26226 transcript:OMO56849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MIRLFKVKEKQREQAENANGGAPIKKQTAGELRLHKDISELNLPKSCTITFPNGKDDLMNFEVSIRPDEGYYFGGTFLFSFQVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNSEDPLNHDAAAVLRDNPKMFESNVRRAMSGGIDWIVCTGLECDIPPLPVSFR >OMO56844 pep supercontig:CCACVL1_1.0:contig14470:14155:15667:-1 gene:CCACVL1_26221 transcript:OMO56844 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZR1, transcriptional repressor MTGASGRLPTWKERENNKRRERRRRAIAAKIYSGLRAQGNYKLPKHCDNNEVLKALCSEAGWIVEEDGTTYRKGCKPPPSELAGASTNISACSSIQPSPQSSSFPSPVPSYHASPSSSLCPSPTRFDANPSTYLLPFLQNIASIPNNLPPLRISSSAPVTPPLSSPTSRGSKPKADWESLSNGSLNSFRHPLFATSAPSSPTRRQHHTPATIPECDESDASTVDSGRWVSFQTVASTAAAAAAAAAAPPSPTFNLVKRAVQQNNHFQDGARGHGGVTWGTVTELGRGSEFEFENGRVKPWEGERIHDIGVDDLELTLGTSKTCA >OMO56850 pep supercontig:CCACVL1_1.0:contig14470:29032:32593:1 gene:CCACVL1_26227 transcript:OMO56850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit WBP1 MKMKNFSFFFIFAISFFPLLCFSFNEEAPTDRRVLVLLDDYSLKSSHSIFFNSLKSRGFNLDFKLADDPTLALKRYGQFLYDALILFSPSTDRFGGSLDSAAVLDFVDSGHDLILAADASASDLIKNIATECGVDFDEDSSAMVIDHKSYAISGTGGDHTLIASDDFIQSDVILGKNKIEAPVLFKGIAHSVNAASSLVLKVLSASPSAYSASPKSKLSSPPLLTGSAISLVSVVQARNNARIMITGSLDLFSNRLFRTAVQKAGSPNKHEKSGNEQFVTEISKWVFHERGHLKALNLGHQKVGEAEEPAMYRIKDDLKFSVEIYEWSGKSWEPYVADDVQVQFYMMSPYVLKTLSTNGKGLYHASFKVPDVYGVFQFKIDYQRLGYTSLSLSKQIPVRPFRHNEYERFITTAFPYYGASFTTMAGFFIFGIVYLYNNSLPQDISYLEARLGLDWLYRIILI >OMO56848 pep supercontig:CCACVL1_1.0:contig14470:23742:25338:1 gene:CCACVL1_26225 transcript:OMO56848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MERADENLLPSVYKEVSEAFNAGPSDLGYLTFIRNFVQGLASPLAGVLVINYDRPTVLAIGTLCWALSTAAVGASQQFLQVALWRAVNGFGLAIVIPALQSFIADSYMDGVRGAGFGLLSLVGTLGGIGGGVVATVMAGQTFFGMPGWRCAFIMMAALSSLIGFLVFVFVVDPRKTAGFNHDAGNSHERDELVEKGNVGASSVWSESWMATKAVIKVPTFQIIVLQGIVGSLPWTAMVFFTMWFELIGFDHNSTAALLSLFAIGCAMGSFIGGVIADRLSQVYPHSGRIMCAQFSAFMGIPFSWFLLKVIPQSVSSYYTFAVTLLLMGLTISWNATAANGPMFAEVVPAKHRTMIYAFDRAFEGSFSSFAAPLVGILSERMFGYDSKSIDPINGSPREAFALSRGLLSMMAIPFGMCCLFYTPLYKIFRRDRDNVRLATLKEEEMMKV >OMO56851 pep supercontig:CCACVL1_1.0:contig14470:34659:35771:1 gene:CCACVL1_26228 transcript:OMO56851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MTSVNLGSWVQSYSIFNQASRSKSFPLPRSLPFNPLKNLSSSTPKKRNFASLPLISAILTKEDTIKEEEQEPPKPSFDFKSYMVQKATTVNQALESAVSLRDPVKIHEAMRYSLLAGGKRVRPALCIAACELVGGKESMAMPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKVFGEDIAVLAGDALLAFSFEHIAVSTVGVAPNRIVRAIGELAKSIGTEGLVAGQVVDIKSEGLTDVGLDHLEFIHLHKTAALLEAAVVLGAILGGGCDEDVEKLRKFARYIGLLFQVVDDILDVTMSSKELGKTAGKDLVADKATYPKLLGIEKSREFAEKLKNDALEMLQGFDPEKAAPLVALANYIAYRQN >OMO56845 pep supercontig:CCACVL1_1.0:contig14470:17091:17497:-1 gene:CCACVL1_26222 transcript:OMO56845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVHAFRAMVHVEARLAWPGPHSPPGAEGIGWRRQPPQSPEI >OMO56846 pep supercontig:CCACVL1_1.0:contig14470:18131:18289:-1 gene:CCACVL1_26223 transcript:OMO56846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSEREAALSIGTTRQKPRWSLAKTAKATPLSRTWGQVSFISWLCLAPNAS >OMO62042 pep supercontig:CCACVL1_1.0:contig13373:5151:7868:-1 gene:CCACVL1_23057 transcript:OMO62042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier, SUMO MADSNTDDLEPLFDYRRVQPIDFVRIDDDSSDTSPVPSPKRRKIPDPDVVKIDDEDEDEVEVIEEEDWLAPPPMVSSNAYSNIGEDSTIKELRLMKQKLVSFAESSKTLSLEEESVKRKVSASLKSSLDAAVEQPKDPSSERAKIVVSVQKEDECKHFRIYMDDKFERLFNMYADKTKLDLQSLVFSFDGDKISPADTPASLEMEDDDLIEVHVKKR >OMO85677 pep supercontig:CCACVL1_1.0:contig09593:78257:79931:1 gene:CCACVL1_10052 transcript:OMO85677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKVLKPQQQQQPLLTPYKLGKFNLSHRVVLAPLTRQRSYNNVPQPHAILYYSQRTSRGGFLISEATGVSETAQGYKDSAGIWTKEQVEAWKPIVDAVHAKGGVIFCQIVHVGRVSNTGFQPDGQAPISSTDKALTPISSGEDIAKFSPPRRLRTDEIPQIVNEFRVAARNAMEAGFDGVEIHGAHGYLIDQFLKDRVNDRTDQYGGSLENRCRFALEIVEAIVNEIGADRVGIRLSPFSDFMEAWDSNPEALGVYMADALNKYGILYCHMVEPRIINAIEDGECPESHSLLPMRKAFKGTFIAAGGYGREDGNEAVAENHTDLVAYGRWFLANPDLPRRFELNAPLNKYDRSTFYTSDPVIGYTDYPFLEDNGNA >OMO85680 pep supercontig:CCACVL1_1.0:contig09593:96858:98654:1 gene:CCACVL1_10055 transcript:OMO85680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKVLKTQQQQQPLLTPYKLGNFNLSHRVVLAPLTRQRSYNNVPQLHAILYYSQRTSRGGFLITEATGVSDTAQGFKDTPGIWTKEQVEAWKPIVDAVHAKGGIIFCQIWHVGRVSNKDLQPNGQAPISSTDKALTPQIRSGGVEVAQFSPPRRLRTDEIPQIVNDFRVAARNAMEAGFDGVEIHGAHGYLIDQFLKDQANDRTDQYGGSLENRCRFALEIVEAVVNEIGADKVGIRLSPYADFMESGDSNPKALGLYMVEALNKYGILYCHMVEPRMKQGQEVGECPESHSLLPMRKAFKGTFMAAGGYTRDDGNKAVAENNADLVVYGRLFLANPDLPRRFELNAPLNKYDRNTFYTPDPVIGYTDYPFLEDNGNA >OMO85676 pep supercontig:CCACVL1_1.0:contig09593:68098:68904:-1 gene:CCACVL1_10051 transcript:OMO85676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MLNSTANQLLLVFLVLIFGATTVTATDHIVGANKGWNPGINYTLWANNHTFYVGDLISFRYQKNQYNVFEVNQTGYDNCTTEGAVGNWSSGKDFIPLNKAKRYYFICGNGQCFNGMKVSVLVHPLPTPTGSPVAHHKSNSSAAAPVALSGGVVGLKALVVALGSIWFGYGLI >OMO85682 pep supercontig:CCACVL1_1.0:contig09593:115692:116024:1 gene:CCACVL1_10057 transcript:OMO85682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel, voltage gated MTRIAEISSPMDLPQVFVQLFVPRWEVSFSPLKRLRRGGGVLMDEICNSGRCGLFGTGGLIMFDVSDVKVSYHLMDVIPVIIIGIIGGLLGSLYNHVLHKVLRLYNLINQ >OMO85681 pep supercontig:CCACVL1_1.0:contig09593:99512:107685:-1 gene:CCACVL1_10056 transcript:OMO85681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFELLETGLGLQSWIRIDASGKSQLIEIDKLSLICHCGVLTCDLRILDTLLVHPPTILRREKAIIVNLEKIRCIITPDEVLLVNSLDGNVLQFVLELQKRLGADDLNPRGSFGNTFSFAPFEFKALAVAFEVVSNSLNLEASEIKAEAYPLLDELTSNASVFNLERLRGLKGKLVALTRRIHKIKDEIERIKNDDEHMEMYPEKKRREALDHDPSPNLMQKLDEEPFEASDPIVISGVSSPSESKRLTKKLSDVSLHKSTIMMSSEWELLLEACFLVIDSILTKLITLKEYICDTENLINTHLKSKCKAGKKEEKGKRGRASEKRTSGHKIPGGTKNDGDNGDASHNVLLISAVYVMLHSRPESSSSNVAAAAAGGGGWEPALIQQLKQVAHFSSEAEALASLDESGIKATQDLVYSVIWTLREEWRLAVLAFKWGEKKGNIGENTYELLVWVLGTHRKFNMAWCLIRDLYHSSMDTRRAMFIMIDRYAAASDPCKAIQTFHTMDKFRMTPDEEAFRTLLKALCRYGNIEEAEEIMLVNKKLFPLETDGFNIILNGWCNILVDVSEAKRVWREMSNYCITPNGTSYTHMISCFSKVGNLFDSLRLYDEMKKRGWDPGIEVYNSLVYVLTRENCLNEARNILKKIKDSGLEPDSDTYNSIIRPLCEAEKLEEARNILLTMKEENISPTIETYHALLYGTGFEETLGVLNSMKVSSLCPTGDTFLLVLGRFFKMNQPEHALKIWAEMKHFEVVPDSSHYITLVKGLVASGWLVKAREYYDEMRSCGFLDDPKLKKLLEEPKQGSGSQRQGDPREIHKRSKQANLQRGNKHVGFRDGELKLVRCGVPSSMRISSMNEIRSSIHLVEAYWKEFEMLIERYKLHH >OMO85678 pep supercontig:CCACVL1_1.0:contig09593:82925:87695:1 gene:CCACVL1_10053 transcript:OMO85678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKVHKTQQQQQPLLTPYKLGKFNLSHRVVLAPLTRQRSYNNVPQPHAILYYSQRTSKGGFLISEATGVSDTAQGYKDTPGIWTKEQVEAWKPIVDAVHAKGGIIFCQIWYVGRLSNTDFQPNGEAPISSTDKALTPISMGVEVAQFSPPRRLRTDEIPQVVNDFRVAARNAMEAGFDGIEIHGAHAYLVDQFLKDQVNDRTDQYGGSLENRCRFALEIVEAVVNEIGADRVGIRLSPYSDFMGSGDSNPEALGVYMAKALNKYGILYCHMVELRIVNGEEDGAGCHSHQPMRKAFKGTFIVAGAYNRDNGNKAVAENHADLFAYGRWFLANPDLPRRFELNAPLNKVVLAPLTRQRSYNNVPQPHAILYYSQRTSRGGFLISEAAVISDTAKGFKDTPGIWTKEQVEAWKPIVDAVHAKGGIIFCQIWHVGRVSNTDFQPNGQAPISSTDKALTPISIGTYVAQFSPPRRLRTNEIPQIVNDFRVAARNAMKAGFDGVEIHGAHGFLIDQFLKDQVNDRTDQYGGSLENRCRFALEIVEAIVNEIGADRVGIRLSPYSEFMESGDSNPKALGVYMAEALNKYGILYCHMVEPRMINGEEVGECPESHSLLPMRKAFKGTFIAAGGYNRDDGNEAVAENHADLVAYGRWFLANPDLPRRFELNAPLNEYDRNTFYTPDPVIGYTDYPFLEDNGSA >OMO85679 pep supercontig:CCACVL1_1.0:contig09593:94884:95177:1 gene:CCACVL1_10054 transcript:OMO85679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MGQKMVNVTHSLLPLRKAFKGTFIAAGVMAEMMGTKLWLKIKQILLLTVDGFLANPDLPRRFELNAPLNEYDRNTFYTFDPVIGYTDYPFLEDNGDA >OMO85683 pep supercontig:CCACVL1_1.0:contig09593:119905:122672:-1 gene:CCACVL1_10058 transcript:OMO85683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2/LPE10 MDVFELPETGQGLQSWIRIDSSGNSQVIEIDKLSIIARCGISTRDLRILDPLFVYPTTILGREKAIVANLEKIRCIITADEVLLLNSLDRNVLQFVMELQKRLVANGAAALNPRGSSNRSFRSTSSYSPFEFRALAVALEVVSGSLNFEASEIKSEAYPLLDELTSYISTLNLERVRGLKSRLVALTRRIHKIRDEIEKIMDDNEYMAEMYLSEKKRRMESPSLISDRVSSPLMQISDEGLFEASDPTIISSLSSPSESHRVTKNLNNNNGLRNRHKSMVMSSEHTARSVEELESLLEAYFVVIDNILTKLITLKEYIDDTENFINIQMNNLRNRLIQFELLLTSATLVMAIFGAIIGIFGINFPVPLFTNERTIKLILIFTSISAVLIYCGLVCFFKWRRVLLL >OMO85674 pep supercontig:CCACVL1_1.0:contig09593:41475:49033:-1 gene:CCACVL1_10048 transcript:OMO85674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monooxygenase, FAD-binding protein MEEIVEQVVIIGAGIAGLATSLGLHRYGIASLVLESCDELRVTGFALGIWENGWKALDALGIGHSLRQQHRDIQRLVVTSTASKQQTAEVSFKGHELRCVQRKLLLEALAVELPDGTIRYSSKVLIGCDGVNSLVAKWLGFEKPKFTGRSALRGFADFKNGHRFKQEFKQFAGKGVRSGFIPSNDHIVYWFLTWNPTSLEEEVENNPVKLRQFMLNKLEDTPDEIKEVIENTPPEGFAASSPLRYRHPWEIVWGNISNGNVCVAGDAFHPMTPDIGQGGCAALEDAIVLSRCLAQVLSNKSGKLENTDDYYKRIELALKKYAKERRWRSFDLISTAYFVGFIQQNNGKIISFFRDKVVSIEDAGHFKRVHLADGTAIKTKVLIGCDGVNSLVAKWLGFEKPKFTGRSALRGFADFKNGHGFKQEFKQFVGKGVRSGFIPCNDHIVYWFLTWNPTSLEEEMENKPVKLRQFVLNKLEDTPDEIKEVIENTPPEGFAASSPLRYRHPWENVWGDISNGNVCVAGDAFHPMTPDIGQGGCAALEDAVVLSRCLAQVLSKSGKLENTDEDYKRIELVLKNYAKRGDGEVLI >OMO85675 pep supercontig:CCACVL1_1.0:contig09593:62731:64908:1 gene:CCACVL1_10050 transcript:OMO85675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRPLPPGPNLPRPSFLTKTRVIFLILTISSVVVILFTIIYFLYHLWNSLSNRARTIPFDSSAPLKLQRFCYKELKNATNDFDESNIIGKGGSGTVFRGIVRDGKLFAIKRLDTLSLQTEREFQNELQILGGLRSPFLVTLLGYCVEKNKRLLVYEYMPNKSLQESLFGDGNSNLCLNWQRRFDVILDVAKALEFLHFGCDPPVIHGDIKPSNVLLDSDYKAKISDFGLSRIKVEGEIGMDLFSQDLGKSQELWKSQELSGNLGGLTTGDQTPAIGTPVESNNTEVDFALALQASSSSKNSKKCYNVKALNLNSFNYNANLASENDYKSGNNGKGKEVTSVDVGDDWNTKFVPYDDEFSSIDHSKELNSGPNLGLDEAANMKQWGKDWWWRQDGSGELCSKDYVMEWIGSQICPSNPDWNDEKKTPIEKPELDNLVQLEKLEDANENEPQAQSLAFEGMEKGFEKTEPKGKKNRKKKNRKMQEWWKDDHLGEVSKKDSKLKQLKIRWKKGCKMPHFDLSRRFYFHRRKKFGEPNQDDCDANGEFSFRRGWRRKNNNSIGSDMWSGDLFSRELSSTTSMRGTLCYVAPEYGGCGYLMEKADIYSLGVLILVIVSGRRPLHVLASPMRLEKANLISWCRQLAQSGNILELVDERLKDEYNKEQASLCINLALSCLQKMPELRPDIGEIVRVLKGEMDLPPIPFEFSPSPPSKLFSRSRRKQKASAE >OMO80592 pep supercontig:CCACVL1_1.0:contig10311:5290:6249:1 gene:CCACVL1_12870 transcript:OMO80592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNELEFSLESPKKNRYYMLGCCNGLLLLVEPRECLILWNPSTRECQQLPVHPRLSNDALGNYGFGYDQYTDDFKVIIFDYFLNGHLEAQGNIHIYSLKSNTWKIICGIPQLLIDQSKSGILVDEFLYWSSISSGLGKWVLGFNLKSDKFEKIRPPNDIVEQCHSFLSLGLIGGCLSLGQNHNHYGGGLIIDIWNLVKDGQSWIKIMSIMNLDGLANPKCWVPYCILNNGEVLLTYLDTKTFLYGEDSRTLRLQIQLYDPVNGKLRPGILRDYRQAITYDVQTLVSPKVMCSIESSSTVQIETLEFTELYGKDWSVSF >OMO50886 pep supercontig:CCACVL1_1.0:contig16035:8484:8597:-1 gene:CCACVL1_30173 transcript:OMO50886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIWHWHVAVVAASYYLVAVAVADTQTKPKQRAMAIS >OMO50885 pep supercontig:CCACVL1_1.0:contig16035:728:5131:-1 gene:CCACVL1_30172 transcript:OMO50885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYNQLNENSTPRGNFLYATSVLAPNSSSSPYGRASSGSNVSTQMPLTSFHLQSSDCYQSETHPIVKTEATTSQHAQKFHYPLLRGHQSIHHQQEGNESSGSEVEAIKAKIIAHPQYSNLLEAYMDCQKVGAPPEVVARLAAARQEFEARQRSSVTSRDNSKDPELDQFMEAYYDMLVKYREELTRPIQEALDFMRRIEAQLNMLSNGPVRIFNSDEKCEGVGSSEEDQDNSGGEAEPPEIDPRAEDRELKNHLLRKYSGYLSSLKQELSKKKKKGKLPKEARQKLLSWWELHYKWPYPSETEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPQNAALYMDGHYMGDGPYRLGP >OMO94453 pep supercontig:CCACVL1_1.0:contig07874:10059:12109:1 gene:CCACVL1_05999 transcript:OMO94453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDGASPGKIFIGGLAKDTTLETFNKYFEKYGELTDSVIMKDRHTGRPRGFGFITFADPSVVDTVMEEDHVINGKQVEIKRTIPKGSSQSSDFKTKKIFVGGIPTSVTEDEFKEFFSKYGKVVEHEIIRDHATKRSRGFGFIVFDSEKVVDNILANGNMIDMEGTQVEIKKAEPKKASNPAPGPAYGSGGSYDSGPGAGFGGPGGMYGSRTGYGGSSRYHPYA >OMO57229 pep supercontig:CCACVL1_1.0:contig14425:1676:2542:-1 gene:CCACVL1_25899 transcript:OMO57229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MAGLFDEQADVYLDARPTYPSEWYSMLASRTPQHSLAWDVGTGNGQAAIGVAEHCEQVIATDVSEEQLKRAIPHPRVKYLHTPLPISEDEFIASIGGENSVDLVTVAQAVHWFDLPKFYSLVTRLLRKPGGVVAVWCYNDIAVSPTFDPVMKKFHDTTLPYWDRNIQYLFDGYKTLPFPFESVGLGSEGEPAALDIPKKLSFEGFVRMVRSWSAVVTAKNQGVDLLSEKVVKEFESAWGGSTLVRSVAYKAFMLAGKVKL >OMO57228 pep supercontig:CCACVL1_1.0:contig14425:189:299:-1 gene:CCACVL1_25898 transcript:OMO57228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCCTVNCGIVELYYDVSVSCHVSMKGATDTYGVAT >OMO72751 pep supercontig:CCACVL1_1.0:contig11398:19935:21413:1 gene:CCACVL1_17620 transcript:OMO72751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein REEKELDSRVRVCSERQRVSERCIRFKVLRDKPWCECRAFRMSCLSRNM >OMP11635 pep supercontig:CCACVL1_1.0:contig01070:36:707:-1 gene:CCACVL1_00376 transcript:OMP11635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTAHISNDLEDISLFNREFRSIGTDPHKQSTTSVLDRPSFLITALTATHSIKVVLRTTWARCGDSKSAFFFFFANRITLDKETKERVGPTSHSDHRDYISYNDEILE >OMO54910 pep supercontig:CCACVL1_1.0:contig14862:3537:6259:1 gene:CCACVL1_27470 transcript:OMO54910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEDIKDEANSCSGFGGIEEKKVMGSTEEREMWVDDDDDNRVRQQEDELLNDASIFYGDFPPLPDFPCMSSSSSSSSTPAPVKALACSSSASTASSSSSAASWAVLKSDADEEHVEKRNNGDHQNEAQNDVLVDGTSAALSSTASMEIPQQPDQVMDDCMDVMENFGYMDLLDNNDFFDPSSIFHHDDKGLEEFQQEQKPPQPQPQPPQQVRMIYSNRNELESQEDEKASDDDDLAKVFLEWLKSNKETVSAEDLRNVKIKKATIECAARRLGGGREAMKQLLKLILEWVQTNHLQKRRIKESASNNNIIDNIPNYQFQDPFPNPNPSLNINSSAPPPPPEPNTCFSQPPIPWIPQPPYMTDPSPGFASVVGYMGDPFSNGAASHTYQQATTTDYPPLLDSAQTWPPTQFALASQYNSFPVPDNNNLHPAPSPQPSAFPGYGNQYPCQYNNDQRLVRLGSSATKEARKKRMARQRRFAPHHRNHHHNNQQSQHQNQSVDPQHARLVNDNGVTVAAAQANTGNWVYWPAGGVASNPPVLPGDVAMAHHPVAVDRPAMQVQTYQRQVATDRRQGWKPEKNLRFLLQKVLKQSDVGNLGRIVLPKKEAETHLPELEARDGISIAMEDIGTSRVWNMRYRFWPNNKSRMYLLENTGDFVRTNGLQEGDFIVIYSDVKCGKYLIRGVKVRQSGPKSETKRAAKSQKNQHANSQAAANGSSASPTHKQ >OMO54912 pep supercontig:CCACVL1_1.0:contig14862:39402:49832:-1 gene:CCACVL1_27472 transcript:OMO54912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACALSYTLKFKKAQTQLLPLKAKYSFVRTLKRVN >OMO54911 pep supercontig:CCACVL1_1.0:contig14862:19177:30744:1 gene:CCACVL1_27471 transcript:OMO54911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSTTESFSHMTSLAPFPFGMLNRDATDDKATVESAVAALNTKNTILEKYCGSGAVFALKPSRSASSDLGDLKSGLLVQFSSSSSSASS >OMO54913 pep supercontig:CCACVL1_1.0:contig14862:51169:51842:-1 gene:CCACVL1_27473 transcript:OMO54913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MTNGGVDRSVECTGSVSAMISAFECVHDGWGVAVLVGVPSKEAVFMTKPINVLNERTLKGTFFGNYKPRTHLPSVVDMYMNKKLELDKFITHRVPFSEINKAFDLMVKGEGLRCIISMED >OMP05045 pep supercontig:CCACVL1_1.0:contig05538:1478:1981:1 gene:CCACVL1_02066 transcript:OMP05045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLGWSPILTLKGAYYPELVRQFYANMKKPGITDCSTSPINSVVNGKEIVISVGNWEVNQAAGRFRVLSSTTKNGKRSMKAKTLDISPRLVAYLLNFNVRPRKAKNILRVSDLYIMDKMFFGLGSNIQGIPLAPTIIAAMRDM >OMO94103 pep supercontig:CCACVL1_1.0:contig07971:15744:15884:-1 gene:CCACVL1_06169 transcript:OMO94103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDCMTRALTQGLGHAHRRRGCMSCALTRGPTVLYLGDGTKSCE >OMO64658 pep supercontig:CCACVL1_1.0:contig12751:85697:90042:-1 gene:CCACVL1_21624 transcript:OMO64658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADACIRAVVESIHSSPTQAVLYLAGGASLALGWLTSVPGASNTVLESVVPYSRMSMIQLLAKIPDQYCSQQTADDLALLAYNRALRLSSPGSPVLGVGFTGSLATTRPKLGDHRFHLSTRTSDRSWASTVTLSKAIANACKVPSTFDSELTESDVVDECERFFTEDQELEQLINGQICFKIYPFSRDTLNEDRKIILSGAFNPLHDGHLKLLEVATSICGNGYPCFELSAINADKPPLSISQIKDRVMQFEKAGKIVIISNQPYFYKKAELFPGSAFVIGADTAVRLINPKYYDGSYDKMVETLTGCKRTGCTFIVAGRNVDGSFKVLEDLEIPEVLREMFVSIPAERFRMDVSSTEIRKSRGM >OMO64657 pep supercontig:CCACVL1_1.0:contig12751:84700:84918:1 gene:CCACVL1_21623 transcript:OMO64657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQLLKEVVRRDGGAGSSVVLILWAAFAALSVITAIMFSCGGGSSKDKASATHADAYGSTCAAGCGGGCGG >OMO64659 pep supercontig:CCACVL1_1.0:contig12751:93119:95527:1 gene:CCACVL1_21625 transcript:OMO64659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSIFAADGSVGSSTYTVASSEKKMEKDEGLKTLECLKGGLLAEY >OMO64656 pep supercontig:CCACVL1_1.0:contig12751:34510:34791:-1 gene:CCACVL1_21622 transcript:OMO64656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINHPKPQRHQSLFFIIFFLIKPKTLDPFIQKLTPPLSFFSNSAILPKPTFHDYRTPKAPLFPSLLSLKPFKSPNTRTNNSQLPAAPLFRLTN >OMO50651 pep supercontig:CCACVL1_1.0:contig16094:6597:6656:1 gene:CCACVL1_30333 transcript:OMO50651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSHKTVLGKAEDNNSKTGQ >OMO50325 pep supercontig:CCACVL1_1.0:contig16214:9904:14805:1 gene:CCACVL1_30505 transcript:OMO50325 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MGDRQEEPNQEVNTAAVLQQILQQLGTMSTRLENLEAGNQQAQRGANAAQPNDQGDRHQANRQVAHRTDPMERLRQQELGGQAINENMWPRRGVEREREEPKDNIKYKIAKFNGRGSPSDYLEWESKLDMYFDYHPHAETKKVQIATLEFTLQSLRQGTRSVDEYYSEMMLLMSRADVDEAPQATMARFMAGLNREIHDIVEMQQHYDVEELFQHALKAEGQVKRNSAKKIFASSSSSWKTPIKKDEKSSNKEKELVQRGASPKSDSKSSSSSSCKNHVKCFKCQGFGHYAKDCVNKKVMYTNDYGEIVSEDEEFALGSSGDGDDERGLSHDYEDDDDGNTPALLNLVARRTLSVYVKGDVNNQRENLFHTRMYACEKPSSVIIDGGSCTNIASVYLVKELSLPTTKHPKPYSLGWFNDREEIKVNKQVLVSLSLGKYNDEVLCDVLPMQACHVLLGRPWQYDNKVPHDGETNKYSFVYGKHPITLIPLSPQEALKDQLKLKEDLLNWNRNIGTNPKETKELEKQVAELLEKGFVRESLSPCAVPVLLFPKKDGTWRKCVDCRAINYITVKYRHPIPRLVDMLDELHGACLFSKIDLKSGYHQIRMKEGDEWKTTFKTKLGLYECRNLDEHVKHLRCVLDVLRVEKLYANLKKCTFCTNKFVFLGFVVSSQGIEVDEEKIKAIKDWPTPTNVGQVRSFHGLGRFYRRFVKDFSTLASPITSVMKKNAPFKWGKEQQEAFETLNEKLTNAPLLVLPNFNNTFEIECDASGVGIGAVLMQGGKPVAYFSEKLNGAALNYPTYDKESYGLMRALQTWQHYLWPKEFVIHTDHESLKYLRGQHKLNKRHAKWIDMDGERKADFVKDLHARVRAQIEKKTKHYVNVANKGRKEIIFEPGDWVWLHLRKERFPEKRKSKLLPRGDGPFQVLERINNNAYKLDLPSEYGNVSATFNVSDLSLFDSDVVLSTNPFQGRGDDAQRAYHGLEEHNRANGDHGKDDQGVQGSLEEQGGDGIASKTSMDGGIAPKMPFDPLVMPLGPMTRARAKRFKEALLGFVRSHLEGLKSIEDQLESIEDIKQRNIPIDSKLCTILATDGH >OMO50326 pep supercontig:CCACVL1_1.0:contig16214:18305:20200:1 gene:CCACVL1_30506 transcript:OMO50326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L9 MLPLFSSFLSIFRFSGLNCPPELQRVVCSGKVEQAVNLLEVVSGLQIAMIAWEIFGMFNHSSINSRIIKVMLKDDVEYLGMKGQLLDVKAGYFRDFLLPMGKAQIVTPILLK >OMO50324 pep supercontig:CCACVL1_1.0:contig16214:7267:8336:1 gene:CCACVL1_30504 transcript:OMO50324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRSLSHYDPIFHYNGNFTKTSFDAPRAYHGLEEHNGANGDHGKDDQGVQRSLEEQGGDGIASKTSMDGGIAPKMSLDGGIAPKMPFDPLVMPLGPMTRARAKRFKEALLGFVRSHLEGLKSIEDQLESIEDIKQRNIPIDSKLCTILAIDDH >OMP05946 pep supercontig:CCACVL1_1.0:contig05120:6960:7067:-1 gene:CCACVL1_01781 transcript:OMP05946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSSGASPCERIESIGESTQREESALSAFAFGVA >OMO54901 pep supercontig:CCACVL1_1.0:contig14870:22122:23774:-1 gene:CCACVL1_27485 transcript:OMO54901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MEIFSMLIFLISLLFPFFQASQSATILVDGVSEWKTPSVHVGDSIIFKHKYEYQLYIFQNKNAFNLCNSTQATLLTKPNSTSYAWHPSRPGFFYFAFNNGSLKTCQGFQKLSIKVSAAAALPPGNAAIPTPELPPTAAPAPTSGGSVVSSSPAYPWPFRPRQRQAVSPAPSASSPVAMPSLVPDKGGAGGIPFINSNPAVPLPTGEVDSATIRPFPTSDHAAKAMVGFFAAPMALFSVAFLVLVM >OMO54900 pep supercontig:CCACVL1_1.0:contig14870:13937:14668:-1 gene:CCACVL1_27484 transcript:OMO54900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIRWGNYKKKRVKSEACWGDLDVNVLHRILELIPAEELFSSASLVCRQWRSICWEILFWNDHETLELSTLKHLVGGYYASYNYPRKLTKALECVMNWALKMEAQRCIRSIVFASFMYVKVTDLAFVASRSPRLRRLILPDTHSIKDVNEIAKIIQKWREVEEVSLGPILNNRTDHLFRRIGNDCKHLTKLHIFGAFATRNPTLG >OMO54898 pep supercontig:CCACVL1_1.0:contig14870:6081:6248:-1 gene:CCACVL1_27482 transcript:OMO54898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCCKDTTLLAVAASPVPSGRLPRVKFAFLCESGDVMFHQPTMVTLLHVSRNGA >OMO54899 pep supercontig:CCACVL1_1.0:contig14870:10261:12186:-1 gene:CCACVL1_27483 transcript:OMO54899 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperone MELELGLKITQTRDDITSTADLRISKDPFGPVFLSRETDQMFILIAHLKGFRRENIFIEINKDGNRIAISGEKPVQEMLMVGWIMCKKEVEIKAFRKVFRIPDVVDLERIKAKYNEDESTLRITMKKKVKGISGVRIEEEKEEVEEEEEPKMIKEEVVEKKEAEEVPKVELVEKKEPEKVPRVEVVQEKEPEKVPKEVLLEKREPEEAMENAVYEEPEEVEEAAENLDFEEPQKVEEAMLEEYEEPEIEKGEEIDQVLDTPKVIQEKKMPEEKVPKEELVQRKEPEKAVENAIYEEPELVEELIQEEPEEPQGEIETVEETDQSDMPEDISEEPQIETVEETDQVLDMPEEIAEETRFETVEETDQVLDMPEEILEEKAAAPATPPAPTLMIEQETMEPEVVEPQVTEMPPIEELQEKEPPDEMQKIPQQEEEPQQEDTTGQVTRQAELPSLPPSQVEDEEIANENDQVEDLTSEQSDEQESGADFQESEMEPEPDEEPKEVEAPAQKQPEEESDKQESDQEEETDNMAGEIEEETDQEEPRQESEGGMDTSPETDADKGPIEGAAMEEKERRKTKLRKPLMFAGSAFLVTLIVLVINLLRARKR >OMO54897 pep supercontig:CCACVL1_1.0:contig14870:2583:3830:1 gene:CCACVL1_27481 transcript:OMO54897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BYPASS-related protein MPATDYQGSSAPLTNLGRSLLSLRRDQVHAMESHHDGSSAASLEVELESFQRQVADRFHDLSSVPSDELLSLPWVRKLLDVFLVCQEEFRVILSNNRAQVNRPPMDRLVADFYERSVKALDVCNAIRDGIEQIRQWEKLLEIVLCALGDTSNVNNNSNTNINNGNCYQRTLGEGQFRRAKKALIDLAIGMLDEKDSGQALAHRNRSFGRNNNSGSHSRDHHHRSLGHFRSLSWSVSRSWSAARQLQAIGNNLTAPRGNEVVASNGLAMPVFTMGCVLLFVMWALVAAIPCQDRGLQVHFYIPRQFSWAAPILSLHEKILEESKKRDRKNACGLLREIYQMEKCSRLLGELADSVQFPLNEEKEAEVRQRVKELGHVCEAMKEGLEPLEKQVREVFHRIVRSRTEGLDSLGRHNAE >OMO55992 pep supercontig:CCACVL1_1.0:contig14565:2005:2181:-1 gene:CCACVL1_26835 transcript:OMO55992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERQKEKLKRMEEERVRRGEIPSHEERKAAAIAGRSKVHPGNFVDHSASGNESNNAA >OMO89758 pep supercontig:CCACVL1_1.0:contig08628:5216:5353:-1 gene:CCACVL1_07655 transcript:OMO89758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPPSFPPHSQARQSLALDLALPAITPQSAALPNVMIYLKSVYSFK >OMO89757 pep supercontig:CCACVL1_1.0:contig08628:1526:2603:1 gene:CCACVL1_07654 transcript:OMO89757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYRNKKPSAASTVRRLLQAQQCCGEHICCPAFFECCA >OMO96079 pep supercontig:CCACVL1_1.0:contig07537:50138:50629:1 gene:CCACVL1_05087 transcript:OMO96079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin MAERNPGQQRAPRPNTTTPTSASTFLRRIQAHAPNSTQLLGFLTLFVSASILLTLAGLTVTAASLGFVFFLPLIVISSPIWVPVGTVLFVTIAGFLSACGFGVVLMAGLSWMYRYFRGMHPPGSDRFDYARSRIYDTASHVKDYAMEYGGYLQSKVKDAAPGA >OMO96071 pep supercontig:CCACVL1_1.0:contig07537:5242:8523:-1 gene:CCACVL1_05079 transcript:OMO96071 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MYSDHGPMILQMDRPQRYNRRPYRFEAMWVTHPQCRKIISKAWSNVFKGSSAYILVQKLKTTKHDLCRWNKTVFGDLQRRRQQLKNKLTKTQKEMHTIESFRQEKEVRQQLELLYEQEQIFWMQKSRTNWIIHGDINTKFYHTTTARRRLRNKITFVVDEQGYQCEETEQIESAFLNAYEDLFCAEDNVDISEIGREIKDLKVPILTEENQRLLDKPFTADEIKQAAFQLGPWKAPGVDGKPVLFYQQFWDIVGALTTASSLSFLNSGHMLKELNKTLITLVPKKNDPQKVGDFRPISLCNVAYKIIARTMVNRLKPIMDAITTPFQSAFVKGRLISDNIIVGREVLTTIQRQRKGKGMLRALKIDMNKAYDRGGRLTLINSTLQSIPNYTLSCFKAPVNICNKIDRVIRSFWWGHKPDEKKLHMKNWDLICKPKWQGGLGIRKTAHMNGALLGKQAWRILTEPEALSTKILVPKYCKKEDFTAVKPKAGDNWFWKSILAGRDICMKGVDIQIWDGKDTVIKNGRLAPRLDHTTVSNDHLQACKIMCPIRNQWVNWLANIVLQPEDQAKLKSRIFSIMEGNDKIVWKFDTKGRFTVKSAYLQILKEEHGDLQSTTQIQIWKNLWKLKIPYKSPETLEHLFWQCDFARATWFASDLTIRTDAFIDVNFTEWVKVWLLNDNTISDNNSDFSSKFAFLTWNIWRARNEAIFEGKKPHPMIVVCRSRTQIANKVEAYAIHREEEKIRMRKPIAQLNQLTNGGGTEISESIGNLTENDWIIFVETTQKRGSAGFGCCAMARNRDGDTHIVCRTFEVEKGIDAMLLILRSILVRLKIYEKADFGVKKAMGSGEEYCRGKQL >OMO96074 pep supercontig:CCACVL1_1.0:contig07537:13894:14834:-1 gene:CCACVL1_05082 transcript:OMO96074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRASLSYESPPPLTVVGYQENRT >OMO96078 pep supercontig:CCACVL1_1.0:contig07537:45159:49282:1 gene:CCACVL1_05086 transcript:OMO96078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor 2B-related protein MDGRRVSRAVPRVRQVGFFTPNAPPQQPGPTRSQSGPPNSNSPPLSDSPASNSLSPVMIPPPRHLSDNLGARTAAVPVPGRANAGEHATVGSYNPTESVLGLESPPASRIGDGEFSEGTESSLGWYRRSNSAKFASSFPGGGFDLSPVRQPETVAAKQAKNQPPGEANKSGTPGAAASSKPVKQPSQRKDGPPVASSVAASERTVADRSAEKERKKDAPPPRMQFDDESRVGKAKRRSVLNQSEARNRVELFRHLPPHKNGTQLPHLESKFFELHEMHPAVYKVGLQYLMGDLSGGNARCIAMLQAFQEAIRDYCTPPEKTLIRDLTSKISSFVSFLIECRPLSISMGNAIRFLKNRIAKLPLVLSESEAKAALCSDIDRFINEKIVVADKVIVGHAATKIRDGDVLLTYGSSCVVEMILLYAHELGKQFHVVVVDSRPMLEGQKLLRRLVEKGLTCTYTHINAISYIMHEVTRVFLGASSILSNGTVYSRVGTASVAMVAHAFSVPVLICCEAYKFHERVQLDSICFNELGDPDAIGKVPGRADINYLDNLTEKQKENLEFLNLKYDATPSDYISVIVTDYGMIPPTSVPVIVREYRKEYLLI >OMO96072 pep supercontig:CCACVL1_1.0:contig07537:9180:9644:-1 gene:CCACVL1_05080 transcript:OMO96072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAATNRRAPSFGIETTITEEEHLELMYNFTLQANPETHLEATLAVANEQQLEQNQEGANAGEENCNLNEEDFVSAFLVDNLEEGEERRSSDSQNEKRKREGKSDSEETDSDGNRNLRRRMEQLEVGSGSGSAADNNTYGVWKAVPNQPPQAP >OMO96075 pep supercontig:CCACVL1_1.0:contig07537:15830:18224:1 gene:CCACVL1_05083 transcript:OMO96075 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MEPKTMMDMIPNIDPIDIGLGSSEKGNLLQSAKPRKKTMTSVYLKYFETAPDGKTRRCKFCGQSYSIATATGNLGRHLSNRHPGYDKSGDAVTTPAPQATPITVIKKPQPQGRTPQAQVDYDHLNWLLIKWLILASLPPSTLEEKWLANSFKFLNPSIQLWPGEKYKAVFREVFRSMREDVRVSLEQVSSKVSITLDFWTSYEQIYYMSVTCQWIDENWSFQKVLLDICQVPYPCTGSEIYSSLVKVLKMYNIENKVLACTHDNSQNAIHACHTLKEDLDGQKVGPFCYIPCAARTLSLIIDDALRTTKPVIAKVREFVQELNASLDMSEDFIQLTTAYQEGSWQFPLDASARWSGNYQMLDLVRKAGKSMDAVVRKNEEMMGSRMLLNAAEKNVVNIVHNYLEPFYKVISEICVNDPTTIGMLIVYMDHISDTISTRQPPDWLKSAAEDMAKKLRSYNNQVCNIFIYMTAILDPRIKCELIPESLNLENYLEEARAHFMRNYYTSHFPSMTSGYSAQDIEDGGSVSFAEEIARKKRRASMSNATDELTQYLSESPAPTKTDVLEWWKVNSTRYPRLSAMARDFLAVQATSVKPEELFCSKGDEIDKQRFCMPHDSTQAILCIKSWTQGGLKLKYKSTEIDYERLMEMAVAAASDNNSAGFDKKQK >OMO96076 pep supercontig:CCACVL1_1.0:contig07537:18778:20738:-1 gene:CCACVL1_05084 transcript:OMO96076 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative actin binding protein MIFRISILVVASVAATFTVSPVNTKRFKKQNSLLSVSAAKDFSSSQRIDMEEETEEGNCERVENSQNSIKELEKRRVTLEGKLLELYSQKQKLSYISCLQRNLDEKTADTDKLNLRIHALKAEIKGLQEIIRQGNLAKKQLEMEKKMVREMQMKNGNASQIKGQIVVLEEQLSGFRADESSAREAMVKKKIGAVKSIELEAVKMKRRNKELELERRELSVKLFAAYDKISALANMTQSKTIAKISELRHTNGEVSKEVERLQKSRFDMVEELVYQRWLNACLRAEIRDHQNSSRKLLQKGIHKTSDHKPCKVTSQDPDMSSSWSSFTSSTESEDMDSSISKDSGTHHSTSWSSSMDGSSVALSPDKFFIGSPLERIGVTCTDSTSIVPSKTLVLNTELHGISEKIKGPVQPDFSETVEVPNLTKVRRVSFNDAVETVPPANQVLIKFAEGVFDDKDIVTLVTGNNRSGTKAGLPSQSPLAGEKHEFSSSEVFLGKPIQSSDSNRRSHDKDGLHSSISNVVPNPNENKMDTDIIPTVFAFLILLFAVLVCFLHVSARIY >OMO96077 pep supercontig:CCACVL1_1.0:contig07537:42339:43490:-1 gene:CCACVL1_05085 transcript:OMO96077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRKKEGLTNSVSNQPIEVRYASDNRHSRKPRIPFINNRITIFLPCIGSRNRKQQRTQHTCADCVSDRHV >OMO96073 pep supercontig:CCACVL1_1.0:contig07537:11780:13042:-1 gene:CCACVL1_05081 transcript:OMO96073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIQGKRECSWSNSDSSSEDSDEDMTSPYNNQPVPEVRPRAQRDPIVQPNLSHVPSRREHWQRCLVAVLKDFRKFSTQTLQRHINREWRLRGRATVLGREGNTYLIELTEDIDRNYAIQDSPWLLDGAMLVTDPWRPNTALRNVEIRYTHMWVQLWGMPLEYFQEEIAMEEVTHNLGHGIIYEVNQNHFTDDIRAYHRRRRMRNTTIIYRNGQRPNQEAGTSQQRQGAGEGDHEMAPDEIRPQQHTQENNNEERVQQGGNQKPDMQIAPAENENVLSQGEQHHQQQPEWEQMPNLEGTNDNLAPLPVVILNIPADEYKENRAIAEILAQI >OMO95485 pep supercontig:CCACVL1_1.0:contig07667:6973:9415:1 gene:CCACVL1_05397 transcript:OMO95485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWHACLDEYEKLVIRMSTPRVVIDNAVCPTATLVKVDSARRHGILLDAVQVLTDLNLSIKKAYISSDGQWFMDVFHVTDLNGNKLTDESVISYIEQSLETTHPYRSHGFDGLTALELTGTDRVGILSEVFAVLADLQCSVVDAKVWTHNGRIASLIYVKDCNSGSPIEDSQQIDRIEARLRNVLKGDNDIRSAKTSVSMAVTHTERRLHQMMFADRDYERKPILQRSTDSPVVTVQNWAERGYSVVNVQCKDRTKLLFDVVCTLTDMQYVVFHATIHTSGDKAYLEFYIRHADGTPISSEPERHRVIQCLQAAVERRASEGVRLELCTDDRQGLLADVTRTFRENGLNVTRAEISTTRDMALNVFYVTDAIGNPADPKIIEAVRQKIGLGNLKVKELPLIYHQKAEREEQAVGVGGAVLLSLGSLVRRNLYNLGLIKSYS >OMO95484 pep supercontig:CCACVL1_1.0:contig07667:4887:5003:-1 gene:CCACVL1_05396 transcript:OMO95484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNRYNSRQTEDGSGGHVVAEISTSIKAENRFYFMNE >OMO97027 pep supercontig:CCACVL1_1.0:contig07320:1796:1870:1 gene:CCACVL1_04700 transcript:OMO97027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTSWQTHKGSLISKSSSGTIGLLS >OMO84220 pep supercontig:CCACVL1_1.0:contig09767:4448:6944:1 gene:CCACVL1_10940 transcript:OMO84220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7A/L8 MDPTQPKLGKWKSRKQSVVVLGTAQTSPDQLWVFLRRFSLNNKARKKLHKAPLKMAPKKGGKVAAPAKKKPEKVVNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRKKRILKQRLKVPPALNQFTKTLDKNLATSLFKLLLKYRPEDKAAKKERLLKKAQAEAEGKSPESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKSRLGSIVHKKTAAVLCLTTVKNEDKMEFSKILEAIKANFNDKYDEYRKKWGGGIMGSKSQAKTKAKERLLAKEAAQRMT >OMO84222 pep supercontig:CCACVL1_1.0:contig09767:14028:17285:1 gene:CCACVL1_10942 transcript:OMO84222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNTRHNPNPEFTRPEEPELDLYTIPSHSSWFSWNDIHETERLALKEFFEGTSISRTPKIYKEYRDFIINKYREDPSRRLNFTEIRKCLVGDVTLLRKVFCFLENWGLINFVAPPRPREGSQNDIKVRVEDGAPNGVRVVADPSSLRPLSSPVVKEKSSDSEFGEGGVKLPPLASYSDVFGDLKRLRCGNCGDNCDSEYYAYNKDNFIVCVKCFKSGNYGENKSVDDFKLKECSGNSVTTGAVWTEEETLLLLESVLRHGDDWDLVAQDVKTKSKLDCITKLVELPFGGSLIDSINGRANSSGPTTNLNGAKPVPVPSSEHQENIRNEDQGQNLGHGITNENEKNGDSENQEPPLKKKRTAPVPDADSSLMKQVALISTMVGPQITAAAAEAAVTVLSDELSCPREIFDGDEINLTNGLLSPTSLHQPERVDDDEHSEMKERSSPSETQEMSPKKNDVPLPLRIRAAVATGLGAAAAHSKLLADQEEREIEHLVATIIEAQFKKLHSKIKHCEEAEKLMEKEYAAIEEIKEYILEERLNILQRTYGAGIPKSREQGAVQSQTGA >OMO84224 pep supercontig:CCACVL1_1.0:contig09767:20130:25211:-1 gene:CCACVL1_10944 transcript:OMO84224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVRKVVTDKFEGLLGLRRRLGRWCAEEILPESGGRRDDVRRRQRMARDTEVNDAT >OMO84223 pep supercontig:CCACVL1_1.0:contig09767:17696:19700:-1 gene:CCACVL1_10943 transcript:OMO84223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEFAKLIRRINPPRVVVGNDACQHATVIQVESVNRHGTLLEVVQVLSDLNLVVTKAYISSDAGWFMDVFHVTDNDGNKIRDGKTLNYIQKSIENKVHYLNSMRSSVGLIPSKDHTSIELTGSDRPGLLSELSAVMADLGCNVVNGEIWTHNARAAAVIHVTDQTTGEAIEDPERLSTIKELLFNVMKGNSDFKTPRMCVSSSGVIHRGRRLHQMLFADRDFERPESDQSLKFESAKQPHVTVLDCSDRDYTVVTIRSLDRPKLLFDTVCTLTDMEYVVFHGTVITGRMEAYQEYYIRHVDGFPISSEAEQQRVVECLEAAIERRASEGLELEVCTDDRFGLLSDITRIFRENGLCIRRAEITTKSGKAKDTFFVTDVSGNPVDSKTVDLIQHQIGQVNLQVKGDSSLSPKHPKETTGSFLFKNLFKGRSFQNFKLVKSCT >OMO84221 pep supercontig:CCACVL1_1.0:contig09767:7781:8605:1 gene:CCACVL1_10941 transcript:OMO84221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMESPKQNPKHGYYPIPNNNQPFSAAKILRPVKNPRFAYNVAPRSTSLKDHRRRLLQNPANNPTTTTKTNSARHPNKYKFCMIASIIFFSMIILVGIPIILLIALGPRVPTLTIEQVSFYYPIFYESPTRFASLFNITMKVSNPARHVSFVFEGHNSIIVASYRGIRLSGGVFPSFFQEPKEEITLQTPMTGVGLVLPDDLKKKLESDHEKRMVPLSLSVMGRLRYEMGFFKLRAMLRVSCEVVLDNLVTYNTNIVSSNCDSAAGFWLPYIRT >OMP11159 pep supercontig:CCACVL1_1.0:contig01518:662:859:1 gene:CCACVL1_00650 transcript:OMP11159 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase beta'' subunit VQVLIVQVDSVVIRSAKPYLATPGATVHGHYGEILYEGDTLVTFIYEKSRSGDITQGLPKVEQVLE >OMO70588 pep supercontig:CCACVL1_1.0:contig11808:5518:8408:-1 gene:CCACVL1_18778 transcript:OMO70588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MDAEVVVSLLSRKLQKLLRNEAIALTPEVKNHVQKTTHQLNLFRQLLKETEKNQALAAEMNSSQCTNHLLRALYSVDDAVETFLIRKAFKSQHPFTSFFNNAVFIKENKKFLKFISKKGNLLKDKQQGETQDVADNNIPGSNQLQRWGRISGFCFDDECHVVGLEEQVKDLVALVGRGAEQGNQPAVISMVGEGGSGKTAIARFVYDRVDIKRNFTSRAWVHVTKEFKVRDVLVDMISQLDEKFGKEPLMEDELKWRIPKLLGQGRFLIVIDDVEAPELWEAINEVFPPSSHGGVVIVTTRNANVAVPAGSTLQTHSKSFSAKKSQLPVRRLATYSNILFSTFHVRQLRSYVSFDTLKGGIAARNSSMLLNKIMRKINLGVFRVLDLESVYKPQLPKAVGALLNLRYLGLRSTAINSLPVSIGILQCLETLDVKHTNITSLPDSLWKLGNLRHLYLNGIGLDSLELLSVGSLNKLQSLCGLSIGTDSSIAETLRMSSWRQY >OMO70589 pep supercontig:CCACVL1_1.0:contig11808:12383:14377:-1 gene:CCACVL1_18779 transcript:OMO70589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MKSTRENLSLEQMVEKLSAFLEGKKYLIVLDDIWDVGAWRCVQAAFPDNKHGSRVLLTTRNKEVAAEADPRSPPHQPRPLDDETSWELFLKRIGAKESDCSPTMRELGKQIVKICGGLPLAIVLLGGLLSTRDLSYEQWSRVFDCFRWQLKQDQTPCSQILDLSYNDLPHYLKPCFIYLSIFPEDCEIKTRRLIGLWLAEGLVRNRDNLTLEDVVEKYIEELTDRSLLQVTNRIDGKIKACQMHDLIRELAVSKGKDGNFFHICEDTESSPADEVKIRRYVAHSFNNFPSSVDILRSYVKFKENREQQDIALVNFLESGLKKKGFGLLRVLDLEGIYLDNHLSVAPKALGQLIYLRYLGLRHTDGFYFEFSLGNLKNLQTLDVRRSGIDISHQPIWQLLKLRHLFMDGPTRRRGNRRSTIVHPPASSNSSLNLEELRILCWLYIDHDTCIEDGLQKSTQLKELNLFGNLASQGESVIGWLTNLHRLEVLSLWASEGNCLDSLNFTNNKLLYRLHLQGKFAHKLLEAHEFPPNLTTLILNDCCFEEDPMPTLEKLHSLRSLELLRDAYWGEEMVCSSGNFRQLEILKISHIYVENWIVEEGAMPSLKLLELKWCERLKMMPELQHITTLLELKVGCCPLVTRIQKPDGEDWSKIQHIPSVIIIEE >OMO70587 pep supercontig:CCACVL1_1.0:contig11808:299:1426:-1 gene:CCACVL1_18777 transcript:OMO70587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide repeat protein MAIITHCSCFLTPRPCCSLPSPSSAKLQFSGKVASFLCKVENFGPSLEDVNGPLEIRHLLRERGKSTLLHCLKSEGNPILDRMSSHCDELLQQLFALEGGSWLRVPMTTFLATNILIFAAPFKALAETCEADNSFFNMPLLLLVALIGATVG >OMO77276 pep supercontig:CCACVL1_1.0:contig10791:8486:8560:-1 gene:CCACVL1_15119 transcript:OMO77276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVHIQVERGDGTAGQATFDWKILL >OMO90835 pep supercontig:CCACVL1_1.0:contig08420:4:93:-1 gene:CCACVL1_07274 transcript:OMO90835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GASAKSTIFSTYTTTCWSSFPSKGYLPPS >OMO58964 pep supercontig:CCACVL1_1.0:contig14076:17942:18001:-1 gene:CCACVL1_25209 transcript:OMO58964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDVARGKSEKKHPTVSI >OMO58963 pep supercontig:CCACVL1_1.0:contig14076:12885:14206:-1 gene:CCACVL1_25208 transcript:OMO58963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MVFSSVPVYLDPPNWQQQPTQQAGLVTSDNNNHHNHQLPPPPPPPSGGGGGGGSGAIRPGSMAERARLAKIPQPETTLKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGSSNRSKSSERQTGSSSSSSTLASNSCSDMLGHMAGAPQLPLLPPLHHLGDYNSGDIGVNFGGIQVAATGGGAGGGGGGGTSASNMDFPIGTSSSGSMLTNGLVEQWRSLQHVQQFPFLTSLEPAAGLYPFENEGLEAQSYGGHGQLRSKPLESAITQLAAVKMEDSQHQGLNLSRNFLGISGNDQYWGGHGGGGGSNAWTDLSGFTSTSTTNLL >OMO58961 pep supercontig:CCACVL1_1.0:contig14076:2176:3710:1 gene:CCACVL1_25206 transcript:OMO58961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 3 GTP-binding protein PLKVLSVMAAIRVDNKSDEIEEILFTLMDEKSGPSKILSSKQWPKISPEDTLITPLGCQTLWKGFQEYIEEQFEHAKVHIYNTIIIIITIRISKLWVKTKNRIKKILVGTFNVGQVTASALFALGGILIPPILIPFPRGTDRLQIAVNQAIDEISCCDEDLDQNAVNEATEATDEDFNEAA >OMO58962 pep supercontig:CCACVL1_1.0:contig14076:4665:5857:-1 gene:CCACVL1_25207 transcript:OMO58962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSSTPKTLHVITLVLKVAMFALLLASLIVLVTATATLPVDLFTQLTVHFDDVYAYRYVVASIIIGLVYALLQIAFSIYHFVKGNPLIIGNGGFIVDFCGDKVMSYVLATGSAAGFGATKDLKALADARGLDFDDYFDKAYASSSLLLLAFLCAATLSVFSSYALPKVVTN >OMO66615 pep supercontig:CCACVL1_1.0:contig12531:17196:19641:1 gene:CCACVL1_21055 transcript:OMO66615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGAHIARLVRTPNPNSLTLLLLFSLVSSSLIVVSFAGKETETDILLTFKDSLANPYALSNWNESIQPCTKNRANWMGVVCINNTIWGLQLENMGLSGMVNMEILVGLRKIRTLSLMNNNFEGTMPNIRKLSSLRNLYLSNNRFSGEIPDDAFQGMRSLRKVLLANNGFTGKIPLSLTTLPRLMMLRLEVNQFVGQIPEFKEKSLKVVNFASNQLEGPIPASLSKQGPNIFSGNKNLCGPPLKVVCTTPPPSPSPAGTEGKQKSALTIALIVVSILLLLVVIAAIVLILSRRKQNPKTIVEMESLDDSNKLPTYDNESEKKAAAAEVTAMMSSKRSDQKLIFLKDDIEVFDLQDMLRASAEVLGSGNFGASYKADISAGAVVVKRYKQMNNVGREDFHEHMRRLGRLNHPNLLPLMAYYYRKEEKLLVAKFMENGSLASHLHANHTLEQPSLDWPTRLKIIKGVSRGLNYLYTELPSLVVPHGHLKSSNVLLDENFEPLLCDYALRPVINQERAHMLMTAYKSPEYVTSGRISKKTDVWSLGILILEVITGKFPENYLTPNYDSSTSLATWVNEMVKEKKSGEVFEKEMAGTKNSKGEMFEVLKIGLSCCEEDPETRPEMKEVVQKIEELREGDEDNIEDFSSTLGEINAYVTRGNTDQDESFYSYDR >OMO66613 pep supercontig:CCACVL1_1.0:contig12531:7780:9294:1 gene:CCACVL1_21053 transcript:OMO66613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFSQLLQILKPNLSQFLSVAYKSSSSSHITSELKTDIDIICRIINDHPFPDVPLVPTLLQQTPPNSLSTYSVESVLGRLFAAHSNGLKALEFFKYSIHHCQLTPSVDAFEKTLHILTRMRYFDKAWELMIEMRHRHPSLLTLKSMSIMLAKVAKFQSYEDTLKAFKRMETDVFVGRNFGTDEFNVLIRAFCSQREMKEARLVFQKMHSRFSPNTKTMNILLLGFKESGNITAMELFYHDMVRRGFKPNGMTYNIRIDAYCKKGCFGDGLRLFEEMERVCCSPTLETITTLIHGAGIARNTPKARQLFDEIPKRNLQPDIGAYNALISSLIRSRDIKSAIELMDEMETKQIKYDSVTYHTMFLGMMKLSGIQGVCELYHKMIERNFIPQTRTVVMLMKYFCENQHLDLGLNLWDYLVHKGYCPHSHVLDILVAGLCSRGRLPEAFQCCKNILERGRNMSESVYLMMQRYLKQYDEVDKLMELNRMIKNLQNVLPPSRESSNTF >OMO66614 pep supercontig:CCACVL1_1.0:contig12531:12565:14498:-1 gene:CCACVL1_21054 transcript:OMO66614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase/virulence factor MVPDYTMLAKLLLAFLLTVNIILDYGESSYTGISHYHHKIKRMTSFKSSYISRWGVPLIPRTISSSAAPVSTGSRVYHAIDYGADPTGNFDSIDALEQAIFDAFGSQVEGHLIQGMADLGGAELHLDGGTYKISRPLCLPRIRTGNFMGGGFAILNSVRTTIDNCYITHFMTNGIFIEGGHETYVRNTYIGQYITAGGDHREKDFSGIGINIIGNDNAVTDVVIFSAWIGIKIRGQANMVNGVHCYNKATGLGGIGIYIQASGLTQNRIANSYLDFTGIVAEDPVQLHVTGTFFLGNAFILLKSLKGVLSGINIVDNMFSGDYTGIPIVQLDESNAPFKTIDQVIVDRNEVNGMVLKSTAARGSVWGNGTTWTVDFSKVLLFPNMIKHVQYTLQAGSSFASHILRNVSENRVTVESELPISATLHVSVEQIMVTYAQ >OMO82153 pep supercontig:CCACVL1_1.0:contig10067:64109:68332:-1 gene:CCACVL1_12061 transcript:OMO82153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLERCYSSSLLASTPGDAAGDAEAVSTDRMEIEAAEILAALAHSKKQDAAAVAAEFAAKWGCKGKRVRRRVSSSSESPPSDVGLNPVDPVQLGSDLAEDRATLDQHQLQLAGTTVVIKSVEAEENAKPLNTSYTSTARFTSNGVVKFKQNVTEAEKETCRLYRMLSHKESDWEMIRERQILLGIVGMPEKDIWEDRKPDQLTGNDVFIKSVKDEQDAESVKESSTCASKYMSGGGGRSRQNLTEAEKEAKRLRRILANRESARQTIRRRQALCEQLTLKVADLTRENENLKRAKELALKEYKSQESANKDLKAQMAKAIKAEEKEATGEIKLSHQISGSSGNYPFFFYNNQHPFPPFCWPSIVQSSHTVQSQFGHQNNNVVPLSISPPTNGGFDSSHDHENSINVDGPKTPLYVVPYPWFFSLPDHGNGLHLQSSCGPKSIDEESTVNNRSSSVCSLKSSVVHEEKFNLSLPVEVEKEAYCSFESSPNNLTQVRPTPDGDGATYLEQENKTDHVAYSEGASVRACHSVGALPEENPESTKYLNKNVTDVTAAAEARKRRKELTKLKNQNGRQCRTRR >OMO82144 pep supercontig:CCACVL1_1.0:contig10067:1088:1186:-1 gene:CCACVL1_12052 transcript:OMO82144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFYYTSSLMFDDLALRPTWQFTTQSIDFAKS >OMO82151 pep supercontig:CCACVL1_1.0:contig10067:54431:55672:1 gene:CCACVL1_12059 transcript:OMO82151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MLKTRFNNFPKGKQFSLILGDFLGRGIFNVDGDSWKFQKKMASLELGKLPIKTYAFEIINSEINDRLIPLLESVISSEKQGKVLDLQDVFKRFSFDSICRFSFGLDPRCLELSLPMSKFATAFDVASKLSAERAMAPSPLVWKIKRGLNLGSEKKLREAIKIIHILAKEVIWQRKKMGFLSHNNDLLSRFMSTVDDETYLRDIIVSFLLAGRDTVASGLTSLFRLLARNPKVVFLILEEADRVIGKNKELTSFEQIEKLHYLQAVVFESMRLFPPIQFDSKFCLEDDRLPDGSFLKKGTRVTYHPYAMGRMEEIWGPDCLEFKPERWLKNDGVFSPENPFKYPVFQAGFRVCLGKEMALVEMKSVAVSVLRRFQVELVAPHRTPRFSPGLTATFSGGLPVLVREREADHNHQS >OMO82154 pep supercontig:CCACVL1_1.0:contig10067:73097:74703:1 gene:CCACVL1_12062 transcript:OMO82154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAALKTQLKNFVQSMFDEGVLDSQFSQIQALQDASNPNFVEEVITLFCNDAERIITELNKYLGYQNVDFGKLDSYVHQLKGSSSSIGAHRLKLACVNLRQASDDRSKDGCLRALNSITREYCLLCSKFQTLIQLERNIIALERNH >OMO82148 pep supercontig:CCACVL1_1.0:contig10067:22847:25926:1 gene:CCACVL1_12056 transcript:OMO82148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNSHDGIVVNGHGDGVLGSAANRSKLIIDTDPGIDDSMAILMAFQTPEVEILGLTTVFGNVHTENATQNALTLCEIAGRSDVPVAEGSPEPLKGGRPRVADFVHGSDGLGNIFLPPPKTKKSEKSASEFLVEKVSEYPGEVSVLALGPLTNVALAIKRDSSFAHKVKNIVVLGGAFFALGNVNPAAEANIYGDPEAADVVFTSGANIVVVGINITTQVKMTDDDLLELKESKGKHAQLLSEMCKFYRDWHVKSDGVYGIFLHDPVSFVALVRPDLFTYKKGVVRVETQGICVGHTLLDQGLKNWNGSNPWTGYSPISVAWTVNVDEVLNYIRKQLMKP >OMO82149 pep supercontig:CCACVL1_1.0:contig10067:27982:28314:1 gene:CCACVL1_12057 transcript:OMO82149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVSSTTAMAENISWHCALFMAVMLVLSCCESSDQREFKGGDDLQLKELIMMKNNKPCDEIYVVKEGETLQTISEKCGDPYIVEENPHIHDPDDVFPGLVIKITPFHNR >OMO82152 pep supercontig:CCACVL1_1.0:contig10067:60013:63238:1 gene:CCACVL1_12060 transcript:OMO82152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8, subtilisin-related protein MLHNLSAFVFCFCLAAAAAALLVQGSTENERKGNGINTFTMKNKIYPLTSGAHASNLTKNYPYGNSSACDFGTLGEAKVKGRIVYCLGDSGPDSTIKGLKGVGTIMAVDPQLDYYMITLTPGATVARYKDGDKIDRYINSTNTSIGILMGDKKKYECSDFKKARGFDGLNYPSMHIQLGRKDTKISAVFYRTVTYVGYGNISGFKAKVTSPKELSVTVIPNMLRFTKQHQKQSFKVLVKGKSMKNGTDILSATLEWINFGYSVKSPILVYKQGAFF >OMO82146 pep supercontig:CCACVL1_1.0:contig10067:10773:13483:1 gene:CCACVL1_12054 transcript:OMO82146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSAAGSWFETHVTWDNLFKLFLGQVVSFVLALMSFTSSFLANLGVDAPISQTTFAYFTLAVVYGGILLHRGQKLRIPWYWYLALSFADVQGNFLANKAYQFSSITSVTLLDCWTIVWAIILTYIFIGTRYSLCQLLGAAICITGLGLVLLSDSGVGGGGGSNPLLGDALMICGTVFFAMSNVGEEFCVKKKDRVEVVCMLGLFGFLLSCVELSIFELKSLESITWSTDIIITLAGYTLASFMFYTITPFVLRVSGAAMFNLSLLTSDMWAVAIRVLFYQQQVDWLYFVAFALVVIGLIIYSTTEKDPSPAPFLEDGNQSPQYQVLNDDDMASRDQTLAS >OMO82147 pep supercontig:CCACVL1_1.0:contig10067:14301:18416:1 gene:CCACVL1_12055 transcript:OMO82147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID MDQQQPPPTPSAPPSTTTTTTPTPTVNANPEPTQQQPPPSLPQPTQPQPQQPLPPQSQTPQIQPQPTPPQPSAAPPSSSTPSPNPSLNAIAKPLPSPTPPPQQTSQPRPTTLSTPQPRPSTASPTSITPANPAAFSRPWQQHSQFGHFSSSASSLSSSPSPTITPQPRGGIALGVPSSLSSPSPSIASASQSSPFSGSFGHSFGGASSSNVSQARPPMQGIGMAGSSIGTSSQIRPGAVSAHHQPRPVPSSIRPSSSPNSQSPATQNIQGHSLTRGSAAGTSASSSPSTQQASQSHQPWLMSGQQGKPPLPPPSLRPQINSPSSLPAPSPRPQINSPSSLPSPSLRPQINSPSLQQRAHIPQQHSSMPTVSHHPTVSQQQHVSSQQVPQPHVSSPQVPQPHVSSPQVPQSHVSSPQVPQSHVSSPQVTQPHVSSARVPQPRASSPHVPQPHVSSTHVPQSHVSSSHVSQPSPHQQEHFGQQFSQPRSITHQLQLLKAQGSANQRPSSLATVQSSTVQPVNQNKAAIVESDESGGRILSKRSIHDLVNQIDPSERLDPEVEDILVDIAEDFVDSITTFGCSLAKHRKSDTLEAKDILLHLERNWNMTLPGFSGDEIKTYKKPFTNEIHKERLAAIKKSIAVSDAANSKHFVGQGAVSTKGNLGKAAANILGSPNVKIREVT >OMO82145 pep supercontig:CCACVL1_1.0:contig10067:2725:3225:-1 gene:CCACVL1_12053 transcript:OMO82145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, AN1-type MMAEEHRCQAPEGDRLCANNCGFFGSPATMNLCSKCYRDIHLKEQQEAASIKSALSSSPSSSSPVVESVSQVVPPLTLPEVNGQPAVEIAPAAEQQPQQPQQQPNRCMVCRKRVGLTGFRCKCGITFCGSHRYPENHGCTFDFKKVGREEIARANPLVKAAKLRKI >OMO82150 pep supercontig:CCACVL1_1.0:contig10067:32220:35268:1 gene:CCACVL1_12058 transcript:OMO82150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MATRNLLFSLFCFFLLCLFSGEQQVVEATRGSPFAFKPKKLFVFGDSYADTGNNRKALASSWKFPYGITFPGKPAGRFSDGRVLTDFVAEYFGIKTPIPYRWRKELSGRLKYGVNFAYGGTGVFQTLSPEPNMTVQIDFLEQLLNESVYTKRGLKSAVALVSLAGNDYNTYLFNNGTAACLSNQYASCGQGLPAFIASVVGQLTVNLKRIHDLGVRKVAVTSLQPLGCLPRSTAQFSFQQCNGEENNGVNLHNQLLAQAVNTLNKQTNSTSFFVVDVYNAFWTVINRKNQAHQVSPSFENPLKPCCVGVSAAFSCGSVDEKGVKQYTLCTNPKSTFFWDTFHPTQQGWAAVYSTEALQNSLKQLY >OMP11806 pep supercontig:CCACVL1_1.0:contig00835:558:626:1 gene:CCACVL1_00251 transcript:OMP11806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDLLVKFMLLRQATTEEIRRK >OMP11468 pep supercontig:CCACVL1_1.0:contig01267:8897:8971:-1 gene:CCACVL1_00506 transcript:OMP11468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVCYLMYGEDLKSQVTLNLPIRN >OMO64509 pep supercontig:CCACVL1_1.0:contig12817:2941:5793:-1 gene:CCACVL1_21705 transcript:OMO64509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGLQTSNVLLPVEMQVEKPSRNSVEVDTTSSEESGDENVEEDGVDEELNPKELKWDNDDDNGELVTSRVKLSKAIEHEEQLWNEINDVNVDADIEDNPGPENAVPENAGPETTGPATVGPARRVKSRANKGFSDFPKPRPRRKVEEVYFDSSDPDRLEDDIIKIPMKAIHVMRLVNKRLGNKQKKKRTESESSNQQSTRQESARTFSVRPNNVQVVNRGKESEYVIARPAGNVQNFTTVRKLKEDARKMRHDRAAGTSNDQKSCQP >OMO90585 pep supercontig:CCACVL1_1.0:contig08460:7924:10695:-1 gene:CCACVL1_07338 transcript:OMO90585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYHGLEDDYGEHGNDDQGLQGSVDKFKGEDGIAIHQEDAIKMPFDPLKMPLGPLTRARAKRFKDALMGLVRTHLDEMKTIQVQLKSFDDDLSKKTPIYYKCSIAGLIEDLGPTNEESLLCEGTMGDKVNQANDLATLYQKLKQSMDKLEKSFDTLADEIHLTKESFTSSSSSWETPYKQDERILFKEKECVKKEFVSPKIESKDSSSSSSKTHIKLLSEEKGDSNGDGDDESDDDGAAIDDGDELASLSLVASRTLSAYVKEDVQRENLFHIRMYGEGMMRPRLIMEGMKRQGLIMDLKMALESMERMIKGYKEAWTSLKERMESQFTKKMPFDPLKMPLGPLTRARAKRFKDALMGLVRTHLDDMKTIQVQLKSFDDDLSKKTPIYYKFITLLAIDSRWPD >OMO95619 pep supercontig:CCACVL1_1.0:contig07644:13658:13756:1 gene:CCACVL1_05353 transcript:OMO95619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHKTQASRQFRIIRSITQALRPQELQASKNTH >OMO73115 pep supercontig:CCACVL1_1.0:contig11301:7923:8186:-1 gene:CCACVL1_17459 transcript:OMO73115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRLIRDHIKKKDKKKRHPPRRPSGPVKRLLLRQRNHERNIPGEAVNQKQQNHRRKHEEELDLVHEIFKHGLIVYVPGKTQHEKQD >OMO73121 pep supercontig:CCACVL1_1.0:contig11301:29910:32948:1 gene:CCACVL1_17465 transcript:OMO73121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSSLHLSAIPQFFSFNSKPSPPHLNSKSVGLQVRSSLENESCNPGVSNDSVEPAKKKGTQVSTSRRVCLTCLCSSLALISSSVTSVSLVNAAPMDGNEKAVCRNCGGSGAVLCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDAKKLLDKMYNGRLLPVGNFNAILCQQEDNAETVYNLIPPHV >OMO73120 pep supercontig:CCACVL1_1.0:contig11301:29357:29455:-1 gene:CCACVL1_17464 transcript:OMO73120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSAPRNPKPKFHSLQQFRLFGVGASSLSP >OMO73122 pep supercontig:CCACVL1_1.0:contig11301:35182:37992:-1 gene:CCACVL1_17466 transcript:OMO73122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MRAMEGEGVKTALLGKSESGRRVRFSRRNSVSWLRNEFVSRLPEKVRLGVDDESPFHIDISKTKGLTKGEKEYYESQFETLKSFEEIDALDGSFGSIDGEVDEDEEQAQHERAMKISNYANVVLLAFKLYATITSGSLAIAASTLDSLLDLMAGGILWFTHLSMKNINIYKYPIGKLRVQPVGIIIFAAVMATLGFQVLIQALEQLVEDKPSEKMSSYQLVWLYSIMLTATVVKLALWLYCKSSTNKIVRAYAKDHYFDVVTNVVGLLAAVLGDKFFWWIDPAGAIALAIYTITNWSGTVIENAVSLVGQSAPPEFLQKLTYLVIRHPQVKRIDTVRAYTFGVLYFVEVDIELPEDLPLKEAHTIGETLQIKIEKLPEVERAFVHLDFECEHKPEHSVLSRLPNTQP >OMO73116 pep supercontig:CCACVL1_1.0:contig11301:12238:12588:-1 gene:CCACVL1_17460 transcript:OMO73116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVPTRSRTSPFTPSEQWLLLTASVLVCGFLGYVVYDAIMATAAELLQRLLIISPLLLVVVVHWLSTGSNLNFPMPGSEPGAIHRAGGSPWGVAFVLLLLFFLICYQPSFHDLLF >OMO73118 pep supercontig:CCACVL1_1.0:contig11301:14983:21922:-1 gene:CCACVL1_17462 transcript:OMO73118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKRKASSSPATEAGPSKLKATRVAASISDKEEEEQEARFLGEPVPEEEARRRWPKRYDGKKKLKGVAARDGKDEDEEVIQARRHYTKAEVDGIPYDLYDDAHVNAEEGQEYYVGKIVEMFEGVDGTLYFTAQWYYRARDTVMKKLGDIIDAKRVFFSEVKDDNPLDCLVKRLTIAKVSLNIDLEAKKKMIPSDCDYYCDMFYHLQYSSFRNLPTDGALNDSEASSTISSDNSSVDGLKACSKEASQVTLLDLYSGCGAMSTGLCLGANMAGLKLVTRWAVDLNKYACESLKWNHPETEVRNESADEFLALLKEWKGLCDSFSVSKPEILENNPTHTEDGGNDCEEDDAEDEVYEVEKILAIRYSHPDTGKTGLHFKVRWKNYGPEDDTWEPQDGLGDCQECLKDFVTRGFQSKILPLPGDVDVICGGPPCQGISGFNRFRNTKSPLEDEKNKQLKVYMEIVEYLKPKYVLMENVVDIVKFAEGYLGRYSLAQLIHLNYQVRMGMMAAGAYGLPQFRMRVFLWGARPTEKLPQYPLPTHDVVVRGVIPLEFEMNTVAYNEGHQVELEKKLFLEDAISDLPAVGNDEERDEMDYVKHPQTEFQRFIRLRKQEMPGSLSKEKLDKHKLYDHRPLKLNNDDYERVCHIPKRKGANFRDLEGVLVRDNKVEWDPNIKRVYLKSGKPLVPDYAMTFVNGTSSKPFARLWWDETVPTVVTRAEPHNQAITHPEQDRVLTVRENARLQGFPDYYKLFGPIKERYIQVGNAVAVPVARALGFALGKAYKGLAGEEPVTSLPQGFPNVIAKNSSESSEDDS >OMO73117 pep supercontig:CCACVL1_1.0:contig11301:14125:14459:1 gene:CCACVL1_17461 transcript:OMO73117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATEIPMKPSKNIQSQTQQKMEKPPFRPVKDDTKPPLQDPILRSDPNETEEAVLRLPPFPKLK >OMO73119 pep supercontig:CCACVL1_1.0:contig11301:24093:29038:-1 gene:CCACVL1_17463 transcript:OMO73119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRKGVRRGRATLAQLEEQGEGQKQTRKRNQGQKRAAAAAERGRPRTRLAAKRLKEEDNRQVLVAEAVGEDLNHNPQVIEISERDSDIERKELVKADIEKKGAVMGDDSGGLSANKAAGQEEEGSTAPFPEKVQVGGSPTYKIERKLGKGGFGQVFVGRRVSGGNERATGAGALEVALKFEHRNSKGCSYGPPYEWQVYNALGGSHGVPKVHYKGKQGDYYVMVMDMLGPSLWDVWNSSGQAMSAEMVACIAVESLSILEKMHSKGYVHGDVKPENFLLGQPSTAQEKKLFLVDLGLATKWKDSSSGSHVEYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCFCPPPLKQFLEVVVNMKFDEEPNYSKLISLFDSLLGTNPAIRPINTDGAQKIIYQVGQKRGRLNIDEEDGQPKKKVRLGVPATQWISVYNARLPMKQRYHYNVADARLAQHVEKGMADGLLISCVASCTSLWALIMDAGTGFTSQVYELSPSFLHKEWIMDQWEKNYYISSIAGSTSGSSLVVMSKGTQYTQQSYKVSDSFPFKWINKKWREGFYVTSMATAGSRWGVVMSRNAGFSDQV >OMO69182 pep supercontig:CCACVL1_1.0:contig12097:4117:4212:-1 gene:CCACVL1_19616 transcript:OMO69182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLNKAKKEREQQTKTRSEKPRTERATDE >OMO98768 pep supercontig:CCACVL1_1.0:contig07029:341:935:-1 gene:CCACVL1_04070 transcript:OMO98768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MDAKIGKFFESVGSFFSGGDQIPWCDRDIIAGCEREVADAAKGDSEELKNESIMRLSWALVHSRQAEDVQRGIAMLE >OMO98769 pep supercontig:CCACVL1_1.0:contig07029:57029:61595:-1 gene:CCACVL1_04071 transcript:OMO98769 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MEAVFLSKFFPETKRADVRKKITTCQQLRGEKWYQYWERYNEICASCPYHNLSEALLIQNFYDGLFHDDRTYVDAVSGGSFTLKTPEEARKLLNTMAENVYQFNATNGDVSSKSSEPANERLNNLEPTMKMMAAQQGDLVKMFTNMMNTLSQNLTPLPEGHINGNQKEYGVKAIGGTQQWYANKIELPDIPLPPAISLPVEPIFLDVPPPVAIINNKLSSEEEISANQKKENPLSLPKADQIQSEDEWMEEIFLCSEMNEEDLHLPQLPLLLGRPFLILARMKFDAQDGTISMEFDGKPITFNINEAMKALAKIHSIFAIDAIDACSEQVQSLHHKDELELVLTKSLVEEEIEEAEVLTFEDVAETIRDLETLLAIEEKELVSYVQLEPTPKPLPSIIQPPEVKLKPLPEGLKHVFLGDNETLPIVISTDIKGISPTVCMHRIRLEDDAVAVRQPQRRLNPNLVEVVKEEVLELLGAGLIYAISDSKWVSPVQVVPKKSGFTVVENNEGELVPQRLQNGWRVCIDYRKLNSATRKDHFPLPFIEQMLDRLACKSYYCFLDGYSGYFQIPIAPEDQEKTTFTCPFGTYAYTRMPFGLCNAPATFQRCMMSIFQDYVGDKMEVFMDNFTVYGDSFNHCLNNLELILKRCVETKLVLNAEKCHFMVEQGIVLGHVVSKEGMQVDKAKVDVVQNLPYPTCLKDIRSFLGHAGFYRRFIKDFSNISTSMCRFLQKDVEFEFRDDCKKAFDKLKTALTTTPIVKPPDWKLSFEIMCDANAAQKNYTTTEKEMFVVVFALEKFRPYIMGSKVIIYSDHVALRYLMSKKEAKARLMRWLLLFQEFDIEIRDMKGAENVVADHLSGIEGKEEEITINEALPFEDLFAVSQLPWYVDIVNYLVVRRLTPDLSKRQKLKIVSDAKYYLWDDPYLWRQGSDQVMRRWILENEVQSVLKFCHSEECGGHFGHKKTARKILDCGFYWPILFKDSFDHCKTCPQYRKYYILLAVDYVSKWVEAIATEKDDARTVVKFLKNNILHRFGIPRYLISDRGTHFCNKIVQALVEKYGVRHKVSTSYHPQTSGQAEVSNRQMKLILEKTVNVQRKDWSMRLGDALWAYRTAYKTPLGMSPYRIVFGKPCHLPVEIEHKAWWAVKQCNLNFDKAGMQRMLQLQELEEIRNEAYENSRIYKEKTKALHDQRILRRSFHEGQKVLLFNSTIKLHGKLRTKWNGPFEVIKVYPNGSVEIYNPDSKNQFKVNGQRLKPFFEPLSIALIEEIELEDSTYLPT >OMP10527 pep supercontig:CCACVL1_1.0:contig02319:230:346:-1 gene:CCACVL1_00899 transcript:OMP10527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKSRGTELTHSEHPLKDYVVRLDRINPYRIKVQPPVNLQ >OMO75838 pep supercontig:CCACVL1_1.0:contig10960:7702:9045:-1 gene:CCACVL1_16009 transcript:OMO75838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNGKVLMQKYELGRLLGQGNFGKVYYARNMETSQSVAIKVIDKEKVMKVGMIDQTKREISGMSLVKHPNVLELFEVMASKSKIYFVMEYAKGGELFNKVSKGKLKEDMARKYFQQLISAVDFCHSRGVYHRDLKLENLLLDEFGVIKVSDFGLSALKKSRHQDGLLHTTCGTPAYVAPEVISRRGYDGAKADIWSCGVILYVLLAGHLPFQDSNLMTLYRKISKAEYKFPNWFSPEVCKLLSRMFNPNPKARISIAKIMADPWFKKGFNSKPVPRKAENEMAPLDVDAVFGSDQANVTAFDAKKDMAKLTNLNAFDIISLSSGFDLSGLFADNDEKKELQFTSMHTASTITSKLEDIAQRLKLKVKKEGGLLKLEGSNRGRKGSLAIDAEIFEFTPSFHLVELKKSNGDTLEFQKTMKKDVRPGLKDIVWAWQGEQQQQQHMHSS >OMO75842 pep supercontig:CCACVL1_1.0:contig10960:27908:30180:-1 gene:CCACVL1_16013 transcript:OMO75842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEPQDSPMEGVPGTPGIREVRPESGPENFGFCTETCRVSSASSGMDPNPGIRRVSMRAEIDTSPPFGSVKEAVTRFGGSGPWVPLYKFGEAYQGIEEFDLKKVEEQAAELEKDLIVKELETLDVLEELGTTKRIVEELKRQLQNEALKCMSGTTPDLNPSEDHAAIKEMNKEHYEQMTMNIGCSSPCPVSSPDMILMELKQAKLNLGKTINDLGVIQTSVESLNKKMKKEKSLLEKTRERLTCKFAGAASLEEELKRVRVMKPQVSNNGSFGNSATILRQPFHHNPKPENHQFKKIVAEVPRNEQNKPCLKTAEMRWVAAKKMEEAARAAEALALIEMNTLAGIKNLSSNENSSGFSLPEPEPSPRTPRIPRPPAAAPEEVSNRKMIHAMHKFAEANISKLAILRKLEEASEEVKHSKEALEEALNRVEIANRKQLDAEEALRRWIPDHEQKKQVVYTATKINNFHPPPPHSHLHHQHLQRSPLNDLNKQNPTTMDEEPKPVLRPTVSMRDILSRKQITPEDCTVVRRPPSNEGHTERQKVALSQMLHELKEDFTFPPKPEEKVQEGNDNQKQYFTQRRKFGFIHISLPLAKQSKKKPQVLNTM >OMO75837 pep supercontig:CCACVL1_1.0:contig10960:5489:6496:-1 gene:CCACVL1_16008 transcript:OMO75837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPTAYEVAYVFVKTYYELLCVSPGEIHKFYKDSSTVTRPGHDGAMLSFTTMEEIKEHVASSMDCKGSEIHSFDSQYTSNNNGVVILVMGRLFMENDETRRFNQSFFLAPMENVYGYFVSNDVFRFLDEQETVIMRMGDVSTAISSPTQDDVSTKEALPKKTFLSVVNSLSENSDPFKEPPVKTESEKNGWWDRKRYTRHGDFDNATTVFVGNVARDSKPEELYEVFKDFGPIKNDGVHIRFDKQDRWFAFVRFESSTSAESAVKASPIRFGNRSLNVEEKKRNNENRNTNMEEKKWNNESGNRKFSQGSNNSNGQVNFRGSWNFNKSDDGPVN >OMO75839 pep supercontig:CCACVL1_1.0:contig10960:13389:17134:-1 gene:CCACVL1_16010 transcript:OMO75839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase (URO-D) MLKFYIVPYRLMFSCYGFTESDRVAIMFSTNEAHLAYESDCQKANIRWTVVEPKATAAAEPLLLNAVRGQDVERPPVWLMRQAGRSENVDLVVEISLQPWKVFKPDGVILFSDILTPLSGMNIPFDIVKGKGPIIFDPIGTAADVDKVREFSPEESVPYVGEALTILRGEVDNKAAVLGFVGAPFTLASYVVEGGSSKNFTKIKKLAFSQPKVLHSLLQKFATSMAKYVRYQADNGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVDSVKQTHPNLPLILYASGSGGLLERLALTGVDVVSLDWTVDMAEGRRRLGHDIAVQGNVDPGVLFGSKEFITNRINDTVNKAGKGKHILNLGHGIKVGTPEENVAHFFEVAKAIRY >OMO75843 pep supercontig:CCACVL1_1.0:contig10960:37387:38395:-1 gene:CCACVL1_16014 transcript:OMO75843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MGGSRSFSKWNHMMKRPSGENPTQAEVFERTHTRRNNGEYVDPKSELVIGRYRTALKEKHGNDSSQVDFDRDAWKFAVGETVRRHLYGFGSFENPRAILEGSSRQKLTNPSDVPTKIPKAMQELFQKWLSEKLPGMLNSWGYHPSANASANNSTISASSRGNEVPQSSQNDSEKTCE >OMO75841 pep supercontig:CCACVL1_1.0:contig10960:26902:27045:-1 gene:CCACVL1_16012 transcript:OMO75841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKYIANALFLLKGVFRPHDLRKGLALGYFHIGQSLSRIDGGGKRP >OMO75840 pep supercontig:CCACVL1_1.0:contig10960:17696:18862:1 gene:CCACVL1_16011 transcript:OMO75840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKKWSELEEQTLLSKYADLLNSGTLSKLKTREKKFKPIAEHVNSVHHLQDPVTFPFKWSWRDVSIKVQNMRHQYLGVKQKIRISKDEFNWKDGENHWENFLKYKEVFGDVELKGSESNGNGSDLFEDCCDLGFEIDSEDFEEEEEEDGVDGDGDGDDGGEEKVGISEEEFGAEKEFGDAGNSRVRKSKKGFVRSKRFGLLGKQVLELREAVVRREEKRKEREFVRGEMEREQKRRELEFRKESRWSEREERLEDREMELEERELVWARRESERRLRLEKELDEERKRRRRMEEKREEEEMEWKERLMGLQMDHEKAMMQMHMDACQNQLQILGVMARLFCQFYGSANDNLAATLGGLPPQVLQNLQHPGGLGDNTKPDSNSPSEFI >OMO75844 pep supercontig:CCACVL1_1.0:contig10960:72110:72823:1 gene:CCACVL1_16015 transcript:OMO75844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDSGHEFQTQKSLQIKQDDKFFSRLMSKETSMANSSCRVYYGGASGAVPFMWESQPGTPKHPSSDTTLPPLTPPPSYYSSYKSKSLQKRSLKPTLFTSIFRSSTPSSRKKNHASPSSSRSSTSSSSSSWSSLHGSSSPSSSSASSRNSMFHRRNSYSCSRSPIIHSCNIMDDEDEDDNHEEGLGSPNSTLCFGVKRRNLNHEFVRGYCQSMVNMKKALLSIVSHAGSGHQGNKTA >OMO99282 pep supercontig:CCACVL1_1.0:contig06919:15586:16557:1 gene:CCACVL1_03872 transcript:OMO99282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MQTRSRSSGNLVYEPDIDKLEKQLKQKRNSERDSEEGEPSVTNSQERIPTNSPKSVISSSTSCASALRNLFDMGDQQPIQRVPTLRELAALDLALQPLCITYTDVEAPFEFKSGFIHLLPKFHGLPGEDPHRYLNEFHMACSLMRPQGVTDEQVKLRAFPFTLVDRAKDWLYCLPAGSVTTWLDMKRHFLEKYFPAHKVSNIRKEISGIRQNSDESLYEYRERFSRLVASCPHHGIESHMLVQYFYEGLNDLERHVIDAASGGSLMNKTTDDANTLIESMAASAQKTGIRDPRVRKVAEVAQSSSRISSIEQILDSTNQHCRN >OMO99281 pep supercontig:CCACVL1_1.0:contig06919:7285:7344:1 gene:CCACVL1_03871 transcript:OMO99281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIFCIADLANTAKLRAYQG >OMO80122 pep supercontig:CCACVL1_1.0:contig10346:103739:103828:-1 gene:CCACVL1_13157 transcript:OMO80122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSSKANSSRLKVGGFERSFVTLIMA >OMO80112 pep supercontig:CCACVL1_1.0:contig10346:38585:42424:1 gene:CCACVL1_13147 transcript:OMO80112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKSNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVIFIGELMKQSERYIDEGMHPRVLVDGFEIAKRATLQFLEKFKTPVVMGDEPDRDILKMVARTTLRTKLYESLADQLTDIVVNSVLCVRKPDEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNAEQREAMVAAERRSVDERVRKIIELKNKVCAGTDNNFVVINQKGIDPPSLHLLQQAGIIALRRAKRRNMERLVLACGGEAVNSVDDLTPDCLGWAGLVYEHVLGEDKYTFVEQVKHPHSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDEAVVLGAGAFEVAARQYLINEVKKTVQGRAQLGVEAFADALLVVPKTLAENSGLDTQDVIIGLTGEHDRGNIVGLNHQTGDPMDPQMEGIFDNYSVKRQIINSGPVIASQLLLVDEVIRAGRNMRKPT >OMO80125 pep supercontig:CCACVL1_1.0:contig10346:133851:135323:1 gene:CCACVL1_13160 transcript:OMO80125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MFSSTNNINNVNNPFALLPSSSYHPPGLPPLILTDSNDIFLQNHHDLLPAAAAATSLLPAVAPNPQFTESLINMALLSNATMSKQIAAAAGGECNFGANSGYGIPVKKPAKKDRHSKICTAQGVRDRRVRLSIEIAREFFDLQDMLGFDKASKTLGWLLTKSRNAIRELGKMKHGNGGSLSSSSPDFEMEMEADQNIGEVDHDEGVELEVGTCSKSKLADGVVISKEKKLDKETVLVLAKESRAKARARARERTREKMCTRSSSTTTNYNTHEWKRICPDTSPDQFLNNLRSFSQLEASKKSNHHSCGQNMATTTSFKVNVAQAHQVQEPCLGRQLSSTAPRENNNVIKESIVIKRKLKPSIILGSQAQAQAQPNLAAAKDIVSCSSSGNNYFPNLPQNWDINGAMAHSTIRAITNVNLQSTGIPLKNMDDPFPTFHLTFFLARILHLTSVSNFFSLNFQEFKYIENPERPEASKLMTTVIMQCMSIKDV >OMO80129 pep supercontig:CCACVL1_1.0:contig10346:157124:160091:-1 gene:CCACVL1_13164 transcript:OMO80129 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MVDSKVETISRLAQWRIDNFGPCTYKKSDPFKVGLWNWHLSIEKNRVFYIRLFPEPSRVSKEQPPFVKFIIRVSNAVANRRIYISPVFERPLRTCDDFIWSVDSTFLGRFIIDVEFLDVKIFPLNGGEPISIWPMDGAMESAQSTLHCLARMLHEGIHADVTINTADGTLKAHKAVLSASSPVFESMFHHDLKEKESSTIHIEDMSLESCMAFLSYLYGTIKQEDFWKHRLALLGAANKYDIVDLKDACEDSLLEDINSQNVLERLQESWLYQLNRLKKGCMTYLIDFGKIYDVRDEINNFFRHADRELMLEMFQEVLTVWKPGAARGSIIYW >OMO80120 pep supercontig:CCACVL1_1.0:contig10346:90860:94441:-1 gene:CCACVL1_13155 transcript:OMO80120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVQASKINLPTSSSSPPPSKTPTSFLFEPHSFSLALFHSDYSLSLFPSISFPFSSHHKSITIPAPSSSSTFLLLQKSQQNPNPRVLFIAGGPYKGGSKILLRFFLYRDDGSKAFEKAKVVVSKQKGIEFDDKVGVLIDVSHGLKVMMVGSVNFFALYSVSSSKVLIFGVKLVDDDESDGGVMVKLMKCAVIDCTKPVFSIGVSFEYLVLGEENGVRVWNLRELVKGKRVRRVKISGLSNGVTGDSDGFVGGSTASEIICNGYSNGKTDKKCVSVKQRSGKYRQESGEEVECFVAFEQKEVNLKSINVPFMSRKAISIQPLSSKKFLILDSEGDLSILNVLNTAVGPNISCQMRQLPHFMKVQKLAVLPDSSRRQTVWISDGYHSVHMLDISSAVNENDKRESEDKLTEISVNQAIFLSEKIQDMIPTASNSILILGLGNLLVSSFRGRSMFQVLLDDYIPLSLILDQYVSWPSCIRSAKACEVRGLQLSHASNGA >OMO80123 pep supercontig:CCACVL1_1.0:contig10346:108325:109479:1 gene:CCACVL1_13158 transcript:OMO80123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERQRWQPEEDALLKAYVKQYGPKEWNLISQRMGKPLNRDPKSCLERWKNYLKPGIKKGSLTPEEQSLVISLQAKYGNKWKKIASEVPGRTAKRLGKWWEVFKEKQLKQLQKKQGRKDFSNSHQLEGNNITSSSSNNSIIIPSVSSSPGQYDHILETFAEKYVQPNNSKFLSNYSAMNLSPIMPVPPSIPLPDPDPVLSLGSGSSGTTATTSSSVVLPLWMNNHTTSSLSSSTSSTTPSPSVSLSLSPGEATGLDPDMGRFMPGQVGTLVQYCKELEEGRQSWMQHKKEATWRLSRLEQQLESEKARKRREKMEEIEAKIRCLREEEVAFIGRMESEYKEQLSVLQRDAETKEAKLMEAWCSKHVKLAKLMEQIGFTSQSPVP >OMO80133 pep supercontig:CCACVL1_1.0:contig10346:187072:189564:1 gene:CCACVL1_13168 transcript:OMO80133 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA double-strand break repair rad50 ATPase MFTPQKKVWSNWSLTPGKKVDGSGSDPDSNGVALGKGKGAAFAEPVTPNGNGLRLEDHEGVPEKVVRLEAELFEYQYNMGLLLIEKKEWTSKFEELSKALIEAKDALKREQAAHLIAMNDVEKREENLSKALGIEKQCVLDLEKALRDMRSETAEIKFTADSKLSEANALIASVEEKSLEVEAKLRAADAKLAEVSRKNSEIERKSQEVESRENALRRERLSFLS >OMO80114 pep supercontig:CCACVL1_1.0:contig10346:50968:52781:1 gene:CCACVL1_13149 transcript:OMO80114 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 protein MSPTDSSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVAIIKDYRGKIEAELSKICDGILSLLESHLIPSASSAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLLAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKNAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDETGDEIKEASKRESGEGQQQ >OMO80116 pep supercontig:CCACVL1_1.0:contig10346:66314:70087:-1 gene:CCACVL1_13151 transcript:OMO80116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S54, rhomboid MAVVPLGYKLPYKDKHFPIPKVARQIERWKWNPLHISSSISILTKAEAGSRDIGGFSRQHWPLWSLAGMHNTKFHLKPFSRKASEKENSWPATTNESQLRLLDSYFGKLQQEANKTYSVLSTKKVVETDTCGVSNINKGLTSLDAYLSKLEQDSSLKHHESSSIAGGQTPEGNSMSTPFYDSEDVDMEEKAKLRSDIGFRLRDVGSGSKGSEALQQYNDEASDLYLICILASINIAVFLFEIATPVRGNELELFSLPSLYGAKINDLILVGEWWRLVTPMFLHSGILHIALGCWALLSFGPQVCRRSGSFTFILIYLLGGISGNLISFLHTPEPTVGGTGPVFAVIGAWLIYQMQNKDVISKDISDRMFQKAILVTAFSCILSNFGPIDDWTHLGAVCTGIAYGFFTCPTLQLDDTSSRTDLGGNPSTSFMLIFALIAGVIGACSVLAGLMHHLAWTSHSLAAAASLAIISWAVTALAFGVVCKEIILGGHRGKRLQTLEAFITISVVSQLLYVVLLHAGMFNTRYGPGYDASGNHGSSIAMAHQETRKTSTTVIAR >OMO80115 pep supercontig:CCACVL1_1.0:contig10346:53393:58452:-1 gene:CCACVL1_13150 transcript:OMO80115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation, RCC1 MASTTSVIAWFYSQPLFPSFCFTVLTYPSKFLANAFSFVFGIIIIYIRGSGEDGQLGIGNNEEKQWVCVVKALEPHNVRSVVAGSRNSLAICDDGKLFTWGWNQRGTLGHPPETKTENIPSQVKALANVKIVQAAIGGWHCLAVDDEGRAYAWGGNEYGQCGEEPERKDDTGRPLRRDIVIPQRCAPKLVVRQVAAGGTHSVVLTREGHVWTWGQPWPPGDIKQISVPVRVQGLDNVRLIAVGAFHNLALQEDGTLWAWGNNEYGQLGTGDTQPRSQPIPVQGLSGLTLVDIAAGGWHSTALTDDGEVYGWGRGEHGRLGFGDNDKSSKMVPQRVQLLAGEDIVQVSCGGTHSVALTRDGRMFSFGRGDHGRLGYGRKVTTGQPMEVPISLPAPKNVSGTQGHWISKLVACGGRHTLAIVEWKNDES >OMO80113 pep supercontig:CCACVL1_1.0:contig10346:43533:45431:-1 gene:CCACVL1_13148 transcript:OMO80113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVQEARENHVKKKVEEGM >OMO80124 pep supercontig:CCACVL1_1.0:contig10346:110799:133391:-1 gene:CCACVL1_13159 transcript:OMO80124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEESLVFRENYMDMKEVNEAEAVLRLPWLHETNNLQLFLCGGLAKKKGDVAVKVYKTRKGERVGMK >OMO80127 pep supercontig:CCACVL1_1.0:contig10346:148350:152088:1 gene:CCACVL1_13162 transcript:OMO80127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor 2B-related protein MDPRRTARTVSDPKVRQVGFFTPPPPPDRSVSDPPTHHDLIQFSSPSSPPVNEHSPSGNSLSPVMIPPPRHHSDTLALRTALQATSPTASLSYSARTITIPQENEDVASSFSPGRKVMSGKSPSSFPGFKSSSVPASELTTVSVVNLPPGITEKAGGASVEVQHDRPANSKPLKEKTSKAERRALQEAQRAAKAAAKAEGSKAPAAASKVGTSTNTKPTKAAKNPSVKNDGSQVAASEKKGGDRAPEKDRKKDAPHPRMQYDDPSRVEKAKKRAVVKQTEARNRVELFRHLPQYEHGTQLPDLETKFFELDPMHPAVYKVGLQYLSGDICGGNARCIAMLQAFREAIKDYSTPPEKALIRDLTARISSYVSFLNECRPLSISMGNAIKFIKNRITKLPLSLSESEAKATLMLEIVRFINEKIILADKVIVKHAVTKIRDGDVLLTYGASSAVEMILLHAHELGKQFRVVVVDSRPKLEGQLLLRRLVRKGLSCTYTHVNAISCIMNEVTRVFLGASSVLSNGTVYSRVGTACVAMVAHAFRVPVLVCCEAYKFHERVQLDSICSNELGNPDAISKVHGREEINYLDGVNSENLQLLNLIYDATPSDYVSLVITDYGMVPPTSVPVIVREYRREHLWS >OMO80131 pep supercontig:CCACVL1_1.0:contig10346:171025:175697:-1 gene:CCACVL1_13166 transcript:OMO80131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTESSRSSTAGSPDSYIGSLISLTSKSEIRYEGVLFNINTEESSIGLRNVRSFGTEGRKKDGPQVPPSDKIYEYILFRGSDIKDLQVKSSPPAQAPPPIHNDPAIIQSHYPQAAIASASVPSSGTGPIPDPGSQTSSVGLARPTLQGNLPLYRPGATLGPWGSSPAPTTNGGMYWQGYYGAMNGLPPQQQPLLIPPPGLSMPPSMQQPMQYHPMNVSLPTAASNLPASQLPENTPLRPPLGTGAPNLQPSVIPTQSPAVVAESSTNLNPDRASTLTLPTAATSSSLPLASPLNTALDKSAVISHSEKAKTVHDPIMPFKGMPDSASSTIGTTSSGLNDGMVPALVTPGQLLQPGVLAASLPISSQTAQKDVEVVHVSSPESTPAPIPAPLAAQTLPPVSAQVAPPVSAQVAPPVSAQVSPPLLAQAPQQPPKIEGQEPILPSPSPSDHKVHGAPMHTYHSYRGGRERGRGNGISRSATRFSEEFDFTAMNEKFNKDEVWGHLGKSNRVQEEADDLQNEDGVGSSKGEKPVYVKDDFFDSLSCDALDGGSRNGRTRFSEQMRRDTETFGDFSRHRGGRGGRGPYYGGRARGSYHGRGGYGYGGRGRGYGMANRTT >OMO80126 pep supercontig:CCACVL1_1.0:contig10346:144633:147541:1 gene:CCACVL1_13161 transcript:OMO80126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome maturation factor UMP1 MDAQKSIHHEIGGGPLDRLRFGLHGVKSDLVGTHPLESAYESAKKTQEETNRKVLANTYGTAMPLKRDLDRQILSRFQRPILPSSMLGLEALTGELDHFGFEDYLNDPRESESFRPQDLHHSMEVRLGLSKGPVCPSFM >OMO80128 pep supercontig:CCACVL1_1.0:contig10346:153231:156051:-1 gene:CCACVL1_13163 transcript:OMO80128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561, eukaryote MAVPVIKFPIFMIVRVLGIIIAALVFTWTLHYRGGLALISDNKDLIFNVHPVLMVIGLVLLNGEAMLAYKTVPGTKNFKKLVHLTVQCLAFIFSVIGVWAALKFHNDKGIDNFYSLHSWLGLVCLALFGFQFAAGFATFWYPGGSRNGRATLLPWHVFFGMYIYALAIATATTGILEKLTFLQTNKVISRYSTEALLVNSLGILIVVLGGFVILATLTPVNGKSEAYRGLVE >OMO80118 pep supercontig:CCACVL1_1.0:contig10346:78140:81538:1 gene:CCACVL1_13153 transcript:OMO80118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMKALSPTSTAIIIHSHHHSVNHHRWFLSLPPRPHLTRSIPKPHNLKLTFITRAADSSTSQPPAAAVAAGKAIVPDDGFSITKLSFGVIGLGVGISLLSYGFGAYFNILPGSEWSAIMLTYGFPLAIIGMALKYAELKPVPCLTYSDAESLRETCATPILKQVKSDVTRYRYGDEQHLDEALKRIFQYGLGGGIPRRSAPILQMIREEVTEDGKYSLVLVFEAKALQLSDFEQRQAKFTSFFGPGITAEIGKGENDLYEVRLISNTTSTASAS >OMO80121 pep supercontig:CCACVL1_1.0:contig10346:97197:102297:-1 gene:CCACVL1_13156 transcript:OMO80121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase MATSSSALGTTISPVKLDKVQELYIYELNERDRGSPAYLRLSQKQVNSLGDLVPFSNKLYTGDLEKRVGITSGICILIEHKPEKKGDRYEAIYSFYFGDYGHIAVQGPYLTYEDTYLAVTGGSGIFEGVSGQVKLQQIVFPFKIFYTFYLKGIGELPAELVCKPVEPHPGVEATPAAKAFHNVSNGSHLGSFETSFGFGSCESSTASITESCRWLSTTSSHGHRGGDFGFPSISRDGFPSHGLGSSSNSGPGSSSNSGLGDIPTPIISIDDIQTLISRDKHQITITTTSKLYSSSGYSHFSTKNVSVLHFENSLGVQEYNYPFHYHSRILKAIDILVHSGKAYLSYGYKLLPLCEWVRNMHRFWTSKVLPPHTTTTISQWFNFIEPHLKDIFK >OMO80132 pep supercontig:CCACVL1_1.0:contig10346:178822:180195:-1 gene:CCACVL1_13167 transcript:OMO80132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MVPLFLFFLLLSTAQAAATAANEYLKLPLLHKTPRPSPTQTILLDVYRLSHLRHRHHHHQSQKGIIKSPVVSGAPSGSGQYFVELRLGSPPQTLLLVADTGSDLLWVSCSACRGNCSSFHAPGSTFLARHSSSFAPYHCYDTMCSLVPQPDPNPCNRTRLHSPCRYQYLYSDGSATSGFFSKDTTTLKTSSGKEAKVEKLSFGCGFRITGPSVSGSSFNGAHGVMGLGRGPISFASQLGRRFGNKFSYCLMDYTLSPPPTSYLIIGDGGGDGDEQSAISKSSKMSFTPLLANPLSPTFYYIGIKSVKVNKAKLRIDPSVWSLDELGNGGTVMDSGTTLTFLPEPAYVKILTAIKRRVRLPSPAESTPGFDFCFNVTGTPRPKLPRLSFELGGGSVFEPPPRNYFIDTEEDIMCLAIQPAGREMGFSVIGNLMQQGFLFEFDRDKSRLGFSRHGCALS >OMO80117 pep supercontig:CCACVL1_1.0:contig10346:71817:76892:1 gene:CCACVL1_13152 transcript:OMO80117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASASGSKTGEEKRTDGNEIPVVERRTVESEPGKTCHQCRQRTTSFLAPCNNLKRNKQCPIKYCRKCLLNRYGEKAEEVALLADWTCPKCRDICNCSFCMKKKGHKPTGMLVHTAKATGYSSVSELLQAKGPENFGYEKVVKDIGVSPKKQVVSKKSETTSPRKLGKENSFGGDFDPKVDSQNLTSFSNENKSKKIKREGLKEVCNDNGDHEASLKKNSSKRPKTLNKASRKEAKGNGKDIGCVSDVNNSKKEQVGPSSLSKGEKNAKSKKAGVLNGGLLEENNSKRQVLEINTISAVRKKKNGVNGFENDKTGSEVQSVRERCKVKKFDVDVLLPQGTSLITVAGVDLPPEDVGHALQFIEFCAAFGEVFDMKKGQAESIIREIVRGRGRCRLQYSPVVQIHTQLLSIIQKDTEKKFPSFKSSDHGSWFRALGECVSESQCALKEVPSDFYDNGVDAYNMLDSCTKLKLLNFLCDEALCTITLRNWIDKQNSEFVDRAKEAKEKVLVARDKEKQLRQKMQDEVAKAITENGGAPISVSEHETIIAQIKREAAQAHADVLQTIGTLPKKRQRSDAVRTAPIFLDVNGHVFWRLKGYTSEKYILLQDIGTVDPVAPDERWFVYDVEQKPDVEKYISSIRTKRLRIQNVSDNLPITCGDVETNS >OMO80110 pep supercontig:CCACVL1_1.0:contig10346:9972:31373:-1 gene:CCACVL1_13145 transcript:OMO80110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MARRNYVRENVPLSRFGVLVAQLESIVASASQKSPDPLLCFDLLSDLISALEEEPKESILLWQRKCEDALYSLLILGAKRPVRHLASVAMARIISKGDSISIYSRASSLQGFLSDGKRNEPQRIAGAAQCLGELYRHFGRRITSGLLETTAIATKLMKFNEEFVRQEALVMLQNALEGSAGSAAALAYTEAFRLITRFAIGDKAFVVRIAAARCLKAFANIGGPGLGIGELDSLASNCVKALEDPITSVRDAFAEALGSLIALGLNPEAQVQPRGKGPSPSAKKLEGGLQKYLALPFTKASGVRSRDVRVGVTLSWVFFLQAIRLKYLHPDIELQNYALNVMDMLRMDSSVDAHALACVLYILRVGVTDQMTEPTQRSFTVFLGKQLQSPEASPSMKIAALRTLSYTLKTLGEVPLEFKEVYDNTVGAAVSHSSQHVRVEAALTLRTLAEVDPTCVGGLISYGVTTLNALRESVSFGKGSNLQVELDSLHGQATVLAALVSISPKLPLGYPARLPKLVLEVSKKMLIESSRDAVTAMVEEEAGWLLLSSLLSSMPKEELEDQVFDILSLWADLFSGSPEDAFRQSGDLQSRIRVWSAAIDALTSFVRCFVSSNMTISGILLQPVLLYLNRALSYISMLAAKEQPTIKPTMDIFIIRTLMAFQSLPDPMAYKSDHSQIIQLCTVPFRNPSTCEESSCLTFLLDKRDAWLGPWIPGRDWFEDELRAFQGGKDGLMPCVWNNEVSSFPQPETINKMLVNQMLLCFGIIFAAQDSSGMLSLLGMMEQCLKAGKKQPWHAASVTNICVGLLSGLKALLALRPQSLDLEILNLVQAICKGILMEGDICASQRRASSEGLGLLARLGNDIFTARMTRLLLGELNGITDSNYAGSIALSLGCIHRCAGGMALSTLVPTTVSSISLLAKSAIPGLQIWSLHGLLLTIEAAGLSFVSHVQATLGLALDILLSEENGRVDLQQGVGRLINAIVAVLGPELAPGSIFFSRCKSVVAEISSSKETATLLESVRFTQQLVLFAPHAASVHSHVQTLLLTLASRQPTLRHLAVSTLRHLIEKDPVFIIGEEIEDHLFQVLDEETDSEIGNLIRGTIMRLLHVSCPSRPSHWISICHNMVTSAEISNNGNNSVSGSDGDSRLAFGDDGENMVSSSHNMSFQDHTSEASIGRNRDKHLRYRTRVFAAECLSYLPEAVGKNPSHFDLSLARRKAANGQASGDWLVLQVQELISVAYQISTIQFENMRPIGVELLSSVVDKFEMVPDPELPGHVLLEQYQAQLISAVRTALDASSGPILLEAGLQLATKIMTSGIISGDQAAVKRIFSLISRPLDDFKDLYYPSFAEWVSCKIKVRLLAAHASLKCYTYAFLRRHQVGVPDEYLALLPLFSKSSSILGKYWILLLKDYSYICLRLNLKRNWNSFLDAIQSRLVSSKLQPCLEEAWPIILQALALDAVPANFDKNGNSEAAVENLSINSLVSGYSMVELESEEYQFLWGFALLVLFQGQHPTLCKQIVPLASSKSKHDGDSPAEDVTSPGLKFYEIVLPVFQFLLTQKFFSAGFLTVDICEELLQVFSYSIYMDNSWNSLAVSVLSQIVHNCPEDFLEAEKFSCLVVELCLGCLFRSFHCASAMSADQANWEDLISPLLTAAKTIMSRFKPKKQLNSVALAFLLIGYKFIRQASTDLSLSKVTDFLRSVNSFLKQLVDDAPKLGDDAIVHLRTILCTSLNEIAGLTKDCIEGIGLLRNKRSDLRKLLLLKLAFSIEQIFMLPKIMHEIQCLEGNKDNYPVYFSVFKFCTNCVQTILTDSNVQVQAIGLQVLKSMVQKSSSVEDNSFLIFIIGELVGDIFNMIQNTLKKPVTKESVAIAGECLQVLMLLQTLSRGTECQKGFMSLLLEAILMIFSASEDDCSQEVNDIRSTAVRLVSRLAQIPSSAGHLKDVLLSMPEMHRQQLQGVIRASVTQDQSTAPTKSAAPTLEIKLPVPLEVRREENSNLRQQSEERDLPPSANPINTNNSDMEEDEEDEDEDDWDTFQSFPASKSSAEGDSVVENVAREPDPDENSTSSETTNVECSE >OMO80119 pep supercontig:CCACVL1_1.0:contig10346:85257:86260:-1 gene:CCACVL1_13154 transcript:OMO80119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTSYSSRRYDEPEFNLREWGLKARISRENTTSRRYSASYIRSFREDARSFRSNITISSTASSPGYSLKDEIDPSTYSFTTALKALQAKTVYSSWECSSPDGFALNSKWSEAEKYICNPLSGEVPMECLSAKTLSGRSFRNITNRITMSAPLVYSHSCHIQTKPSRTVPEDIAQFPIPEKKAESMTRDVGTQSTPPDLSSGSLSPASTPSILERALKRCGTENEDSPNSNSKSKTEEQVCLLL >OMO80109 pep supercontig:CCACVL1_1.0:contig10346:8161:8310:1 gene:CCACVL1_13144 transcript:OMO80109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKPRPRTLAIKASLSMQRPPETFLLILHKLAIALRSNYIRTLSLWST >OMO80130 pep supercontig:CCACVL1_1.0:contig10346:168040:170005:-1 gene:CCACVL1_13165 transcript:OMO80130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPVIKFPIFMIVRVLGIMITALVFAWTLHYRGGLTFISDSKDLIFSGVMKLSGAKSHKAKINIINNASGIIKPGRYKRIIS >OMO80111 pep supercontig:CCACVL1_1.0:contig10346:32815:33834:-1 gene:CCACVL1_13146 transcript:OMO80111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MAAISFDPRLNVQVGQKNHAVVVEEIEGLIRVYKSGHVERPPIIPIVPCTVAGGVMARDVVIDKFTSSWTRIYAPSHSSKMPLLVYFHGGGFCVGSAAWSCYHEFLSALASKAGCIILSVNYRLAPEYRLPTAYDDGDSAGANIAYNVATRLGSYGTSDSNIKPLVLKGTILIQPFFGGESRTVSEIHSTQPANSALNLSASDTYWRLSLPLGTNRDHPWCNPLAKGATKLWEIRLPATMVCISEMDILKDRNLEFCNALASAGKRVETKIYKGVGHAFQILHNYPSSQARIQELMSHLKAFINQ >OMO97035 pep supercontig:CCACVL1_1.0:contig07314:307:396:1 gene:CCACVL1_04688 transcript:OMO97035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPKKRIGIFVDRLKEALGSENLARSGEAT >OMO97036 pep supercontig:CCACVL1_1.0:contig07314:1094:1318:-1 gene:CCACVL1_04689 transcript:OMO97036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILYTNTSTNSQALKFFVISQPPAGSKSTPSSLRNKIFQAHRSTINRGMWKFELDTSFHKSKLLPPPLTSLIY >OMO97037 pep supercontig:CCACVL1_1.0:contig07314:6089:6187:1 gene:CCACVL1_04690 transcript:OMO97037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLNEIQFPGPPTLTHASPSVASFKITIDKL >OMO97039 pep supercontig:CCACVL1_1.0:contig07314:17648:18175:-1 gene:CCACVL1_04692 transcript:OMO97039 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tpr repeat nuclear phosphoprotein MEKKKKKRTSKLPLRRQSFRGREVSGISDFPERTGKRRRRERESKFLKVSEKRSLVSNALAAFAGSTSICGALKFWDVSDVALFQWARPFGELAGNFIFLFFLEIGKRETFDRDSC >OMO97040 pep supercontig:CCACVL1_1.0:contig07314:19165:23029:1 gene:CCACVL1_04693 transcript:OMO97040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bicarbonate transporter, eukaryotic MENIRTPFKGIINDIKGRSVHYKADWVSALCSGFRILAPTAYIFFASALPVIAFGEQLSRDTDGALSAVETLASTAICGIIHSIFGGQPLLILGVAEPTVIMYTYLYSFSKGRPELGEKLYLAWTAWVCVWTALMLILLAIFNACTVITRFTRIAGELFGMLITVLFLQEAIKGVVTEFSIPKGEDPKLEQYQFPWLYTNGLLAVIFAFGLLFTALKTRSARSWQYGTGWLRGLIADYGVPLMVLCWTALSYTIPSKVESGVPRRLFIPLPWEPVSLYHWTVIKDMGKVPIMYIFAAIIPAVMVAGLYFFDHSVASQLAQQKEFNLKKPSAYHYDILLLGIMTLICGLLGIPPSNGVLPQSPMHTKSLAVLKKQLIRKKMVKSAKEGILQQASSSEIYGRMQAVFIEMDASPAPNSVDKELKNLKEAVMKGDGCQDAKEKRDLEKHIDAHLPVRVNEQRMSNFLQSLLVGLSMCALPVIRKIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFITPSRRYKVFEGVHASYVELVPFKSILLFTLFQFVYLLICFGVTWIPIAGILFPLPFFLLISIREHILPKIFLPEHLRELDAAEYEEIAGTPRRNLSISLRDREPPDTTSEENDDFYDAEILDEMTTHRGELKFRTVSYKEDRHHQ >OMO97038 pep supercontig:CCACVL1_1.0:contig07314:10047:15677:1 gene:CCACVL1_04691 transcript:OMO97038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAPTQCPSGIAANFHIKFSNRVEFSTSNFPSTLNVSKLNCHPSSKGLLNRICSLPDIDDLFWEKVPTPILDVVENPIHLKNLNVKELKLLADEIRSELSSIMSKTQRSFKASLAAVELTVALHYVFHAPVDKMLWDVGEQVYAHKILTGRRFLMPTLRQKNGLSGFTSRTESEYDPFGAGHGCNSISAGLGMAVARDIKGKRERVVTVIGNGTTLAGQVYEAMSNAGYLDSNLVVILNDSRHSLHPKIEEGSKTSINALSSTLSRLQSSKSFRKFREVAKGVTKSIGMGMHELAAKVDEYARGMMGPPGSTLFEELGLYYIGPVDGHNIEDLICVLKEVASLDSMGPVLVHVITEENQGSQEDQNRETEEKLQEGLSLSGTCSLSYGNRFRTYSDCLVEGLLMEAEKDKDVVVVHAGMEMDASLQLFQERFPDRFFDVGIAEQHAVTFSAGLSCGGLKPFCIIPSAFLQRAYDQVVHDVDRQRIPVRFVISSAGLVGSDGPLQCGAFDITFMSCLPNMIVMAPSDEDELMHMVATAAQIDDRPVCFRYPRGALVTDYPIYGGSALEIGKGRVLVEGKDVALLGYGAMVQNCLKAQALLSKLGVDVTVADARFCKPLDINLIRQLCNNHDILITVEEGSVGGFGSHVAQFISLDGLLDGRLKWRPIVLPDTYIEQASPKEQLGLAGLTGHHIAAAALTLLGRTREALLLMC >OMO77900 pep supercontig:CCACVL1_1.0:contig10680:27892:28455:-1 gene:CCACVL1_14747 transcript:OMO77900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCGSVLGGQSSINTDWANRFYQMDHWD >OMO79456 pep supercontig:CCACVL1_1.0:contig10414:6567:7094:-1 gene:CCACVL1_13664 transcript:OMO79456 gene_biotype:protein_coding transcript_biotype:protein_coding description:melanoma-associated antigen D1-like isoform 3 VSRKLVRDFLELWSDFRILRPTGLQERRSFLLLLFQLGLTLQALRCHPPGAECLCRPLRKSLIPSDASSLAIPKSASLIILILSAVRSRLP >OMO79455 pep supercontig:CCACVL1_1.0:contig10414:1256:3618:-1 gene:CCACVL1_13663 transcript:OMO79455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSLRTTAWFTSTAVGDATFTLGSRTVRYASVHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGHQVYNKLFLVCRKKMATSPEMLCCFCPPPRKQFLEIVVNMKFDSEPNYSKLISLFDAWNKSSYKTNRHSTLMVLKRYHYNVADASLAQHVEKGMAYALMAGNLACNPQLLLSHRFPETTYAYTERDVAVYALGVGACEWDAVDTDELKYVYHENGQQFIKAYLLPSVFMVKTTETKEYR >OMO93380 pep supercontig:CCACVL1_1.0:contig08104:7222:7707:1 gene:CCACVL1_06515 transcript:OMO93380 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide repeat-containing protein MDLRYAIPPILNVMFFFLSSWNLNPIVSSILVQQFSLLQLAIIILALMLLILLFLFTSVEVPLNLTPIHLRPGHFSIALTTSLLASLFLPPSLFWAVYLLILCLSPWQHIFFLLLKHFFRLFTGALNLIPTYFITIAIQDEESANPGSEFDVELGQDMDDG >OMO93381 pep supercontig:CCACVL1_1.0:contig08104:11880:12155:1 gene:CCACVL1_06516 transcript:OMO93381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAERLAEVGEEIQRVENDLRKSRRLLPAFWGHLLPRNPTVIGERMGRMEQKIRDLEGRLRMLRNEQKDLIVQAVVTYGDCGDTVSPVLC >OMO93382 pep supercontig:CCACVL1_1.0:contig08104:14106:16210:1 gene:CCACVL1_06517 transcript:OMO93382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCASLLASLFLPPSLFWVVYLLIIFLSPCHLKLFQLLKHFCQLFSSTIETILNYVIIIATQLDEETPNAGSSDPPLQLDVEIGHVDGLVGEVAT >OMO67961 pep supercontig:CCACVL1_1.0:contig12341:19:292:-1 gene:CCACVL1_20168 transcript:OMO67961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKSLKLREAHKGSGSASFCSISWDLKASHLVTASSSEPSISIHDHLLPSNTPRVLRHHRDGVTALALSPNSTCLASGSIDHSVKLYKFP >OMO67962 pep supercontig:CCACVL1_1.0:contig12341:7937:20234:1 gene:CCACVL1_20170 transcript:OMO67962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGNLIYCRNLGSRVASLKKENKKGIV >OMO86572 pep supercontig:CCACVL1_1.0:contig09457:81537:82722:1 gene:CCACVL1_09556 transcript:OMO86572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGFCSKPLFLFLSFLFLFTILELSPLVDSASDYTTLVYKGCSKQAFSDPSGLYSQALSALFQTLLSQSMKVKFYKTTTGTGQTTINGLFQCRGDLSNSDCYNCVSQLPTLADKLCGKTIASRIQLYGCYMLYEVSGFAQISGMEMLFKTCGATNVAGSGFEERRDTAFSVLESGVTSSHGFYTTNYQSVYMLGQCEGDVGDSDCGECIKTAVQKAQVECGSSISGQVYLHKCFISYSYYPNGVPRRSSSSSYPHPSSSSSGPGPNTGKTVAIIVGGAAGVGFLVILLMFARNVMKKHDDS >OMO86571 pep supercontig:CCACVL1_1.0:contig09457:74858:77496:-1 gene:CCACVL1_09555 transcript:OMO86571 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLDc MYTLKDKVAGGLSRLFSESPNHCSASSTSDLPEAGWYSKGSKSLSSMFSFIIPPVSFGGTKPKNHRSDLKPIQSLPVRWKNKKFEVEDESLDSCKEYTITYTSEDVKKICEDKKKIWTDSDTKLTVRPHVEEKGHASERSSSDTDEFQEAREQASPVKPSPNLSDESVFITPHLYEFLDSSLPNIVKGCQWVLLYSTLKHGISLRTLIRKSAELPGPCLLITGDRQGAIFGAMLECPLIPTPKRKYQGTNRTFVFTTIYGEPRLFRPTGANRYYLMCMNDLLALGGGGNFALCLEEDLLNGNSGPCETFGNLCLAHNEDFEPKNVELWGFTHASPYLN >OMO86569 pep supercontig:CCACVL1_1.0:contig09457:39058:43785:1 gene:CCACVL1_09553 transcript:OMO86569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSISGEVPEEPVVAKNSGLLFEKRLIERHILDYGKCPVTGEPLTMDDIVPVKTGKIVKPRSLTAASIPGMLGMFQNEWDALMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSLLAQAERQAPLPAVTANVSALSNGKRASENEEMGPGGKKMRPGISDSIIAELTDCNAALSQQRKKRQIPPTLASVDALERYTQLSSHPLHKTNKPGITSLDINLSKDIVATGGVDSNAVLFDRTSGEILSTLSGHSKKITSVKFVAEHNVVLSGSADKTVRIWQGSEDGKYDCRHILKDHTAEVQAVTVHATNNYFVTASLDTTWCFYDLSSGVCLTQVEDPSNSMGYTSAAFHPDGLILGTGTTDATVRIWDVKSRGNVASFDGHTGAVTAISFSENGYFLATAAHDGVKLWDLRKLKNFRSFELYDQDTPTNSVDFDHSGSYLAIAGSDIRVYQVGSVKAEWNCIKTLPDLSGTGKATSVKFGPDASYLAVGSMDRNLRIFGLPENDVSNES >OMO86574 pep supercontig:CCACVL1_1.0:contig09457:90908:91204:-1 gene:CCACVL1_09558 transcript:OMO86574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLEPLTLGRWVPHFPVPGFKSSFYRVHFKQDPISRIHADQKVKARLPVGKRMKVVITTIPLAASVLGIYQFLTRKEEEILDRVKKIQQELHQEGKPQN >OMO86568 pep supercontig:CCACVL1_1.0:contig09457:15029:21491:-1 gene:CCACVL1_09551 transcript:OMO86568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREMMEFRESDIDDLVKVREGVEWEEEEGEKNKGVESFVYFRKVKAREVDKVGGEANLGDIHNEGELNLFETKTTMKKRDIVV >OMO86567 pep supercontig:CCACVL1_1.0:contig09457:668:5546:-1 gene:CCACVL1_09550 transcript:OMO86567 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MPPRKAAGRGRGRGRGRPPLQRVPPLLHANPEEESSVHSTPSEDNGGNDDLPSPPQGQQVPPPPCPPYDAFMDWQQRYGAQVPPFGAQVPPYGAAQPSAGFGYQFPPPPTNFTSGTAFGGPTPLSKILKEVRNLGVDTFVGTKDAIKAKDWLDSVTSAFEDMNLVEPQRVKIASRLLDEEAKAWWVSVKDRTQGGVTWEMFVSEFHKEYNSRNMPTGCLTEELLRTRFASGLNVELQSSIASLITDESRIVPYNHLVDTAKQAELVAEAARKTKQKSGFSVEFLRERARDMIQTLHLSHHRKRAVVQTPLVGRRLVALLVPHKPLVLASPLRGLATIINLQRKEMFDHLRKDCPRVQGTQSQAGQGGRIFNTRQHTIAAHIASDRGISESGSNTRGRVQTRLYTMTRTVVLFRNYARALIDTGSEHSYVSYGFAQYANVPLSPLIPQLIVQTPFLESLLKTNVFKDCDLLVGNVVFEADLIPLEIYDFDVILGMDWLSRHGAQIDCQTKTLMLKKPDYPVIEFPGELNVLKTRLISAVKARKLISKGCLTFLAHVKDLSLPSEDCSNIPVVNEFFDVFPDELPGLPPDREFDFAIDVVPGTNPISIPPYRMAPAELRELKIQLQELLDKGFIRPTAFMDLMNRIFNPYLDKFVIVFIDDILVYSANEYEHAQHLRIVLQILREHRLYAKLSKCEFWLTQVAFLGHIVSKDGICVDPAKIEAILNWESPRTVTEIRSFLGLAGYYRRFVEGFSLIAAPLTRLTRKGVPFEGYVVYSDASQQGLGCVLMQDDKKELNLRQRRWLELIKDYDLVIDYHPGKANVVADALSRKSSTTLARINSYLPQLVGIRSVGANLIGGYQGTLLARFEVRPTLVDQVRDSQEVDEKLSAELEKLYLGMPSEYSLRDDGVLQKLGRVCVPDNEELKQAVLEEAHSSAYALHPGSTKMYRTIRESYWWSGMKKDIAEFVSRCLVCQQVKAEHQKPAGTLQPLPIPEWKWEHITMDFISGLPRTRHGHDAIWVIVDRLTKSAHFLPVRITFSTERLARLYVAEIVRLHGVPVSIVSDRDPRFTSRFWPKLQYAMGTRLKFSTAFHPQTNGQSERTIQTLEDMFRACILEFQGSWDDYVALAEFAYNNSYQASIGMAPYEVLYGRNCRTPVCWDEVGERKLFNIELIDDMVEKVKMIRDRLKVAQDRQKSYADHRRRDLEFRVGDAVFLKVSPWKGVIRFRKGGKLAPRYIGPFEIVERIGPVAYRLNLPSELGRIHDVFHVSMLRKYVPDPSHFLQALPVELDEKLNFEVQPVEILDRQMKNLRNKQVSIVKVLWRSQAVEEMTWEPEEAMRKQYPHLFGY >OMO86570 pep supercontig:CCACVL1_1.0:contig09457:66957:71822:1 gene:CCACVL1_09554 transcript:OMO86570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase MHPVIARGGFSGIFSDSSFAAYSLALLTVTQGVYSRTNNKFDSNSYPIKKPNKACLVFRFLGKKDVEPTTNQTYDSLLKNLTLMKIFASGIIVPKSYIWPVDAKQYLLPSTCVVFDAHKEGLEVYASEFANDVPFSYSYSYDPVAEYLQFVDNGNFSVDGVISDFPITPSAAINCFAHLGRNATKQAMVKNTSSLQGVLISIEMRISWRDGLVSRTFDMDEFDRCRYLSDEEEDCNVNRSDPCKSSQSLEINVDEGNVPTVANASGYDTTPTPQVMIQSSNSSVLMKFKGKSNYKLVYKVDEDIGGAQLASIDDIKRFASAVVISKDTQSFQKIRHCLLVLQMLYQDYKSPISQSMFKHSAMSSHLKHGISSLIQLLRSIHSMRELISMVSLQTSQRHLIDTGYLPLAEAPNPYLTEEDVAEAPLPPVASKNTSTSPNTALSPSPNGQPKVAASLMVPLTAVVLALYLSILPSIA >OMO86573 pep supercontig:CCACVL1_1.0:contig09457:86591:88309:1 gene:CCACVL1_09557 transcript:OMO86573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLRRLTRAPQGWKSSLDPRIIHAQAVKSPLTQRDYDVLLSLYTKRNLLDSTLRLFNEIPSPSFVSWTSIISAHSDSPLSLTLFISMLRYPTLPNERTFACLFKSCVSLPHCFSFGLSLHALSLKLSLNHNPFSGSALMNFYLKQCIPGSATKVFDEMPEPDEVCYAAMIVGLAQNSLPFESLSLFAKMRSRDISSTVNSLSGVLKAAAKLAVSQQCRIIHGHAVVTGFDKNVGVASSLINGYGKAGVVLDARRLFDENIQVMNDIGWNTLMASYAQQGDSKSVIELFELMESKGFAPDKYSFLAILTSFYHAGLVSEAERWLRRMKAEYGVEPEVEHYTCIVGALGRAGEFESAEMVVMTMPVKPDVAMWRSLLSSCAHYGEADVALSTAKRILELDPNNYSVYAIAANVLSVAGRLDEVAKMEKLMKYHRAKKGSEISWIEVKGKVHVFLAGKSKEEITEGIYTKLAELMEEIEKLGYKPVWNEMLHGKLKRRETFWYHSEKLALAYGILKGAAPQGQPLRIVKNQRVCKDCHEAFKYISRVIEKEIIVKNFISYHKFSNGNCSCGDFW >OMO57094 pep supercontig:CCACVL1_1.0:contig14444:11327:21641:-1 gene:CCACVL1_26000 transcript:OMO57094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L17 MTKFRKLNRPTGHRMAMLRTMVSQLVKHERIETTVAKAKEIRRLADNMVQLGKETWFFNIALFSIAKFFKGSLSAARRAAAFVRGDDVIHKLFTELAYRYKDRAGGYTRVLRTRIRVGDAAPMAYIEFIDRENELRQSKPPTPPPPQRAPLDPWTRARLTRQFAPPKEERSSESEICCMHAPVSNSLEMRGIMGNSTGSAETRHRLSASIEAIYQRRINKNKVKGVDKPFHLPIQDRNSCCKYPLLKLVLVVILCCTCITLFYSPDAYNGDHISRTSSRRNFMNRWIWGEADFRYVSDVDTNWDDVMKAIEKMGEQHDYQGIGLLNFNDTEVTHWKHLIPDANHIVLHLDYADMNVTWDSLYPEWIDEEQEEDVPICPSLPRVEIPGKRLDLIAVKLPCRNEGNWSRDVARLHLQLAAASLATSAKGFYPVHVLFVSKCFPIPNMFPCKELVAREKNVWLYKPELNVLREKLQLPVGSCELSLPIGVKEPIYSGNAAREAYATILHSAHIYVCGAIAAAQSIRLSGSKRDLVILVDETISVYHKSGLEAAGWKVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEISATGNNGTLFNSGVMVIEPSNCTFQLLMENIDVFESYNGGDQGYLNEVFTWWHRIPRHMNFLKHFWIGDTDEVKQKKTRLFSSEPPILYVLHYLGIKPWLCFKDYDCNWNQDIYLEFASDAAHDKWWRVHDAMPEQLHQFCMLTSKQKAQLEFDRRNAEAANFTDGHWKIKVKDNRLKKCIDNVCSWKSMLKHWGETNWTDDKSYFPSPPAINIASLKGL >OMO57105 pep supercontig:CCACVL1_1.0:contig14444:104250:105467:-1 gene:CCACVL1_26011 transcript:OMO57105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDDLYITVPSLFRCPISLDVMKSPVSLCTGVTYDRASIQRWLDSGNNTCPATMQVLQSKEFVPNRTLQRLIQIWSDSVARRQLDAESAAANLSVVPSQEQVKVLVKQLDNNCYSSLEKIVSFAKESEENREFLATMDGFLDTVFDFMRNADSDIKLIEQVVKILDLILSKVSEKKPLLESSCLSTILLVLQRGSLDSQIQSVRLLESIAAVGGESKLKLAEKEGLLTELVKSISKDKDPRLIEASLSCLIAITMPKRVKTKLIQFRTIPELKNLISEPNTSVSTIEKSLKLLETLSSCKEGKAEIWHDSVLLQAVVQKVLKVSSKTTEHAVTILWVVCYLFRDEKAQEAVVSSNGMTKFLLLMQSNCSPAVRQMSADLLKIFRVNSKSCLSSYDTKTTHIMPF >OMO57103 pep supercontig:CCACVL1_1.0:contig14444:82156:83880:-1 gene:CCACVL1_26009 transcript:OMO57103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLQAYANNSDDEDQNHQPSDLSPDSSPPRLIIPSKSAAPKVDDIMLTLTAAKAHQSHSKPIDPTQHVVALNTTYGQLCDPIYGPAHPYAKDGIAQGMRNHKLGFVEDASIEYSFVFDEQYNTFHKYGYAADPSGSNYIASDVWLMKNKKSPWAGKKQGLQTELTEEQKKYAEDYAKKKEEKGLPGEKKGEHVVDKTTFHGKEERDYQGRSWIAPPKDAKATNDHCYIPKRLVHTWSGHTEGVSAIRFFPKYGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGTKFLSAGYDNNIKYWDTETGQVISTFSTGKIPYVVKLNPDEDKQNVLLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNTNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHTVAGYACQVNFSPDGRFVMSGDGEGKCRFWDWKSCKVFGTLECHKGVCIGCEWHPLEQSKVATCGWDGLIKYW >OMO57101 pep supercontig:CCACVL1_1.0:contig14444:64844:68216:-1 gene:CCACVL1_26007 transcript:OMO57101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQEATHFAPKRSMSNSDGSAPKRSMSNTEGTAPSRSTSNSEDDKDSKNVYIHYLPLYKAVDSGDLEATKDFIDKHPNALSASLSPDGDTALHIAVLSGHVEIVEELVGRMSPQEIAVKQKLGSTALNFAAIGGITEIAELLVNKNRKLLSITNDHDQIPVVVAALYGHRDLVQYLYLETPMEELDPRNKTHGAILVTACIFDEFYDIALDLVQRYPQLAVAEDSDQDTALHILAQKPSAFPIPGVTSIYELKLTHLQAKELLTCVCREVSTIEDEARLEALVKKSVFEAVKQGIIEFVTEIMKHYPEVIWFYDEKGRNIFFVAAAERQEKIFSLIYKMGAKKNSLATHWDNDYNNMLHQAAFLAPSSQLDRVSGAALQMQRELQWFKEVESVVQPKYKEMINSHFRTPRALFSDHHKKLVEQGEQWTKDTAESCTVVTALIVTMMFSAVFTVPGGYDNSGVPLYLNRTSFMIFMISDALSLFSSTTSLLMFLGILTSRYREEDFLQSVPTKLMIGLSMLFFSIATMMITFGIALYIVLHERISWISFPIICLASLPVTLFALLQFPLLVEIFFSTYGPGIFDKPKKPWFRSISKSSSRCVR >OMO57095 pep supercontig:CCACVL1_1.0:contig14444:28539:29577:-1 gene:CCACVL1_26001 transcript:OMO57095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLEEEELVQMEILRSGTETEKEIVENVLKHIGSKRDVPKTSGLKKWLAMRLKMNGFNASLCQTSWVTSLGCPGGDYEYIEILLENQNTGEPMRLIVDIDFKSQFELARPTPTYKELTDSLPIIFVGTEEKLNKIISVLCSAAKQSFREAGLHVPPWRTSSYMQSKWLSDCRHNNKVAANSGFSRGNNKEAKAKANNGAHSFSKWAPPLVIKPGMRKNLGGGGSALSSQFSNMGINCC >OMO57098 pep supercontig:CCACVL1_1.0:contig14444:43301:52328:-1 gene:CCACVL1_26004 transcript:OMO57098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MSAMLQPQIILLKEGTDTSQGKAQLISNINACTAVADVVRTTLGPRGMDKLIHDDKGNVTISNDGATIMKLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPFIEDGVHPQNLIRSYRTACYLAIEKIKELAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFASMVVDAVIAIGNEDRLSMIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVQSGAKVVLSRLAIGDLATQYFADRDIFCAGRVAEEDLKRVAAATGGTVQTSVNNVIDEVLGTCEVFEERQVGNERFNIFNGCPSGRTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVAGGGAIDMEISRYLRQHARTIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHALQSGEGAPYGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGEATASAMAGRVFGMVQIELYNLRAESSRFKSSVVGYLISEGTEAVEGLSLDVSAEEDAIVIRTEAFAKMINLRLLKINSVRFSTACYDKFSEELRWLCWHRCPLQVLPPNLGLDKLVVLDMQFSNFKKVWKGLKFLNRLEILDLSYSIYLAETPDFSRCTSLKRLQLEGCTSLTKVHQSIGNLENLVLLNLAECNNLRELPDGICNLTSLQTLSVNGCSRFSCFPEHLGRLEALTELHANGCGITQLPTSFGLLTNLERLFLDGCKDKLSPKKSLFSFFSSFFPPRRAGSSTFLPVTFPGLTSLRKLNLGDRNLSDSDISIDFGSFPFLFELNMSGNKFCSLPVGVGNHSYLRLLYVNDCTHLQSIPELPPKLSSFKAERCLSIVTYPKLSDISRNVFSFFITNCSNIDLEGWDLRHWSPRELTTYWLDANELFPFLPCDDGIPLKERASLWKSRYLMAIFPAREVPDWFEYKEMGSSISFPMPPLVNGERSGMYMDMILWVVYGVNEDYDGEAYTYLTVSFKNETKRCWTIDWSGVDALDGIMCEDHAWVNYYLGDHIFQDLEAEEGDEIVISVVEHGRLVVKKCDIYFKNPIPINDSS >OMO57093 pep supercontig:CCACVL1_1.0:contig14444:5388:8282:1 gene:CCACVL1_25999 transcript:OMO57093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MSTLLLLSLITLTLFFSLSASSHHHHRRNSPVSPESSPVSNPNSTQIQLACQSTRFPQPCQTSLSQSSTLPPDPTTLQIIQSAISVSSQNLKTGQSMVKSILDASQGNVNRTNAAKTCLEILSYSDYRMDTANDGLPRGKVKDARAWMSAALCYQYDCWSALKYVNNTELVKQTMSFLDGLTHHTSNALSMMVAFDNHGDDMTSWGPPKTERDGFYESASGGTEQGFVGGVPSSLKPDVTVCKDGSGGCYKTVQEAVNAAPDNATRRFVIYIKEGVYEETVRIPFTKRNVVFLGDGMGKTIITGSANVGQPGMTTYNSATVGVLGDGFMARDLTIQNTAGPDAHQAVAFRSDSDLSVIENCEFLGNQDTLYVHSLRQYYKKCRIQGNVDFIFGNSASLFQDCEILVAPRQLKPEKGENNAITAHGRTDPAQSTGLVFQNCLINGTDEYMKYYYSKPKVHRNFLGRPWKEYSRTVFIDCGLEALITPDGWMPWDGEFALKTLFYGEFGNFGPGSNLTSRVPWSSQIPAQHVNSYSIQNFIQGDQWIPPTSS >OMO57097 pep supercontig:CCACVL1_1.0:contig14444:35629:43244:1 gene:CCACVL1_26003 transcript:OMO57097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L27e MPTRYTLDVDLKDVVSVDALQSKDKKVSACKATKEKFEERFKTGKNRRDLEVDNTRHLCMDIHVSYLVVPGCDDEEQAAAMLVMATGPDEALLTTMSQLSSENKTV >OMO57096 pep supercontig:CCACVL1_1.0:contig14444:31378:34218:-1 gene:CCACVL1_26002 transcript:OMO57096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATTVAAARRLVGSPRRMEAPRRRPSPSPPPRKLRPISEIMARARYAVVERADYGDVKCEQCGSGELPEELLLCDKCDKGFHMKCVRPIVARVPIGSWLCPKCSGHMRVRRFSQKKIIDFFKIQKSCDGKRKCSSNQDTRKRRRRPRSLLLLKKRRRLLPFIPSEDPNQRLKQMGTLASALTALQMEFSDDLTYSPDMAPRCANQAKFENGGMQVLSKEDMETLELCRAMSRRGECPPLVVVFDSCEGYTVEADGQIKDMTFIAEYTGDVDYIKNRENDDCDSMMTLLLATDPSKSLVICPDKCGNIARFINGINNHTQEGKKKQNCKCVRYNVNGECRVLLVATRDIAKGERLYYDYNGYEDEYPTHHFV >OMO57092 pep supercontig:CCACVL1_1.0:contig14444:470:3547:1 gene:CCACVL1_25998 transcript:OMO57092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSDTRKIRNICILAHVDHGKTTLADHLIAATGGGVLHPKLAGKLRFMDYLDEEQRRAITMKSSSIALHHKDYKINLIDSPGHMDFCSEVSTAARLSDGGLILVDAVEGVHIQTHAVLRQAWIEKVTPCLVLNKIDRLICELKLSPMEAYNRLLRIVHEVNSIMSTYKSEKYLSDVDSILAAPSGEVSDEHLESIEDDEEDTFQPQKGNVAFVCAMDGWGFTINEFAEFYVSKLGASTAALQKALWGPRYFIRKTNMIVGKKGLGSGSKARPMFVQFVLEPLWEVYRAALEPDGDKGMLEKVIKTFNLSVPPRELQNKDPKILLQAVMSRWLPLSDAVLSMAVKCMPDPIAAQSLRISRLLPKREILDKGVDSDVLVEADLVRKSVEACDSSPEAPCIAFVSKMFAVPTKMLPLRGPHGEILNNLTDENGTSESDECFLAFARIFSGVLTAGQRVFVLSALYDPLRGESMQKHVQEAELQSLYLMMGQGLKPVASARAGNIVAIRGLGQHILKSATLSSTRNSWPFSSMAFQVSPTLRVAIEPSDPADMGTLMKGLRLLNRADPFVEVTVSSRGEHVLAAAGEVHLERCIKDLKERFAKVSLEVSPPLVSYKETIEGDLSNPLEDLKLLSNNADYVEKVTPNGRCAIRVKVTKLPPTLTKVLDENADLLSDIIGGKPGQSAKSLERSILGEDENPIEVLKNRLVDAVDSDILCGNENDKDRAEKCKGKWLKFLRRIWALGPRQVGPNILFTPDYKGENMDSSVLIRGSPYVSLRLGLADDSSAGDMATVTSSEVTQPLYTEAESLGSSVLSGFQLATGSGPLCDEPMWGLAFVIEAYISPSTAQASESEPNQQSEQYGLLPGQVMAAVKDACRAAVLQRKPRLVEAMYFCELNTPTEYLGPMYAVLARRRARVLKEEMQEGSPLFTVHAYVPVSESFGFADELRRWTSGASSALLVLSRWEALQEDPFFVPKTEEEIEEFGDGSSVLPNTARKLIDAVRRRKGLPVEEKVVQHATKQRTLARKV >OMO57100 pep supercontig:CCACVL1_1.0:contig14444:63501:64230:1 gene:CCACVL1_26006 transcript:OMO57100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELYYWQQYHHGNLEVKVEKNNGKEENGADKSNTKGKEIILKVYMHCEGCALKVFNCLKAFEGVEEVKTEWKGNRVIVKGEKADPLKVLERVKKKYSRNAELLYPKPKANEKKVPVPQKKQEIYV >OMO57102 pep supercontig:CCACVL1_1.0:contig14444:72475:76599:-1 gene:CCACVL1_26008 transcript:OMO57102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase MSSMAPFEMQMNDKPSFKWRRVLLKVSGEALAGDHSENIDPKVTMAIAREVAAVTRLGIEVAIVVGGGNIFRGSSRAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDDDPRRNPNARLHDTLTYHEVTSKDLSVMDMTAITLCQENNIPVVVFNLTKPGNISKAIKGERVGESVGEEIKGPVSNAEGLPMNPLGIIGLQSCKADIILASDAGEKNVPGATAGNVSGAGDSIPGGDRIKPSSIPTSPTIPISATFSLALGLAFSVAANLAAGDTSPLPEKSPEESPVYRWTIDMNSVSSAWMTLGETA >OMO57104 pep supercontig:CCACVL1_1.0:contig14444:86428:89726:-1 gene:CCACVL1_26010 transcript:OMO57104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSDEGENDVFFDTLDCLPEEPVRAKEELGGGKLEYEIWMNEPRSVKERRESFLHGMDLVEFAKSSRVEDLERMAECSGAVSSCSISSVDNGEGSLTCCDREMTCQTNLSGDESEQEQNIALGKGCEQKEANVHWDECESAKVNMKKFKKWWKLFVSMRKGGEGKRSSKVSKQSLKVPKTNRMMVQPNKKGYMEFTGVYMGQEIQAHKGFIWTMKFSPDGQYLASGGEDGVVRVWRVTSTDASSKPFMAEGNVDGKIDKGKSGFGRKKAIQTRVVIPDKVFQIEESPIQEFYGHASDVLDLAWSSSNFLISSSMDKTVRLWQVGCDQCLNVFHHSNYVTCIQFNPIDDNYFISGSIDGKARIWGVSEKRVVDWVDMRDIITAVCYRPDGKEFAIGSIMGTCHFYEASGNEINLEAEIHIHGRKKNSGNKITSIQFSKDESHKVMITSEDSKLRIFNGVDIVHKFKGLSKSGSQMSASFTSTGKHIISVGEDCHVYVWNYNDSCLQTSKHTKSIRSCEHFFCEDVSVAIPWSGQAADQRPLDINDCRALSSREGQIEGDSRIRDSERFSLGNWFSIDGSCKGSATWPEEKLPLWDEAVVPEDELHRVSLDSHTPLSETWGLVIVAGGWNGTIRTFHNYGLPVRL >OMO57099 pep supercontig:CCACVL1_1.0:contig14444:53404:61169:-1 gene:CCACVL1_26005 transcript:OMO57099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTGIVSDKLTESNYENWKECLKSYLISQGLWGVVSGDEKEPKEKTLKQDWVQKNAKALHAIQISCGAHTSAKVGGNESAKYQWDRLAEKLPAPLPQGSGLLLKEDKFYCNAGESNVFQYNALYNAIEEGDSEAVKMFLDMKPNAVREKITLKDDTALHVAVLAGKEEIVKELVRRMEKEDLELKNNMGETAFSIATINESEGMVKAMVAKNSNLLTVKNSYGTIPVVVASLFSARGMVRYLYSITPKEILQPDNEDRSGATLLNTLIADGIFDLALRLLKKYPLLGVTEDINRNYAIKLLAHKPSAFLSGKSFVFWKRWIYNSCIKISNIELEGEKITYNGDEESIIRIQRSPSDVRRSLSRTFWKLISWFVPEVMLIHDAKLKHDQAVELLKCIFKEIPRLNNEQLEKIGLDKAIYDAIKHGMIEFIDEIIKLYPEVIWRKDKKGRTLFANAIVLRQEKIFNHVYNLGSKQRITLLRHDIFRNNFLHLAAKLSPPSRLDRISGAALQMQRELQWFEELKEILPPKFQEELNEDNRTPSSLFSHEHKELIKEGEKWMKNNAASCMVVATLIAAVMFTSAFTVPGGNDEKTGAPIFLKSNAFLVFVIANSLSLFASSTSVLVFLGVLTSHYAESDFLKSLPLKSILGLFTLFFSIVTMMIAFASAIFITLQKRLAWVSIPVMVLSTVPIALFTLLQFPLLIEMLISTFCYRLFDKPN >OMO68967 pep supercontig:CCACVL1_1.0:contig12147:6878:7977:1 gene:CCACVL1_19736 transcript:OMO68967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTRMGLGSKKKKLKCYSYWGELEGNLLQKVFELVPAEDLMTSVSLVCRQWRSACWEIMFWKDHETLELGTLKRLVGCEIENLNYSRKLLEALTCMMNWVPKMDSQSQRCVKSIIFSSCMYVRHVHLSFVARRSPKLRRLILPDTDDIKVRNISKAIKLWGQLEEVRLGPICRYNMGHLFRTIGTNCNKFKKLGIFGAFSMKKFVLNEHGASMIAKYLHQLIEFSIDRTCITKSGVKTLLSRCKNLQEFSLKRCCRMVHPSKLRTTNKTSIKITRSQVLNDCTKYWFIDTVSTHMSIEELVTHMWADFSD >OMO68970 pep supercontig:CCACVL1_1.0:contig12147:29967:30053:-1 gene:CCACVL1_19739 transcript:OMO68970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEPAISQSYVLKARRQLQQEFETALI >OMO68969 pep supercontig:CCACVL1_1.0:contig12147:21077:22617:-1 gene:CCACVL1_19738 transcript:OMO68969 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative salt tolerance-like protein MHVSSTGGLCCCMLIAFAVLVFMLLLWGMSSFFIALWVIVKLLSNHFASVCCAMLILKLKFLFPSFFSKDFMWVKLSANSALYTSSSSSSLSNASDSVPDFKSQPLIKNLVSASPANLNPFSSLAKSSSSNINGTTAAAAVIDKNNNTGDNNLMAISEGVGSTSSISEYLIKMLPGWHVQDFLDSSPPPFGFCKNDDGMLPLFDADIGSNMSSTNFSTENLGLWVPQSPCCSMINPPQYSSQMGGQIGLNLKETKKIIGMKANRRS >OMO68966 pep supercontig:CCACVL1_1.0:contig12147:1885:4458:1 gene:CCACVL1_19735 transcript:OMO68966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSQQKNNAPTNNNINKTGNNGTSNGVTKVKRTRRSVPRDSPPQRSSIYRGVTRQSPDIRVFMHFDYGNVSGIGGQGGMKLIYGIRIAGMNHRTRKDAKSHIWEIKTFSGAYDDEEAAAHAYDLAALKYWGQDTILNFPLSTYQKELKEMENQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLKPNNQTNSAENNPNPNLDTTPSTITPSPPNQELNLSFFNGKNNNNNLQASNPSDQALLTQSRPANATSALGLLLQSSKFKEMMEMTSAADHCQLAPPVSDPVQCSFPEDIQTYFGCQDSSSYGDHGEDIIFGELNSFMPPMFQCDLDA >OMO68968 pep supercontig:CCACVL1_1.0:contig12147:14839:16070:-1 gene:CCACVL1_19737 transcript:OMO68968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVKKQYAIFDEKVLVHHHLSSNLSPIVFKVRYHGKVGGEAAD >OMO59893 pep supercontig:CCACVL1_1.0:contig13853:6523:7449:1 gene:CCACVL1_24542 transcript:OMO59893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M10, metallopeptidase MASKAILPFFSFTIFLLLLPLLFHSVLAHSKDGKPSPFEYLQHLQGCHKGEHVKDLHKLKKYLHNYGYLSNYDNSKTHANDDDFDEFLESAIKTYQLNYHLKPTGTLDAKTVSKMMSPRCSVADIINGTTGMVSGKKRQGSKSVHRVSHYAFFPQEPKWPASKFDLTYAFLPGTRVDAIGPVGRAFQAWAANTHFRFTWIGDYVNSDIKISFESGDHGDGDPFDGTQLAHAFAPTDGRFHYDASWNWSVNVTAGSYHLETIALHEIGHLLGLHHSSVEGSIMYPSFKPGESKGLHADDVQGIKALYNF >OMO59900 pep supercontig:CCACVL1_1.0:contig13853:75617:81382:1 gene:CCACVL1_24549 transcript:OMO59900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRMSHDLGLDRRRAAIADAHDITSVNVVVVVVGNHGGTAAAVVVVVVLIIGGRAVNVANKEQRSTEANGPKHKEETIANARHVTKEE >OMO59902 pep supercontig:CCACVL1_1.0:contig13853:88036:88695:1 gene:CCACVL1_24551 transcript:OMO59902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MEENGQAIISERKHKEESEQQEIQKQRRRRCLRACCLVSVIFVLLISIVILILALTVFKVRQPKATVVSAKLDGVTPRISFPVINIQLNVSLDLQLNVENKNHASFKHGSGKSLVSYQGNQIGEADIPPGFIPAMGSTTVSCKLTLDVDEMASKTDLKGFIDDVLSGTLVVETRTRIPGRVNFLKIFKKHAVAISDCKITIAVLALKIQSQECKSKTKL >OMO59905 pep supercontig:CCACVL1_1.0:contig13853:101434:120966:1 gene:CCACVL1_24554 transcript:OMO59905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQIVASTFSRKAKAAFYLITAGGLSYQAVASHSDAPPDSSPFQALIRSSRAIFTIAVTVADYKYSLYGLPKDCDEYRLLLSEVHLRSAKRMLKLCEMNKGFYVKAGQFVASMRQVPKEYSSTLSSLQDQVVPCDFKVIKETIISNLGQDLSQMFISFNEQPIAAASIAQVHHAVLKDHQEVAIKVQYPGLENKMKLDTGIMHFLSESVAWFFPEYRFGWLVSEFSKAISLELDFILEARNSETIADYFKNNKNVRVPRIFWDFTTKKVLTMEFCSGHKVDDVDSLKGMKIDPVKVAKVLMEVFAEMIFIHGFLHGDPHPGNILVSPEGQDGFSLVLLDHGICKKLGEEFRLEYCHLWKALILLDSNKIQHIGAQIGVGKYSRYLPIIFTGRTIDSKSGLGKEILVEERQKMRQDLKSLKMEDISAFMESLPSDLLTILRTDELLKSIISKLGAPKQLRLLTYAKYASYGLSPKLNSSSDFTLEALHAWLIGNMEYIHLKLALGVMELFFWMGELKQSVNRYYQKMIDAGKVALVVFLTLSLSLSFVLCLRTLTPDIISSATACDPKKQPHPHTLRSDQFTVLINGYSESRFPLLQTIAATYSASPQVFSVLVLWGNPSTPPLTLAKLAHNLTLSSRGNAAISLVPQPSSSLNARFLPRSSITTRAVLVCDDDVEVDPKTVEFAFRMWRWNPERLVGIFVRSHDIDIMTRKQWIYTVHPDKYSIVLTKFMMMKRDYLFKYSCGGGSPMHEMRRMVDQMHNCEDILMNFVVADETNLGPLMVGAERVRDWGDPRNEDQDSDDAVRSRLVREVGLSSRKAEHRKRRGDCITEFHRVLGRMPLRYSYAKLVSSVGEQGLCNKGGKPSHFSFFLRLIVSNYQGEMQDCILIRLNDGELHDDAAIEAIFRKDYNDASNYSTTSEFKEQAFLPTIALCTSPARPTRAPVMFKVDAKIMCEPCDGKGWVLCDFCKGQKTNVKADNRRIYRRCPSCRAILEESEQKPVSEALKLKSMAFEKIKVANPIVEMDGDEMTRVIWQSIKDKLIFPFVELDIKYFDLGLPHRDATDDKVTIESAEATLKYNVAIKCATITPDEARVKEFNLKSMWRSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDTVIKGAGKLKLVFVPEGQSEPTELEVYNFQGDGGVSLAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDNNPRLLDFTEKLEAACIGAVESGKMTKDLALILHGSSLSRDKYLNTEEFIDAVAAELKARLC >OMO59892 pep supercontig:CCACVL1_1.0:contig13853:3471:4394:1 gene:CCACVL1_24541 transcript:OMO59892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M10, metallopeptidase MGSKAFLPFFSFTLFLLLLPLLFQAVLAHSKHGNPSPFEFLQHLQGCHKGDKVKDIHKLKKYLENFGYLSYDQNKTHANDDDFDEFLESAIKTYQLNYHLKATGKLDAKTVSKMMSPRCAVPDIINGTTGMRSGKKSHSKSVHSVSHYTFFPGEPKWPASKFRLTYAFLPGTRADAISPVARAFQTWAANTHFRFRRTRDYVNADIVISFESFDHGDGSPFDGPDGTLAHAFAPTDGRFHYDADENWSVNVTPGAYHLETVALHEIGHLLGLHHSSVEGAIMYPSIMAGASKGLHADDIQGIKALYN >OMO59904 pep supercontig:CCACVL1_1.0:contig13853:97151:98916:-1 gene:CCACVL1_24553 transcript:OMO59904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSTASVLTEENGVTDQLLRKVAWGPTQKATSWPAYLVNDYNFHTVAYG >OMO59896 pep supercontig:CCACVL1_1.0:contig13853:52494:54498:-1 gene:CCACVL1_24545 transcript:OMO59896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKSANGMGVDDDCSETLSVILRRFFMSIVYPDQVPSASATSSTPLLRRIKLSLYENGPHLSHASKNSCRSVLLWTRRGSPLRALLVISIGTITLLTLTGLLVFMLFFLAATANAVIISLFISLAAAGGFLALFFTCVAAIYIGALSIAAFVISTATISAIVAALIATGWVGFFCIVWLVTKKSLGLAKHSLSATGSIISTYSSARAHRGRHFHDTDKVSD >OMO59897 pep supercontig:CCACVL1_1.0:contig13853:61048:65404:1 gene:CCACVL1_24546 transcript:OMO59897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 13 MAKLSCDFRFLLIPAAFVFIYIQMRLFATQSEYADRLAEAVDAEHHCTSQMRLLIDQISMQQEQIVALEEGKRRKEQECAQLKALVQDLEKKGLQRIIDKSQVPVAAVVIMACNRADYLERTVDSVLKYQSSVASKYPLFVSQDGSDPSVRSKALSYNQLTYMQHLDFDPVHTERPGELIAYYKIARHYKWALDELFYKHNFDRVIILEDDMEIAPDFFDYFEAAAALLDKDKSIMAVSSWNDNGQKQFVHDPYALYRSDFFPGLGWMLTRSTWNELSPKWPKAYPFWLSGLEVTGFELPNGDIVKNAKPIPGTDAALKASNIPGDVRIQYTDQSDFEQIARQFGVFEEWKDGVPRTAYKGVVVFRYQPPKRVFLVGPDSLQQLGIENA >OMO59894 pep supercontig:CCACVL1_1.0:contig13853:11368:13517:1 gene:CCACVL1_24543 transcript:OMO59894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFSLDGLNDEKGIQSLQNAKNKLVGSNSCWKNAYGYLFAGCKEIIATDEKRSRFAWHLSDCFQKDSGRPPFPYCDSNSAMVNCLKKLNDLEHQVYLEFLLETNSICYQLQNQAFKHDTERLVNELRNSAQYVEDKLDSIEDRTSVLLQNSDQIHDSLNVIDTRIYNVDQTTQSLEGQMGSLVEHSRVVYKQAKDIAASQVELQKGQAMMNDQLKDGIEMLDGAYKNLGLEVNNLRNEAIVIENEITKVGTAMSSSMNNLQKKADDIGNIAEISLDKQQELLEGQSSALENLRFLTTFQSEALEESRNTLQHLAEYGRKQQEELLKRQEQLQQVHDHLVENSNSILAAQEAFESKQASMFIALDKLFALHNAMLLESRLIKAFFVYFLSIFVIYMFTSTKQTYTVRPRLYIGLCVTFLIEVAVLRFTAIEIEQKPWMVNLVRSLFGILASIQLLHAICTYRDYEVLNHQMLQKLIEQVNDMMQGNNKAALSWESDSDYDADVDWSTWIDSELPEDMDKLEQDPDYVIREEVGENSISTSSNNTRRYNLRPR >OMO59903 pep supercontig:CCACVL1_1.0:contig13853:90251:90943:-1 gene:CCACVL1_24552 transcript:OMO59903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEVCASKCQELSPKLIKKSDSVCKEGVIDLSTVTGDVNVEASLGPITPDLNREIGDFPYKCNSPPTAVEKPVFAAMNGDALTSLDQSSPKTPKDGVFDPFAPGPEEKAMAPLCRKYVDQMRNSVARRLQFDFSVRKVDFETCGTDAESISDEEMFESVYENLLETIVSNQAEGFLKEFSNIEGDFDGCKTPPLAPRLNGVAETCPGAPMKLSKKSRNIDLGLCKKLEF >OMO59899 pep supercontig:CCACVL1_1.0:contig13853:66023:70466:-1 gene:CCACVL1_24548 transcript:OMO59899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate kinase MALSKTASESDASLHVSTFASRYVRASLPRFQMPDCAIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQATRLFNAPLGESEAAIGVGTVGSSEAIMLAGLAFKRKWQHKRKAEASGGFIAPFLEPELEWDFRLPLVKSINVSGHKYGLVYPGIGWVIWRSKNDLPEDLIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYKNIMENCRENMRVLKEGLEKTERFNIISKDTGVPLVAFSLKDNTRHNEFEVSDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVADIGKVLHELDHLPGRLSGDLSVGVAAAPKKSALETQREITAVWRNTIGNMLAKVLNCSFLDADDFHPISNKEKMRQGIPLSEEDRIPWLETQRDVLKKNLEAGKTVILGCSALQKHYRDILRSADAAYKHGSFESSVQFVLLEAQAEVLAGRLEKRAAEGKHFMPATLLQSQLDLLQIDDAEGIHKVDATLNPQVIVSTIQAMLFSNTSH >OMO59895 pep supercontig:CCACVL1_1.0:contig13853:28640:31358:1 gene:CCACVL1_24544 transcript:OMO59895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEGVFVEPSNSNQIPDLSLHISPPNASTSSISSNKNSEVMDKSFNLLSRHEVGGKSMRNDLQQAYTELSLAHNPTNVAMDQELQFSRRNHSSAFESSSLLDNGVRPIKGIPIYHQSRSFPFATIENLSQRELSRDPKMGFYQIPYHNHPSSSPSLSSSPYFRGGLDPISSILSSGPNQYSSPSYYRNGISMDGFKSQQLHPHYGVGSSHGSRFLPKFPTKRSMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAPSSGQSDGSGEEDISTVVSSSSDCGVADKTGISADGALLQLESDHFPSSTDLWSNSSRYIHIPGS >OMO59901 pep supercontig:CCACVL1_1.0:contig13853:82118:85473:-1 gene:CCACVL1_24550 transcript:OMO59901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MERIRACLVTVLVLTLCGLPHVRSSASDHRFKAGEEVPLYANKVGPFHNPSETYRYFDFPFCSSAPVKEKKEALGEVLNGDRLVSAPYKLDFLTEKEAEIACKKKLTKEEVAKFRAAVSKDYYFQMYYDDLPIWGFLGKVDKEGKADPSEYKYYLFKHLVFEILHNKDRVIEITVRSDPNALVDLTEDEEVNVDFMYTVKWKETDTPFEKRMDKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEESADDQEETGWKYIHGDVFRYPKHKSLFAAALGSGTQLFTLTIFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTAASFYGQLEGTNWVRNLLLTGSLFCGPLFVTFCFLNTVAIAYKATAALPFGTIVVIFLIWALVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYRKTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWSYNVHNFL >OMO59898 pep supercontig:CCACVL1_1.0:contig13853:65609:65686:1 gene:CCACVL1_24547 transcript:OMO59898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAEWFQLKNLFPKLLGSKDGGDI >OMP05759 pep supercontig:CCACVL1_1.0:contig05234:671:760:-1 gene:CCACVL1_01835 transcript:OMP05759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIREGSESSGVFTLTVTLNLGGAWSGES >OMO49533 pep supercontig:CCACVL1_1.0:contig16482:31985:37704:1 gene:CCACVL1_30950 transcript:OMO49533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MGVSNISNPSNAASLGLVKSKADIIDQTLKRPFCSIKGNHRRVIMASISSPSSTGHDVNLKLSGDSFIRPHLRKLSAYQPILPFEVLSAKLGIKPEDIIKLDANENPYGPPPEVLEALGSLKFPYIYPDPESRQLRAALAKDSGLESDYILVGCGCDELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAGINAADVIKVPRKPDFSLNVELIAKVVELEKPKCIFLTSPNNPDGRLDCISMTGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVAACAALQNPKYLEMVKESLVQERDRLFKLLKEVPFLNPYPSYSNFILCGVTSGMDAKKLKDDLSKMGVMVRHYNNKELKGYIRVTAGKPEHTDALMECLRRVS >OMO49534 pep supercontig:CCACVL1_1.0:contig16482:38774:38890:-1 gene:CCACVL1_30951 transcript:OMO49534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIYYGESCEILAGQTEPWESLALIMEHLGIRSPPPPAP >OMO49532 pep supercontig:CCACVL1_1.0:contig16482:6002:6082:1 gene:CCACVL1_30949 transcript:OMO49532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSDRESFIGTKEIGGQRRSILPFAKV >OMO52877 pep supercontig:CCACVL1_1.0:contig15411:4400:4635:-1 gene:CCACVL1_29044 transcript:OMO52877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNCGAESLEKAKDQAEVEQRLKGKGKVETAQGNGENERGMRKN >OMP07206 pep supercontig:CCACVL1_1.0:contig04589:506:598:1 gene:CCACVL1_01350 transcript:OMP07206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATPGFELGNKGFAVPRLTARPCRQKTTNKK >OMO55429 pep supercontig:CCACVL1_1.0:contig14700:654:3003:-1 gene:CCACVL1_27248 transcript:OMO55429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMMELITAMTSATPGSSGAAQTIEAENVPSTGVTVGTTQVNVPILSSSQIDVPIPPPGSLPLPTMGRNNLPPGQYTHPNMSSTVFEPSPPTILPFFKGGESTQEEVPYRYIDELAPTRETLHSMKRKPGETIKEYGQRFKDMALEVDPLMKDIEVGDILLKTLPKEYFRELYQAATDSFTRLIIAGEAYEAGLRAGVFEEAEKKGHAKKKEGDTHECNSSKYPKTGLQGKDYPIDPIPYTYTELLPQLLQQNLVQRLPYMNPMKPPLPAWNNFKAHCDFHSGAEGHATEDCLKLKYVVQDLVKNGKLRFGNTVPTTQGQGQENTQVIMVERGEVLRRKVSEIATPFEVVFKALTKAEMIETGEFRNGDHEEMCPYHGLVHGIRQCPELRGKLQRLMDEHRIEFYLEKKEEEIDVVLEECNHPRQPFIPRPIQPFIPRPPTMLPPHLQAPCLVVIPPRPFSYEKDCQVPWKYDCSYTVPQGDEDSANITGVGGMTRSGRIYSLEDKGKSVPLETGGEKSQSPGEGKEGAAERGQSSEERKNERTAKPIPGFEAEEFMKIIKYTLPENQATVTEKFFYRSLKSVTESHL >OMO63877 pep supercontig:CCACVL1_1.0:contig12885:8394:10211:1 gene:CCACVL1_22208 transcript:OMO63877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MASKLCCLLTISLFLVLPYFTSPSLADVSPAPSEAVTPGTICKSTPDPSYCKSVLPNETTTVYNYGRFSVRKSLSQSRKTVNLIDNYLNKYRSSLSITAIRALEDCRFLAGLNMDFLLSSFKTVNSTSQILSPAQADDIQTLLSAIITNQQTCVDGIVSTASAWSIKNGISVPLTNDTKLYSVSLALFTKGWVPKIRRKKRKAAAWETNSKQLGFQHGRFLMSDRTRSIYETVSRRKLLQTTNDSSDEVLVSDIVTVSLDGSGNYSSINDAVNAAPNNTNGVNGYFLIYIMAGVYQEYVSIPKNKKYLMMIGDGINQTIITGNRSVVDGWTTFNSATFAVVSPNFVAVNITFQNTAGAIKHQAVAVRNGADLSAFYSCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVFQNCNLYARLPMKGQFNAITAQGRTDPNQNTGTSIHECTIKAADDLASSNMTFKTYLGRPWKEYSRTVYMQSYMDSLIDPTGWSIWDGTFALSTLYYGEYQNTGPGSNTSSRVTWPGYHVITNATDAANFTVSTFLLGDDWLPDSGVPYDGSLL >OMO63879 pep supercontig:CCACVL1_1.0:contig12885:15519:17534:-1 gene:CCACVL1_22210 transcript:OMO63879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASAGFSSPPLIFRSSPCYSNKFLEIVVFRSRLVPLPLVFRMPILKMEFSKLSIYPRFLEVNKELVEIEKSLKDKEKAFDAAIELHKQLQDDLRGQFTSMELLFTTEQVMQDEKATVKPIRKMTDRGGLTLLEIIFEQLDLNKKIQNYEGQLPNTEEEICLLKRDRREVWSRLRDIAIQVQTEGEGSTIVRDVHQYRFMTKYLNGMNIEIDGESKDMMEIVKFISGSPKQPPPSPRPFNH >OMO63878 pep supercontig:CCACVL1_1.0:contig12885:12130:12693:-1 gene:CCACVL1_22209 transcript:OMO63878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MKPIALLFLCFSLCLSFLPSLINGESNLVAQACEKAKYKDICISSLEAEHATKDAGDLATIALIAVKVATNNGSSTANYIKKILDNPKVTEPAVQETFDDCSDHYISAMQQLDDALEALVSKDYKDAKVWLGAAVTDASSCESDLNAGAGDDAELNNKNSVFLKLCTNALDILNLLASNATASTPSN >OMO63882 pep supercontig:CCACVL1_1.0:contig12885:25167:25740:-1 gene:CCACVL1_22213 transcript:OMO63882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYTDLPSPRRHINYAVNGKVMLCTGVVIFFALLVVLCFHNYARLIFRNRRRRYLRRRAQHLLSVSTTAADPTKTAPKELRSKLIYRSTHLKRFRRLSFRWLKKPVRCLVAPQKIILK >OMO63880 pep supercontig:CCACVL1_1.0:contig12885:19187:20982:-1 gene:CCACVL1_22211 transcript:OMO63880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLEEIVDIRIHLVPASNLFFSAKNHLIISLLFLSIYPRFCEVNKELVEIEESLKEKEEAFDEAIRSHRRLQNDLKDHPPTIRLEQKKKIQNHEEQLPKTEEEIRLLKRDHREPCSRLRDIAEHVEVEGEVSTIIISDVHRYKFLMEYLKEMDIELDAKSDDMIQIVKFISKSLE >OMO63881 pep supercontig:CCACVL1_1.0:contig12885:22638:22985:-1 gene:CCACVL1_22212 transcript:OMO63881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVEFSELSVYPQFCEANQRYVEVEESLKNSMRTSSHTKDCKNDLKGQFTSMELLLSAEKVMEDEKATGNQIPQMTHRDLIIVLEITLQQLDLNKQIQKHEEQPPKTDEEICLL >OMO63876 pep supercontig:CCACVL1_1.0:contig12885:1454:2307:-1 gene:CCACVL1_22207 transcript:OMO63876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MYTPSQKSKSLFVLLPILTLVLLIFLLVSSVTNTLNKIPRPKPTQIQQHEHFHVSNHLKIAHSACQGTLYPDLCVSAISCRPDLAFKSLPELISIILNQTMYEVRLSLANCTNIERRLKSSNTLEEMAINDCLELFNVTLAELKVAMADLSPKKLAVSKNYHDLRTLLSAAMTNQYTCLDGFARSKGNVRKIIKKGLHNISHHVSNSLVMLNKIPGVNKTKSEVFPEFGQVKNGFPAWLTRRDRRLLQASVNETKFDLVVAKDGTGNFTNITDAVAAAPNNSDT >OMO52009 pep supercontig:CCACVL1_1.0:contig15643:24194:26338:-1 gene:CCACVL1_29427 transcript:OMO52009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCDSLISPEFDYVENEDVLVVKSDREEGKQQSAQSGHAQKEGKVCQRNILLEMGPNENADGFDKTSTDPQFFAPVASDIYMNPRASEKLPATYIEYQVNHDCVWSVCSKYVERYLQCSSSGIVLCKDEILQMESAVLNYLEL >OMO52014 pep supercontig:CCACVL1_1.0:contig15643:65718:68182:1 gene:CCACVL1_29433 transcript:OMO52014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MEEEEEKVEMKKLGSYGGKVRVLEWETESGAAEETMLLWGIQQPTLSKPNAFVSQSSLQLRLDACGHSLSILQSPSSLGKPGVTGSVMWDSGVVLGKFLEHAVDLGMLVLQGKKVIELGSGCGLVGCIAALLGAEVVLTDLPDRLRLLKKNVETNLRLGIRGSAAVRELTWGDDPDPDLIEPPPDYVLGSDVIYSEEAVVDLLDTLLQLCGTQTTVILSGELRNDTVLECFLEAAVKDFVVGRVDQSEWHPDYCSRRVAMYILVKK >OMO52011 pep supercontig:CCACVL1_1.0:contig15643:33718:42039:-1 gene:CCACVL1_29429 transcript:OMO52011 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MNDEYRLYDEKNMLKVLFKTTDVSQKWASPTIRWVKLNSGSVSDKDDANAAISMRHIIVVILKFPMVLRFLSAVFSYMKFQQVRRVAIIALDWVASHVKKDMCPSGWDFHPPFPSSWMASMHLLEFPWVMIGFLVLEAESPFLLFLLLVCNHLSIFENYAFTRFSLRNKLFLVFSLNFVLVFLPLKLFCKTERIGGIMEIVLEGDEHSLALTRFMVAGKILANRTLNRWGVVSILHSIWAEDVAPCIQEIGANMFGISFRSEKLRENALAEGPWNIMGFCMVFRNWDQGVMIEELDFCVADYWVQIHNLPIEMLTRSNGELIGKNLGIVKAVEEPISSGGLGRSFLRIRLGIEVCKPLVPGFWVPRRNKEKVWAMVRYEKLVDFCFTCGKLGHVEKQCHMEPIVVDGQSIWGPHLRAAPIKRAVWNTGSKDRKWGRKEASGVNTEGSRKNQYEDLSKSVSVSERRTSGGHDQNLYEGHKVQYNVKELLSFNNSKGTFMHNMDRPEVRSDQNRRESCHSSSGDIALSSFHGEIPNLSHDKTMTIVVSTQIPPDNLSQQENENHNLNYHNSVINGIEEFLDGTPCAPLDDDEGDEELPYPTTPTNSSPLISSKDHNSLALINHDHFVSLHNEPIASSENLSQAIVPNSHPTGTVSNNLSCLPYIVSMSETENPDTNLMKNDPINHSTGVVSNALPSPTFYMYDKNLHTYIPVRWDKENVSPNMENNAEDNLDALIRLKKSMDKEKYIAENSLSAGLRKLDLKRDGNSLEWRKESDPELKRVKIDKSLQENKTTTVKVEIQKTGATQKGERKGSRRVQSGSRRGRRGVVVWSRTKIEEMCLYVVPVSETNVVISAMSSLGPALTLSALKYLNKKYSPDFVFLMETRNCQEFVNKVGKSLRFKEGVIVDPIGLSGGLVLWWKENLVVNIVEMNKNFIDIFVDKGDGSGNYRITWIYGAPSLEERRGVWDKIKMKAIHHTDAWLCMGDMNDILDEGEKEGGRRKERWAMRSFREMFEQCESMEVPTKGQRFTWSGIREGVLVKERLDRAMVNLEWMIEFPKSTVLSLPAIGSDHSPLIFNTDASDLKGVKKFRFEAVWLEDVEVKKIIEKGWDGEISGSNASQVVKKLKHCKDLLIKWQKDKAQNKKKIDNFKKAIADVQDHGEGIEDAECVKDMQQQLQHLWREEEIYWHQRARVSWLNCGDKNTQFFHQSTLQRRQYNKILRIKDDNGDWIDKEEVILEKFCSHYEGLFTSEYQGMGDLDLSCVPKLVTDEMNMDLLKEVTMAEVKDVVFQIGSLKSPGPDGSNGQFYHRFWDIVKGDLFKMVRSFMHSGRMLRELNQTEVVLIPKVKVAEDIGQFRPISLCNVAYKVISKVLVNRLKERMDKIISENQSAFIAGRQIFDNVLIAQEAFHHIRLKKKGKKGVVAMKIDINRAYDRVEYIISRLIQVGVNNGDIHGVKLSRNCPVLSHLFFADDSLFFLEANIVNCRKMFDLIQDNEMIIHWRSWDCLTNPKGEGGLGFRELEDFNKALLAKQVWRLINEPEALWAKFLRGIYFSNGDVMSAKKGARASWTWSSLLEGRDFLRDKLLWQVGDGSKINVLVDKWIPGLERGVEENSWDTSALGDRGHYIVKSGYHSLREKSRRSVKNDKSSSSHVIPADLWKEIWKTKCPPKVRMFLWRCAQNAVATNQALHRRNCKDSMVCGICHSYDESIEHLLLLCDWTRVVWFGVCGLHFDLEKITTFDDWFLKICHSLDEKGDIEGNWRMRVAFTCWYIWKSRCEAVFNKCDINPDLVVMRINRAIQEFEWAEKQKFKLDCPRTMGREEVETKWIKPPDGWLKVNSDGSFCSKIKSAGIGIVSRNHHGQVLDATGKKVHCYDALAAEALALKEAVSKVAGQALDNVIFETDSIELLRNIKAVNEGSVHWRIRPIVQDIKEALNLIDHKEVAYISRMATLAADWFARQARKGMSCATWREHQPSSLTGIWSKDGVPAPPSLVFSF >OMO52012 pep supercontig:CCACVL1_1.0:contig15643:61505:61588:-1 gene:CCACVL1_29430 transcript:OMO52012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQRFAAAMEEKVEAEDDERTITNDP >OMO52013 pep supercontig:CCACVL1_1.0:contig15643:62096:64586:1 gene:CCACVL1_29432 transcript:OMO52013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQILVNAGGVPLPTADKDGGAPAKRPIYQTKKSSKPAAHGAASTKPEEHVEAKEKQEEEQGTEIDVQNLRSPICCILGHVDSGKTTLLDRIRGTDVQGGEAGGITQQIGATYFPAENIKERTKELRADAKLKVPGLLQSLKQQSDDVQIEFKWRLTDIITQFKEQGLNTELYYKNKEMGETYSIVPTSAVTGEGLPDLLLLLLKWAQKTMVEKLTFKDELQSTVLEVKVIEGLGTTVDVVLVNGVLRVGDQIVVCGLEGPIVTVVRALLTPHPMKELRVKGKYMNQKEIKAAQFFKVAAPGLKHAIAGTALYVVENLDYLEDVKEAVMEDMKSVMCRVDKSGEGVHVQASTLGSLEALLELLKSPEVDIPVSGIGIGPIHTKDIKKASVMVERKNEYATILGFDVKPTPEAQELAADKLGVRIFTSDIIYHLFDQFKAFIDVVKEEKKKEAEKEVVFPYILKILPKCAYKTKDPITLGVKVLEGTLKVGTQICVRQKKFINLGEVTSIANSYGPVIEGKKGQNVVIRITGSNHDEQHMEHGIDFEDEDELVSNIPRNSIDLLETYFKDDLNKEEWKLVQELKSSGCWFKNNILKVRPRFPRSVCLPQSNILKLNP >OMO52010 pep supercontig:CCACVL1_1.0:contig15643:27411:28142:-1 gene:CCACVL1_29428 transcript:OMO52010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRHLSTRPRSLLIRVTDGHDLFFLNINKFPRSVQRNASPLFFFSLFFLPSFCSLPTPFKNENKEQKPLNQVWIPNVDLKSEFEYIFIRIDAKEAVDGRESKQEDGYWNGKTKFPNKRALLAT >OMO52008 pep supercontig:CCACVL1_1.0:contig15643:23006:23476:1 gene:CCACVL1_29426 transcript:OMO52008 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MNRRAGCGWWDGRSKPNEIKDFNGNLLGRCRYFTYKRDVDPSVIDWVMHEYTLPEAQGSAAAICEVQWKPSKKRPKDEETQSPQMMKKPRSDECASSSSPPNVEEEIQLQSQVQPESCFEQDDDDDRITVDEFLQIIREPSPPRHDSEVSLGTSCR >OMO52015 pep supercontig:CCACVL1_1.0:contig15643:71977:75726:-1 gene:CCACVL1_29436 transcript:OMO52015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation, RCC1 MEVKNVAAGLLHSACIDETGSLFIFGEKVVTNLVLEEDKNESTPSMISTLPYSEEVACGGYHTCVVTRGGELYTWGSNENGCLGIGSTDVFDVPERVQGPFLKSPVDKVSCGWKHTAAISDGKVFTWGWGGSHGTFSEDGHSSGGQLGHGSDVDYIKPTMVQFGENVRALQISCGFNHTGAILEYK >OMP03602 pep supercontig:CCACVL1_1.0:contig06030:4263:4385:-1 gene:CCACVL1_02346 transcript:OMP03602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHQFSIGGSVQMKANTQAHKRGSSTRARQHQIRQNKTAP >OMO93604 pep supercontig:CCACVL1_1.0:contig08090:41680:44002:1 gene:CCACVL1_06435 transcript:OMO93604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSFSHDMDDEYEKFIRRMNPPRVVIDNESCKNATVIQVDSANRHGILLEVVQILTDLNLIITKAYISSDGNWFMDVFNVTDQDGNKVVDEGILDYIMKSLGPESCFTSSMRSVGVKQSMDHTAIELTGSDRPGLLSELSAVLRHLKCNVVNAEVWTHNTRAAAVMQVTDEETGTAITDPERLSRIKSLLCNVLKGSNKSSLAKTVVSSSVTHTDRRLHQMMFADRDYERTGDDVLDDRQRPNVDVVNWYDKDYSIVTIRCKDRPKLLFDTVCTLTDMDYVVFHAKIDTEGPDSYQEYYIRHLDGSPVKSEAERERVTQCLEAAIERRVSEGLKLELCTTDRVGLLSDVTRIFRENSLTVTRAEVATKGGKAVNTFYVRDASGYPVDVKTIDSIRQVIGQTILKVKGSPEDSKPVSQESSPTRFLFGGLFKSRSFVNFSLVRSYS >OMO93605 pep supercontig:CCACVL1_1.0:contig08090:47245:47562:1 gene:CCACVL1_06436 transcript:OMO93605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESGNADHEFPGFQICVPKDELVVFRRSLSSRLQRRAPRPLLVESKVAAASASAAVGGGGGGATSSSYNSFIYQSKDPIPLLSPLVLPSLLESSYLHQAGNTAK >OMO93603 pep supercontig:CCACVL1_1.0:contig08090:9941:14837:1 gene:CCACVL1_06434 transcript:OMO93603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein METSLNVEIGDGCREGRSKGNYWWERVLDFGEAKRQFLFAVPMVLSNVFYFSLTMVSVMFAGHLGELELAASTLAHSWAGVTGFAFMTGLSGALETLCGQGFGAKLYRMLGIYLQASCIISFLFSVITSILWLYTEPILVLLHQDPKISKTAALYLKYLIPGLFAYGFVQNILRFLQTQSIVTPLVLLSVIPLGIHFGIVYALVNKTSLGFKGAPLAASISLWISFLLLVMYVVFAKKFESTWQGLSFESFHYIFRNLKLALPSATMVCLEFWAFEILIILAGLMPNSKITTSAMAMCINTQSIAYMFICGLGAAVSTRVSNELGAGNPDRAKHAMAVTLKLSILVAFALVLTLAFGHDIWAGFFSNSPSIIKKFADMTPLLVVSIAIDAIQGVLSGVARGCGWQHLAVWANLATFYLIGMTIAVVLGFKFKLYVKNEKRERVVKASRDITMNSKKVIFQVHRLGKNNREEVLEKAEKDLAAVTDQHISRLVKELQGTDFWKLRRAYSPGVQEYVEAATFFKFCQTGTLLNLDEINASILPLSDPSLEPLQINLLDYLLGLADLTGELMRLAIGRISDGEVEFAKRICQFVRDIYRELTLVVPLMDDSYDMKSKMDTMLQSVVKIENACCSVSVRGSEYIPLLGSSDPGSFLLGVPDVEL >OMO93602 pep supercontig:CCACVL1_1.0:contig08090:3350:6196:-1 gene:CCACVL1_06433 transcript:OMO93602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 35 MWTENWTGFLQRYGEEPFIRSAEEIAFSVAEFIAKKQGSYVNYYMYHGGTNFGRTAAARIITSYYDQAPLDEYGFTRQPLWGHLKELHAVVKLCTQPLLFGSYEFSYLGQDQEAHVFKGESGECAAFLVNNDNTTTSAKVHFQNSSFHLPRMSISILPDCKNVAFNTAKVSTQQDTRSMSVKLKFDSSESWEEFREPIPTFSNTSLKAQELLGQMTTTNNTSDYLWYTVSYQHDSDAEVGLSAISKSHVLHLFVNGTYIGYAHGGKKRFKLEETVHLNMGTNEIALLSVMVGFPDSGAFLEQRTLGIKNVTIQSQMLDNYQWGYQVGLTGESSRIFTKHGSKKVEWNSFQGKGPRLVWYKTEFDAPEGNEALALNLGSMGKGEVWVNGQSIGRYWVSLHTPLNRPSQTWYNVPRAFLKSKGNLLVILEEEYGDAVKITLDSVSVSS >OMO93606 pep supercontig:CCACVL1_1.0:contig08090:50454:53720:1 gene:CCACVL1_06437 transcript:OMO93606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKGMMRGLSQPSSSFRKKTLRSCSTKGKYQDVVFIDVDGDPCENVIIIDAPESVENDLQGSSGSKGGQSFPSPGVISIDDDETDDVDDPEICGKCGGDVDSDASSSKNFAAPDFVPKPANLDDDECQVIREKRPTFKLSKCKKTYSGKTSCGKRFGLSPESEDTSSESDCSDCELEGSFGKLREQWEKAFQKKNNARNGQSDLEDQTSASGSHGDTPPTVEENRTEQCVETPESCGLSDSNTQKQNSSAFKTSADKHSGGSHVNHEMRSPFVASDEKINHENFSQSKYGPTVEAQYSHVQTDDMMKDPPPSVDGGQEFHWAPLNSDPYTSDLHHGKTGSNGKEKLQSEEPSMPIPKFSEERQVEDHMTPSNIRVGTSFHKFAYDKAPLGNVPLVSNNSNFDRENVVSGSGDGSQYAETQIKHSCSKVRQSCTAPVTASKEGDERDTLHAQGFDATAPGEKEVIVDREKLKETDEYKRAAQEEEASRQHVLQLQAEEAQRLRKRRKAERMRLLDMERRQKQRLEEIRETQKKDEENMNLKERLRMEVRKELSKLELSCIDMASLLRSLGILVGGGLCPQEVHAAYKRALLRFHPDRASKTDIRQQVEAEEKFKLIARMKDKFKFLATPCH >OMO93601 pep supercontig:CCACVL1_1.0:contig08090:105:1502:-1 gene:CCACVL1_06432 transcript:OMO93601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MNKSLKIYVYPHPQDHPFKNVLLPTDYDPKGNYASELYFKKALMKSHFVTEDPDEADLFYMPFSISPMRTDHRIDVRGIPDFVKNYILNITHKYPYWNRTGGADHFYVACHSIGKIALKKLFVAKLNVIQLVCTSNLYSQSYIAHKDASMPQVWPRQDATPNLLTSERKQLAFFAGAMNARTRAYLLKVWENDTEIFVHHGRLPTPYSEQLLGSKFCIHAKGYEVNTARIGDALYYGCVPIILANQYDLPFTDILNWKSFSVIVHERDIPILKRILQGISSEEYAMLQSNVIKVRKHFQWHSPPIDFDAFYISMYELWRRRSVVKIRS >OMP02117 pep supercontig:CCACVL1_1.0:contig06322:1640:1714:-1 gene:CCACVL1_02919 transcript:OMP02117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYALSASGYNRRDLIDRMEEYIDK >OMO72873 pep supercontig:CCACVL1_1.0:contig11347:50188:52082:1 gene:CCACVL1_17549 transcript:OMO72873 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif 2 MLPSSSHLESSSKSKPALNPCAVSFEPSKSGVQVPNEICLLPHQQFPQHETYHYQDPFLYAHHPQASMVVWHPIPSPAHHDHQIHGFQYCTPPPSYWNNYDQYLTQYDPHAHPHSHLQPNYGLNLDNSLVNVGIRKKQPKKAKYGFLPPRLRLLRENPVPGRIEIWVPKKVVHHKIKASFDGEEQAGLDGKTSLMIRNIPNHWRRLDLQHKVDAHCRKQNGRGRKGSGSPRSEYDFLYVPMDFGFGRNLGYAFVNFTTDEAASRFSKAFSGMKWASGDKTKYCEVTSADHQGRKALEQKHERSTFGCHTDEYLPVVYSPPRDGFNKSKPITVGRCTYVSVHSNEMIMTRRKEMRKKAA >OMO72872 pep supercontig:CCACVL1_1.0:contig11347:38852:42253:-1 gene:CCACVL1_17548 transcript:OMO72872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSIKVVADNNFGSSIYVEDAS >OMO72871 pep supercontig:CCACVL1_1.0:contig11347:8595:12419:1 gene:CCACVL1_17547 transcript:OMO72871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase, core MHEALFSGDVVSNTKKKKSDDEKEHLVVAVTTPMAHHHRSRSQATTRRVTPTTTATAFVTAGSGATVEKLLPNGDLYIGSFSGSAPHGSGKYLWKDGCMYEGEWRRGKASGKGKFSWPSGATFEGDFKSGRMEGFGTFIGSDGDTYRGSWSSDRKHGYGHKRYANGDYYEGSWKKNLQDGHGRYVWSNGNEYVGEWKNGVISGRGTLIWANGNRYDGQWENGVPKGNGVFSWPDGSCYIGAWNEDNMKKIQQLNGTFYHGNDGKEHCLKGGESLVLMPRKRSSVDGRGSLGERNINFPRICIWESDGEAGDITCDIIDNVEASMIYRDGLGLDRDGFRQFRKNPCCFSGEIKKPGQTISKGHKNYDLMLNLQLGIRYSVGKHASIVRDLKPSDFDPKEKFWTRFPTEGSKLTPPHQSVEFRWKDYCPVVFRHLRELFQVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLIRMLPSYYQHVNRYENSLVTKFYGVHCVKPIGGPKTRFIVMGNLFCSEYRIHRRFDLKGSSHGRSTDKPEEEIDETTTLKDLDLNFVFRLQRNWFQELMKQIDRDCEFLEAERIMDYSLLVGLHFRDDNRGDKMGLSPFLLRTGKKDSYQNEKFMRGCRFLEAELQDMDRILAGRKPLIRLGANMPARAERMARRSDFDQYTQGGVSHFSHSGEVYEVVLYFGIIDILQDYDISKKLEHAYKSLQADPTSISAVDPKHYSKRFRDFIGRIFVEDR >OMO72870 pep supercontig:CCACVL1_1.0:contig11347:1241:6132:1 gene:CCACVL1_17546 transcript:OMO72870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVNGGTMKPKGTIGKKDLKFES >OMO84758 pep supercontig:CCACVL1_1.0:contig09704:510:5037:1 gene:CCACVL1_10670 transcript:OMO84758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMALISSFYSSLDEGLKGENDWKPQAYEAAKEHINTTLRLNLTKENVRNRYKTMKKHYGYVNGIQTVESGLLWDNEKKMVLVTSDTLHIWENYLKVQPLAAPYKNKMIEFGDDIVVLCALEKATGQHAENFEDAIDSMAAEIEDEMNSPSTQIPAQQDSTEGSSQRKKRKKDPLFEIVGEMATSLKEYVEMKKNQERPKPTGEEIYGVVSKISSASSIYDHLQRNGLPMGLLPKGITEFSIDPETHLFQVNLSQPCNAKFENQLHYDFNISGVLSFGKIANLSGVSQQELFLWFPVISIRVDDPSSGLINFDVGVVDKQFSMSLFESPRDCTAVDFVDFRPQSNNSQESLESLGNGTGEEDIPRAVS >OMO95911 pep supercontig:CCACVL1_1.0:contig07578:21520:28758:-1 gene:CCACVL1_05182 transcript:OMO95911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCDDMMEIWLINYVIQQKDIINLLQRLISSQEVAQIPPQCISPLGTTQGSMYDIGYANGVNNMQGYVGGIEGGQPWFAREMEPPAFSLPSSESPPYTHAVLTHPTMAPNIPTPHVQVPSEGSFISETLKEQETRLRMIHDRENFYSGLDSGDMYNIEKASGGSHLHSEFFYLKTLKEQRAIIEEEVANSAQKYHESSTEATIPWERLPTLNQMKMKMMKIMPYAGMVCIPTTPKEAPKIVQEEREASKEDIEMDIPPPSPPRLVKSKEEPLDDPIDNQVLEHEDQDLLGINKFLEDGCYGAVEWISTNQFFSKDEGICQDEDTPQGELTVRLTCNSTAILALIDYKTKIAHSEADLQLTAIPALTNYKTKGYCHSDSGWSCFFYVLLQGQWFGQICPLGVACPDLHSPLERESSVCHLYLITGRCYFPGSCPLQSHPDAHPTSLCALCFGGSSQESLTTGVFNFDEELVEDSLNYARNDAFNREVECLNPTEVTNDEVDVCGGDSLALVIRSDPGSHWSPQNSDPSESGPISNTSRDGNKSSTRKFQTTRT >OMO95910 pep supercontig:CCACVL1_1.0:contig07578:5876:6900:-1 gene:CCACVL1_05181 transcript:OMO95910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAGVRIEEKAAAIKVSDITYSDLHGTSNSDYAIVSQVSKNERFDLSRAKEMIFYCLQWRKDYGVDAIHK >OMO49952 pep supercontig:CCACVL1_1.0:contig16350:857:1738:1 gene:CCACVL1_30741 transcript:OMO49952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIGADVNQKLFKGYATTVAVREGHLEILEILLKAGASQPVCEEALLEASCHGQARFAELLMGSDFIRPHVAVHALVSACCRGYVEVVDTLMKCGVDAIASHRQLLLSSKPALHRNIDCTALVASVVSRQVSVVHLLLQ >OMP03718 pep supercontig:CCACVL1_1.0:contig05998:146:1693:-1 gene:CCACVL1_02293 transcript:OMP03718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MGILTFFIILLITLFFFVTLLKSLHNHLILTKKSKQQLPPGPPKIPFFGNLLWLHKSLSQMKAIVPSLRAKYGPIFTLHVGSKPIIFIASNSLAHQALIVKGAVFADRPLAVPTDKFLTSNQHNIGWAFYGPTWLLLRRNLTSNILQPSRIKSYACARKWVLDILINRLTSHSFSNDQIDAIQHFQHALFSLLAFMCFGDKLEEKQIQEIKDVQRRLQLSFDKFQILNLFPNLGRIVFYKRWEKLRQLRRDQENAMVPFIRARKYKKESANYSNEPFVPYVDTLLDLQLPEEKRKLKETEIVTLCSEFFTAGTDTTSTALQWVLGYLVKYPKIQEKLFMEIKGVIGDGKKEINEDDLPKIPYTKAVILEALRRNPPTHFLIPHAVTKDVKLNGYLVPKNSIVTFMVAEIGRDPKVWGNPMEFRPERFLKGREEGEEAFDITGIREIKMIPFGVGRRMCPGYGLALLHLEYFVANMVLNFKWAAGNVDQKVDLSEKHEFTTVMKNPLKVHITPRMY >OMP03719 pep supercontig:CCACVL1_1.0:contig05998:4170:8023:1 gene:CCACVL1_02294 transcript:OMP03719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTYRELVAALPVESLIDDAFQVAPETNRSVMAASSEGFSGLKGVSSAINKLNILL >OMO52379 pep supercontig:CCACVL1_1.0:contig15544:13702:15069:1 gene:CCACVL1_29244 transcript:OMO52379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQPKPGSDGSYPVDKAKAISGEKLLRNIMSENKIELYAAYGKVMNCGGGGSCGTCIVEIVDGKDLLNERTNTELRYLKKKPESWRLACQTIVGNKENSGKVVVQRLPQWKK >OMO52378 pep supercontig:CCACVL1_1.0:contig15544:10595:13106:-1 gene:CCACVL1_29243 transcript:OMO52378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLIKQQRCLLLPKVHHFQKLFFSDQSWLSQTGNPLIKWPTPPSSPYTQPHPVPSPAPSESNFSIVSNLLKNPSIYSGSSLKSALDQTGVDPDPGLVQAIFEQFDSSPKLLHELFLWAEKKPGFQPSAALFDSMVNILGKARMFEDAWTLVLNRVGDGKEGSSVISVNTFVILIRRYARAVLVLMLEILVFFGTADAYAVCIHAGMVQPAVRTFEFASSLEQICNSDEVANLFEILLDSLCKEGHVRVASEYCTRKRAIDNGWVPSIKVYNILLNGWFRSRKLKHAERLWLDMQKEGVQPSVVTYGTLVEGYSTMRRVERAIELLDEMKRAGIQPNAKVYNPIIDALGEAGRLKEALGMMERVSLCESGPEISMYNSLVKGYCKTKDLVGASKILKKMINRGFIPTPTTYNYFFKYFSKFRKIEEAMNLYTKMIESGHTPDRLTYHLLLKMLCEEERLDLAVQVSKEMRARGYDRDLATSTMLIHLFGKLHRFEDAFGEFEDMTRRGLAPQYLTFQRMNDELKKRGMTEMASKLCDMMSSVRSSKKLPNTYGGDKDTSRARRTSIMRKAEAMSDMLKTCNDPRELVKHRTLSENALSKAGQLIEVIKKRVDRQV >OMO52380 pep supercontig:CCACVL1_1.0:contig15544:15632:20590:1 gene:CCACVL1_29245 transcript:OMO52380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVQNKEDRVKAEADNLVAKKSQHRQIQNPIDISSGSSSSSSNASSSDSSDIEDSLISHNINSLPKLGQNKRNSDDLGDAFSTKKPKVESFGFDLPSGFLAPLPPVTEAVPVSAAVVENHQLSKVSRGCCKQFWKAGDYEGGNAGEYAISSVSMDHVGVHPKFLHSNATSHKWALGAFAELLDNALDEACNGASYVCIDMLKNQKDKSKMLLVEDNGGGMNPEKMRSCMSLGYSSKSKMANTIGQYGNGFKTSTMRLGADVIVFSQSPGKDGKSPTRSIGMLSYTFLTETGQQDIVVPIIDYEQSGHGWNKIMQSSEDDWYRKLDTIVQWSPYASEADLLQQFDFLKDRGTRIIIYNLWLDDEGKLELDFETDEHDIQIRGVNRDVKNIEMAKKFRSSRHFLTYRHSLRSYASILYLRLPTNFRMVLRGKDIEHHNIVNDMMLAEKTTYKPILSGKAPTSSDMVANVTIGFVKDAHYHIDVQGFNVYHKNRLIKPFWRVWNAAGSSGRGVLGVLEANFVEPAHDKQGFERTIVLSRLEAKLVSMQKDYWFKNCHEVGYAARRPTKNSSADKSFVPCVGENPTQKEQGSSNMKSNVKEKSSCPPSGDSKLKHNLQDKSSSHSNKNANLSVRGSSRLQFDNKLVDEGSEDESASRGSTPLKGESQQGPRPNTRSSNLKATKVNKDGQEPSKTDTKSVTELKAENNDLRKRLDKMNGDLHSERERCKSLEGQCVVMFICINYMLRPELTIFVVLNSG >OMP00888 pep supercontig:CCACVL1_1.0:contig06593:5318:6247:1 gene:CCACVL1_03262 transcript:OMP00888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein, plant MATVTTQASAAVFRPCASKTRFLSGSPGKLNREVCFKPVTSKSFKVKAAKGEWLPGLPSPAYLNGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLLPEVFTKIGIINAPQWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKQYSLPPNECGYPGGIFNPLNFAPTLEAKEKELANGRLAMLAFLGFVVQHNVTGKGPFENLLQHLSDPWHNTIINTIRGY >OMP00889 pep supercontig:CCACVL1_1.0:contig06593:7418:11124:-1 gene:CCACVL1_03263 transcript:OMP00889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRVKLKIKRLESTSNRQVTYSKRRTGILKKAKELSILCDIEIILLMFSPTGRPTLFNGERSTIEEVIAKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIQDFIGANSQSVEEMTNEVSMLQAQLTEVHKRLSYWTNPDKIDNIEHLRQMEDSLRESIERIRIHKENFGKHHLMSLECTSQFQNGIPLPMMIGGVQEAQPVAWLPNNDNQHMLLHNEPNFLPHRDTECSADGSLASYSGFFSSGKQTEIGSSGQVDNVVQESNALNDLGSTACLSLQHGDQYLYPPYSASNLQDVKLESEMEVNLQGNPAVYQVISNFEIPRPMYNGGHQAWVPSSGPCGIAMFDGSSYNQAIVDIR >OMP00892 pep supercontig:CCACVL1_1.0:contig06593:44307:52547:1 gene:CCACVL1_03266 transcript:OMP00892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRGIDPEEELKDEKWMNRKQE >OMP00891 pep supercontig:CCACVL1_1.0:contig06593:23543:25339:-1 gene:CCACVL1_03265 transcript:OMP00891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MAKSEQSSSTEDHPLSRQSGPHTPISAATIVDISSAIIAMKISHVRVLDFDPSFMRSFAFTNTRIILSIQNRFLLALATHFPTVLVWVQTHIMPYYPEVNISLISIGNTIFLQPPFFGLVFRKPICGA >OMP00890 pep supercontig:CCACVL1_1.0:contig06593:19374:19730:-1 gene:CCACVL1_03264 transcript:OMP00890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCISKLRKNLRTGKKMKRKVQASKHKQSQSQRTNGTNESNRENNRHSDMDEGKNDKERFSKYLEDVKSGKSTIAFCALLPHEIMAMLNDSDGGEVAEIQWKRMVDDLLKKGSSGTIGS >OMO83640 pep supercontig:CCACVL1_1.0:contig09871:30060:36598:1 gene:CCACVL1_11305 transcript:OMO83640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MAFSDDEEETIVSSVSNYYFNDEKDEAVPFSELPLQLGGKETRSNSSKRDITLRGTADDGLLTICKRVTAWKFDLGNVGKPEISVLSKESGWIKLQKPRKSFEPVIRSVLIMVHCLHLLYWNPDLSGKLLWEQLAKVFSSYELKPSQNDLVDHMELIGEAVKSDGSLAKSKFLHSFLEEKPVKKKFDDEKVRATSISGFIVDDGDDAVASCEQEDPDDDDDDDDDELFDSVCAFCDNGGELMCCEGKCMRSFHATEEAGEESSCESLGLTKKQVEAIPTFLCKNCEYNQHQCFVCGKLGSSDKSAGAEVFRCGNATCGRFYHPDCVAKLLHKGDKIAAEEHGKNISAGEFFTCPIHKCCVCQQGENKKVLELQLALCRRCPTSYHRKCLPREIAFEDIDEEGIKTRAWDGLLVNRVLIYCLKHKIRDDILTPERDHVKFPFVKEMKFVADERKKRKASDLPTSHEKVGLKKRNLALEDSLQERTSMKASKQLSCVAKDDQSSKKTDKVAPGTSSLKNVKAGGASKKPLKGMQMGKSSDENKISLGDRLFALMNQESEEVNPGKQDMLRSGPNKPAMAKATAKKLSSEIHSLDADSERRLLAIMKEAESSITLEDITAEQKVPSTTTSLSKGVLDRITRGKIEGSVEAVRMALEKLEAGCSIEEAQAVCEPEVLNQIFKWQNKLKVCLAPFLYGKRYTSFGRHFTKVDKLNEIVDRLHWYVQDGDMIVDFCCGANDFSLLMKKKLEETGKKCSYKNYDIFQTKNDFNLEIRDWMTVQPKELPKGSQLIMGLNPPFGVKAALANKFINKALEFSPKLLILIVPPETERLDRKKNLKFPYELVWEDNQFLSGKSFYLPGSVDTNDKQMDQWNVMAPPLYLWSRSDFSAKHKSIAEKHGHLHRKLFSFNHEGKINETHTSGQPSDNRSQGDDAPELEDHNQDPKTEEHIAASVTLTAAPEECSLHQQGVREGQDNHSNVKSQAEETVLKNVKHSDENHGRGTERKSPVVGQSGGKTPITETYSGIPHSSPSNVMGAKSALECRQEGSAIVTEKEFSPCHQGEKEGQDIRGHVEIQSKEPSKEQMKLSNENPSKKQMKPSKENPSKKQMKPSKENHDRGSAGKCSLDGQSGGRAHISERHGGISHSSPSNVKGAKSSVEGRQEVPVTGGKEFSPPHEGERKRQDTHGHMENQSKETSRKKKLSKEIHDRGLNGKPPLDGHNGRRASTSEKHGGTSHSSGSGRSPVDSFSSKSPKMAARPGIDENVHRHPNPTKRGSQVHPGYSSYNDTRTNISDGMGRRYNINSSQPHPVGAEHFNYRPYSTGVGRESDLREQVRLYGHNPNVSTQRNYVPGLDTAYPPPMGSLSTPPYGHHGAPAGPSYRMNTSATQRYAPRLDELNYTRMGTPGPEPPMGMGYYDPRAPRPPYPMGFAPGPYHPHSHQHSAGWLDD >OMO83643 pep supercontig:CCACVL1_1.0:contig09871:43763:44615:-1 gene:CCACVL1_11308 transcript:OMO83643 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-CoA--sterol O-acyltransferase 1-like protein MEMKFKRLEGGGDERGPWPKEKRRSLKLASQARKKEVGPQKWKEERFKGRITKRKMIGSLKNTNPSLMNFSSKPCLTSGAKKSQNPVTTTNPTVNGTEMAGGNRRELVRTAFFTTISIATQKPCNEKNNVNSHVGYTCVQ >OMO83639 pep supercontig:CCACVL1_1.0:contig09871:23911:28913:1 gene:CCACVL1_11304 transcript:OMO83639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFVGVLVSDQWLQSQFTQVELRGLRSKFASVKNHNGKVTVGDLPSLMVKLKAFSTIFTEDEIRQRLGKSYSDMNNEVDFETFLRVHLNLQGQATGKKGNPKNSLSFLKASITTLLHTISESEKASYVTHINIYLGDDPFLKQFLPLDPATNDLFNLAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGEQDLVEGRPHLILGLISQIIKIQLLADLNLKKTPQLVELVEDSNDVEELMGLAPEKVLLRWMNFHLKKGGYEKTVTNFSSDVKDAKAYAYLLNVLAPEHCNPLTLDTKDATERAKLVLDHAERMGCKRYLSPKDIVEGSTNLNLAFVAQIFHQRNGLSTDSKSISFAERMTDDVQISREERCFRLWINSLGIGSYVNNVFEDVRNGWILLEVLEKVSPGSVNWKHATKPPIKFPFRKVENCNQDKSISSGLFFLELLSAAEPRVVNWNLVTKGESDEEKRLNATYIISVARKLGCSIFLLPEDIMEVNQKMILTLTASIMYWCLQHAEEEGETTPSPASGNGSIINTPDASPAPSVSGEDENSSLCGEISSLTIDDAASDITVSSQLENEDTLVE >OMO83638 pep supercontig:CCACVL1_1.0:contig09871:17443:20364:1 gene:CCACVL1_11303 transcript:OMO83638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Na+/H+ exchanger MGVFEFIRNVSEQHEATVVPISVFVAILCLCLVIGHLLEENRWVNESIVAILVGCIAGTVILLLSKGKSSHILRFSEELFFIYLLPPIIFNAGFQMKKKQFFQNFITIMLFGVIGVFISASIIAAGSWWLFPKLGFVGLSARDYLAVGTIFSSTDTVCTLQVLHQDETPLLYSLVFGEGVVNDATSVVLFNAIQKIDVSRITSKTPLRLIVDFIYLFITSTALGVAFGLVTAYSLKTLYFGRHSTVRELAIMVLMAYLSYMLAELLDLSGILTVFFCGILMHTFAMMSFVAETFIFLYVGMDALDIEKWRVTKLSFWTLMASSGTIVLLTLLGRAAYVFPLSAFSNYMNRRPRRSPELTFKHQVVIWWAGLMRGAVSIALAFKQFTHSGVTWDPVNAAMITNTIIVVLFTTLVFGFLTKPLIHFLLPHRVVDEKTESSSVGSKSPKEDMTLPLLSFQESASTNILRAKDSLSMLIERPVYTVHFYWRKFDDSYMRPIFGGPLSSSPEC >OMO83642 pep supercontig:CCACVL1_1.0:contig09871:43147:43308:-1 gene:CCACVL1_11307 transcript:OMO83642 gene_biotype:protein_coding transcript_biotype:protein_coding description:acyl-CoA--sterol O-acyltransferase 1-like protein MAMKWESLGGGGDKGGRSNEKRRSLKLASHARQKAVMPQKWTVERLNGRRRKR >OMO83645 pep supercontig:CCACVL1_1.0:contig09871:46231:50093:-1 gene:CCACVL1_11310 transcript:OMO83645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCDVLTSALSNAVGNLVAELLKPVIRHLSYIYYFEKNVENLSKESRTLAVAQERLQDDVERALRQTQEIEVDVQKWLVDADDMLRDVESVGIEVQEVAQRCFNWRRKYSLSKKLAKKLVAMKQLVEASKFEQENYLMMIKSEFGLEEWPKNEDFEPYTAISLMGCKLKVVPDGLVCPNLEIFILGGDHSGMTIPTSYFQGMKAIKAVTMYSISVPPINVPLFSSTLRALTFRDYGFVFPKLKRFDIAINEYCSDNHPTPRSLTIKEVSLHVFKELFWNIEYICLNKIVGCPNLVPILDQAGLTKLCSLTLISCEDMVFLIDMTEQELMNWSPVFPKLMTLKIICCPKLSYVFPISLVDVLSQLKVLHLVNLPQLKQVLSHINQPDHGNDIVLRLPSLQDLRVTNCPQFTAFIGQARIKELHLSKLGEKSIPQWRQKLLIDTEYLSVGNFEEAFQLQNGDILSSLKLLKLEDLPALRIIWNPVIITQIATLQNLTELVLIDCKRLRYIFPSAFARSLSQLTILRVEGCDELEQIIGKEDWISSSSSSSSSCFPNLTCIVIKRCHSLKCLFPSAAAPNSLQRLAYLSVEEASKLEQVFGDDDGHNSMIKSLPELKTLNLGKLPSLMRFSQVGHRFTFPCLDFLLVKDCDKATTTFLLDSKLRVHAKTEASQSFNEDMKEDYGKFKQIRCPIGNDIEWSRNSRQILRGPYCHINSADLAADAHSAASPTWFHGPKRGGANPPSAAIYY >OMO83641 pep supercontig:CCACVL1_1.0:contig09871:37160:37246:1 gene:CCACVL1_11306 transcript:OMO83641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPINVRKIILVIMVAEERPSHCCNIT >OMO83637 pep supercontig:CCACVL1_1.0:contig09871:2153:10610:-1 gene:CCACVL1_11301 transcript:OMO83637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MGSLSGIKVAIFVVQALLVSVALVSAQGSTNRTRWQTRSGSAPSVIARGGFSGIFSDSSFAAYSLALLTGPPDLVLWCDVQLTKDGAGICSPDIKLDNSSDIANVYKDKQKSYLLNGVSTKGWFSIDFTLKDLANVILTQGVYSRTNKFDGNSYPIMTVQDTYTQLLPPAFWLNIQHDAFYAQHNLSMRNFVLSLTRNVNVTADYISSPEVGFLQSIASRFQRSPTKLVFRFLGKKDVEPTTNQTYDSLLKNLTLIKTFASGIIVPKSYIWPVDAKQYLLPSTSVVFDAHKEGLAVYASDFANDVPFSYSYSYDPVAEYLQFVDNGNFSVDGVISDFPITPSAAINCFAHLGRNATKQVELLVISKNGASGDYPGCTDLAYSKAIQDGVDVIDCPVQITSDGIPICMSSINLIDSTTVAQSGFSNLTITIPEIAQGSGIYTFNMSWSDIQSLTPVISSPQSAYQLLRNPQFRNAGKFLTLSDFLAMAKNTSSLQGVLISIEHAPYLAEQGFGVTDAVLDALSKAGYDTTPAPQVMIQSSNSSVLMKFKGKSNYKLVYKVDEDIGGAQLASIDDIKRFASAVVISKDSVFPENSAFLTGVTDVVPRLQKANLSVYVQTFSNEFTSQAWDFFSDPTVEINSFYAGANIDGVVTDFPKTSDRYRRNRCLNKGKQTPGYMSPVQPGSLLQLVTPQYLPPAEAPNPYLTEADVAEAPLPPVASKNASTSPNTALPPSPNGQPKVAASLMAPLIAVVLALNQVQAAPQIFNVLKFGASGDGKTDNSKPFGNVWKKACSSGGNNVILIPKGTYLLRPIKFIGECKGAITFNIKGTLRAPTDNASTIGVDHWITFRYVHRLVITGGGTFDGQGPSAWPYNVCRQDPNCSLPVTLRFDFVMNSQINRITSIDSKSFHFNIFGCKDIKIQNVTIRAPGDSPNTDGIHIADSTDIQVSDSNIGTGDDCVAMGPGARNINVSNVNCGPGHGFSIGSLGGTPNELNVTKITVRNCNLTGTLCGLRIKTRAMPFSSHCSDLTFEHINVNNVTNPILIDQNYCPDHKCGPGVSKVKIEEARFRNIRGSSFSEIAVNLQCSKSTPCEKIELRDININYDGGKATSSCSNCKGVALGLQNPPPCILKS >OMO83644 pep supercontig:CCACVL1_1.0:contig09871:45114:45332:-1 gene:CCACVL1_11309 transcript:OMO83644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSILFLNNCGKNNQTPVNPTNAKANGPETARCNRHLTGTAFFTTTSTATATPCRTKNHVTSHVGYTRVM >OMP10689 pep supercontig:CCACVL1_1.0:contig02032:7:390:1 gene:CCACVL1_00817 transcript:OMP10689 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit 2 MLYLSPSRDEYYMVAAGNSLALNQGIQEEQVVPARYRQEFLTIAWEQVHLRSIFPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQVALDSGVPAIADHEGKIISSRY >OMP02828 pep supercontig:CCACVL1_1.0:contig06179:11063:11191:-1 gene:CCACVL1_02683 transcript:OMP02828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFEFVRPNVKERVLVRVEEINGSNFLHGSQPYGYPAESNV >OMP02827 pep supercontig:CCACVL1_1.0:contig06179:9346:10025:1 gene:CCACVL1_02682 transcript:OMP02827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGQEVKLVGVWFSPYVHRVAWALKLKGIQYEFVEQNMRDPNKRSSLLLDCNPIYKKIPVLLHNGKPIVESLFIIEYIDETWKDNPILPTDPYERAMARFWAPLIDEMM >OMP02826 pep supercontig:CCACVL1_1.0:contig06179:7610:7702:1 gene:CCACVL1_02681 transcript:OMP02826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAWATLMALISRLGPGRIRPGPTHEQVY >OMO57248 pep supercontig:CCACVL1_1.0:contig14421:17470:17589:1 gene:CCACVL1_25883 transcript:OMO57248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSRPEGCVRRKLRPSKKKNRKRRKSFNKRVSSRLSE >OMO57247 pep supercontig:CCACVL1_1.0:contig14421:2081:9028:1 gene:CCACVL1_25882 transcript:OMO57247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGFILSKGFVDQTFGYFNYNLVELKLSTVSPLKDKVLRVNEEVELEMEMELLKFSKFKLQLRALLAEFRDLRDRERSASEQIHLLIQKQKQTEEEYNRKLQELRAELAACNESQQKLERKVNYLQNDNVLLENKQKELQGTIQSLLQSKESFVNAYQESTCEMKWAIEARDRKLALLSEKVNSHLLLFDSIEKEAFSIKQVVDHVKRIALHFEVRSLSSIIQRIQNVVANRNEEDRRVISLMLDDKEECEMITQKADEWIQDRIRLSAEQSPNKACGMDAADNRGIDFTFTTTARYQCTNLIQSAQPPRQKHPKIQDGLKLAVDRNITKLEVFVDAKVMIDLIHNANADLHPIGTLIIDCRSLMKQTTCLKLVHCYREANTLADALANFGRLSDDNFSVFNHIPNSIFDVFMDDVMGLSFPRTTNS >OMO92704 pep supercontig:CCACVL1_1.0:contig08180:4585:4710:1 gene:CCACVL1_06779 transcript:OMO92704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSKKGESSLFRNVDPARSTIYKDREGDTSRRRGKVILAS >OMO92705 pep supercontig:CCACVL1_1.0:contig08180:5114:9513:-1 gene:CCACVL1_06780 transcript:OMO92705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGWGGGGGKAGTAGRSV >OMO92706 pep supercontig:CCACVL1_1.0:contig08180:22118:35051:1 gene:CCACVL1_06781 transcript:OMO92706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLKESSRRWPSHTAEKFVPTSFWTEDDKPNDVLHIHLPGFKHDEVRIELPSDGHIKIEGERIVNESKCIYIDQTFPLPENPDVDNIVGKFEAVVNLVCASPKGWLHAAYTKHSSPRQGLARLLSDVPCAATKPARNSSEKIDALHLATEVSAVTGKFMELSVNAVEDGSEDRCPWIYPAKSGSEEVNWTEFEFPVVINNEM >OMO80901 pep supercontig:CCACVL1_1.0:contig10260:6700:8915:-1 gene:CCACVL1_12706 transcript:OMO80901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSELTLDCKPHSYSMLLKSFGENQQIDQTQKLEEFLSRLEEERLKIDAFKRELPLCMQLLTNAVESSRQQLQAYRANQGSIRPVLEEFIPLKNSSSDQNSDKGGGQNISDKANWMTSAQLWSQAGNETKPQVPITSPKETHDIGFNVSPKLALDTKQRNGGAFLPFSKDRSSSNSSCPNSSSALQALPDLALASANKDMDIHQDKKLCSETENGLSWKGNGNNNTSDAQTTNNSNTNNNNTNTNQPHRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVEGLTNDEVKSHLQKYRLHTRRPSPSPQAAGAPTPQLVVLGGIWVPPEYATAAAAAHTGGPTLYGTHHPAAPHAPPHFCASPVPQEFYTAAAATPAPPPPQLHHHTLHHQLHMYKANSQAHSASPESDVRGAGDRSESIEDGKSESSSWKGESGDNGGGGGKGLAALREEGEESNGSEITLKF >OMO80900 pep supercontig:CCACVL1_1.0:contig10260:1973:4926:1 gene:CCACVL1_12705 transcript:OMO80900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDDGSSVYVGGLPYDATESNIRRIFSSYGDVIAVKIVNDQTTRGKCYGFVTFRNPRSVYDAIDDMDGRKIGGRVVRVNEVNTRAGRSSFTRDRPRLSGWDRRQDRDRDHDHDRGRERERYWDPYSDRSAEHDRLRDHDAGTERGYKHLGHDRAEDYSLDRVYDRDMEDNLQGESRDQTQDWERGHEQNLDQIREIDGTNGYHGSVDMDNEQHFRRWNGSINNDQHSRGPSSDSSDDYRSMKLELELSIKNQEGLNNSIPLKERSLKEEEQRVLNLQKKSKTLEDALIAAKKLSSKRKMQLTKSLVDSPMSEIEDDVTVHQMIKE >OMP03824 pep supercontig:CCACVL1_1.0:contig05950:2088:2189:-1 gene:CCACVL1_02252 transcript:OMP03824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GITARLSGRGACNVNYLGQMCKAIFNHIHQKLAE >OMO51268 pep supercontig:CCACVL1_1.0:contig15908:30783:35526:1 gene:CCACVL1_29897 transcript:OMO51268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITHADTSLYQIKREQNSKSECKYLKPLIKIQWKNHFSIHNATSLNKTNVDKCTCSLKCHIVQTHQHAERNHLRGTYEMAKLGTCVGNCSMRTM >OMO51459 pep supercontig:CCACVL1_1.0:contig15813:33380:33706:-1 gene:CCACVL1_29788 transcript:OMO51459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGKFKKNVEDELVSEDPLLANMAREMEKKFSKYWKNYTLVISLAAILVPRLKLQIVQYLLPKLGILYENKWMEILAEMNQLFKEYENHSRILSRSSVVDREGDESAR >OMO51461 pep supercontig:CCACVL1_1.0:contig15813:50221:51221:1 gene:CCACVL1_29790 transcript:OMO51461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETESELGDSLLMSEDVPAQDLAMFENFLQQQETDDNTGMSLGNDGNAFDEKSRNTPASLEGGV >OMO51457 pep supercontig:CCACVL1_1.0:contig15813:12619:16725:-1 gene:CCACVL1_29786 transcript:OMO51457 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein rad10 MAFNFIKSTEFYSPPPAPSSPASTSRPTGEANVPSSSFSSAVAASASVSASPAAASAPSSSNSAQSRNAILVSHRQKGNPLLKHIRNVRWAFAGIVCDYLLSQSSCALYLSLRYHLLHPDYLYYRIRELQKNFKLRVVLCHVDVEDVVKPLLEVTKTALLHDCTLLCGWSLEECGRYLETIKVYENKPADLIQGQMDTDYLSRLNHALTTVRHVNKTDVVTLGSTFGSLSSIMDASMEDLARCPGIGERKVKRLYDTFHEPFKRVVSSIPTMPETPVSKDTEPGSATEVTEAEKDTEDTSKRRNKEPETNVKSALTAAFAKYASKINTKKDNKSEGKEGEGTNAAS >OMO51460 pep supercontig:CCACVL1_1.0:contig15813:46484:48246:-1 gene:CCACVL1_29789 transcript:OMO51460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFCLILKRWDVEKPIHEIAFNRVQYWTHIHGLPLEMQTLNNLKKSGDTIGRVIKLEKPEREQGIGRCYMRIRLEFDISKPLVPGFWVPRSGKDKLWVKLKYEKLGDFCFAYGKLGHGEKSYSAPDAPLTRETEFGPWLRAAPARNPMEERIADVDEKGTFCLPMLVLLRKRRRTQLMSIPILVKRQRVANQQGIQEGESVNIVNNYLGRRNMHANLGNGTSANDRARPIHGGHVENLQSLNVEMLSSCADYSGMSVESSRIKLHNSCPANIISNRCESESTLSCHITPSTNTSQPTPYSPSLHSSPTISSPSMQIHTTYPLTSNIPQPTTTLPKSQDNSITETGFVNQNSINETNHISSNTLPLQRRPRKVVTKTPSKKPSYFFDKENLNPNEFELIDMTKKEKAKEQPALEEVEMSVMSQVVVMNNASTKNLKKYEDCEETALNDSFSNVLALVQKDDVVYSCHEGVGLYQVEVGLVSYFRSMNLKRNFEDVFG >OMO51458 pep supercontig:CCACVL1_1.0:contig15813:19321:20460:-1 gene:CCACVL1_29787 transcript:OMO51458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSFTANHGLFSLSFLLFLLLSAAQTTPPNDQLPYARFSPSMAIIVVVLIAALFFMGLFSIYIRNCSENGANGSTVRPVAGGAGRSRRGTRGLEASVIETFPTMVYSEVKVHKIGKGALECAVCLNEFEDDETLRLIPKCDHVFHPECIDAWLASHTTCPVCRANLSPQPGDSVRQPAESNSAAAGVDVEAPNDGGESETEEERRRNVDCDVEAQVAPPEAEVISLNGTLNRNRTRRSRSSRTRRFFFPRSHSTGHSLVQPGENMDRFTLRLPTEVRKQLMNRELNRTMSLVVLPRERSSRRGYRGGDDSGSNRGGRSFKRLEPLDQGIKSKSDRWVFSMIPTLFSRASSMKSPRVAANDGEGTSSLSVGPAGYSKRPPV >OMO51465 pep supercontig:CCACVL1_1.0:contig15813:68918:89258:-1 gene:CCACVL1_29794 transcript:OMO51465 gene_biotype:protein_coding transcript_biotype:protein_coding description:ashwin isoform 2 MKMKEKEKKRKRVKNTKRYNNVDSVRKQPYLGFDFHGGFPNLGPSPPSYSGRL >OMO51462 pep supercontig:CCACVL1_1.0:contig15813:57903:59897:-1 gene:CCACVL1_29791 transcript:OMO51462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad (HIT) protein MASEKEAALAAVPSDSPTIFDKIINKEIPSTVVYEDDQVLAFRDIAPQAPTHILIIPKSKDGLTGLSKAEERHIEILGRLLYTAKLVAKQEGLEDGFRIVINDGPQGCQSVYHIHVHLIGGRQMNWPPG >OMO51464 pep supercontig:CCACVL1_1.0:contig15813:66975:67088:-1 gene:CCACVL1_29793 transcript:OMO51464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSAAFQVRKQPYLGFDFHGGFPNLGPSPLSYSGRI >OMO51455 pep supercontig:CCACVL1_1.0:contig15813:4705:5915:1 gene:CCACVL1_29784 transcript:OMO51455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEADDGLKPKRVVFVTVGTTCFDRLVKTVDTQELKDELLRRGYTHLLIQMGRGSYFPTKSAGDDGSLAVDYFTFSSRAASLVISHAGSGSIFETLKLGKPLIVVVNEDLMDNHQSELAEELAERKHLYCARPQTLHQTIADMD >OMO51463 pep supercontig:CCACVL1_1.0:contig15813:61925:66098:1 gene:CCACVL1_29792 transcript:OMO51463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPPDQIWIWVKKALLTWPNLSSPKAKGSQPDFDSKREWEKEAEATKENEEADPIESI >OMO51456 pep supercontig:CCACVL1_1.0:contig15813:8018:10467:1 gene:CCACVL1_29785 transcript:OMO51456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSNITAIAAAMPSGQKNHTLHHKHHGDDHFHSKSQIHQQHSSFLSFNSTIIIIISVVSVVVVLALFLLIVMLRRLKSVRKNGSCKESGSTSNSSSRFIAHNAIDFNSSPDVKAKCLYGGSISRKPPPTPSRYKGVQVFTYKALELATDNFSEVNVIGNGGFGVVYKGILADGTVAAIKRLQRDGKQGERAFRMEVDLLSRLNSPYLVELLGYCADQHHRLLIFEYMPNGTLQQHLHNPSSQYRPLDWGTRLRVALDCARALEFLHEHAVPTVIHRDFKCTNILLDQNLRAKVSDFGLAKMGSDKINGQISTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLQLLTGRVPVDIKRPPGEHVLVSWALPRLTNRDKVDVMVDPAIKGQYSKKDLIQVAAIAAMCVQPEADYRPLMVDVVQSLIPLVKNLNSSNSTGSSRFLHQLSSPKY >OMO73271 pep supercontig:CCACVL1_1.0:contig11272:37274:42433:-1 gene:CCACVL1_17355 transcript:OMO73271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MKAVLFLMVCVLLAFAPDLLPSSAMARELQYGNSIHRTERITLPKNWKEWLKNHLPKKAPKYDPPPPAPRCLKLSSSFYDSTCPDASTIVRSKIEEALQSDSRIAASLLRLHFHDCFVDGCEASLLLDNSDTIQSEKDALPNNNSARGFDVVDNIKAELEKACPMTVSCADLLALAAQASVSLNTHGAHSNEETCQATHKRPKHDQAFPMQHYHHFPPPSKIRPSTGQETGKALIATVCNKTENPADCISLLESDPRSFISNLTGLARIALEITAWKANTSLIVAEYWLQHAKDYLGWASASACCGGYESSVNSMQDSLRAFDELKSDRLFQSLQCVISNVTYCQTTPSPPDAFYGLNATMLKMTKYVLAILHQLF >OMO73274 pep supercontig:CCACVL1_1.0:contig11272:67263:68565:1 gene:CCACVL1_17359 transcript:OMO73274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPKGRQRAFFTVIAKHPASFNIR >OMO73273 pep supercontig:CCACVL1_1.0:contig11272:58358:62881:-1 gene:CCACVL1_17358 transcript:OMO73273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMEIEDFELSPFNSVGVEQK >OMO73272 pep supercontig:CCACVL1_1.0:contig11272:52380:54858:1 gene:CCACVL1_17357 transcript:OMO73272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHFEEPEGGEPEDIVGELDVLELDTNDAVNKQVRKHSLVGKIVSDKVVKLGPLRAIIAKAWPLREMVEIYELEVSTFLFIFKDERDKFKVLKQGPWSIMDCHIMLKEWPEEATLEEIDFTSSEIWVQVHGLPFSYLTRENAIKIGSMFPRLVELDFEPDEQIRWNGFLRMKVQFKFNVEDPLKTGFNLKRKDNPSKRIDFRYERLPEFCYHCGRLGHPAKGCCFQHDGRKKDSYGHWLRAIPVLQKTQKSSGSKSQHNLFSWRKEQGAEHADNASLKGVIGNSSKQFSTTLPEAFNDGQMVNVGANNNSAKGAAAFMNLDEGESEDSVHKTSLKRAREEDDLESKKKRRVDFEAQLFNFVNEVQPNWRRTTLRRILTKSCATLSGATTSQKLAGGVKLWFDKIKPPPPRDFKFESKWLMDRGCHDTIKEAWESEYEGSRMFKVSQKLKNTRKLLRYWRKNCFPNPRVEVDKLLQRLKEV >OMO82602 pep supercontig:CCACVL1_1.0:contig10003:8636:8746:-1 gene:CCACVL1_11865 transcript:OMO82602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCIRKKETKVEIQEKEAGETKFEEAAEDKYGVTA >OMO82603 pep supercontig:CCACVL1_1.0:contig10003:11442:12259:-1 gene:CCACVL1_11866 transcript:OMO82603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRGWIGNEEGMLTQWRVRISSQYEEKHLAHKVQQC >OMO52675 pep supercontig:CCACVL1_1.0:contig15485:11770:16856:-1 gene:CCACVL1_29118 transcript:OMO52675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLCSNLLCLISKTNEIIHVLYAIFKFILCDLVFPQAGEFNGEEFKVKKEMHLKESS >OMO52676 pep supercontig:CCACVL1_1.0:contig15485:72870:79413:-1 gene:CCACVL1_29119 transcript:OMO52676 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Rad4 MSNRGKSKTQHQSPGKRMKATYVSENESLLESIHDDPGTLSGISQEGVNRLLKRANRGGSSRKKEQNDLKTNEKEVVQTMVAQNASNAEDCSRDGIGSSQLEVDVKSRQDTVFDDMEDMNDSDWEDCSIPMLDPVDHSSNENFKGLTIEFDEPSSSIGRKPVRRASAEDKELAELVHKVHLLCLLARGRIIDNACDDPLIQASLLSLLPTHLLKILEVSNITSKALIPLVTWFHNTFHVRSLVRAERSFQTALAFALETREGTQEEIAALSVALFRALKFTTRFVSILDVASLKPEADKHEPMSQVANRVGRGIFSTSTLMVANPREVSIPSSPVKSFSCSEKGNHCKSSFRSSCKSKDGCSTSYSPQSRYSNAVDELTDRTSNSFSCQAQLDTSGQCAPKNSQELKRKGDLEFEMQLAMAISATTVGTHENSSRSSDVEVLNGSNSSDASNTKRWKRIQRVESATSSQGLSTALGSRKVGSPLFWAEVYCGGENLTGKWVHVDAVNAIIDGEDKVEDAAAACKTSLRYVVAFAGRGAKDVTRRYCVKWYKIAPKRVNSIWWDAVLAPLRELESGATGGVLLLEKLHNDVSNEQQKIKASQSPGAISPSNQVLLPEKSGQESSKEYGSMNEVEPSANFSFVNTRNSLEDMELETRALTEPLPTNQQAYKNHALYALERWLTKFQILHPRGPILGYCSGHPVYPRTCVQTLKPRQRWLREGLQVKSNEKPAKVLKRLAKLKNVQASEEDDYHEVDTKETIELYGKWQLEPLYLPPAVDGIVPKNERGQVEVWSEKCLPPGTIHLRLPRVFSVAKRLEIDYAPAMVGFEFKNGRAAPVFDGIVVCTEFKDAILEAYAEEEERRAAEEKKRNEAQAISRWYQLLSSIITRQKLNSYYGDGSSSKASSNIHDKNDEISALPTVNSRSDMQSTGPRKRGREYTMSSAPSARLEDHEHVFLKENESFDAENSVRTKRCFCGFSIEVEEL >OMO52679 pep supercontig:CCACVL1_1.0:contig15485:101432:103100:1 gene:CCACVL1_29122 transcript:OMO52679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAKQWLVFVATTNILVLLATLVSAANASMVTFVFGDSLAEVGNNNHLQYSLARSDYPWYGIDFSGKQATGRFTNGRTIGDILSEKLGVSSPPPYLSLSQNDDAILNGVNFASGGAGILNETGLYFIQRLTFDDQINYFKKTKERIRAKIGDAAANKLCNEAMYFIGIGSNDYVNNYLQPFLADGQQYTHEEFVEFLTSTLEQQFMRLYQLGARKILYHGLGPLGCIPSQRVKSKTGQCLKRVNDWVMEFNSKVQILIKSLNKRLPSAQFMFADTYPAVLDLIINPTTYGFKISNTSCCNVDTSIGGLCLPNSKLCNNRKDYVFWDAFHPSDAANQVLAEKLFNSLASASAAHTPAPAPAPKPH >OMO52678 pep supercontig:CCACVL1_1.0:contig15485:81137:95971:-1 gene:CCACVL1_29121 transcript:OMO52678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MSVSCGLECVVVLGFSRWAWKRCTYVGSNDSETWPLATPDEFEPVPRVCRLILAVYETDLRNPQFLPDGGYRLNPDWVLKRVTYEQTFGRAPPYIIYADHDRKEIVLAIRGLNLAKESDYKLLLNNRLGMQMFDGGYVHHGLLKSAVWLLNEESETLRKVWEDTGKEYQMIFAGHSLGSGIAALLTIVVVNHRDRLGGIPRSKVRCYAVAPARCMSLNLAVKYADVIDSVVLQDDFLPRTATPLEDIFKSIFCLPCLLFLVCLRDTFIPEGRKLRDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVDGRFEHIVLSCNATSDHAILWIERESEKALKIMRENKSETMTVPPKIQKFKRMQTIEKEHKDALERAVSLNIPHAVSTADEPTENQETETELETEPAQADNEDSQNTKSESTIFRVGLILYGEWQDAHMEVRYTDVDYFVFSDAASLMASGRSPYERTTYRYSPLLAFLLIPNSFVSRSWGKFLFSASDLLVGLFIRIILKQRKVPEDLCTYSVLIWLFNPFTFTIGTRGNCEPIVCAMILWIVICLMNGNVVQAACWYGLVVHFRIYPIIYALPIILFLEPRMFQSGLKPLLCEWTSAQGKTPQINSQVTDQFDIWSAFKSLFTRSRILFGLVSGSVFLLCTSFSFYLYGWEFLHEALLYHLTRTDPRHNFSIYFYHIYLNYERELSLLEKLISFLPQFTVQLVFICCFAKDLIFCFFVQTVAFVAFNKVITAQYFVWFFCLLPLILPWSNMKLKWQGIFCIILWMGAQTHWLMWGYLLEFKGKNVFLQLWMAGLVFLAANTLILIMLIRHHNYSPALRPHAGYNNPNCNILKNPAKTFPFSSSKIAHRRSRIFVSAGSHSHPPPPRRLPQIVLRKLGDERFASISSSSRQQTSSVGVNPNPTVPPPSSQIGSPLFWIGVGVGLSALFSWLSIQKYAMQQAFNTMMGQMNKQNNQFGNAAFPSGSPFPFPPPPAPAPSRSPSSSSSQPSVTVDVPATKVEAPPATAPATEVKRETETTEAKKYAFVDVSPEETMQKSAFEDAAEISSSNNAQSPKDVSNNGAAPKQEAGAFGGFQSDARAGPALSVDALEKMMEDPTVQKMVYPYLPEEMRNPETFKWMLQNPQYRQQLQDMLNNMGGSAEWDNRMMDSLKNFDLSSPEVKQQFDQIGLSPEEVISKIMANPEVAMAFQNPRVQAAIMDCSQNPLSIAKYQNDKEVMDVFNKISELFPGVGSP >OMO52677 pep supercontig:CCACVL1_1.0:contig15485:79977:80445:1 gene:CCACVL1_29120 transcript:OMO52677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbQ, oxygen evolving complex MAYGLDLRMVAPEQTLEEAESEVENHAKALLQVKDLIDSKSWREAQKELRKSSALLKQDIYTIIQGKPGIQRPQLRKLYSNLFNNVTKLDYAAREEDSSRVSQCYHNIVLALNDILSKL >OMO50303 pep supercontig:CCACVL1_1.0:contig16222:18826:19836:-1 gene:CCACVL1_30521 transcript:OMO50303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease T2-like protein MQKHYLLLAIAVLASLVVSATSAGFGFYKLSLVWPTSTCNTATCRDDNIPGYFTIHGLWPEFDHDTPVPPYDKANPCTLEKPLEADQIMVHLESIKNELKRMWPNLLSKDDSELWKHEWTKHGMCSDYPTEPKDYFNAALTLAKMIDPLGMGIGFGKTKVEEILRKVHGTWGVYPEILCNTAKGPSLQLQEIRFCYDRAKPPSELRDCPTKVRGTCKKEDVIDIPAPVHVLQS >OMO50302 pep supercontig:CCACVL1_1.0:contig16222:2967:5831:-1 gene:CCACVL1_30520 transcript:OMO50302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease T2-like protein MQKLLAIAVLASLVVSSTSAGFNFYKLSLIWPISRCNVIYFDCISPLPNYFTIHGLWPQFADDTPVPPYYQNSTCTTVTPTSPDNISVTLQPIQSHLSKRWPNLASYDIYLWMDEWEQHGMCSDYPNQPYDYFSAALDLARNPKYDPLKVMGIRPGAYVQVKTVLENVKRNVGAYPQIACNVDVGPSKLLQLWEIRFCFDRAMPPSRVRDCPKKLDGDCKQETDFISFPWYI >OMO50304 pep supercontig:CCACVL1_1.0:contig16222:49426:50375:1 gene:CCACVL1_30522 transcript:OMO50304 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine/threonine-protein phosphatase 6 catalytic subunit-like protein MAGISKLLNLLKLKVEVLYVHGDLSPYIRTIDQ >OMP12384 pep supercontig:CCACVL1_1.0:contig00040:383:676:1 gene:CCACVL1_00016 transcript:OMP12384 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative molybdopterin cofactor sulfurase EEEGTSKELSSLSSSAMNGARLNNGLANGSTSSISSEIKESAIRRESEGEFRLLGRREGSRYNGGRFIGVEDEHPSRGRKVSFSMEDVRKERLSHTL >OMO81197 pep supercontig:CCACVL1_1.0:contig10229:20513:26293:1 gene:CCACVL1_12557 transcript:OMO81197 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein MELQLGLALPTTPPIPIKMFDLNNSYASNLPCGVDINNIDSSSSSSSSSNRGGGGCSRKRRFDNYDYDEKNEIQNRAVLKTLPLLLWGCNQPNEEEDDDHDDEDEEDNSASAIFKNDGEGLIGWPPVKTWRKKLRRQNIPDGGAVVQNNRPAVAVAAAAAVAVDNGCGGRVSNSTYVKVKMEGMAIARKIDISVHHSFETLTSNLMRMFGISEENWNSFKLTYQDREGDWLIAEDVPWRFELFCKVLSDQSRINTWVHAVIKRKVQKLILRIVDGPSAEAEPVSLPHCLFTCESLKELELEFECVLNFPSRIWYPHLKILSLSQIKFPDDHSVQRLLSSCPNLVKLALHACDWENVKAVHISAPLLEDIDIFEDGPCVNQPCCEFMISGPKLKIFRYSADFENDYRVFDLPLLEKAEIIQLGLDLEAMGSSDVQIAVHRGYKLLKGLTNVKSLVVTPDFLELLTSVEELVPHFPSFPNLKYLAVDEYEYAADFACSGLVKMLQNSPYLESLDFKWGVSLSTYKESSDWTLDPVPSCFSTHLKTVTIRIFSASAEVLHVVKVLLRTAKSLEKLRLPSHLADEKRKSLLNLLEESESTCDLVFVELRPFYVKPKEY >OMO81196 pep supercontig:CCACVL1_1.0:contig10229:16033:16203:-1 gene:CCACVL1_12556 transcript:OMO81196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIESEPCKIHVTMEALPKAWRQPHDDRRSRGGGAGRQPARGGALCQPTFEQPVD >OMO81195 pep supercontig:CCACVL1_1.0:contig10229:117:422:1 gene:CCACVL1_12555 transcript:OMO81195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWEVLWTHYLSEHLHLYVCVAILKRYRSKIMGEQMDFDTLLKFINELSGQIDLDAVLRDAEALCICAGENGAACIPPGTPPSLPVDNGLFYSQQDDEVL >OMO81198 pep supercontig:CCACVL1_1.0:contig10229:26769:28495:-1 gene:CCACVL1_12558 transcript:OMO81198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MAKDVETAEASAGEFSAKDYHDPPPAPLIDFEELTKWSFYRALIAEFIATLLFLYVTVLTVIGYKVQTDPAKNLVDPDCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLGRKVSLIRAIMYMVAQCLGAICGCGFVKAFQKHYYNHYGGGANTLADGFNKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNQDKAWDDQWIFWVGPFIGAAIAAFYHQYILRAAAIKALGSFRSNA >OMO77462 pep supercontig:CCACVL1_1.0:contig10752:13246:13701:1 gene:CCACVL1_15005 transcript:OMO77462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNRAGDTHLDALVSVVDFGVYEFY >OMO49639 pep supercontig:CCACVL1_1.0:contig16448:26397:26548:-1 gene:CCACVL1_30883 transcript:OMO49639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSCLLLSARSTVSTFAFRGCWLMSWHTWASSSVDMNITCHQEEQSSGPRI >OMO49638 pep supercontig:CCACVL1_1.0:contig16448:9252:25350:-1 gene:CCACVL1_30882 transcript:OMO49638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Down-regulated-in-metastasis protein MAPPSHAQAVKSLNKSPGRKRFVFKTFSQRLHDIDINVFRSLDKIKSEPSEGSSFLRDCLIEWRELNTAEDFISFYAETMPFVQTLPLVLLHKELIFTKLISRLQMKARLSLEPLHRLLAAFSRDLLEDFILFLPRIVDSLVSLLKNGADREPEIIEQIFTSWSYIMMHLQKYLRRDIIHVLKVTVRLRYYPKDYVQEFMAEATSFLLRNAPVEQLIKGIRKTMFEVVKKPLPTRKSGVSALLCYVMLGTSSRFHSGAERVLRLLVDNSIFAIGDKFPEGADAILEVVMASFQKISEELEPCELTLMWECLYQEINDSEDNGSFLHLSRLLSLLISAVQVNSERNVSDYQPMLKVVGSLVQKTMLPSRKGNDSLHEVIDKVLQLMLHILDVLHVSNSLSSISGCLFQWAPVFELRDSSAINDLVESSQEEVLCLLLSFIERLQMHPSSEFVDGMSQGRLSKIRDYMQGVISNLIRLVNDIVFGNTLPTQIDEAKLAIWWGVVSCYPYMFDAKANKSMLMELLDALQRLLMIEDENIAGVSKNTWESLVGAALGSYNKWHNAKDLGFGEMSKVLHLAKACKSSSQVLFAAADYLDNVNGPAFQADSGKKIYHPALKGENTVDAVGNFADNLCHSDKGIRLPTLRILCHYEPLSCKISAEDLPAKKKIKLDVLQLLLSIEATPLSISSSRKVTLLISRIQMGLSAGRIAETYVPLVLRGILGIFHNCFSYLREAASECLAVLISKHAGLVWDKFITYFEECQSVVHSSDIQLDQVNANLSNTSSDLVRQFNLFVKPESDKTPGTSVLSLLLQSLQKIPSVAESRSHQVIPLFLSFLGYDSDNLVSVQSFNSDIREGKEWKAILKEWLSLLKLMRNPRSFYRSQFLKDVMQNRLLDENDAEIQARVLDCLLLWKDDFLIPYDQHLKNLINPKYLREELTTWSLSKESGLLEESHRVNLVPIVIHLLIPKIRNLKMLASRKHASVHLRKAVLGFIAQLDVHELPLFFALLLKPLQITSKDDPCASNLYQNLPISSIEEFHALNYLKYFTLENITALSWKKRYGFLHVIEDVLGVFDEFHVKPFLDLLMGCAVRVLASCSSSIDSARIAESALIKNHPGVEHDEDSAEANHVQTGMAIKQFKDLRSLCLKIVAFVLNKYEDHDFGCQFWDLLFTSVKPLIHGFKQEGSSSEKPSSLFSCFLAMSRSHQLVPLLCRERNLVPDIFSILTVPTASEAIISCVLKFISNLLELDIELDDEKSPIKSVIYSNLEALVFSLHHLFQNDSASKRKLVRCPGETEIRIFRLLSKYIKDLLLATEFVDILLPFLSNSVQSSDICLEAIQVVRDIIPVVGTGRTTDILNAVAPLLIFVKLDIRVSICDLLEVLARTDASVVVVARYVRQLNANSAFELDELDYDAIGKAYEEIGMGFFHASPVEHALLILSQCVYDMSSEELILRHHAYGLLLRFLEFSAKILDQEVTNHHETAEEMMVDEKGCWTRASIQRIINKFLLKHMGNAIIQGISARKEWIDLLREMVIKLPQLGNINLLRALCSEDADQDFFNNIIHLQKHKKAEAFSLFADVTSKSNMSKDIINKVFIPLFFNMLFDLQHGKDEHVRSACIQALASVSAKMEWKSYYALLLRCFREMKMKPEKQKVLVRLICAILDQFSYSQFCSSKGEKDSLDSILDSENCSTITSALQKGGGSIIVAEIQTCLQKNVLPKLQDLMNSDSDNVNVTISLAALKLLKLLPGDIMESQLSSIIHRISNFLKNRLESIRDEARYALAECLKELGFEYLQFIVRVLRATLKRGFMQHVLGYTLHFILSKTISESTYGSLDYCLEDLLSVVENDILGDVAEEKEVEKIASKMKETRNCKSFETLKLIAQSVTFKIHALKLLSPVTNHLQKHLTPKVKSKLENILRHIADGIECNPSVNQTDLFVFVYGLIADGTNEENGLGVDSSATGANKHGNLLKEKTVPSAHAFGTKSACSHIITVFALGVLQNRIKSMKLDKKDEELLSICLTPIVRLPLPSLESHADKLKVTLLNVAQGSVIPGNPLMQSCLKLLTVLLRSTKITLSSDQLHLLVQFPVFLDLERNPSFVPLSLLKAIVNRKLVVHEIYDIVVQVEELMVTSQVEPIRKKCSQILLQFLLDYHLSKKRLRQHLNFLLVNLRYEHPTGRESVLEMLHAIMKKFPKSILDEQSCIFFVHLVDCLVSDQDSEVRSMTGASIKLLIERISQHSLHDILEYSLSWYLGEEQRFWSVGAQALGLVIEVLKNSFQRHISSVLPVTKSILRSAINAFTHTQMDLSDECTIPFWKEAYYSLVMLEKMLNQFRDLSLERDLEDIWEMICQLLLHPHSWLRNVSNRLLALYFTCVNEVERGSIEKSYGTLFLITPSRLFMIAVSLCCQLKEKERNHYYRSGLITKNLVFAICGLNSLMRECAGTENTKFWSTLEQHEQDRFLKAFQMLSSRKATGMLLSITGATHDQNDSEGLQYLLVSNLLKELGKLALQMEAIQMRIVFNSFQGILPEISQDDSRHYASQMILPLYKVCEGFAGKIITDDLKQLAQEVLDSIRKSLGSQDFGQVYNEMKKKLKSKRDKRKREEKRMAVINPERNAKRKLRIAAKHRANKKRKIMAMRLERWMH >OMO49637 pep supercontig:CCACVL1_1.0:contig16448:223:7840:-1 gene:CCACVL1_30881 transcript:OMO49637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGTKPSPPVRKMSKTQAMMFDLPPNIGNSQLDSDMVPSSLPNIALILRVANEIENDNPRVAHLCRLHALEEVKKIDPTRRGIRQLKTYLSNKIEEDTFMASFHMFKTRIIVVSVTKKDEIKPQLARTDAREIQLYYQIFYEKYIAEGQDTKQPEEMAKFYRIAMVLYDVLKKTVVPSSEVDYKTNKYAKELESKKEQYDHYNILPLYAAGKKPSIMKLREINAAFQAIRNVENLPMPIAHSTEDIKLSVNDIFDWLLSLYGFQKGNIANQREHLILLLANLDVRKKNLETYFQIDGITIQRLSDDIFLNYELWCGYMHCDSHNRRYPRGYAEQQLQLIYIALYLLIWGEASNIRFMPECICYIFHNMASDVFEILSSKVHPVSDTNQTAAPDQEYYFLETVIKPIYDVLHKAMVIIAWTHFGSVYAVFDEDFLTRLLSIFITSAILNLLEAILDIALTFNAWKSFEFTQILRYLMKLLTGAIWVVILPISYSISMRNPTGVIKYTMFWIMLLVVKLTFSYFVEVDLSFTAGPPIPKKFATIMNRATANKEILNKISE >OMP07617 pep supercontig:CCACVL1_1.0:contig04311:485:601:1 gene:CCACVL1_01272 transcript:OMP07617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKSRGTELTHSEHPLKDYVVRLDRINPYRIKVQPPVNLQ >OMO60084 pep supercontig:CCACVL1_1.0:contig13792:1673:3403:1 gene:CCACVL1_24408 transcript:OMO60084 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA splicing factor, thioredoxin-like U5 snRNP MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >OMO60085 pep supercontig:CCACVL1_1.0:contig13792:4115:6628:-1 gene:CCACVL1_24409 transcript:OMO60085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITAIYRCLLLLLLCSNCRGCRDEEWNALQQIKSSINNPIGTAFSNWYGKDCCRWEGVECNVSTNRVSTIYIIQKRDPSLEESWYPNASLFAQFDYLKELKLSGNNIGGFTSPHELYRLKHLEELDLHDNSITNASSHLCWRDLPSLVSLDLSKNKLQGNIPNCLCDNSCTELDLSDNELHGSIPACLGNMTWLKHLDLSHNQFNGYFPSVLIHNLTSIESLILSRNKLKGRVPLSIFANLSRLKKLDISHNSHLEIQPESESPSWFPSFSLNGLKLGGCNLKSIPSFISQQQDLRFLDLSNNSLSGPFPKILYNMSSQLTALDISENFLHEELPDDVGRIFLQLHYLNVSFNSFNGKVPPSMGRMNQLVILDMSNNEFVGEIPSNGSCSLEYLRLSGNKLRGDVLPRNSSLPNLKWLYLENNDLSGTFPGSLSKSSDLQMVNMQHNGLSGELSSGLPALPRLKALLLGGNRFEGFIPPQLCQMRDLHILDLSRNNLSGGIPTCIDNITSLTQLQGSYHVNMEYDSRIVFDFAIKGAVYTYKGPVASFLCGIDVSSNRLTGMMTFAIRPVPYENIQEFSSAFIHSVKPPQLQIQLSSN >OMO60086 pep supercontig:CCACVL1_1.0:contig13792:7149:9343:1 gene:CCACVL1_24410 transcript:OMO60086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICCNLGCCQDCLDEERTVLQKIMESMGYEPDFESLPDDCCKWDTVHCSPTTSHVIRIFFNYIRKDEEDPWNPDMSLFANLTELQELHLQGNNIGALFNTGAICKLVYLQQLDVSANSIDDVPQPCWVNMPSLRSLDLSKNQFQGNLTSFLVNMSKVESIDVSYNLFEGFLSFSIFAKLSKLSHLDLSYNNHLSVETENPIWYPSFQIQHLLLAGCNLNSQSGQTIPSFLHSQYNLQTVDLSSNSLMGNFPSWMLQNVSSALRLRNNSFVGQFPQHHPNPNINYYNLTELDISNNHFDGKLPSNINIILPKLYYFNASSNFFSGTIPPCLGESESLRLLDLSNNNLSGGVPVGLTNSSLSYLNLYNNSLHGELLPENCSMENLEVLLLHSNHFEGELPSCLSRSPNLKMLDVHHNNLWGTISNLPVLKQLGALLLGTNQFGGQIPSQLCQMQMLQFLDLSENKFSGNIPSCLKNSLFRRKKLQANSWVPVDFTTKGNSYSYDGIPRILMTGIDFSCNELVGNIPDEIGELNELHSLNLSQNHLTGHIPTSFKNLTNLESLDLSHNSLTGEIPPEIVQIDALQYFSVAFNNLSGRIPFNEHFLTFSESGFQGNQKLCGEQLERKCLGNDYEVEDGARKESNPNEEAEESILDNHLLFYSLVFIAYSIGFWSVIAPLCISKNWRRKYFATIDGWIEYLSYKF >OMO52579 pep supercontig:CCACVL1_1.0:contig15507:69424:69483:-1 gene:CCACVL1_29185 transcript:OMO52579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAFPCSLIDSQLTTNIHGI >OMO52575 pep supercontig:CCACVL1_1.0:contig15507:5799:10451:1 gene:CCACVL1_29181 transcript:OMO52575 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MKEGKAFLKSRMKWVGLVGLVLSAFSLFVHFLLARFTEDGFSEYQSSITIFSWRPIFETSELPRTSPLYRRLWGPVRQLESLHPDANPRGYYAGPGAQTNGYIFVRIQGGFHEIRSSICDAVAVARFLNATLVIPEIQQTTSKKGISSQFKSFAYLYNEDQFMAALAKDVNIVKTLPKSLKFARRNKEIPVFRVPYSASPYYYLHNVLPVLIKHSVVELVVSDGGCLQAILPPHLEEFQRLRCRVAYHALRFREDVQELATRILHRLRAPGRPFIAYDPGMTRDALAYHGCSELFQVGILLRAYGYPWDTIIYVSGGEVFGGQRTLIPLHGMFENVVDRTSLSTVWELSRIYGRETNLDENYPRAPPSAQGEMKFDSWKNAGPRPRPLPPPPARPKTYNIEGWWGWVAESDNEPESTVVELRTNAHKLLWEAIDYIISVEADVFIPGFDSDGKGHPNFASLVMGHRLYQSASSKTYRPDREEVVRLVEQIRSHIYHANHTWLTSIRRHLRSSLVNGLIEASNTSKSSSFLSHPVPECSCLGPDSGETASNASSPSAHLQVHAALGIVHRCPAWMDGEKGSSSKEKEKENEEDIDENDPSSSGLFFQHSGSHDVGGEDINLKEESQFEDQEEEGGD >OMO52578 pep supercontig:CCACVL1_1.0:contig15507:60296:63178:1 gene:CCACVL1_29184 transcript:OMO52578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASSSGFELNLSLKPSYVPKTIANLLKDLSNVDNEADKLAVLNDYINQLEEELNRIVPIKHQFPQCMLLLMEALQALRDEFVNIKNGKGSDETARGKHGSVVAIRRKHYEEEKIDKLASVEKDDCKGKSSVRSNSSHQLWNSTNYEHKKHKSFIDFIQAPIQIPISCKGSASILPSLVGNGCSSKEIIGGSCSKSGSIGTNNGLYGMNLKADTLNYQPRPLTQPIWKNNRRSWSAELHSRFVEALHLLGGIEVATPKQIKEIMQVEGLTNDQVKSHLQKYRLHSRKQSAKYRENLVNFQDPWHPQMYYGDPNLSPDQDSDDHDEDNSNNPQA >OMO52576 pep supercontig:CCACVL1_1.0:contig15507:13795:17884:1 gene:CCACVL1_29182 transcript:OMO52576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSVATSHLHQEEEDDDDYEDKGETVKVDGKGSEQKTNTNRSKHSETEQRRRSKINERFQTLRDLIPQNDQKRDKASFLLEVIEYIQFLQEKLNMYEGSYQGWNQEPTKLVPWRNHQGLAESFIDHCQVMKNGTSCENNVAIPSMLANTQNSIESDLGNAAVFKALDHPPGSATSMVNVQSQSNTFATHGRGGIPTQSFHESASESENAVYRPQFQWQSRECLIDAAVPNNSANELEDLTIRGDTGLSSAYSQGVLNTLTQALQSSGVDISQANISVQIDVGKRVASGLNSMASSSKEKEIQYTSNQVMAQTGVHSYTEESDQAYKRRRTGKS >OMO52574 pep supercontig:CCACVL1_1.0:contig15507:4079:4156:-1 gene:CCACVL1_29180 transcript:OMO52574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLALLKWAVNAALNKQEGREQW >OMO52577 pep supercontig:CCACVL1_1.0:contig15507:34075:54475:-1 gene:CCACVL1_29183 transcript:OMO52577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, ZZ-type MADHLTRLCQFIAEEKLSSSSSSVDLLQKLRSDESIKLGLEHFYSILQAGLDSIEPGSIPRFKSWSDSQILSLASLGSSITSVFRSLSVDQLEPIIVAVIRKLVEFTVRFLEKSDFSSDDLSLQSHMIQLLETILGDATDKVVDSLQPASVNSLVDLLPTVSSSSGDIELDDHIKCGLQGLKCSRAEKQVDRLLWALASECVQFDRQDSGFEAPSFHQYVNSLIFLSQHWAVAHAECTQCLILLCKKLVELPDIFDEKIVGTNYRKRLSFSLRILKLLGCLMKDVPYVEYDPSLLEAVASCADVLPNLFRPSFEFVNNIAATEGNFESLALLLVEEFIHLVQVIFCSSSVFQNVQACIVASILEHLNPSMWRYNKAASNVKPPLAYFPRTVVYILKLIQDLRSYTQEVYDLKELDAEFVGSCVDLSKDVPSCHVRLQKVPLLKRFTIDELLKMIFPSSSKWVDNLMHLICFLHSEGVKLRPKVERSTSCVKSTCSELENAVCHDDEALFGNLFSEGSRTLGSADICDQTPAVSTSSGNGNMPMQAAMELLSFLKGCIFSHEWFPSIYEDACSMLNADHIDILLSILNCQGCRFEENFAASHEERKSGHIHELSFQLLHNLLTCHALSDSLEDYLVEQILHVENGSFIYNDQTLTLLAHALFSKVGVAGSQLRAKIYRGFVSFIVEKAKAICSDSPTLKEVLITLPSVYQIEILLMAFHLSAEEEKATLANLIFSTLQTIHVPSPSSYGTQLSCWALVVSRLILLLRHMILHPRACPPQLLLDLRSRLRDTPCFVSQVEVNSTDSFSSLASIAAKNLTGALVEESSSSSLINQLIDVAYLPSPLCRDDLAIGSLCLSWDDMRATFSCLLGFWNGKKAEVMEDLIVERYLFLLCWDIPTVKSSLDHQLSLWGNVQTLDISSTEHFIHFSHSLLGNCNVIGKSVNFQNLVVGLLSYLHAVHMGDDIENLGWDVLRNGMWMSLVLSLFNVGLGRYCVKNNIPGVGPFWTENRPSDKEFINFAEGFISCLVADGQTSELLRMFSSFLNRYLQAYEKAFLGTLGDSQHDSNTFSSVLLLKQSTFDKVLWNDLLKRCGVNSFQLESVLDILLKLDGAVEKRASGILAKVFWECLLHGFPSNLQTSSGILLSCILNIRGMIFTLDGLLKIHNSKGNITLETDIVRQILDSLMSIKLDRIFVSLRGKCEDICLKLNAGLELSDYTELFLLKRMEVFLRDISSRELGDTSILEWVITKTIDTADALRKDPSKTSMFKVYLGTEDVPEHLKDLHRLQRGDILVLIDSVGDCFNESVNIKVLNFFVDLLSGELFPNLKLKVQNKFFGMDLLSLSKWLEKRLLGCTAEAFEGVKSAKANSVSLRESTMNFILCLVSSHSGWQSELHNHLFEAVLLSLENAFLQFDIHTAKSYFNIVVQLVRGESSMKLLLKRTVMLMQKLAGDECLLPGLKFLFGFLGCFLSDCGSSRNTAEKGSGKSASISGHVVGPVTSRAVGSRKNSDTLVLSTNRDGTSASLECDATSVDEDEDDGTSDGEVASIDKDEEEDTNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGSDSALCRGANNFQSFLPFSEDAEQLPESDSDVDEDVGADMENSLRLSIPKELQDGISILLEELDVESQVLELCSTLLPSITSRRESNLSKDKKIILGKDKVLSYGVDLLQLKKAYKSGSLDLKIKADYSNAKELKSHLASGSLVKSLLSVSIRGRLAVGEGDKVTIFDVGQLIGQATVAPVTVDKANLKALSKNLVRFEIVHLAFNSVVENYLAVAGYEDCQVLSLNPRGEVTDRLAIELALQGAYIRRIEWVPGSQVQLMVVTNRFVKIYDLSQDNISPMHYFTLPDDTIVDATLFVATQGRMFLIVLAEQGSLFRLELSVEGHVGATPLKEIISIQDREIHAKGSSLYFSSTYKLLFLSYQDGTTLIGRLSPNATSLAEISCVYEEEQDGKLRPAGLHRWKELLAGSGLFCGFSSVKSNSALAVSMGPHELYAQNLRHAASSSSPLVGITAYKPLSKDKVHCLVLHDDGSLQIYSHVPVGVDANASAAAEKVKKLGSNILNNKAYAGTKPEFPLDFFEKTVCITADVKLGGDAIRNGDSEGAKQSLASEDGFLESPSPAGFKISVSNSNPDIVMVGFRVYVGNQSANHIPSEITIFQRAIKLDEGMRSWYDIPFTVAESLLADEEFIISVGPTFSGSALPRIDSLEVYGRAKDEFGWKEKMDAVLDMEARVLGSNSLLAGSTKKSRSMQSASIQEQVVADGLKLLSWIYSLCRSQEEELKADLSKLKSKHLLEAIFESDREPLMQAAACRVLQAVFPKKDIYYQVKDMMRLLGVVKSTSVLSSRLGIGGATGGWLIEEFTAQMRAVSKIALHRRSNLANFLEMNGSEVVDGLMQVLWGILDLELPDTQTMNNIVISAVELIYNYAECLALHGKDTGGRSVAPAVVLFKRLLFFPNEAVQTSSSLAISSRLLQVPFPKQTMLGSDDVVESAVTTSVPADSSGGNAQVMIEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACYEVLDADRLPPPHSRDHPMTAIPIEMESLGDGSEIRFSTDDLSDSNLVTSVTDVSMQTSAPSIHVLEPSESVEFSSSMTDPVSISATKRAVNSLLLSELLEQLKGWMETTSGVRAIPIMQLFYRLSSAVGGPFIDSSKPETLDLEKLIKWFLDEIDLNKPFVARTRSSFGEVAILVFMFFTLMLRNWHQPGSDVTTSKVSGTTDTPDKSVSQVSGSVASQSSLVDHEKTDFASQLLRACNSLRNQTFVNYLMDILQQLVHVFKSPAAGLESAHGSNIASGCGALLTIRRDLPAGNFSPFFSDSYAKAHRADIFIDYHRLLLENAFRLVYTLVRPEKQDKSGEKEKVYKAPSGKDLKLDGYQEVLCSYINNPHTTFVRRYARRLFLHLCGSKTHYYSVRDSWQFSTEVKKLYKHINKSGGFQNPVPYERSVKIVKCLSTMAEVAAARPRNWQKYCLRHGDVLPFLMNGIFYFGEESVIQTLKLLNLAFYLGRDLNHSLPKAESGDSGTSSNKTGTQPLDSKKKKKGDDGIETGSEKSFVDMEAVVDIFTEKGADVLRQFIDCFLLEWNSSSVRTEAKCVLYGVWHHGKHSFKETLLAALLHKVKCLPMYGQNIVEYTELVTWLLGKLPDNNSKQQTELVDRCLTPDVIRSIFETLHSQNELIANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDNMENDEDMKRGLAAIEAESENAHRRYQQLLGFKKPLLKIVSSIGENEMDSQQKDSVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMSYLHQKHSDNSGAASRFVISRSPNNCYGCAMTFVTQCLEILQVLSKHPNSKKQLVAAGILSELFENNIHQGPKTARVQARAALCAFSEGDVSAVAELNSLIQKKVMYCLEHHRSMDIAVASREELLLLSEVCSLADEFWESRLRVVFHLLFSSIKLGAKHPAISEHIILPCLRIISLACTPPKPDTAEKEQGVGKSTSATQVKDENNSTISSSKLMPESLEKNWDASQKTQDVQLLSYSEWEKGASYLDFVRRQYKVSQAVKGAGQRTRPHRTDFLALKYGLRWKRSCKAAKSDLSVFELGSWVTELVLSACSQSIRSEMCMLISLLCAQSSSRRFRLLNLLMGLLPATLAAGESAAEYFELLFKMIDSEDARLFLTVRGCLDTICKLITKEVGNIESLERSLHIDISQGFILHKLIELLGKFLEVPNIRSRFMRDNLLSEVLEALIVIRGLIVQKTKLISDCNRLLKDRLDSLLLESSENKRQFIRACICGLHIHGEEKKGRTCLFILEQLCNLICPSKPEAVYLLVLNKAHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICHQLDLIGLLEDDYGMELLVAGNIISLDLSIAQVYEQVWKKSNSQSSSAIANSSLLSSGAVTSARDCPPMIVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVREYDGLEILLHMIQRLRDDFKSNQEQLVAVLDLLMHCCKIRENRRALLRLGALVVLLETARRAFSVDAMEPAEGILLIVESLTLEANESDNIGVSQSVLTVTSEEAGTGEQAKKIVLMFLERLSHPSGLKKSNKQQRNTEMVARILPYLTYGEPAAMEALIQHFNPYLQDWGEFDRLQKQHQDNPKDENIAQQATKQRFTVENFVRVSESLKTSSCGERLKDIILEKGIISVAVRHLSESFAVTGQAGFKSSAEWVSALKLPSVPHVLSMLRGLSMGHYATQRCIDEGGILPLLHALEGVSGENEIGAKAENLLDTLSNKEGKGDGFLEEKVRMLRHATRDEMRRRALRKREELLQGLGMRQELASDGGERIVVARPLLEGLEDVEEEEDGLACMVCREGYSLRPTDLLGAYSYSKRVNLGVGTSGSARGECVYTTVSYFNIIHFQCHQEAKRADAALKNPKKEWEGATLRNNESLCNSLFPVRGPSVPLAQYVRYVDQYWDNLNALGRADGSRLRLLTYDIVLMLARFATGASFSAESRGGGRESNSRFLPFMIQMARHLLEQGGPSQRRNMAKAVATYIASSTLDSKSITVGTQPSMGTEETVQFMMVNSLLSDSYESWLQHRRDFLQRGIYHAYMQHTHGRSTAKTESTSSSKSPSSESSGDELLSIVRPMLVYTGLIEQLQQIFKVKKSSSLASTKAEGTSTGPEGEGLEGWEVVMKERLLNVKEMLGFSKELLSWLDDMTSASDVQEAFDIIGALGDVLSGGFSRCEDFVQAAIAAGKM >OMP08020 pep supercontig:CCACVL1_1.0:contig04065:1294:1471:1 gene:CCACVL1_01178 transcript:OMP08020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHGLLHLLGFDHELSEDAKEEMEEEEKFLLKSLGWKGKGLIQSAYDAETNANLHMENSN >OMO62765 pep supercontig:CCACVL1_1.0:contig13167:8579:10081:1 gene:CCACVL1_22644 transcript:OMO62765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MAADGSQSPSVFQKIHGQSSFISRLSPNVHSRNYSVTGAYANGGIHVALQPSRQATGLANMSPASPIFIQAPAEKGLSGFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGITDCFARTIKDEGVIALWRGNTANVIRYFPTQALNFAFKDYFKRMFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGQRQFNGLVDVYRKTIQSDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGTLQDSFLASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFSQIIKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVLGKKYGSGGGG >OMO62767 pep supercontig:CCACVL1_1.0:contig13167:11235:12461:1 gene:CCACVL1_22646 transcript:OMO62767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLCSFFISHALIILLLYISFPSNNPAAKHTSSASHHYRHHSRSPFQNPKLHQAYTALQAWKRVIYSDPSNITAKWVGPDVCSYAGVYCATPPYDKNIKVVAGIDLNGADIAGFLPEELGLLSDLALIHLNSNRFCGILPQSLANLSLLYELDLSNNRFVGPFPYVVLSLPSLTYLDIRYNEFEGPLPPQLFSKTLDAIFVNNNRFSNVIPSNFVGSSASVVVFANNKLGGCLPPSIANFANTLEELLLTNTSLSGCLPPEVGYLYKLKVLDVSNNNLVGPIPYSLAGLAHLEQLNLAHNKLTGIISMGVCILPNLANFTFSYNFFCEEEGICQNLTSNGIAFDDRRNCLLEKPNQRSKKECEGVLEHPVDCFHYHCGGGSTAIPPTMMPAATPLSSPSMAPGYV >OMO62769 pep supercontig:CCACVL1_1.0:contig13167:44831:47566:1 gene:CCACVL1_22648 transcript:OMO62769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin subunit MERPEVKGTVTPLSSMFPIEEAQKAAKRVEEALSEKQSELNQLREFIADNTSLINLVPFGKAAFFPGRLIHTNEFLVLVGENYYVERTAKQTVDILKRRGKSLESKVDSLKAVMQDLKLEASFFDSTAAEAAEGLVEIREYEEENSAESKLEQDISGFTEADNTMGVSEGDDFARLMSKLDELEKEELAAESYEENDEDQVTNTGERVDDEVEQIKTVLERNQDEDKEPMKVEMSNKYHHQDIPNPLAVRDKVFLLPSFLNLCEISHSQSMPQDTKMLNPSINSSKPEKKVRFQVEDSSRKEKSAQTSRSGFDSSKAFTGSIVEHSHNLENLPGQTMASAQDSGSQPSKPVSRFKMLRK >OMO62770 pep supercontig:CCACVL1_1.0:contig13167:48358:53943:-1 gene:CCACVL1_22649 transcript:OMO62770 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MSLLHTFKETLKPCKNLSSSSSSSSSLFSQSSLSQEEPKPAIVNQRKPPKSSLSQQLQRLEQDFFPSTQQSHLPSPKFSQAQVQKNGVNQEEEEEEEEQEEDEEEIKEFGRPELGRALFEDTGPYEPLVLSSDGEFPVVQVPASINCRLLAHQREGVKFLYMLYKNNHGGVLGDDMGLGKTIQTIAFLAAVYGKDEDHGGPRISKENQVGKKGPIIIICPTSVIHNWECEFSRWATFSVSVFHGSSRESILEKLQANGVEVLVTSFDTFRIHGNVLSEINWEIVIVDEAHRLKNEKSKLYTACLEIKTSRRIGLTGTIMQNKIMELFNLFDWVAPGSLGTREHFREFYDEPLKHGQRSTAPERFVRVAGERKQHLVAVLRKYMLRRTKEETIGHLMLGKEDNVVFCAMSEVQKRVYRRMLQLPDIQCLINKDLPCSCGSPLTQVKCCKRIVPDGVIWPYLHRDCPEDGCDSCPFCIVLPCLVKLQQISNHLELIKPNPHDEPDKQRKDAEFASAVFGPDVDMVGGNAPSESFMGLSDTRHCGKMRALDKLMSSWISKGDKILLFSYSVRMLDILEKFLIRKGYCFSRLDGSTPTNMRQSLVDEFNSSPSKQVFLISTRAGGLGLNLVSANRVVIFDPNWNPAQDLQAQDRSFRFGQRRHVVVFRLLAAGSLEELVYSRQVYKQQLSNIAVSGKMEKRYFEGVQDCKEFQGELFGICNLFRDLSDKLFTSEILELHEQQGQQSTDDHSNKQELTNTGAQLILSEGSETVFSGCKNLHPRDINKTTTDKPVLEDLGILYAHRNEDIVNNKPGFRQKIEAVVTQDNNLERESTSSCKRKLGGEENVHSCRDHKRIQYGRLAQFKGMGVVEFSKWVISASPSERESVLMDYKRRKKETSNC >OMO62764 pep supercontig:CCACVL1_1.0:contig13167:1844:6810:1 gene:CCACVL1_22643 transcript:OMO62764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTLAESNDSSSSQDPLFPWLLSIKKSLNEWYSGNLTGEDLDKLLSDCISTFKHNAQYQNDLRFLKIWFIYLEGREDFERIFREMEEYKICIGAALLYEWYAYFLEAKGKWKEAHMVYQIGISRKAKPLEKLKGAQSLFIKRMSERLNSVSFGKIERSESVEFGKNLIDPWSTFTMEELLKKIARQITKYDGYHLSKKVYSGKVALSLLKKSSRNKIIEIGGQKYQIKGCAGQGAFAQVFKAHIDSNPDDVVALKIQKPAFPWEFYMYRQLDERISDKQRSSFGYAQKIHLYSDCSILVCDYLSHGTLQDAINSYVVTGKFMEEVLCIYYTTEMLYMLETLHSIGIIHGDFKPDNLLIRYSRDDLLEDGFKDRTGAWCDQGLCLVDWGRGIDLHLFPDNTQFTGNCRTSGFCCIQMKEKKPWTFQANTYGLCVIVHMMLHNTYMEIEKKASDGGNIYLPKSSFKRYWNVDLWKNLFQKLLNVSPGSNDTELLHSLRKSFLGYMDNNPPLVRKLKELVLKQRASLCSA >OMO62768 pep supercontig:CCACVL1_1.0:contig13167:23476:30637:-1 gene:CCACVL1_22647 transcript:OMO62768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGCWGFDMVSRVNLGSFWIPRRKGLGFVFRVVFGLWFSFVLLKPVAGLRPIRERARSWGDEWLFVRKDENELGPFHAWNITGTYRGAWKFLEPTNSSTKFSDFRKSAGDSVIELVSTPTKISGVHYVQGVIIFHDVFDNEHNVGGAQIRVEGVYIWPFRQLRMVANSGKEGESSQEEDYILSNPYHLLGVFSSQVFQESPRDKIWRRKNSPIYEMEKHCNIEIAAQISRISSTQSDGDHDRYHIEGLMESPAVDDDGDCFSPLLLNATSVNIEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMNNGEGWETMRRELSVLYSRFYGVLLGGILVMYEFHNYLRPILLLLYSFWIPQIITNVIRDSRKPLHPHYILGMTITRLAIPLYIFGCPHNFMRIEPDKSWCICLGVFIGLQASILLLQHYLGSRWFIPRQILPEKYSYYRRFDPDTNHATDCVICMTAIDLTQRSSDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRSLPPA >OMO62766 pep supercontig:CCACVL1_1.0:contig13167:10528:10635:1 gene:CCACVL1_22645 transcript:OMO62766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCLSHKIGKAELLAEAVRRRFEPNSGLWPGIVM >OMP10409 pep supercontig:CCACVL1_1.0:contig02539:811:870:-1 gene:CCACVL1_00975 transcript:OMP10409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFLRAVSYRKRAIALNLIN >OMO92436 pep supercontig:CCACVL1_1.0:contig08220:9:413:1 gene:CCACVL1_06854 transcript:OMO92436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRVGTGRDIGKGRKGWTLTDAVNGVFELFRLAEFEILFFLFFIIAFLIFKDLTSRPEYNQLLVKRPGGVDLWPY >OMO92437 pep supercontig:CCACVL1_1.0:contig08220:1367:6091:-1 gene:CCACVL1_06855 transcript:OMO92437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAPNENSSAGTDQEIAVNHGGPCSDPEIAQEEQVRGILQVISSTGKFWHNWDKLKSMLSFQLKLVLNEYPEAKMTTDQQNASLGETYIELVARLDEDTIGCQKHLSKSFKACSSTRKGWRKMSALGLDFKCEKPIYCVLENLLVTSTLTACTNPYPQMMPKPEKESDEAQPQLNSIQNGIEPMVGDRDEIMTEVEEADIEDMTIDMDAFQEMVGSKANSTAAGNS >OMO87073 pep supercontig:CCACVL1_1.0:contig09338:3351:8354:1 gene:CCACVL1_09272 transcript:OMO87073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEVANPLKRRRDDDDQVDLSLLEAVEKSQNAVEVLDLRAIKKLVLSFERRLKENIEARLKYTDQPERFADSEVELHEELEKLKILAGAPELYPELVNLNAIPSILNLLSHENVDIAVDVVHLLEDLTDEDVLEDNDEPARVLVDSLIENNVLELLVQNLHRLSEKDPDEMSAIYSTLATIENMIEVKPAVAELVGERTKLLKWLLGKIKAKEFDSNKQYASEILAILLQNSTANQKRLGQMNGVDAALQAVALYKSKDPKTSDEEEMLENLFDCLCCLLMPLENKEKFIKAEGVELMIIIMKQKKSAYASAIRALDFSMTKYPPACERFIDVLGLKTAFAAFMGKASDSLLFLLFVWIPINKKNKKERYQEELEERIISLIASLFGPLGYLGVGGNILRGSRRDRLLSKFVENECEKIDRLMELYIRYSDRVKAETQRLDELELDDLEMDEEERYNRKLESGLYTLQLITIILGHIWCSEHPQMRARIELLLKQQKLTKKDITDILQMPILGFIGNDFGWFNTQNQEYHDNIGDLDGPEEKERAQAKIQKIISAF >OMO87074 pep supercontig:CCACVL1_1.0:contig09338:14838:15650:1 gene:CCACVL1_09273 transcript:OMO87074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKPVIDLEVEASENESEVSSQVASNLSIQETSIAAPSNDSTCLATQSNSEPLSLDLTLHFSIDESSSGGGSGRDSSAVVSLSSTSESSNDPSLRATASAIPRVFSCNYCQRKFFSSQALGGHQNAHKRERTLAKRAMRMGILSERYSASLATLPLHGTSFRSLGIKAHSSAHQSFAPPPIRPADSRNNNARFEHGYLGLPIFIEDDEAEMLWPGSFRQINEANEAHHHHHPGFLLPGSSNSNTINFVDVSTQPVDLESSAVQPDLTLKL >OMP00965 pep supercontig:CCACVL1_1.0:contig06577:942:1094:1 gene:CCACVL1_03216 transcript:OMP00965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGSIRIKSEFPCFQQLSMIFPRFSKKLRIRVSNVSRIVRFLVFICTG >OMP00964 pep supercontig:CCACVL1_1.0:contig06577:110:406:1 gene:CCACVL1_03215 transcript:OMP00964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVKGIDLPGPGKGNSKVDHPIDMAASSASSFLIIYLLACQRRPIKTKQLFIYGEPSLSGSFFPVLFQLPLAHSKLGILGKLNLLKLYDTASFSLPT >OMP00966 pep supercontig:CCACVL1_1.0:contig06577:2472:4698:-1 gene:CCACVL1_03217 transcript:OMP00966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKTLRLAVKRVASGGAGIVERTRGTREAGTEQPKFSWKDMVVGNLDTNGLNDRDEFGPLTQNKDLITVSTKDSWLSLTTSDKLKERLNRGWTNCLIVKLLGRSIGFKTLDERVRRMWMPRAEFELIDLGFFILSC >OMO77871 pep supercontig:CCACVL1_1.0:contig10701:13489:15025:-1 gene:CCACVL1_14756 transcript:OMO77871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle CX2CX4HX4C MVGSLSNLCSQLSLQEGDQQEPSTKVIISKEWIDEPEPWSFQRSLLLLNDYDGYTLPKEVVFDAVPLWDVNLSEGRYLQICVEMDIKTPIQIGTRVTTPDGEDINVKFKYEKTPNYYRVCGILVHKEPECPTTIAQKKLRGFVIRKFFLDLKAESSIIKLRGIDRLGSPSFSMGSFSSSKALSQDRRSAPREIKGGSFEIISRLPDRCGEQGSARLEEVEVTSTRATVGEFEGGRTGLCVGMGSNSVRGQGRKWKKVAKVSSKYGFEALYHDPTFQLGKK >OMP10600 pep supercontig:CCACVL1_1.0:contig02126:1050:1154:1 gene:CCACVL1_00853 transcript:OMP10600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPIVSRKDRSVGRKNPVNDSHRSLFEWGNSNE >OMO50768 pep supercontig:CCACVL1_1.0:contig16058:26036:34058:-1 gene:CCACVL1_30271 transcript:OMO50768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAECVRVAVNIRPLITSELLNGSTDCITVVPGEPQVQIGSHSFTYDFVYGGAGSTSSAIYDDCVAPLVDALFHGYNATVLAYGQTGSGKTYTMGTNYTGEGSSGGIIPKVMETIFKRVEAMKQSTEFLIRVSFIEIFKEEVFDLLDSAALCKAEGAPLAKPTAPGRLPIQIRETVNGGITLAGVTEAEVRTKEEMASYLSRGSLSRATGSTNMNSQSSRSHAIFTITMEQKKIASCPNGVNDDIGDDILCAKLHLVDLAGSERAKRTGADGMRLKEGIHINKGLLALGNVISALGDEKKRKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVINRDPMAAQLQRMRSQIEQLQAELLFYRGDGNASFDELQILKHKVSLLEASNTELQRELQERRLTSEQLAQRALDAQVEKDKLIMQIESVRNGKSWDEIDSNQNQDYDLMKSYVSKIQELEGELIRLKSLNSSKRSRFSDFVDSDDDGIPKNSLFSCGNDYLSSDADEIEDEKELEHSSIQEKLDRELKELDKKLEQKEAEMKRFASSDTSILKQHYEKKVNELEQEKRVLQKEIEELRNNLANISSTSDDGAQKLKSEYLQKLNVLEAQVAELKKKQDAQAQLLRQKQKSDEAAKRLQDEIQRIKSQKVQLQHKIKQESEQFRLWKATREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAMATKRLKELLESRKASSREASGIGNGNGAGTQAMMQTIEHELEVTVRVHEVRAEYERQMEERARMAKEVARLKEEAEILKQGDLRDCAETMSPGARNSRIFALENMLSATSSTLVSMASQLSEAEERERVFSGRGRWNQVRSLADAKNIMNYLFNLASSSRCSLRDKEVHCREKDAEIRDLKEKVVKLSSLARQLEAQKADLVHQVKMQNATLKQYSMKATMDSGIPDINGGRHKYELRKQDHRSSIIFMEDMDTSESEHSDMDASDDDEWVQSNKKKNRRKTFRPRGHSSMGTNQSDTNGLESTKESSCEVPGKTDGLCCTCSKQSYCKTPKCQCKANGNSCGESCSCSSVKCSNRETEANISNDVGTKEEQNLAAQGAMLLQNAMEGVKAEANDDGATKRKALTDIGNTLAKSNAPKPNQRKKWGKSVIQLVPVAPPPSQTESAAAPQNPENVNPPIKQENCPSESDITLKLPRAMRSTSSTGNKLLRERNADQQDESTNKEVAVLASTSPVRPARTSEEKENCRR >OMO50770 pep supercontig:CCACVL1_1.0:contig16058:67808:73484:1 gene:CCACVL1_30273 transcript:OMO50770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel MscS MTRVASMQLSHEPKIRNSHGCSSQHFGVMEKSRVHLVNINLSSRAMRQDAWSLHLLSSVCMPIRPVSSRSNVVLCRSVLIPTGGNEVPILKSASVVLARTFETINGSPIIPRLIPAVGIIAFAAWGLGPLMRLGRVIFFHRGDSSWKKSRTHHFMSYYVRPVLLWTGATLLCRALDPVVLPSEATHAVKQRLLNFVRSLSTVLAFSYCLSSLIQQAQKFFMEMNESNDARTMGFDFAGKAVYTAVWVAAVSLFMELLGFKAQKWLTAGGLGTVLITLAGREIFTNFLSSVMIHATRPFVVNEWIQTNIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFTVNVVRNLSQKTHWRIKNYFAISHLDVNKINTIVADMRKVLAKNPQVEQQRLHRRVFLESINPENQALMILVSCFVKTSRIEEYLCVKEAILLDLLRVIRHHRARLATPLRTVQKMYSEAEVEDIPFADTIFRRSGAATNRPLLLIEPSYKINGDDKVRSSTHANEEKDVEEASSISDSKANTKSGSTSVVDSKGDKVMASSTTNSSSSLKASSSEAQSGNLMPDGSVEVKSEEQSKESWGEARKAMSSGRVGTEKSPVANLQSAKEESEIPSAFSQARQDIDRSVGLPSVARPSLEENIVLEVALEGSKLTLPIEEEIAASSLAAESKELGGQQSGGGSHLVGSDKKDGQMPLVHGGTQSN >OMO50769 pep supercontig:CCACVL1_1.0:contig16058:59426:60091:1 gene:CCACVL1_30272 transcript:OMO50769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLGLEEADNLYEDAKSSFGEVVVALDLAAALSKDDEAKRNFKEARMMLEAGMKCLNKMRKKRCVAVNDEKETSKEETSEEEASEEEASEEEAVS >OMO50764 pep supercontig:CCACVL1_1.0:contig16058:5719:8418:-1 gene:CCACVL1_30267 transcript:OMO50764 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MADMEEKVGGMLQIIDDEGDSFAARAEMYYKKRPELVSIVEESYRAYRALAERYDHLSKDLQSANRTIASVFPEQVPYAMDEEDEENGSHTSTSSTSHSPEGVPRPGIPKAPFLKKDFRSHSILTTRQRQPRRASTSSKAVPFTSSGLSKEEALEEIDSLQKDILELQTEMEFVKSSYEHGYQKFCEIENQISEKQKRVCSLQDEFGIEAVIDDDEARTLMASRALKSCQETLAKLRKKHEQSTEEARAESRRIKQVNNKFEALRNMFDPTQSNEQGMSTEEIDDMVFEIDSVEKERQDLELLQKEIEQQLEMNSALFTISQLAEKIDDLVQRVINLETAVFSENALVQRLRSDADKLQAYVKSLEEDKEALMAGSENMNKRMTDMEEELSKVKDLVKTLVEQNNTFKTHFTEASCNINHLSVKLQSVKMDEEVEHAELSHESDGKADIMSTGIEMEGKEKGVSVEGESCNASEYDSKYDADSKKGLESSEEDKFDKKYFSETASSAPDTDMEDLGTDEEEEHPNWRQLYLSGLEDREKILLDEYSHVLHNYKDVRKKLNDVEKKNRDGFFELALQIGELKKALASRDGEIQALRQQRNFTDENKDMSPGGQEGPRLSASLESTLTESMLTSPMSSVQNEMQLKHASQSHSASSVEDRIRTEIDNILEENLEFWLRFSTSFHQISKYQTSIQDLKAEITKMREKMRQEGSGSARHKQYLTSSDARPIYSHLREIKTELTLWLENNAVLKDEVQGRYSSLCNIQEEIARVTNVSGPAGETELSGYQAAKFQGEVLNMKQENNKVADELKSGFERVRQLTVDVEKVLASLEKQLAPVSGQKTENSGSNSKSRPRIPLRSFLFGIKLKNKRLMKGPSMFACGHPMLQKQLSYIAEPPEQPSQ >OMO50765 pep supercontig:CCACVL1_1.0:contig16058:10529:11902:-1 gene:CCACVL1_30268 transcript:OMO50765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRRVKRKWHSREERKIDREYDVVLVPSDGGCVSGSESDGSDYSIGWLEPHGPGFHSDDDSDNSFAVLVPCYGHSQHNMVEDSKNKILGAIVNIPDNYSADKLTYWTFEECI >OMO50767 pep supercontig:CCACVL1_1.0:contig16058:21066:24969:-1 gene:CCACVL1_30270 transcript:OMO50767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPNRRLKQKSGSDSSSSSPLIVSGDKENQDKRIRDLELENEALKREIEELKSKRTDVTPAPCVGVQKLKDYPQNSKTFAGKVGELKKQGIQPQLSTQKMRPDEMSKQFLDEIHKLKFQKVQLQCKMKLESMQFRLCKASLEKEILQLKKEQRRYKYEMHMLSSLKQRQKHVLQRKTKEAFVAAKRLKELIESRKASSGKGAGAKNGSKSGTQGIEHDFEVKMKLDEVCSKYEHQIEKMVDEIKGLKLELEMQREEKSRSPDNEAVVNESELTDLREEVAQLSRMVSQMNMSKPEPIQAENSQDDSVQTFISIGSNILSSDMDTSESEHSKETIAMTEKLSGVCCSCSKRSSCKTLKCECLAAGGSCGMSCNCAIARCTNREKVSLKSDEMPQPEMTEDITPGNIEKEDIIQDAMLLQNKVVEKLPEMNEDHGSRQPLRDIANTVMTGVPQTKAVGGKLGPRKKGKKA >OMO50763 pep supercontig:CCACVL1_1.0:contig16058:628:5155:1 gene:CCACVL1_30266 transcript:OMO50763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEKKAKKQKRGSISEEDVSIILQRYTATTILALLNEISQNADVELNWNALVNKTSTGISNAREYQMLWRHLAYRDELVEKFEDGAEPLDDDSDLEYELEPFPFSSEATAEAAACVKVLIASGFPSDSSLQNSSTVEAPLTINIPNGQSFRASSDNSHPSSSMRGMNITVPVSVQRQIVPAVTSAEPSLEGNGPGSANLPPRRKRKPWSKAEDMELFAAVQKYGVGNWAAMLRGGDFKGERTTSQLSQRWAIIKKRFNLNLEATSAASQLSEAQLATRSALFIALDMQNLTAGCTNNPGLKTAPSNSALPTTSGEALGLAQSQQGHVASVQAQTQPQQGPSTSVSAKNQPQKGPNTALPSQNQPQQGPTTSVSAKNQPQKGPNTALPSQNQPQQGPIASDLPQNQPQKGPITALPAQTHPQKGPITALPAQTQPQKGTITALPAQTQPQKVATTALPGQSQPQQGPSTSVLTNNQPQKGPSTALPSQNLSWQGSVASVQVPNQSQQGPVTTKTSPQASLAGPWKCRAMKKPAAKPFSFIDATAVAAGARIADPRRAASFLKAAQSKHAIHIMTSGASSVKPLMPSGTSEVHSNVQCVSADLTAEPVSSPVATSSTLHPGSVRSAIQRAEDTPSPSSSLNVSIQQSNAVTSSPTVEGLVNEEPEAAGEDKGSVSDSLPRETIPENRACVPQNDTGEAVDKNEPAVSNQESDSKNHEGEIRVSVSDSLHKELVQENGACMPRNEPGEGVKEHKPPTSNEECESKDLEAVAECPNEKPLVEGNQVDVAVNLGEQSQK >OMO50766 pep supercontig:CCACVL1_1.0:contig16058:17337:20371:1 gene:CCACVL1_30269 transcript:OMO50766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fumarate lyase MESLLSPSSSPNSFFSSPSTFVSNQSVPNPRPILPLLHRAKPRFEPLCCTMSHSSETDTKPKETKLWGGRFEESVTDIVEKFTESISFDKQLYKHDIMGSKAHASMLAKQGLISVSDRDIILQGLDEIERRIEAGDFVWRTDREDVHMNIEAALTDLVGEPAKKLHTARSRNDQVLTDFRLWCRDAIDRIVASVRHLQVALVKLALNNEGLIIPGYTHLQRAQPVLLQHLLLAYVEQLDRDAGRLLDCRARLNFCPLGACALAGTGLPIDRFMTSDSLGFTAPMRNSIDAVSDRDFVLEFLSANSIIAIHLSRLGEEWVLWASEEFGFITPSDSVSTGSSIMPQKKNPDPMELVRGKSARVIGDLVTLLTLCKGLPLAYNRDLQEDKEPTFDSVKTIVGMLEVSAEFAQNITFNGERIQKALPAGYLDATTLADYLVNKGIPFRTSHDIVGRAVALCVSKNCQLQDLSLDLLKSLNPVFDNDVYEFLGVENAVKKFRSYGSTGSACVADQLGYWVTKLEINQISLH >OMO81703 pep supercontig:CCACVL1_1.0:contig10120:9140:11525:1 gene:CCACVL1_12277 transcript:OMO81703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLGPKPQNLERTDKCKHFRKQNNIEAPLQD >OMO81702 pep supercontig:CCACVL1_1.0:contig10120:326:1601:-1 gene:CCACVL1_12276 transcript:OMO81702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCATPGSPVESKKKGKNKNKANPFYGDDYAVSNGSATTFKLRVLKDPTGQDISANYDLGRELGRGEFGVTYLCTDVNSGEKYACKSISKKKLRTAVDIEDVRREVQIMKHLPKHPNIVSLKDTYEDDDAVHIVMELCEGGELFDRIVARGHYTERAAAGVMKTIVEVVQMCHKHGVMHRDLKPENFLFGNKKETAPLKAIDFGLSVFFKPGI >OMO81705 pep supercontig:CCACVL1_1.0:contig10120:23970:33038:1 gene:CCACVL1_12279 transcript:OMO81705 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transporter arsB isoform 1 protein MGILPATILGVVLNALFLICMFWNLSSVKKDEEVSVAEYVNGDSVHFSLSSYGAFERFPNLAHNSARLLHPGEPRPARWHKSIHAPLKLHVKTDCRGIVDALQIKDLSSENDLVRYLFHWWLAPYDLHRIANKIKNSCLKPSRRKRLSTLTTDVSEKEIVTVVTPHENYWDEHLEIQLPNLYGFEKKKTESDISETEIVTASYSIRDPAGVLVITARNYSYSIDAPLKLHVKTDCRGIVDALQIKILSSENDLVRWLAPYDLHLIANKIKNSCLKPSRTKSSILLLRESELKLGLVTLQLEFLGVWNGMKWSHEYLKSIHAPLKLHVKTDCRGIVDALQIKDLNSENDLVSGGVGDFSSKLLLRESELKLGLVTLQLEFLGVWNGMKWSHEYLKSIHAPLKLHVMTYCRGFINALQIKDLSSENDLVRWLAPYDLHLIANKIKNSCLKPSRTKRLSTLTTDVSGKEIVTAGYSTRE >OMO81704 pep supercontig:CCACVL1_1.0:contig10120:12964:14877:-1 gene:CCACVL1_12278 transcript:OMO81704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMEGARKERGYEVIEELAVLNR >OMO61379 pep supercontig:CCACVL1_1.0:contig13503:10354:10568:-1 gene:CCACVL1_23569 transcript:OMO61379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQNFSTVALAHGLRTSVKAKKKP >OMO61378 pep supercontig:CCACVL1_1.0:contig13503:7241:8752:-1 gene:CCACVL1_23568 transcript:OMO61378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSRLQGCAKVEQQHIASTNSFRE >OMO61377 pep supercontig:CCACVL1_1.0:contig13503:3638:5039:1 gene:CCACVL1_23567 transcript:OMO61377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MATFPVIDYGKLNGEERAVIMDQIKDACENWGFFELLNHGIPHEFLDTVERLTKEHYKKCMEQRFKELVASKALEGLEAEVTDMDWESTFYLRHLPQSNMAEIPDLTDEYRKVMKEFALKLEKLAEELLDLLCENLGLEKGYLKKAFYGPKGSPTFGTKVSNYPPCPKPDKIKGLRAHTDAGGIILLFQDAKVSGLQLLKDGEWIDVPPMRHSIVINLGDQLEVITNGKYKSVEHRVIAQTDGARMSIASFYNPGSEAVIYPAPSLIEKEAEEKKQIYPRFVFEDYMKLYAALKFQAKEPRFEAMKAVETTVPVATV >OMP11398 pep supercontig:CCACVL1_1.0:contig01325:254:2205:1 gene:CCACVL1_00540 transcript:OMP11398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAKCHRNDIGSVVLDRPSTTGNHFRRWTAFSGSAFRRKIVDAVSCGASSRHRHQLMQEVLNDTLPEPAPTTTIKSVLKEYNEPKKPDKVVKKPINNGKSEKLADLLNLAEVETDAETKRKVEALEELKRVVKELQVEEEEKKRKAASKVRLLTKEDSEARVTLAMLGSIPPLVAMLDFEDSDSQIAALYALLNLGIGNDVNKAAIVKAGAVHKMLKLIESPNAPSQSVSDAIVANFLGLSALDSNKPIIGSSGAIPFLVKTLKILDRKSSSQARQDALRALYNLSISPSNISFIMEADLIPFLLNALGDMEISERILSILSNVVSTPEGRKGISSSPEAFPILVDVLNWNDSPGCQEKASYILMVMAHKAYGDRQAMIEAGIVSSLLELTLLGSTLAQKRASRILEVLRVDKGKQVSENFGGANMSAAVSAPICGSSSSTNPNGKECLVEEAGMMSEEKKAVKQLVQQSLQNNMRRIVNRANLPQDFVPSEHFKALTSSSTSKSLPF >OMO86208 pep supercontig:CCACVL1_1.0:contig09518:11395:18503:-1 gene:CCACVL1_09729 transcript:OMO86208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class V/Cysteine desulfurase MASSLHTHTHTQPQGQTPAPNAMNGTDPKSHLPKKPRLHSHTPPHSQPSNSIVPSDILSEFSHHDPSVARINNGSFGCCPSSVLSAQQHLQLQWLRQPDHFYYNQLQPGILRSRNIIKDIINADHVDEVSIVDNATTAAAIVLQHIAWAFAEGRFLPGDAAVMLHYAYGAVKKSVEAYVTRAGGYVIEVPLPFPVNSVDEIVQEFRKALELGKQNGRRVRLAVIDHVTSMPTVVIPVKELVKICREEGVDQVFVDAAHGIGCVDVDMKEIGADFYTSNLHKWFFCPPSVAFLYCRSSVKSSELHHPVVSHEYGNGLAIESAWIGTRDYSAQLVVPQVLEFINRFEGGIDGIKIRNHDAVVEMGEMLVKAWGTHLGCPLEMCSSMVMVGLPACLGISSDEDALKLRTYLRDKFHVEVPIYYREPKDGELKNVKVAVVGGVQMNKEPKSQSNEDGMVQLLSWFRIRGYPKSPFSFPPNSKVMATTKIIAICQSGGEFETHKDGSLSYTGGDAHAIDIDDQMKFNDFKMEVSEMFNCSIDTMSIKYFLPGNKKTLITVSNDKDLKRMIKFHGDSLTADVYIIMEDIVAPDVSNMPASRSSRTTLSEAVPPLDPPLDVVDNIVDNNTRPSHPLGASIDGVDHIDEHMDLPPDLLPILPLSVSYNEKHAIGAQQWQNMITGVGQRFSGVHEFRESLRKYAIAHQFAFRYKKNDSHRVTVKCKAEGCPWRIHASRLSTTQLICIKKMNPTHTCEGAALTTGHQATRSWVASIIKEKLKVFPNYKPKDIVNDIKQEYGIQLNYFQAWRGKEIAKEQLQGSYKDAYSQLPFFCNRIMETNPGSLAVFTTKEDSSFHRLFISFHASLSGFLQGSRPLLFLDSIPLKSKYQGTLLAATAADGDDNVFPVAFAVVDAETDDNWHWFLLQLKSALSTSCPITFVADRQKGLRESISEIFKGSYHGYCLRYLTEQLIRDLKGQFSHEVKRLMIEDLYAAALAPKSEGFQRSIESIKSISLEAYNWIIQSEPQNWANSFFQGARYNHVTSNFGELFYSWASDANELPITQMVDLIRRKIMELIYTRRADCDQWLTRLTPSMEERLEKESLKVRPLQVLLTSGSIFEVRGESIEVVDMDRWDCSCKSWQLTGLPCCHTIAVISCMGRSPYDYCSRYFTTESYRLTYAESVQPIPDVDKPLHKDSSQSLVTVTPPPTRRPPGRPTTKKVGSQEVMKRQLQCSRCKGLGHNKSTCKEIM >OMO86210 pep supercontig:CCACVL1_1.0:contig09518:25352:27800:-1 gene:CCACVL1_09731 transcript:OMO86210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRQYTSAQVTNIRDKQQHHEELAYQDSLIEDLAEDFRLPINHKPTENVDLDNVEQATLDTRLNSSNIGFRLLQKMGWKGKGLGKDEQGIIEPIRSGIRDPKLGIGKQEEDDFFTAEENIQRRKLDIEVEETEEHAKKREVLAEREQKIQTEVKEIRKVFYCELCNKQYKLAMEFERFKEMRELHGSSSRDDRLKREQQRQEKEMAKFAQMAGAHKQRQQQEPSEESGPATASAPVTATALADQDQRKALKFGFSSKSSTSKNALGSAVKKPKVAVASVFGNDSDDE >OMO86209 pep supercontig:CCACVL1_1.0:contig09518:21653:24621:1 gene:CCACVL1_09730 transcript:OMO86209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MGRKLVVVTCCLWIVTFTCLLLPSASASASASAGLSRISLKKQRLDLHGLMAARLAVIMSGQDMMVASSEGQEVMPLKNYLGAQYYGVIGIGSPPQNFTVIFDTGSSNLWVPSSKCFFSIACYFHSKYKSSRSSTYTKNGKSCEINYGSGSISGFFSQDNVKVGGLVVKDQAFIEATREGSVIFILAKFDGILGLGFQEISMGNATPVWYNMLKQDLVRDDVFSIWLNKDPLGQEGGEIVFGGVDPKHYKGKHTYVPVTGKGYWQFDMGDFLIGNRSTGVCVGGCAAIVDSGTSLLAGPTPVITEINHAIGAQGFISAECKEVVSEYGELIWELLISGVQANKVCTQIGLCPIIGIQYMSGIKTVVDKEDKLVCMTCQMTVIWIQNQLRQEETKDKILDYVNELCESLPSPTGDSVIDCAKIARMPNVTFTIGDKPFKLTPQQYVVKTGKDGATICMSGFTALDVPPPRGPLWILGDVFMAVYHTVFDYGNLEIGFAEAA >OMO86207 pep supercontig:CCACVL1_1.0:contig09518:9012:9758:-1 gene:CCACVL1_09728 transcript:OMO86207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTLSRNNIGINLPAATATPTPTPSAKPNNPIPTNPSKECGNCGSHSGYFLHQVRLLGILRRLCTSCVLRLHPGSFCPACFEFYNGSPPQHPNRIACSNCSSLAHSHCAGGTFLSSYLCPPCNCKDSSFSFFPLKDNKIDKTLALPLLCAAKIAASSMEKAAIAARAEAVKKAHDAVLARKRATEALEHLLYVTIQQEKARYKENENAKVEDMDVNNGDGDGDGDGDIDVDLVRHIEDSLVKDEPID >OMO72492 pep supercontig:CCACVL1_1.0:contig11440:21508:21582:-1 gene:CCACVL1_17765 transcript:OMO72492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPKEFIVNASGIDNVYMRHGRL >OMO72490 pep supercontig:CCACVL1_1.0:contig11440:8410:8517:1 gene:CCACVL1_17763 transcript:OMO72490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIADRGISIDLSDDEGWPTKSTRKPGASASRHG >OMO72489 pep supercontig:CCACVL1_1.0:contig11440:6831:7429:1 gene:CCACVL1_17762 transcript:OMO72489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPVAASDRYSVHDKFRRQWSKRWVNKGETHQEILGKSRYRWPSYHRVLELIPDYESGIIIALQVGSIHR >OMO72491 pep supercontig:CCACVL1_1.0:contig11440:12831:18651:1 gene:CCACVL1_17764 transcript:OMO72491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQSGYQWISWHHVPEFIMDDEACIEITLQVGLDPEEAAGEAWYKTNISDSDYEEFEKYSKWLGVSL >OMO72488 pep supercontig:CCACVL1_1.0:contig11440:3309:5591:1 gene:CCACVL1_17761 transcript:OMO72488 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MAFLRLGSKSEVFHRDGYSWICTSGLPSDVTINIGELSFHLHKFPLLSRSGLLEKLIEESSNDDDGSSCSLRLDDLPGGAKAFEVISKFCYGVKIDLTALNVVSIRCAAEYLKMTDEYGDGNLVMQTESFFNEVLGNWADSIRALETCEEVMPYAEQLHIVSRCIDSLSLKACADPSLFNWPLPGRESKPSPDSGLWNGISTATRPQPTGEDWWYEDVSFLSLPLYKRLILAIEAKGMRPESIAASVVHYARRYLPLMNRQSSFDDTNHLNPGTAISNPSEADQRALLEEIVGLLPNKKGVTSTKFLIRLLRTGMVLHLSPSCRENLERKVGAQLDQAALVDLLIPNMGYSETLYDIDCVQRILDHFMLAEQAAAMATPPCIVEEGQLVSGPDALSTPMTMVATLMDGFLAEVAPDVNFKLPKFEALAATIPDYARPLDDGLYHAIDVYLKAHPWVTDIEREQLCRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSISSWFFVPENLENSQNHSGNIGLLKNEGSHPIESAQDCAAGGEGDVKNRVSELEKECLSMKEELQKLVKTKRSWKSFTRRLGFNKKSHSCCPKGSKPTNLRAQTSSVNRQQQNHENIEVVPGPLKVN >OMO72493 pep supercontig:CCACVL1_1.0:contig11440:25952:28477:1 gene:CCACVL1_17766 transcript:OMO72493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSSSSSLPDSSSRHYTYSRKQKSLGVLCSNFLTLYNKDGIDLIGLDEAAARLGVERRRIYDIVNVLESVGVLTRRAKNRYTWKGFGAIPKALQDLKTEMISLDDAAKLLLGNAHNTSIMRTKVRRLYDIANVLSSMNLIEKTHTIDTRKPAFRWLGYGENSEKRALALALDESRKRAFGTDVTNICSKRNKGDQISNDANRFMKMQKKIKVENMVTVAVADRSCLEDSKQGPKSYQFGPFAPVNLANIGNSENNVRRAHDLESLASTYRPQYQNQALSDLFSHYKEAWKSWYTEVAGKKPVQQIS >OMO53144 pep supercontig:CCACVL1_1.0:contig15313:12059:15317:1 gene:CCACVL1_28857 transcript:OMO53144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding, tRNA/helicase-type MAKSVTPDAISTILANPSPDSSSDLPEIIVQVLDLKLTGNRYTFNASDGKMKVRAIFPSNMSSEIIAGSIQNKGLVRILHYTLNDIPNKSEKYLIVIKCEVVAPALETEITAEVNTEESEVVLKKPKLENEIKDEVKTEGAGIVLKPKQEMVSKSAAQIIYEQRENMAPSTRMAMSRRVHPLVSLNPYQGNWTIKVRLTSKGNMRTYKNARGEGCVFNVELTDEDGTQIQATMFNEAARKFFDKFQLGKVYYISKGTLKLANKQFKTVKNDYEMTLNENSMVEEASNEESFIPETKFNFVPIDQLGPYVNGRELVDIIGVVQSVGAISNVKRKIDNENIPKRDMTVADDTKRTVVVSLWNELANTVGQELFDMADKSPIVAIKSLKVGDFQGVSLSTLGKSTVLINPDIPEAKKLKAWYDSEGKVSSMASIGSGLSPSSKGGARSMYSDRISLSHITGNPSLGEDKPAFFSIKAFISLIKPDQAMWYRACKTCNKKVTEAIGSGYWCEGCQKNDDECSLRYIMVSKISDASGEAWVSAFNEEAERIVGCPADELDKLKSNEEGNAYQQKLKDATWARHLFRVSVTQNEYNNEKRQRITVRAVAPIDFAEESRFLLEEIKKMRSTQ >OMO53143 pep supercontig:CCACVL1_1.0:contig15313:8424:9173:1 gene:CCACVL1_28856 transcript:OMO53143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGNVSGTNIESDSKTDKVVSPSPRNQDSHVSATENPSPSSAGATKLDNSPGTSTSNNGGIRTEEEMPVSSMPKSGDGSPMVTSPTQAADQQPSVASSPSPNSSRIPSYVFARNKSSGNMEWSVASNESLFSIQMGNASFTTDQMSWMSKSGELGYTFDPTFSGPLMDLPVSCQTPTRISTEQAIAKKSCNLNEGYGATEAAAAETMREVLREKEAEAKANTAKESAHSRNLSHTSDSSIKSFAFPM >OMO53146 pep supercontig:CCACVL1_1.0:contig15313:21764:22255:1 gene:CCACVL1_28859 transcript:OMO53146 gene_biotype:protein_coding transcript_biotype:protein_coding description:c-X-C motif chemokine 14-like protein MTSASICKLLDEEIGQEDKSNHLCLDKNKATLLAGGGTMLFKLVQVATIGDVGVEDDQKSNQNGMKISISICKTKEENPDKQMPHTEFKTVIVNHKCKQQYDGRCHLHSNKSSTANAAPIFSPILSPSSSPVIIYDDADKQSIKAQHKKPFHQQINKSSIFLR >OMO53145 pep supercontig:CCACVL1_1.0:contig15313:16010:20267:-1 gene:CCACVL1_28858 transcript:OMO53145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase MALTANFVPFLFLSLIAGCAARPFYPLPSKISIENRQPIQTSRPYNIAHRGSNGEIPEATAAAYMRAIEEGADFIETDILSSKDGVLVCFHDVTLDDTTDVKEHKEFANRKRTYEVQGVNTTGFFTVDFTLEELKKLRVKQRYIFRDQQYNGKFPIITFEEFISIALDAPRVVGIYPEIKNPVLINQRVKWPDGKRFEDKFVETLKKYGYKGSYLSNDWLKQPVFIQSFAPTSLVYISNLTDSPKVFLIDDVTIPTQDTNQSYWEITSDAYLDYIKDYVIGIGPWKDTVVPVKDNYLQTPTDLVARAHAHNLQVHPYTYRDENMFLHFDFHEDPYQEYDYWLNKIGVDGLFTDFTGSLHRFQEWTSPLSRHNSNNEGKASKILDKVAWLIASSRNG >OMO53141 pep supercontig:CCACVL1_1.0:contig15313:1733:3674:1 gene:CCACVL1_28854 transcript:OMO53141 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex protein Exo70 MDDGESAIPTYEGDLHVVAAAHHIVKALGATKNLSHDLRKILVDLDAHLSRITLNIDSKRGRGLFDVEDRLKQAERKIVSWESDPMMIWDSGPGEASEYLEAVDEIQTVIDGLRGLSVNGNRKQKELLHAESVLQMAMSRLEEELVHILIQHRQQFEPKYMSSRSVRRDVVYDESFVSIEDESPETSRRNCNSDESGECIVDLVHAHVIPHIKSIAKVMFTSGYGQEFCEAFIGVRKEALEQYFAILEMGKLSIEDVLNMEWASMSSEMHKWTWSMKIIFRVYLASEKRLCDQVLGDFGSVNPFCFLEISKSTILCLLNFGEAITMGPHQPEKVLRLLDMYETLADLLLSIDTLFSEEASSFVRIDFHELLDRLGGSAIAAFKAFCLAVSSNKSALPFPGGSVHPLNKYVMNFMRLFPEYCSTLNLLLKDQNADANPVPEPEYGQNISPSTSCPMACHLRSITSALESNLHKKSKLYKEEALQHVFLMNNIHYIVQKVKGSELRLFFGDEWIRKHNAKFQQHATNYERATWSSILSLLKDDNPGSSSMSKSTFRERCKGFSVAFEEGHQDHCAVHGNGKELRS >OMO53147 pep supercontig:CCACVL1_1.0:contig15313:22776:31344:-1 gene:CCACVL1_28860 transcript:OMO53147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVVRLERQEKRKVVEILVGDLKVFSTFNEELYKEITQLLTLNNFRDWMKKY >OMO53142 pep supercontig:CCACVL1_1.0:contig15313:5962:7131:-1 gene:CCACVL1_28855 transcript:OMO53142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A22A, presenilin MFLVVLLVSVLDSGSSASLSSIATIAYSETSSDSSWDKFLGALLNSLAFVAVVTVVTFVLVLLFYFRCTKFLKIYMGFSSFVVLGFMGGEIALFLIERFSVPIDCITFSVLLFNFAVVGVLAVFMSKMAIFVTQGYLVLIGMLVAYWFTLLPEWTTWVLLVALALYDLAAVLLPVGPLRLLVELAISRDEDIPALVYEARPVTHHDSGSGQNTIQTRVWRERRNVRQDLEDNSNSNGNVVSDSNSTVDTVQSGHNDTRIARVEEGQISERDGELSAPLLDHRVNGQDGESFLLESIGLGSSGAIKLGLGDFIFYSVLVGRAAMYDFMTVYACYLAIVAGLGVTLMLLALYQKALPALPVSIALGVLFYFLTRFLLEVFIVECSLNLVMF >OMO52667 pep supercontig:CCACVL1_1.0:contig15487:26280:28770:1 gene:CCACVL1_29126 transcript:OMO52667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MLKEETNDVGSGAGGNNWARVCDTCRSAACTVYCRADSAYLCGGCDARVHAANRVASRHERVWVCEACERAPAAFLCKADAASLCTTCDAEIHSANPLARRHQRVPILPISGSLYGSSATEQGGRKMASAAETEDGFMDLEGDDTIAEEDEDEAASWLLLNPRKNSTNQNNGYLFSGEVDEYLDLVEYNSSVENHFPDQYNQQQQHYSVPHKGYAGDSVVPVQSGEAKDHLQQQQHQSFQFGLDYESSKAAYSYNGSISHSVSVSSVDVGIVPESTMSDISISHARPPKGTIDLFSGPSVQMPTQLTPMDREARVLRYREKKKTRKFEKTIRYASRKAYAETRPRIKGRFAKRTDVEVEVDQMFSTTLMTEAGYGIVPSF >OMO52668 pep supercontig:CCACVL1_1.0:contig15487:29315:33904:-1 gene:CCACVL1_29127 transcript:OMO52668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QSKSHPKLSWDIRQKRPESRLANNVYVARNGYFKPLRIKEDLDFKVKGSRRADDIHRMEQTETPLLV >OMO52666 pep supercontig:CCACVL1_1.0:contig15487:18544:20027:-1 gene:CCACVL1_29125 transcript:OMO52666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPGKSDRISDLPWDVLNSIIVHLPLRDAARTSVLSRKWRHKWTSLSQFVIDDKCFPYSLPDKARWGEVRRIFDQVRSNHSGPIEKFKLAAYCHPDHSDLDEWIVFLTGKGIKELILQDFSFIRRFKLPSCLFSCPQLICLELHGCIFKLPPTFRGFNCLKRLQLTQVSIISETLDHLIHSCPVLERLTLLHIDHLAILRIHNPNLKYLKVDSEFEDLSLESSPLLASVDIRMIPMAGRTVCRQLEEGDFSNLIRVLSCLNGIKKLTLSSYFIKFLSNGSIPERFPTPLSNLLSLDLKEVRFNSFKDVAAFFSILRSSPNLEELIISVGHSNEVFRPVVDFLKEQCQYNFFFSHLKVVRIRGLYGTRPEWEFLKLILAHSPVLETLTIVKYGNERVPESLLLHVERASANLKITSLTL >OMO97798 pep supercontig:CCACVL1_1.0:contig07201:10322:12888:-1 gene:CCACVL1_04451 transcript:OMO97798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDITINIEPEKQSLLNQHKEKHFTAGEIVRDIIIGVSDGLTVPFALAAGLSGANASSSIVLTAGIAEVAAGAISMGLGGYLAAKSEADHYARELKREEEEIITVPETEAAEVAEILAEYGIEPHEYAPVVNALRKRPQAWLDFMMKFELGLEKPDPRRALQSAFTIAVSYILGGLVPLLPYMFISRAMDAVVASVVITIAALLIFGYAKGYFTGSKPVKSALQTAFIGAIASAAAFGMAKLIHP >OMO97802 pep supercontig:CCACVL1_1.0:contig07201:28973:32380:1 gene:CCACVL1_04455 transcript:OMO97802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRIKSSNKTMMPAAATTTMFVKKKLRSKLPRRRRSHIAPFFDRKQTTISIDSSFCYFDADEVSCDSSRVSNPKKRKFGESQRNEGIGDSEFRRITRSFSKGNEVEMSESSCVESNSGADFRFFAESNAKVKKASNNIKEIEKNDAVSVSLDAVTRSDISCVDLIPREISKLSSENKENDLVSVTSGFEVTSTSKFDDTASASIKENVNDVVDANFTVSYSESLVDQKPVSFSGLDSPHLACNEQFSLEEVVSDYSSSHETAFSELQSDLLFPESSDLDFSDYTPSLFYDSGSQFSEKSTYDSSISLTYSIFHEFKEQFCRSTSSLDPKFASRTEDERQLNPTVVRFDDEDDEESYKRLRERERRQVFLRDYAEEYRFTTDYGDLIVQQRSKMVRWIVEECSSKEFQQETLFLGVCLLDRFLSKGFFTTRNALQIVGIACLVLATRIEENQPYNSVRQKNFYIDGSTYSRNEVVAMEWLVMEVLNFQCFLPTIYNFLWFYLKAAKADAEVEKRAKYLALLALSDHEQLRYWPSTVAAGIVIMASMDGNQDSSYHQVIEIHMRSKDNDLPERMMV >OMO97796 pep supercontig:CCACVL1_1.0:contig07201:4240:5214:1 gene:CCACVL1_04449 transcript:OMO97796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7e MYTSRKKIHKDKDAEPTEFEETVAQALFDLENTNQEFKAELQDLFINSAVQMDVSGNRKAVVIYVPYRLRKAFRKVHLRLVRELEKKLSGKDVVLLATRRIVRPPKKGSAVQRPRTRTLTAVHDAMLEDLVHPAEIVGKRTRYQIDGSKIMKVFLDPKEKNNTEYKLATLAGVYRKLTGKDVVFEYPVAEA >OMO97801 pep supercontig:CCACVL1_1.0:contig07201:27386:27739:1 gene:CCACVL1_04454 transcript:OMO97801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14b/L23e MRTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIVASVKEAMPNGKVKKGKVVYGVVVRAAMQRGRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRQKKHVKILTLAQHIA >OMO97797 pep supercontig:CCACVL1_1.0:contig07201:8113:9036:1 gene:CCACVL1_04450 transcript:OMO97797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNITRRKNAQGSEQRKSSQQQPPPQQENSVASSENNEYIELWKEVENLKTDKNALMQELVKLSQHQDTAENKVLLLKDRLQGMEKSQQQLLSFLVMAMQSPGFLVQLIQPKENNWRMAEASNMLEQVTEDSEPVPSDNMIVRYQPSLDGTSKPVLIPKIASENPHESDTSSDGMKDFWMNIDFVKVLMDDSHTPFLPPDLHDDGAWEKLLLGNAFPENNNDVSMDEEEPSSSGLEMEVTGSGSHLEKSRSFELLLENLERSENLEIQPLVHGSQSENSQNLDLLTEQMGHLTSKSTGLHGSPRKGT >OMO97800 pep supercontig:CCACVL1_1.0:contig07201:19823:25913:-1 gene:CCACVL1_04453 transcript:OMO97800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLASIGTGSEGMQLCIFDLRRGQHEGQELDKILFFFPADLPFSTQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFYEAEPDIWMVMIVEKSKELETIWRIDALREVLKEIHCLFMMFHGSIRALLDKEPGGELTRAHLYPFIMDYLRDFLVGKKLQLPSFRDCLKERRTVQMLTVGREAAIEVQTLVRTLESCAGNTSCSSLILFQDLLVSTTLSPEDTINLFTYAVLRLTPHALSSGASSWSYLRKGNSSLPVTTGSTLVHSGSVSEQFYGSRDTSPAGENRYRITRPLQHDKWFKGKDGFLITDIWGVDAGSSNVTTPTVWLQQTEERMYLCAYQYKSLTLILLIPLSSILSGEQGLSVVKQQLLENASLKILKVEEKLSKGWGGENAYHVSGYRYLLVDGNRDISRASPPGKVTTLTKESLLALNRLREEVDSEKSRAKWDNPGHDKDMEVCIRAKNNAWAIARITGGKELYMVLEKANETLLYASEAVEKFSNSRPMEEDTTKTEEEEFNTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >OMO97799 pep supercontig:CCACVL1_1.0:contig07201:14329:15582:-1 gene:CCACVL1_04452 transcript:OMO97799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNNYIDPEKLTLLLEDQKESSKEIIVKHFKSSETVRDIVLGVSDGLTVPFALAAGLSSADVPSSLIVVAGIAEVVAGAISMGLGGYLAAKSEAEVYDSELKRKNQAIINDPELEAATTTEILSKHGIEPHESEPAIKALRRNPELWLDFLMKFELGLEKPDPLRGLISGVTIATSYVIGGSVPLLPYLVFPIAREALIASIVVTIIALMIFGLAKGYFTGSNPFKSAILTAFIGASASAAAYYLAKVIKH >OMO97803 pep supercontig:CCACVL1_1.0:contig07201:33469:36042:-1 gene:CCACVL1_04456 transcript:OMO97803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQIDHTNQSLGAIPQTNATTNWTINVSNIKTVKVSNISLAASERDITEFFSFSGDIQYVEMRRETENAQVAYVTFKDSQGADTAMLLTGATIVDLSVNITPVEDYELPPEALASNMEKKPAVTDSTVRKAEDVMSTMLAKGFFLGKDAINKAKAFDERHHLTSNASAAVTSFDQKTGLSEKLSIGTAVVNEKLKEMDERYQVSEMTKSALAVAEQKASSAGTALMSNRYVSTGASWLSNAFSAVAKAAEDVGVLTKEKVEKAEVEKKEIIYKERTDIINNFAQLHLDESPAAEPPLIPVNATDSKVGMV >OMP05232 pep supercontig:CCACVL1_1.0:contig05471:3390:3464:-1 gene:CCACVL1_01989 transcript:OMP05232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKKQIEVSLRSQSLLWKAEGST >OMO90064 pep supercontig:CCACVL1_1.0:contig08544:1438:7192:-1 gene:CCACVL1_07526 transcript:OMO90064 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MEDTDMGGNIWECWDSEKEGSKWPQLKVSKEEHESLCQPWKQTLIVKVLVRVRNPDDYNTIVSGGPWIVAGHYLTMRKWKPLFRPCQASITSTAVWVRFPGLPIEFFNRAKLTEAGNLLGRTIKVDKPTDSSSRGKYARVCVEVELGKPLIGGIMVGTFWQKVEYEGLDEICFDCGIYGHKTSECHQRIFKANNETTPPHESDVAVVENQGHNQEDPHQKPQFGPWMLVQKRNKKSPNKGVASSSRIGTTGEGSRFAPLETLGEEDAGNSGKTPVDKPSVNNITPDNNKFSIGNQQANPTIYKKTTRVKSRSVVPTPTNLDLSKVPVPPAFSNIPYPSSTLSPSSSTVNPSSVPSPPTLESNPPKVNTNEPADSDQIQVDTSTVANMDWSDPGEPRTDPSPSDCRRDCDEDGTESQRPTGSCGDLDFSPASMVKESGFQVNIRRKEGNLRLTTVYGSPTPSNREQLWDTLKELGESSNDSWVVGGDFNEIAAASEKYNFKDSDCQSQTLRFENAWMTDPDFKTILKQSWENHDAPIQSKLTKFAEVVRKWNKSSFGNIFQKKKRLLASLRGIQKARCNGENPFLVALEKELQHEYSEVLRQEELLWFQKVRTKWIQSGDRNTTYFHTLALVKRSRNRIRSLKDSEGNWVNDQATIKRMAVQHYKTLYTESLEESLRPDISVTFPRLEVNEIEELHKPVDDDEVKEAMFSIGPHKTPGPDGFQACFFQQNWDTVGEAVTKSVKEAFSSGEHPSKTCHELHPNDFISDFVEWRSYRGIQANKGITTGRSLSPYLFVLGMERLSHIIQHKIAMKQWKPVKISKNGPPISHLFFADDLVLFSAATPCQTKIVMVALKEFNLWTGQSVSLAKSKLCATKNTRKMDAKNLSNQTGIPLTSDLGKYLGVPLIQGRVSKKTYWHTVERVQEKFASWKINHLSLAGRTVLIKSAASPVPIYTMQTARLPLSVCDEIDKRSRGFLWGSSAEKRKIHLVNWEQVCKPKNQEGLDSELQEMSTWLYLQRWDGDSWKKMNKLWARVMTSKYLRKKEFLKVENKNGGSFGWRSILAGRETLLKGLKWRVGNGNLINFLHDKWVSDNKLIDTVVGLIGNWPWSLIFAVTAWRIWKWRNEGCFANKSYTVSTKLAIIGKILKEATDFSNRKMGQHMRREVLIGWEKPKEGQVKINTDGSWFQRTNEAAVGGVVRGSCGEWLLGFSQSVGECSIDLEELWDILQGLSLAWSRGFNDIVVESDSATSVDMIKKGVNKNHPHFCIIAAIQDYLSKEWTCQLHYIPRENNFVADWMAKNSSGRHEGIMIYNTPPAGVLNLLIADAVGVAFPRMVT >OMO81261 pep supercontig:CCACVL1_1.0:contig10221:16332:17743:-1 gene:CCACVL1_12513 transcript:OMO81261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MAFSSIQQQSYYSLLPDSDHMEDTLENNPPNANSAPVRNSRLNKHAFAASLLASTTSILLGYEILVGSLNVCSLIGSLAAGKTSDWIGRRWTIVLAAVTFLIGAVLMGFAPSYAYLMAGRVIAGIGVGYSLMIGPVYTTELSPATSRGFLSSLPELCITIGILLGYLANYFLANLPDHINWRIMLGAAGFPAIAIGLGVMLMPESPRWLVMQGRYDEASKILIKTSNTKEEADLRLEEMKMAVSNSETDENPDQFRGKGVWRELLLRPTRSLRRILIAAIGINFFMQATGNDAVVYYSPEVNQPVWAIVLSIVSVCADLSFFSIGLGPITWVYSSEIFPMKYRAQGNSIAVSINRVVSGVVSMTFLTIAHKITFAGVFFILAGIAAVGAIFFYFFLPETKGKNLEEIEALFEDKS >OMO81262 pep supercontig:CCACVL1_1.0:contig10221:18829:18996:-1 gene:CCACVL1_12514 transcript:OMO81262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEARLRVRNPPKTIAAPLLNNRNGFGFIFKNKKEKESVIYFLTEISSNTFSV >OMO81260 pep supercontig:CCACVL1_1.0:contig10221:6534:12712:-1 gene:CCACVL1_12512 transcript:OMO81260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MSGFFEACGSNAMATAFVDYGVKPTVRHVGYVFRFNKIVEDLREKIDQLKVTQASVEARVQDAENQIQTIDVVVEDWREKACTLQEDVEGFLQVKIQENKRCFNWCWRRYQLSKQAAQKSLSISGLLKKETEFKVIGHPADLPGITRLRSKGFMSFKSAHFTERQIMEALKDDGVNIIGVWGMGGVGKTTLVKEVGRKAEELKLFGQVVVVTVSQNPNIEKIQNTIAESLNSRLSNTTENGKAGQLWTRLQNEKSILIILDDLWKKLNLKAVGIPVDEHQHKGCKILLTTRSQQVCSLMGSGKVVQLNVLGKDEACQLFKSCAGLDDGTSPEILEVAAEVVKECKGLPIALSGLGKALKGARLHKWREASQSLKNSTLLDMEGVAEDDKNAYMCLKLSYDFLRLDNTKKCFLLCSLYPEDHSILIEELVRHAWGLEFFKGRNSIQEARNAVRTALDDLKACSLLLDNGEDWVKMHDMVRDVALWIGSPKQNHFVIKSELGAKEWPNNEKSEHCTAISFMDCDIKGTPEGLDYSELGFLSFSDGNLDEEKTMMLFSGASFEGMKSLKVLNLVGIKGSLSQDALKMLTNLRCLYLEYCKLNTYTSFSSLGNLKKLEILSFFGSDIEVLPDGVGELTSLRLLDLSYCRRLKRIPPNVMRRLSQLEELYLRLCGFKFDEWVVEGSTDAEVRNASLLELNELSHLTTLVLGVGDPERVPKDFVFPKLQNFSIGIGDYVHKNYPSRRCLNIHKAASFHAFKNLLGVVDVLELNNIVNCQSLDDARNYQHVPVTLSNLSVLKIQDMNCFRGLCNGQPPDGFLKKLETLEITKCGSLKSVFPASVTKNLKQLKSVKIVDCDMLEQIFEEMEGANDEVLQKLETLEIRGCSNLKSLFPPPVAKNLVQLKSVKITKCDVLEQIFEEMGGANDGVLSKLETLEICGSRSLKSVFPLGVAKMLVQLKSLNIDGCHKLEQIFEEVLEGANEGLQKLESLEIRRCSSLKSLFPPSVAEKLVQLKKLHIDECDMVEQIIEEMEVGDSEILSANTHLLPNLKTLSIGHCAKLECLIDTRKQHLPAIEVSNLEVLELTGMISMSSLNWVFNGDCPKGLLHKLQTLKIRGCSSITSLFPLSLARNLPQLKELVIEDCDMLEQIFEMVGANDEVLSKLQTLEIKNCGSLKSLFPLSLAQNLQQLKKLIIEDCDMLERLVMEDNDFDMLSNHHYHPRCFQELEELEISKCSKLEYVFPSSLVGNDLPRLKNLELTDLHELEQVIALGKNDSIRIGGGSDQLCNNNPVVAQGKENSSNMEYAVIGNYHVEEIFHLQESGNILSNLEWFQVENLPELRVIWRTPKQLVTLQNLEVVKVVGCNRLRYVFSPLLARNLPKLEYLEIKECEDLEQIVDTSSPEDHHDMQYSLSFPNLDNNEIESCHKLKYVFPISMVGDLQRLREISISKASKLERVFGSGEDKDHVHQVEKVPQLPQLRWLILEELPSLISFSSVDYHFLFPLLYQLKVSDCPQMTTTYSIDSQSRAHAKPQTQVSQQFKDSCIEDTAAAEETMWPRGSDIDWKQY >OMO88678 pep supercontig:CCACVL1_1.0:contig08972:3083:3199:1 gene:CCACVL1_08264 transcript:OMO88678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKGTNQGEPILRCRKQDPKDKSQKGNQEQTFKGSKR >OMO60247 pep supercontig:CCACVL1_1.0:contig13750:18695:29620:-1 gene:CCACVL1_24289 transcript:OMO60247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRRVIKKAKIVACSRSSKTYIPDEVIIFEILSRLSVESLGGIMRRVCNTWADAIGSPQFARTHLQLSNSKPGLFIQDWPKYVPYSHNPTKGARFFEFKDNGQVQITRLNPKKPYPGLILCSYQGLSVFSSPKQRYNNILDRTYNTWDRFLYVANPVMMDVVVQVPNCITSPNYYHTYGNYESVSVICFDKATGLQLKNDPSSFRGFGTIHNYVALVQHDYTALYSELCATYQANPIWQE >OMO60249 pep supercontig:CCACVL1_1.0:contig13750:47294:50514:-1 gene:CCACVL1_24291 transcript:OMO60249 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MTNSPSVLAQLVEKPGKEPPPRTSPSLKCPPINVPHVSCSLKRKRPPKIEIPNVLQEIQANALKVKDVTPQDDVVSFCGLGVGVFSVKGKKKFMEDTHKIVSCLHGNSNKAFFGVYDGHGGKKAAEFVAENLHNNIFEMLKNCKGNEEKEEAVKAGYLKTDEEFLKQKIPSGTCCVTALIEGEDVVVSNLGDCRAVLCRGGVAEALTTDHKAEREDERKRIENTGGYVEFHRGAWRVHGILSVSRSIGDAHLKDWVLADPDTKIFKLTPEMEFLVLASDGLWEEVSSQEAVDIVARVLGGKKLVAPGVISKENEDDFYGCVNVSPSSKIRRVSLVKQQKETCQSPRYNKHIDSEDDYACENGSPPSKLRRISQVKRISMKPDSPNQENNTKLVAPGVIGKENEDDYGCVNVSPSSKIRRVSLVKQQKETGLIKRKIIPYLKPDSPSQENNTKFMKKPSSSGLMAACKELVNLAVSRGSLDDITIMIIDLNQFRCNT >OMO60261 pep supercontig:CCACVL1_1.0:contig13750:140127:141506:-1 gene:CCACVL1_24303 transcript:OMO60261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAFRRLNGIRHVPDHDSLTENLKKSAAAATAVTAMTTTTATTATTTTGATTNKRSLKENGGTMRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDCAARAMRGIKARTNFIYPTTTTTEPLHSAANDSFLPPFNFSKQSQTSLRDLNGSRSQLGFSSNWTTSFGNSHGGDFSAGGGGGSATQRNNASLNMLLLRDLLNSSSNSSLGHSSHHSFVDQFPFINGTSTSVSSSSSSSLSSTFTTPSINLPTNTNTCFNIGSTMTLPLKENNSNHHSSANVVPAVAISNNSQADDQDMEFFPQEPSDSGLLHEIIQGFLPKPTSKKRLSNFSQDSMQVPPPPPPTDQMAAFTTQSQLGGLKKEIKSENLGFSINYNGVVPHPHHQQFESCYNFNGITTNSQVLPYVNDQMGAVGVGNHVQLGQDNCMLDDICFQYPDFMGAALAAARVQNA >OMO60255 pep supercontig:CCACVL1_1.0:contig13750:85164:87108:-1 gene:CCACVL1_24297 transcript:OMO60255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 17 MWWMMNEGGGHYCSKKTDDICGDVCGQDSGRLSMSRIRCILRGIDLKTLIFLFVMVPTCVLAIYVHGQKISYFLRPLWESPPKPFHDIPHYYHENVSMETLCSLHGWKVREFPRRVYDAVLFSNEVDMLTIRWQELYPYITQFVLLESNSTFTGIPKPLVFASHRDEFKFVEPRLTYGTIGGRFKKGENPFVEEALQRVALDQLLKIAGITDDDLLIMSDVDEIPSRHTINLLRWCEDIPQVLHLRLKNYLYSFEFLVDNNSWRASVHRYQTGKTRYAHYRQTDVILADAGWHCSFCFRRINEFIFKMKAYSHNDRVRFSHFLNPKRVQKKICTGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPSFLLENADKYKYLLPGNCLRESG >OMO60257 pep supercontig:CCACVL1_1.0:contig13750:111576:111680:-1 gene:CCACVL1_24299 transcript:OMO60257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGEGAREGPENIEMTQRLGRSGHGCTRQHKLN >OMO60251 pep supercontig:CCACVL1_1.0:contig13750:58657:58719:1 gene:CCACVL1_24293 transcript:OMO60251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVRSQEAASLRPRSPNVN >OMO60245 pep supercontig:CCACVL1_1.0:contig13750:8901:12428:1 gene:CCACVL1_24287 transcript:OMO60245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific eukaryotic initiation factor 4B MSKPWGGIGAWAAEAEREEAEEREAAAAAAAAAAAAPTAESQSFPSLKEAVREKPKKKKMTLSEFNMGSYSSSGGGGVGGGVRASEYSKLTPEEMMILPTRPKERSAEEMQFGRLGGGFSNYGRSGPPAGRVMRDREDSDGSWGSGRRQYGGFDEERRGPPSRVSDYDQPSRADEVDNWAMAKKPMPSFDSGRQSRYGGLGSGGGGGMSRADEVDNWTAGKRPIPTRSSTFGSGFRDSGPEPDRWTRGGGGGGFRDERPKLVLDPPRGEADEPVVKTNRPNPFGAARPREQVLAEKGLDWKKLDSEIDAKKVTSRPTSSHSSRPTSAQSSRSEGPQQQGIENAVKPRPKVNPFGDAKPREVLLEERGQDWRKIDLELERRRMERPETEEERTLKEEINNLKKELEKESTLESAGDQPTLRDTLIQKEKELDMLTQELDKLRIGQKALERPGSGANRTGSYPDRPPSQSGSIDGSRPVEYMDRPRSRGTADVWIRPADDHRRGGFHGGRDRGFLGNRDLDRSRSRDRW >OMO60260 pep supercontig:CCACVL1_1.0:contig13750:121513:129138:1 gene:CCACVL1_24302 transcript:OMO60260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocase inner membrane subunit MADIPEDPWNSIFTGSTIGGFLSMRQGLAASAQSALFGQVLLALIEKAGIMMNKVLANPQNMPIMIEDPGAAGAKTETTITTAKVSANMPPILLFSSLVILLSLLMPITFV >OMO60248 pep supercontig:CCACVL1_1.0:contig13750:44505:45500:-1 gene:CCACVL1_24290 transcript:OMO60248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDSIAIPACFSSSDHQIQRQADEPASVGRSGQSVFMSVYRTKLAGQCRLVTVTWCRNLLLHGLSVSVQGPEGEDHYRCKVELKPWYFWRKQGSKHFIVDGKTVDVVWDLKAAKFNGETEPRSDYYVAIVCEDEVVLLLGDQKKDAYRKTGCRPSLIEPILVSRREHVFGKKKFSTRVKFNDKGTFHDISVECNNSISSNSINSDGFDPVLDIRVDGKLAIQIKHLQWKFRGNESINVGRNGVEVYWDVHDWLFGSGPRHGLFIFNPIPSSSISPSSSSISAPSSPPSSSSSSMPLSYQEIMMNSSSSSSDYDNAGNSSRFCLFLYAWKVE >OMO60256 pep supercontig:CCACVL1_1.0:contig13750:95886:103368:1 gene:CCACVL1_24298 transcript:OMO60256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLYCRFGFEIVGKRNKKGNWSPLSRLLI >OMO60250 pep supercontig:CCACVL1_1.0:contig13750:52719:56454:1 gene:CCACVL1_24292 transcript:OMO60250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSAITPQLPLSPPNSSTNQTLPRGALHLASSPSPKPPPPPTTLPSLKPLSSTSLRHVSTTPSLPDDDESPQSQPSFVSSASAVSAAIRKASTSPVDFTQTIESNDPRNHKLVMPSPDFQRLCLEQLDLFRRVVEPDAILSVYVRPAGSYVMDQLELRRVTSYPGVKAADVVILVGNFTVPTGLRATEASLSSQQVEVIEEHRAVVFPMVKQPFVVGFLVAELPEMEDASHGSSAGEDVDLVSYPSPEEAYALSPPPPGLDVKRSWEMKSLEKQPLRKNDIFTADQRSNAINISRTIAMAYVMDQKSMLLQQSSWQNNVRMGALVEQIRGPLSSIRTLSKMLSTHVKRNEISYDIVEDILVQGERMRDTLQELQDAVYLTKANIVRHNEETLKKMHNSSHSRPESLRSQLSDDFSSNRLENSGVLLSLSTTDKDLELPMPPLTLAPVHKHEIRPCNVSDVLTDLVDAVRPLAHKQRRMVELSELSQSLQVAIEEPALRQALSNLIEGALLRTQVGGKVEIISTSAPAGGALLVIDDDGPDMHYMTQTHSLTPFGAELFSENMIEDNMTWNFVAGLTVAREILESYGCVVRVISPRSTDAALGAGGTRVELWLPSFAALSNTNNLSQEA >OMO60263 pep supercontig:CCACVL1_1.0:contig13750:159928:160879:-1 gene:CCACVL1_24306 transcript:OMO60263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MKRLLSLISQGLGLEKGCLEKKLGEKPQGRLQANYYPPCPDPELTLGLNVHTDICALTIVRPSEGVNGLQIIKDGKWVAVESIPNSFVINLGDQIQVLSNGRYKSVHHRVVTNKTQKRVSVATFYSPRSEAIIGPIEELIDEQNPALYRNYHYSEFLEEFFKQEGTRRMVKEVFEFKS >OMO60258 pep supercontig:CCACVL1_1.0:contig13750:115568:117985:1 gene:CCACVL1_24300 transcript:OMO60258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSRPDTFYTAEAVRSVSSEVSSDLIIQIKGNRYMLHKFPLLSKCLRLQRICSESPETSQHQIIQLPDFPGGIEAFELCAKFCYGITITLSAYNIVAARCAAEYLQMTEDVEKGNLIYKLEVFFNSCILHGWKDAIVTLQSTKAYPLWSEDLGITSRCIEAIATKVLTHPSKVSLSHSHSRRVRDDMSCNGAESQRHKPGTKGWWAEDMAELGIDLYWRTMIAIKSGGKIPSNLVGEALQIYASRWLPNISRHIKRANKEAAAGSDSDSDSAGEVTSKHRLLLESIVSLLPSEKGAVSCSFLLKLLKAGNILNASSSSKMELARRVALQLEEARVSDLLIPSLSYSSDTVYDVDIVITILEQFMLQGQSPPTSPPRSKLGFERRRRSRSAENIDLEFQESRRSSSASHSSKLKVAKLVDGYLQEIARDKNLPLSKFIAIAETIPDFSRPDHDDLYRAIDIYLKAHPDLNKSERKKLCRILDCKKLSVEACMHAAQNEKLPLRVVVQVLFFEQARAATAGGKVTELPGNIKALLAAHNIDPSRPPGPLSTTTSIQADDQWSISGLKSPKSRISTLRMKLAEDDDLDETDMQTDGMGRPSKFKAFCALPARPKKMFSKLLSMNRSTPSSEKN >OMO60253 pep supercontig:CCACVL1_1.0:contig13750:79466:79825:-1 gene:CCACVL1_24295 transcript:OMO60253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYASHKRHAKHPARISWGDTSYHEYQVYASTKEQMPYQSYHSSEYPNVEFISNKNYEDRLIDDAPRAEIVEFKYYPQIAKTTDKFQVNEDVDREAEDFIKFEHKKFARSNTWMSFKTD >OMO60259 pep supercontig:CCACVL1_1.0:contig13750:120695:121000:-1 gene:CCACVL1_24301 transcript:OMO60259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGQMSKKDDLKVDIPSETEMMSSVGVESHHQAAAAISGQMGVSSGSGLSRQTSITKTNNCLCSPTTHVGSFRCRLHRAPSLQRTKSIDSKPNSATATFH >OMO60262 pep supercontig:CCACVL1_1.0:contig13750:146563:146634:1 gene:CCACVL1_24305 transcript:OMO60262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEERALMEEVETPTRKKLKRK >OMO60254 pep supercontig:CCACVL1_1.0:contig13750:81336:81899:-1 gene:CCACVL1_24296 transcript:OMO60254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGYAIGQQQQQRYSMHQENWENGHHHQGHHLAAGMPVMQPDYFMESPPQHSSQSHQMMMFHGNHAMHQDSWHAQNMHQNGWQTENMRPQHCIPSPIPEKYGRFNGAYAISNAYPMVSNGGKYPNMTASSEYESFSSIQQVPATKTYEAMGYEHRNWGGHYRQSYRDGFNTPGNGCQSIDWVSKAL >OMO60246 pep supercontig:CCACVL1_1.0:contig13750:13238:16599:1 gene:CCACVL1_24288 transcript:OMO60246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVDFPHESFSMDTGRLSNRKESVMAVVVIVRVLLVASLLVIYFKLAGILCKREGEAP >OMO60252 pep supercontig:CCACVL1_1.0:contig13750:63458:75661:1 gene:CCACVL1_24294 transcript:OMO60252 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MGILTRSTVSRKPNESMRLIVTTFVGIVFGFLVGVSFPTLSLTKLNLSNSLLTTIDFAYTDYKKSGPSSSAQVSPPIGNNGNSVNATSEKIWVPSNPRGAERLPPGIVKAETDLYLRRLWGKPSEDLTSTPKYLVAFTVGYDQRQNIDAAVKKFSGNFTILLFHYDGKTTEWDEFEWSKQAIHVSVRRQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFDAEEYIKLVRKHGLEISQPGLEPNRGLTWQMTKRRGDREVHKETQEKPGWCNHPHLPPCAAFVEIMAPVFSRDAWRCVWYMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQSVPSLGNQGEAQQGKAPWQGVTMKETVWKAMKRIRRSGHVLGKIISEKTLFKGAVKTILRNLWHEDEAPVIGEAGNNTFSIFFASKELMEAAVRANPWSVMGSCFNLKEWPMDLPIGEMNFDEVSYWVQIHNLPRDMMSIRNAQIIGGNLGRILEIEEPKGRFGWNRSFLRMRVLVKAANPLTPGFWFPRGEDRKVWAEVKYEKLSDFCFSCGRLGHMSKHCKFDSPPNVVFGNSMRTGSARALMSPKKKRSNSWGGRLSDRSEQTSVVARSLYMPLVRERQEVSENTIHARGVELSTRPKEKGQSSGANFGGSYKPIPTDEHEKRKGMIIPISDKIRDRPGVADFVQDPVREVSESFGPNLNSEIANLSNFSWPNELLGLKSIEPDVEGYIDEPGCAELPGYEPIDSEIEEYPPEPGCAELRVISSPPMGTIVMHFYASPNRRPTETSETPQGKSVRKSPVISHSKMLSTIMSLSNVFRKLHLKRIEKEELSKEGGSKKARLAIMNDADVDAPGAGNELVPFMDNYSSCFKTGNELIAKVGRTVRKAKRRGRKPRASQNIGVIVEELVDVPIGAGSPLTFRALRGLKKDLDPDVVFLMETKNTVRKLEYRRRKLGFDYGEYVEANGLSGGLALWGKRKNCLRIRDKGRNFVDSWYCDDSGIPLFRVIWVYAPVDYYERQDLWRRIEVMVLENDNPCICLGDFNDILDQAEKLGGRRKSHRNIASFRDFISHCNFIDMPSQWQQFTWRCRRDGEIIQEKLDRVLANADWIAQNPKCKVINLSAIGSDHSPIVCYSEFKSLFSKRIFKFEAMWAEHPECYNVIKAGWDKNIEGSKAYQLVQKLKECERLLRAWSRKTFPNNRRAIEEIKTRIAAMQGKEMTDFDFRTVEQMVEQIDELWKKEEQYWFQRARVKWLCYGDQNTSFFHQTTLQRRQKNKVVKIKNRQGDWVDEEKDIRSCFLDFFKNLYTSSGPRDWREVLSYVPSLITPEMNQQLTRNFSVDEIKEATFQLGRHKSPGHDGFNGLFFQDYWNEVGKSITEAVQSFFHSGRILKEINRTFIVLIPKTNAPEEVSQYRPISLCNFVYKIISRLMVNRLKKWLEILITQNQSAFVEKRQIQDNIIVAHEAFHYLKMRKNKRKWELAIKLDMQKAYDRIEWDFLEAVMEKMGFADKWIKWVMQCVTTVSYSVVVNGEPDGNIKPTRGLRQGDPLSPYLFLLVADVLSRMIHKEAEDKNLSGMKLSRAGPEITHLLFADDSLFFLQGKMQNYDKLRDLIEKYCRASGQQVNLAKSSLIFSANASEDIMNEVSIRLGIPIASNLGTYLGIPATWGKTRKEAMHFVKERVFSRIQGWKQKMLSQGGREVLLKAVASAIPTYFMSCFKFPISLCNKMNTGMTRFWWGQQKEESRIHWQSWDKLTKAKDEGGMGCRQLELFNQALMAKQYWKIIQNPDALWVKVLKGIYFPNCDVTEARRGKVILNGGEEQCKSLRVKDLIDKEGGCWDLSPIEQWLTPKCRKAILAIPICRTGCCDKKIWHYEKNGKYTVRSGYHTLKATRERLLSRKITNGGLCPICQKEEETIEHLLTKCDWTAAVWFGALGYRFSGDNPQLFKDWFLSTLDSLNSGKDDTGMKIAFTSWFIRKASGISGFGVLIRDHSGNVVDGKVGSGQYSSALQAEAEAIREAATLALNHGSGKFIFESDYKELVNAILSDKCGRWEIDSILDDFRVSSAKLREVSVRWISRKMNAAADWLATKPNRECVLAIG >OMO78030 pep supercontig:CCACVL1_1.0:contig10648:64:1257:-1 gene:CCACVL1_14690 transcript:OMO78030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQKPHFCKIVLGLGIRYSILRFPRDFIRRQGEISSPVELKVADGCRFQVEVKRIQGELCMVNWRPFAEHYWMKHGHFLLFEYQGHSIFRVFIFDPSATEIDYGLFGVFEEETIPLQKAAAFQTDNPTFVVEMQPSYRVVLPTEFITKHLAEKKSSKVTLCAMDGKKWNASYFGYKTNKKYTRANIFDGWREFMQHYQLKLGDVCVKEYMDEDKNIVILQVSNGKTWVVDFSILSVPSGQQKPQFNGENWRKFAQYNHLDVGH >OMO78036 pep supercontig:CCACVL1_1.0:contig10648:103998:108050:1 gene:CCACVL1_14697 transcript:OMO78036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MERNGDRVESGFNPEQRGDDDDKNKEEMSVEEIFSRQEVPSWRNQLTFRAFAVSFVLSILFSFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKLLQKSGLLKQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSERIAQQAGDTGGFKNPSLGWIIGFLFVVSFLGLFSVVPLRKIMVIDFRLTYPSGTATAHLINSFHTPQGAKLAKKQVKTLGKFFSFSFLWGFFQWFFTAGDDCGFVNFPTLGLTAYQNKFYFDFSATYVGVGMICPYIINVSVLLGGILSWGLMWPLIETKKGDWYPAGLSSSNMHGLQGYKVFIAIAMILGDGLYNFFKVLTTTLIGLFKQIRGRQALPIANEPFPDTSKKLSYDDQRRTQLFLKDQIPTWFSVAGYVTIAVVSTIVLPHIFHELKWYYILVIYVFAPTLAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGSHGGILAGLAACGVMMNIVSTASDLMQDFKTGYLTLASPRSMFVSQVIGTAMGCIVSPCVFWLFYKAFKDLGLPDSQYPAPFAVVYRNMSVLGVQGFSALPKNCLLLCYVFFGAAILINFVKDRLGKKWGSFIPLPMAMAIPFYIGPYFAIDMCVGSLILFVWEKVNKAKAEAFAPAVASGLICGDGIWTLPSSILALAGVQPPICMKFLSRATNARVDKFLTPST >OMO78034 pep supercontig:CCACVL1_1.0:contig10648:56986:57588:1 gene:CCACVL1_14695 transcript:OMO78034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I3, Kunitz legume MILFLAGAALCFLICASIAAAAPDPVLDITGKILRTGTDYYILPVIRGRGGGLTLASTGNDTCPLDVVQEQLEVSKGVPVTFAPVNVKKGVVRVSTDQNIKFSGASICVQSTVWKLESLDQATQQWFVRTGGVEGNPGRETVDNWFKIEKYEKDYKLVFCPTVCDFCKVICRDVGVFIDHRGVRRLALTHVPFRVMFNKA >OMO78031 pep supercontig:CCACVL1_1.0:contig10648:2522:8646:1 gene:CCACVL1_14691 transcript:OMO78031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDRDKDVITWTAVISGYIKLGLIDEARKLFDRVDSKKNVVTWTAMLSGYMRSNQIVQAQRLFDEMPGKNVVSWNTMIHGYVQNGMVDEAFEVFNKMPERNVVSWNTMLTALTQCGRVEDARELFNKMPKRDVISWTAMVAGLAKNGRIDEARRVFDRMPERNIVSWNAMITGYSQNMKLDEAFELFQRMPQRDLSSWNVIITGFIQNGEVKRAEKLFEKMPCKNVVSWTTMITGYVQDGQSEEALKIFSKMLAEDGVKPNKGTFVSVLSACSDLAGLVEGQQVHQTIAKSVYQCSEIVVSALINMYSKCGELSTAKRIFDDGLISQRDVVSWNGMIAAYAHHGCGREAISLFNKMSDLGFKPNDVTYVALLSACSHSGMVEEGLRYFGELVKDRSVEVREDHYACLVDLFSRAGKLKEAYEFIVGLGTNPSVSVWEALLAGCHVHGDVDIGKLVAEKILEAEPGNTGTYLLLSNIYASKGVAAVAHAGKGGAGAVTKVVAAQRKVVDNPKPENVIVISSDEKTEQRRPAACGLTNKPNDSIEDIDGVDVATPLVPFLYGSITSLLVQNEGRVHDYMDLQPEINAKMRSILVDWLIEVHRKFELMAETFYLTINIVDRYLLMKVVPRKEFQLVGISAMLIACKYEEIWAPEVNDFVLYQATLMQASISWSWRKQYWKVKSGI >OMO78035 pep supercontig:CCACVL1_1.0:contig10648:61363:68030:1 gene:CCACVL1_14696 transcript:OMO78035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCALQRSHQDNQKISEVANANQSKTSLQVNDSRKSSEDSAISSFNLRNINQRCAIWTVPTLESDGQWRIIALPLQYFDHNNGFGSGSQVNMNGLHLVSSSSINPFMVDGEKAQKGAQPEVTFSAKPFRARSVSGSNMQYQFRNRTVANKMTKLNGMSNNSSYQNSITCNDSSVYMPKGSNATNPSAMFINCSENDKSMKGNSGKKAKKKGKHKKKHVSDIGSIESEVCSEYIRGSSASEFCGKNDVKCGIEVSCATSPEGAASPEVSPSNGLLKITDFADSRYGGITSLEPPNISTSDIDEVDISESVLPSQVQNSPMEHHVTNSVIGVEDYQFSRFERGIERTHPSSMASLDGMHQKDFSDMHDSLLLDSVSVGSDCEECMSGSHIVGPCIENLHKLSQPALPDSSSKKGSFYRQNSLCSIPETHDHVRDCSNCDVRMVASGKVGKQFKYVPGSSANCKIGTTGNLNGRMGTENSYSVWQRVQKNGLEKRNTELKKASPVCSPFDVTSKDAPLLKRSSNSSNEATLSRIDDKRKLKDKVPRKLKRKDSPASKQEKSSYCRKGSHPNKVNLYSHAKTSLQKNEIIDVLPNPNDQRVTKNVSRSRSQLSIARVETVKTEPVNNLEDSPSSVETCESVGDTALFLNNQYIENQNGLLKKPCAPLEPPNLHEVQSPVYLSHLMLNGVARTEKEVSLAESGKQSNGSGSVLQKWVPIGIKDPGFTTSARSSGLSLEHSNGSDGDSTFKNNFEEKVVPCSQHLSSSVTVRTMCSNGKGSGHGISSNESHFKQSRNLNSCSNTNENKHNGPKFLVDETKEQTSSVLAADFTKIARALNDAYRAQMASEAVQMSIGGPIAEFERLLHFSSPVICHSYSSAGCQTCLQDKVPGAPLCRHETPSIPLGCLWQWYEKHGSYGLEIRAEDYENPKRLGIDRFGFRAYFVPFLSAVQLFRNSKSRSTQNNTRVSSPGVSEACDIDFTSRNSTSVSHHRIFSVLVPQPRTSVSSHLQVNDVVRSQPSPVSDDMTWSDCLEPVFEYFESEQPQQRRALYEKIQELVTEDVSPRCKMYGDPVYLNSIDMHDLHPRSWYSVAWYPIYRIPDGNLRAAFLTYHSLGHLVRRSSKFDYPSMDTCIISPVVGLQSYNAQGECWFQPRHSPVNATSEIPGLSPSAILKERLRTLEETASLMARGVVSKGNQRSVNRQPDYEFFLSRQR >OMO78033 pep supercontig:CCACVL1_1.0:contig10648:54090:54677:1 gene:CCACVL1_14694 transcript:OMO78033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I3, Kunitz legume MMKTALMFLALSSLVIGLTIATSDPVLDISGQELQTGTDYYILPVIRGSGGGLTLGNEPCPLDVVQEQLEVSNGLPLTFSPVTNEDVVRLSTDLNIKFSAASTCVQTTLWTLQTDESIQKRAVTTGGVEGNPGKDTLSNWFNIQKYQDDYKLVFCPTVCNTCRPACGDLGIFIDDAGHRRLVISEEPFKVMFKRA >OMO78032 pep supercontig:CCACVL1_1.0:contig10648:38455:39060:1 gene:CCACVL1_14693 transcript:OMO78032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I3, Kunitz legume MKTSALILFLALSSLVIGLTIATSDPVLDISGQELQKGTDYYILPVIRGSGGGLTLGSTGDEPCPLDVVQEPLEVSNGTPLTFLPVTNEGVVRELTDLNIKFSDSIFTICIQPTVWTLHTDESTQKLTVTTGGVEGNPGQDTLSNWFRIEKYQDDYKLVFCPGVCDICRPVCGDLGIFIDHAGNRRLAIRDEPFKVMFKRA >OMO76423 pep supercontig:CCACVL1_1.0:contig10904:28349:30658:1 gene:CCACVL1_15663 transcript:OMO76423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKKRGSESLLAKSEAKTRLVDDFDPDLSSDLKGIMSALQQIKEKAQKDGQKKKEETISSVAAEIRSKLDELKSKCEKERQTFAKALSKSSKECENCLKNETAKFQEVYEKFCKEKAAHLQALKDTISKFEEDKERLFVRYEQLRKKEKGLISEQEKFGADKIAQLEDSLKKKKQDDKTFSILRKTLGSFLDNGSDEDFPPEDEGFN >OMO76437 pep supercontig:CCACVL1_1.0:contig10904:129146:129238:-1 gene:CCACVL1_15677 transcript:OMO76437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRLGAQAQEIDDREKQIISEKETIEGNF >OMO76447 pep supercontig:CCACVL1_1.0:contig10904:193267:196662:1 gene:CCACVL1_15689 transcript:OMO76447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALAVPLKDQKEKASFLSEIAKTEKQLWALIHSKGLLHSDVRDLYLKVCSSYESFILSDQQLIVLQDVEYSLWKLHYKHIDEFRKKTKRGSGNSEGATSAMATSGAGDKHVEEFKSFLRKATEFYKNLISKIRSHYGLPEESSLHKSGCNAASDEPMKLQKCHFLCHRFLVCLGDLARYMEQYEKSSVQKHNWSVAATYYLKATAIWPDSGNPQNQLAVLATYVGDEFLALYHCVRSLAVKEPFPDAFNNLDLLFERNRSCQLHSLSSEAQFDFLKPSERSNALVKGECDHSAERDFWQLLIRTLSFFFLKSSLEDFPCAFASTMKVLDMMMALDDVKLSAVLESYQLMDSARTGPFRAVQAVSIFIFVLDDLIKRSKDGKNKQHLELIQLALTATFIFMGRLVDRCLKATLLDSCPLLPAILIFVEWVVSMLDKVEAYGMDDKTRSSMSYFFASFVDLLRQFDARIGTLSHSRTALWEDYELRGFAPLAQIHVSLDFSTNWDHTDSYESGIKCRIQRIIDAAMKIANRSNGPCKWISIDSSGTKFYAKDTNVMPETPESGKVESTASDVNVKGLHQHCSEAKKESETQIVSENLSNHVVNGKSVAMEEEEVILFKPLTRYNSAPIYGLSNNGKDPASPKVTEENLPSDECLRRATSLLIAQNQAYGDTSDFHSDISNFRPSKPFNQQDHFVKDTTAFSFSEAAVSAGPPSLNAWVLNRGSLSSAEKGRTDISRQPLSPIEEIATSSLGGLSIHETEDSAASSRSEASTNHYSPPYSAPIPSAPLLPDDATWFNGTQSSFSEVKSSGYSNKPENFYDASRVNGYPNCPPLGQLNYGSAIPGFMDVYPPFSGMTSSEWLRQYRESRNLERTNSHARPMNHYTPGNPRNVPSTPGASSPYGLFDQYGVPSVSNPTIYTESSILHSGFPAVYGMDEPRREKLFNGYQRSSPYGCGVITELRDEPQPLLQYLKEKEWLLQQDPALRNPTYMGN >OMO76434 pep supercontig:CCACVL1_1.0:contig10904:99228:103439:1 gene:CCACVL1_15674 transcript:OMO76434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MAAAGTKEIFCSTSKVEIFSSKSLLPNHHQQNKTLSPNSTSLFNSCKRSVVQLRKVVSAATSAIPMAASQLLELDSTRAIPDIPVQFSVSAQVHLKYDTKENMKAMMLQWLDSGANNATNSSARGVFLQLVSTEVDPRTGEPKVSKEAVLDWSKDYLNLYSDKVSHEVQFVVDANFGVPGAILVTNKNQKEFYLESISIEGLVHFKCQSWVQPEKLHPEKRIFFSNKAYLPSETPVALKALRKEELKQLRGDGKGIRKLSDRIYDYDVYNDLGNPDKGSEHVRPVLGGQHRPYPRRCRTGRPFTKSDPKAETPATESMPMYVPRDEVFNDVKRQTVDAGSWKGMVNNFSLSLKIILPKKSSEFPIKLDKMFKESTSGAFKFDPPNIVSRDASCCLRDDEFGRLTLAGMNPISIERLKVFPPVSKLDPSIYGPQESALREEHIISHLDGMSVQQAIDGKKLFMLDYHDSYLPFLNSINAHPDRKAYATRTIFFLTQMGTLKPIAIELSLPQMNPYVPSKQVITPPVDATTCWQWQLAKAHVSSNDSGAHELIQHWLRTHACMEPFIIAAKRHLSVMHPIHKLLQPHMRYTMDINARARELLISAGGIIESLFSTKECSVEITSFAYKNWRFDMESLPADLIRRGVAEPDPTEPHGIKLLIEDYPYANDGLLIWSAIEKLVRDFVNYYYPDENRIRSDPELNAWYYESINKGHADLRHESWWPRLSTPEDLVSILTTLIWIASAEHAALNFGQYHYGGYVPARPSYMRRLVPNEHDPEYQTFLADPEGYFLSSLPSLKEMTFLMSVLDILSTHSPDEEYLGDRKDLSTWTGDPEIVEAFYRFSMDMRMVEKEIEKRNGNPKLRNRSGAGISPYELLVPSSGPGVTRRGVPNSISI >OMO76419 pep supercontig:CCACVL1_1.0:contig10904:8260:13012:-1 gene:CCACVL1_15659 transcript:OMO76419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTAKLLSKNLIQNGIVSRFYYTNRVNQATLHSRITPLKSERVEAELEDWLKNGNKISFAEFQRIVHFLRKRLRFPQALKVSEWMNKKGICTFSPTEHAVQLDLIGRVRGILSAEIYFHNLKDQDKTDKTYGALLNCYVRKRQTDKFFSHLQKMKELGFASSALTYNDIMWLYTKIGQHEKVPDVMREMKENKISPDNFSYRLCIISYGERSDLEGMERILIEMESQPHIKMDWNTYAVVGNFYIKAGRTEKAIDALKKSEQKLGKKGGTGYNQLISLYASLGNKAEVLRLWGLEKDACKRCTKRDFIIMLRSLVKLDEFEEAEKIIKEWESSGNYYDFQIPKIVIIGYAEKGLHEKAEAMLEDLKGKGQHTIADTWVVVAKGYLDKGQVRKAFECVKSSLSLTMESNGWRPNLRVVTPILDWLGDEGSLQEVEDFVASLRTVMPVHRRMVNKATLYSRISPLGSLKSVEPELEDWLKNGNKISFAEFQRIVRDLRKRRRFTQALEVSEWMNKKALCAFSPTEHAVQLDLIGRVRGFVSAESYFDNLKDQDKTEKTYGALLNCYVRQRQTDKSLSHLQKMKELGFASSPLTYNDIMCLYTNIGQHEKVPDVMREMKEKKISPDNFSYRICINSYGVKSDLEGMERILMEMESQPDIKMDWNTYAVAANFYIKAGLTEKAIDALKKSEPKLDKKDGTGYNQLISLYASLGNKAEVLRLWGLEKDACKRCINKDFITILQSLVKLDEFEEAEKIIKEWESSGNYYDFRVPNIVIIGYAEKGLHEKAEAMLEDLIGKGKGTTPNSWGVVATCYLDKGQVRKAFECMKAALSLTAVSKVWRPNLRVVTSILDWLGDEGSLQEVEDFVASLRTVMPVHRRMYVALLKANVRNGNGVDELLKLMKADKIDEDKETKAILSMKSC >OMO76435 pep supercontig:CCACVL1_1.0:contig10904:108389:110060:-1 gene:CCACVL1_15675 transcript:OMO76435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRTATPPPSSPSSSAFMVICLLHAVIALSSGALMMFHMKEIYTFTHGIETATKLLGSTPHDQLLIRTSDSFSGLLLFAIGFLLFMVSFVKDKEFQSFFAKGCTILHVFMAMWRVWFERRVEDLAWDWLRQTVGDIILALSWDDIPKSPARL >OMO76449 pep supercontig:CCACVL1_1.0:contig10904:198940:199269:-1 gene:CCACVL1_15692 transcript:OMO76449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRKEYKKSNGYEYVNVNCHAAHASASSRARRENTGIRKQRAINEEGISDSM >OMO76421 pep supercontig:CCACVL1_1.0:contig10904:20138:20836:-1 gene:CCACVL1_15661 transcript:OMO76421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MALKQQCYSQEWKTIPDASDSEDLNGSFACNICLEFAHEPIVTLCGHLYCWPCIYKWLHVQSASLESDEHPQCPVCKADISHTTMVPLYGRGKSASELRGKALNGGMTVPPRPPAFGTKALLSTTSANGQQLPYRNPYQTQNYYEEESSAPLLNFRGTALTGFHHPVVGMFGEMVYARVFGNSESLYTYPNSYHLTGSGSPRLRRHEMQADRSLHRVSIFLFCCFLLCLIVF >OMO76446 pep supercontig:CCACVL1_1.0:contig10904:188131:188484:-1 gene:CCACVL1_15688 transcript:OMO76446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MGSKVWLMFLLLALAMAAEASWDLAHFGNDDDFNNSCSGGTCSSSVEDLTEALMMDSEMNRRQLAGRKRFWSYDALKANSIPCNRRGNSYYNCQNRRRANPYNRGCTVITHCYRFTG >OMO76430 pep supercontig:CCACVL1_1.0:contig10904:66527:68276:-1 gene:CCACVL1_15670 transcript:OMO76430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPKPPIDLPLLLPPNPSKTHKFNPSSFFAFFFLLQIVRTSSLLPTQTPPEYSKYCNDVVPESPVEPTTIFSGPITKSLDFRIGYFTGGDSIFFQSNISADAPKGVAFYSLNSPDTLYNSKTHIFKVKGKLGLQVPRSYFVSSSSDGVLNPRRGLRRRFRVRAPKIPVMGRGMPSFSLSGYWSETTGRLCMVGSGVSNRNADSKGESAWGFANPLFVADHLYQHQPYGLFPSALREYMIEIKVFCAW >OMO76448 pep supercontig:CCACVL1_1.0:contig10904:197280:197643:1 gene:CCACVL1_15691 transcript:OMO76448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKQAAGGEISSAQAVLLGALAPGVNGPTWNTLKSTFLMLGLCLALMLGLAFSSSDSSLILHVAFLVLITITLFLLLN >OMO76428 pep supercontig:CCACVL1_1.0:contig10904:59048:64393:1 gene:CCACVL1_15668 transcript:OMO76428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 48 MSALRQRPVPTRGRGGDPRSQQPPPPPPMQEIYNIIPVHDLLADHPSHRYPEVRAVSAALLRPTLNLPKPPFVSWDPRMDLMDWLGVSFGFQKDNVRNQREHLVLHLANSQMRLQPPPTNPDELDPTVLRGFRKKLLQNYTLWCSFLGVKPHLHLSTRRANSNDLIRELLYVSLYLLIWGEAGNLRFCPELLSYIFHHMAMELNKVLKEDIDEFTGRPYVPSISGDCAFLKCIVMPFYRTIKTEVESSRNGTAPHSAWRNYDDINEYFWSKRCFRSLKWPIDYGSNFFDTAPKNVRVGKTGFVEQRSFWNVFRSFDRLWILLILFFQASIIVAWTETKYPWDALARRDVQVELLTVFITWAGLRLVQSVLDAGTQYSLVSRETLWLGIRMVLKSMAALTWIVVFAVFYKRIWSQKNDDGQWSREANGRIITFLEAVFVFIIPELLSLLLFVIPWVRNWIEGLDWMVVSWLTWWFHTRIFVGRGLREGLVDNIRSMEQLRLRFQFFASAMQFNLMPEDQLLSPKATLVRKLRDAIHLLKLRYGLGQPYKKIESSQVEATRFALIWNEIVISLREEDLISDREVELMELPPNCWNIRVIRWPCVLLCNELLLALSQAEELADAPDIWLWLKICKNEYGRCSVIEAYDSVKYLLLTIVKYGTEEYSIVLKMFQEIDLYVQEGKLTSAYKMAVLPKIHDKLASLVDLLVKQKNDPSQAVNLLQALYELCIREFPKMKRSIAQLREGGLAPRNPGTDEGLLFENAINFPDAEDADFYKQLRRLQTILTSKDSMHNIPLNFEARRRIAFFSNSLFMNMPHAPNVEKMMAFSVLTPYYDEEVLYKKGMLQDENEDGISTLFYLQKIYEDEWMNFMERMRREGMDDDDDIWKMKLRDLRLWASYRGQTLSRTVRGMMYYYRALKMLSFLDSASEMDIRTGTQEISSHLSLNRNRGLGDGIKPPTGKKLDRAVSGVSLLFKGHEYGCALMKFTYVVTCQLYGRQKARGESHAEEILYLMKMNEALRVAYVDEVQLGRDEVEYYSVLVKYDQELQREVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYFEEALKMRNLLEEFKTNYGIRKPTILGVRENVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMFSFFYTTVGHYFNTMMVVLTVYTFLWGRLYFSLSGVEREAKNNSVSNEALGAILNQQFIIQLGLFTALPMVVENSLEHGFLPAVWDFLKMQLQLASFFFTFSMGTRSHFFGRTILHGGAKYRATGRGFVVEHKSFAENYRLYARSHFVKAIELGVILAVYAFHSPLAKDTFVYIAMTISSWFLVVSWIMSPFVFNPSGFDWLKTVYDFDDFMNWLWYKGGVFTEADKSWEIWWYEEQGHLRTTGLWGKLLEIILDLRFFFFQYGLVYQLNIAEGRTSIAVYLLSWIYMIVAVGIYVVIAYAQDKYAAKQHIYYRIVQLVVTMLTVLVIVLMLEFTNLSILDLVRSLMAFIPTGWGLISIAQVLRPFLQSTVVWDTVVSLARLYDLLFGVIVIAPVALLSWLPGFQSMQTRILFNEGFSRGLQISRILTGKKSVE >OMO76424 pep supercontig:CCACVL1_1.0:contig10904:32165:33328:-1 gene:CCACVL1_15664 transcript:OMO76424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LSD1-type MENKETETQHQPKGEQQVKEEEEEGPPPGWQSIPPPAAKHPPPQQPPSTEMAQMVCGSCRELLSYPRGTRQVKCSCCETINFVLEAHQVGLVKCGRDNCAMLLMYPYGAPSVRCSSCQFVTEIGEHNRRPPWSVQQGQPTPPNAVH >OMO76427 pep supercontig:CCACVL1_1.0:contig10904:55177:58741:1 gene:CCACVL1_15667 transcript:OMO76427 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide repeat-containing protein MEAKQRQVPSSHSPGYNAILLAGPRLKPLQQVHSRIVVTGLGRSRSLITKLLSFAYAAASPISYTRRLFLSITKPDTFLFHLLITLSSKFGFSLESLLYHRRMILANILPSNYTFSAVIKSCSELRAFNTGKIVHCNVLICGYGSDSYVQAALFVEESRQLDNLPSEIDQHTRQFDKNKIRNGIVNGCIVTMSAFEEHEMRSRVGLFSTPRKDSYYCNRKMVLNRSGLYRRVPHSLPCT >OMO76418 pep supercontig:CCACVL1_1.0:contig10904:877:7704:1 gene:CCACVL1_15658 transcript:OMO76418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MEVSGLIGLQCDEEKALNSLLDAFGSVCSLQDIASAYCEAGRNANMAGEILYGKQGSTSSVDTGASNAQVKNDETSKSSFDNNSQTYCQANGSSKAPKKRWQPVSAPSVAPNGEVKNSEPSDFSGSNSSQNSSQANGDIRGTKQRWRPVSGGTVSSMLGKEYLKSVPLANGSRPGTKPLKVDSKELPMSAVWGEGLKSSRVKEDRLHKDMEDFLFKMLGDGFQLDRNVIREVLDSCGYDMQKSMEKLLDQSALNLEKQSKFLGESSKKPNAMHPREEGPSQEKTRVLNANGSELIRRQKNRNDLQKEVLASLFDGPERFDELPKSRVGSAKRPLSLGELVEGPPIDFTAKKADKVCSQVENKDEEGEEDSFQALRRAVKEYRDIMKEYYKSAADAFAKGDQDQANRLLEQGEFFREKAREADEESNRKIFETGNTMTEDVMSLELHDHGPKEAIRLLKCHLSSLAGIPSLLKVIVEKNEEEPSKGIHKRLVMKLLEKESISWNEGETPGVVEAEEQPEISEEHSVSAVPFFLFLKDGKVVDKLEGADPSSLANKVAKVAGSISPGEAAAPASLGMAAGPTVLETVQDLAKENGSSKVANQVQPGLTDTLKRRLQQLIDSHQVMLFMKGSPEEPKCGFSRKVVDILKDEKVKFGTFDILSDNEVREGLKKYSNWPTYPQLYCKGELLGGSDIAIAMHESGELKDVFKDHGVDIIGTEQGGGGISEPSGLSTNLASRLQSLINSSPVMLFMKGKPDEPKCGFSHKVVEILKQEEVDFGTFDVLSDDEVRQGLKVFSNWSSYPQLYIKGELIGGSDIVLEMQKSGELKKVLAEKGIVKKENLEDRLKKLISSSPVMLFMKGTPDAPRCGFSSKVVNALREESVSFGSFDILSDEEVRQGLKTFSNWPTFPQLYYKGELIGGCDIVLELKSNGELKATLSE >OMO76429 pep supercontig:CCACVL1_1.0:contig10904:65849:65908:1 gene:CCACVL1_15669 transcript:OMO76429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGNSSIGAQAKQSTHH >OMO76441 pep supercontig:CCACVL1_1.0:contig10904:164015:164477:1 gene:CCACVL1_15683 transcript:OMO76441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERKESLLGSRLERSSSSLRSTGSSSGPKSPEIRIQEMGSSLVIGLTTGSDSQFIFNETIRILHEEGADVVNASFSVVDDIVYHTIHLTVGEFSSANGRNYAAARISERLTKFVQDIADA >OMO76445 pep supercontig:CCACVL1_1.0:contig10904:186512:186814:-1 gene:CCACVL1_15687 transcript:OMO76445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MAAEASWDLAHFGNDDDFNSCNGGTCSSVEDLTEALMMDSEMTRRQLAGRKKFWSYDALKANSIPCNRRGNSYYNCEERKKANPYNRGCTVITHCYRFTN >OMO76431 pep supercontig:CCACVL1_1.0:contig10904:69196:73606:-1 gene:CCACVL1_15671 transcript:OMO76431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MDLLLTDSDWDTFSESGSSEGHEEIDYLFSGQARSILSSLEESIGKIDDFLSFERAFGYGDIVRSVTDPSGQMGRVININMLVDLENVQGRIIRDVNSKDLLKIRSISVGDYVVNATWIGRVDEVVDSISIVFDDGSKYEVAAMDQEKIVPVSPNIIDDSQYLYYPGQRVQVVPSNVSRSTRWLCGSWRDNQDEGTVSCVDAGLVYVDWISSAHVGKDPSASPPSRLQGAKDLTLLSCFSHANWQLGDWCMLSFAAHKGTTEQYLHASSHNLIKGNWKLEKGFKRGNLGSKLEEIFVIIKTRTKVDVVWQDGTCTTGLDSQTLLPVGVANAHEFWPDQFVLERGTSGNSQRWGVVRGVDAKERTVKVQWRDVALNQANGFDGEQMEETVSAYELVEHPDYSYFWGDIVFKVVQDQFSDQTDNVHLTSETRFSMDPASKGNNRIGIVIGFKDGDVEVKWASGIPTKVAPYEIYRIDKYEGSATTPALYEENTEVLNQDLLVHDMQTNSHKGKDLLNFDGNDESGKKMSWTPTSFFHPRAAIGFFSSVASSILGSLGSRSLLSQESSVCASHDAKECDILLEKEVMETCNHGAEHGLTELQTFGTTSIKQEVEEIEENNNSMMPRSSETSDQFRQFDMVSDCLDHYFLGESKALAVSQVKRSWMKKVQQEWSILEKNLPESIYVRVYEERMDLLQAALVGAPGTPYHDGLFFFDILLPPEYPHEPPLVHYHSGGLRLNPNLYESGKVCLSLLNTWTGSGTEVWNPGSSTILQVLLSLQALVLNEKPYFNEAGYDKQLGRVEGETNSVSYNENAYLATCQSMLYILRKPPKHFEALVKEHFSRQAEMILSACNAYMEGAAVGRALEYGGSENDENLKGSSTGFKIMLAKLYPKLLEAFSNHEIDCSQFRELEK >OMO76436 pep supercontig:CCACVL1_1.0:contig10904:111332:115217:-1 gene:CCACVL1_15676 transcript:OMO76436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSLGIRSSGSYGSLDKQLQNGGLPIQAPSATRTKPSKMFKEKEGLVHWICKFAGRKKVGMLLLCAISAAVFVWVLYVGKGEDQESDHVPNVNESLSLNNSATPLVNEVPPVRIDNWTPLPVSDEGTEVRVMPPPPPSYFLGYTLPPGHPCSVFSLPPPPADKKRTGPRPCPVCYLPVEEAAALMPKAPSFSPVVKSLTYIHEENLNRDTEFGGSEFGGYPTLKQREDSYDIRESMNVHCGFVKGSKPGHGTGFDIDDSDLLEMETCHGVVVASAIFGAFDNIQQPKNISEYSKQTVCFYMFVDEVTEADLKQHSGLGESKKIGVWRIVVVRNLPYTDGRRNGKIPKLLPHRLFPNSRFSLWVDGKLELVGDPYQILERFLWRHNATFAISRHYKRFDVFDEAEANKAAGKYDNASIDFQVDFYQKEGLTPYSEAKLPITSDVPEGCVIIREHVPISNLFTCLWFNEVDRFTSRDQLSFSTVRDKIAAKTNWSVNMFLDCERRNFVYQKHHKKELELLAQRQAPPPPLTLPNDPPRLLSLETSGESIVSSPLRKPSPRHGRDRRSGSRRHRKASREIITS >OMO76440 pep supercontig:CCACVL1_1.0:contig10904:153682:155915:1 gene:CCACVL1_15682 transcript:OMO76440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEANSWIRRTKFSHTVCHRLDSSRLVSFPFHLDPDRNPERSLGLKSRSAAASADKKSDSQIQRNPVTNKQRSVSPLPQTVLSDTFKEARSEKKRFSTPHPRRKDSNKRIMGKFFHKESHDKKGTNSPRASSYSSPLRHLGSMKVQEKSKSRKESAWTKYFDHAGGRVNAVEGADEHTVELSQLFLGLRFAHGAHSRLYHGIYKEEPVAVKIIRVPDDDENGNLAARLEKQFNREVTLLSRLHHPNVIKFVAACRKPPVYCVITEYLSEGSLRAYLHKLDDKSLPLQKLIAIALEVARGMEYIHSQGVIHRDLKPENILIDQDFHLKIADFGIACEEAYCDLLADDPGTYRWMAPEMIKKKSYGRKVDVYGFGLILWEMVAGTIPYEDMNPIQAAFAVVNKNLRPVIPGDCPPAMRALIEQCWSLQPDKRPEFWQIVKVLEQFESSLSRDGTLNLVPNPTCQDHKKGLLHWIQKLGPVHPHSNAYGSPMPMPKPKFT >OMO76425 pep supercontig:CCACVL1_1.0:contig10904:38463:46155:-1 gene:CCACVL1_15665 transcript:OMO76425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDPEYDPQLVDEEDDDDITQEDAWVVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDFAETIYKISFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVTKRVIKKGHDGEEVTETQDFTKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVAEVRSMAESQNRPPSTMFVRMLSRTSAKGGSSGQYIRATLPYIRAEIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGDFCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGCWVGVHRNPDMLVTTLRRLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVEKQRLLIKKADIHALQQRESAEEGGWHDLVAKGYIEYIDTEEEETTMISMTISDLVQARINPQEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRANTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTTPISQEEAQGQASRYSRRDHSISLRHSETGMVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRRLSAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCERCGLIAIANLKKNSFECRGCKNKTDIVQVYIPYACKLLFQELMAMAIAPRMLTKEPPKDQKKKGA >OMO76432 pep supercontig:CCACVL1_1.0:contig10904:82813:84876:-1 gene:CCACVL1_15672 transcript:OMO76432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L21 MAHRRCLQSLARHVTALISLKTTRPLSTFEILTSKLSPAKTSPNLEPSWGSLWSHSRHFSSSKSDGSDNEVEMEEASDEESENDEVAPDLGRNYSPEEKEAEAAAIGYKVVGPLQPSERVFKAYEPVFAVIQIGSHQFKVSNGDCIFTERLKFCEVNDKLILNKVLLLGSATQTIIGRPILPDAAVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTKLRITDIQGIEKPEVKTGGKPSKAAVKKPEKIAAAA >OMO76439 pep supercontig:CCACVL1_1.0:contig10904:148242:149285:1 gene:CCACVL1_15681 transcript:OMO76439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIATRIPWNHWNFTYGCQEFKFPEQPDSSSVSDNMEFGFLEYVDQEFPGSCNSDENIPGNEMVDEDDEEKENDGISLENNKNFWENQHQVLQATLCRTSSLESRIRNSTKEALKEIQRAGTICPCGKSMAENCRNCLMREVSSRLQNAGFNSAVCRSKWRNSPDIPSGEHSFLDVIENSRKGDVRVIIELNFRAEFEIARASEDYNRLVQRLPEVFVGKVERLNNVIKILCLAAKKCMKEKKMHMGPWRKHRYMQAKWLKSCERNTSTKSLSVHHEGYDSGRLPKPKASMLTVDLLEKLSNVHCTAVEVV >OMO76420 pep supercontig:CCACVL1_1.0:contig10904:13838:15335:-1 gene:CCACVL1_15660 transcript:OMO76420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding protein MNKTCATSSSCSSLSPRTKGPSPFLSKTYALLEESAEEEAAAAETGDYYDRKKIVSWNAEGTGFVVWSPAEFSELTLPRFFKHNNFSSFIRQLNTYGFKKTSSKIWEFKHEKFKRGCKHMLVEITRKKTEPSAFPAFLKASDNDQLNIIGEENSKQTLMEENENLRKEKVELQAQIAQFKALEIKLLDCLAQHMDNSSNHNERKLC >OMO76426 pep supercontig:CCACVL1_1.0:contig10904:47739:50908:1 gene:CCACVL1_15666 transcript:OMO76426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKRIITILLRLLALGACISATVVMITSHDSADVLNMKFSAKYTNTPTFKYYVIAEAIAAGYSLIVLFLSSKSLCWRLIVISDVVMAMLLTSSISAALAIAQVGKKGNTHAGWLPVCDQVPKFCDHVTGALVSVPRIRCSSYSAEQPIVADKPQNDHSLTGTAYDFERATTSLTGQYLLNSKKVTIVRHGLSSWNEEGRIQGSSNSSVLTEAGVKQAERCRQALANMHFDQCFASPISRAKTTAEVLWQGREEPLVFLDSLKEAHLFFLEGMKNVDARVIYPKEYVTWREDPANFYVNGVYPTRRLWATAREAWREILLTPGEHFLVVTHKSILRALICTALGLPPERFRAIDVNNGGISTFSFNKRGEAMLQSLNMTAHMYSDHVYLS >OMO76433 pep supercontig:CCACVL1_1.0:contig10904:88125:95074:1 gene:CCACVL1_15673 transcript:OMO76433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTSTPSNDLALTNLAYCSPSDLHNFAVPGTNVRNGCIALNAVQRRVARVSNGDSVSVSRFIPPEDFNLALLRLELEFVKKGTKSEQVDAVLLANQLRKRFINQVMTAGQKVTFEYHGNNYIFTVNQAQIEGQAKSSAPERGIVSGDTYIIFEAQSASGIKIVNQREAASSSIFKHKEFNLQSLGIGGLSAEFADIFRRAFASRVFPPHVTSKLGIKHVKGMLLYGPPGTGKTLMARQIGKMLNGKEPKIVNGPEVLSKFVGETEKNVRDLFADAENDQRLHGDESDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLSICTCLNNDLSYTATFRRPYNSLYSFSYSAARTKNYSGAELEGVVKSAVSFALNRQVSMDDLTKQVDEESIKVTMDDFLNALLEIVPAFGASTDDLERCRLNGMVDCGDRHKHIYQRAMLLVEQVKVSKGSPLVTCLLEGSSGSGKTALAATVGIDSDFPYVKIVSAETMIGLHESTKCAQIVKVFEDAYRSPLSIIILDDIERLLEYVPIGPRFSNIISQTLLVLLKRLPPKGKKLLVMGTTSEVGFLDSVGIRDAFSVTYHLPTLKTEDAKKVLEQLNVFAEEDIDSAAEALNDMPIKKLYMLIEMAAQGEQGGAAEAIYSGREKINISHFYDCLQDVVRI >OMO76438 pep supercontig:CCACVL1_1.0:contig10904:136302:140558:1 gene:CCACVL1_15680 transcript:OMO76438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIKIEISNKSCRQQKAKVTAESVESSSHDEGNKEKKQI >OMO76443 pep supercontig:CCACVL1_1.0:contig10904:174021:175409:1 gene:CCACVL1_15685 transcript:OMO76443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MGFAVLLVQLLVSSALVSAQIFPDHNGPHPLPPAMPPSPPPHHHHHHPHPHPHPHPPTPPPTHPPVHPPPKAPTPPPAVQPPPHPVYPPTKPPTKAPPKPPVSPPTKPPTYSPPKPPVYPPTKPPTQPPVKPPVPPPTYPPAKPPTYPRSLVAVQGVVYCKSCKYVGVDTLLGAKALAGAIVRLTCKNTRYRLVVDGKTDKNGYFFMEAPKTVTSYAAHKCTVSLVSSPLAKCNEPTNFHGGLKGAVLRPEKPYLSKKNPFMLYTVGPLAFEPKSKCY >OMO76444 pep supercontig:CCACVL1_1.0:contig10904:181135:181881:1 gene:CCACVL1_15686 transcript:OMO76444 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein METSPRPSSNQNFLPSPKSHSPNSSSSSTSSSNNANQPPPTPPSQQPKPITRSESANPYPTTFVQADTSSFKQVVQMLTGSSETAKLASSTKPASSPQSDPNPKTHIPPIKSIPKNKQNSGFRLYERRSSLKNLKINPLNPVFSSNNSGFSPRKPEILSPSILDFPSLALSPVTPLIPDPFDRSGAGNFPNCFNNNAKLDKEAEEKAIKEKGFYLHPSPRASTPRDSEPRLLPLFPVTSPRVSGSSSS >OMO76422 pep supercontig:CCACVL1_1.0:contig10904:21866:22728:1 gene:CCACVL1_15662 transcript:OMO76422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPDSRPQTYDASNSSKVRPKNEASNQTLPTDTTARRWDTADTNFVKKELKKRKRSDNGLGESASTDEAFL >OMO76442 pep supercontig:CCACVL1_1.0:contig10904:167859:169598:1 gene:CCACVL1_15684 transcript:OMO76442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3, subunit 7 MVGVFEVGAVPFNSDGWGPPESIAATPATTTIPLHVPFAPFSRSDKLGRIADFTRNFSSSTANPSNRPSSGKPGAANASDAPFDFSLDVDAFALGNDDDSSFRLVDAKPPPRPKFGPKWRFNQHRPQLPQRRDEEVEAKKREAEKERARRDRLYNLNRSNQNQQRREAAIFKSSVDIQPEWNMLEQIPFSTFSKVSFTVPEPEDLLLCGALEYYDRSFDRITPKNERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDTILATLMCATRSVYSWDIVVQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDINSAYSLSVEAAYINQNFSQQVLVRDGNKVTFDEPNPFANEGDEVASVAYRYRRWKLDDNMHLVARCEVQSVVEVNKQKSFVTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADLMKLGYVSRVHPRDHFNHVILAVVGYKPRDFAAQINLNTSNMWGIVKSIVDLCMKLNEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPLPEEEQVQPPTEDAETGEANGATNDVEDKEIEAQT >OMO71237 pep supercontig:CCACVL1_1.0:contig11696:17248:18170:1 gene:CCACVL1_18341 transcript:OMO71237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRSSPPFPGKTQLLKPRATRKPVQESKMNSKEPQVSRQRRVFGTVKNPNIPAKTMPDKPIKPSISVNKTEPKSLESMNMDSKPSLKTKDNSTEKLKKKSSCFQSQKHKENNDPNSSHSKGTVKLNENEAKTPVASRSCKKLIIGTRTPYHSAQNCSKCRFDRLETSSYWLAQIKLADSVSKHFVSASFFRLASESKAEGL >OMO54652 pep supercontig:CCACVL1_1.0:contig14921:2948:4222:-1 gene:CCACVL1_27692 transcript:OMO54652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSSTNWSLNDDIIGEVLCRLPGKRLVSCKRVSKTWKSLISSPSILRAHFERSQHPSAIFVEQYDRGNFSLTFVNLDKKNTIKSLYFLPQEVDLVGSSNGLLCCTSSCNRFLYICNPLSEEWVAIILRPERSTVTNTNIGFAFYPFGSSLLNPNPCFKLVCLHRTKLGNNYNNDPNNYVYSFWVYTSETGEWRRSKDVCPRGNFRIRKNNVIFVRNRFHWLTGEHHIITFDVETELSSVIKLPGRVMQLNDLDMGRGGICLGASSGFLHYVCSHPSEIRVWELKDYGEISDEWVLKYNLNLNIDLVMEIKERFGLGCHVLEKFDELVKVEDETVANYLFSSLAYSEEVVFMKVDTVVFSYDFRSRELKERYYLVQFHGVPLTDPTVIPYTICLAAAGVLKEIKNGKEIVNSSTEQEPKRRKYI >OMO75606 pep supercontig:CCACVL1_1.0:contig11018:17125:17606:-1 gene:CCACVL1_16121 transcript:OMO75606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTMVFKVSMNGDKCRAKAMKIAVSLEGVESAALKGADKSQIEVIGDGVDPVKLTSLLRKGVGFTELVSVAEKKEEKKDPPKKEEPKKDEPKPPALPHFWYPTYVLYEAPAHGCAQPHDSNCSIM >OMO75607 pep supercontig:CCACVL1_1.0:contig11018:21448:21537:-1 gene:CCACVL1_16122 transcript:OMO75607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTQRKRQTESPPLGYKHKPIVQKKGIE >OMP00364 pep supercontig:CCACVL1_1.0:contig06681:3308:3826:1 gene:CCACVL1_03365 transcript:OMP00364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSVGGGGASSSELPSFDLNFPPPADEPEPAASMPADEPEPAASLTPEDLLGDRERLMELEDRLLIGRPPLEASKKHLLLMNQLRVEKKVMDALMLDEIPAEVIHENRQKLREILLYPEGKLLHYNKYAKLYYDANRDFHTSTLLKWLRKAKRSYDIDLPFPPQKRRRRR >OMP03421 pep supercontig:CCACVL1_1.0:contig06078:27157:34575:1 gene:CCACVL1_02429 transcript:OMP03421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTDTRYGRSNIDPLILQNHVRLISWRTPAKQ >OMP03418 pep supercontig:CCACVL1_1.0:contig06078:6005:10131:-1 gene:CCACVL1_02426 transcript:OMP03418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPEWQAAYMDYTSLKTLLKEIHGLKQKQKKSQPDTPTRALSLYRSFSGLIQRQHLHYHDHHDVEDDEAILVNSVQENGSGKLVTTFLIAAGDGADYEQEFFRMLDNELNKVDEFYRSKVKEVVAEAEALTKQMDAFIAFRIKTENKEVDFDISSCDDSRFMGTTDDNYISQEIQESENPSNQYFSNNIRIASLEVLDHVKLNQALRHSFPAAAKVYCFSINKHTQLNFTRGNLKKIQNQLKKAFVEFYYQLWLLKNYSFLNILAFYKIMKKYDKITSRRASKYYMGTVDDSYFGSSDEVTKLMERVEATFIKYFSNSNRSKGMNKLRPRTKKEKHVTSFSTGFFAGGTAALILALIITIHARDILNKEGSVQYMESMFPLYSLFGFIVLHMVMYGANIYFWRRCRINYTFIFNFKQGTELGFREVLFLSFGLATLALISVISNLDMEMDPKTGDYKALTELLPLNLVLLVMIVLLCPLNILYRSSRYFFLTTLFHCICAPLFKVKFQDFFLADQLTSEVQAFRSLEYYICHYGWGDYKLRQNTCKTNHVFNTFYFIVAVIPYWSRFLQCIRNRFDDKRDPTQGYNGLKYFATILAICSRTAYSLDKGTSWRILAWIFSALAAIYGTYWDIVIDWGLLQRKSKNRWLRDKLLISYKGVYFGAMVLNVLLRFAWLQTVLNLTLSLHIETSTAIVASLEIIRRGIWNFFRVENEHLNNVGKFRAFKSVPLPFNYDEDERK >OMP03419 pep supercontig:CCACVL1_1.0:contig06078:16361:20117:-1 gene:CCACVL1_02427 transcript:OMP03419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPEWQAAYMDYTSLKTLLKEIHGLKQKESQQDTPTRRLERALSLYRSFSGLIQRHHQRATSFDYNHHDVENQAILVNSVQGNGSGKYVTTFLMAAEDGAEYEQEFFRMLDNELNKVDEFYRSKVKEVVAEADALTKQMDAFIAFRIKTEKIETDFDVSISDSANSEDNNDVEDCNNYISCQEIQEENTNKICSSNIRLASQKVLDHVKLNPAIHFVPFQPANWASISKHKNKMNFTRENLKKIQNQLKKAFIEFYYKLRLLKNYSFLNVLAFSKIMKKYDKITSRRASKCYMRTVDDSYLGSSDEVTKLMERVEATFIKYFSNSNRSKGMNKLRPRTKKERHRISFSTGVFVGCTVALTLALILLIRARDILNKAGRVQYMESMFPLYSLFGFIVLHMVMYGANIYYWRRYRINYTFIFNLKQGTELGFREVLFLSFGLATLALICVISNLDMEMDPETGDYKALTELLPLNLVLLVMIVLFCPFNILYRSSRFFLLTALFHCICAPLYKVTFQDFFLADQLTSEVQAFRSLEYYICHYGWGDYKLRQNTCKTSDIFNTFYFIIAVIPYWSRLLQCIRRFYDERDPMQGYNGLKYFLTILAVCTRTAYSLDRGTSWKILAWAFSALAAIYGTYWDLVVDWGLLQRQSKNRWLRDKLLISYKSVYFGAMVMNVLLRFAWLQTVLNLNLSLHRETLTAIVASLEIIRRGIWNFFRLENEHLNNVGKFRAFKSVPLPFNYDEDEDRSD >OMP03420 pep supercontig:CCACVL1_1.0:contig06078:23604:26853:-1 gene:CCACVL1_02428 transcript:OMP03420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEEGGQCEQQFFKSLDNELNKVDKFYKSKVKEVVEEAQNLSKQMDAFIAFRIKVEKAPTRFGFDPPPSSSNMVPMSVFKGDSLSNDSVEENDKNISQEIQEEKPKNVTSNIFASINILNHVKINEAIQTHFPTTKQTELNFSKENLKKIEKQLKQAFIEFYQKLRLLKHYSFMNILAFSKIMKKYDKIASRRAARNYMRIVDDSYIGSSDEVTKLMERVEATFIKHFSNSNHSKGMNKLRPKTKRERHRISFCSGLLVGCTAALVLSLIMITHARNILNKPGRVQYVENMFPLYSLFAFIVLHMLMYAANIFFWRRYRVNYTFIFGFNRGTELNYREVLLLSFGLATLALASIISNLDMEMDPKTGDYKALTELLPLILVALVIAILICPFNILYRSSRIFFLTTLFHCICAPFYKVIFPDFFLADQLTSQVQALRSLQFYICYYGWGDYKLRQNTCKANDVFNTFTFIVAVIPYLSRLLQCLRRLYEEKDPMQGYNGLKYFLTIVAVCTRTAYGLNPVTGWRILAWTCSAMAAIYGTYWDLVVDWGLLQKQSKNRWLRDKLLLPYKGVYFGAMVLNIVLRFAWLQTVLNFRFSLHRETLTAIVASLEIIRRGIWNFFRLENEHLNNVGKYRAFKSIPLPFNYDEDEDQDD >OMO99037 pep supercontig:CCACVL1_1.0:contig06968:3267:8360:1 gene:CCACVL1_03959 transcript:OMO99037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLAKLEFVALDILGKNYLSWILDAKIHLDARGLGDTIKENNEASNQDRAKAMIFLRHHLHEGLKAEYLTVKDPLVLWNNLKERYDHQKTVILPKARYEWMHLRLQDFKSQQFREKGFKKYSELISCLLVAEQNNELLMKNHESRPTGSAPFPEVNVATHKNYNYGCGRGCGRGFGHGRGRDRSSGYNGDHLKNRGRSYGYNGDHSKNISFHPKWRGNELKNEKEKEGSPNLETIGEASRGSDTRWHAGARAWLAPTPPGHPCQWLVRPGRWPQAPCRQCPCVGWVACPTGAGCPCRKPDAPPSLSQDPCDPVLVRHLTCLLALKLNFTLLFQTFENQSYDDKIDSSSSSITIILAPFFIQIVLGKYLFGQVSIANESKPHLKHGRPVGSKDKNPRKRKGAKNQDGQIEDMVTPEEYTHEEFTPEKSIGIINNSVPEEHQVPDNCEVPQKASY >OMP07780 pep supercontig:CCACVL1_1.0:contig04200:875:964:1 gene:CCACVL1_01226 transcript:OMP07780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLFQKQKLVNDDPPWIRLYKPRLKLQK >OMO67635 pep supercontig:CCACVL1_1.0:contig12408:4222:16394:-1 gene:CCACVL1_20413 transcript:OMO67635 gene_biotype:protein_coding transcript_biotype:protein_coding description:NOT2 / NOT3 / NOT5 family protein MATYLLFLIISASAFSILNADISIDCGSSDTYSYDNSIGWIGDDQNYINHGQSMVVQSTKSVSQAMTTLRVFTDRKKSCYSFPVDKGSQILVRASFFYGNYDKKSSPPSFDLQFDGNHWATVETSTDEVIYYEVIYVTKSDTASVCVAQTTPNMFPFISAIEVRNLDSKMYAHVDSNYALMLTRRVAYGTKDIIRFIDDPYDRIWVPAVNRGGFTELTLASTEFVDVTLNDSPPRAVFENAFSTNSTSVAITLATNLPETAVPIYMNLYFSEVSVLDSTDKRSFELYIDGKSQSDPIIPVYAKASGMYLTNFSASSKTEFSLQATSDSTLPPLINALEVFTVSDQLTDGTNGDDVKGLDSLVQRFGVLRDWVGDPCLPAPYSWDWINCTSASTPRVTALNLGSYGLSGFLPDFSSMTALEIIDLHNNSLIGSIPDFFGDLPNLKQLNLADNDLSGPIPSSLSNNNKLKLIVTGNRDLCVSGKSCKTVTASGVSPTRSKKKSSKTPVILGTVIPVFVLVWVVGGVFAVLRHKRKSAAIAAGQAGGANGPNGTPDQAAGKMQMAANNMAQNIVNDFMSSINGSASNLPDSTGRSFTTSFSGQSGAASPVFHHTGSIQGLHNIHGSFNVPNMPGTLTSRNSTLNNVPSGGVQQPTGSLSGGRFASNNLPVALSQLSHGSTHGHSGVTNRGGISVVGNPGFSSNTNGVGGSIPGILPTSGAIGNRNAVPGLGVSPILGNAGPRITSSMGNMVGGGNIGRSISSGGGLSVPGLASRLNLSGNSGSGSLSVQGQNRLMSGVLPQGSPQVISMLGNSYPTAGGPLSQSHVQAVNNLSSMGMLNDVNSNDNSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKQGLSPIVQQNQEFSIQNEDFPALPGFKGGNADYGMELHQKEQLHENTMSMMQSQHFSMGRSAGFNLGGSFSSHRPQQQQQHAPSASNSGVSFSPVNNQDLLHLHGSDIFPSSHSSYHSQTSGPPGIGLRPLNSANTVSGMGYDQLIQQYQQHQNQSQFRLQQISAVNQPFREPGMKSMQAAQSNPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSSENLHKTFGSPWSDEPFKGDPEFVVPQCYYAKQPPPLHQGYFSKFTVDTLFYIFYSMPKEEAQLYAANELYNRGWFYHKDMRFWLIRVPNVEPLVKTNTYERGSYHCFDPNTFETVRKVGIYSIPAALLMLNNTDGSSCKMLTVDYYVCTQFYTSSTGFQQGDERRNAESKPLQ >OMP12265 pep supercontig:CCACVL1_1.0:contig00204:588:839:1 gene:CCACVL1_00059 transcript:OMP12265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNANKKATYRQAAEDFAKQNYCRKDPCKQE >OMO83364 pep supercontig:CCACVL1_1.0:contig09891:3449:5809:1 gene:CCACVL1_11413 transcript:OMO83364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGEDEKKMEDIDIPLLTPHKMGNFSLSHRVVLAPLSRLRSYNFVAQPHAILYYSQRTTNGGFLIGEASGISETAQGYPNTPGIWTKEHVEAWKPIVHAVHEKGGIFFCQLWHAGRASDHAFQPNGQPPISCTDKPILAKTHIDGSTPADFPTPRRLTIDEIPQVVDYFRKAARNAMEAGFDGVEIHGANGYLIDQFLKDQVNDRTDEYGGSLENRCRFPLQVVKAVADEIGADRVGIRLSPFANYNDCSDSNPEALGLYMVESLNKYGILYCHMIEPRMITQFESQKTKDSLLPMRKAFKGTFIVAGGYNREEGNEVVANDSADLVAFGRLFLANPDLPRRFQLNAVLNKYDRSTFYTHDSVVGYTDYPFLETNN >OMO71490 pep supercontig:CCACVL1_1.0:contig11616:15314:15607:-1 gene:CCACVL1_18205 transcript:OMO71490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFKSPGPDGFQARFYQSNWDVARNLVCSFVKNAFLFGYFDAALSRVLVVLVPKIDNSERLRPILEDLVAPT >OMO71489 pep supercontig:CCACVL1_1.0:contig11616:4908:6632:-1 gene:CCACVL1_18204 transcript:OMO71489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant MSFFEGIVRLHYKGRFEVHDGGKRVTYVDGIVVELSQDPDKLSFYEFKGLLEDAGYVNFSKLYFLSPGKSLKDRLVLIEDDKYMDEVANHLRELGQIDLYVDHAIDGIADAVGNVDGVADKVGDGEGQGDHENVTGNEEADYVNDPLGNNDDGLEDNSGNVAEEEDNERLENFEDECGFFVDVENVNDSDDEVEAADPELMYSRSEFMRERDEMLAELGFEGYRVDVDQVGVGFDDIGGDEDVPAGDKGYESEYPDSDDPGDYPSEGEGRVNSKYPSYNPNAETPYIEEGMKFLNSKQFKEAVTLLSIKEHRAILWVKNSKVRVRARCKHETCPWLIYASYDKTTKSFQVRRFDSKHRCERAFTTARLSYKVLSNFITDDVHRDPYIKNDTLIHNVNVKFGFDVNLSMVRRAKKLLVDEVFLNYEKEFSHLEEYAAALKEHSPGTSVWMKCNIDDPEKPGKVFERYYICIEGLKKGFTLGCRQFLGLDGCWLKSLTKGELLVAVGRDANNQMFPVAWCLVENECKQTWAWFLERIMGDLDLGDGRGWVLMTDQMKVIWTKIRIYFRILVDPITI >OMO71491 pep supercontig:CCACVL1_1.0:contig11616:18185:28528:1 gene:CCACVL1_18206 transcript:OMO71491 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-transporting ATPase 9, plasma membrane-type-like protein MEFAPGYAWVGVENLQWQPARVSNGTGESDCSIHFPDHLDNENRHDDDADSSDPFDVPHTKNAPLEILKRWRRGHAAQPGVLDPYLVGSHAVSTMIARSNRAVKASRYLA >OMO71492 pep supercontig:CCACVL1_1.0:contig11616:53138:53203:-1 gene:CCACVL1_18207 transcript:OMO71492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGILIKIIRLGLLNSESESRS >OMO60384 pep supercontig:CCACVL1_1.0:contig13726:8898:8981:-1 gene:CCACVL1_24197 transcript:OMO60384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGVRKHGTEGMLASLRIQPTLIEED >OMO70129 pep supercontig:CCACVL1_1.0:contig11867:16456:18557:-1 gene:CCACVL1_19087 transcript:OMO70129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MMKTLEKYQKCSYSTLDTSRSVAETQQSYQEYLKLKARVEVLQRSQRNLLGEDLGPLNSKDLDQLEHQLESSLKQIRSTKTQAMLDQLSDLQNREQILVESNKSLRRKLEEFSAQVPLRLAWEAGGPNIPYNNRLPAQSEGFFQPLGGNSNLQIGYNPVVSDEMNVAGHNQNVGGYFPGWML >OMO70135 pep supercontig:CCACVL1_1.0:contig11867:182312:182749:1 gene:CCACVL1_19094 transcript:OMO70135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFTGSTKFVGMHSRKQDEVIGSTWDMSST >OMO70133 pep supercontig:CCACVL1_1.0:contig11867:167713:167808:-1 gene:CCACVL1_19091 transcript:OMO70133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLPQEDGLAMAQPPQGDGLATACRPPGAA >OMO70132 pep supercontig:CCACVL1_1.0:contig11867:139297:150718:1 gene:CCACVL1_19090 transcript:OMO70132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVSKKYWTDPYLTGANSEADVWAKGGFECAVPFLQL >OMO70134 pep supercontig:CCACVL1_1.0:contig11867:176743:180264:1 gene:CCACVL1_19092 transcript:OMO70134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MESWSYVSGEKGSVSDAAISPSGSYARNRNVLMNWELKGSYGYSNNVPVSGQQSIENHAFGELGYGELVGKQLPNDSIGNIFSTKFSGGRTVNSVMASLNTFSGEDESTSKLSSSVVDSSSRDSSLIDLKLGRFPNHRDGQNSSFSIGAPILSSSESSTPPKRVRAGVNSHTAYCQVYGCKKDLTSSKDYHKRHKVCEVHSKTAKVIVNGIEQRFCQQCSRFHLLSEFDDGKRSCRKRLAGHNERRRKPQGGIHSGRTGRLFQQYNGNKTKLNYILFYIIYATKLDKDVMVCLLCVVNKRSSIVCFAGGRFHGTMLTTASFICQDILPSGLLHPEKYVTNEWSKRIKVEDGTDYGPLSAISIANGNFQLKSPYPSSGIDKLFPSFHDNGANTTTGSMLSENNARYPHDFGGLNSGSRPLFQDTSLGSEDINVFDAASTIQGLSGISDSGCALSLLSSQSQNSSTHSSGIPMSRSLVISGSHTHYNMSHVSEKLIGISSQASTTGVSNKFSSSGMNSTEGSHLGPLLISDGNETANFDITNGIYQGSEFINTKDRLSCEDGPTIDLLQLSSQLLRVEHQRQSMQAKQENETFCCLRIT >OMO70136 pep supercontig:CCACVL1_1.0:contig11867:183820:185948:-1 gene:CCACVL1_19095 transcript:OMO70136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reversibly glycosylated polypeptide family MAEPSVTPTPVLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYVYTIDDDCFVAKDPSGKDINALEQHIKNLLCPSTPFFFNTLYDPYRTGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVMTIPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQSVTLPKECTTVQKCYLELSKQVKAKLGKVDDYFNKLADAMVTWIEAWDELNPSGAKSVELPNGVAK >OMO70131 pep supercontig:CCACVL1_1.0:contig11867:124847:133046:-1 gene:CCACVL1_19089 transcript:OMO70131 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MLNRRRYGFANFAFVRYRFYEESQKAISFGNGRRLDGQSLIVRKASARIIDRGQQARVYTGRSFIQYDRRCIDSDLRTEEGVFTDRGRIWKRKRIAQPGSKPSNSKEENLHEVTINVRKQPEVIDVPPLIVLNDGKDKEENGQSSDKYLLELEYGYVSFEEVDLAFDIPDEDMLWLEKSAIELLSSNANSGEILELIKEQDNTVNEAWRSMEMMGSHMAGHCMEQLHKTGHMTGHGMEQSHMTGHNQPFDPLAIPLGPMTRAQTKRFKEALLGLVRTHLGGLIGYLGRRTKGLNFVKIVQSIDQGVEELQVDFGGYSKGRFESNTTRFVLIAQQRANVAINDERIQLPPPRQVARLDPMERLRQQELGGQAHNENMRPRRGVEREEPKDNIKYKIPKFNGRGSPSDYLEWETKLDMYFDYYPHVEPKKVQIATLEFTENALNWWNQLVQSRRRNLKRPIDTWAKVDEAPQATMARFLAGLNREIHDIVEMQQHYDVEELLQHVLKSESQVKRNGAKKSFASSSSSWKTPIKKDEKSSNKEKELAQKGSPKSDSKSSSSSSSKNHVKCFKCQGFGHYAKDYVNKKVMFLNDQGEIESEDEEFALGFSGDGGDERGFAHDDKDDDGDTPSLLNLVARRTLSAYVKGDVNNQRENLFHTRMYNDEMLCDVLPMQACHVLLGRPWQYDNKVHHDGETNKYSFMCGKRPITLIPLSPQEALKDQIKVRDEFAKIESDYRAKEKAKHANLNVNCIEGKSDLVDKHASCMKVVKEFPVLLVLKKDGTWRMCVDCRAINNITVKYRHPIPRLDDLLDELHGACLFSKIDLKSGYHEIRMKEGDEWKTAFKTKLGLYEWVEKLYANLKKCTFCTNKLVFLGFVVSTQGIEVDEEKIKAIKEWPTPTNVGQVRSFHRLAGFYRRGWNWSYLMQGGKPVAYFSEKLNGPALNYPTYDKELYALVRALQTWQHYLWPKEFVIHTDHESLKYLRGQQKLDKRHAKWSEFIESFPYVVRYKQGKENVVADALSRRYILLSMLDSKFLGFEYIKELYASDVYFGEIFKECENSRFGKYYKHDGFLFKESRLCKSRQIWKQVKRLQGEDTHDGMLGARMAASRLPLDANVDGLCAQGVALSPHAASAHVLVGGMSRLALGAHVLCLAPHVVCLATHVFQCFDTS >OMO70130 pep supercontig:CCACVL1_1.0:contig11867:59530:65064:-1 gene:CCACVL1_19088 transcript:OMO70130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVIAFMLRGTDLASHWTPDSTSVAFLDMQIHAGSGEEADLSTDISAPEKGF >OMO55280 pep supercontig:CCACVL1_1.0:contig14744:12589:15687:-1 gene:CCACVL1_27320 transcript:OMO55280 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein pleiotropic regulatory locus 1-like protein MPAPTLEMEPVEPQSLKKLSLKSLKCAFDLLGSIHGQFGASDPERKRIRISYKEHKNTGQKCLGSLSIAAERSSDLYHHPIGLCRHLRFITASKPCYV >OMO85874 pep supercontig:CCACVL1_1.0:contig09564:17648:23273:-1 gene:CCACVL1_09946 transcript:OMO85874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase MATIKCVKARQIFDSRGNPTVEVDVTLSDGTLARAAVPSGASTGIYEALELRDGGSDYLGKGVLKAVENVNGTIGPALVGKDPTEQTKIDNFMVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYENKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVTDYPIVSIEDPFDQDDWEHYAKLTSEIGEQVQIVGDDLLVTNPKRVEKAIKEKTCNALLLKVNQIGSVTESIEAVKMSKRAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAFYAGAKSHALLVADSVGSLKLVYLSRKKSGFYSMGRSRKQPTLLAEDTVEDDDVMDDLSEEESEFESESDSEEEEEDVKLAEPAKNAIFNRDGLLEKLQDISWPENVDWMHKLSLDIDQEKEVDVNDDLARELAFYTQALEGTRVAFEKFQSMGLPFLRPADYYAEMVKSDAHMQKVKGKLLAQRRQIEEAEERRKAREAKRIAKEVQAEKLKERAKQKKHEIEAVKKWRKQRQQSGFPAGGKDSELDLGFEDGKSFERSGKKRAGVSPWDRSGGKAKQAGAKGKGFKGKKNREFRNSKFGFGGKKGLKKQNTADTTNDVRGFNGNVAGNKKRKR >OMO85871 pep supercontig:CCACVL1_1.0:contig09564:1117:3822:1 gene:CCACVL1_09943 transcript:OMO85871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDSPKGSSKMAAFPRGLSSIAASVGILAMFLILASWLLVSYPISSTVRGYFYGIDKKVVLPVSSFDRKAVSEVDFIQKNSSTGSDLEVPVLSSNTSFVVDNNGNAQESITSSSADKDSLPTESGVEKKPTSLKDSPVDSKNQEMPEKPVELPELASRSEENEVDSTSSASSNASEINSVDSGCDLYDGKWFYDPQGPLYTNNSCPVLTQMQNCQGNGRPDKEYENWRWKPSQCDLPRFDAKKFLELMRGKTLAFIGDSVARNQMESMLCLLWQAKGITKLHLDAPDEGFMEFIPKFDVIVLSSGHWFAKQSVYILNNEIVGGQLWWPDRSRRMKVNNIEAFGISVETILSAILTHPNYTGLTIVRSFSPDHYEGGAWNTGGSCTGKVKPLETGKLVENGFTNIMHEKQVMGFKRAIKKATNTSKLRLMDITEIFGYRHDGHPGPYRNPDPHKITKRGPDGKPPPQDCLHWCMPGPVDTWNEFVLEIIRREFEGERNFSS >OMO85875 pep supercontig:CCACVL1_1.0:contig09564:24107:28307:-1 gene:CCACVL1_09947 transcript:OMO85875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWGIEVKPGKPHPYHSENVRGKLHITQATIGLGSSTERVVLQCTVGHKSPIILCSLLPNQNETCGLDLKFDEEDDVVAFSVIGSRSIHLSGYFVPYDGDDLRDEYDSDSFGEDIAETDSEDDSSDYNTEDEYENDFIDDDDDDLGMFPPSPVPNSGVVIEEIVDDEKPTNGNVESKRLKKKNKSTDSEDKSNSRSQLVVRNGAAVTVLESEDEDGFPVSVSSKGENAVQESQPETAKQREKVMTEEGKKTTKDGSEKKRKVKSTDEDGQQERKKKEKKQQKEKGTDNIIDLSGDEVQPTKAENQEAELVLPIGNQPDQPSDDRVPNSDADNVPGENLSEKKKKKKKKKNTQENQVGANTAVSDSGDKGTSTLKSEEKPTASKSSQVRTFPNGLVIEELAMGRPDGKRASRGKQVSVHYIGKLKKNGKIFDSNVGRAPFKFRLGIGEVIKGWDVGVEGMRIGDKRKLIIPPSMGYGSKGAGGAIPPNAWLEFDVELVGVR >OMO85873 pep supercontig:CCACVL1_1.0:contig09564:11273:14738:1 gene:CCACVL1_09945 transcript:OMO85873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKEGPSPGVTVKGNEAPEGYHVAPRMENTTTHAGPTMQAAALPPASAATPPGPGTGSEIKKKRGRPRKYAPDGSLAAALSPMPISSSIPLTGEYPTATWKRGRGRPVDNVKKSHKYELESSPPNPNPGDRISYFVGANFTPHVLTVNAGEDVTMKVMSFSQQGARAICILSANGTISNVTLRQPTSSGGTLTYEGRFEILSLSGSYMPTDHGGTRSRSGGMSISLAGPDGRVLGGGLAGLLVAAGPVQVVVGSFLPGHQQEQKHKKQRTEPATAVISPASMHTTSTDGFKLSYGGVKPIPTSPSFHGDDSGSLTPIQGFRNSAMDNRSSSPGEESKGNSLSQCEVSS >OMO85877 pep supercontig:CCACVL1_1.0:contig09564:37419:38795:1 gene:CCACVL1_09949 transcript:OMO85877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCTHCFGVLRPVLLVVNQILISTHMGQPRMNLEHIFVAEGRFLLI >OMO85872 pep supercontig:CCACVL1_1.0:contig09564:6050:9593:1 gene:CCACVL1_09944 transcript:OMO85872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein, MAP65/Ase1/PRC1 MPTPQNDPLVQVETTCNTLLYELQIIWDEVGETDAERDKMLLELERECLEVYRRKVDQANRCRAQLRQAIADSEAELAAICSAMGERPVHIRQSDQNSESLKEELRKILPQVEEMRKRKIERRNQFMEVLEQIQIIRNEILGSTESVSFKTVVDETDLSLRKLEELHRQLLELQKEKSDRLKQVHDHLNTLNSLCLVMGMDFKLTVTAIHPSLGDSEVPRSISDNTIQQLAIVIKKLREVKIQRMQRLQDLATTMLELWNLMDTPIEEQQMFQNVTCNIAASEHEITEPNTLSVDFIKYVEAEVSRLEELKSSKMKELVLKKRSELEEICRKTHLVPDSDCAIEDAIEAIESGAVDAATILEQIELQIAKVKEEAFSRKEILEKVEKWLTACDEESWLEEYNRDENRYNAGRGAHLTLKRAEKARSLVNKLPGMVEALASKTMAWEKERDAEFLYDGIPLLSMLEEYNILRQEKEQEKRRLRDQKKLQGQLIAEQEALYGSKPSPSKPQSVKKGPRYSTGGANSRRVSLGGAMHPPHKLDSLPSFKATPQTRPSKKTERIFQNDHQEDALSAFSAARRGLDIADIHVRKHPSTAINANELESPLVRKPFSPISSTVSSKANMLNMLEDNGETFQKTLPINDLSSYAPTPVKTTSSVVDEENRTPKAMPPIPVPSTPSTVSVPMQTAMTPAATPIPYMAKAVEEIPEETEQSFEERRLAFMLSETQITSVIQV >OMO85876 pep supercontig:CCACVL1_1.0:contig09564:34315:36672:1 gene:CCACVL1_09948 transcript:OMO85876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNSLPPTDPSSSSTEDPAAKAVNKRYEGLITVRTKATKGKGAWYWAHLEPILVRNPDTNLPKAVKLKCSLCDALFSASNPSRTATEHLKRGTCPNFSAVLRPNSSLSPLPISSLASPSVSYHHHHNHRKRSPPAAIASPLQNQVSNNSNDDNSHSLAIVESTRLLGYSSHSNNNNAGLTQQHLVLSGGKEDLGALALLEDSVKKLKSPKTSPGPALSKDQIDSAFDLLADWFYESCGVNLPNGSSVYQKAVFTGGSVTSKYAEEILWETIMGISGSGVQKCVGIVADKYKAKALRNLEIQNHWMVNLSCQLQGFVSLIKDFSKELHLFRSVTENSLKLANFVNNKSQVRSSFQKHRVQELEYGGLIRVPSNKCDCSSNIAHVFAMLEDILSCSRVLQMVVLDDSYKAICVEDPVAREVAGIVQNEGFWNDLEAVYSLVKLIRGMAQEIEVERPLIGQCLPLWEELRVKVKEWCTKFNIAEAPVEKIVEKRFRKNYHPAWSAAFILDPLNLIRDTSGKYLPPFKCLTHEQEKDVDKLITRLVTREEAHVALMELMKWRSEGLEPLYAQAVQVKQQDPVTGKMKIANPQSSRLVWETCLSEYKSLGKVAVRLIFLHATSCGFKCNWSLMKWICVHRHSRIGLERAQKMIFIAAHSKLGRRDFSNEEEKDAELFMIGNEDDMLNEVFADAP >OMO54178 pep supercontig:CCACVL1_1.0:contig15041:3070:4611:-1 gene:CCACVL1_27995 transcript:OMO54178 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MALHVSWAFVFGILGNVVSFGVSLAPLPTFYQIYKKKTSEGFQSIPYVVSLFSAMLWIYYALLKKDAMLLITINTFCVFIQTFYIVTYFYYAPKKEKVVTVKLIMLFNVFGFGLVYLSTFFLKNPMTRLHVLGYICMAFSLSVFAAPLCILRKVIKTKSVEYMPFTLSVFLTLGAVMWFFYGLLLKDMNIAVPNILGFIFGILQMILYAIYKNHPGNKKLVEEDPKLQQLSEHIVVDVVKLSTMVCSEVNAVAPHPNETAINGGVVEAQNNNGKANNDHDGASNKV >OMO54179 pep supercontig:CCACVL1_1.0:contig15041:8423:8494:-1 gene:CCACVL1_27996 transcript:OMO54179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HLLHHDAELDSPPFDWLLSWQAR >OMO91207 pep supercontig:CCACVL1_1.0:contig08371:7426:7920:1 gene:CCACVL1_07194 transcript:OMO91207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MCTLSKKDLQRIFQKLDKNGDGLVSLEELNWLLETIGLLQFSLQELESLVGKPCLNLEEFLFFYDSISSNQNEQHVKVGNNVVDEEIKEEEEEESDLVKAFKVFDLNGDGFISCEELQKVLSRLGLWDESCGKDCRSMICFYDTNLDGMVDFEEFKNMMLHTIS >OMO91208 pep supercontig:CCACVL1_1.0:contig08371:18549:35823:1 gene:CCACVL1_07195 transcript:OMO91208 gene_biotype:protein_coding transcript_biotype:protein_coding description:trihelix transcription factor GT-2-like protein MTWQSSAVDTKPFSSLPNTLKASLNSSSDRCPSSSSESVSVEDSNSNSTISSDHSITSIIFPIFFDLELEGRRKRKRKWNDFCERLMKVVIQKQEDLQKKIGVNLSQSEDAHQNQGQLDSSSNVYGRLLRALQP >OMO91209 pep supercontig:CCACVL1_1.0:contig08371:36269:36616:1 gene:CCACVL1_07196 transcript:OMO91209 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MADEFFVGDSDSNSTSAFTELSDGDEEGRAEGEEEEESKGDGEVRIKIDKYKVCEKSKVDSIPCLDNEEAIKQFSESEKGEKYERHCPGKDNMLGCVVPRPEGYRRPIPWPQSRDE >OMO64048 pep supercontig:CCACVL1_1.0:contig12870:12107:18397:1 gene:CCACVL1_22088 transcript:OMO64048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKDILGLPKTPLPITQEKKSRPQKDSQRKPDGISREVYALTGGLAPLMPSIDVSQLKRRPPSDEKITWQWLPFTSSARKDNLQLYHWVRVVNGVPPTGDYSFAKYNKSVDVIKYTDEEYEKYLTDPTWTKEETDQLFDLCERCDLRFIIIADRFPTSRTVEELKDRYYSVSRAILIARAPSPADVAGHPLVKDPYNASQETERKRALSMVLSQTKHQERKDAEVLAEAKRIAESRMAARVAEEPDLPVPSDAAPENTEKAIVHGDTISQSNVQVSSAAVAPSSSIMPDNASTLASLRMLRVYLRTYGLEQMVQAASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKAVCAEHLELRKEILTLLNLQKQLQYKEAEGSSFRDGSYGDMPGTPKRMHRAADQDRTFVPDAISFGGDRVGKREQKRKAGFDYLARFRDQTHGMAAHIMNLIRKKSRTSLRSSWPSISLSLFASGFVLGPLIDGLHSRVDLVVYQNGAINIGPLHTNIWVPPLLGLFYCTVGLLQLFLDERASSDVPQGSLEKAAASLIALVLFIELSAEMYKNGVPDNIEAYILFAAAELLWFTLDRTRLGFALASLVGICCPLAEIPLMKFFNLWYYPQANVEIFGQGLVTWTVTCYFAYIPFLICLSRWLQSIINAADTEKST >OMO64049 pep supercontig:CCACVL1_1.0:contig12870:18723:19646:-1 gene:CCACVL1_22089 transcript:OMO64049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIATTGLTKALTFSSSSSSPQSFAKTLQVPQFLPLNYQHRRRIIQRKTAVKASSSSPSEAAGSSPGLYSAQQFELTAKNVDLVLEDVRPYLIADGGNVEVVSVEDGIISLRLQGACESCPSSTTTMKMGIEKVLKEKFGDAVKDIQQVYDDVQKETTVEVVNRHLDVVRPAIKNYGGIVEVLSIEGGECVVNYTGPESIGSGIKAAIKMMFPDITNIVFTK >OMO64050 pep supercontig:CCACVL1_1.0:contig12870:20336:26081:1 gene:CCACVL1_22090 transcript:OMO64050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPPPADPDSPRQPHLFAKGNQVEVSSDEEGFRGAWYSATIVESPPKSTSKKRKKPLVQYKTLLAEDGSSPLTEYVDPAFIRPLPPQEKEEDAHSGFELNEVVDAGYRDGWWTGVVRKVVEKSKYRVYFDNPPDVIEFDRKDLRRHWDWIDGKWVRPEKQQSTGSIFSSGTAVEVNIDKENVRDVWFPAIVIKELGESSFLVKYQSSRNDDESGTEKVVVDSLHIRPTPPRYADRNYELLEKVDTTYNFGWRSGVITKVLTGRRYKVFFKNANEDKELTHADIRPNVEWIDGKWISKSREVVIASNDEEQIGTAQHGTQNPELAGELGNSFVIKDNTEDKTPLTNKSKKCVEQPTPSDENNTLLSSKKKIKLETSNGNMLRLRFSKKLNEENNVETPLSVKGDQLKDVPNETSCKEGTPRTGGAGTRFTKKTVIDDEPCAKNESPLTETQTQTASNDCLFCQHHRSNWKTKRQKVSSVDSKTNSLVKRNVRARKSPSEGSQTAGKEGVTDTTEEVHEGEIKTKEVEAPIVIGLSAKPTKTLQAENSVKNPNEDSKPMGDQKDDINDSVENEDVELKDLKVGVSNSRRKRGRPRKSVLTSPKAFNAGKEQNWAGGLADEKPLEGCISDEAKLSKHKGVEPAASQDSLRGRMIDVSMTEHNTKDVNFSIAGISDMEDDDQPLSTWIGGIHSSGDEEQRLSSARLVNGWNEEKEELIDVPVESLAMDARGRTPLADDRSLPFVKKSPVWRTIESMDVFQIVPQKPHFQPLAGENKEEFREGSAIGIMVTFSSMFEKISMLQFDDPKNTFDSISESLNDLEKHGFDVTLLRNRLSGLLSLKEQAQRLGEKENAEMKIREKEKEITKCDEEIEEIRKETTELEKKITELKERETALKLEKEAGERMVASLKLDQDVLKERIQNTVCDFEKIAAAPWKVL >OMO64047 pep supercontig:CCACVL1_1.0:contig12870:8154:8246:1 gene:CCACVL1_22087 transcript:OMO64047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSKIPRVVHPSNLQRGLPSSSLVTDTRR >OMP05847 pep supercontig:CCACVL1_1.0:contig05175:74:1129:-1 gene:CCACVL1_01807 transcript:OMP05847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETSSQKSRGVPTSDPNSAYFLHPNDDPGHVFVSNLLVGDSNYPKWRRAMNNALTAKNKQGFVNGSIVKPEEIDPLYSMWIKCNSTVIAWLSNAIANDLHDSIAYYETAREIWVDLEERFSQGNAPRVQQLKRELALTDQGKDSVAVYYTKLKSLWDELQLYEPNLVCTHSDCSVAKGYAMAKEIEKVHQFLMGLNEGHQTLKTQILSMTPLPSMSKIYSMAVQDEKQQNISAARMPVLEATALVAKSKSQGGQRPRCQHCNKLGHVKEKCYELVGYPNDWKFKSKKEQAKDFKSGGSSSANTVSSTSNDSPIAGLSQASXQAINELLNFXLLSIRQTYLVRKAFLIQLG >OMP05848 pep supercontig:CCACVL1_1.0:contig05175:1361:1459:-1 gene:CCACVL1_01808 transcript:OMP05848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWTQFFKFSSSSFFNGFSSPFSKASTAVVLD >OMO56908 pep supercontig:CCACVL1_1.0:contig14462:1680:3955:-1 gene:CCACVL1_26170 transcript:OMO56908 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein PHYLLO, chloroplastic MVNFVAAQKPLMLGLMKLAGVQPYEVEIEPGTVMNFWVPCETIKKPKKGEKITNIEKPTKPVVVLVHGFAAEGIVTWQFQVGALTKKYSVYVPDLLFFGGSITDKPDRSPTFQAEYLVKGLRKLGIEKCVVVGFSYGGMVAFKMAELYPDLVQAMVVSGSILAMTDSISVESLNRLGFSSSSELLLPNSVKGLKALLSVATYRKLWFPDRLHKDYLEVMFTNRKERSELLEALRIHLLWGEEDQIFKQELAHNMKEQLGENTTFEGITKGGHLVHLERPCVYNRCLKQFLASLPLGDVSKY >OMO56909 pep supercontig:CCACVL1_1.0:contig14462:5468:6379:-1 gene:CCACVL1_26171 transcript:OMO56909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole biosynthesis, uroporphyrinogen III synthase MAVPVPVPIPNLTPVSSSSSAVKPVVIFTTPPNYAARLSHLLTLKGHTPLWCPTITTHPTPQSLTSHLSPQSISLLSAIAFPSRASITSFSLAALSLPKPLLPSHGSTLILAALGKDSELIDTQFISQICSNLRRIKVLVPPTATPKSLALSLGEGYGRRVLCPVPKVVGINEPPVVPDFLKDLEGGGWIPIRVDAYETRWVGPNCAEEVVRKGEQIEGEVDAIVFTSTGEVEGFLKSLREFSWDWGMVRKRWPRLLVAAHGPVTAAGAESLGVDVDVVSPNFSSFQGVVDALDAHFRILVQE >OMO56910 pep supercontig:CCACVL1_1.0:contig14462:8441:9314:-1 gene:CCACVL1_26172 transcript:OMO56910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MMHRCSSSQGNMVGPCSCGLFHHSQSNSFSMLFSMPNHHKSFDETDMYPYTSSSSSVDCTLSLGTPSTRLCEDVDDRRSRHHDRRSGTSACMSNFCWDLLQNNKNTTTPNNYSQQSSKSRSNGNGGNSSGNDPLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRATAANASNSGAMLEQQHHGYGHHNNSWVHQSQKMPCYSQVNEFRFIDDSDRDPDTGIPFLSWRLNVTDRPASLVHDFTR >OMO56911 pep supercontig:CCACVL1_1.0:contig14462:16423:19177:-1 gene:CCACVL1_26173 transcript:OMO56911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf-like protein MAAAGESSFKIILGSSSMARQRILAEMGYEFEIMTADIDEKSIRKEKPEDLVTALAEAKADAIISRLQNTGILEKDAQTTLLITADTVVVYKGTIREKPCSEEEAREFIKGYSGGNAAVVGSVLVTNLQTGTRKGGWESAEVYFHDIPNEVIDSLIDEGIPFKVAGGLMLEHPLTLPFVDAVIGATDTVMGLSKSLTEKLMEEALIQQGSPPGVPNVS >OMO96587 pep supercontig:CCACVL1_1.0:contig07431:6128:8419:1 gene:CCACVL1_04882 transcript:OMO96587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MGKSFLKTKWIATAASIWIQCTSGATYTFGIYSSILKSSQSYDQSTLDTVSVFKDIGANAGILSGLLYSSVTTTPAAAPGAFLVAPGWSTWPGPSSAFLAAHAQTFFNTANVVSGVENFQPFGGTIVGIMKGFLGLSGAVLIQLYNTLSEGDPATFILILSVLPTLVSLTLMPLVQIYGTSSVHEKKHLNGFSSIAVVIAAYLMVIIILRNIFTFPLWTQIITFGILVLLLASPVGVAIKAHRESERLLQAETSPLMDDPEPIPPTKCSRLQDPAPEGYHEIPGEPGQGKAVASSSGSNLVDDDEQDINLLRAMLSLDFWLLFIAMICGMGSGLATINNISQIGESLGYTSTERSSLVSLWSIWNFLGRFGAGYVSDIFLHKGWARPLFMVITLATLTFGHLIVASGFTGNLYIGSIVVGICYGSQWSLMPTITSEIFGVRHMGTIFNTIAIASPVGSYIFSVRVIGHFYDKVATGEDNSCYGSQCFMLSFFIMASVAFLGFLVAFALFLRTRRFYRQVVLKRLRHSSTS >OMO96588 pep supercontig:CCACVL1_1.0:contig07431:22741:27412:1 gene:CCACVL1_04883 transcript:OMO96588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein METLNLKNRWISTVASIWIQCTTGSLYTFSLYSPILKSTQNYDQSTLSTVSIFKDIGTNCGVLSGLLYTFAATASFGGPWLVHAVGAIQCFTGYFFIWASVVGLIPPPPIVGMCFFMLFAAHAQSFFNTANVVTTVCNFPHYGVTAVGIIKGFLGLGGAILIQVYETLFNNKPTSYLLMLALLPSVNPLLLMWFVRIYDTNEQDDHEKKLLNALSFLALLVAAYLMVVIILEHIFTLQFYLRVIIFVVLLVLLASPLCIALRAVKRDIHQDLFFEGHMLLDEPQQPDVGEKTTEQDPACDHRFPTDPDKNMDDNDTRNPQEGENLNLLQAMCTFNFWILFFAMACGMGSGLATMNNLGQIGESLGYSSFQTNTLVSLWSIWNFLGRFGAGYVSDHFLHVRGWARPLFMVLTLATMSVGHAIIASGVTSALYAGSILVAMAGLPPPPILGWFILYFACNHEDRLKGNGTWDEGGRCDAEKEPERNYTMLRPEIEILSPSL >OMO96586 pep supercontig:CCACVL1_1.0:contig07431:2669:5059:1 gene:CCACVL1_04881 transcript:OMO96586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVEDLFKQKEFATIVGPIAAALDIL >OMO51479 pep supercontig:CCACVL1_1.0:contig15808:11640:11990:1 gene:CCACVL1_29778 transcript:OMO51479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWKKTITSPFKKACTFFNQQPRDKKSQREHENRVMDLHGEVMACAYEDVQVMWSILDKSKPTACNINA >OMO51480 pep supercontig:CCACVL1_1.0:contig15808:19326:19463:1 gene:CCACVL1_29779 transcript:OMO51480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKERKSSAKIPIEKAEASEGWKREGKRGIRERKTASLREIWN >OMO98580 pep supercontig:CCACVL1_1.0:contig07086:30732:31709:-1 gene:CCACVL1_04182 transcript:OMO98580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561, eukaryote MTGTRALIAFPDPNSGQLVLLPYILDPTVKLQKSPLLSRPLDIHLISSSATLYGGKMATIHNGATVQIYATLKLEPNKTKIHHVWNRGLYVQGYSPTIHPTTSNDLSSIVTFDVLSGSAATQHNNIDILKLVHGIINAISWGILLPMGAVTARYLRHIQALGPTWFYVHSGIQLSGFTLGTVGFAIGIRLGKMSPGVTYGLHRKLGFAAFCLGALQTLALLFRPKTTHKFRKYWKSYHHFVGYACVVLGVVNVFQGFEVMGEGRSYAKLGYCLCLSTLIGVCIALEVNSWVIFCRKSKEEKMRREGLISGSDKGSGIHSGIHGLN >OMO98579 pep supercontig:CCACVL1_1.0:contig07086:23624:24802:1 gene:CCACVL1_04181 transcript:OMO98579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNDLSQNSILDILSRLPVKSLRRFGCVSKRWRSLIVDPLFRKLHHDRSQRSPLLMFYNRLPEEISDDDDSGDEFLYCGLEPEVGERPISTHSLKAEDESGHVCAEFAMQFDGHGEVSLIPSYRELVCLKKRDLTLFVCNPSTHELLKLPNPSPAWLPNRESFELRYVPSVSHYKLLHVYYTAYEEFGPAVAVADFLDIKFDEKPNGWKCACEGFPGWFKWLSMKSVQGTDAAVYWYNMPDPNVRRDNVDESIACFDLEKEESFTVEKPLGFSKSWGPCTNLVGLKGCLSLIDVVKDSAILTMDIWMLKDNRNSVWEKEFTINMSAVGIKYILPMHFGERVIIFNVAFASTGSRVRYYDLVTKTWRTGGILPRGKHIGPFPYFDGLFSLAG >OMP12072 pep supercontig:CCACVL1_1.0:contig00473:9829:10391:-1 gene:CCACVL1_00145 transcript:OMP12072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEAKDPLKGVDWKAIGRELQKDPSAGGKQVIKKRLPKKIRQIPDCYFLPRMSLPSTIAFYGACIAGGIGAGMLLEVWINKKIKEDGGVIWEFDK >OMP12071 pep supercontig:CCACVL1_1.0:contig00473:6880:7341:1 gene:CCACVL1_00144 transcript:OMP12071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWSVRSSVDDSGFDPSSGNSGRPRLIRAIQDIQNKLGLRIRELRKNLPMKILFLLLGFYCATAFATVIGQTGDWDILSAALAVFVVEGIGALMYRASLPLLNNVRSLITMFNYWKAGLSLGLFLDSFKYEVENIAGFTNYFSFGIDLFRIFL >OMO83035 pep supercontig:CCACVL1_1.0:contig09948:9651:15988:-1 gene:CCACVL1_11592 transcript:OMO83035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKQFEAQLVPEWKEAFVDYWQLKKDLKKIHLLNANNINNTTPTSINTSFANTLLSSIGKLSLLGRQHRDHGVIQVHKIRLEASDSKGDVYETELLEQFADTDAAKGFFACLDMQLNKVNQFYKTKEKEFLERGESLKKQMEILTDLKTILQRTKEASAKDDASISCTISCEEDSVKDRTEQEQAQDSCPDEFDRNSNSASFSESPRSDEIGKSMKREDGKLASTLSGRVFSCQGKNLRINIPLTTPSRTLSAISYLVWDDLVNQSSKKCGPEGSKLHINKTKLHHAEKMIKGALIELYKGLGYLKTFRHLNMLAFIKILKKFDKVTGKEILPIYLKVVESSYFNSSDKVMKLADEVEDLFIKHFAEEDRRKAMKYLRPRHRKESHAVTFFIGLFTGSFVALLAGYIIMAHLTGMYRRQSDSIYMETAYPVLSMFSLLFLHLFLYGCNIFMWRKARINYSFIFELAPTKELKYRDVFLICTVSMTAVAGVMFAHLSLLTKGYSYAQVQAIPGLLLLMFLLLLVCPFNIFYQSSRYRFLRVIRNIILSPLYKVVMLDFFMADQLCSQVPMLRNLEYVACYYITGSYKTQDYGYCMRAKHYRDLAYAVSFLPYYWRAMQCARRWFDEGQTNHLVNLGKYVSAMLAAGAKVAYEKERSIGWLCLVVVMSSAATVYQLYWDFVKDWGLLQLNSNNPWLRNELMLRRKFIYYLSMVLNLVLRLAWLQTVLHSSFEHVDYRVTGLFLAALEVVRRGLWNFYSLKVARLPAVAPKPAYRIFACDSTTDPHEKSNADASIDIKLPRRSLLVQFTCGDCGERTERLINRLAYERGLVYVQD >OMO83034 pep supercontig:CCACVL1_1.0:contig09948:1124:8500:1 gene:CCACVL1_11591 transcript:OMO83034 gene_biotype:protein_coding transcript_biotype:protein_coding description:CMP/dCMP deaminase, zinc-binding protein MYNSYSISSSVLSLRSNGSLAFSFNDSCSNLFNSSFDKTPLSSSPSSCCSCCACCCSCASFPFPTHSVPPPFLYGLRQSALVQCSPSRTGTRSRRLILPAPRRYFLRFPTCDFDHVASASEVSTASIVTSKTRRASRYWLGGLDAAEAMISLLSEEADQECLGSGERTSCSYKMVDLDERKNYDAGCYSQKKKREQLAKARNSASRCNSGNKKRMQVEASQSHVNERYREKNRNVGSNFSESASKHGYESINSESREESSRNAERESYLKAEYKKGRTKSTSCSSYYSLSSSGDLESDTELPDQEEQFVEESLSGHVTESIRNENSTTEGRVAQVIKRDNVDQYLRKKSEKKLDEVSVEELQSGEEYSRRLKNDGSAYMKTSHKKQFTGGKADFKVSEIRDSAETISTLQRQSESRRKTEEEARTLVQSQSESKMKIWEEDIIMGQSSQTRRQRQARDERIAGQLELRRKSERLSEIDEAENRKTSIMQSELHKKQDDTSGLSFSSNSETKKPCFLKDQTPPQRIESRKGLQAVTNLTVVHADNIEVVTSSQTSSGKELIEGESTLTSGLGLMRERREKHNETSPRVEQMKSRKETEKPSTASSAWEKVNEASSFQASLSLVSEAREQRSNVDIIKSEKGSTQALLMPPRSHAIAGGLQHNHSMTEVSTQEVSVETSESGSAMSYVHSKGRTTFSDDEPYSRERRGTYGESMNVTTHEDSLSSAQRLEESSLLFVGEFVEKARCDVLTSEVQQGKRSSDSNSAYGADKHRQKTSGQHGKEELKVKKHDSRQSSKGSGAKGPSDEMWDVTDPSVLEPPEAEITHGTSTSEHAVMKRTGRSLWSLMADIVRLRWGSRAQTPSSAARSRGRTSPNESVGSETWFSGREPDENSEENLRRERSSTASEVVSLGTGTQGEGDASGSMRSEKISRLEGSVSPSLNILETGSASEGISLTSQKEKHDGSSFEIVSSGKEVAESAQLLPARSIRRSPVVEQISETDIIDIHGSGSGSSGVIEHPLGARLTEASQSQGKDGELKQRRLQRAKQVPRDRFDEWDEAYTLEREQRKLDDMFMKEALLEAKKAAESWEVPVGAVLVQHGKIIARGRNLVEELRDSTAHAEMICIREASNTTRSWRLADATLYVTLEPCPMCAGAILQARINTLVWGAPNKLLGADGSWIRLFPDGREGGNGSASVEKPAPPVHPFHPKMTIRRGILASECADVMQQFFQLRRKKKENDNEQAQSQSCLPITSHPSKLLTKMHDIFNVFCLCKYNNNIYIHQEKVLGLLEGFLLAISNAQAPATSPSTTPTTTPPPSTSPPPSTTPPPSTTPTAPAQPPATTPPPVTTPAPKVAPATSPTVPPPQIPPPQPPQTPPTIAPVQPPAVSPPPASPPAVSPAPAQPPSAPAPAKLPPAPAPIILPPAPAPAPTKHRRRRRHRHRRHHAPAPAPTVPSPPAPPTVPTTDSTTPAPSPSLNLNRGDSLFLAGGKNFWARTGLTIAILIAITGYSF >OMO83037 pep supercontig:CCACVL1_1.0:contig09948:27429:32451:1 gene:CCACVL1_11594 transcript:OMO83037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MALKAEIDADPSATLLISSQFDTEKVISITPLLPRASSYNNTTNIITNHKQRRRRSASDTSLALLADESPHQSLTQEVGHAAAETYLITRLSLKLLRYLGVGYRWITRFIALGCYSLLLMPGFIQVGYYYFFSRQVRRGIIYGDQPRNRLDLYLPKNSNGPKPVVAFVTGGAWIIGYKAWGSLLGQQLSERDVIVACIDYRNFPQGTISDMVKDASEGISFICKNIAEYGGDPNRIYLMGQSAGAHIAACTLVEQAMKECGEGESVSWSVSQIKAYFGLSGGYNLFNLIDHFHSRGLYRSIFLGIMEGEASLRQFSPEVLLQDLNAKYAVSLLPPIILFHGTGDYSIPSDSSKSFADTLRRLGGKAESILFEGKTHTDLFLQDPMRGGRDEMFEDLVAIIHADDEDALAKDAVAPPRRRLVPEFMLKLAH >OMO83036 pep supercontig:CCACVL1_1.0:contig09948:27050:27118:-1 gene:CCACVL1_11593 transcript:OMO83036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIENLDNGRRKEGRRNRESD >OMO66228 pep supercontig:CCACVL1_1.0:contig12569:10744:14768:1 gene:CCACVL1_21262 transcript:OMO66228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MAFNITSIKTSSNGAWQGDNPLNFAFPLLIVQTTLILVLSRFLAFLLKPLRQPKVIAEIVGGILLGPSAFGRNEEYLHKIFPSWSMPVLETVASIGLLFFLFLVGLELDLSSIRRSGKRAFGIAFAGISLPFICGIGVAFVIRKTVDGADKVGYGQFLVFMGVALSITAFPVLARILAELKLLTTRVGETAMAAAAFNDVAAWILLALAVALAGNGDGQHKSPLISIWVLLSGVAFVIFMMVVIRPAMKWVASRCSPEGDVVDEAYICLTLAGVMVSGFMTDLIGIHSIFGAFIFGLTIPKEGEFAERLIERIEDFISGLLLPLYFASSGLKTNVAKISGGTAWGLLALVIGTACTGKILGTFLVAMMFRMPVRESLALGVLMNTKGLVELIVLNIGKEKKVLNDETFAILVLMALFTTFITTPTVMAIYKPARRSAAIAHRKLRDLTNTDESKDQLRVLACLHGLSNVPSIINLIESTRSTKISQLKLYIMHLVELTERSSAIIMVQKARKNGLPFINRFRRGEWHDRVAGAFQAYSQLGRVSVRPTTAISTLSTMHEDICHVAESKRVTMIILPFHKQWRGEGDHQTVENVGHGWRLVNQRVLKGAPCSVGVLVDRGFGSGAQTPGPTGIVGQRVCILFFGGPDDREALELGGRMAEHPAVKVTVVRFVEREGLERNGIILRPSPSQSTEKSYSFSTAKMNPEKEKELDEAALAEFKSKWEGMVEYIEKTASNVVEEVLGIGQCGDYDLIVVGKGRFPSPTVAKLADRQAEHAELGPIGDILASSNRRVLSSVLIIQQHDMAHAEETPVAKVVQNNDYNKFNGDGSSGMEEISKVV >OMO66226 pep supercontig:CCACVL1_1.0:contig12569:525:2507:-1 gene:CCACVL1_21260 transcript:OMO66226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPLDMSLDDLIKSNKKPGPNRTRPPRGSGPGPSRRFPNRAGNRTAPYSKPVQAPDAAWQHDMFVDDGAGFSAAPGRASSLETGTKIYISNLDFGVSTEDIKELFQEVGDVKRYAIHYDRSGRSKGTAEVVFSRRSDAAAAFKRYNGVQLDGKPMKIEIVGTNIATPGAGPHATNGKFANQNGAPRSGQGRGGFSGRSRGRGRGGRRGRGRGRAQGEKVSAEDLDADLENYHKEAMQTE >OMO66227 pep supercontig:CCACVL1_1.0:contig12569:4371:5284:1 gene:CCACVL1_21261 transcript:OMO66227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTQDMNMMISCSLNPYAIDDDSKSRTPCHCPFLRLAIA >OMO67478 pep supercontig:CCACVL1_1.0:contig12425:1857:1934:-1 gene:CCACVL1_20498 transcript:OMO67478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQKVLNSCPTKLGLVKRFWKYILDDE >OMO67787 pep supercontig:CCACVL1_1.0:contig12391:17928:20018:-1 gene:CCACVL1_20316 transcript:OMO67787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MSSEAFVEKPMSGRCRCHRNHSWYIVLISFLVWFTLFYLYSSSITFGKINGASFLGNNNQTDLVESSSSDVSFHDVARDVNNVDEGNNNENTETEQKSISAIVDQALGEEDNETDQLSNNVSEQKVTKQKGKSETENVSEEENVDQSVMDFLRIVNESNENEINESDDSSSSTEEEQEQPKETKQKETESVSEVVPKETENVSEVPKEESVVVVKSRKERAAARRSRGRRKNKPVDDLPKRSQNVNRMRRLKRRYLFAFAGARRPNLHESIRNEIIDQCLASRKKCKFLECDKSQKCHKPAYLLKLFQSSIFCLQPPGDSYTRRSIFDSILSGCIPVFFHPGSAYVQYIWHFPKDFNKFSVLIPADDVKFGKANIERVLQRIPREKRAAMKEEVIKLIPKVIYADPSSKLETVEDAFDITVKGVLDRVETVRKEMIEGKEVNSEFDEEESWKYFTFGKLGVHEWDRFFSSKLGKLGA >OMO67784 pep supercontig:CCACVL1_1.0:contig12391:5329:9397:-1 gene:CCACVL1_20313 transcript:OMO67784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein MGSPKKNESKGFFAAMTSGLSMFGNAMHRSVNGFLGYEGVEVINPEGGKEDAEEEAQRGRWKQEERESYWKMMHKYIGSDVTSMVTLPVLIFEPMTMLQKMAELMEYSYLLDEADKCEDPYLRLVYASSWAISVYYAYQRTWKPFNPILGETYEMANHGGITFISEQVSHHPPMSAGHAENEHFTYDVTSKLKTKFLGNSLDVYPVGRTRVTLKKDGVVLDLVPPPTKVNNLIFGRTWVDSPGEMIMSNLTTGDKVVLYFQPCGWFGAGRYEVDGYVYNADEEPKILMTGKWNESLSYQPCDLEGEPLQGTELKEVWHVADAPKNDKFQYTYFAHKLNSFDTAPKKLLASDSRLRPDRYALEKGDLSKAGAEKSSLEERQRAEKRTREAKGHQFTPRWFDLTDEVTTTPWGDLEIYRYNGKYTEHRATVDSSDSTNEADITSIEFSPWQYGNLSTE >OMO67786 pep supercontig:CCACVL1_1.0:contig12391:14715:16978:-1 gene:CCACVL1_20315 transcript:OMO67786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTCHSIRPHHVIGSSAGKLPFSRAAPTKPPFSVRFLGFSAKSQGFSTAKDEGPSCIFVGPIETAKQETLEALYRQARDAYYSGEPLIVDDMFDRIELKLRRYGSKSVIKYPRCSIRRHSTYADAEEDLSQVLALASIWILIFAFGCTLCAVPIIYTISLAYQDPFSSDFSYDSQAANLEFLATVNGILFMGIGSVIGYPLASAAVRVLQRLWRNDLMALKGACPNCGEEVFAFVKSEEFSNSRHRADCHVCDCTLEFRAKAEQSVSILGRRWAYGRVYLISRRGRSRRRM >OMO67785 pep supercontig:CCACVL1_1.0:contig12391:10226:12088:1 gene:CCACVL1_20314 transcript:OMO67785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab5-interacting MKETKSVKLNAQQHQQHENGHFSPFKFAKLLDPEASWDKDQLGDVLHWIRQVVALLCGLLWGAIPVVGGIWIFVFLAISTGIIYGYYAMILKIDEEEFGGHGALLQEGLFASVTLFLVKTGAVTCDNACLGWMRETFMQFVSSENCP >OMP10658 pep supercontig:CCACVL1_1.0:contig02067:569:811:1 gene:CCACVL1_00832 transcript:OMP10658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLGLLSGEPKYPETVIPFRLTSSSTYVSSTSSPDSVKSRPETRLGNRKETSSSSGTGTLSLLTAPLDSEQLSSSDYGKT >OMO99171 pep supercontig:CCACVL1_1.0:contig06943:16996:19621:-1 gene:CCACVL1_03899 transcript:OMO99171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component Sec10-like protein PATLAANVKAVFTCLLDQVSQYITDGLERARDSLTEASALRERFVIGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAIQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKPTDYRSPDDGMAPDHRPTNACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPTVDEKFELLGILANVFIVAPESLSTLFEGTPSIRKDAQRFIQLREDYKSAKLASKLSSLWSS >OMO73821 pep supercontig:CCACVL1_1.0:contig11179:31671:34842:-1 gene:CCACVL1_17130 transcript:OMO73821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPARTSSSSIPCRTNPNLKRSEISDPMRRSFSGNPFSKPSIVTNPRSFNPSTPANSPSDFPRRHSTGRESIASLRDSDKENSKDQNPKPTRVRSPAPSKVTKNFMSPTISAASKMNASPRKKILAEKNDPVRSSVSFSDVRGLTMEDNESTPEIALKKKKKLILAGSLKIFSTGCMLEPAKETDAGTAIFDEKYYGEIEAEEAVDEDDHEQEDQEDEAYENVEQVSEEEPDEVEQGGEAMIELDHLEAEENKGVEGIEPVIELDHLEAEEKKGVEAAIEGEAMIELDHLEAEENKGVELVVAQFDAEHQNEGVELAAQSDAEHQSNVNYNDQPSIIPQATENQPDVSKSAEPEGNDLNQIAEEVLSKEAESDNPKIEASTDSYLTSEAVTAATSRPEDQFLTKNTMAFSLLVLCLLAAIAFIYTRREKSSMPKAAAVTVEQPILAKKSEYSLGSVGSKDTNIERLSSRNRQTVVDMANDSCPSEMSSCHKTSSSSSSYSKKVLKESNSYQSQETKSRKTYRRESLASSDQSMGSASYGSFTTYEKLPSKHGGGDEEIVTPVRRSSRIRKQVTSP >OMO73823 pep supercontig:CCACVL1_1.0:contig11179:40100:46198:-1 gene:CCACVL1_17132 transcript:OMO73823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCSLHSPAITTITSSLPRSGFIEKPLGYGRLLKLPTSKKYPHSRKLKFFDLRAQASGTTKISSEAAGAISNKADSKDEDLAFVAGATGRVGARTVRELLKLGFRVRAGVRSAQRAETLVKSVKEMKVGPEGTTPVEMLEIVECDLEKQGTIGPALGNASVVICCIGASEKEVFDITGPYRIDYLATKNLIDAATVAKVNHFILVSSLGTNKVGFPAAILNLFWGVLLWKRKAEEALIASGIPYTIVRPGGMERPTDAFKETHNITLSTEDTLFGGLVSNLQVAELMACMAKNRSLSYCKVVEVVAETTAPLTPMEELLAKIPSQRAYSPKEPDAPPKPDTAPATSIITEKPTTPSEKEPTQEKAVVTRPLSPYTAYADLKPPTSPSPTPSGPKEVSSSAPTPVEAVSTATGGNNAPTAVATGISEKDSSQQSTSRSPYYAYPDLKPPSSPSPTASGPKEVSSSAPKLAETVSTTTGGNNAPIAVTASISEKDSSQKSTSRSPYYAYADLKPPSSPSPSPPTKAPADIGSQEATLSVNSTDQSPTTDQPSEKQHDARPKPRPLSPYTMYEDLKPPTSPIPSSGKS >OMO73820 pep supercontig:CCACVL1_1.0:contig11179:6495:29636:-1 gene:CCACVL1_17129 transcript:OMO73820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLQSLRFCGPAASGPAGGSFETLNRILADLCTRGNPKEGTSLALKKHLEEEARDLNGEAFSRFMDQLYDRISSLLESNDVAQNMGALRAIDELIDVALGENASKVSKFSNYMRTVFEVKRDPEILVLASKVLGHLARAGGAMTADEVEFQVKTALEWLRGDRVEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPTLAVRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILTVLRIPAERASGFIALGEMAGALDGELVHYLPTITTHLRDAIAPRRGRPLLEALACVGNIAKAMGPAMESYVRGLLDVMFAAGLSPTLVEALEQISVSIPSLLPTIQDRLLDSISLVLSKSPYFQTRPAAALVRGTAANIPQPVSELSGSALVQLALQTLARFNFKGHELLEFARESVVVYLDDEDGGTRKDAALCCCKLVANSFSGIACTQFGSSRSNRAGGKRRRLVEELVEKLLIAAVADADVTVRHSIFSSLHGNRGFDEFLAQADSLSAVFAALNDEDFDVREYAISVAGRLSEKNPAYVLPALRRHLIQLLTYLGQSADNKCREESAKLLGCLIRNCERLILPYIAPVHKALVARLLEGTGVNANNGIISGVLVTVGDLARVGGFAMREYIPELMPLIVEALLDGAAVTKREVAVATLGQVVQSTGYVIAPYNEYPQLLGLLLKLLNGELVWSTRREVLKVLGIMGALDPHAHKRNQQSLSGSHGDVTRAASDSGQHIPSSMDELPMDLWPSFATSEDYYSTVAINSLMRILRDPSLASYHQKVVGSLMFIFKVLPDLFQIVRTCDDHLKDFITWKLGTLVSIVRQHIRKYLPELLSLISELWSSFSLPASNRPSRGFPVLHLVEQLCLALNDEFRKYLPAILPCCIQVLSDAERCNDYIYVLDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVEIRRAAIKTLTRLIPRVQVTGHISSLVHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFTIFIPSIHRLLLRHRLRHKEFEEIEGRLRRREPLIVGTTAAQRLSRRLPVEVVSDPLNDMDNDPYEDGSDLQRHTRGHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWSQLNESSQRQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDERPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGAHSKKMDANPVSVVEALIHINNQLHQHEAAVGILTYAQQNLDVQLKESWYEKLQRWDDALKAYTAKANQVLLPHLNLEATLGRMRCLAALARWEELNNLCKEYWTPAEPSARLEMAPMAANAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTAASGDGSSNGTFFRAVLLVRKGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIDYCTLPVGNTVAEGRRALIRNMWTKRIQGAKRNVEVWQVLLAVRALVLPPTEDIETWLKFASLCRQSGRISQAKSTLIKLLQYDPEASPDNVRYHGPPQVMLAYLKYQWSLGDDLKRKEAFARLQVLARELSSSPNIQTIQSTASMSGTSTNVPLVARVYLKLGAWQWTLSPGLDDDSIQEILSAFRNATQCATKWAKAWHAWALFNTAVMSHYTLRGFPSFASQFVVAAVTGYFHSIACGANSKGVDDSLQDILRLLTLWFNHGATAEVQMALQTGFAHVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRKAAAQEVVDKVRQHSGALVDQAQLVSKELIRVAILWHELWHEGLEEASRLYFGEHNIEGMLKVLEPLHEMLGEGAMRDNTTVKERAFIEAYHHDLSQAYECCMKYKRTGKDAELTQAWDLYYHVFRRIDKQLQSLTTLDLQSVSPELVDCRDLELAVPGTYRAESPVVTIASFARQLDVITSKQRPRKLTIHGSDGEDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIERYSVIPLSPNSGLIGWVPNCDTLHHLIREYRDARRITLNQEHKYMLSFAPDYDHLPLIAKVEVFEYALQNTEGNDLARVLWLKSRTSEVWLERRTNYTRSLAVMSMVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRSNKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSMFASTHVPAVVNTEETAPSKELAQPQRGARERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFSSCSSAPTCSTQHSIDHSTLMSGDNREVEHGLSVKVQVQKLINQARSHENLCQNYVGWCPFW >OMO73822 pep supercontig:CCACVL1_1.0:contig11179:36401:38909:-1 gene:CCACVL1_17131 transcript:OMO73822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDQNPCAEHKKTDPPSSATAYLDPKYWNQRFSNEEHYEWFKDYSHFRHLIQANIKPSSSVLELGCGNSQLCEELYKDGISEITCIDLSSVAVERMKERLLSKGYKEIKVLEADMLDLPFSNECFDVVIEKGTMDVLFVDSGDPWNPQPATVSKVMAMLEGVHKVLKPDGIFISITFGQPVSGKEVFRWWGVHRKE >OMO90265 pep supercontig:CCACVL1_1.0:contig08502:16788:17864:-1 gene:CCACVL1_07427 transcript:OMO90265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPRKQTMNPHKSFKKPKKIQPQPTMSEEEIQMTRKVRVIFHDPYATDSSSSEDESETPVARKFQRGKRVIREIRVPAPDLPPLPKPLESESSSQDSNSKTPSSRKRDLSKTLEGNAQPVKTKKPVGVRQRKWGKWAAEIRHPLKKIRIWLGTYDTLEEAAKAYEDKKLEFDALVAAADADAAAASSCDKINEVSCSAAASHNSNSVPAISEESESVLSHTSPSSVLELDTSASVSVSKSNDNVYCEDEDANKEGFDANFANLPIPDFCFIDDPLLSGSVGQELNLDAEPADYLLVNDFGLMLEDYCSIEDLSICGIEGDEPSELPDCDFGTDFGTDFGTGDFLFGEEVAPLNIACP >OMO90266 pep supercontig:CCACVL1_1.0:contig08502:29154:33653:-1 gene:CCACVL1_07428 transcript:OMO90266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 31 MFSPNSLLSFRLLLPLLLLFVSCFHGVYSAKSSSPPAKIGKGYRLISFEETPDGGFLGLLQVKAKNKIYGPDIPLLQLYVKHETQDRLRVHITDAEKKRWEVPYNLLPREQPPVSKQTIGRSRKVPLAVTDDELSGPELMLSYTADPFSFAVKRKSNGQTLFNSSSAGSGSFGEMVFKDQYLEISTQLPKDASLYGLGENTQPHGIKLYPNDPYTLYTTDVSAINLNTDLYGSHPVYMDLRNVNGEPSAHGVLLLNSNGMDVFYTGNSLTYKVIGGVFDFYFFSGPTPLGVVDQYTSFIGRPAPMPYWALGFHQCRWGYHNLSVVEDVVENYQKAKIPLDVIWNDDDHMDGHKDFTLNPTNYPRPKLLAFLDKIHSIGMKYIVIIDPGIGVNSSYGVYQRGLANDVFIKYDGEPYLAQVWPGAVNFPDFLNPKTVEWWGDEIRRFHELVPVDGLWIDMNEASNFCSGKCTIPKGKQCPSGTGPGWICCLDCKNITKTRWDDPPYKINASGLQVPIGFKTIATSAVHYNGVLEYDAHSLYGFSQSIATHKALQGLEGKRPFILSRSTYVGSGKYAAHWTGDNKGTWEDLKYSITTVLNFGIFGVPMVGADICGFYPAPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWATVAKSAQNALGMRYKLLPYLYTLNFEAHISGAPIARPLFFSFPAYKECYGLSTQFLIGSSLMVSPVLEQGKTEVKALFPPGSWYSVFDMTQKIVSKGQYVTLDAPLHVVNVHLYQNTILPMQRGGMISKEARMTPFTLIVTFPAGAKEGQAKGTLYLDNDELPEMKLGNGYSTFVTLYANLNQSSVKVWSDVQEGKFALEKGWIIEKVTVLGLGGSGDTSSLEINGSPVSPDAASDIQLSSTEQKHLLDVEDEEDKQKSLMVEVSGLKIPVGKNFAMSWKIGVF >OMO90268 pep supercontig:CCACVL1_1.0:contig08502:40527:40883:1 gene:CCACVL1_07430 transcript:OMO90268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEKRKRRDDDVEVDDGKKDKRKGSISGGESAVSDKEEEEVEEFFAILRRIHVAVKYFEKGNGGGRKLTMNNEWKPSFLLQDFEGDNDVKSEGKTEDLEEDSGLDLNLEPVFNEKRN >OMO90269 pep supercontig:CCACVL1_1.0:contig08502:53125:53706:1 gene:CCACVL1_07431 transcript:OMO90269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELNNKKRVRDDSTESEMDSPEVKRLRDDLLDFLDDSDSLPVNQDLASVMKSFEEEISAATTTPSAVSVPVVDLTSDSGESQPDLGYLLEASDDELGLPPPTATTTGSEDGRSEVNELVRVDSDSSGVGEFWGFEEQIPNYDSFDFGIGDNYNGEHVAYGGLYEYPDVDNDNYYDSSEFSGLLWRPETLSAE >OMO90270 pep supercontig:CCACVL1_1.0:contig08502:55988:59925:1 gene:CCACVL1_07432 transcript:OMO90270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFVKTLKGTNFQIEVKPEDTVADVKKNIETVQGAAVYPAAQQMLIHTGKVLKDDTTLADNGVVENSFIVIMLTKTKPSGEGSTASRAPSTNAPQVSAPPPAPTPASTAPVATPAPATAAPVTESAPVAPSPTASDADVYGQAASNLVAGSNLEGAVQQILDMGGGTWDRDTVVHALRAAYNNPERAVEYLYSGIPEQAEAPPVARAPVVGQAANAPSQPQQTAQAAQAAVPTSGPNSNPLDLFPQGLPNMGAGAGAGAGTLDFLRNSQQVSLSLSLCVFHLDFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQADFLRLINEPAEGGEGNILGQLGEAMPQAVQVTPEEREAIERLEAMGFDRATVLQVFFACNKNEELAANYLLDHMHEFED >OMO90271 pep supercontig:CCACVL1_1.0:contig08502:60352:60429:-1 gene:CCACVL1_07433 transcript:OMO90271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TQKEPKSEQQAEAVSKTSTVIRPTY >OMO90267 pep supercontig:CCACVL1_1.0:contig08502:37841:38185:1 gene:CCACVL1_07429 transcript:OMO90267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEKRKPEANDAVSSASAKRAREETASATVTDEEVEEFFAILRRIHVAVNYFKKGNGNGDKSKVTWMGKAESHNWGRNVEANGGKKKGDENVEENHIVGLDLNADPGTGSDPF >OMP07705 pep supercontig:CCACVL1_1.0:contig04257:463:2678:-1 gene:CCACVL1_01241 transcript:OMP07705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase KptA/Tpt1 MWATLTNGSFRILRYCVLRLPPVPSQVHRFRALTFMENTNLNNVTSSSFSSFAHSTKSGDRGRGRGRGREMKHDQERSGGRGGGSGGSGGKDKIDALGRLLTRILRHMAAELNLNMRSDGYVKVQDLLKLNLKTFANIPLRSHTVDEIREAVRRDNKQRFSLLEENGELLIRANQGHTVTIVESERLLKEILSADEVQVCVHGTYKRNLESIMESGLKRMKRLHVHFSSGLPTDGEVISGMRRDVNILIFLNVRKALEEGMKLYISDNKVILTEGFDGVVPVKYIEKIESWPDRRPIPF >OMO54378 pep supercontig:CCACVL1_1.0:contig15005:6063:7114:1 gene:CCACVL1_27841 transcript:OMO54378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFGLLSECTAALQLALLDIHCMQEDAARIEMEIVDGLSDKLYRYNENACKELLIYKKIRCAVFS >OMO54379 pep supercontig:CCACVL1_1.0:contig15005:8881:16790:1 gene:CCACVL1_27842 transcript:OMO54379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSHRQDYDFATPVMTIS >OMP10995 pep supercontig:CCACVL1_1.0:contig01732:112:848:1 gene:CCACVL1_00739 transcript:OMP10995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADENGHGRSSDKAALNDKKGGIKTMPFILATEN >OMO59608 pep supercontig:CCACVL1_1.0:contig13914:24616:36238:-1 gene:CCACVL1_24730 transcript:OMO59608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAEINGPILPSSPASNSRTVRSLASPPLQPNYSSRPVQEALEHLASIDLNELFNEAKVEYCRAARDLRSCGRYVQYALNSCGHASLCAECSQRCDLCPICRIPLPKSGNNRIRLRLYDECLDAGLISRRCDERKSTDVTDICMDETAVSSDAVTALLLDEKVVKDWVKRTFKNITAELQGIYYQEIKEMKDRLCSLLKFSVHLAGLSSVLEVLESSFKGRLVAQLHDLHQLQEGILKTKQHLEIAMWCIRHQFLEHVKSRHANFTSWRNLVRERKAAAIDRAWPDVLDHLADSTGSVGSLFIEDALANLDIEQAYDQEVGDKSDFPFLQKNGALSFFKSKIEGMTGCYPFENLRAAVDILFLRSSSDLVVAKRAIFLYYLFDRHWSMPEEEWRPIVDDFAASFGISRHLLLESFTFCLLDDHSDDALLESRQLLPEISGPATHPKIAQVLLERQSPEAAQMVLRCSGRDVVFQLVSLSEAVTIVRVKMECALLTEAFTYQRMLCTKVRDKKFKYGPSGDAFDDMKGQCRSWMDWVEVLVTEICCLCIRRNLVDRMIELPWNSDEEKYIHKCLLDQATAHPSTTIGSLLVVFYLQRYRYVEAYQVNLKLWSLEQEFISNNSVDEEVLSRMESQRQRRKELVDKGIELLPEVLQQQVKTGTFSDIVIASGQVDEMSASSGLSELQEPKSALLVPSTSDSILLRPDHMATPFRPPVFEIPKGGYVDKSHVEAGDNGSSSILQGKLFADAERASNLEVVKNFKFDDISSPGIRRLTPTYSTPVKGISWSSSRELPNRHLQEKQSNIIILEGEQNGFVNQVRKASPPYSRRVTANPVSTPSSNSGLFKGFANNLRSDVSGKRGQSDRDDGYLNVPATEDSMDISWSHERRSFDERNGNVGLRWRSDEASDEEEQSPQRPEEVSSSEEGAMQNNSKNKCTSTSHQLMVDNAKNRLNYLQERFTDLQSARKEGRAGDVAVLEEQVYQSLREWKAELFTPSPASSLLGGSLGSFSDDINRLLQLRGEEDDDATSPLKGPAVLKPETDIQNLSPSNLHLIPEDYLVNHEPEEHGFQGFDPCKVSTSALESTMVGNADINYQLDYHPFDLQQEFDHGLLIGANGTEDCVRDANPNVLPNISPPPSAFMGPKCALWDCTRPAQGSDWWSQDYCSNFHATLALNEDPPGMPPIVRPGGISLKDNLLLDALRAKSQGKNVGIPQCEGAATMKSPWNATDQQVSRSADLFDLSLLEGEILREWLFFDKPRRAFESGNRKQRSLPDYSGRGWHESRKQVMKEFGGQKRSYYMDPQPSGQYEWHLFEYEIYGCDAFALYRLELKLGNEKKSPKVKVTKDSLADLQKKMGRLTAEVPGDDKSPEIPSPDRIFNANSIRQVIGGGWNLETGDSKESFYVGPLADGLNQWPLEEDLPSWRSTVETYHKKVLSVGTKLLSLVALALRLDEDFFQKVGALNEPLAFIRLLHYPETYGASAHSDYGTTTFLVTDGVPGLQVCRDKSKKPRVWEDVPSMKGLSL >OMO59609 pep supercontig:CCACVL1_1.0:contig13914:42594:43662:1 gene:CCACVL1_24731 transcript:OMO59609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVTETAAPKSMRLRGLAENGAVGEKKEKRKFWIALSKDEIEEDIFVMTGSRPARRPKKRPKNVQKLLDGVFPGLWLVGASADAYRVADAPVKASLHGWEADFQAV >OMO59607 pep supercontig:CCACVL1_1.0:contig13914:21670:24137:-1 gene:CCACVL1_24729 transcript:OMO59607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MSEALQLPIIDLSSTDRISNSNSIRQACMEHGFFYVVNHGVEEELIDKVFEHTSKFFSLPIEEKMNFALKNNRGYVPLYAGKADTSSSSAKGDCKEDLYFGPPADGLNLWPFEEDQPSWRSTIETYHEKVLSAGNKLLSLVALALKLEEDFFHKVDALNESLLVLALTQYPGIYEIYGGSAHSDYGTLTLLMTNGVPGLQVCRDKSKHPQVWEDVPSIKGAFIVNIGDMMERWTNCLFRSTLHRVLSPKQDRYSVVFFMNPGKDCIVECLESCCSESCPPRFPPIRCLDYFEERLRLSYASKS >OMO59604 pep supercontig:CCACVL1_1.0:contig13914:13272:15572:-1 gene:CCACVL1_24726 transcript:OMO59604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MSEALQLPIIDLSSTDRISNANSIRQACMEHGFFYLVNHGVEEELVNKVFEQSKKFFSLPVEKKMRLVSKEYSRGYTPLCSENLEDLSSNTKAKASYKESFYAGPKVDGINQWPSEEDLPSWRSTMEIYYKEMLSAGTKLQSLIALALKLDEDFFQKADSLNEPCVYLSLVHYPGDSDSDSSGEEIYGVSPHSDFGTITLLMTDGVPGLQACFLDKTKQPQVWEDVPSLRGAFIVNLGDMMERWTNCLFRSTLHRVVSPKQDRYSVVFFMSADKDCIIECLESCCSESCPPRFPPIRSWDYLEERMRQTFGS >OMO59603 pep supercontig:CCACVL1_1.0:contig13914:6791:12632:-1 gene:CCACVL1_24725 transcript:OMO59603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MSEALQLPIIDLSSTDRISNANSIRQACMDHGFFYLVNHGVEEELVNKVFEQSKKFFSLPVEEKIKLASKDCRGYSPLYSENLDHSSNTKAKASYKESFYAGPPADGFNQWPSEDFFQKVDSVNEPCGYLALLHYPGDSDSSREEIHGASPHSDFGTITLLMIDGVPGLQICRDKTKQPQVWEDVPSLRGAFIVNIGDMMERWTNCLFRSTLHRVLSPKQERYSVVFFTNADKDCIIECLESCCNFPPFVAGTTWKSASDLHMAHSSKVMHCFSQIACLDHGFFYLVNHGVEEELIKKVFEQSKKFFSLPVEEKNKLSVKNHRGYTAMYFEKLDTSLSSKGDSKESFYIGPLRENHLNQWPSEEDLPSWRSTMEAYHEKLLSAGIKLLSLIALALNLDEDFFQKVGALNEPMPFMRLLHYPGDVDFSKEEVYGASAHSDYGMITLLLTDGVPGLQVCRDKSRRPQVWEDVPSISGALIVNIADLMERWTNCLFRSTLHRVVPTKQERYSVAFFMDPNKDCIVECLETCCSETCPPRFPPIRTAAYLEERFRVTAMTDTEDFLY >OMO59605 pep supercontig:CCACVL1_1.0:contig13914:16752:18803:-1 gene:CCACVL1_24727 transcript:OMO59605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MSEALQLPIIDLSSTDRISNSNSIRQACMEHGFFYVVNHGVEEELIVKVFEQSRKLFSLPIEEKMKFALKKDRGYSPLYAGKLDTTSSSTKGDCKESFYFGPQADGLNLWPREDVPSWRSTIETYHEKVLSAGTTLMSLVALALKLEEDFFHKVDALTEPLLFLGLLHYPGDSDSDSCSEETYGASAHSDFGTITLLMTDGVPGLQAFSLDKSKQPQVWEDVPSIKGAFIVNIGDMMERWTNCLFRSTLHRVLSPKQERYSVVFFMNPGKDCIVECLESCCSESCPPRFPPIRSLDYFDERLRLTYGSKS >OMO59606 pep supercontig:CCACVL1_1.0:contig13914:19741:20697:1 gene:CCACVL1_24728 transcript:OMO59606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVRGRLNLGLLKLPALSDECPRFMPFVPPSDTKNAAAVTIPAAELEKLEVLGRGNGGTVYKVQHKGTSNIYALKVVHGVGDQNIYKQFLRERDILRKTESPYIVRCFETYEKPSGKVALLLEYMDGGTLDTFLRHHGWFSEPEVAHITRQVLEGLVYLHSNKIMHRDTKPSNLLVTNKNMEVKIADFGVSKVTTLPLDPRNSYVGTCAYMSPERFDPDSNGGNYDGFLGDIWSLGVTAMELYMGHFPLLPPDQNPSWITLMCANCFGDPPTLPSGASDEFQHFLDCCLQKEPSKRWSASQLLGHPFLLKYQGIHIR >OMO59610 pep supercontig:CCACVL1_1.0:contig13914:48300:51636:1 gene:CCACVL1_24732 transcript:OMO59610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLIIGRQGEASSGHRGNR >OMO59602 pep supercontig:CCACVL1_1.0:contig13914:1341:1611:-1 gene:CCACVL1_24724 transcript:OMO59602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MTRRCSHCSHNGHNSRTCPNRGVKLFGVRLTDGSIRKSASMGNLSQYSGSNAAAHNGNGSNSPGEGPDHADGYASEDFVPGSSSSRERKK >OMO76500 pep supercontig:CCACVL1_1.0:contig10893:41267:41769:1 gene:CCACVL1_15626 transcript:OMO76500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFTNIQLKQPYSRQLYFLVEGKEGIEEFYPKM >OMO76498 pep supercontig:CCACVL1_1.0:contig10893:27316:27846:-1 gene:CCACVL1_15624 transcript:OMO76498 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MEEMRDHYSMEDHMAATPPTSSTHHNPKPPTSKSTSYNYGSLLENEDNYFEGILTGESMQNSSSQQIDSSPKQNLSMALAAASTTVTTVPVKRGAPPPQYWNHHEPNSSSIGSQSGKRFQGDLNSTSSTGGIDETSSFVSLLNQLPQNAPFYPGTFVGSLGDSRQQFILPSMNWNS >OMO76497 pep supercontig:CCACVL1_1.0:contig10893:5516:20011:-1 gene:CCACVL1_15623 transcript:OMO76497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLHGSVEALVKEIKEEVSMLDIDINPYSFVATSAYDTAWLAMVVADSNQPFSCMFKDCLNWVLNNQTEEGFWGECDAHGNPTIESLPATLACVIALQKWHLGINNIKRGLDFVHRNLEKLLGFGVTQGQFPRWFTIIFPGMIELARKAKLQLAFPSKLNGLLSDIFYQRQRILETEELVDSSKCPPLLSYLEALPSSYEISEEDITMNLSGDGSLFQSPAATARAFMATGNEECLAYLQSLVGKCANNGVPPSYPMDEGLIKLCVVNQLHKLGLADHFTEEIEANLAQIYRDYKSQESVAKSSINKQVVATQLQKDSLAFRLLRMHGYTVSPWHFCWFLNKEVGAHIEDNYESFSSTMLDVYRATDFMFPGEYELKEARSFSRKMLEKVLFEGNTDTRDNKYNFRPINLKKMIEHELGFPWVARLDHSEHRMWIEEKNNNILWAGKASFNRLSPLLNEKLIHLAVADYEFRQSIYRTELEEVKRWTRSKGLSDIGFGREKTTYCYFAIASSILLPYDSEIRKIITKSAVVITVADDFYDMEGSLDELNTLTDAVSRWDGNGLSGHSQTIFDALDDLVREIVAIVLQQQGTDITNFLQQIWYETFGSWHMEAKWSKGGILPSMGEYLGTGMISIAAHTLVLPASFLINPSLKDWEIKASEYEKITQLLMVIPRLANDIQSYQKEQEEGKMNYVLLYLRENPGAGIEVEPVGPIEPSTRPSTGFMPIGSFGTYKMWIEEKNNNILWASKASFNRLSPLLNEKLIHLAVADYEFRQSIYRTELEEVKMWTKSKGLSDMGFGREKTSYCYFAIAFSIPLPYDSDIRKIITKSAVVITVADDFYDMEEIVAKVLQQQGTDITNFLQQIWYETFGAWHMEAKWSKGGFLPSMGEYLGIGMISIAAHTLVLPASFLMNPSLKDWEIKASEYEKITQLLMVIPRLANDIQSYQFCAMELSNLDSIQALVKEIKEEMFSGNIDLYSFVSPSAYDTAWLAMIPSDDDINQPMFKDCLDWVLHNQAKDGYWGECDAHGNPTIESLPATLACLIALKKWNVGTEIAEKGLDFIEANTEKFQGGNYHNQCPRWFAIVFPGMIELARKNGLELDFPNHIKGLLMDIFFRRQEIFETEELADDDASYPPLLSYLEALPSIYTVNEEAITMHLSNEGSLFRSPSATARAFMATGNMECLAYLKSLVRRCVHGVPPTYPMDEELIKLGLANQILRLGLAEHFTPQIQDILAHVYRNYKNQEEVEKSRNNTSIATQLHKGSLAFRLLRMHGYNILPRSFCWFLNNEEVRNHIEKNYEYFSSVMLNVYKATDLMFPEEYELEEARSFSRKLLEKVVSKESGDVVDHFTKFSSLQRMIEHELSLPWVARLDHLEHRAWIEENDMNALLPGKTSFHRVSRLTNEKLVRLAVADYELRQSIYKNEMAELKSWWTWGLSDMGFGREKSMYCYFAVASSLLLPYDSDIRLMVAKSAILITVADDFFDMEGSLNELNILSDAVKRWDGQGLSGHGETIFLALDDLVRDTAAKHLQQQGIDITSYLQQIWYETFASWLVEAKWSRSKHFPSMDEYLGTGMTSIAAHTLVLPASCLLKPSLPNSKISPAAEYETLTKLVMLVPRLLNDIQSYQKEMEDGKMNSVLLYMKENPEADIDDSIAFVRNLLEEKRKELLKHSLMDGLSDLPIGSRHLHLSCMKVFQMFFNSSNRYDSNSEMLQDIQKAIYIPVDVGTSKPLSMPLPPDYGSKKELQSTITSRQKIVLPLKYQSRKIIGYQTSLPIARRAKPNMFMIPNLRLSFA >OMO76499 pep supercontig:CCACVL1_1.0:contig10893:31112:37242:-1 gene:CCACVL1_15625 transcript:OMO76499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, clan GH-D MHQRLFSSSAKNLCRKILNPRTSTTQLPLTTRTPCDIFINHRGIDTKRTIAGLLHDHIYRLGLRPFLDSRNMKPGDRLFDKINPAIRGCKLGVAIFSPNYCESYFCLHELALLMESKKRVIPIFCDVKPSQLQVKDYGTCSAEELQRFSFALEEAKFTVGLTFETLTGDWSEFLNTATDAVIKNLVEEAAGLGLNISSHGHEHRARFLLDNGIARTPPMGWNSWNHFQCNINETMIKQTADALISTGLARLGYKYVNLDDCWAEGQRDQNGKLRGKKTTFPSGIKALADYVHSKGLKLGIYGDAGKRTCSDRMPGSLGHEEEDAKTFAEWGIDYIKYDNCFNEGTKNRPRYETMSRALKKAGRPILFSLCEWGQEDPATWAGDYGHIWRTTGDINDTFPSITSIADSNNVWAKYAGPGRWNDPDMLEVGNGGMSYEEYKSHFSIWALMKAPLLIGCDVASASKETLSILGNIEVIAVNQDPLGVQGRKIRFKGGLEIWAGPLSRGRVVVVLWNRSHSRKPISFGWREIGLHPLRPCLVRDLWKHKFVAFRRRFRMTAVVGPHACKMYVLIPVR >OMO76501 pep supercontig:CCACVL1_1.0:contig10893:43273:47331:1 gene:CCACVL1_15627 transcript:OMO76501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTTLLDRALFQLTPTRTRFDLILFSKGKNEKLASGLFEPFISHLKFARDQISKGGYSITLQPPAPGALWFTKATFQRFVCFVSTPAVLERFVSIEREILQIERSIQDNELNANADGRQDEDANGNTKKSTDFTKDNDEPKIKEESTQKENSKVQLQRLLETRKALLRTEQAMTYARGLVAGFAMENMEDLISFADAFGAARLREACINFKELCKKKLADRLWMEELAAVEVCSPLPLGTSGIVLANGIMPNFPINGAPTGDLSSNGSSESSNGAVDGKKDGNLPASDQIPSTTTKYQMPMHWPHQIPQHMYNLQGSGQQLPPYQGYPFHPVQPVPLPYPMNMQWTPNRNQKSSSRRKKKSLNGKRLEYGEETETESSGSDSGSESSSDKQEERRQSSPDPPSRKKNSKKSSKTVVIRNINYITPERRRSGEKGQVSDGSYSGEDDLIDADSLKMKVDDAVKSLKEASKLNKRRGADKGRDTANKSDDDSHQKNSNVPEEGKTNENWDAFKSILMRDEENASMNEVEWKQSADVQEHYMARNIDGEISATSQKVPIQRTVMGDSFVMTERDGKDETRKLDDFFNGDNYRPVMKRRDSAEIVLLRPERLEDPGSNLTNLMSTSANGSSVIRSRKEEDLFAGNHYVKPGNQDSANYQMLFNGDHISSAESDPLYSQKSRKDILIDDSFMVTARAEGDDQGDSQWKTDISVVADLTSSTNPDGNIDASQNEHKIVDSNEPDDLFMVLERNPGYDSSRDSWTMDYQIDLSFSEPSRSAATENAEEKAPSDDKKQNGAAGLKKPAKETRSKILNGSLGKSKAENIYKSKRPSLVSRSVSQKSKLEKEEEMRKKMEELLLERQKRIAERTAASTNKRSPLESKAAKSSTKSDKNKNLSPAAATNRVSSMKLRAST >OMO50295 pep supercontig:CCACVL1_1.0:contig16225:6750:10723:1 gene:CCACVL1_30524 transcript:OMO50295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant nuclear matrix 1 MLNYPRANAPAQSLLFAGMERYALLEWLFFRLLGDKSPFSQQNLQGDAMDRDEETSRIQYLAEIAKFLGITTTIDTEAIQGRGSYEDRTEMLRLIVDLVEASISADNPEWSVDEQVAKDIQLIDAIAEKQALIFSEECKLFPADVQIQSIYPLPDVSELESKLSEQTKILSNLQQKVDDLASKHAYNPDEDYTEVESKLRAHLESFLETARSFNMIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLLKFLGNLRNLRDSHAALAVGSSETIAGEPSPVTRIISECESAVTFLNRDLGILSASIAREQGEQANL >OMO50298 pep supercontig:CCACVL1_1.0:contig16225:28115:28252:-1 gene:CCACVL1_30527 transcript:OMO50298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVHCSMESMTNERSCCTLWRRNPKLLLHPPKPNQPMEESSQRL >OMO50296 pep supercontig:CCACVL1_1.0:contig16225:13526:16774:1 gene:CCACVL1_30525 transcript:OMO50296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MANFLSLLSIAFATLCLTSHFLVEAQNGGFSVELIHRDSPKSPFYNPSESSYDRVAKALQRSFNRANRFSNPSSVSTKAINAEIIADAGEFMMNVSIGTPPFPIVGIADTGSDLIWTQCKPCTQCFKQDAPLFDPSESSTYKVLSCSTSQCESFQGTSCSSDNSCQYSTSYGDRSFSKGDLAADTITLPSTTGRPVAIPTTVIGCGHNNGGTFNDNTTGIIGLGGGDVSLITQLGNSIAGKFSYCLLPISDAGNSSIMNFGSDAVVSGPGVVTTPLIKSSPATFYFITLEAISVGSNRLEFSGSSFGSDGNMIIDSGTTLTLLPEDFYTEVESAVASEINATRVDGPPGLSLCYDVSTDFAVPNITVHFTDADVSLAPSNTFVLVSETVACFTFNANQDIAIYGNLAQTNFLVGYDTQEQTLSFKPTDCSNNQDLEAQNSGFSVELIHRDSPKSPFYNQLETTSQRTINALHRSIARANRFSRILISKNEAQSEIIPDETEYLLSISIGTPPFEILAIADTGDIAVDTITLGSTTDRPIAFPNSIIGCGHDNEGAFSKRGSGIIGLGNGNLSLISQMGSSIAGKFSYCLVPSLEAGRSKMNFGTNAINVQGPGVVSTPLITKTSDVFYHLTLEAISVDGDKLEFTGSSLGTTEGNIIIDSGTTLTILPQDFYSKLEALVASKIDAKRVDAPGIDILSLCYEAQPDFFVPNITMHFTNADVKLSPLNTFILASEDLSCFSFGTYLNFAIYGNLAQMNFLVGYDIENQVVSFKPTDCAKE >OMO50297 pep supercontig:CCACVL1_1.0:contig16225:20256:21323:-1 gene:CCACVL1_30526 transcript:OMO50297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLKTIQHSTHVHSLTQVYSGREFLCNGCQTLGDGQSYRCESCNFNLHERCATCPLELSCFMHEQHRLKLVSKSEALTIMDQLMKKCDLCGDPVEGLFYECNQLCGFNVHPVCTQLPEYVNHVKDKAHRLRLQRCLTADSCTICQKACWPWRYRCEICFLDLHLECVLAPCEEAMSSTPGSRALNSPILPPPAASSSPFFNAYNYPGYGAIPRPPYYGGGVPLAPPYFPPNYYPYHYGANYIPPQFHPYAHSYSYWPYNIPPPPNYNLPHGYYIYGGVPISPQNYASNSYAHGCNVFPSSSNYSGEYNVNVQHSNSQVQGVSSGRFRKKMYAIIGSLALGVTSNVLFGAISSCI >OMO54691 pep supercontig:CCACVL1_1.0:contig14912:4604:11227:1 gene:CCACVL1_27658 transcript:OMO54691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MNPVQRAPKTAQNGKGPPAAHEPQSTKQPHNQTSPPSKNRGRRRGRGGRKSDQGDVCMRPSSRPCTVAHKPVNSAAAADLVAVTSNGSIQNGHKLSGMEMGFPTSSKSLNFAPRPGFGHVGTKCIVKANHFFAELPDKDLNQYDVTITPEVASKTVNRAIMAELVKLYKESDLGMRLPAYDGRKSLYTAGELPFAWREFTIKLIDEEDGINGPKREREYKVVIKFVARANMYHLGQFLAGKHADAPQEALQILDIVLRELSTKRYCPIGRSFFSPDIRAPQRLGEGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEYVAQLLGKDVLSRPLSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHTHLPCLQVGNQRKANYLPMEACKIVEGQRYTKRLNEKQITALLKVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVNRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNPEPVIPIYSARPEQVEKALKHVYNASMNKTKGKELELLLAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLVSFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMQENGSTGGSAGNNKSTRAAGESGVRPLPALKENVKRVMFYC >OMO87286 pep supercontig:CCACVL1_1.0:contig09256:9762:12842:1 gene:CCACVL1_09142 transcript:OMO87286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MRQWLWGFLFVAFCFACCIAAQHKPRTERISGSAGDVLEDNPVGRLKVYVYELPSKYNKKILQKDPRCLSHMFAAEIYMHRFLLSSPVRTLNPEEADWFYTPIYATCDLTPNGLPLPFKSPRMVRSAIQLISSSWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPILQRATLVQTFGQRNHVCLNEGSITIPPYAPPQKMQARQIPPDTPRSIFVYFRGLFYDVNNDPEGGYYARGARAAVWENFKNNPLFDISTEHPTTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDTILTSIPPEVILRKQRLLANPSMKRAMLFPQPAQAGDAFHQILNGLARKLPHQKSIYLKPGEKILNWTAGPVGDLKPW >OMO87287 pep supercontig:CCACVL1_1.0:contig09256:15437:18535:1 gene:CCACVL1_09143 transcript:OMO87287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transaldolase MATISKLSNPNPAASLSSSFKPRSSSSLRCFVGFRTAAGFNSKLLSSSTLSFTNNHPSKGSLLVRCSLSDGNGSSVKRTTLHDLYEKEGQSPWYDNLCRPVTDLLPLIASGVRGVTSNPAIFQKAISSSNAYNDQFRELVQSGKDIESAYWELVVKDIQDACKLFEPIYDETDGGDGYVSVEVSPRLADDTEGTIEAAKYLHKVVDRPNVYIKIPATAPCIPSIKEVISKGISVNVTLIFSLARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDTLIDKMLEKIGTPEALDLRGKAAVAQAALAYKLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVAPLIGPDTVSTMPDQALQAFIDHGSVSRTIDTNVSEAEGIYSALEKLGIDWGYVGKQLEDEGVDSFKKSFDSLLDTLQEKANSLKLLLLSYYQSLSAYDGVADVLVSRGGLMVRLGKEEIFGVSDLILAYYRFGLVAP >OMO87285 pep supercontig:CCACVL1_1.0:contig09256:6603:8575:-1 gene:CCACVL1_09141 transcript:OMO87285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSIIIAREKKRRDARGSPIISQIKFGCFHSTSISSQGSKVIVGIRNSGKDTDEAKLLTWTQTENTV >OMO74797 pep supercontig:CCACVL1_1.0:contig11084:182:253:1 gene:CCACVL1_16462 transcript:OMO74797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLATTQEAADSLANSVANLLIGF >OMP09103 pep supercontig:CCACVL1_1.0:contig03382:461:529:1 gene:CCACVL1_01074 transcript:OMP09103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKNRFWKSKMGSSKASRKANQK >OMO99371 pep supercontig:CCACVL1_1.0:contig06907:16628:16702:-1 gene:CCACVL1_03835 transcript:OMO99371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIKASFSSPNIRIFSTDPKRKGYG >OMO97696 pep supercontig:CCACVL1_1.0:contig07214:12581:14688:-1 gene:CCACVL1_04484 transcript:OMO97696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MWENIQEGLWKPTKRVEGEFVRVEWTQDDIKKFQLNYKAINTLHCALNTTEFNRVSTCTTAKEISEELKVTHEEPDEETEIQGRRRNRLYKRNFKKDKFKSKVRKQNQLICYKCREPGHTKTDCPLNSTEDQKKKKAMMASAWSDSDTSSVEASDVEEDIKANLCLTVKEDEPEVMNNPLISFDDLQSEFDNLYYDFEKFTSKYKTLKKMNPGLMNDLECLKKELTSMQESKDTLQNVLRHVIEENEKFEHELNELKIVSSNVTSSSGSNRFNSNRNQKNFRLRAMVEHEHNGEGVMAQPQEKKLCQLCDKGWRVTFDSRSCQRNDINTNKLIFIGKRHRNVYIVYLDDLQLSKNVCLMVRSSFKSKNIDSTTRALELLHLDLFGPIDVTSMVVKSLDFVIVDDKDEAIDFL >OMO97367 pep supercontig:CCACVL1_1.0:contig07245:428:787:-1 gene:CCACVL1_04577 transcript:OMO97367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSKASAPIALFLAFNLVFCTFVTSQIPPIPSFPGQVCAFIPAVQSIFNGNFTQRFRRCCNFLLTQSTGSPLNGLLCEIIQYVNTTLDEGAVTNATAAAANLGRVCRLTTTNLTCT >OMO97372 pep supercontig:CCACVL1_1.0:contig07245:30276:31869:1 gene:CCACVL1_04582 transcript:OMO97372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGRGGRDPFFDFGGFGGFGGFGAFGGNGPRSMLSNFFGGRDPFDDPFFTRPFGGMFESSFFGPGHSPFPDMHPSGFIEHQAPQPNSNRSRGPIIQELDADDEKEAEEEKRENPRKHRRSNDEPYVEVPDDDAGQSDGRNMHLQFMNGSNSSYGRQQQQPQTRSFTFQSSTVTYGGANGAYYTSSKTRRTGSDGVTFEESREADSSTRQATHRVSRGLHDKGHSLTRNLNSDGRVDTMQTLHNLNEDELSGFEEAWNVNARKHLPGWSGIAHGSIGDGTSGQNGPAGRGGWALPSTERSQQSGRVMSDAGERAGPSGSEHSMRMKGSTDPKDKRLHSKGKRRD >OMO97370 pep supercontig:CCACVL1_1.0:contig07245:21927:22112:1 gene:CCACVL1_04580 transcript:OMO97370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSSKAVGLEYAPTGVSKPWDLTRVACEGNKWDNGAKLERERLRECGPFGRRTTEFASKV >OMO97380 pep supercontig:CCACVL1_1.0:contig07245:60450:63144:1 gene:CCACVL1_04590 transcript:OMO97380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MEKTSYLLVCLISCLILSFLASSWFLPTKPELPGCSKYAPWCTASKNRIFSKTGFSLQHKSTDHSTETPQHPLDPLTVQEINTVRTILSSYEPFSSTIPSIHTLSLDEPDKLQVQTWRKGDPLPPRKAAVLALLNGQSHVLTVDLESNRVTSHVINPTSGYPMLTTDDIMGAAKVPFSNAEFNKSLTARGLSSSNSLCITPSAGWFGPNEEGRRVIRVQCFATEGTSNFFMRPIEGLTLTVDLDKLEVVKFSDKGIGIPVPKSSGTDYRYSAQEKPPQMEPLNPISIEQPKGPSFRIEDGHMVKWANWEFHLKADQRAGLVISRAMVRDSETGELRNVMYKGFASELFVPYMDLDESWYFKSYMDAGEFGLGPAAFSLVPLNDCPRYSYYMDGVFAAADGKPFVQPNMICLFEKYAGDIGWRHSEDPLFGSQQIREARPKLTLVARIAATVGNYDYIFDWEFQTDGLIRIKIWVTPYSKDEQWAGGLLVYQSKGDDTLAVWSERDRPIENKDIVLWYTLGFHHIPCQEDFPVMPTVSSSFELKPVNFFEGNPILGAAPAFENDLPVCRPSASS >OMO97379 pep supercontig:CCACVL1_1.0:contig07245:56326:57621:-1 gene:CCACVL1_04589 transcript:OMO97379 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MSHLDIDNIPSTPGKYKPDKSFRFNSSTVFSLSKLTIYFPLFLCFLLILLLLSTPSSTPSPRRHLSSGTHRSPISLPHKLIHKSARPRLTTGHTVLVTGAAGFVGTHVSLALKRRGDGVLGLDNFNHYYDPALKRARQKLLEKAGVYIVDADINDKDLLQQLFDAVLFTHVMHLAAQAGVRYAMQNPGSYIHSNIAGFVNLLEVAKSTNPQPAIIWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKASEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTRDILKGKTIAIYESPDKGSVARDFTYIDDIVKGCLGALDTAKKSTGSGGKKKGPAQLRIFNLGNTSPVPVSKLVSLLEKNLKVKAKKKVMPLPRNGDVEFTHANISLAARELGYKPTTDLEAGLKKFVRWYLGFYLGSKKSS >OMO97373 pep supercontig:CCACVL1_1.0:contig07245:32619:34833:1 gene:CCACVL1_04583 transcript:OMO97373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor class I/class II MAVIRTSANMILKEILHHSPLSSSISFPSPARALLPGSCGTTIRVTPSRGFNFSRIRCAASDAGAEKKVSSRLSQVQQLLREAEERASSAGNEPTPQITLDHVAVSFARSGGPGGQNVNKVNTKVDMRFNVKTAYWLSDRIRERIMQLEKNRINKDGEIVISSTKTRTQKGNIDDALAKLQAIIDAAAYVPPPPSEEQKKKIAKMAAIGEQKRLKSKKVLSDKKAFRRSRDSWD >OMO97374 pep supercontig:CCACVL1_1.0:contig07245:35482:36265:-1 gene:CCACVL1_04584 transcript:OMO97374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVWSPETASKAYIDTVKSCELYHESGVAELVSAMAAGWNAGFIVETWSQGGATATSVGLAVASSHTKGRHVCIVPDENSREEYVQALEEAGMSPEVIVGEPEEVMNELNGIDFLVVDSQRKEFSRVFRLAKLSNRGAVLVCKNANSRNASSFRWRSVIDDGSRRLVRSVFLPVGKGLDIAHVATSGGGNSSSGKGERRWIKHVDRESGEEHVIRK >OMO97375 pep supercontig:CCACVL1_1.0:contig07245:38127:43079:-1 gene:CCACVL1_04585 transcript:OMO97375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEVSSRMSKMIRHGKAKPTMRMENVCVGESKYLGADRRGRGVGDCVRVVGYGRSF >OMO97378 pep supercontig:CCACVL1_1.0:contig07245:54393:54836:1 gene:CCACVL1_04588 transcript:OMO97378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGKDLSDDQVSSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKSIVAEEKLTAPFDFPRFLDLMAKHMKPEPFDRQLRDAFKVLDKESTGFVSVADLRHILTSIGEKLEPSEFDEWIREVDVGSDGKIRYEDFIARMVAK >OMO97377 pep supercontig:CCACVL1_1.0:contig07245:46413:49357:-1 gene:CCACVL1_04587 transcript:OMO97377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFRFLFFFILLFTFFQIPLLAHGNTELRALMELKASLDPTNQVLDSWKNDGDPCSGSFLGVACNEHRKVANISLQGKGLSGQVSAAVAGLKCLSGLYLHYNSLSGEIPKELSDLQELTDLYLNVNNLSGTIPPQIGNMAGLQVLQLCCNQLTGNIPKEIGSLKKLSVVALQYNKLNGTIPSSLGNLGLLRRLDLSFNNLFGAIPTTLADISHLEILDVRNNTLSGLVPSGLNRLNGGFQGENNPGLCGTGFPTLRTCSAFDNVNINALEPSRSHLNDNNAPEISSPTANIQAPSSNQSRFPLIPIISGVIIIGFVFIVAGFLALVHHRRKKQKIGNTSESSDGRLSTDNPKEFHRNGSVSPLVTLEYSYGWDPLGENWDGLGFSPENLNKFRFNLEEVESATQCFSEVNLLGKSKFSSVYKGILRDGSLVAIRSINVTSCKSEEAEFVKGLYLLNSLKHENLVKLRGFCCSKGRGECFLIYDFASKANLSKYLDIEDGGKQILDWSKRISIINGIAKGIEYLHRSEATKPPIVHRNISVEKVLLDQQFNPLIADSALHKILADDIVYSTLKVSAAMGYLAPEYITTGRFTEKTDIFAFGVIILQILSGKLQLTSSIRSGAESGKFEDFVDTNLKGEFSESMAAKLGKIALNCTNEHPDDRPSMETIIQELNDCSNVSS >OMO97368 pep supercontig:CCACVL1_1.0:contig07245:4121:12647:-1 gene:CCACVL1_04578 transcript:OMO97368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1-like protein MSYSDSDSSSGAAEYKNFRQISRERLLYEMLRSAKTGDSKSTWKVLIMDRVTVKIMSYSCKMADITAEGVSLVEDIYRRRQPLPSMDAIYFIQPTKENIVMFLSDMSGRTPLYKKAFVYFSSPISKELVAHVKRDSSVLPRIGALREMNLEYFAIDSQGFITDNEKALEDLFGDDENTRKGDACLNVMATRIATVFASLREFPLVRYRAAKSLDPMTMTTFRDLIPTKLAAGIWNCLMKYKSIPNFPQRETCELLILDRSIDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPSKTGGPPEKKDVLLEEHDPIWLELRHAHIADASERLHDKMTNFVSKNKAAQLQHGSRDGSELSTRDLQKMVQALPQYSEQIDKLSLHVEIAGKINRIIREQGLREIGQLEQDLVFGDAGMKDVIKFLTTNEVASRENKLRLLMILASIYPEKFDGEKGLNLMKLAKLPTDDMNAVNNMRLLAPSSDSKKSSAGAFSLKFDMHKKKRAARKDRSGEQETWQLSRFYPMIEELVEKLGKGELSKDDYPCMNDPSPTFHGTSQAASIHEPQVAHSMRSRRTPTWARPRGSDDGYSSDSVLRHASSDFKKMGKRIFVFIVGGATRSELRVCHKLTGKLNREVVLGSTSIDDPPQFITVILYCREMASPWKASASLIALNLLFFTNLVTSQTPPLLPYVAPKDIVEEGLFVYTLSVAVAVGVETMGAENTEFCKELTNAERKPKT >OMO97369 pep supercontig:CCACVL1_1.0:contig07245:16317:18001:-1 gene:CCACVL1_04579 transcript:OMO97369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSSCSPSSSKSPPTLSHQSPRFTPIQECEREEHEDVTPSVSTDKGVTPKHLPTPLHDKNVGKANPRKRQESGGNGGGDGAVSCNKCRPHSREKISVVPLDNHGVNKHSFSMASPNGIFKSIFHTLTRKSPRSSTDNMSSTAREEQWKIAVAELSHKLIQATRKRDEALLEASRLKYSMAELEKKLNKLEVYCHNLKSGLDECNSSSPYKIGKGNYYNNNNNNIHQVKNHQDGVIGANEKVIQQFLVTVSEARSSIRLLSRSLTMQLRHMGSKVCERISLLLQPYDMKISFSKNPKSLVLYLEALLNKAFYEDFESTGFQKNAVNQILNPIDRCEANYGSFNDLQGLTWEEVLNKGTRHFSEEFSKFCDRKMSEIVAMLGWNRAWPEPLLQAFFGASKSVWLVHLLANSVHPGLPIFRVDKGVSFDSVYMEDMGGERARKLVPTTVRIMITPGFYVYGNVVKCKVICRYSNNMDTSFIDKAGLTPSP >OMO97376 pep supercontig:CCACVL1_1.0:contig07245:43816:45847:1 gene:CCACVL1_04586 transcript:OMO97376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLRPFLLIHHRSLHQLQNAGPLCSHLKSRSVIRFSGPETIKFLQGLLTNDVRRPPRPEEKLDRTGSGPGRESGGSVEVFADVDRSVLDELLATFKKYRLRAKVDIENVAEDFSCWQRYGENLCGKTPAVEEPEAASVGWGSGADRSGSSAVHGSDIGWQWFKDPRLASLGFRGIFPSNTTPPLVEADKETDEENYLLWRLEKGVAEGSTEIPKGEAIPLEYNFAGLNAISFDKGCYVGQELIARTHHRGVIRKRLLPMKFLDNNGKEVEGKVAPGSEVINTTSGKKAGTVTTALGSRGMGVLRLDEAFKGSGSLTVEGQEDVKVEAIRPDWWPTEWFQEHQQHSAAA >OMO97371 pep supercontig:CCACVL1_1.0:contig07245:24671:28962:1 gene:CCACVL1_04581 transcript:OMO97371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MAWNVFKFCTALRALGSIMIVFVFGIIGVTYYSMVVAHYGPSLFLGGFETFSAVLVLFLFHFVLVMIIWSYSSVVLTDPGGVPPNWKPPRDEEKGDTDPLVSSGHGSPEVGHLGVPGDSPDRGIPFCRKCNQFRPPRAHHCSVCKRCILKMDHHCVWVVNCVGALNYKYFLLFLFYTFLGTTLVSISLLRVFMEFFGDGEIEETPGSLAATFITFVLNIAFALSVLGFLIMHITLVGANTTTIEAYEKKTTSKWRYDLGWKKNFEQVFGTDKWYWFIPAYSEDDLRRMPSLQGFEYPTRPDWEPPQQQHERV >OMO53844 pep supercontig:CCACVL1_1.0:contig15147:7556:7741:-1 gene:CCACVL1_28292 transcript:OMO53844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAPSPSPKAQATASRLPMNPSPETHPGIGCCVELKAIDFCSQNSNPTQRDLKLDTTANTRG >OMP12309 pep supercontig:CCACVL1_1.0:contig00144:1560:1664:-1 gene:CCACVL1_00045 transcript:OMP12309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKVDSFVLGEINAKEKMRGAGGVPNLEEIYIFGN >OMP11376 pep supercontig:CCACVL1_1.0:contig01349:1287:2904:1 gene:CCACVL1_00557 transcript:OMP11376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSIKSAQDNEEKKPTLGTTKFSEEFSNEYDDELAEDIMFEALLRSRKMKIRPRHRMLPVYWRESNLCKWGPTALLLADGVLKSSDHGVTTRDDIVRLIRKITAGVPHCFGLDDIVRWVRKSIAGVPIITLTEKDDAQHLLAADFIKVVGFYDTLEGEHSKELLIASKLRPEA >OMO86148 pep supercontig:CCACVL1_1.0:contig09530:13562:14231:1 gene:CCACVL1_09773 transcript:OMO86148 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MELLLLLKTLSWLSVAGIVWYISFWRTRWVESQRVRRKLGMQGIKGPPPSFLYGNVAEMQQIQFKAKNTRRTHTQEIVAHDYTSTLFPYFDHWRKEYG >OMO61184 pep supercontig:CCACVL1_1.0:contig13568:13406:20982:1 gene:CCACVL1_23705 transcript:OMO61184 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MGDRKDGENQVDNLATMLQDLVQRMDNLSTQIDQRMETLANEVHQVREGQSQYRANVVHGNAQLPPPRRQLGVEIGEDAMREPRAPWAAASGGPLDRLREQLAGGETYLDNLRPRRFGDRVEPKDNIKYKIPKFNGRGTPKDYLDWESKLDLYFDYNPFAKTKKVQIAIREFVENALNWWNQLVQARRAEVEEAPQATMAKFLAGLNREIHDIVEMQQHYDLEEMLRNALKAKDSIEQSETLRELNFNCELQPLCIDYPAMDMSYKLKPGVIRMLPIFQGEHHENPHEHLQSFFLKCATVTGMPEEDLKLRTFPVTLDGKAKEWFLRLPPKSIKSWKEMETIFLNKYFPEAKRADVRIKINTCQQLKGEKWYQYWERYNQICASCPYHNISETLLIQHFYDDLIYEDRTYVDAASGGGLTFKTPEEARKLLNTMVENIHQIGTTNEADAAKESEISEIHKELKEFVDETKYTISSLTAQCGILTMMFTNFMNIQSQAYAQIPPYVPQGPMRGNIQGHGINAIGELLYRYPQELESHDMLLQPTISSPPAPVFPDTSSPIDEFDEQIQGDMETSTEIKNDLPAAINDEHQQSEEEWMEEMQAKYDPLPFPQRFAQQLNLLRKLEVNIPLVDEIVKVPSIGKNEVGNAMCEYGSLINIMPYSVYKQLKIEPLLETDLIIQFADRTNHKPEGRPLLIAASMEFNAKEGTITMEFDGKLIVFNISEAMKAPTEVHSIYSIDTIGTYSEEVQELNQEDELEVILTKSLHQQDVEEVEVEMPKEIAETVSELSFNEEVETISYINLEPTPKPLPSIIQPSEVKLKPLPEGLKHVFLGDNDTLPVVISNSKWISPVQVVPKKSGFMVVENKDGELVPQRLQNGWRVYIDYRKLNSTTRKDHFPLPFIDQMLERLACKAYYCFLDGYSGYFQIPLAPEDQEKTTFTCPFGTYAYTRMPFDLWNAPATFQRCIMSIFQDYVGDKMEDFMDDFTVYGDNFNQCLNNLELIFKRCVETKLVLNAEKGHFMVEQGIVLGHVVSKEGMQVDKAKVDVVQNLPYPTCVKDIRSFLGHAGFYRRFNKDFSKISAPMCRLLQKDIEFEFGDDCKEAFDKLKTALTTTLIVKPPDSKLPFEIMCDASDVTIRPVLGQRVDKVPHVIYYASRTLDAAQKNYTATEKEMLVVVFALEKFRPYILGSKVIIYSDHAALRYLMKKKEAKARLMRWLLLFQEFDIKIRNKKGAENVVADHLSRIESKEEEIAINEVVPFEELLVVSQLPWYADIVNYLVVRRLPSDLSRGQKLKIIKVFDVWGIDFMGPFPPLDKKYYIFLAVDYVSKWVEAIATEKDDARIVVKFLKSNILHRFGIPRYLISDRGTHFCNKIVQALVEKYGVHHRVSTSYHPQTSGQAEISNRQVKLILEKTVNVQRKDWSMRLGDALWAYRTAYKTPLGMSPYRIVFGKPCHLPVELEHKAWWAVKQCNLNFDKAGIQRMLQLQELEEIRNEAYENARIYKKKTKALHDQRILRRTFHEGQKVLLFTSSIKHHGKLRSKWGGPYEIIQVYPNGSVEIVNPIKGNQFKVNGQRLKPYFEPLTIALIEEIKLEDPIYLPI >OMO61185 pep supercontig:CCACVL1_1.0:contig13568:30618:40458:-1 gene:CCACVL1_23706 transcript:OMO61185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPGRKVPVLFICFPKCPFPVGRLHLFFLPSLLLLLNPRAAPTTTPPSPTHPDDDSRPLEISEALKDYFSSTNLKRQNKT >OMO61183 pep supercontig:CCACVL1_1.0:contig13568:7356:7667:1 gene:CCACVL1_23704 transcript:OMO61183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNREIQRLIIELDAQVVVKFLRSTVIASYPCYTLIRDCLELINSDWIVDIRHICREGNRCADHLANLAHSTPNGVSLLEDPPDSITSLLEDDRAGRGVLRL >OMO49343 pep supercontig:CCACVL1_1.0:contig16527:8251:8358:1 gene:CCACVL1_31064 transcript:OMO49343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETSKISPLDSTNCNLYQEEILLFGFRYISVSRLQL >OMO94842 pep supercontig:CCACVL1_1.0:contig07795:60986:72665:-1 gene:CCACVL1_05773 transcript:OMO94842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELRLLVYDYVAGYIEGTGSSDDLEAVSRYEKKKHKRGNISTVNMEIVKSLSEAFLMQPHDYMPWLTRSCSDFKSSKTLFFLVLLQSFSMLENNGKVLVLFEACFPVLKSEWEAFGSVADDSLQQFNEEMLGWDSRKFLDQLFVADIDLLNKDILICIFWRLLEAFVSAVSSEVFLDDIQKAVDQGQDFFIFVAISNLKYAFKKHLPELVKKYLPGFLGKCKDSPVSFLSSFYTVEDVPVAVQVESLHCFAYLCSQLDERLPVELLDQFPSLLVPLASDNQATRIAAMDCIEKLYKLWCQVDFSSKKNGNSAIWSHFLDEVLGLLVQQRRLLLSDKNFLPSLLTCLLSSSCDSIMVSQDIEQRFNQSTKEKILSFILNSALKLSESGKLKVLSLLKGLGNAILHVKEVDSLLSLLLKKSSQELSEIEVRILCLLLEICVVPSSSLGGQISEGHVFKALELDLKSPEDRAIIEPCLTVLQKLSSQFYSGLTAEAQGHLFRQLVLLFRNGNGDVQSATRDALLRLNIASSTVGQMVELVLKEDQLITGSGHGKKKKKSAEKSMPGYYNVVFKGEQALYFLSSLLDVLLLKKDMVDRQLLVDPLFKLLGKVFSDEWRHGTLTQDEREIQSSNASQAISSSICYIQQTLLLVLEDICASLKNANSPVKDGITNKIDIKMLVDCARLTMDGVTRNHIFALLTSVAKLVPTRILEHILDILTVIGESAVSQIDSLSQHVFEELISAIVPYWLSKTNNKEQLLQIFVNVLPEVAEHRRLSIVVFLLRILGDTDSFASLLVLLFHSLVSRKGLSSLNEMYTSDSFLVSAQREWEYAFAVHICGQYSCQIWLPSIVTVLQLIGKSDLSQELVMQLLFAMNFLLHKLQDPEFALKLELKENLNGIQRTLGELMEQLVSLLQEVDARRKQLGISVAIWKEFKACVRAILKTITMAITPSTCFECITKLLGNSDGTVRKKALGILCETLKDYDSVKTKRKEKREMDLDSNRYEVYLDDAALESFEKMCAEIVQIVDNSIEESNASLKLAAVSTLELLTQRFSSTYSVFGMCLASVTKGINSENLAVSSSCLKTTGALVNVLGPRALAELPCVMENLIKKSREISLSSKSKSKNDENTSIFLSILVALEAVIDKLGGFLNPYLGDIIELMVLHPAYVSASDLKLKLKADLVRKLLTDKIPVRLTLQPLLKIYSGAVKSGDSSLVITFEMLANLVSKMDRASVSGHYVKIFDQCMVALDLRRQHPGSFQNIDVVEKSVISAIVSLTMKLTENMFKPLFAKTIEWAETEVEDVAVSASTNIDRAISFYSLVLLKPTVSQLVIEPPTSIEEYPDIPSVKEVDDLLVNCIGQMAVTAGNDLLWKPLNHEVLMQTRSEKIRARVLGLRIVKQLLDNLKEEYEVLFVETFPFLAELLEDVELPVKSLAQDILKEMETLSGENIQQYLGR >OMO94837 pep supercontig:CCACVL1_1.0:contig07795:19076:22421:-1 gene:CCACVL1_05768 transcript:OMO94837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant MDREYILRIYHGGRFVRNPDLRYVGGEIVQARDNPDTMNYIELEAIITRTLRYREMGYVYFRDPDDPDFNESMRLVWDDSSTIRMINAWEKYGEIDLYVDHLDENPEVVQQVDDGLNAVGGEGLNAVGGEPGINAVGSEGLNEAPQVGVEIEVEAEVYDALGDVNLGAEDEVAEAEEEVENDFYDFAEAEGDESEVQSDDEDGDKGVFKDGFSVRVRGLSDGENDEELQRALNRKLKKGKGPQIRISIPVEGVSENENDHLLHYVRLQQQKDVGEGTSHQVEDNYESDHYQEPETKDDEYPRYDPSLDLPEFETGMFFTDVYEFRATIRKYALAKRREVNFLKNDKTRVRVKCEGSKNCPWFIFTSFDKRSGGFQVKDYIDNHTCCENFTNKAAKASMVAEKMYQTIRDNPKMSLKQIQAQEFSMLWDYANELLTKNPGSTMKLSTQRVTQYSPIHFRRLYICLDALERGWKEGCRPILGIDGCFLKGPYKCQVITAVGKDANDQMYPVCWAVAETENREVWEWFLNLLKDDFDMCDGLRYTFMSDQHKGLESAMKEVLPRAEHRNCARHVFSNWSGRLHGKTYEAMYWQIVKASTPVQWEERFDLLKLMDKTRADELKAKQKNPKLWTRAFYGEECKCDMVDNNCCEAFNSVILDARTKAIITMLEEIRVQTMTRILQKREWVHTWHDDYGPLVKEKFAKQKKEGIDWRMVSAGEFGCEIKKGRCSYIVDLKARTCTCRNWQISGIPCAHACSAIWRSGGDPDEYLHPCYHKDTYIKTYSYGLKPINGPHEWVKTGKPALLPPIIPRAPPGRPKMNRRKGKNEPKKTPTIGKLSKKGTQNSCTLCGGVGHNIRSCQLRASTNGIHAANIETRQPNPILGVAPPPNDVGPSGSKGKGPLKPKAKAPPSTKGQGRAAGIVISEGRNLQTRPRTEATTQEKGKKKLWDRNMTRAKNLWNAKMKPIPLSDHVDRGSPPSKPWG >OMO94840 pep supercontig:CCACVL1_1.0:contig07795:38466:48868:1 gene:CCACVL1_05771 transcript:OMO94840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKFKHSLHFVLFFTLLLFSLLSSTIIAADDDSAAMAMLLETIVTTPSNWSKNSFYCQWQGVMCDTNSSRVTAIFLKSNNLTSLPPKFPSLPNLDTLDLSVNSLSGSLPSLANLTSLKNLYLEHNNFNNIPQSFFTDLATTLQLLTLSDNPFPSWTIPTQLTRFPSFQVFFCDKANLTGQIPEIFHSFPLETLSLAQNNLFGPLPNSFRSSTIQKMSLHSQMLSGPIEVLSTMNLIGVQIYGNNFTGPIPDFSNSKSLIELRLDDNSLTGVVPSISLASLSSLRTVNLTGNKLQGPFPISLQENSLITADFDSNNFCTNSGNSCDPQVTSLLEIAAGFGYPLELSDAWTGNDACRNWRFIICDSKNNRSIIGIDFQKNNFGGTISPAFANLSELQYIYLNGNNLTGPIPDSLTKLAQLKHLDVSNNNLSGNIQQFNPSVTLNISGNPLLLTGKHDSIVTKISTLIADDDDSAAMAMLLETIVPTPNWSKNSSYCQWQGVICDSNSNRVTGIFLKSNNLTFLPPKFPSLPNLDTLDLSGNSLSGSLPSLANLTSLRDLFLEPDFDSNNFCTNSGNSCDPQVKSLLEIAAGFGYPLELSDAWTGNDACRNWRFVKCDSKNSIISIDFRMQHFGGTISPAFANLSELQYVYLNVNNLTGPIPDSLTKLAQLKLLDVSNNNLSGNIPQFNPSVTLNISGNPLLLTGKHGPETMEANKFKLSLKFVLFFILLLFSLLSTTIIAADDDSAAMAMLLETIVPTPSNWEEEQNWGRPFEQKQYRGGGL >OMO94841 pep supercontig:CCACVL1_1.0:contig07795:58310:60246:-1 gene:CCACVL1_05772 transcript:OMO94841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol-cytochrome c chaperone, CBP3 MVPRWSRTLTHLSRLRSLNSIEMGKDFSVIHRRSYAVVAPAAPDPVDKSSPTKSTVNLDKMFWSKPCSLALAPDSPLRVEDPKFEGIKRIIFKMMFFYSKQSRSIRGASVIYRRVLSQVDKPAIYEVFNLEKNFKMTFSLLVLHMWLCLRRLKAEGKDGVELGQYVYEIYNHDVELRVSKAGVNLLLTKWMKELEKIFYGNIVAYDAAMLPEAKQEELTDIIWRNVFSDDGTSKPDPAALRTVQAMARYVRREVSCLSLTDKEAMFSGNFMFTPLKNSSPDPVTK >OMO94838 pep supercontig:CCACVL1_1.0:contig07795:29491:30861:1 gene:CCACVL1_05769 transcript:OMO94838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANKFKLSFFCLVLCFILLLFSLLSTTVIAAADDTAAMAMLMETIIPTPSNWSKNSSYCQWKGVICEANNRVSAIYLGSMSLNVVPPQFPTLPKLKILDISGNNLSGSFPSLANLTSLQNVFLEHNNFNNIPKGFFTELATTLQLLTLSNNPFPSWTIPTELTRFSGLKEFWCDKANLRGQIPEIFHSFPLIKLSLSQNNLSGPLPDSFGNSTIQYMWLYDQMLSGPIDVLSKMTSLIEAHISRNQFTGPIPDFSNCKSLQEIRLDNNSLTGVVPPSLSSQSSLRSVNLTANKLQGPFPVSLINNSLIAANLDGNNFCTNTGNSCDPQVTTLLEIVAGFRYPLELSDAWTGNDACKNWRFVKCDSKNRSIISIDFRMQHFGGTLSPAFANLSELQYLYLNGNNLTGPIPQSLTKLPHLQLLDVSNNNLSGKIPSFNPSVTLNISGNPLFLKGKKG >OMO94839 pep supercontig:CCACVL1_1.0:contig07795:32649:34994:-1 gene:CCACVL1_05770 transcript:OMO94839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSREQKRSSVKAKPGRPAKRNLIRKKPSALDKMTINQIVSHEKEKAKCKKVKRATLDDLYENDEVKHAVIERAKEIQDNLSSKFPSFIKCMLPSHVSGGFWLGLAKEFCSKHLPEKNKMLVLEDEEGGEYKTNYLVDKVGLNGGWKGFSIAHNLLMGDVCVFHLVKPTKFKVYIVRKKASEEADVAFSLLKLEASIQEMDHGKSSLSLIREGAKICEKTTDKSGENYEAEETIISEDTAPKQKEMDLENDDPTHSSSHSSEELRSEVIDGIKLCDSVVDFAEVRSFRNFIIAVNGLIINSELSEYYQRKYYDLCRSQNSYLHDHLVKGLNCKLVCGIISETVNIAEAIRAAEVTTPVHSLETWNSTLKPFQGLGMKVGFLSARLEQLISLSLKFREAANAPW >OMO67425 pep supercontig:CCACVL1_1.0:contig12434:40215:41894:-1 gene:CCACVL1_20526 transcript:OMO67425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFCTLHAAQRFNLFENKSIDMKTVMLFGILNGVSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETVFLNKQFSQKIKLSLLVLLLGVGIASVTDLQLNFVGTILSILAIITTCVGQILTNTIQKRLNVSSTQLLYQSAPFQAAILFVSGPLVDQFLTKQNVFAYKYSPIVLAFIILSCIISVSVNFSTFMVIGKTSPVTYQVLGHLKTCLVLAFGYTLLHDPFTERNIIGILVAIFGMGLYSYFCTQENKKKQADPLGSQLKDKDATPFLALEKEGHEVKNSDKDSLA >OMO67427 pep supercontig:CCACVL1_1.0:contig12434:51404:55522:-1 gene:CCACVL1_20528 transcript:OMO67427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 2 MGFFRSPNMRSGDFLEGMINDYVGGKSKVKTPKMASNRLVAILTCLQFAFAVYATFLLYYMSPAVDLRTKPDFAWATRIARNMKQFIIPPHVVGHYQDAAASLIRAEIPPITPSEVCEHEQIDFVQKKSNDVQMIKFKRELYDEILGFQGKSIGTETLAELMAMKSKWDMRGPNRPKVTVLLNHFKRKTLCAQLDSLLQQTLPFHHVWVLSFGSPNEQSLKRIVESYNDTRISFISSSYDFKYYGRFQMALQTEADLVYILDDDMIPGKKMLQILSHVAGTEKYQNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDKIVQVDFLSSSWFLSAELVKTLFTETPFTFMTGEDLHLSYQLQKYRNAGSFVLPVDPTDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWRALTTGYITQWAAMYPQKIDALFYAHSVDEVKALAPLLEKFRSTVGKKAYIVVSGGNFCSCEDAAEALKWPKVVCKERRFKIFDLQIGLLSGTSKSEVPVLQAVYSSMKGLIKMHNPSVVITVTDIDTNVKKALKMASETNANGTALVLLPRPSVSKVLWMADLRSTALPNWNRMRISVNIITQNRVTSLTRLLKSLTDAYYLGDEIPISFNMDSKVDEATIKLVDSFEWPHGPKTLRRRIIQGGLIRAVSESWYPASDDDYGLLLEDDIEVSPYYYLWIKYALLAYHYDPQISLPELSSISLYTPRIVEVVKERPKWNPTEFFKRIHPHTPYLHQLPCSWGAVFFPKHWREFYVYMNMRFTEDAKANPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVRHDKADFEVPLLQEDFRNFLPNGKLPPASKLPSINLFNQAVSLKGLKAAGAKLGQDVLRCDNATEIVTVDHVSGLPQQCSKFV >OMO67423 pep supercontig:CCACVL1_1.0:contig12434:13843:17457:-1 gene:CCACVL1_20524 transcript:OMO67423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGWREKENSPNIVYFVVAECGAEPESIKELILCSQVPSPTQLERIYEHEIALLDTVVNAFAITDFEQCENVVKNWAISSLHHDEVKEDKHTLKDLLFFLHIPRTGGRTYFHCFLKKLYSNSLECPRSYDKLRFDPSKAKCRLLVTHDDYSISSKLPRDRTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSATQMTGRLRSKTRGVSTLDIWPWKYLVPWMREDLFARRDARKARGTNDVESSDPYNMEEVAMPLLQYINDPIAHEIVHNGATFQIAGLTNNSYLPDSHKVRHCVEKYKNLGEYVLQVAKKRLDDMLYVGLTEDHRESATMFANVVGAQVISQLVDSNAIEQGAAVNKSEQSSSFSDAELDNNDHQNNTSDEKGDETTSSSDDNEVKQGTMTVGKLMRTYEVCISSLRKTQAGRRISSLKKISPVNFTKEARLQVPQTVLQRIQMLNNLDMELYEHAKGIFANQHKQAHRQAAEKLFDTGEVQVKQQ >OMO67424 pep supercontig:CCACVL1_1.0:contig12434:20970:28352:-1 gene:CCACVL1_20525 transcript:OMO67424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSQSGELKMEGWLYIIRSNRIGLQYSRKRYFVLEDHLLKSFKSMPISSLQEPGRSAIIDSCIRVTDNGRESIHRKVFFIFTLYNSSNHNDQLKLGASSPEEAARWIQSFQEAALKGGPYPGNDIACSKSRWQSFRSNGSSSENHNNSIDWTLYSSTKMDRVTSDVVAPSPWTIFGCQNGLRLFKEAKDRDSHGKWDDHPAIMAVGVVDGTSEAIFQTLMSLGPSRSEWDFCFYKGSVIEHLDGHTDIIHKQLYSDWLPWGMKRRDLLLRRYWRREDDGTYVILYHSVFHKKCAPQKNYVRACLKSGGFVISPVNEGKHSVVKHMLAIDWKFWKSYLRTSAARSITIRMLERVAALREFFKARQGKYPSTDLSSGELIRNVRLQQSEEDSMNDMCTHIEAGKNKENMSEAMERAPSEHSSLVGLNDAADEFFDVPEPSDYDQSEDGWASDFGPEVYSQDTRHPKLSTAAVFVRKLHDLAVQKRGYVDLQEMSREDGIFCSYGNTLPKDPTFTLPCSWTESEPSTFLIRGKNYLVDRKKFKATDALMKMVAADWLRSDKREDDLGGRPGGIVQKYAAQGGPEFFFIINIQVPGATTYSLALYYMMNTPVEDAPLLYSFVNGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEINYFRGKNYLELGIDIGSSTVARGVVSLVLGYLNNLVIEMAFLIQANTEDELPEYLLGTCRLNHLDAAKSIPVKV >OMO67422 pep supercontig:CCACVL1_1.0:contig12434:4398:10782:-1 gene:CCACVL1_20523 transcript:OMO67422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MNDPWFDKGLNGVSDDLFNFDDVINYFDDLPPEDVDLSGGSILPLDDVEENNAGVDGGEEWDCNFQNLEPPPANVLASLSSGFYGDFFTDTLPKNVTVSCDGSSQLTERSSTIKASSSRSITQHSESGDVKGSSRFQTSSPVSVLESSSSCSAANSTPINPKLCFLVKRGRSKRRRASTFNLPFALPFISSTSSTSRGSNSLVGSESESESHLTEKHAKKRQMKKKNLTLLSGSSETKDSPSQQPGVVRKCMHCEVTKTPQWREGPMGPKTLCNACGVRYRSGRLLPEYRPAASPTFVPSLHSNSHKKEAHDGVLDFLDAPMEEAMEEWTTEVLASPCDDLDSLPCLFHFDSYDSTPREGKEKGKNSSMLSGSTEMKNQVDDINPVVAALPLASKETTNKTEREVALELAASSSFLPPKKRSHRLAFLRCSYFLETLQTKRTMKHDKEKIKKPSDSEALNSIELPLVSGSGENKDSSSPRPVVVKKCKCMHCGVTETAQWRQGPMGKKTLCNACGVRYRSGRLLPEYRPAASPTFVPSLHSSSHKKVVEMREKAMLSKSPSDNLDNLPCLFHSDSHHGTPRTIPVEGKKKEKNLSMLSSGMEMKNQVDVSNGGIAPLPLESKETTNKTEMEVVLASSSSSVAPLPENEGSGPCPLQSSTFLPPRRSHRLAFLRCSDFLATLQTTRTEKQGKDKIKEQSEALNSIELRRSHRLAFLRCSDFLETLQTTSSEKQDKEKIKEQSEALNSIELPLVSGSSENKDSSSPRPVVVKKCMHCGVTETPLWRDGPMGKKTLCNACGVRYRSGRLLPEYRPAASPTFVPSLHSNSHKKVVEMRKRTNLSKSPLDNLDSLPCVFQSDSHHGTPRTIPVEGKKKEKKLSMEMKNQVDESNCGIAPLPLRVRRDNRQN >OMO67426 pep supercontig:CCACVL1_1.0:contig12434:44317:48450:-1 gene:CCACVL1_20527 transcript:OMO67426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMHRSGVFKKTNDSARLIITTILGVVFGFFIGVSFPSVSFNKIHLPSSLISSLEAVPDDNSYLRDRSPEITQTEVPKIYVPTNPRGAELLPPGIVVSESDFYLRRLWGEPSEDLKKKPKYLVTFTVGIEQRNNIDKCVKKFSEDFQILLFHYDGHTTEWDQFEWSKNAIHVSVKKQTKWWYAKRFLHPDVVAAYEYIFIWDEDLGVEHFNADRYIELVKKHGLDISQPGLEPNNGLTWQMTKRRGDQEVHKVTEEKPGWCSDPLLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGNQGNSEGGKAPWEGVRARCKNEWSMFQNRLASADKAYLARIGKGS >OMO50122 pep supercontig:CCACVL1_1.0:contig16308:97:564:1 gene:CCACVL1_30612 transcript:OMO50122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HPWFQGVDWERIYQMDAAFIPEVNDELDTQNFEKFDESENPSPTTSKTGPWRKMLSSKDINFVGYTYKNFEIVNDYQMPGI >OMO60673 pep supercontig:CCACVL1_1.0:contig13685:3816:4284:1 gene:CCACVL1_23963 transcript:OMO60673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WNVLSKCSTSGFTVPVLRGQRQFYAPEPSQITIHYLNGPEASYLLWKVNKEDLALKADTNTEVAAFDVVTIVHYVGSVVSIGGSG >OMO60674 pep supercontig:CCACVL1_1.0:contig13685:14018:16871:1 gene:CCACVL1_23964 transcript:OMO60674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tify MERDFLGLKSKDSLVAVKEEVNNDGYKEIGFAKRSGVQWPFSNKVPAVPQMMSFNFAQGDKTKKVGSDSLASAGFMPIATSDAAEVQKSFNHNKQGGSHFSLTASSVQHDVHPVQCPYDVRMFPVSNQAISLSASNPFMKNHFAAAGQHMPVTTMKPQLLGGIPVTTPQSVLPTLSCAVGSTESWKSVKASGSPAQMTIFYAGTVHVYDDITPEKAQAIMLLAGNGASMASNVAHPKVQVQTTISKPVQVDSVPANQLVNTQLSCGLPSPLSVSSHIGGQSRSGSTSTDEQMVCKTTGTPTSPVNKVEPQKVANTVGSVAATSMIPSVPQARKASLARFLEKRKERVMSAAPYSKPLDCPTLESSA >OMP05001 pep supercontig:CCACVL1_1.0:contig05550:2781:4772:1 gene:CCACVL1_02077 transcript:OMP05001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIDTEILREIQRKLTLRQLELKLEFVIDRAISNFQRKLTQGLKELSKARVAKVEDASVKTEIEESSVDSEGTTIAININKDASILTNIASPEYSESCIDAIVENPSKMEDESESTETGDFIHNEIPTTETKLLTLPATTLVPIESSNAFLLEGPKLSTGWVVEPYFVLNIFTQKSTSMVGFEGLPLVTMELPLDVFIRFRPGALVLNYLTDFDRKSSLIIHVCKLFDEMPEPDIALRTPLFSDYYLIGNIQHIIFYNAIVMRFVTSPWNGIVVGCSLLFEAQKFMCASIVCGTSVGLLQFGKQVKHAHALRTRGSSERDLCGCSLIIEGKQMCYGMNEGSGVVFNEFLMQYLYGDMLMLGNLVKIKLDEFKDASIYGPNFFRQPCYELDHHDKLKPAWFMASGIILILVAKTLENVIVLIVVPSAEPNFLKSHMLGANNVLGGVPCFILGDKDDFMEGGYMHRLISHFSLELGKSSRNHVLKEPCYDFNDDQFRNILWWLEIVMEPRKGSIVKINCADGVDLVTCWLGIEQLSPTYDAIGLIIKEPFVCLEYFILAYIEENDIRIIARKSILGVQLQMKELVSIKMLAKMFSWIGTSSWVFTHIAKNSAALMNFERSENNWIGDSSTFNAYGLVVLNFSPRKPLGATQQQDYGFFKAWERP >OMO51212 pep supercontig:CCACVL1_1.0:contig15930:6706:8909:1 gene:CCACVL1_29930 transcript:OMO51212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein MWRRALTLSQSKASQSWRVIATRGATSGSGKYSPSTSASTSAAAAVDSLLLRSLKDHYLEVSKLNPPPKVSPPSPFTIIKGALDSNGPVLRRTYGNEEINIYVMRLVNIIRGEGYDLEDDDEINQLFLHVDVSKPGQESSLHFLCGLYPDAIGIHSVSVRPKLETLADAVADAVPSRYNGPVFEDRHEGIKDALHTFLQERGVNESLFPFLQAWLYVKDHRNLLRWFKSVGTFINERKPVDA >OMO51213 pep supercontig:CCACVL1_1.0:contig15930:10412:15305:-1 gene:CCACVL1_29931 transcript:OMO51213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leo1-like protein MGEEKRHQMMQNLFGDQSEEEEEVDSEHESNPHPNYASDEAEGAMEAEGEGEGEVEGHGEAEVESDSDLRDVEPDPGESEGEREQSSQEVDIGDQREESEAKDTDSDEKEEYGQRVVTSRRREVIESGSERSEENHYPDNEDEEVDQARSPSKSPGEKDQTHLSQSAAEIRDVFGDSDDEEAAEYAVRHEIEQDENRSPLEEEGSYGKSPRPEDMVHDEDAHYESDDEHIEVKQKEKPVGPPLELEVPLRPAPADPTKMNVIKVSNIMGIDPKPFDPKTYVEEDTFVTDESGSQKRIRLENNIVRWRSVRKKDGTISRESNARFVRWSDGSLQLLIGNEVLDISVQEAQHDQSHLFLRHGKGILQSQGRILRKMRFMPSSLTSNSHRLLTALVDSRHKKVYKVKNCVTDIDPEREKEEKEKAENQTIRANTLLNRKREKVNRKYTQNSDRKRPQLSTGYLEGALDEDDEMDYNDSRRSRRRFDEELEVEARSEKRIMNAKKGYKDFPRKSSFSNVKSSRRPIDFSESDREESEYETEGEEDEGSPHKRMEDEEPEYEEEEEEEEHDEEIDANRASEEEEEAEEPRKKVKQSVGSIKRKGIESDEDSPPRKAPAHRRMAVVYDSDEE >OMO51214 pep supercontig:CCACVL1_1.0:contig15930:50058:57314:1 gene:CCACVL1_29932 transcript:OMO51214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEVGDRIHNFLGQESLPQGQHHSQVIDGSWPGLSNNLWVGSQRQVGGPLVSSLKNFSINQLAESDRGHSGQSSSLQHGLNFTQSALRPEIARSQSQNQSPTANGYMQGHPSFQARQNETNFLGVDSASRGLSALDSQIGNGPDLHKKNLLRLESNESPVNYDFFGGQQQISGQLPGMIQSLPRQQSGMSDMQLLQQHAMLKHMQELHRQQLQKPQYQLPEARHLSPTNQGSAVAKQGSGSLSQAPINGVPVHDASNYSWQPEHMTPNANWLQHGASPAMQASSSGFMFSPEQGQVRLMGLVPQQVDQSFYGISSSGGRTNPYQYPVQMDKPIMHQGPASSSSFPGNQYAMFPDQVGLQDGTSVSRQGDPGKNMFGAAAGQGLNSGFHSENLQQMNIQPKNVVIHESHGRQEHPGPSETSLEKSVIQAAPSQNVATLDPTEEKILFGSDDNVWDIFGKSINAGPVMDGPDSFGALPSLQSGSWSALMQSAVAETSSNDIGVQDEWSGLGVQNSEPPSRNMQSSTFNVGSKHQSAWAENNLQTAATQNSKPFPMSADANINLDFCSVPGVQQSGVQTSNEQSGRVQNDSSQRLVQQMTEERSKWLDHSPLQKPVAESAPLFGNVARSPDVQANAKNISGHQQGIAVYNHPSQPYNKQNGWNFIESASHTGCAISKSQDTESSLQPSQKIDDRGAMYEERSHRSGVIQPVPDANTETGNVNSALASPQINREGSDLNNVAAITDSSMARVIKDSSRQLPNSHNFNLWKSIDSKGNSGINKVPANYQQTQDKGPQTVDLSGNNCLDKGASETNMLENPGVKETSNDSFRSNLSQHATSGGMRDNVWVDANDPRGGKQKSFGHISRKPSVTRKFQYHPMGDLDAEVEPSYGTKSVTHSQAISQHVSQGLKGHEQGNFGQSKFTGHVTGESTETEKGRFPGILADEVPSKSSNPGAAPERFFSGFVPNKSVSMSQNMLELLQKVDQPREHGTATHLSSSERNQSSEMPDTETSDGSVGQFQHNRPSASQGFGLQLGPPSQRFPIPDRPYSSQGSPQGVNSVNTVHVPSEVGRMGHTWLGPTTSGQSSTLGDIRSNLSNVSGQISNKASQYNVLGNVSAGLNSDYSNLKGHLQGQHVAGAASEVTPNESLNAPFVGLDSQSKQTEDSCERAQTSQLGRKSAPQMPKTAPDNDLAPSEASQPSSSNQNHAMDPDQQFPVLEAMPASQPSAPSESLQQGAFNKVLPNAWTRVSSPQHLVGAQSSRASQSLLKPHPQPNSETTLPGMKKVDDQIAWAGGSSQSEFSAGSAKPPSFVGEEQPAKAQQVLPENVASQNPATTQRDIEAFGRSLRPSNAVNQNYSLLHQVQAMKNSEIDPSNRSVKRFKGPDSGSDAPQISPQGAEQLSYGSDTMLRDAPVNRPLVPSGDSKMLSFSSNSGDNRETHLSSNDIVAFARSDSQHFPNGNNSAANLRGETSQISPQMAPSWFDRYGTFKNGQMLPIYDARKIAMLKTAEKPFIVGRPPSDSFHAFHSGEQVNAAADASQLDNARKSPNLMPIPEQISPQSLPPDITNQNLVAVKVKKRKSTTFEFLPWHREVAQSSQRPQNISMAEVEWAHAANRLVEKVEDEPEMIEDWPLVLRSKRRLILTTQLMQQLLRAPPRFVLSLDASKNYDTVAYFVARSALGDACSTAYISESHTAVPPDSGSTISAKLKMSEGSSKQSILKAAEELITRAQKLESDLQSLDKRASILDLRVECQDLEKFSVINRFAKFHGRGQTDGAESSSSSDAIANTQKFFPQRYVTALPMPRNLPDRVQCLSL >OMO70986 pep supercontig:CCACVL1_1.0:contig11758:12524:27027:-1 gene:CCACVL1_18529 transcript:OMO70986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVQQPCPQPPTLISALTSPSSPLETQFDSLTDLISDSGCLLSESKKMMKVEEVQLIGSSPGVSEVVAWDEGGDGAEIYKDVNRGEGRGGREAIGGGSNRGKQGDERWWQV >OMO70985 pep supercontig:CCACVL1_1.0:contig11758:2237:5400:1 gene:CCACVL1_18528 transcript:OMO70985 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein 1-like protein MLKPVQQYLTLRSLGAPGLLLSLAAQGVFRGLKDTRTPLHAIIVGDSVNVILDPIFIFTLDLGVRGAAIAHVLSQSKQPEGGHLGCVDLAGAYFQRLVVLGSNVGQFDYKMRFGRYLEGDVDRIRANGLNANVDGRPEEGRSKGSDIVLEV >OMO70987 pep supercontig:CCACVL1_1.0:contig11758:31501:31986:-1 gene:CCACVL1_18530 transcript:OMO70987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNPIHQKESRQPWFKRVASISTVHLAMGSADLWRLISPHFPFSTLQKPLSAGSVPYPVAFPLPFVSHNAFDRPAADGCPMVLRLSLV >OMO76460 pep supercontig:CCACVL1_1.0:contig10899:3257:6062:-1 gene:CCACVL1_15650 transcript:OMO76460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical METLILKSATNFKTLNTRFPPSIYPFHFRSSSREDIRFLQFSNRKCFHQIPRNLNLSPTKASLSDESPIYGGWDDFEPGSWSAHSDESTQLRDFLVSAGVYDKKHVFVFLSGLVCALAICKVRVSTIVVFPASVLVFGIGFSFGFVKGGSFNELSSSKRRSKEEILRVYSDKFRNLADFFYGFDVKVNNLKNDIQKAIDSNRISIGDLENYVCLAESIRLSASDARIVVEASMDNVKNSYKENQKTSGRKKEGGEVGFQLFQFIGSLFGEKSLASKPNEIKDDIKSESVDTSSNSQTRGNVSLPDVGNASLPDVEDRISTSLNNHSGVPNQGFAQDSLNKSALNQERDRRIDIDSENGKIRSDFLGGRAKRFIDSEEFNYKSNRMRYTHDISFDTSSGNESKRWKSDDNMLDSVDFSVRLKHSETEASFIREQLFEESSRSYRSSYNREKRENEAYGKRRYYEDEPHMADHQSAREFDNEVSSSSSSRFSDDLAFDRYLTEASGLLKEAKEYMRGTHYEEQVEIILKRSATLLSQAITMKPMSLLAVGQLGNTYLLHGELKLHVSRELRTLLARNDPVIDERPKARVLKGIDDYSRRDKIVSLLVNACEECEELLVGAGRKYRLALSIDGDDVRSLYNWGLALSFRAQLIADIGPEAVFDADKLFLAAIDKFDAMLSRGNIHAPDALFRWGVTLQQRSRLRPSNSKEKMKLLQQAKRLYEDALHMDSKNMQVRDALSSCVSELNYRYFQ >OMO76459 pep supercontig:CCACVL1_1.0:contig10899:1179:2526:1 gene:CCACVL1_15649 transcript:OMO76459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MAVSLLAREVSDVCLGKPALRSLSISATVGDALWALKRFGDNYISVWNCDHNLHLTSPEADKVDDECRCLGKVCMVDIICFLCKEENLSNPATAFQAPLSVLIPKVPGVVRHLEPNASLVEAMDLILEGAQNLVIPLETSYGNSRKKLLQENALSLADSNLHNNRQYCWLTQEDIIRYLLNSIGLFCPTPNNPINSLGIIDTQNILAVHYDDPASSALPLISQSLQTLTSVAIVDNDGKFIGEISPFTLNSCDEDVAAAIATLSVGDLMAYIDCGGRPDDLVQLVKERLQERNLEQALELMEEDSGLLSGGNSFSSSCSSSTEEDLWVGRSGRLGGYSARVVRRSEAIVCYPWSSLVAVMIQALAHRVSYVWVVEEDGTLAGIVTFAGMMKVLRERLRSMA >OMO76462 pep supercontig:CCACVL1_1.0:contig10899:16262:17685:1 gene:CCACVL1_15652 transcript:OMO76462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDNLPRRTIKVLFRSPVDSPRDSVQLIEWSPTSCPRALLIANFHGRITIWTQPSQGPAHLVRDASCWQREHEWRQDIPVA >OMO76461 pep supercontig:CCACVL1_1.0:contig10899:8670:14722:1 gene:CCACVL1_15651 transcript:OMO76461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MYIGSMRKSFKDSLKVLEADIQHANTLASDFPREYDGACLQMRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSTHERKASIREFYAVIYPSLLQLQRGVTDTEDKKQKAVCMERYRRREDEEYRQLTDVDFEREEECGICMEMNSKIVLPNCNHAMCLKCYREWRTRSQSCPFCRDSLKRVNSGDLWVYTDSRDIIDMATVTRENLRRLFMYIDKLPLIVPDTIFDTYDSHLRRQILSKYPQIKELFGPDPFAFVKLWTATSLHDAGWLKILAVAYFFGSFLNHNLFLAIHELSHNLAFSTPVYNRWLGIFANLPIGVPMSVTFQKYHLEHHRFQGVDGMDMDIPSYTEAHVVTNVVAKAIWVIFQLFFYALRPLFLKPKPPGYWEFINFTIQIGLDASMVYIWGWKSFAYLILSTFVGGGMHPMAGHFISEHYVFKPDQETYSYYGPLNLLTWSVGYHNEHHDFPRIPGYKLHKVKEIAPEYYEGLESYKSWSQVIYMYIMDRTVGPFSRMKRKLAKKSE >OMO88943 pep supercontig:CCACVL1_1.0:contig08881:60867:65604:-1 gene:CCACVL1_08102 transcript:OMO88943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MESYAAMDEEFSLDDPTQLLDSASDFAHYPGVQNDVATKEFLHRFPLAVIISALQTKADVPGLETTLVACLERVFKTKHGASLIPQYMSFVQVGLKADSQIVCALACKTVSSFLENFDDKSISAIQLIVDYDIYPLLLDCLISGNEQVATAAIDAIKNLAQFPEGMGIIFPANNNEVTHLGNLASRCSSLGRSRVLSLIVKLFSISSSVASVIYNSNLLSLLEAEIRNSNDTLATLSALELLYELTEVQHGTEFLSRTTLQLLHSIISNSSMEAILRSRAMMVAGRLLSKENVYTFIDEPSAKGVISAIDVRLGLLDSQDVDECESALEALGQIGSSIQGAVLLLSSFPPAARHIIYAAFDLQGRGKQLAALHALANVAGENRPDDSVILNSDAEENLRRLIYEAASESSKFTPSGLFLSVLRQAAEFRMAGYRVITGLVARSWCLMEICSKEDIVNIVTDPATETSKIGMEARYNCCKAIHKAFFSSKLVSEPALSGIAGKLQEAVQRGPYLTKKHAEAVPVVMTAERF >OMO88934 pep supercontig:CCACVL1_1.0:contig08881:15762:17154:-1 gene:CCACVL1_08093 transcript:OMO88934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MVFSSNPLSLSVPDPTFESWLRDSGYLDVIDDRQSAAAAATTTHSKDTDSTTTIPMSGFLYSFLVSLFANLWIMLSLFTLNPFSKLTTNDFGGETPSWTKGFFGDCGSYSFPASSSQARLRVHENVKRYARNYASLFILFFACSLYQLPVALVGLVSSLALWDFFRFFSDKWGLDRFPITQQILIRFAQIATAVILLWLNVQMALSCALGVSYIVMILHAAFRKLTPVKQPSRVRAK >OMO88938 pep supercontig:CCACVL1_1.0:contig08881:41834:49925:-1 gene:CCACVL1_08097 transcript:OMO88938 gene_biotype:protein_coding transcript_biotype:protein_coding description:gamma-aminobutyric acid type B receptor subunit 1 MGVDLEFGKGGIDGRKGEAEIMMIGDQFLGRIQQAKTLKQKEKLNRNEEEKVRKLEGWLVELKRLEIRRAEALQQRPQEDLNPAELEKVVDKPTGDPPGERGEPSG >OMO88937 pep supercontig:CCACVL1_1.0:contig08881:31682:34013:-1 gene:CCACVL1_08096 transcript:OMO88937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee-like protein MGRIFVVELDGRSYRCKFCRTHFALPEDLVSRSFHSRRGKAYLFNNAVNITVGASEERMMLSGMHTVADIYCCCCGQIVGWKYEAAHEKSQKYKEGKFVLERGRIVDEIDFSSEVYIDTRPTMSDGEDA >OMO88944 pep supercontig:CCACVL1_1.0:contig08881:69026:69721:-1 gene:CCACVL1_08103 transcript:OMO88944 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat-containing protein MRFNERFAVYLRRHKKRLSDNEVNALLVVAGVILAAIFPFVYNPPGGYLSDDNKKSADVSLNYNNVTDHVNSTLHVNVTSNPNTNSTGAEGPRVAMGETQFTFITIIIETFFLTVLSLITLLLPDGFFGFILLAALMGFVYLYFIFTLVIIRSMGDKAAEFIYYLLVLLTYGACASLYMSGSRTVTRLEGLKYRLMKNRYLERSTIAAAPASGGGDEGMETAAQTTPSASS >OMO88935 pep supercontig:CCACVL1_1.0:contig08881:17402:19440:1 gene:CCACVL1_08094 transcript:OMO88935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 methyltransferase MSLRHLFKHLSLTSSYGFSILPHRFVQPPLKVLFTNIHAKSQLLNPRKISLPFIHCYTSLTEPQASTFMSPYLSVRIRCSKEVADMFSEALMCFGASSTTMDEDDNCDTSNEICIESIFPELEDVDVCISQAADSIGLKEIPSYEVKKGEHHDWIKKTQESFDPVEVTERLWIVPEWKTPPDVGATNIILNPGLAFGTGEHPTTRLCLLLLQRLIKGGERFLDYGTGSGVLAIAALKFGASLSVGIDIDPVAIASARKNAALNNIGSENLQLRLVSGKISSPTDEQKVIGKQTSNEQVIESEPEKYDVVIANILLNPLLELADDIISHAKPGAAIGLSGILSEQLPKIRTRYSPFLDNLSVSKMDDWACLSGIKKGSLTDS >OMO88945 pep supercontig:CCACVL1_1.0:contig08881:76346:77921:-1 gene:CCACVL1_08104 transcript:OMO88945 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat-containing protein MKSLHEIDARNSGRQTVLEALQYRTDLDRRALVKILSERQSSENSDNAVNALLVVAGLILAAIFPYLNSPPGGFRAAILVPHLADRAAISIFLTFLTDMLISLVIFIICLLLPDGLFGAVHFVLGQWSSS >OMO88940 pep supercontig:CCACVL1_1.0:contig08881:54742:55008:1 gene:CCACVL1_08099 transcript:OMO88940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFRGMWEKGWNPDVVICNCVIDALCFMKRIPEALEVFREISEHGPVPNAATYNSLIKHLCKIQRMENVYEIVNEMEEKEGPVHRMT >OMO88941 pep supercontig:CCACVL1_1.0:contig08881:56266:57402:-1 gene:CCACVL1_08100 transcript:OMO88941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L33 MAASAFQPHIGLAHDYQARNLKIINFSSCQLKLATSKSLGFSKNLSLINFFPKAAGTGVFYAKKKSRQIKDKLKFRKYDPQVQQHVLFEEVK >OMO88933 pep supercontig:CCACVL1_1.0:contig08881:6970:14517:1 gene:CCACVL1_08092 transcript:OMO88933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIAGLQEAAGSRFSQLELIGRGSFGDVYKAFDKELNKDVAIKVIDLEESEDEIEDIQKEISVLSQCRSQYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACISRDLLHAIEYLHNEGKIHRDIKAANILLTENGDVKVADFGVSAQLTRTISRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITVIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSLCLKKVPAERPSAKELLKHRFIRNARKSQRLLERIRERPKLVIREDTETPRNGTKAVGESTDTVKVTRDIRGEETVRASNHGKTFRNAGWDFSIGGPQSTGTIRSAVRPPQAREKKPEVAYNQAIPKSPETGNALNEFPEVSFRKDNRESYYDEHQDNDHEDDETSVSGSGTVVIRSPRGSQSSALFRDPSSLSSSAYASFEDASTSGTVVLRGQHDDSDSPRTPRSRLGIQERTSSASVEDSAANLAEAKAALQAGLRKGNSRDRSALNKMNTPGQDNRRRDQMSNSSDSSRSSREYFDAQRLFPRSRQASDDEENAKIASSSVPLSMLLIPSLKEVIAEDSEGSVVRAVTNSLINMERTKPGSCEALVRRLLERLASSKEPSVKDMQELAARMFIKGKLTTAEETQNANMETDIRKKQQHKELHSNSNLSPLARFLLSRWQSQTSRDLNPN >OMO88942 pep supercontig:CCACVL1_1.0:contig08881:58247:60054:-1 gene:CCACVL1_08101 transcript:OMO88942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S20 MASAVNCCLSSSCFTLQSKLKNLSLRNNINSSSYALHQNSSAFKTLSFSSNLSHNLFSKGSLSISTMTQNPTRRGIVCEAAPNKKADSAAKRARQAEKRRLYNKAKKSEVRTRMKKVLEELDGLRKKPDAQAEEIFPIEKLIAEAYSAIDKAVKAGSLHRNTGARRKSRLARRKKAVEIHHGWYTPVPAANAA >OMO88939 pep supercontig:CCACVL1_1.0:contig08881:50647:51195:-1 gene:CCACVL1_08098 transcript:OMO88939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKETMAPRSKPTPVNPITDSTSKEIRYRGVRKRPWGRYAAEIRDPRKKTRVWLGTFDTAEEAARAYDAAAREFRGAKAKTNFTDENNNDFTRSPSQSSTVESTSPPPLDLTLASAGFSVPVTANRPVFFFDAFASAGSGSPQSCGAQSDSDSSSSVVDFEGGVQRRVFDLDLNLLPAEME >OMO88936 pep supercontig:CCACVL1_1.0:contig08881:20199:22796:-1 gene:CCACVL1_08095 transcript:OMO88936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSSLKKKRSKNSSQSRTRKRNKVKSRRNKSKKLRRQRDGSVSYSDDSDSRSLVSVSSSSSEDDYKSRRSRSRNRKDVKSGKKRSRRRSSSTESIDDSTRLKKRRGSRRGDGYEKRTRTDKKKRSRRDVSVSSRSRRSRSCSTCPSASGSGSDEIGYERKRGRSERKEKQGRKSEKVKRGSKKSRDRSRSCSSGSRSNENSDHPIEERVMEESQPRWLKSVITVVKQETESSRELITDEPKEEVYDYDDYPSCRSNDSNDGCTWRELPQHPLAVPETKVPLDDKQGEVSNDRMESAEESGKDSKTMYDEVGKNDDFREKNKDSSAPGGFNGDDIEAILRQRALENLRKFRGGLQTSIKPPITQIDKSDGDVKTPSSINTDPIQNNSPKGDNARVVSASNARKQIREPPVRRDSTTLPKNDGKASHLNDDGKDSKTVESEVASTPAQMVPAGVSKVEVNTAANSVSNKSKLVALRTRREASATLTTQKQESGSLETSKPKLVTKSSVNEGDLESNQSLKTPEDKAAISSVPNRPVSVKSRIRRESIITSTTQKQESVRLEPSQSVSVTNNSVNVGGLGTAQTVKPPECGNNGGEGTNTHESAHNKPSSSSISTSGEIGSDKLEEEAKDGSQFQQKTMSVMRGGEMVQVSYQVYIPKRAPALARRQLQR >OMP05676 pep supercontig:CCACVL1_1.0:contig05284:1775:5252:-1 gene:CCACVL1_01850 transcript:OMP05676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C12, ubiquitin carboxyl-terminal hydrolase 1 MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDSLNNLRPVYGLIFLFKWRPGEKDERPVVKDPNPNLFFASQVINNACATQAILSILMNCPDIDIGPELSKLKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPEEQKVAGKDDDVYHFISYIPVDGVLYELDGLKEGPISLGQIPTGQGDMEWLKMVQPVIQERIERYSKSEIRFNLLAVIKNRKEMYTAELKELQKKRERILQQLATIQSDRFADKTSFEALNKQLSEVNSGIEGATEKILIEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKTSSQM >OMP10514 pep supercontig:CCACVL1_1.0:contig02343:63:152:-1 gene:CCACVL1_00910 transcript:OMP10514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPPRNRFMLLNHLLNKMPWYLMPLREGTG >OMO50950 pep supercontig:CCACVL1_1.0:contig16028:198126:198362:-1 gene:CCACVL1_30131 transcript:OMO50950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICCRTCDQVIDSCVIFIPDEKPMKPIRPVSTPRFCLSSASLGIVVDDLPPTPPPEFLTPTAPSTRTRSPSRICYVT >OMO50942 pep supercontig:CCACVL1_1.0:contig16028:139864:139953:1 gene:CCACVL1_30123 transcript:OMO50942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREEEEDRGRRWLPVFGQDSGEDEGEMC >OMO50930 pep supercontig:CCACVL1_1.0:contig16028:69034:69441:-1 gene:CCACVL1_30111 transcript:OMO50930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MTWACPTLQLKHGLKLSTIPLSMNGGHGWSKAKLEDARSYANKMTFATVKGGGHTAPEYRRQECFTMFKRWISGQPL >OMO50927 pep supercontig:CCACVL1_1.0:contig16028:25120:25427:-1 gene:CCACVL1_30108 transcript:OMO50927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLAQQQKERGRGKLPSNTEVNPRETCMAITIRGGKVIEPIEKPIKENVVQGKMGGKEDEKDEIPKPNPSVTSFSKEDAPYVPPIPFPQQLKRHQDESNFQ >OMO50929 pep supercontig:CCACVL1_1.0:contig16028:53888:68368:1 gene:CCACVL1_30110 transcript:OMO50929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MEDAYARSVSEVLDFFGVDSTKGLTDIQVAQHARLYGKNGTPFWKLVFKQFDDLLVKILIAAAFVSFVLALINGETGLTAFLEPSVILLILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATEIVPGDIVEVSVGSKIPADMRMIEMLSDQLRVDQAILTGESSSVEKDLESTIATNAVYQDKTNILFSGTVVVAGRARAVVIGVGANTAMGNIRDSMLRTDDEVTPLKKKLDEFGTFLAKVIAGICVLVWIVNIGHFRDPAHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVNSVHNGPPVAEFEVSGTTYAPEGFIFDSNGTQLEFPAQLPCLLHIAMCSALCNESLLQYNPDKGSIEKIGESTEVALRVLAEKVCAPESVISRCTNILCNSDGSTVPLTASLRAELESRFNSFAGKETLRCLALALKIMPMGQPTLSLEDEKDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKSTAESVCRKIGAFDHLVDFSGCSYTAAEFEELPAMQQTMALQRMALFTRVEPSHKRMLVEALQNQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPATAIGFNKQDSDVMKAKPRKVGEAVVTGWLFFRYLVIGAYVGLATVAGFIWWFVYAETGPKLPYAELMNFDTCPTRETTYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVASIIITMFLHVLILYIPPLATLFSVTPLSWDEWTVILYLSFPVIIIDEVLKFFSRKSHGIRFNFRFRKYDALPKKELRDK >OMO50952 pep supercontig:CCACVL1_1.0:contig16028:206609:207496:1 gene:CCACVL1_30133 transcript:OMO50952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTNQTQRQAMLIPYQSQANSRGRFLTFSFFNGWLNTTSPVLFLRLKVGVSDNDYAWAAVYLNHRVICHYQVNLSTQNISAVRTCILPPIPSYVFLPLPLGFLPREISDVVVHMRGISTRLPNVESRLIVFNVVEEVHMVRLIADLSNAHESDISLLSYEYQASTVFCNDDSLGLFESDSYHLPQVVASNQVVPNFPFQVVVCRIDFYDPAFITWLFFIVQGVERVRLLIIMSNSLVINTMASVLQGMSQWGFLKLGIIGSDVGIVAWRETKLEAVMLRSDEQLFHCLLRRRLG >OMO50955 pep supercontig:CCACVL1_1.0:contig16028:216938:217030:1 gene:CCACVL1_30136 transcript:OMO50955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTWLAQWSAHDGNQLDSTHQSCVKNFFKR >OMO50938 pep supercontig:CCACVL1_1.0:contig16028:94684:95952:1 gene:CCACVL1_30119 transcript:OMO50938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQLVNKALTFSRRKKKWLILLAVCGLSGYGVYKVYNLPSVVKKRKRFLKLLGALISLAELVSESAETINIVSKDLKEFLQSDSDQVPKSLRQISKIVRSEDFSQSLIRVTEALTVGVLRGYRLESRDENDLATGSGDSSFADRVMDRVFSNAGTGFVSVVVGSFARNLVLGFYSNGGQTEELSGNKGSSDVPEWVNVLCDDKFKGLIADCIQRFVSTAVAVYLDKTMDINTYDEIFAGLTNPKHQNNVRDMMVSVCNGAVATLVRTSHQVLTSSDSNSKSGSGSTCSIVDKSENAGRMSDGSFEKEASLNGVKEGSSSDGIQNSGWVDQVTSTLAVPRNRKFVLDVTGRVTFETIRSIVEFLLWKLSECFKRSVHVVREEVVERGLDVIRYVGAKSSVIVTVCLALYLHIVGGSRVLLAA >OMO50944 pep supercontig:CCACVL1_1.0:contig16028:145974:150178:1 gene:CCACVL1_30125 transcript:OMO50944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNVGDKCPSRFELLSMVKKHSNLLGKTTVDEQDASDVEMDGQFWHDVFDLYFVRGKESRGRQDDDLIFFVRKWMVHGFHDTDEGLAPYFVRRWAPELDKLVGESLSEVDWRRSFYLNMIAHTTYSVTVAICSHQALKTYQAGRETPLSPIYKVVKTVYASPSRVNFHLDSKKEVETTPAYPDICFAIDDFDSTFDAVVLTDTDHCYCVLLNALDGAAFPSEKDTNVGSSNNNLPLRVDTSTSSMKTKNSKLTLFSGFVSYQMVRDAYDAGSSRFGSLLSLGQSSGKTDRLYMKGPGGRGEVEVAVSGVADQSKQDSGPFSPVTSRKGFGLGSIVRKAASVASVAAKQAYAAAAATSTSDDEMIPLKCCLMSITLPWEHIAYDLLFKGSPPVTM >OMO50954 pep supercontig:CCACVL1_1.0:contig16028:213684:216019:-1 gene:CCACVL1_30135 transcript:OMO50954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEQPPPKFKKTLEARDLRPRVYMNPGQEFLDACGLEGTQTFSFRMEEGRRDFEIRLEHRRNMLILKRGWYEFAIQSGLRRGDRCSFRYMGIGRASGLPVIEIKRKPRRNPPPTYFRSSLVFDILLTMNKYLKENSMETAKPSLNLSDLPLEIVANILSKAASESPEDYANAVLSCKWATMAAKNFLIFKEVNLAAMDPVPWQKVEVEMLNRCASQGNTEALFRMGLVTFFGRMMDHEEALHFLKKAYNGGHLTAGYMLGLIMVFCCGPSHMEEGLRILFGLKMESKTNPRSAVWNCRSTASRLLRKLWGVLPFWNPNEDRDCHRCKKCRTHEITNGVGVACPLPDNWSSPNFHDYENPKSWQILLGIADPLDGVCCKRCFWIREAKFFFAYVRDTCRYANEAINF >OMO50931 pep supercontig:CCACVL1_1.0:contig16028:70463:74214:-1 gene:CCACVL1_30112 transcript:OMO50931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEKGKGTNVSDIQGEDDLDEEPGEVIESAPPLKVGQERELGTSGIKKKLLKDGVSWETPEFGDEVTFHYVGTLLDGTKFCSTRDNDEPLTVKLGEGQVAKGLDHGIITMKKGECALFTLPPDFGYGAEGRDGVPPDSVIQFEVELISWITVVDICRDGGIIKKIMEKGERNERPCDLDEVLVKYEMTLVDGTRVAKTPEEGIEFYVKDGHLCPALPKTIITMKKGEKVKLIVQPKYAFGETGRDATDEFPTIPPNSILIIELELVSFKSVIDVTGDSKVIKKILKEGEGSVVANDGAVVTISYTARLEDGTVFEKKGVDGEQPLEFITDEEQVVPGLDRAAATMKKGERALLTISHEYGFGSDVAECGLAVVPPGSNLVYEVEMLDFIKEKAPWELNSQEKIAAAVKKKEEGNVLFKGGKYQRAGKKYDKAVDYVSEDGSFGDDELKEVKALRISCWLNGAACSLKLNDFQGAIKLCSQVLDFEFHNVKALYRRAQAFIETSDLFLADLDIKKALETDPQNRELKLLQKRLRELQAESNKRDAKLFTNMFARMSKDSSVPTKKLKVEKADQEKTEEVVAMETEFAGNDGPATSEDSRMAVDWS >OMO50940 pep supercontig:CCACVL1_1.0:contig16028:100876:101190:-1 gene:CCACVL1_30121 transcript:OMO50940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVEEGDDSGDEEFKEFLYSFEDDGIHTREQIDAYYNAVKDRREPYEPEPVEVEFKYWVYFETPHCKKAYPVTQLRKHLEWSSTDQETLKVGHLGILSYYKFG >OMO50943 pep supercontig:CCACVL1_1.0:contig16028:142765:143130:1 gene:CCACVL1_30124 transcript:OMO50943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSGSCSSFFNLRSTSADEPRVRTPTTHGSSSGCGKLDGVAMWFINGLASAFFASLERCSCIRIATEDDGEEANDVPLIHSDGNYGRHDGGIISRRRTGKGKRGGGGSGKRAFLDDSN >OMO50949 pep supercontig:CCACVL1_1.0:contig16028:192828:194098:1 gene:CCACVL1_30130 transcript:OMO50949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAISSSKPARQLGELLQEQQDPFILEVYLSERGCTLRKKFNLGADNSGKFLKKSGGNGSQNKSKKGTVHSFPKVLKVVCNKLFTIKGSRNYKSSEDGKLGNVCEMEDRNQQESAEPDVFSSASSTTVYNSCSDSDIDESSTFPDNPNSVQIYNQRENKAAADHTKLQWSCMEDSKQHSPVSVLEEISTSRDNKTRPVNYCGKQKSLFLPKLIAEDSILSASLLNLLLQATPAKSTCSEPDHLSTSSPIAISRRALQQTKQILFDCVRELVDSHGKKEERAKGSESEKIRVLGKQSGDESNIKQLLKKDVMDSMQQWGDLQSQKWDIGLLIGNIIFEEITTEVLMDMIN >OMO50951 pep supercontig:CCACVL1_1.0:contig16028:200307:203198:1 gene:CCACVL1_30132 transcript:OMO50951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MAVERPRKGFARLERNFVRFYKKKKKKWQRRTEWWCLRKRVAELEGRLDGVVGALEGRLDGVEGALKDLIRAFEKSTLQRNSRDETKNNTEDRITVVLSNSIPVTPTCHPLLFDDNSRSGYANNSNPWLGGVAKVCSEINAEYESKLDSKNESGKDTNDSRVNLVGKEIDKAKEFLVGKEQGKEVNESGESSTSSEGSISRSEIEAFQALRAYPNMPTDDGAESSFGNPFDYSQVFKPSPEKWIEYKTHARYKRARDAINDDGQKLKEIKAGLKECVRSYRRSIGPLESDNHVTSEQSASISNRESDRRTVSSFGLHESGKLKSGNGNGKATCSRKRAASGSTTTSRRRRQKRNDADIENIVQEFLQMKPIPSVKGKRKLVDFGEIFCSWEDFASLSMGGWLQDTVIDTVAKMCREESRAEEPSMRRLYLPTPYSTWILCRTATIRSMISHFRTSNKVMTSLDNCEQIFIPINNNLGHWYLIVLDFTKREVLIFDSKEPLTAELYEMRLSQIRQVLKFTQELLTHPSYEVGGREFGNISQWRLTVPPSVPQQENCDDCGMFVATFMLQMHLYSYELVLNKERTIAIEFMKEELKRARLRC >OMO50937 pep supercontig:CCACVL1_1.0:contig16028:90158:93228:1 gene:CCACVL1_30118 transcript:OMO50937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLGRSLASSLRLDNDEEDNDSAHSGEENDVATHESQSTSPSAPIHRISNEETEAGQQSLSPEQVAELQSRGVKEDFTELKQTLTRQFWGVASFLAPPPPPPLPPPFTPLPSIQFKRQSDDRSFSNMNQSELSDQSISGDEEDPSDPATVAGIRNDFAQIGGTLSKMATDYFPFGSGRNEEEIQIENLNEEEEEEEEEYEEFNAVGITDEVLAFAGNIAHHPETWLDFPLDPDDDLDDFDMSVAQREHAMVVEHLAPRLAALRIELCPCHMSDSYFWKVYFVLLHSRLNKHDAEILSTPQVMEARALWMKELQKQTKPETDWYGRSISHPGDSSGVMHEDIIPSSSNFFAFETMSPRTYASEPASSSATDYETEKHPVESSEMPFVDKSVIEEKPVSSTEDKECVVGPSKISIPNFEEDEIDWPEDDDSDLGGYSGVVISMENEEDISFSDLEDFDDYSTPTKSKIVSKGFEYSKT >OMO50947 pep supercontig:CCACVL1_1.0:contig16028:169179:174181:1 gene:CCACVL1_30128 transcript:OMO50947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNHPRRSVVHWSVLCLVMALTLSDTRLDSIELIHARLSQLRSSLKAYVIARVARKWKTILPAQTEPINADLLLVDDMICLTSSTYEDSRSPQPSPKTESMMVIINDVRRAMRSQFSATFCKYTRIYSPDLQILTEIKLKEGRLYKYLLQVRHASTQIPPAGKETTSLCRLPFVFDRVKTASQLNEDRGDAEDEEAE >OMO50934 pep supercontig:CCACVL1_1.0:contig16028:79646:80977:1 gene:CCACVL1_30115 transcript:OMO50934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol/phospholipid:diacylglycerol acyltransferase MTTNLHPVILIPGSGGNQLEARLTAEYKPSSLFCNRWYPISKDKQGWFRLWFDPGVILAPFTKCFSERILLYYDPDLDDYHNAPGVETRVPDFGSTNSLLYLNPWLKQLTAYMAPLVKSLEEIGYVNGENLFGAPYDFRYGLAPEGHPSKVGSKFLQDLKDLIEKASASNGGKPVILLSHSLGGLYTLQLLNRNSPTWRRHFIKHFVALSAPWGGTVQEMLTFASGNSFGVPLVNPVLVRGEQRSSESNLWLMPSPKLFSPRKMLVLTPKMAYSAHDIPQFLNDIGFPEGVIPYESRILPLTEELKAPNVSVTCIVGSGVKTPETLFYGEAGFDAQPEIVYGDGDGTVNMVSLLALESLWADEKKQRLKVVILNGISHNSVLEDKVALDEIKGEISSINSQSQPEVQFVNNY >OMO50932 pep supercontig:CCACVL1_1.0:contig16028:75278:75397:-1 gene:CCACVL1_30113 transcript:OMO50932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCLTADCSSIGGSEGFTESISLPTAKVGGLSLYRIKQN >OMO50935 pep supercontig:CCACVL1_1.0:contig16028:83646:83726:1 gene:CCACVL1_30116 transcript:OMO50935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERQSRTVPTATCRYRDGQSGSNVH >OMO50948 pep supercontig:CCACVL1_1.0:contig16028:184185:189602:1 gene:CCACVL1_30129 transcript:OMO50948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKICVAVRVRPPISQETSSGFFWRVEDNRISLHKLHGTPISGISYAFDHVFDDSCSNEKVYQLLTKDLIHAVVDGFNGTAFAYGQTSSGKTFTMNGSSNDPGIIHRAVSDIFNKIQMISDREFLIRVSYMEIYNEEINDLFAVENQKLQIHESLDRGIFVAGLREEIVNNAEQVMKLLESGEVNRHFGETNMNARSSRSHTIFRMVIESKGKDTSSSDDYSSSDAIRVSVLNLVDLAGSERIAKTGAGGVRLKEGKYINKSLMVLGNVINKLSDGAKQRAHIPYRDSKLTRILQPALGGNAKTSIICTLAPEEIHVEETKGTLMFASRAKRITNCAQVNEILTDAALLKRQKLEIEELRKKLQGSHAEVLEQEILKLRNDMLKYELEREKLEMVLEEERRSWKEREQRIRDQQMKIENLSSLVSNGDRSSGQGSMKASPKEESADRGDGFKTPCFKAAPNAFVAKRSNYSQLSEFSPLPDSFSNVADEDTWFKMNKGYIADLDSLQRTPERKVQSFPPQELTPDCSNENYKQELENLKRLLDIVIEEKNDLQRKHTEQTQLNDRLMGEISDLKQEALLVQEMPQRLCESLATCKDIFEDVLSKMQSLVSDKPSTAKVLSGTSDIGKTLFSTLEAHLSMAVDGSKSSYGNDSLTQECNKKLSEMLKNTITSLIPSETAGAEEEHGCTLGGETACWEEKLSNELMEVKEKYENLEKELDLSNKFLEDSKERYGSLEREFQLLKEERDSLLKTVSESSQKLKLVTDQKENVLKDLNTEVQRRKDLEKEIKHFSVAFASRQKSLMSIHGEFKSKVEQLRDENQVSVHKSL >OMO50939 pep supercontig:CCACVL1_1.0:contig16028:98081:98438:-1 gene:CCACVL1_30120 transcript:OMO50939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokine MSKLCTLLIAAFLLNFMLSYAARPEPTLAITQQKEVEAEKVDIEENCDGVGTEECLMRRTLAASLDYIYTQEHKP >OMO50953 pep supercontig:CCACVL1_1.0:contig16028:208674:209510:-1 gene:CCACVL1_30134 transcript:OMO50953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSMQPLSTVVCRLVQMHSTLLQCVTDPVGLIAAWKDSPLNLQHKYLEFRVKPVEFVINAGEVELLRASLDPDVDKCFRIVVTMKLLKSSGFLGFRVKLGSKTSMRLDQGGREDPSEVTSRLATTGGTPTVRKNPLRKFALVIWNCKGYDHAQFHVGLREIVSKFIPSVLLVTNSRGPCKDGKEKVDTKPFESYHVVEPVLGCGGAILMWNTDEVFLCYEKWNSVAFTAEVSTTPNPCMELV >OMO50928 pep supercontig:CCACVL1_1.0:contig16028:25825:26115:-1 gene:CCACVL1_30109 transcript:OMO50928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNGDYGDKTADEMKAIYVRLAAYSQQKVSMDKREMANEVGSQSNLTQQVANLTKQITLLVNRDNKPQAPETCAYCGLYGHSTRVYECRSFYHGL >OMO50936 pep supercontig:CCACVL1_1.0:contig16028:86373:87713:1 gene:CCACVL1_30117 transcript:OMO50936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMYQPSTRRKADMKLDSQVLDLETAVKDGILGGGGGVISTGFASEKLDLKMMIEELESMEVPTVFICPISLEPMQDPVTLCTGQTYERSNILKWFSLGHYTCPTTMQELWDESVTPNKTLQQLIFSWFSQKYLAMKKRSEDVQGRVKEILENLKKIKGQARVQALKELRQVVQAHGTAKKTVVENGGVGLISSLLGPFTTHAVGSEVIGVLVNLNLDLDSKSDLLQPAKISLMVDILNEGTIETKINCTRLIGMLMEGNDLASENVASLSLLVGLLRLVKDKKHANGVLAGLSLLKTICSHESVRSSFVNVGAVPQLVELMPGLNNECLELVLHILELLSSTPEGRLALKDCPNTIPNVVKLLMKASENCTQFALSILWAICKFAPDECASLAVDAGLAAKLLLVIQSGCNPELKQKSAELLKLCSLNYTATIFISKCKLTRTMQ >OMO50941 pep supercontig:CCACVL1_1.0:contig16028:137657:138980:1 gene:CCACVL1_30122 transcript:OMO50941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDICKKTFDEIIKVGVMETVDLLTKDKEAIEKERYTAETELVGFIRKDPEDIEFAAVAIEAEHARQREPVDELELKLVMEFTQHAAPPTTPNQTTPTPRSNTTIPVKAFKPTLT >OMO50933 pep supercontig:CCACVL1_1.0:contig16028:77548:77613:-1 gene:CCACVL1_30114 transcript:OMO50933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKIEDERGVHGAGRRANR >OMO50945 pep supercontig:CCACVL1_1.0:contig16028:151035:151744:1 gene:CCACVL1_30126 transcript:OMO50945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGASTWEREAPHFTLPAFEGCQQDGVCVGSVFPIPDPNFTTPSPSPSPPPSPAGMTSQPQHVPTPVPGGE >OMO50946 pep supercontig:CCACVL1_1.0:contig16028:155448:155885:1 gene:CCACVL1_30127 transcript:OMO50946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIGEEAVQFEKPVHFETGFTPEELEELLSFLESNEPASPNNSAGSDGSSRAIYTADERKQRRMISNRESARRSRWRKKRHMENLTDEVNRLNIENQQLKNRLSLVMNQCHVVWRENQQLRSESEALWGKLLDLYWTLAAMQSQS >OMP10848 pep supercontig:CCACVL1_1.0:contig01861:755:2450:1 gene:CCACVL1_00773 transcript:OMP10848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13, eukaryotic/archaeal MVSGSGICAKRVVVDARNHMLGRLASILAKELLNGQKVVVVRCEEICMSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKAYEGVPAPYDKTKRMVIPDALRVLRLQKGHKYCLLGKLSSEVGWNHYDTIKELEKKRKERAQVTYERRKQLNKLRVKAEKVAEEKLGAQLDVIAPIKY >OMO85339 pep supercontig:CCACVL1_1.0:contig09635:63086:63773:-1 gene:CCACVL1_10264 transcript:OMO85339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >OMO85338 pep supercontig:CCACVL1_1.0:contig09635:34965:38646:-1 gene:CCACVL1_10263 transcript:OMO85338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFTLPCRPSFPIITCRLSSSPATTVPSPPPPASILPPTASATATATATATALPSLTCALQCPHFETCSGCTHEFNLHRPNIVEEATNFFKSHGVLDFTFDSCRLWGWRCRAKLAIRGLSENPLIGLYEEGTHNVVDIPQCKAHHPNINAAVELLRKGIKELNIEPYDEDQGTGDLRYVQMAVTTYDTSLPASQRYRNGKVQVSLVWNSRNEKSSNSEKLNDLANFLWRNGGPRSNPQLIHSVWANFQTSTNNIIFGNRWRHLLGERDFWEHVGGIDISLDPSSFGQANTRAFDNLLRKLHKYVPYGASVADLYAGAGVIGISLAANRKCRSVKCIEINKESRPSFQKTINRLPNSVEGSISWHNADTSIDPVSWLVGSDVIVVDPPRKGLDTSLIDALRTISSHKPSLKSLSSNAKEEKRPWILREREQAKEGSVHVGREKTVEDSQSLPEKLIYISCGWESFKQDCKTLLTSKKWKLEKAHGFNFFPGTQSIEVLAVFRRSLKKKKTGKKKKKQL >OMO85334 pep supercontig:CCACVL1_1.0:contig09635:4099:7568:-1 gene:CCACVL1_10259 transcript:OMO85334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSGSALCLDIELKLTGFLAAWPPSSPALHVKELLIAIADFDRDLEFWNIRAV >OMO85336 pep supercontig:CCACVL1_1.0:contig09635:20997:21875:-1 gene:CCACVL1_10261 transcript:OMO85336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase MSDNTIILTVVNETWARPDSILDLFLESFRIGQGTKRLLNHLLIIAEDSQAFQYCKSRHPHCFHLKNNSQTKFTRGNLMISPSKLKLLQQVIDLGYNVAFTNADVMWLRNPITKLVPNVGLSISCEIYPFDNETFGIKGDGGFFHVKADGKTYEFIKSCNLRRVLYPDSEHQSLCNVLATDNYSELIGMNVRYLDEVNFGRLCRPGDLSQIYTIQANCCENIDSKIHYLKLVLDDWRNYTTELSAQNDSAKRSPLPWRAPQKCV >OMO85335 pep supercontig:CCACVL1_1.0:contig09635:19400:20827:1 gene:CCACVL1_10260 transcript:OMO85335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 MATSFSLSNFLSFLLPSNPPPPPPKAAPLNNLSLSSQKPKNNNPLSVSAKEDQSSSLSTDLSSVICPSLAYANTLFFASPYNVQIVVDDDEPEERVLNRFRREVMRAGVIQECKRRKFHENKQDEKKRKSREAAKRNRRRRPQSRSFVPNNQEEPTKKRDDDDEDNWDMPDDGNIPN >OMO85337 pep supercontig:CCACVL1_1.0:contig09635:31296:34466:1 gene:CCACVL1_10262 transcript:OMO85337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MEEDSSQLAAKPETPHNMEAETEAYGEEEHDPESNSLRQPLLLKRNRTLSSSPLALVGAKVSHIESLDYEINENDLFKHDWRSRSKVQVLQYIFSKWSLAFLVGLLTGLIATLINLAIENIAGYKLLAIVGFIEEGRYITGLLFFTGVNFLLTMVAAVLCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGATTLLVKIVGSIGAVSAGLDLGKEGPLVHIGSCIASLLAQGGPDNHRLKWRWLRYFNNDRDRRDIITCGSSSGVCAAFRAPVGGVLFALEEVATWWRSSLLWRTFFSTAVVVVVLRAFIEICNSGRCGLFGTGGLIMFDVSDVKVSYHLMDIIPVIIIGIIGGLLGSLYNHVLHKVLRLYNLINQKGRMHKLLLALSVSLFTSVCQYCLPFLAQCRACDPSFPETCPTNDRSGNFKQFNCPPGHYNDLATLLLTTNDDAVRNIFSSNTSNEFQVFSILIFFALYCILGLITFGIAVPSGLFLPIILMGSGYGRLLGMFMGSYTNLDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLIAKTVGDSFNPSIYEIILELKGLPFLDANPEPWMRNLTVGELADAKPPVITLNGVEKVSRIVDVLKNTTHNGFPIVDEGVPVPGTIATGATELHGLILRAHLVQALKKKWFLPEKRRTEEWEVREKFNWVELAERELKIEQVAVTREEMEMYVDLHPLTNTTPYTVVESMSVAKAMVLFRSVGLRHMLIVPKYQGAGVPPIVGILTRQDLRAYNILSAFPHLARSKAEEKLY >OMO85333 pep supercontig:CCACVL1_1.0:contig09635:1432:3783:-1 gene:CCACVL1_10258 transcript:OMO85333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLTGLGKEADKKTVNNTLFSSQPRDKKSLFVEQMDGITVMLRTKYKSYLQAIVEKLVNNILGDTFASQMQRLQGNLLQEKDLEPPRSVIEARSILCRDTANATCFYV >OMO85340 pep supercontig:CCACVL1_1.0:contig09635:65081:67799:1 gene:CCACVL1_10265 transcript:OMO85340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA large subunit methyltransferase F-like protein MNLSSDGDNVRGFDIGTGANCIYPLLGASLLGWSFVASDVTDVALEWAQRNVKNNQHVSELIEIRKVKCSQDTLTPEGLNNAESSCSEKGEGLPSSFHMPESEDKPYHGPPILVDVVRDGEKFDFCICNPPFFESIEEAGMNPKTSCGGTHEEMVCPGGEKAFITRIIEDSVVLKQSFRWYTSMVGRKVNLKFLITKLREVGVTILNTTEFVQGQTCRWGLAWSFVPPTKKIISPHVTEKNILSFMLEGLQRQFGAIQVLQSVESFFHTAGASCKLNASTFTVDITASTDHCNAFLNYEVKHHDEVASCSNVLEASPPNLCFRISVFQQIPGTLLIKGSSLHRNSPLSVIPYSAKCKLADVGKGYSIILLNNPTVGGSTEK >OMO79096 pep supercontig:CCACVL1_1.0:contig10490:13256:15811:1 gene:CCACVL1_13916 transcript:OMO79096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate-related phosphatase MDEKIIKSRSGRFRKWFKRKKHKNKEPDPYFLDQLFDGNEDEGDDDDFMEDVYVDSYDMDPCTSTNELRIFVGTWNVAGRSPVGSLAVDLDEWLKPQDAADIYVLGFQEIVPLKTRTVIGAEDPTEATNWNLLIGKTLNENFGCPWMTPMLNPISSDNYQYVKIPASDRRASFSGITDNTSMRGIRSRTDHQLLQQPFIVGGSRYKLMASKKMVGVFISVWMKKELLTKYCISNVKVSSVACGIMGYLGNKGSVSVSMSIEGTSFCFIAAHLASGEKKGDEGRRNHQVSEIFRRTSFPRSAKDDDNPHPLTILGHDRIFWFGDLNYRLYLEDNLARHLIKKQDWKALQEFDQLRREQEDGGVFQGWREGNIQFAPTYKYSSSNCNRYSGGLPNRSGEKQRTPAWCDRILWYGKGVKLLSYFRSEIKFSDHRPVSALFSTQIEVMKFSNPRSVDMESNVPMVMPTEQIGTSRNDEEGKSTLLSLIVKDTDLSSTHMQ >OMO79097 pep supercontig:CCACVL1_1.0:contig10490:16395:19457:-1 gene:CCACVL1_13917 transcript:OMO79097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTDPPKNLLSLIRDFASEKSEGERRVVGLKKQIEELRSELEAANLELEEAKRLKETAEQELKGFEFELALNEASVQALEARITLIQNEISKVGSELEELKHQEATLRDEFIAEMVEFNAKIRKFQETIASDFQNENTVGDRAEQDQKFPKKEVTESAARTINNQLAHVISQIAKQEEECLAEQNIQKQLQQELIDIERKSSELEQICASLTEELEKRCTCPSCHLVNVEALGGILQQPEAN >OMO91774 pep supercontig:CCACVL1_1.0:contig08300:16169:17145:-1 gene:CCACVL1_07024 transcript:OMO91774 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEVTTGFRFFPTEEELVSFYLRNQLEGKRQGINLVIPVLNIYDVEPWDLPSLAGELCRKDTEQWFYFTPRQEREARGGRPNRTTASGYWKATGSPSYVYSSDDRVIGMKKTMVFYKGKAPSGKKTKWKMNEYRAIEAVANPSAAATPKLRHEFSLCRIYVVSGSFRAFDRRPLEAVSRGTQLHDNKAATSSQRITTMEKTSSPGTSHSGGDHSDLPEASEDDIWEMVNDLEEPPLGWELPAQTEWSNIGS >OMO91781 pep supercontig:CCACVL1_1.0:contig08300:54488:55996:-1 gene:CCACVL1_07031 transcript:OMO91781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MKMVAAAFAIAIGLILVNLTGALEFGFYKNKCMLTDVEDTVFRVVQRRFRQDPTIAAALVRMHFHDCFVNGCDASILLDGSSSEKTATPNLSVRGYEVIDEAKSILERACEGVVSCADIIAMAARDAVTLSGGGRYNVETGRKDGLESLASKVDLPSPKFSVSQSIDAFEKQGLNVTDMVYLLGGHTIGVTHCSLLRDRLYNFENTTKPDPTMDQALRDKLIEICPQDPSNNAAVNLDQDPSSAFKVDTSFYKQIILRRGILQLDQATALDPLTKDIVASIANSNDFNFKFGQAMVKMGAIITDSPKEIRKSCSVINSASTNNFLF >OMO91782 pep supercontig:CCACVL1_1.0:contig08300:57217:57852:1 gene:CCACVL1_07032 transcript:OMO91782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGVKMGFKNLGLMFLLLCLQLHPLLALALPPASTADFNQGETVPYKSVSERLPMSVKPASDSKQNMAVVSTRFLLEEEEMVVGLPPEVAVVEVELWVVAEVVVVEGEEVAVVHAEADFRVLEAEGP >OMO91783 pep supercontig:CCACVL1_1.0:contig08300:60867:61145:1 gene:CCACVL1_07033 transcript:OMO91783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGGRGIGRGGSGSGGGRGRGRGGSSGGGRGLGRGGSAAAGGFAVGALTGAAGGALAARYKSWCRRPQLRVLISPNFGLLDFLLSPTSLI >OMO91775 pep supercontig:CCACVL1_1.0:contig08300:24024:24158:1 gene:CCACVL1_07025 transcript:OMO91775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYRADDDCHYFAKGGVDQKLNSSLALNINYSLSYRDEFNQDE >OMO91779 pep supercontig:CCACVL1_1.0:contig08300:49226:49849:-1 gene:CCACVL1_07029 transcript:OMO91779 gene_biotype:protein_coding transcript_biotype:protein_coding description:germin-like protein 9-3 MASRISTLKFFSLLLSSFAIIQITLAVDPDITSDFLVPPDMKNVDGNFLTFSGLGNLDGSPTNFTVTKASMTLFATDIDDDILAKSFKTDVATIRALKSGLASK >OMO91777 pep supercontig:CCACVL1_1.0:contig08300:42228:42995:-1 gene:CCACVL1_07027 transcript:OMO91777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MNNPTRLRPAMDINGPSRFRHPKSPSTDRFLGIYPHTPPSEDPSPSSAAAEELNEDDIFFSGDFSDNGNGFNSVGSFQNPLHQTASPSSSPRKHKAFPQSENFGILAAIRDPSRPHSHFYQKPSISTSASSSSPATSVASSSSSSSRLIPLIPKPPQERIPIVSSSSSGRFHQSAPVNVPILAKTMKKNREFDDVYDVDVEEEGEMLPPHEIVARSLAQSPMLACSVLEGVGRTLKGRDLRQVRNAVWRQTGFLD >OMO91780 pep supercontig:CCACVL1_1.0:contig08300:53315:53875:-1 gene:CCACVL1_07030 transcript:OMO91780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin MALAGDPDILTDFIVPPNQNMVDGNFFTYTGMRVLINQDFPTNFTILKATMAEFPALNGQSVSYAVLEYPTGTVNPPHTHPRSAELLFLIAGTLEVGFVDTTNKLFTQSLQAGDMFIFPKGLVHYQYNADPKNAAIAISSFGSANAGTVSLPKTLFATDIDDNVLAISFKTDVATIQALKAGLASK >OMO91776 pep supercontig:CCACVL1_1.0:contig08300:27629:31307:-1 gene:CCACVL1_07026 transcript:OMO91776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSLLITALFFLVALARIQLSTSQVLEGKLSCLDCKHDYDYSGIMVQVKCAQVKKLATATAEEDGSFKFELPSDKTKSTSTPQKCLARLLGGPNQLYGRKKNLMSTVVKAHGLDSCAISTPLTFSTSCPLATQDAKCGAPTEIGSSKTVDLPLPPEWGLAPSSYYVPFFPIIEEQLLFDDAIVPDWLLIEILQRLPIKHIFMFKCVSKRWFTLISDPLFARSYANRINHGTFQDSQDSQPWNLLFRYLFEVRILPSSMIDDRVALNQFQDFTLPGFSLNFLPSEQDCPIKILASSNDSPVLDYNGNLHWLEFRHGKIITYNPDKDSGQLLRLMNLPDGKESEHLSLLGLSRGRFRYFED >OMO91778 pep supercontig:CCACVL1_1.0:contig08300:46507:48348:1 gene:CCACVL1_07028 transcript:OMO91778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MSRRKVREPKEENTNLGPAVREGEHVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >OMO91773 pep supercontig:CCACVL1_1.0:contig08300:8606:10800:1 gene:CCACVL1_07023 transcript:OMO91773 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 3 subunit A MSNYEARVRNLMDSFSEYRNVQYGEEKKLRDAVVRLLIISILIVQYCSSMAESLCARNIVTVTIGALLVLGFIWVAVDFANLIRSVSATLEKYQPKLRTLLSNIDDLEKDLGKDTESDSGRTSFAGGMLTALKGSVKWSRFFDRGYGVAYGLFLVSITAAMVMLGILIIQNIRANCPCGPRQSVGKGFESHSLRSHLTSEARGIRYPPANDVLLEFRNIVDKDRMRLLDRELSEMELEVESKKQMFQKKTEEAEKKGLAALFQQLRPERIQKEMEEQELEEAWIVLQQNEKHLKRWINKVLSDGEKLMQQTLVENVMIKQLKESQEQEKRLQKVANTMDHLERDKREEAAPLIENAFQQRLVEEKALHEHDQQLEVELSRQPHDGNLRDKNWLSRMLEIKERVRSWRQARFDRWRMAREERIKQIMSRKQDRDIKGRKFSI >OMO71179 pep supercontig:CCACVL1_1.0:contig11713:98121:101029:1 gene:CCACVL1_18394 transcript:OMO71179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLEKWGQAKKRKRPYTSVQDSHKQSDAPRAYHGFEEHNGANGDHASDKLGDVSDIQEAAMVDPGLKGSMEEHGEDANAQDRPLHGGIAHDRPWHVPIAQDRAQPAI >OMO71175 pep supercontig:CCACVL1_1.0:contig11713:26225:32628:-1 gene:CCACVL1_18390 transcript:OMO71175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MDRETQTPTPSSGDHRKQGGGRGGWITFPFINGTLAGLTLAGFGWMSNLILYLIQEFNIKSIDAAQVSNIVNGGTSLFPILGAILADSFLGCFSVISIFSCISLLGTGFLALTATLDSLRPEPCNNGSALKYSICKAPSKLQFLVLYGGIALAAMGVGGTRFTLATMGANQFQSAQHQATFFNWNFFTQYTAAVVSSTAIVYIEDNVGWAWGFWICAAANFLALAIFLLGNRFYLHDKPQGSPFMSIARVPVAAFKKRNLILSSMTKDYCHNERNQPVDYVEAHHQYHVPKNSFRSKMSSVVPGNGETEAQISPDSARIQGGWTTFPFIAGTLMGSSIALSGWANNLIVYMIEKFHVRSIDATQTFNIAAASTSLLPVIAAILADSILGCYTVIWMSSLVAILGIILLSLTAMLEPLRPAPCQNGSSMCPIPSKVQFSVLYSGIALASIGVAGTRFTIAAMGANQFEESKDQRIFFNWFAVTLYTSMVLGATVIVYIEENVSWTLGYCLCFAVNVVGLAILLLGRRYYRHVKPQGSPFTRLAHVITATILKRKIPLSSRAEDYYYHKDGASEKGASMPTKSFS >OMO71176 pep supercontig:CCACVL1_1.0:contig11713:33759:36507:-1 gene:CCACVL1_18391 transcript:OMO71176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MENSNIKLGAQRYAVVTGANKGIGFEIVRQLASNGVTVVLTARSEVRGKEATAKLHHQLGLSNILFHRLDVLDQSSIDSFADFISQKFGRLDILVNNAGKSGAIVEEDDLKALGIDPTAWLSGQVAKLIQSVMKYTYEEAESCLNTNYYGVQRVTQTLLPLLQLSPYGARIVNVSSLRSELKRIPGEHIRNELGDVENLTEEKLDAILQRFLKDFKEDAVEANGWSIMLPAYSISKAVLNAYTRILARKYPKMRINCVHPGYVNTDINWHTGIITTEEGAKGPVKCALIPDEGPTGCYFDQTQVAEF >OMO71177 pep supercontig:CCACVL1_1.0:contig11713:39788:61849:-1 gene:CCACVL1_18392 transcript:OMO71177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVDSIRTFLEPRNKGSDNSSGLIFFIFCTAYTDRLDQNRRWNDSGYGVCSDGWAGIKCLKGQVIAIQLPWRGLGGRISEKIGQLQALRKLSLQDNVLGGPVPRSLGFLPNLRGIYLFNNRLSVSIPPSVNNAGKTGAIVDEDDLKALGIDPAAWVQLSPVGARIVIVSSLRSELREFIRNHKEPAPKLMQG >OMO71178 pep supercontig:CCACVL1_1.0:contig11713:90554:91679:-1 gene:CCACVL1_18393 transcript:OMO71178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFMVLINLVVCWRAFLLFKELKRSDLALDLGFPALGVNQLRLRRLSIAAVVGDKTALPNDYDTQSPASNEVNRDTENEDEKGSVEGLDDCKMIRVCDKLIEVFMVDKPTPTDWRRLLAFSKEWNNLRPHFFQRCHDRADAEADPGMKHKLLRLGRKLKEIDEDVPIIYGKYSFAKE >OMO71172 pep supercontig:CCACVL1_1.0:contig11713:1539:1598:1 gene:CCACVL1_18387 transcript:OMO71172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATEFAAPSTARSILSRINR >OMO71173 pep supercontig:CCACVL1_1.0:contig11713:13148:15365:1 gene:CCACVL1_18388 transcript:OMO71173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSAPKSFSRHFSASEVLVGKLNLYGKLNGHGGCVNTVVFNSNGDLLVSGSDDKYVMLWNWATKSRTLSYPSGHCDNIFQVRIMPFTDDKRIVTSSADGQVRLGDIFENGLVRTRRLGKHEGRVHDLAVEPGSPHIFYSCGEDGLVQHFDLRSSSATKLFHCLSIKETKRQPSEISLNAIVIDPRNPNYFAIGGSDEYARVYDIRRCQLDASNNSDTPVNVFCPHHLVDSNHVHITGLAYSSTSELLVSYNDELIYLFQKNMGLGPSPLSLKSEAVQGLEEPQVYVGHRNAQTVKGVSFFGPNDEYVMSGSDCGHIFIWKKKGGKLVRLMVGDQHIVNHLEPHPYMPFLATCGIDKNVKLWAPKASDTPALPNNLEKIMESNRQSREDQSQATLAPDVIMHVLRLQRRQMFGYVERRYNRADLESDEEDGEEDYIFRLSNDSAFSEEDSAENSRECKIS >OMO71174 pep supercontig:CCACVL1_1.0:contig11713:16610:23140:1 gene:CCACVL1_18389 transcript:OMO71174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLLEISGEDDDSFLLQHHQYPNADVSAFNSSYFSCSPLHFPGSNPPDKNMNNPSLSSSVDKENISDNVNKSEAPKLSLEPQQMKRKKKGGGYNLRKSLAWDRAFFTEEGVLNSTELSLISGNFGKLSGGTLSVIEEERRESLSSDSIDLQVLETNLFKELPLNDSSKNEDKKIGSSSLVQKSSGSASRSASKTNASQNLQSSTKPSNTPSNIPNFRKLGVINAISEKPASSATAPAVTNGVAAAANARISSTESSVPSSVNSLCQNIQPNLQMPRVDVKVLDNSNNHELMNNRLQSQTIYNDIKQQINENVGLQGVDDKLLLECQSSEQGKLDYKRRVDVVVPRGPDHHRDELNGTHFVSHHSLQVKGVSKTDGFGNQLSENEQHGVDPFPKLRPSDVNSFDINGSLKVNDNQISSLDGSHEQTSKLAEQAKPCTFDDDQMIDKTEGPHMSDGAILKEGETSEGFLSYNGVQNNDIYLKVRDCIASELERSHGLSHYGGRVLGKDGAGEAVPSDLKSHVGDAEMHSLEGNLSAGNSNSLLNASEAYGQNLSVHDINKKLVEQSPLSDPDTLVEKVIQANYGSCSTDSLLHGEIFSSEEFLAQRNVQTVKDVEPELADACENELGNSGARILIPPAPQNCGHEMNDVVQCLDVKNAVSVSTVMQCNNDIELLCKATSEGSERIGQNQVTRVINACDFDVINDCQSRGKLKGREVDNFNLVPPTSPVVRIKSYSEIDDSIDLKSVEDHEYNMSAKCYSNIFEPEEQITGCHVDTSAMLKNSSLDKQYDHEKSIAQSNLISSSSEACKSQENQIPEAYTSLLSVDEKAFQECHHFDSDNHADLSPKDKICVRQLAEGPSDLSQVQCPDEPSVKCAAGSNGMTTKFFKEDALSQSFDDSRPHLSISAENNNSAMVVEDSNLPPELQNAVIVEQILSEKSQLSVNEEVSSSVKINEPDDNNDCYNDIIFRPDNEQSGEATSLNFVLSSFNEDEISAAGNTCNSQVFCTLNEETTSSGGNVLLEEVSILEKNVPQELNGAMNSVEAKDGTTSFEKNGIDTKEDAAVVKPPPHAIPFSDEWLAAFEAAGEEILTMKSGAVQHSPKDKSLPEPSPWSPVRRKNNQGIGPFDCTKFTNTNFPSGSD >OMP03342 pep supercontig:CCACVL1_1.0:contig06096:553:1536:-1 gene:CCACVL1_02473 transcript:OMP03342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVECLIAERSVNRGAVTMILRNLWPEAEAPIIGEIGQNLFSVTFSSAKLLYDALVENPWAVMGFCFNLKEWQLIEVPVIVSTVFLRVPVAVPQQPPVSMIALNWDCQDGSVVFEERRRVWQKLVNKIDIQDGVSALMGVFNEIAKDLCDECIAMIAFTIWLIWKNRCEYMFKETNMNLLNSTLRIQAIMNDYCRFCDEKNKVKILVKNTEVSQKWAFPPTSWVRVINDSAFNEEDGKTAIGFRHSDKKVELCAFRGKRIQVVSPVQNKDCALQKAT >OMP03996 pep supercontig:CCACVL1_1.0:contig05875:2274:2822:-1 gene:CCACVL1_02216 transcript:OMP03996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTSPVESSKDEYFNLSFRQLDVSDIDDFMVWATDEKVTRFCTWEPYTNKEDGLNFIKNTVLPHPWFRAICIDNRPIGAITVTSNSGNNRCRGELGYVLASKYWGKGIVTRAVKMVADTIFEEWPHLERLEALVDVENVGSQKVLEKAGFQKEGVLRKYFIHKGKCRDRVMFSLLSTDPRI >OMP03997 pep supercontig:CCACVL1_1.0:contig05875:4655:5173:1 gene:CCACVL1_02217 transcript:OMP03997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEITLRPYELSDIDEFMEWANDEEVSRLSRLEHFNSREDALCYLKEVAIPHPYYRAICFDGRPIGFIAFQPGSGVERCKGLLSYALGAKYWGQGITTKAVKMLASAVFKEFPEIERVEAFVDVKNKASQRVLEKAGFQMEGVLRKWIIMKGKTTDVSMFGLLSTDLVVGTN >OMP03998 pep supercontig:CCACVL1_1.0:contig05875:6229:6741:1 gene:CCACVL1_02218 transcript:OMP03998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEITLRPYELSDVDEFMEWANDEEVSRLSRLEHFNSREDALCYFKEVVIPHPCYRAICLDGRPIGFVALEPGSDKHRCKGVIGYALGSKYWGQGITTKAVKMLISAVFKEFPDIVRVEATVHVENKASAMVLEKAGFQKEGILKKWIVYKGKITDVSIWAFISSPSFVL >OMP05820 pep supercontig:CCACVL1_1.0:contig05205:6799:7600:-1 gene:CCACVL1_01822 transcript:OMP05820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWAAVAALARRIAKDVQKGIDNTKAALGGDE >OMO67661 pep supercontig:CCACVL1_1.0:contig12406:83730:85038:1 gene:CCACVL1_20404 transcript:OMO67661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29 MARIKVHELRNKSKTELLSQLKDLKAELSLLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREVYNKKKFLPLDLRPKKTRAIRRRLTKHQQSLKTEREKKKEMYFPMRKYAIKV >OMO67652 pep supercontig:CCACVL1_1.0:contig12406:48994:50746:1 gene:CCACVL1_20395 transcript:OMO67652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGSMWNYQENVDELKLKLQYTTIELESLKMEANEQIRKHKEEIKQLLNLLKLAYQERDEARDELQKLLNKLMILPSSPVELQKPTSLIPYSQSENPLIIAAAAAAAAKANSSITESSSLSDTYNNNNNHHSHNSSPVDSFFDAVTSPDFSSINMVNQQQQQQQQQPFVHNGSSGSTTGLNSPAIIKVDPAATVIDNLAKGKTLPQKGKLLQAVMEAGPLIQTLLVAGPLPRWRNPPPLQSFKIPPVSIKGCDSNKKPAAAANPNKSNSIVQKRLNPYPEISRQNQMCSSAALLNFASSGSSAASGLSNAQMLAASSGKRQRFQ >OMO67654 pep supercontig:CCACVL1_1.0:contig12406:54936:59490:-1 gene:CCACVL1_20397 transcript:OMO67654 gene_biotype:protein_coding transcript_biotype:protein_coding description:3,4-dihydroxy-2-butanone 4-phosphate synthase, RibB MECASFLHPLFPHIFINSRFPRCFAVRRGVEIRLFRKRWLNSSCCAVGVSEIGAGNLFDDNSLKGAENGSLLGSLDESGSAPFGTLDAEITPETIDFFVSDADGDPDCPSKGFDSIEQALNTLRQGKIVIVVDDENEDVEGNLIMAASHATPEQMAFMVKNGSGIVSVGMKEEDLERLKLPLMSPETEDEDSSAPTFTITVDAKTGTSTGVSASDRAKTVLALSSPDSKPDDFRKPGHIFPLKYRNGGVLRRAGHTEASVDLIMLTGLRPVSVLSTIIDSADGSIASLPFLRKLALEHSIPIVSITDLIRYRRKREKLVERTAISRLPTKWGLFQAYCYRSKLDGTEHIAIVKGDIGNGQDVLVRVHSECLTGDIFGSARCDCGNQLDLAMQLIEKAGRGVVVYLRGHEGRGIGLGHKLRAYNLQDQGHDTVQANIELGLAVDAREYGIGAQILRDIGVQTMRLMTNNPAKFIGLKGYGLAVIGRVPVLTPITEENKKYLETKRTKMGHVYGSDLQGPLAGFMKSSGSRQQQPMAPKVEKKGDAKSQALKTAKAVRSGTTFKKKAKKIRTKVTFHRPRTLKKDRSPKYPRISAPPRNKLDHYQILKFPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >OMO67646 pep supercontig:CCACVL1_1.0:contig12406:17874:17969:1 gene:CCACVL1_20389 transcript:OMO67646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGRMIWLLKEARAKEELSSSQEGGAQIAS >OMO67648 pep supercontig:CCACVL1_1.0:contig12406:28422:30254:-1 gene:CCACVL1_20391 transcript:OMO67648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MASSDKPEIVERGVKDKEDDNEEKGGFIEKVKDFIHDIGEKIEETIGFGKPTADVTAIHIPCINLEKADFVVDVLIKNPNPIPIPLIDINYLIESDGRKLVSGLIPDAGTIHAHGEETVKIPVSLIYDDIKSTYDDIKPGSIIPYKIKVDLIVDVPVFGRLTLPLEKCGEIPIPYKPDIDVEKIHFERFSWEETVAILHLKLENKNDFDLGLIDLEYEIWLSDVSIGAADLQKSTKIDKNGISYIEIPITFRPKDFGSALWDMIRGKGTGYTMKGLIHVDTPFGAMKLPISREGGTTRLKKNKEDGGDDDDED >OMO67656 pep supercontig:CCACVL1_1.0:contig12406:67294:69341:1 gene:CCACVL1_20399 transcript:OMO67656 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-S seed storage protein, plant MDLDLSPKLAKKLYGENAGSYHAWCPDELPMLRQGNIGAAKLALEKDGFALPRYSDSAKVAYVLQGTGIAGIVLPESEEKVISIKKGDALALPFGVITWCYNKEDTELVVLFLGDTSKGHKAGEFTDFFLTGPNGIFTGFTTEFVKRAWDVDDATVKSLVGNQTGKGIVKLDANVKMPEPKAEHRTGMVLNCEEAPLDVDIKDAGNVVVLNTKNLPLVGQVGLGADLVRLEGNAMCSPGFSCDSALQVTYIVRGSGRLQVVGVDGKRVLETIVKAGNLLIVPRFFVVSKIADPDGLSWFSIITTPNPIFTHLAGSIGAWKALSPEVLQASFNVDAETEKVFRSKRTNDAIFFPPPK >OMO67645 pep supercontig:CCACVL1_1.0:contig12406:8281:11183:-1 gene:CCACVL1_20388 transcript:OMO67645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSRGRTTEFGVFSRKWKDREISPERNKVWIEPKPHSSSKSSNTERKVAVVYYLSRNGQLEHPHFMEVPLSSNEGLYLKDVINRLNLLRGKGMASLYSWSSKRSYKNGFVWHDLTENDFIYPSHGQEYVLKGSEILDHSINAQSLELTSSSFRLTKPLESEKSENDNDLPLIRRRRNQSWSSIDLNEYKVYKAESSSESTRRLAADASTQTDDKRRRRKPVKETEIEELQSQSQSQELEQTQTTVLSREDISPPPSDSSPETLESLMKADGRLRLSNGGDGNENNSNRGVESCSSGRMKASTVLMQLISCGSISFKDCGPSSDRDQGFSFIGHCKSRIPRGGAGNCSQVGKEAGSEREIGNFSRVRLEDKEYFSGSLIETKKEEVPALKRSSSYNADRSSQLEMAEKENEGVRAKCIPARKPRTLSSRKEGNIMEDGYGEMGSKRHYV >OMO67653 pep supercontig:CCACVL1_1.0:contig12406:52431:53039:1 gene:CCACVL1_20396 transcript:OMO67653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MLPPSPSKAPPSSSRDSTAIPNPFVLAAIAISCVIFLVLSYCKVLKRICCEVNATTFARNQVQRAPPLMLDDSNFENQSYALESTVIYTLPTYQFKKENKEEEQRPSNTDCAVCLAEFEEGEWLRHLPNCKHAFHVSCIDTWFRSHSSCPICRSCVYDQAIRPECSVSVMALMETLRREDFLQDRAAHYQMLRSEVLRNSRD >OMO67651 pep supercontig:CCACVL1_1.0:contig12406:43726:43854:-1 gene:CCACVL1_20394 transcript:OMO67651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRYRSCASHRRHDTCKQEESSPCEAAAHVPIVPSVTRHLA >OMO67660 pep supercontig:CCACVL1_1.0:contig12406:78732:79691:-1 gene:CCACVL1_20403 transcript:OMO67660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMDLFCASPASTAICSSIDHRSMVRRGHRPIDRQNSKPYYAPCSSSSSQLPIIPRPYHEKSRKSSVKPSDLRRKSSADIHDLNSPHGSARYLLSDKPFIDWISESDRVSALVPSKPNKHIINSDDSPSLKSSSSARRSRSDQVVVLRVSIHCKGCEGKVRKHISKMEGVTSFSIDLPSKKVTVIGDVTPSSVLESVSRVKNAQLWPSVPPQSSSQMVKISF >OMO67659 pep supercontig:CCACVL1_1.0:contig12406:74245:74880:-1 gene:CCACVL1_20402 transcript:OMO67659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MVMKYVHGARLATNPSPSPSPLAATRFSFFMHDIFGGSAPSVRVVAGNIVATNNQVTNGIPFSKPNGGIFPKSHGVPLITPNNGLNGNLQQLLFGTITVIDDELTQGHELGSSVIGKAQGFYLASSMDGTSHTMAFTAMFHDDHDDSKDDAISFFGVHRTAAVESHIAIVGGTGKYENAQGFALIETLHHTNQHTTDGIDTVLQFNVFLTN >OMO67647 pep supercontig:CCACVL1_1.0:contig12406:23831:24813:1 gene:CCACVL1_20390 transcript:OMO67647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKMEQEEVRKGPWTEQEDILLVNFVHLFGDRRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPQEERLVLELHAKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQEKKRAMSPPSSSSSSSTTTTVDSLPFSGTGKASFYDTGGHDDDMVNNALAGKNSSPEFEEEKGYSMDDIWKDIDDIQLSEENNTLMKPLSDNNNNNLISSMASPPWDYCWDSLWNEEESKNMFLPTNNQFLACYEFGFGKAPLTG >OMO67657 pep supercontig:CCACVL1_1.0:contig12406:70982:72145:1 gene:CCACVL1_20400 transcript:OMO67657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKHDYASCSSLIYKLAKSRNFEAVETLLGYLEDRNIRCQETLFNALFQHYGKAHLIEKAVELFHKMPSFNCVRTVQSLNSILNALVDDDKFVDAKEIFDKSAEMGFRPNSFGKIDGACFVLEEMQKREMSFDLEAWGALVRDACGGDDDAGELLTQLILVNSD >OMO67658 pep supercontig:CCACVL1_1.0:contig12406:72777:73646:1 gene:CCACVL1_20401 transcript:OMO67658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein METSKSLLFITLLALTISRAAAARILVEEENPIPGQQPVAGAAPVIAASAKPNGAIVATADPHHPLTFFMHDILGGSNPSARAVTGIVSNPVASGQVPFAKPNGANLPVNSGVSVNSNNNGIVNNNNVPFLTGLGGMNNAAGQNTGNNPINGGLGVAVLNGGQLPTGSTIQKFMFGTLTAIDDELTEGHELGSGLIGKAQGFYVASSVDGTSQTMAFTAMFESSGYADSISFFGVHRTGVSESHLAIMGGTGKYLNAKGYAIVKTIPTSNQTETDGFETLLQITVYLAY >OMO67655 pep supercontig:CCACVL1_1.0:contig12406:61132:64579:1 gene:CCACVL1_20398 transcript:OMO67655 gene_biotype:protein_coding transcript_biotype:protein_coding description:UAA transporter MAETLPTTNEVKENKLWKGIFAVSGIMVTLVIYGILQEKIMRVPYGVNKEFFKYSLFLVFCNRITTSAVSAGSLLASKKALDPVAPVYKYCLISVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTFIMQKRYKGFDYLVAFLVTLGCSIFILFPAGSDISPYSKGRENTVWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCVLSLTGLILQGNLLPAIDFVYRHNDCFLDIVLLSTVATASQFFISYTIRTFGALTFAAIMTTRQLASIMLSCVWFVHPLSWEQWIGAVIVFGSLYTKNFMKKASPKPPPPEQTQNGASSPVKRTP >OMO67663 pep supercontig:CCACVL1_1.0:contig12406:108347:109747:1 gene:CCACVL1_20407 transcript:OMO67663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-ketoacyl-CoA synthase MELLSFLLLLPILHLLFTIWKWVNDKRDQECYILDYQCYKPTDDRMCGTEFCGNVIKRNKNLGLNEYKFLLKAIVSSGIGEQTYAPRLMFSGREECPTLADGILEMEEFFHDSIGKLLSRSGVSAQEIDLLVVNVSMITTLPSLSSRIINHYKMRPDIKSFNLTGMGCSASLISLDIVRNCFKSYKNKYALLITSESLSPNWYAGNDRSMILANCLFRSGGCAILLTNNNSLKHKAMFKLKCLVRTHHGARDESYECCIQREDEKGRMGFHLGKNLPKSATRAFVDNLRVITPKILPIRELLRFMVVSAVKKWNRRGAPPKGTTQGPMKSAVNFKSGVDHFCIHTGGKAVIDGIGISLDLTEYDLEPARMTLHRFGNTSASSLWYVLAYMEAKKRLKKGDRVLMISFGAGFKCNSCLWEVVRDLDDGNVWKDEIEKYPPKTLANPYLEKYGWIQNEDISTFKLPEE >OMO67662 pep supercontig:CCACVL1_1.0:contig12406:96025:97806:1 gene:CCACVL1_20406 transcript:OMO67662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex protein Exo70 MEENIEVAELLITKWDFHSSNNNNSSYCNIDSLFSGDSRDEAKQYLTAVKGLQKAMQYLASNHSGNSHNNLVRAQTLMQTAMKRLEKEFYQILKSNRAYLDPESVSTHSSRPSVSRSSFSDFEDESENERDDNDNIPEVERISLAAMDDLKAIADAMISAGYTRECLKIYKIIRKSIVDEALYHLGVESLTFQQVQKMDWEALEVKIKHWLNAVKMGVKTLFYGERILCDHVFAVSDKIRESCFTEISKEGALALFGFPENVAKCKKSPEKMFRILDMYEAISDLWAEIESIFGFESTSIVRSTAVNSLVRLGEAVRTMLTDFEMAIQKDSSKSVVPGGGIHPLTRYVMNYISFLADYSGSLSDIVADWPLAIPSPLPESFFGSPDNEESISSPISVRLAWLILVMLCKLDGKAALYKDVSLSYLFLANNLQYVVGKVRQSYLKFLLGDEWVTRHEKKVKQYAANYERMGWSKVIASLPENPTAEIPLDRVKDHFRKFNLAFEEAYTKQTSWVIPDPKLRDDVKISLARRIVPLYKEFFEMYGGVQMRKEMWVESLVRYTPDDLGNYWSDLFYGGGSSGGSVSSSSSRGGRSR >OMO67664 pep supercontig:CCACVL1_1.0:contig12406:112934:115679:1 gene:CCACVL1_20408 transcript:OMO67664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKELSGCSDIAYKIMFSSPLGLSRSQLFVDFDKSYDRIPHSDINLENSISEIWDQRVQKNGSLFNGKKFRYGGCSQKSGDGSKSYVCLHLGLTDYRTFVGTNLNPSWEKFLVASEDDPAQCQHTSSPLGNGAIVETSDKKIVVLQRSNNVGEFPGHFVFPGGHPEPQDAGIETHEYSKDSKDSELVNKRISQEMFDSIIREVVEEIGVPATSLSDPLFIGLSRRVLNVRPAIFFFIKCTLPSKEVQQLYSNAIDGYESTQLYTVSMTEVEQMTSKMPGCHQGGFALYKLMVEALKNISC >OMO67644 pep supercontig:CCACVL1_1.0:contig12406:3382:5323:1 gene:CCACVL1_20387 transcript:OMO67644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKLGGGDDRISQLPEEVMGHIVSFLNMKEPVGTRVLSKKWISFWTNHLLQLQSLDFDIAHFNGRNQALFMDYVDLVLRLRGPIDIEIFSLKYNIEFRSENHQALQIFPRVCHWIRYANICNVKKLELHIQIGRQWRPVRFPAGVFSSCCSSIVELKLENDFVFDVPPTLFPCLKVLHVYVRRPNINFFNRLINSSSPVLENLSINGRLLPFAFDIWGGYSFEIFVPTLRILRINLISSEEYFESCSNHLFIIHTPNLEFLTIQDEIFASYEISEIPYLVEANVCIGPHSSYIINNGEISEQEADRVMQVLQGIRHARSVILWENITAAIGHAFDDYGPLPMFPTLHRLKLCIDNCYGWKLLPHFLSNSPNLEFLEMEKQPAAFNIEEEDEAGVVPYMDSYDWDEPEAAPSCLGQYLKEIKLASLWRSGDEEQAIRYLLGNSMVLEIMTINFSEDAVEGVLDEYMIEEFPRASHNCELIFE >OMO67649 pep supercontig:CCACVL1_1.0:contig12406:31936:34436:1 gene:CCACVL1_20392 transcript:OMO67649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase-like, PTPLA MSQLLKLYLFAYNSLQALGWTISLFRILTSFIATNSVNGAYASAGDLICFIQTCAFLEVIHGAIGIVPSGVLFPLMQWGGRTHFLLAIVRKIDEVQELPAVFITFVAWSLSEVIRYSQYALNVIGSCPFLLTYLRYTAFIVLYPMGLAPGEMWLMYQALPLIKKKNLYGDFFASLHLPFNYYNFLTHMKQSRLFVKLIAVLNSVITS >OMO67650 pep supercontig:CCACVL1_1.0:contig12406:35301:36306:-1 gene:CCACVL1_20393 transcript:OMO67650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MPISRIAIGSPAEAGQADTLKAALAEFISMLIFVFAGEGSGMAFNKLTSNGSATPAGLVAASLAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGHITLIRSIVYWIAQLLGAVIACLLLKFSTGGLETSAFALSSGVGAWNAVVFEIVMTFGLVYTVYATAVDPKKGNIGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVAWSWDNHWVYWLGPFVGAGIAAVVYEVFFIAPSTHEQLPTTEY >OMO86916 pep supercontig:CCACVL1_1.0:contig09389:16486:22298:-1 gene:CCACVL1_09389 transcript:OMO86916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MDFWIVSQKSTRTQVILIDKVLAPIPIGFAVFMVHLATIPITETGINPSRSFAVFMIIGVDINSSKFEQAKKLGCTEFVNRKDHDKPVQEVLAKMTNGGVDRSVGCTRSINDMISAFECVHDGWVLRYSSKCQIRMMHLKPTP >OMO86915 pep supercontig:CCACVL1_1.0:contig09389:8050:8118:-1 gene:CCACVL1_09388 transcript:OMO86915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-inducible basic MEEQKPKEQRPKASENKPVMTE >OMO78932 pep supercontig:CCACVL1_1.0:contig10527:22724:25005:-1 gene:CCACVL1_14007 transcript:OMO78932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MSRFISLFLLLPLLIAEVGGKEESCVMRRGIARGIGSVIDYSSRAGREQKIAMEIAFQDFHRSTCSKQLVLRFKDSHGSSAQTVSSALELIGSGKVQAIIGTITKQEATLVSEFQKATNDMPIIFISLTSPAIVTTSLPNRLPFFIQMANDIHIHLNFQCIAAIVNNFKWRKVTAIYEHNNEFLTDSGLITLLSDSLRAVSSEVEHHLAFPPLASLSDQNATIEEELRKLKSKSNRVFIVIQSSLQFAVLLFEKAKEMGMMEKGYVWIVTNEIASLLDSVDSSVLYNMQGVLGFRTNFVESSKSFRQFKTKFRKKYGIKYSEEEEYSNPSIFALRAYDAAWTIAQSLEKTQANATSEELLNQILSSNFEGLSGRIRFENSTLLQQGTFQIINVVGKSYREIAVWSPELGFSENFDKLGNNSMKDLGPIYWPGGLQTVPKGWTSVAEVKPLKIGVPAKGAFNQFVKVSYDQDKNGSYVTGFSIEVFEAVVKRLPYQLPHDFIPFNGSYDDMVKQVYSKESL >OMO78930 pep supercontig:CCACVL1_1.0:contig10527:3264:5602:-1 gene:CCACVL1_14004 transcript:OMO78930 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclase/dehydrase protein MPPFLSTSKAVGSVISHRNGISHFVRHVRNGGHSRKFDQIRCFSNIAGIQTPSILPFTGSRKEDSNLSLNSLCDNYTLQRRRFLGCGDGEEGGVLSKVYEERMVMGYSPEQLFDVVAAVDLYHGFVPWCQRSDIVKRYPDGSFDAELEIGFKFLVESYVSHVELSRPKFVKSTASESNLFDHLINIWEFNPGPVPGSCSLYFLVDFKFQSPLYRQVASMFFKEVVSRLVGSFHERCRLIYGPGVRVLENSYGERA >OMO78931 pep supercontig:CCACVL1_1.0:contig10527:7128:15785:-1 gene:CCACVL1_14005 transcript:OMO78931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGADGTVRLGALNMKAGRGFDLDQDVAVSSPVTRQKAAAAKQFIENHYKNYLQGLQERKERRRALQRKAEEAQIPSEEQEEMMRNLERRETEYMRLQRRKIGIDDFEQLTVIGKGAFGEVRLCRAKSTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVESRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVARFYVAESILAIHSIHQHSYIHRDIKPDNLILDRNGHLKLSDFGLCKPLDEKYSSILLEEEDLTSQESTSDAEAWLMPKEQLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFCSDDPRITCRKIINWRTCLKFPDEPKISVEAKDLICHLLCDVETRLGTRGVEELKAHPWFTGVQWEKLYEMEAAYKPTVTGDLDTQNFEKFPEIDGPPSAIPEVGPWRKMLASKDTNFIGFTFKKSDIVKESVLVVFLFLCMEGFRRIESRTSSEGSASELTREMSPEFAHHEQGPSLDSMVVESTSTEWTDEKHSLYLKSMEASFVNQLYDSMNSLGWNSQKEKSSGSKSSRNLCNSSGQFKVLRGGCWKKINFERPVYQQNRTDDSHCFVASPWIQHFRSGSKSRVLASRSVQDSTSSREVSDQNFVDEEEGQKASGACGSKKLKTLVTDDASCHDQVVP >OMO78933 pep supercontig:CCACVL1_1.0:contig10527:26252:28622:1 gene:CCACVL1_14008 transcript:OMO78933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase, N2/N3-terminal MASILKPPPPPPPCFYRNSISILSSSSPVILSSPFHRTRSLQVRFCALRTGSDGGRIGSQESYGADLLGKPGILPEDSGGNSEEEEGSEVKRNRNEWKDWEDRILEDTVPLVGFVRMILHSGRYGSGDRLNPEHERTILERLLPYHPECDRKIGCGIDYITVGYHPDFEGSRCLFIVRKDGEYVDFSYWKCIKGLIRRNYPLYADSFILRHFRRRRRS >OMO61371 pep supercontig:CCACVL1_1.0:contig13508:16760:22415:1 gene:CCACVL1_23573 transcript:OMO61371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein, plant MAQIGPQLQLRLSSISSSFFNGGDRRSLSTILSLKSPTKPKFPSICKASWQELAGVLVFSAIPFTAVKAIANSPLGESLQRRLLEKKKEALENSSKFRALADKARKESFWYGEDRPRWLGPISYDYPEHLTGEFPGDYGFDIAGLGKNPEDFQKYFNFEILHARWAMLAALGVVVPEILDLFGISDFVEPVWWRVGYSKLQGETLDYLGIPGLHLAGGQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGVLFDPLNLSKDPAAFEELKVKEIKNGRLAMVAWLGFYFQAALTGKGPSIPPNTVVLPNGSMSATATLRNSKNQCWHVELKEVAGKMYFERGWTKFIDENTTIDNGDILVFTYVGSSLFDVTVMGPNGCIKSVSSSPVKEVEEEEEQEEEEEDDDDDDDGNYCEIEGDDSEEEEEEEEEDDDGDYWEIEGDDSEMKSEEIEVLGTSNKKRKGCRGEGSASKNNKGTTSKEVEDVEFYISRNVNQLNPCFVVKGRKHRDNELYLPTGVTKHYNLILEDEEEITFIDPLGWKKIGKVLKWKDGRICIHGWKSVCTINRVNLHRDVCICEFLLHNEEQDDEGQRKRIKVHIRLGN >OMP11231 pep supercontig:CCACVL1_1.0:contig01465:1030:4938:-1 gene:CCACVL1_00615 transcript:OMP11231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEFAKCQVCVDVFLTTQTYIDIASISVIPGTTGGQVYYYYPFSSVSDPAKLYNDLRWNIIRPQGFEAVMRVRCSQGIEVKEYYGNFCKQIPTDIDLPAIDSDKTIMVTLKHDDKLPDGSECAFQCALLYTTLYGQRRIRVSTLSLPCTSNLSNMFRAADLDTQLVCSLKQVANGIPSTPLLQLREHVTNICINNLLAYRKLCTSTSSGQFILPEALKLLPLYTLALTKTTGLRVDGRLDDRSFWISYVSHISTPSAVGLVLPRMIAIHNLEQEEDGHIIHPTLPLSNEFVSEEGIYLLENGIDGLIYIGSWVDSDILQQLFGISSADQAPTQLVLQQYDNPLSKKLNHVVNEIRRQRSSYLRWTLCKKGDPSEALFSSYLVEDPTPKDGQSYSEFTAFLHQSIQRKL >OMO55263 pep supercontig:CCACVL1_1.0:contig14750:3382:4044:1 gene:CCACVL1_27324 transcript:OMO55263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYNHIHTSHEPLLPSGHSPPYLPPAGQGFPPPPPPLGYTHTHHSHSPPPPQQPGYPGYLHEGYPPSSPPPPLPPTNHYNQDGCSSFLTGCLASLCCCCLLEDCIF >OMP10840 pep supercontig:CCACVL1_1.0:contig01871:866:1179:1 gene:CCACVL1_00775 transcript:OMP10840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPVFFKTGTYGWIASMNKVYRRCGTKSYRFYQLRKRVNGMSALGRVG >OMO76604 pep supercontig:CCACVL1_1.0:contig10888:2217:2966:1 gene:CCACVL1_15550 transcript:OMO76604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPYFRVNFNSYSHPLKQGGGMTCDRMSECHSITFPNSNGERTEVKIILTVDPEYFGIWVKGIDSDKENIQDSIGGEYIGPIGLSLGRTGYVYVLILCVGTSCLMCNLRYVDKDGRSILNNLLKERKNTFYGLNIHENVRDLKDVYGINVPNVKDVGELDYSEGTCLSFEKLVEEYLNFPNPYFPPMNYGWEDMIIEDLEITYGSFHAYCCYALGKSMKEGARERKEAELKREDAETGISKSRNILEY >OMO99505 pep supercontig:CCACVL1_1.0:contig06880:5445:5606:1 gene:CCACVL1_03770 transcript:OMO99505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSHLAAFIVNKRHYIVVFMGHNRRYLDGGVLLAKRRKNPDICYNGASRSEV >OMO71722 pep supercontig:CCACVL1_1.0:contig11571:19259:23866:1 gene:CCACVL1_18087 transcript:OMO71722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEDVEAAVAKAVSAGAVAEEEIVGTEETDGLFLGPKTDPRRLGTDVGSLGS >OMO71723 pep supercontig:CCACVL1_1.0:contig11571:36810:39234:-1 gene:CCACVL1_18088 transcript:OMO71723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MASKPKLPIPSLFLLVLTINLAKAEPQVPCYFIFGDSLSDNGNNNNLPTLAKVNYPPYGIDFPEGPTGRFCNGRNMQDFIVEHLGFQIYDIPPFAEANKDDDQCNLKGVNYASGSAGIRDETGQKLGERVPLNEQLRNHKTIISRISRELGDSSAKELLSQCIYSLAIGNNDYINNYFQPEFYNTSLQYTPEQYAAVLVEQYSQQIKSLYNDGARKFALYGVGLIGCPPYTREVYGTIGSVCVDKLNAAANLFNERLMPLVEELNRDFTDAKFTYLNPSPTVIDYALSGIVNFLGSCCEIGGGGGELCVVNSKPCIFRNQYIFWDGVHPSEAMNKLTAGIAYQTKTSTNGVPFTIQELAEE >OMO71721 pep supercontig:CCACVL1_1.0:contig11571:7953:13504:-1 gene:CCACVL1_18086 transcript:OMO71721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKAADMRRAKQQLNMRRVKMKDGDSEFRLTDTEDALDVEERGRSRQMRINSRLTEEQDGPQDLRDGIGMPESTRQMDTEMTTINLQY >OMP07732 pep supercontig:CCACVL1_1.0:contig04235:79:150:-1 gene:CCACVL1_01235 transcript:OMP07732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSHIYHNKSLFKRELKRCIRESIT >OMO99948 pep supercontig:CCACVL1_1.0:contig06764:17005:23212:-1 gene:CCACVL1_03533 transcript:OMO99948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRFWCKKEAEKRSRRRESLTGEAWPNGGDYSNEGGSKAALA >OMO99949 pep supercontig:CCACVL1_1.0:contig06764:49128:49253:-1 gene:CCACVL1_03534 transcript:OMO99949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRVGENFGRESIPKPPDPFLLSPSPQIYPSFCLKPSPIL >OMO99952 pep supercontig:CCACVL1_1.0:contig06764:159520:159648:-1 gene:CCACVL1_03538 transcript:OMO99952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPPQGDGLAMASRPPGAALPWPGRSPGAALPWLSGPPRAA >OMO99951 pep supercontig:CCACVL1_1.0:contig06764:151112:154796:1 gene:CCACVL1_03537 transcript:OMO99951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldolase-type TIM barrel MPSPFVIGSGPPGTNYAVMKRAFDEGWGAVIAKTGGRGDFVRRQQEAIRERKAIKKGLQNDKDWTGDGFVEETESMVSN >OMO99950 pep supercontig:CCACVL1_1.0:contig06764:108093:116683:-1 gene:CCACVL1_03536 transcript:OMO99950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMLSEAFLIITVALVLANTVVVKVSGQSLTIWPMPATVSYGQSHLYLSGNFKLSTEYVDSSGILKDGFNRMVSLIKMDHVVDANRSASLLGLHVVISSPNEQLQYGIDESYNLMVPSPEKPAYAHLEAQTVYGALHGLQTFSQLCHFNIKRKVIEIQMAPWTIIDHPRFSFRGLLIDTSRHYLPLPVIKNVIDSMTYAKLNALHWHIVDTQSFPLEIPSYPKLWNGAYSTSERYTVADAAEVVSYAQKRGVNVLAEVDVPGHALSWGFGYPSLWPSKDCQQPLDVSNEFTFKVLDGILSDFSKIFKFKFVHLGGDEVDTSCWTTTPHISKWLKKNHLNESQAYEYFVLRAQNIALSHGYEIVNWEETFNNFGNKLSRKTVVHNWLGGGVAQKVVASGLRCIVSNQDKWYLDHLDTTWEEFYLNEPLTNITKLNEQKLVIGGEVCMWGETVDGSDIEQTIWPRAAAAAERLWTPYDKLAKNPRQVTKRLAYFRCLLNQRGVAAAPLDGQGRSPPVGPGSCYVQ >OMO94937 pep supercontig:CCACVL1_1.0:contig07772:5626:6168:-1 gene:CCACVL1_05683 transcript:OMO94937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAIYGVGTLGLIPITLAACGNNASCTAELNRISALFNDKLKPVIQQLNTNLTDAKFTYLNPAPTEADIAASGFTILGEPCCQIGGGSGELCVRNSRPCNNRTQYIFWDGAHPTEAWNNLTAGIAFRSQSPSDADPFNIQELAQQ >OMO84453 pep supercontig:CCACVL1_1.0:contig09746:7651:12345:-1 gene:CCACVL1_10815 transcript:OMO84453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVTSLINDAKAGNPLNVPGNASGGSTATSNSLPSMFPAGSAPPLSPRSTSGSPRITKQRAGLSHLGSPLKVVSEPVKELIPQFYFKNGRPPPNELKEQCLFRISQFFYGHPDGLHLHEFKIVTKEVCKLPSFFSPTLFKKIDDKSTGIVTRDAFIDYWVNGNMLTRDIATQIYQILKQPDLKYLTQDDFKPILRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYINRSGNGHLTLRELKRGNLIDAMLHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVCPSQRVPRKFTSKVEGKMGYEDFVYFILAEEDKPSEPSLEYWFKCIDLDGNGIITRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIKPEHESYITLRDLKGAKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSAEVWDESLEAPF >OMO84457 pep supercontig:CCACVL1_1.0:contig09746:42168:49606:1 gene:CCACVL1_10819 transcript:OMO84457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein prenyltransferase, alpha subunit MSQSSWDGNKAMDLLNQLEAILESDPLIDEVGFIHPSQFVILSKEGGESSIASEDGVSGPTNTNFWNTDHKLGISTEVLLPLCKAAKSAFMDAMKQYKTLNSLMDNKHEEKNIVHGSSPCQSFESEVMKHSRALLLLSCDFGTAWNTRRLVISKKQHLAMFMDELLLSTLVLSYSPKSEQAWSHRRWVIKMIAGKCSTLHEIISKESELVEKIAERSKMNYRAWNHRCWLVSYMTRQQMLHELKRSRDWAGLHVADNSCFHYRRRLMLEISENSCCKQELQNSYDVQSYQVLKEELDWNEVLIKRYIGREALWLHRRFLSQCLIQHLTANVLGISSHSEQKTIMVNEISTFMNNELCLLNSCSTIQDMEFEDFQAQAMHSAFYILWLIKCPNKAWKWNVSQFFFVFKVFPKVMVAYLVEWEPLQTNPRGQYNEAFYFLECMVGKGYKPDVVLCTRMIKGFFNGRNVEKAIRVMEILEKYGEPDVFAYNALISGFCKINRLDFANRVLDRMRRRGISPDVVTYNIMIGSFCSRGKLDSAYKVMDQLLKDNCKPTVITYTILIEAHMLQGGITEAMKLLDEMVLRGLRPDMFTYNTIIRGMCKDGMLDKAFEFVRSLKARGCQLDVISYNNLLRALLNQGKWVEGEKLMTEMVSRGCEPNVVTYSILISSLCREGKVEEAVNVLKMMKDRGLKPDAYSYDPLISAFCKEGRLDLAIEFLDYMISDGCLPDIVNYNTVLATLCKNGKADQALEIFEKLTEVGCPPNASSYNTMFSALWSSGDKVKALQMISEMLSKRIGPDEITYNSLISCLCRDGMVDEAIELLVDMESSRFPPTVISYNIVLLGLCKVHRIQDAIEVLAAMVDKGCRPNETTYILLIEGIGFAGWRSEAMELTNALVRMEAISEDSFKRLSKNFPLLDVYKELSVSD >OMO84455 pep supercontig:CCACVL1_1.0:contig09746:29417:31734:1 gene:CCACVL1_10817 transcript:OMO84455 gene_biotype:protein_coding transcript_biotype:protein_coding description:TdcA1-ORF2 protein MSFLKSFLKVIPEVVPEHYFESDVRCTSRRPRRNDEVVNDPSLPPFSIFNYPGRFYGRPRARSLRGNESKVAYTYILRNCPEVETYFEMFVNYLKGEGHTPESIDLAIDTHFAEWFRLFVLTEENGVTNQILRTLAWGPTEKATSWPAYLVNGYKFHTVAHGRGNLCDWFDPQTGMQVHPLYKLDNFQAALRAAEAQAGDDPTALAAIDKNALFAEHARGSKGR >OMO84456 pep supercontig:CCACVL1_1.0:contig09746:36182:41491:-1 gene:CCACVL1_10818 transcript:OMO84456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKIGAGSFGELFLGVNIQTGEEVAIKMEPVKTKHPQLHYESKLYMLLQGGTGVPHLKWFGVEGDYNIMVIELLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEFMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFIRGSLPWQGLKAGTKKQKYDRISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQLTSSSRQRVSSGKAALNAGTSAEKPEKPSVGREIRDRFSGAVEVFTRKNASGSGHLSDHSKQKVSEKASTSKDADNDSGRGRTSSTRPSSLSKRAVASSSRPASSVGPSDSRSSWLLSGSSRLSASQRLQSGSEQKSSLSRATTSKGVHEDSTDHHSRLTDKRRG >OMO84461 pep supercontig:CCACVL1_1.0:contig09746:58247:71184:1 gene:CCACVL1_10823 transcript:OMO84461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type MQGFSDGAAGAGVGVDLAQLQSTMHTIEVACTSIQMHINPTAAEATILSLSQSPQPYKACQFILENSQVANARFQAAAAIRDAAIREWGFLSAEDRRNLISFCLCFVMQHASSPEGYVQAKVSSVAAQLMKRGWLDFKPEEKEAFLYQVNQAILGGHGVDVQFIGVNFLESLVSEFSPSTSSAMGLPREFHEQCRRSLELNYLKTFYCWARDAALSVTNKIVESDAAIPEVKVCNAALRLMLQILNWEFQNDTNSMKAGINVFSAGIRNDTASTKRSECVIVQPGPAWRDVLILSGHVGWLLGLYAALRQKFSQKFPREGYWLDCPIAVSARKLIVQFCSLTGTIFPSDNGKMQENHLLQLLSEILQWIDPPDAVAKAIEEGKSESEMLDGCRGLLSIATVMTPFVFDQLLKAIRPFGTLTLLSNLMCEVVKVLMTNNTDEETWSWEARDILLDTWTILLLPMDGSGGDAVLPPEGKHAAANLFGMIVESELKVASASVANDDGDSDYLQASISAMDERLSSYALIARAAIDVTIPLLTRLFSERFARLHQGRGIIDPTETLEELYSLLLITGHVLADEGDGETPLVPTAIQMHFVDIVEGEKHPVVVLSGAIIRFAEQSMDPGVRATVFSPRLMEAVIWFLARWSHTYLMPLEEANSHLSHDYERQLQSINSRKALLSFFGEHNQGKTVLSIIVHISMMTLMSYPGEKDLQGLTCHHLLPTLVRRKSVCHQLVSLDSWRDLANAFTNEKAFFLLSSANQRSLAQTLVLSASGLRNLEASNQFVRSLMVHMTTYLVELSNKNDLKNVAQQPDIIMSVSCLLERLRGAASAAEPRTQRAIYEMGISVMNPVLLLLEVYKHESAVVYLLLKFIVDWVDGQISYLEGQETASVIDFCMRLLQLYSSHNIGKISVSLSSTLLSEAKTEKYKDLRALLQLLSSLCSKDLVDFSSDSVEVTGTNISQVVFFGLHIITPLISLELLKYPKLCHDYFSLLSHILEVYPETLAQLNSDAFAHILGTLDFGLHHQDGDIVNMCLGALKALASYHYREVCAGKTGLGSHAAGGNIAEGIFSRFLRSLLQLLLFEDYSPDLVGSAADALLPLILCEQGLYQRLGNELIERQTNPTLKSRLANALHSLTSANHLSSTLDRVNYQRFRKNLNSFLIEVRGFLRTM >OMO84458 pep supercontig:CCACVL1_1.0:contig09746:50595:52361:-1 gene:CCACVL1_10820 transcript:OMO84458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHAVTPHNSKVNICHFHDSHWVLKQPGSGCSSSKCSTVKVSSFPPTGLIKSSRRRLSCLPCQVDNGSEREVFSLGCRAFQRSFCIDKNEIDEDEWRSSKDDFLHAKRKSKEQIDFKKFRTSLTSAFDGPFVRNDEETNNDILQKFCSDGKLKEAARLIEIMAHQNQVPHFPSCTNVIRGFINVYELDKASAILQIMVMSGGVPDNITYNMMVRGLCKSGRIRSAINLLEDMSLSGCPPDVITYNTIIRRMFKKGIFDQALGFWKDQLRKGCPPYIITYTILIELVCKHYGFARAMEVLDDMAIEGCYPNIVTYNSLLNFTCKQGKYGDTALVINNIVSHGLEPNAITYNTLILSLCSRGYWDEVDEILAIMKKTTHHPSVFTYNILISGLCKCGLLDRAISFFGEMVSQNCSPDIVTYNILLGALVKEGMVEEAFKVFQFLSGSSCSPVLITYNAVIDGLSRIGDMDKAIRLYDEMLDNRICPDDITHRCLVWGFCQADQGERAVEILKLMSKREQHRVGNSAFKMVIRVLCKKGMVDLAIQVLEMMVSSQNKPDETTYMTIIKGIADSGMVEEASKLRQKLREWKA >OMO84459 pep supercontig:CCACVL1_1.0:contig09746:53922:54047:-1 gene:CCACVL1_10821 transcript:OMO84459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASERHPTQNQYLRSIIKFLLSSAERKPHSLIAASRKAAAA >OMO84452 pep supercontig:CCACVL1_1.0:contig09746:1482:5651:-1 gene:CCACVL1_10814 transcript:OMO84452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVALVSGGKDSCYAMMKCIQYGHQIVALANLLPADDSVDELDSYMYQTVGHQIIVSYAECMGVPLFRRRIQGSTRHQKLGYRMTPGDEVEDMFILLNEVKKQIPSVTAVSSGAIASDYQRLRVESVCSRLGLVSLAFLWKQDQPLLLDEMITNSIVAITVKVAAMGLDPAKHLGKEIAFLKPYLHKLKDLYGINVCGEGGEYETLTLDCPLFQNARIILDEFQVVLHSPDSIAPVGVLHPLKFHLERKSKSNLICGNDKPNDLCQENISSIIEVQGENQQECKAQCESVSGVSDLVEVSTKRLHLSRTEKDDTFSICCWLQDPSESSAVGLQEDLKLVLSQIELQLLECGFGWEHVLYIHLYISDMNQFALANETYVRFITQDKCPFGVPSRSTIELPLIQAGLGRAYIEVLVTNDQSKRVLHVQSISCWAPSCIGPYSQATLHKEILHMAGQLGLDPPTMTLCDGGSTAELEQALQNSDAIAKSFNCSISTSAILFVVYCSKNIPSDEKTKIHDKLDTFVKQNKLSHVDNGRKPKVLDPIFLYVLVPDLPKGALVEVKPILYVPETTETNEETPHDLSGTIAPSYYGFQPADWHDSCVQKCIIDGKICAVVLSITSIVALKICSDSMTDDWSNGNHQNPLTAEQMKIISRFCIYLLDKIVIGNGFSWKDTMSLRIYFPPNLHVPLETLSILFTDAFKELDQLSGSAKVGGKPIFNLVPVLGAGRSAACIEDVITCELFAKKC >OMO84460 pep supercontig:CCACVL1_1.0:contig09746:55043:56809:-1 gene:CCACVL1_10822 transcript:OMO84460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHAVTPHNSKVNIIHFNDSHWGLKQPGSGCSSLKCSTVKVSSFHPTGLIKSSRRRLSCLPCKVDNGSEREVFSLGCRAFQRIFCIDKDEIDEDEWRSSKDDFLHDKRKSKEQIDFKRFLTSLTSAFDGPFGRNDEETNNDILQKFCSKGKLKEAARLIEIMARQNQIPHFPSCTVLIREFIKVYELDKASAILQIMVMSGGVPDNITYNMMVRGLCKRGRIKSAMNLLEDMSLSGCPPDVITCNTIIRSMFDEGLFDQALGFWKDQLRKGCPPYIITFSILLELVCEHCGTARAMEVLDDMAIEGCYPDIVTYNKLVNFTCKQGKYGDTALVINNIVSHGLEPNAVTYTTLIRSLCSHGCWDEVDEILAIMKKTAQHPTVVTYNILINGLCKYGLLDRAISFFDEMVSQNCTPDIFTYSTLLGALFKEGMVEEALKVFQFLSGTSCSPVLITYSIVIGGLCRIGDMDKAMRLYDEMLDNRIYPDGVIHRCLVWGFCQADQGERAVEILRLMSKREHRVGNSAFKMVIRVLCKKGMVDLAIQVLEMMVSSQYKPDETTYMTIIKGIADAGMVEEASKLRQKLTEWKAF >OMO84454 pep supercontig:CCACVL1_1.0:contig09746:16215:18572:-1 gene:CCACVL1_10816 transcript:OMO84454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex subunit Sec15-like protein MESKPRRRAVIENGDTATGEDLVLATLIGNGDDVGPLVRHAFEMGRPEPLVHQLKHVVKKKEGEIEELCKTHYEDFILAVDELRGVLVDAEELKSDLASDNFRLQEVGSALLVKLEELLQSYSIKKNVTQAIKMSKICIEVLELCVKCNNHISEGEFYTALKTVDLIEKNYLQNIPVNALKIPIEKNIPIIKAHIEKKVTTQFNEWLVHIRSSAKDIGQTAIGHAASARQRDEEMLERQRKAEEQNISYTLDVEELDEDSVLKFDLTPLYRSYHIHACLGIQEQFREYYYKNRLLQLSSDLQISSVQPFVESYQTYLAQIAGYFIVEDRVLRTAGGLLSTDQVETMWETTVAKMASVLERQFSHMDSATHLLLVKDYITLLGATLRHYGYEVGSVLNVLDNSRDKYHELLLDECRQQIASAISNDTYEQMVLKKDSDYETNVLSFHLQTSDIMPAFPYVAPFSSMVPDCCRIVRSFIKGSVDYLSYGVNSNIYDVLRKYLDKLLIDVLNEVVLSTVYSSSISVSQAMQIAANISFLEIACDFFLGHAAQLCGIPVRSVERPQASLTAKVVLKTSRDEAYLALLNSVNSKLEEFMSLTDNINWTAEEVSQNNSEYMNEVVFYLDTLLSTAQQILPLDALYKVGSGALDHISNYIVSVFLSDSVKRFNANAVVVLNNDLKLLENFADERFHSTGLSEIYKEGSFRGCLIEARQLINLLSSSQPENFMNPVIRMKNYNCLDYKKVASICEKFKDSADSIFGSLSSRNTKQNARKKSMDVLKKRLKDFN >OMP05845 pep supercontig:CCACVL1_1.0:contig05177:1713:1925:-1 gene:CCACVL1_01810 transcript:OMP05845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIREGLESGRVCSLTVALNLCAWSKPSVMQVSEGRVRQRQRGGGLSSKKKKGSFGCSWFVGAWLFRETA >OMO55097 pep supercontig:CCACVL1_1.0:contig14795:32163:32745:-1 gene:CCACVL1_27393 transcript:OMO55097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEELLSVVPKLLLLGSGVTRSITLTTGSTGVATVAPNIRCHLQCRHRPDLKRHLNIDLLLSPILILSSSHELWSPPSLSNCFCHCNHHVLFDLDKN >OMO55096 pep supercontig:CCACVL1_1.0:contig14795:25450:25908:-1 gene:CCACVL1_27392 transcript:OMO55096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLGIFPFGGCFDGCRDHTQSSGFGTRVWNLSDRPVELQVRVGSILKKVHTLKPGCSKRLKCKSIYKAYMPGRSGNNGSANYAGMKSLLYYYDETCHPYIWVHDTGGDSSRMVKQQYISLEDLRDCSEIRIFRDHQRGCISVRKKARPDFC >OMO55098 pep supercontig:CCACVL1_1.0:contig14795:37801:42109:-1 gene:CCACVL1_27394 transcript:OMO55098 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family MAFTWGSALRITLLILLIAAVVFACFTLPVEKILKDFLLWVEEDLGPWGPLVLAVAYIPLTVLAVPASVLTLGGGYLFGLPVGFVADSIGATVGAGAAFLLGRTIGRSFVVSRLKDYPQFRSVAIAIRKSGFKIVLLLRLVPLLPFNMLNYLLSVTPVSIGEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEFSTTRWAFLILGLVVSVILMVCVTKVAKSALEKALAENEDVDSILGSPQLPIVGETPVDLHQPLIIKIDSPEDTHEK >OMO55099 pep supercontig:CCACVL1_1.0:contig14795:43457:47749:-1 gene:CCACVL1_27395 transcript:OMO55099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S15 MPEKGGNFLSPPSNAWMLRSSPLHQWRFGLLTALVFVGMVVVWSIDGCTIKNFLQSWQLKQDYITLKVNSLANLNHTHPNPAHSLRNLTSTPTLKTTQFPIFSLNSSFVPANSSLDTKNVTQFPSEDIANSNENLTKFNDLSSLNWVTAELDQNFTANLLARWLAPGGEPCKDSQTVEIKIPGLDGKSLVELPAGEIHEYMFQAVDDSGNGRCSGGDYFEADLSGESWKSRPLVKDFGNGSYSVSFQVHPDFAGDYNLTVILLFRHFQGLKFSPARFAYDKQLRHIGIRFNRTKAQLTELQICQKSDFSRDVWSGRWTRHGKNDACEISNDGRYRCLAPDFPCQNPWCNGSLGSLESNGWVYSSHCSFKLFSADPAWDCLKNRWIFFWGDSNHVDTIRNMLNFVLGWPEIKSVPRRFDMNFSNPKDPSQTVRITSIFNGHWNGTQNYLGLDSLKNEEFRNLLWKYFLEDRVPDTIIMNSGLHDGVHWSNLRAFTHGADYAVSFWKEVMESVSQRGLVVPRIIFRNTIATGGYARSLAFNPHKMEVFNGVFLEKMRRAGLVSGVIDNFDMTFPWHYDNRCNDGVHYGRAPLKMKWRDGEIGHQYFVDLMLCHVKSVTGSKILRILKTHGLALEIPEDLYHFIKKVVAIRKHLERNRKDKDSKFRLIFVESRIHRLAHYYKKTKKLPPTWKPRSLNSWNV >OMO69156 pep supercontig:CCACVL1_1.0:contig12103:1790:2335:-1 gene:CCACVL1_19639 transcript:OMO69156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MASFACCLLIASSLAIILFINPSEAKPRPNVTNDEINTICSKTIAPSFCFRVLTNQTLHANETSLVGLAKISIQLALDSAYDTQLAISPLIKQAKNYTEREGYTLCSQNYRQAVAAVREAKQKLAKHDYRGVRVEALAAVEEARACENRVKSSAYSPLHERNKGFIRYSNIIWAISNRLVE >OMO69157 pep supercontig:CCACVL1_1.0:contig12103:13824:20575:1 gene:CCACVL1_19640 transcript:OMO69157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M18 MAAISRVQLLHHPSAIFSRLPNSLSSCSAFTLRLRRRNFSGTPVLCSFSPSASSSTHPSIVGDLLDYLNESWTQFHATAEAKQQLIAAGFHLLNENDEWDLKPGGRYFFTRNMSCLVAFAIGEKYSVGNGFHVIAAHTDSPCLKLKPKSASSKSNYLMLNVQTYGGGLWHTWFDRDLSVAGRVIVRASDGSFLHKLVKVKRPLLRVPTLAIHLNRTVNTDGFKPNLETHLVPLLATKPEEAPAESKEKNTLPSKAAHHPLLLQILSDELCCNVDDILNIELNICDTQPSCLGGANNEFIFSGRLDNLASSYCALRALVDSCGSPGALSSEHAIRMVALFDNEEVGSDSYQGAGAPTMFQAMRRIVGSLANSYVGESAFDRAIRQSFLVSADMAHGVHPNFMDKHEEHHRPEMHKGLVIKHNANQRYATSGVTSFLFKEVAKIHNLPTQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIAQLSMHSVREVCGKEDIDIAYKHFKAFYETFSSIDRKLIVD >OMO71240 pep supercontig:CCACVL1_1.0:contig11692:9760:25548:-1 gene:CCACVL1_18340 transcript:OMO71240 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein HEXIM2 MAQSDDCLEFNLELQMENNIYPDEASKISEAEKLLRQLDQESAQVNDKCKMLRPEIVKPAWRYGWSQLGELDNRLHRISRGICWAKELLNRLHRDQNSRWYKNNLKIDVEAKYKEMVVKIEQIEERKEKARTDAIAKGQPWNPFSAKRAILEQIKLLSNPIEINLEINGGGTKIGISTSIKNSRC >OMP05280 pep supercontig:CCACVL1_1.0:contig05457:6230:6415:-1 gene:CCACVL1_01972 transcript:OMP05280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAWLKFESFTFTFGFGYEKHAFAILTLGLLSGFPKFNAFSARLLLACCPEKSLFTGQKN >OMP05279 pep supercontig:CCACVL1_1.0:contig05457:9:5366:-1 gene:CCACVL1_01971 transcript:OMP05279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde oxidase/xanthine dehydrogenase, a/b hammerhead MGEQTKTVTTTTKTEQSLVFAVNGQRFELSEVHPSTTLLEFLRTQTSFTSVKLGCGEGGCGACVVLLSKYDPVDDKVEDLTVSSCLTLLCSVNRCSITTAEGIGNSKDGFHPIQERFSGFHASQCGFCTPGMCVSLYSALVNADKTNRPEPRPGFSKLTVSEAEKCIAGNLCRCTGYRPIADACKTFAADIDMEDLGVNSFWKKGESDEVKLSKLPPYNRDNATCMFPEFLKKEIKAGINLASEGYQWYSPVSLEQLQNLLQMDEENDGTSTKIVVGNTGMGYYKELVHHNKYIDLRYIPELSVIRKDSTGIEIGASVTISRAIDALKEETEGEFSIEGKVVFNKLADHMARIATGFIRNSASIGGNLIMAQRKHFPSDIATILLSVDTMVDILTGQKREKVTLEEFLGRPPLASKNLLLGIKIPCWKSSRDVSSKTCTNLLYETYRAAPRPIGNALPYLNAAFLAEVSLYNNSAGIMLNNCQLAFGAYGTKHSIRARKVEEFLSAKLLSFAVLYEAVKLLESTIIPEDGTSSPAYRSSLAVGFLFGFLSPLINNPTDISTYWRDGFNSSLLFKDSKVTPNFDQFDQTKFSTLLSSAKQVIQPSEEYHPVGKPITKAGATIQASGEAVYVDDIPSPKGCLYGAFIYSTEPLAWIKGIKFKPGSSLDGVTALISFKDIPGVNIGSQTIFGSEPLYADELTECAGQRIALVVADTQKKADMAANLAMIDYDKENLEPILTVEEAFARGSFFEVPPFLYPEQVGDFSKGMAEADQKILSAEIKLGSQYYFYMETQTALAVPDEDNCMVVYSSSQCPEYAHDTIAKCLGVPGHNVRVITRRVGGGFGGKAIKAMPVSTACAVAAYKLNCPVRMYVDRKTDMIMAGGRHPMKVTYSVGFKTNGKITALKLDILVDAGIFPDISPIMPHNMVALLR >OMP05281 pep supercontig:CCACVL1_1.0:contig05457:7438:11032:-1 gene:CCACVL1_01973 transcript:OMP05281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVHSFDFLGLGYRVDWPVKDMMDLESVHMAYLTDSLHMFSP >OMO90784 pep supercontig:CCACVL1_1.0:contig08428:39702:40517:-1 gene:CCACVL1_07295 transcript:OMO90784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MALRWAMAVSSPNSSLHADAALPKFSSTKTLYPSHGTFNFPPNSTSRLLFSGPKSNFSSTARTVPFRCFASALTPQLKDTLDKVVKSNKVVLFMKGTKDFPQCGFSNTVVQILNSFNVPFETVNILENELLRQGLKEYSSWPTFPQLYIEGEFFGGCDITVG >OMO90783 pep supercontig:CCACVL1_1.0:contig08428:35788:35862:1 gene:CCACVL1_07294 transcript:OMO90783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTTASKSNPSPIAINATVQLQ >OMO90785 pep supercontig:CCACVL1_1.0:contig08428:43118:48639:1 gene:CCACVL1_07296 transcript:OMO90785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKAHMHTNAKGPSKVNSQQVVFELKSKVNLALNKLADRDTYQIGVDELEKTAECLSPDKISPFLSCLLDTDSEQKSAVRKECVRLMATLARFHQALIGPYLNKMVASIVKRLKDPDSVVRDACQETFGVLASKLCNQEDENNGVFCLLVKPLFEALGEQNKQVQSGAALCLARVIDNTHNPPASILQRMLTRTIKLLKNPHLLAKSAVIELNRSIIQAGGATTHSLLAAAIATIQEALKNSDWTTRKAASIALGEIASGGASFLGSFRASCIRSLESCRFDKVKPVRDTVMHAIQYWRSVPGPDTSEFSEAGSYTKENFCGGDYSDITSNSDSGWKDVPAKKVTTNSATRRIPLSVRKTSQNDVKNPQCCKEDDWHIEIAVSENRNVRMPDLHNEESEGSTVTKTLERMTTDSICTQDIGYEFVPMDDKQECSSASNLVSDNFGPKFMTVSHHHIGKGHSLNSLGQNQRFAGEDTSNEEEEVYSAKTRDRRSLDSAVTESSRQTVSGCCSQMVSEIVGIQKQLVEIENKQSNLMDLLQVFSTGIMDSLSMLQSKVLSLENVVDRMAQDLMQGGRHPDMLSSRVTKQSQGVSPRLSSCTPTPRPSVDIRNRPPSLLSVKNSDVWEEKTLGRNRPSNYSKQGMEMWTNHSAKNCRNPAGKDVQKSSRQVTTVIGQTRKSETASAPSTNLSGRQNYADSKNSLWQHVKNLLCEGDLDSAYAEAISSGNELVLMELLDRTGPVLESLSHKTACDILTTLASYLLEQRFMNSIIPWLQQVVDLSSIHGPNHLIHSTKARRELLSAIQETMNMEFSNPAERRAVTQLGMRLHQVWGK >OMO50208 pep supercontig:CCACVL1_1.0:contig16260:1030:1155:1 gene:CCACVL1_30572 transcript:OMO50208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFLAQFMNAQQEFKKEQQQFQQEMLQQSQQQFEILKLFI >OMP02705 pep supercontig:CCACVL1_1.0:contig06201:36213:37758:1 gene:CCACVL1_02723 transcript:OMP02705 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGSYPAASKPHAVCIPYPAQGHINPILKLAKFLHHKGFHITFVHTDYNYKRLLRSRGPNSLDGLPDFQFKAIPDGLPPTDADSTQYIPSLCDSTSKTCLVPFRDLLHKLNHVATQPSSTVPPVSCIVSDGAMSFTVEAAQEFEIPIVHFWTPSACGFLCYVLSPNIVERGLTPVKDANFMTNGYLDQVLDWVPGMKNIRFGDLPSFFRTMDPNDKMFNYLLKEIENTKKASAIVLNTFDSLEQDVLDALSSMLPPVYSIGPLHLLADRVQDDELGNIGSNLWKEETECIEWLNSKEPNSVVYVNYGSITVMSPEQLIEFAWGLANTQKHFLWIIRPDLVAGETAILPPEFVSETKNRGMLASWCPQEQVLKQPSIGVFLSHMGWNSTLESLCCGVPMICWPFFAEQQLNCLFACREWGVGLEIDTDVKRDKVEKLVREVLGGEKGVEMKAKAMEWKKKAEEATSAGGSSFENMEKLVRQVLQS >OMP02704 pep supercontig:CCACVL1_1.0:contig06201:24648:27831:1 gene:CCACVL1_02722 transcript:OMP02704 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-nitropropane dioxygenase, NPD MGWKGILGFEYGIVQAPLGPDIAGPELVAAVANAGALGLLRAPDSESPEYLKELIRKTRSLTDKAFGVGVVLAFPHKENIKAILEEKVAVLQLYWGECSKELVLEAHSSGVKVVPQVGSLEEAKKAIDVGVDAIIVQGREAGGHVIGQEALISLLPQVVDLVGGRDIPVIAAGGIADARGYVAALALGAKGICMGTRFVATQESYAHSTYKRKLIEYDKTEYTDVFGRARWPGAPHRVLQTPFFCDWKSLPAQESETNQPIIGHSTIHRVEKEIRRFAGTCPNPTTTGDIESMVMYAGQGVGLIKEILPAGEVVKRLVEGAQLLIQQMSGESVYI >OMO57944 pep supercontig:CCACVL1_1.0:contig14292:42839:46286:1 gene:CCACVL1_25643 transcript:OMO57944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon-like protein MWDTVLPDAVVPIRSDLLLVDRDGSSMQAIRPKAISRRFSTKIVEGCVYKFTRFDIVVCRLSYLAMPSEYIIYLNSSTSLEEITESISRKFTYFDYPRHFFRFATLEDLRARNEKSRILTDIGVLTIIGSKTNVKRASGNSSTNRRDIMIKMLRLENSMEKNSKSRRIGREIQDQFLVPKHQFSLRDMASNESHHIQKMQASQACLASNNDIDTVFVQFQRTVSNFHLNDII >OMO57945 pep supercontig:CCACVL1_1.0:contig14292:47586:51391:1 gene:CCACVL1_25644 transcript:OMO57945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MEDIVMKLTMQLERERNGTEAQRIAEVNRMLMLRIEEAEKRAEEMSTRCKEAEEEARGERKLKEKAIARHQELKKEFKAECKERTSLAKKNKAAKIANSYLMEKLDFERGESYVRAVQRVQTLDRRLKRARKEKENVQDELRAEKIKTEAAKAAKAQAEATVEFHKKAAKINQEEYGKVMGTLKKLEEECATLRAQNWTLQDQEFFQKKAYDQRCKQIRFLLDQIRVGLLQVEDTRDQAKGVLPCLAPFGQRLQSVADALEDTVSKCDEALAYFRQFVLKIAPPRKIYQRTQQYNTRSQTKKMGDQTAEIQQLREEMSRRDEEARRREETINAKMDRMMELITAMTSATPGSSGTAQTTEAENVPGVTVSTTQVNVPILSSSQIDLPIPPPGSLPLPTMGRSNLPSGQYTHPNMSSTVFEPSPHTILPFSRGGKLAQEEVPVDFKVEEDPRKLIEESTEKLWGRIEEKFKGIGGQQAYFKGVDKVALVTDLVLPAKFKVPEFEKFDGTKSPEDHVNTYVRQMQPYCSDDMLMDYFQRSLAGSSFKWYNRIDPSQVKTWNDMANAFIAQYHYIDELAPTREILHSMKRKLRETIKEYGQRFKDMALEVDPLMKDTEVGNILLKTLPKEYFRELYQAATDSFTRLIIAGEAYEAGLRAGVFEEAENKGHAKKKEGDTHEYHYPHDGNISSTTGTPFNPRLVNTGTSAIQASTEKAGPTRESVPIDPIPYTYTELLPQLLQQNLVQRLPYMYPLKPPLPVWYNPKAHCDFHSGAEGHATEDCLKLKYVVQDLVKSGKLRFGNAVPTSQGQGQENTQVTMVDRGEVLRRMVSEVATPFGVVFKALTKAEMIETGEFGNGDHEELEKKEEEIGVVLEECNHPRQPFIPRLIQPFILRPPTVLPPHLQAPCLVVIPPRPFSYEKDCQVPWKYDCSYTVPQGDEDSANITGVGGMTRSGRIYSPEDKGKSVPLETGGEKSQSPGERKEGTAERTVVRKEKR >OMO57942 pep supercontig:CCACVL1_1.0:contig14292:748:1662:1 gene:CCACVL1_25640 transcript:OMO57942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase ITRLKCGGFVFAIRLNHVMCDAAGLIQFMSTVAEMAHGATTPSIPPVWERHLLDATEPPRVMCKHNEYDEVEEGGAAFSNNMVERAFFFGRKEFSSIHQLLPLHLRRCSTFELLTACLWRCRTVAINLNPNEEARLMCIVNVRSKFHPPLPLGYYGNGFVFPAAKATSEQLCRTPLAYAVELVKHAKASVTEEYVKSAASLMVIKGKKLKFPAHGSFLLSDIRNMGFRDVDFGWGKAEFGGAAKAVGPISFVNSAKDKKGEVGALVSICLPAPAMEIFVKELEKMLRQPYQGDEGRSNFISSAL >OMO57943 pep supercontig:CCACVL1_1.0:contig14292:21154:21447:1 gene:CCACVL1_25641 transcript:OMO57943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type MKFSQKDTLLFEQKKVEHIFASFVPVLAGLLNHESNADIMLLEARALTHLCDVLPSSCAAVVHYGAVSCICARLLTIEYMELAEQVSCKAKGSHKHD >OMO57946 pep supercontig:CCACVL1_1.0:contig14292:51709:53773:1 gene:CCACVL1_25645 transcript:OMO57946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREYGHGGVLIDNGSALNIMPLNTLKALPVEPTYIHTNHMVVRAFDGTRRDVIGELEIRLEIGSIPFNLMFQVLDIDPSYSCLLGRPWIHMAGAVPSTLHQKVKFMTADKLVVVNGQEDMIVAQTNATPYIEAAERSYECSFWAFEVDHFKKPREGAVMAIQVMKKYGRKEGQGLGRSAQGRTRVVEDLPINPGFGLGFKPTAEDHQMLADARKMRRAERLGIPFGKEVKTEIPPLSKTFRSAGWVNREKNQQEKEEVCQKIAELTINAITEEKARPSSWIYPLVAGEKVGNWETFECAAATAESSMPNDDECKDASDESGFDFERPICTDKLNDEDIEDQALLSNLLRLVEQEEKQILPHKEPTKLINLGTDKDPREVKIGTTLSEEDRQELIDLLKEFSDVFAWSYQDMPGLDPKVAVYRLPTKVDMRPVQQKLRRLKSDMLLRIKEEVEKQFNAGFLQEAKYPEWQANIVPVPKKDGKVRMCVDYRDLNRASPKDNFPLPHIDILVDNTAGYSWFSFMDGFSGYNQIKMAPKDMEKTALVTAWGVFCYKVMPFGLKNAGATYQRAMVALFHDMMHKEIEVYVDDMITKARTPKEHKENLRKLFERLREAYLKLNPN >OMO57947 pep supercontig:CCACVL1_1.0:contig14292:54128:56157:1 gene:CCACVL1_25646 transcript:OMO57947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MGCVLAQQDDTGKKEHAIYHLSKRFTDYEIKYSALERICCALAWAAHRLRQYMLYHTTWLVSKLDPIKYVFEKPGLSRRIARWQVLLSEYDIEYVSQKAVKGSAIADFLADRARDEYEPVSFEFPDEDLMTISHVEEVKEGKWRVYFDGASNLSGQGIGAVSVSPEEDYYPATARLKFPATYNVAEYEACVLVIQLALERKVKRVEIYGDSALVIYQMKGEWQARDPKLVLYKNYVSELVKPFEKVTFHHLPREDNQMADALATVASMFRIGANTEIRPIHVQTKNLPAHVMSVEDEPDGNPWYFDILQYLKRQVYPEHATEVNRRTLRRMASGYFLSGETLYKKSRDGALMRCVDSAEARKILKEIHGESYGGHSNGYGLPERIITDNASNLNRGLVEVTCKQFKITYSNSTIYRPKMNGAVEAANKNIKRIIERMTVTYRDWHEKLPFAFHAYRTCVRTSTGATPYSLVYGMEAVVPIEVEIPSLKIYRELRLEEDEWIQERIDQLNLIDEKRVTAICHGQLYQRRMERAYNKKVRPRQFKEGDLVLKRIFPDQRDPRGKWTPNWEGPYKKWTGKSSRVPSMQTQ >OMO51498 pep supercontig:CCACVL1_1.0:contig15804:219:443:1 gene:CCACVL1_29762 transcript:OMO51498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 AKVVVVPSTATLVIKALKEPERDRKKTKNIKHNGNISLDGVIEIAKIMRPRLMARDLRGTVKDLMFPWSVQSFV >OMO51499 pep supercontig:CCACVL1_1.0:contig15804:5759:6424:1 gene:CCACVL1_29763 transcript:OMO51499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMQEDGWVVCRVFKKKNLFKVGNGNEGGSSINSSDHQHLNTTTSQPRSFIHRSNEQYLVRPNGSPTPIQHNFELNKAELALHYPPMPTNSTTQYSLFQPQTLGLVQTHKPIGYDYAALPPDSPVMIKQLMSNPRDCESTGSESLRYQQACEPGLEVGTCEATPQQMGVTGRDDHEWGMLDRLVTSHMGNEDSSKGVRFQDTNPINQLSLRGEMDFWGYGK >OMO77486 pep supercontig:CCACVL1_1.0:contig10744:4199:4765:-1 gene:CCACVL1_14993 transcript:OMO77486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin MADQYQQQQTVYRVTQHQTDDDYNKSSYFQDNNGPSTSKILAVVTLLPLGGTLVALAGLSFTATLIGLAITTPLFLLFSPILVPAALVIGLSVAGFLTSGAFGITGLSSLSWIANYLRRTGPYASRQFELGKRQAQDAAGQLGQRTKEVGQKIQNKSQEGGRAAATHESGRDRDQESGKTVEVQVAKK >OMO77487 pep supercontig:CCACVL1_1.0:contig10744:7938:8006:1 gene:CCACVL1_14994 transcript:OMO77487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHDQQLTNGEYIWKLLCCCNA >OMO77490 pep supercontig:CCACVL1_1.0:contig10744:27918:28064:-1 gene:CCACVL1_14997 transcript:OMO77490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDRLEDMYDKMSGYRQKRKQRKEEDKVMREEARKIIEARQMTQKPK >OMO77488 pep supercontig:CCACVL1_1.0:contig10744:19546:20130:-1 gene:CCACVL1_14995 transcript:OMO77488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVQRLQKELDAANADLIRYACNEIPTALPAPPHGGATSIQPLTPRHRPVVADFSRRIGNEGGGFYQQHHPGVFPSLPYSFPWNDTSSGDINDGGGDHGGM >OMO77485 pep supercontig:CCACVL1_1.0:contig10744:943:2958:-1 gene:CCACVL1_14992 transcript:OMO77485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFYSVNTSQIQPITRDDSKQDYLVSVPCSCKDVNGTVGYFYDTVYKVKPGDTFLGVSREFYSGQAWQIATEEQLFVAGDTITISLVCGCLEGAGREVVTYTVQDDDTLTKIGELLSANVREIEDLNEKMTKNPNYIDIGWVLFVPMGKRPVASEAPKEGKKHNLPIIIGIALFVTLLSMSMVVLLLIRRKRNLRKIRGDLEAVNGSPSANKISLQSQFLQDKYMEGVASIEFERPLTYSLEEINEATNNFDESRKIGEGGYGTVYIGVLKEREVAIKKMKFSQSKEFFAELKALCKIHHINVVELLGYARGENHLYLIYEYMENGSLSDHLHDPALKGHLPLSWTARARIALDAARGIEYIHDHTKARYVHRDIKTSNILLDQGLGAKVADFGIARLVERSNEEQVVATRLVGTPGYIAPESARELQMTSKTDVFSFGVVLAELVTGQRALIRNSQEPDMMKSLVSV >OMO77489 pep supercontig:CCACVL1_1.0:contig10744:25803:25967:-1 gene:CCACVL1_14996 transcript:OMO77489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEKKKGKEHISAKQRPYPLGKEEGDQGEVGGATAKREKETWLVLKAGGSDRY >OMP10598 pep supercontig:CCACVL1_1.0:contig02131:7:72:-1 gene:CCACVL1_00855 transcript:OMP10598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QGHSYTRTDMDYKYAKLQRGA >OMO89866 pep supercontig:CCACVL1_1.0:contig08594:28465:28554:1 gene:CCACVL1_07584 transcript:OMO89866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVQRTSRQLRPIFKRSVELMAAGPMHP >OMO89864 pep supercontig:CCACVL1_1.0:contig08594:6817:20395:-1 gene:CCACVL1_07582 transcript:OMO89864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASSTNKIINDNSQSIIELKQWSRCILKHNVESKTLFMAANPQSIIELKEAVESLYFEAQCRIEDPVYGCVKVFDRFGYLNVREEEINLLASIRGCGLNPFKSFRI >OMO89865 pep supercontig:CCACVL1_1.0:contig08594:20737:24105:-1 gene:CCACVL1_07583 transcript:OMO89865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone isomerase MSTSQSVTGIQVENVAFPPTVKPPGSTKTLFLGGAGERGLEIQGKFIKFTAIGVYLEGNAVECLATKWKGKSDEELTESVEFFKDIVTGAFEKFIRVTMILPLTGQQYSEKVAENCVAIWKSLGLYTDEEAKAIEKFVDVFKNENFPLGSSILFTISAEGSLTIGFSKDSSVPEVGTAVIENKLLANSVLESIIGKAGVSPAAKQSLASRLPALFNDSTNQIALMGNESSSSFMSFRPIHMLPSVAAIQVENVTFPSTVKPPGSTKTLFLGGAGERGLEIQGKFIKFTAIGVYLEDNAVECLGVKWKGKSTEELAESIEFFRDIVTGAFEKFIRVTMILPLTGQQYSEKVAENCVAIWKSLGLYTDEEAKAIEKFVEVFKNENFPPGSSILFTISAEGSLTIGFSKDSSVPESGTAVIDNKLLANSVLESIIGKGGVSPAAKQSLASRLPELFNGATVNGKTESE >OMO82788 pep supercontig:CCACVL1_1.0:contig09971:5279:8409:-1 gene:CCACVL1_11758 transcript:OMO82788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSFTAALSRVSLFHHLTRKPYPSSLSSLSFSYFSKPTHIPKDRTQFRLYSMASSSESAANNPGLHTTPDEATKGYIMQQTMFRIKDPKVSLDFYSRVLGMSLLKRLDFPEMKFSLYFMGYENVAAAPSDPVDRTVWTFGRPATIELTHNWGTESDPEFKGYHNGNSEPRGFGHIGVTVDDTYKACERFERLGVEFVKKPDDGKMKGIAFIKDPDGYWIEIFDLKTIGGIALS >OMO82787 pep supercontig:CCACVL1_1.0:contig09971:619:693:1 gene:CCACVL1_11757 transcript:OMO82787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEVLTNGVLMKGEMGIMEMIVH >OMO82790 pep supercontig:CCACVL1_1.0:contig09971:16608:19758:1 gene:CCACVL1_11760 transcript:OMO82790 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MEKSGYGRDGIYRSLRPPVILPKDPNLSMISFLFRNISSYPHHPALIDADSNEILTFSQFKSTVIKLSHAFLNLGINKKDRVLIFAPNSIQFPLCFFAITAIGAIATTANPIYTVNELSKQVKDSTPKLLITVPDLFDKVKDFKLPVILLGPKQNKPQSPSDVKNVPKILSFHDLLDLAGNVTELPAVSVKQTDTASLLYSSGTTGVSKGVVLTHRNFIAAALMITKDQELAGDKHRVFLCVLPLFHVFGLAVIAFSQLQIGNTLVSMAKFDFGLFLKNAEKYKATHLWVVPPIVLAMAKQSVVKKFDLSSVRQIGSGAAPLGKDLMEECAKNFPQAVVMQGYGMTETCGIVSVENPTVGVRHTGSAGMLVSSIEAQIISTESLKPLPPNQLGEIWVRGPNMMQGYYNNPEATKLTIDKKGWVHTGDLGYFDEDGNLYVVDRIKELIKYKGFQIAPAELEGLLVSHPEILDAVVIPYPDAEAGEVPVAYVVRSPNSSLTEEDVQNFIAKQVAPFKRLRRVRFITSVPKSASGKILRRELIEKVRSKM >OMO82789 pep supercontig:CCACVL1_1.0:contig09971:9519:11014:1 gene:CCACVL1_11759 transcript:OMO82789 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MEKSGYGKDGIFRSLRPPITLPKEPNLSMVSFLFRNISSYPDQIALIDADSNETLTFSQFKSTVIKLSHAFLNLGINKNDRVLIFAPNSIQFPLCFFAITAIGAIATTANPIYTVNELSKQVKDSTPKLLVTVPELFDKVKDFKLPVILLGPKQNNPKSPSDAKNIPKILSFHDLLNSASTETEFPAVSVKQTDTASLLYSSGTTGVSKGVVLTHRNFIASALMITKDQELAGDMNRVYLCVLPLFHVFGLAVLTYAQLQKGNTLVSMAKFEFGMFLKNVEKYKATHLWVVPPIVLAMAKQSIVNKFDLSSLRQIASGAAPLGKDLMVECAKKFPQAVVMQGYGMTETCAVVSMENPTVGVRHTGSAGMLVSSTEAQIISTESLKPLPPIQLGEIWVRGPNMMQGL >OMO56349 pep supercontig:CCACVL1_1.0:contig14534:28:2239:1 gene:CCACVL1_26613 transcript:OMO56349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASKTPEPTPLRRSLRLLNRATPSKPQDFSARKPESKKTPAHSADKICRKLISSAPKTPESTPLRRSSRLLNLATPSKSQDLRAQKSESKKTPARPTDKISKKLIQPSNGSTNSVNSTSGSRRSARLQSGSFPNKPEKTKFVETKTEKGESGKNTGKHKEVERKEREIIVGLKAVTAASRESERREACERNENDGIKRNLKRKRDEGGGRNVQGWTREQELALQRAYFSAKPTPNFWKKVSKLVPGKSAQDCFDKIHSDHSTPIQHQPRSRAKKMNLSPIGDLSFSASKLLKATALGTKKSSCSKQKSHVVQRKTVRHLLQKHYNVDQGDEADLFSILEPNLSPSIHALPKVVLSTPENLLEKQGFLQKCQERSSSGSKKYQTKLGRKGNLVSPPVLKQIKNKALHERYIDQLHNREAKRKAESKRAEKKVLGKENNGSVQNHNIDEVRAAKNALVSDARYVINQLQRLQCVDNSFDLDNEDDEVGSDDDEGEFQL >OMO56351 pep supercontig:CCACVL1_1.0:contig14534:17511:21027:1 gene:CCACVL1_26615 transcript:OMO56351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLQHRSSLFSFLQLCTLLISISSSNPQPLFPCKPPQLNSYPFCNTSLSITDRAQSLISLLTLQEKIEQLSNNASGIPRLGIPPYEWWSESLHGIAINGPGVNFDGVVKAATGFPQVLVTAASFNRTLWFKIASAIGIEAKAMYNVGQAGLTFWAPNINIFRDPRWGRGQETPGEDPMVVSAFAIEFVKGFQGVGWKSSGEFRDRFGGKRALRGFNYYDDGDDEGEGGHRLMNSACCKHFIAYDLESWEGFSRYSFNAVVTEQDMEDTYEPPFRSCIQQGKASCLMCSYNAVNGVPACVRGDLLQKARDEWGFKGYITSDCDAVATVEEYQNYTKNPEDAVADVLKAGMDINCGSYLLRHTKTTIEEGKLQEKDIDRALLNLFSVQLRLGLFDGDPTKGPFGKLGPQDVCTSEHKMLALEAARQGTVLLKNKKNFLPLKRNAVASLAVIGPMANNISNIGGGYTGVPCDPKSLFEGLKGYANQALYASGCSDVPCESDAGFDEAILIAKKADFVIVIAGLDLSQETEDHDRVSLLLPGKQMALVSSVAAASKRPIILVLTGGGPLDVSFAEQDPNIAGILWIGYPGEAGGKALAQVIFGDFNPGGRLPMTWYPESFTRVPMNDMNMRADTSRGYPGRTYRFYTGKRVYGFGHGLSYTDFTYKVLAAPSKLSLSRSFTGASTKDILNQIQGIKYLYVDEVMSCDSLRFSVHVSVTNVGDMDGSHVVMLFSRVPKVFEGTPEEQLIAFDRVHTSSYGSTETSILVDPCNHLSIANEHGKRILPLGDHALILGDVEHIVSVETY >OMO56350 pep supercontig:CCACVL1_1.0:contig14534:4517:5953:-1 gene:CCACVL1_26614 transcript:OMO56350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSQHGFLEELLAPRRDSWTNFSTGVATEFFPTGWNFDSFDENPSLATSSNLAFVGFSSNSSTQTTTENQNPSSFECPFPDHHQPYPFPDGFTVPELDSSYTNNNETSPFPPQDQDYPSMVDDEEFGLLSTDNQQHSLELEERKGSCCKLEMEQTSNNFQGFNMGLFSEKKTKAKRLEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERIKKLQEEETEMNENNQVCLMSNLKELRPNEALVRNSPKFDIERKEIDTRIDICCATKPGLLLSTVNTLEALGLEIQQCVISCFNDFSMQASCSEVAEQRTLISSEDIKQALFMKLQGYGGRCL >OMO52716 pep supercontig:CCACVL1_1.0:contig15467:7874:11169:1 gene:CCACVL1_29101 transcript:OMO52716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MITLTDFYHVMTAMVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFISTNDPYKMNFRFIAADTLQKIIVLAVLAVWTKVSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGEFSGSLMVQIVVLQCIIWYTLMLFLFEYRGAKMLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAEIKEDGKLHVTVRKSNASRSDIFSRRSQGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMAGPGGRNSNFGANDVYGMSASRGPTPRPSNYEEDGGAAMGKPRFYHGGAQGGGGGAAAAAHYPAPNPGMFSPTGSKAANAKKANGTQGVQQKSEEGGRDLHMFVWSSSASPVSDVFGGHEYGATDHHQKEVRLAVSPGKVEGQRENNEEYMERDDFSFGNRGEMNNHHEGDKVGDGKPKTMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLICFRWNVQMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVAAFAMAVRFLTGPAVMAAASIAVGLRGTLLHAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILLGL >OMO49824 pep supercontig:CCACVL1_1.0:contig16389:2084:3782:-1 gene:CCACVL1_30794 transcript:OMO49824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAAADSQFHVLAVDDSIIDRKLIERLLKTSSYQVTTVDSGSKALEFLGLHEDDTTNPNPPSVSPNNHHEVEVNLVITDYCMPGMTGYDLLKKIKESSSLKNIPVVIMSSENVPSRISRCLEEGAEEFFLKPVRLSDLNKLRPHMMKTKLKDQNPKQEKLEKQEKLEIQQEKADFNSPKEQEQEEQQHHQEQQLQQQQQQSSNSNKRKALEEGLSPERTRPRYNDIATVAL >OMO60177 pep supercontig:CCACVL1_1.0:contig13769:22071:24419:-1 gene:CCACVL1_24344 transcript:OMO60177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MEPLCDFCRGVRAVVYCKPDSARLCLSCDRCVHSANLLSRRHARSLLCEKCNSQPAVVRCLDDKLSLCEGCDWNGNGCSSLEHRREALNSYTDCPSLVEFRRIWSSVLDTSSSGSFDAGSSVGALPANDTCVVNCLNQREQRGSFGMVDAKLNEQDPCPKLKPWMGPSSLIPTNANYMPYCRDQEPLFSEGSNMPKGCSDLKDFKLPDGDDLCEGLDMNDVNLNFETADEIFGCPQDQTRYQFENVGTDCLLMEKNLSVTESDGPIESTLETSSSGQKDCLPPFPSSEIGGSASVMAAMTATSNCMFMNPGCGRNINLGYPVGQIPSTIALSLSNITGESSAADYQDCGLSPAFLTAESPWESNLEASCPQARDKAKMRYNEKKKTRTFGKQIRYASRKARADTRKRVKGRFVKAGDAYDYDPLVPRNF >OMO60178 pep supercontig:CCACVL1_1.0:contig13769:25245:25442:1 gene:CCACVL1_24345 transcript:OMO60178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSDDLAFPHLTLNSFLIQPVSLCASVHFLPNGPSKSVQNSKAGPSEIPILILAIEFPISNHH >OMO60174 pep supercontig:CCACVL1_1.0:contig13769:3456:3515:1 gene:CCACVL1_24341 transcript:OMO60174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWQKSMNKERSMNKKRMI >OMO60175 pep supercontig:CCACVL1_1.0:contig13769:9648:11269:1 gene:CCACVL1_24342 transcript:OMO60175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDTPDENSNWLLDYGIPDFPPPAAGFGWPPQSTLNAPSHVSAAVDCSFADSDCLKEVVSRKRFVELGTILEPGRPPKADKVALLSDAVKMVHQLRSEVEKLKESNEELQEKIKELKAEKNELRDEKQRLKVEKEKMEQQVKAMSARPGFLPHPSPMPAAFAAQGQAAGNKLMPYIGFPGVAMWQFMPPAAVDTSQDHVLRPPVA >OMO60176 pep supercontig:CCACVL1_1.0:contig13769:11815:12619:-1 gene:CCACVL1_24343 transcript:OMO60176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MAVLRTLVGLAATALLIQFAMAANYTVGGPNGSWDSSTDLQTWVAAQKFEVGDNLIFQYLPNHDVLEVSKPDYDNCQTSSPIQTHNDGNTVIPLTAPGKRYFICGTMGHCDQGMKIEIDTLASSTPPPTTSPSTPAPKSSPSPANSPESTLDVPSSSPDVPSSSPDVPSTESPGKSPSPSGLSSPPPPSSAYRASFQTSLALAFGLVLLAL >OMO92839 pep supercontig:CCACVL1_1.0:contig08164:35400:36998:-1 gene:CCACVL1_06741 transcript:OMO92839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDIRERKLRRRCRRNGYGHGKFTGEFIVGGS >OMP11984 pep supercontig:CCACVL1_1.0:contig00619:1275:1376:-1 gene:CCACVL1_00183 transcript:OMP11984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDPLKWGDIGGKFHGADFIGPFENFNGLAFV >OMO82545 pep supercontig:CCACVL1_1.0:contig10024:4250:4312:1 gene:CCACVL1_11913 transcript:OMO82545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKVARERNEKTRILDPSCI >OMO77819 pep supercontig:CCACVL1_1.0:contig10710:9014:12303:1 gene:CCACVL1_14799 transcript:OMO77819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MAISQDVENGESSAKAEMKEPLLHHTNINDDDCKGSNRKGSLKVVFLSTFIAVLGAYAFGSCMGYSAPVQTAITKDLDLSVAEFSVFGSILNVGAIIGALTSGRIADFLGRKGAMRVSSAFTITGWLAIYFAKEALFLDIGRFLSGYGIGIFAYVVPIYVAEIAPKELRGGLATLNQLMICIGGSVSFIAGTFLPWRLLALTAITPCLVQLVGLPFIPESPRWLAKNGYQKEFHNALRKLHGDDADISQEAAEIQDYLQTLEKQPKATMLDLFQKRYIHSVTIGVGLMAFQQFGGVNGIGFYTRETLTFAGFSSGTNTAIIIFALIQIPITAGGAFLVDNCGRRPLLLVSSTGTFLGCFLAAISFLMKEHNLLQEWVPLLVLSALLIFMGSFAIGMGAVPWVLMSEIFPINVKGAAGSMVNLIHWFGSWAVSYSFNFLMDWSPPGTFFLYSGVCAASVLFVAKIVPETKGRTLEQIQASINS >OMO95626 pep supercontig:CCACVL1_1.0:contig07640:2683:3417:1 gene:CCACVL1_05345 transcript:OMO95626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELEYQANTKTSSATARLKLFGFNVSEDEEISDSSTTTTTNKALSSGSPEPAAGFSAPGDRKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQLQATRSAAVSFARNPMISAFAPPPHLLASATPVVVQAGAGPSWVYLPRGGPPPFHVSHGAHGGRAVDGPSLSRFSQGDGGASFDDALGLSLHLSLAPAGP >OMO58148 pep supercontig:CCACVL1_1.0:contig14266:23064:31517:-1 gene:CCACVL1_25558 transcript:OMO58148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrroline-5-carboxylate reductase MEVTPIPIESYKVGFIGAGKMAESIARGVVQSGLLPPHRISTAIHSNPNRRTVFQSLGISTLPDNSSVVEASDVVIFSVKPQVVKNVVVQLKPLLSKKKLLVSVAAGIKLKDLQELAGHGRFIRVMPNTPSAVSEGASVMSLGGAATKEDGELVANLFRSVGKIWTADEKLFDAVTGLSGSGPAYVFLAIEALADGGVAAGLPRELALGLASQTVLGAASMVSKSGKHPGQLKDDVASPGGTTIAGIHELEKSGFRGTLMNAVVAAANRSQELSKS >OMO58143 pep supercontig:CCACVL1_1.0:contig14266:9551:9616:-1 gene:CCACVL1_25553 transcript:OMO58143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIRFYLAPKIEEGEDETKPQ >OMO58145 pep supercontig:CCACVL1_1.0:contig14266:15437:16993:-1 gene:CCACVL1_25555 transcript:OMO58145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSADGVIEHEEVPSTTVVREGSSDQTSRCNSSSQETIISVEEGIFHLSNGELEKLGSLEKALEGDSSAHPLIQRVPSMLGENKHFQKYFKPKVIAIGPLHHDDPGLQKAEKLKLKLAAYFIKTHGLEKEMLYSKIKMEIDYLKKCYDPKEIEQYYDDDEKLSWMFFVDGCAVLQAIYLRYAPDGEVAQKESKELNIKNDLLTLVYVDLFLLENQIPYRVLDLLTSSSYNHGEQFKESIGRFIDGNVMTRAEMKKEWQQSQQNEKQMKKQQKKQHKGKQQKQEQQKEHPHLLDRLRERLVGKDQKHRDWGYGCLRLIKYILTYNQKDKISHHQHTVRNIKELREAGIWFTPSETSCLKDISFNRICCVGKLHLPPITVDDSTGPKFMNLIAYEMCPDFDNDFTVTSYICFLDSLIDEAEDVRALRDADILHNGMGSDEEVAKLFNKMNTDLVASPIIYSQVKTQIQNHCRNQWITYAAQAYHTHFTSPWTFLAFAGAIAALGLSALQTYYSVIQSNQK >OMO58146 pep supercontig:CCACVL1_1.0:contig14266:18848:19105:-1 gene:CCACVL1_25556 transcript:OMO58146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGHPHTGRNVKELREAGIWFAPSETSYLKDISFNRICFVGKLHLPTITVDDSTGPKFMNLIAYEMCPDFDNDFTVMSYICLIL >OMO58147 pep supercontig:CCACVL1_1.0:contig14266:19483:22307:-1 gene:CCACVL1_25557 transcript:OMO58147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMFPEEEPSWEIQLPLHDCNEEELRLSNREEENFRSFRALKESSNNNSVPTQSSKPKPLIQRVPSILRENEDLKKYFEPRVVAIGPLHHGNPRLHQAEKAKLKLAVLFTNEHGICEHVLYKKIKNEIEGLKNCYRKKDIEEYEDEELAWMFFVDGCAVLHAIHCWTHDQQKELNIKVDLLAFARIDLFMLENQLPFQLLDLLMSSVKNREDLRNSINKFINDMITVAAGNEQLPAKEDQEQSHHLLALLRERLLVKSETTPNKDNHWWIGNKLLSCGPKEANKTFRSINELTEAGVCVIPSETSSLRDIHFSVRFLGTLKVPRIVVDDSTGAKFMNLVAYEMCPDFENDFGVTSYLCFLESLIDTARDVKKLRHSGMLLNHMGSDEDVANLFNTITTDLVPDLGTYKQVTDDIRKYCDNTWTTTLAKFWCNYTPQETIISVEERNFHLSNSELEKLGSLEQALDGDSNAQPLIQRVPSILARKKDFQKHFRPKMIAIGPLHHDDPDLQKAEKLKHKLAAYFIKEHGLEKEMLYSKIKMEIGNLKKCYNPKEFEQYYDDDDEKSAGCSFWMVVHFYKQFIYSMLLTKRLQRRSYVI >OMO58144 pep supercontig:CCACVL1_1.0:contig14266:12567:14090:1 gene:CCACVL1_25554 transcript:OMO58144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene cyclase-type, FAD-binding protein MDTFLRTHNKLEFLPQIHGFSEKACNLSSARVQTQELKFGPKKSYWRRGRDNGCVCVKASSSALLELVPETKKENLDFELPIYDPSKGTVVDLAVVGGGPAGLAVAQQVSQAGLSVCSIDPSPKLVWPNNYGVWVDEFEAMDLLDCLDTTWSGAVVYIDDDKKKYLDRPYGRVNRKQLKSKMLQKCISNGVKFHQAKVIKFIHEESKSLLICNDGITIQATVVLDATGFSRCLVQYDKPYNPGYQMAYGILAEVEEHPFEVDKMVFMDWRDSHLINNKELRERNSKIPTFLYAMPFSSNRIFLEETSLVARPGVPMDDIKERMVARLRHLGIKLKSIEEDEHCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVADSIVRCLNPGQSFVGNNLSAEVWRDLWPIERRRQREFFCFGMDILLKLDLPATRRFFDAFFDLEPHYWHGFLSSRLFLPELIVFGLSLFSHASNTSRLEIMAKGTLPLVNMINNLVQDRE >OMO70399 pep supercontig:CCACVL1_1.0:contig11833:10589:10675:1 gene:CCACVL1_18945 transcript:OMO70399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLADLQETVQGAAVLQQPHSGGIRVA >OMO70400 pep supercontig:CCACVL1_1.0:contig11833:12752:14434:1 gene:CCACVL1_18946 transcript:OMO70400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MEKLQLSPLRNASPLRNVTLSPLVSPLRNMPKQETGNPKTRRVWKLKLTVTWQRMKKALKSTLRDRLHIRLQNASSNLKKLSTLKINPHDLAIIRPIKHVMKKKKKNININRPTMPLAQLIQLPRTAADFVDRGDAMTPTKSPIENISTKWRELHGSTNWDGLIEPLHPWLRREVIKYGEFVEATYDAFDFDPLSEFCGSCRLNKHKLFEEMGLTKHGYKVTKYIYAMSHVDVPEWFEKSHVTWSKDSNWMGYVAVSGDAETTRIGRRDILVAWRGTVAPSEWYTDLKTSLQRLGKTNIKVQSGFLSIYSSKGEFTRYNKLSASEQVMEEIKRLVFFFRDRGEEVSLTICGHSLGGALALLNAYDAATYFPDLFISVFSFGAPRVGNLHFKEKLNELGVKTLRVVVKQDIVPKLPGFILNTILNKFTAVTGRLKWVYRHVGTQLKLDALLSPYLRRDPDYMGSHNLETYLHLLDGFVSKTAKFRWNSRRDVALVNKSCDMLIKELRIPVCWYERPFKGLMLNKYGRWVKPGRELEHVPTPRSHGANHDPLLSPILRKDVH >OMO70401 pep supercontig:CCACVL1_1.0:contig11833:15361:19425:-1 gene:CCACVL1_18947 transcript:OMO70401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFAWLSTRQGNTVVYYPNRILKGLEPWEGGSRTRNPFAWIKEALSSTEQDVINISGIDTAVYFVFMSTVLGILVLSGVILLPVLLPVAVTDDAIRKHSGQTTSNGTFSDFDKLSMANIEKGSMRLWAFVACTYWVSIVAYILLWKAYKHVSAMRANALMSPEVKPEQFAVLVRDLPDVTPGQTRKEQVDSYFKSIYPDTFYRSMVVTNNKEVDKIWGELEGFKKKLVRAEAIYAESQKSGGSEATRPTNKTGFLGLCGKKVDSIEYYSEKIQELTKKLEAEQKVALREKQERAALVFFTNRVAAASAAQSLHAQMVDHWTVMEAPEPRQLIWSNLNLKFFERITRQYIIYIIVFLTVAFYMIPIGLISALTTLKNLRKYLPFLKPIVDQDAIRTVLQAYLPQLALIIFLALLPKFLLFLSKTEGIPSQSHVVRAASGKYFYFTVFNVFLGVTLGGTLIDTMKTIQDDVGGTPQLLAKSLPSNATFFITFVALKFFVGYGLELSRLVPLIIFHLKKKYLCKTEAEIKEAWLPGDLSYATRVPNDMLIVTIVLCYSIIAPVIIPFGVLYFTLGWLILRNQALKVYVPSYESYGKMWPHMFKRIIAALLLYQLTMLGYFGVSQFKFTPILVPLPILSLIFAYTCSKKFYKAFCHTALEVACQELKQTANMEQIFKSYIPPSLSADKQEDEQFEDAMSQVSRSGSFV >OMO70398 pep supercontig:CCACVL1_1.0:contig11833:690:1052:1 gene:CCACVL1_18944 transcript:OMO70398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSESIFKIEGLDYTHLCCAANAMVKLDVSNYDIWRAQWVSLLNGVRLMSMADGSTPPPPKDKWSYDIWHRRDQMLLHAILISVSEVFLKRLNISQVKTAAQAWDQIAKASVFEATEF >OMO53943 pep supercontig:CCACVL1_1.0:contig15095:73717:74285:1 gene:CCACVL1_28192 transcript:OMO53943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQKWTQMNKDSYKKKALFFNNLRRFVGSRVKGNQLVVLSLSLQVCHRGPMEWGDLKI >OMO53942 pep supercontig:CCACVL1_1.0:contig15095:54072:54609:1 gene:CCACVL1_28191 transcript:OMO53942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTDEQRLIRKKRRFVGSRVKDNQLGVPLWTN >OMO60348 pep supercontig:CCACVL1_1.0:contig13734:2961:8072:1 gene:CCACVL1_24217 transcript:OMO60348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVSISNQFKFWIAAEFISIDCGSTSNYTDPSTGLGWSSDMDIMKHGKLVQVENPNGKFLMQYRTRRDFPSDNKKYCYNLSTKERRRYLVRATFQYGSPENEAAYPKFQLYLDATEWATVTIQDASRIYVYEMIIRAPSDSIDVCICCAITGSPFISTLELRPLNLSMYATDFEDNFFLNVAARVNFGALSRDVVRYPDDPYDRIWESDLDKRQNFLVGVAPGTKRIKTSKDIDIRTREYPPVKVMQTAVVGTEGELSYRLNLEGFPANARAYAYFAEIEDLAPNETRKFRLREPYIPDYSNAVVNIAENANGSCTLYEPSYMNVTLDFVLSFSFSKTNDSTEGPLLNAIEISKYQQIAPKTDRRDVTALNALRYMSAESVWENVGGQNVKGTIPPEFNHMEGLTELWLDSNSLTGPLPDMSNLINLKIVHLENNELSGPLPSYLGRLPNLQELYIQNNSFTGEIPPALLDRKITFNYEGNPGLHNEAQRKMRFKLILGISVGVLAVVLVLFVGGLILLRNLRRKVSRQKSDENGHSLQPSSKPSTRGGLLLDEGVAYYIPLPELEEATNNFTKKIGKGSFGSVYYGKMKDGKEIAVKTMGDSSSHLNKQFVTEVALLSRIHHRNLVPLIGYCEEAHQRILVYEYMHNGTLRDHIHGTVNQKRLDWLARLQIAEDAAKGLEYLHTGCSPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEEDLTHISSVARGTVGYLDPEYYASQQFTEKSDVYSFGVVLLELISGKKPVSVEDFGPELNIVHWVNLSATFIHTLKTFVLNQSTKAELFVLLQARSLVRKGDVISIVDPFLVGNVKIESIWRIAEVAIQCVEQHGYSRPKMQEIILAIQDAMKIEKGDESNSKLASGSSSRGLSSRKTLLASFLEIESPDLSNGCLVPSAR >OMO60349 pep supercontig:CCACVL1_1.0:contig13734:9649:12640:-1 gene:CCACVL1_24218 transcript:OMO60349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MSSRPELQAPPEIFYDDTEARKYTSSSRIAAIQTELSERALELLALPDDGVPRLLLDIGCGSGLSGETITESGHQWIGLDISHSMLNVALENEVEGDLLLGDMGQGLALRPGVIDGAISISAVQWLCNADKSSHEPRLRLKAFFGSLYRCLARGARAVLQVYPENLAQRELILSYAMRSGFAGGVVVDYPHSTKKRKEYLVLTCGPPSLSTAVPSGKVEDGESCSEDESSEDEENQMICISDRHRPRKKQKINKKGKGREWVLRKKQQMRKKGNVVPPDTKYTARKRKARF >OMO60350 pep supercontig:CCACVL1_1.0:contig13734:14981:16731:1 gene:CCACVL1_24219 transcript:OMO60350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSMVYYQLSKSSYQDSLKILEADIQHANALAAAIPRAKNGAHLQMKLMYNHLAPLFLFLLQWMDCSCICLLPRYLNLFHVLVYKVYTDGQSKISTHGRKATIREFYGVILPSLQRLHGNLEGLDDEKGVHYTIGKSGKKRVDNRLGNIDLEREDECGICLEPCTKMVLPNCCHAMCIKCYRNWNMKSESCPFCRGSLKRVNSEDLWVLTCNDDVVDEKTASKEDLLRFYLYINSLPKDYPDSLFLVYYEYLI >OMO60351 pep supercontig:CCACVL1_1.0:contig13734:17669:20257:-1 gene:CCACVL1_24220 transcript:OMO60351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAISKQFFHISTFVLFLSFSISSAHFQDVTPHKEFDSKSSDSPSYAPSESPKSSVSSGSSTEKCSCTCPAPDASTGSGSSSSSGSGSSSGSISESSRFDASADSSFSGSSSSSGSGSVSGSSSSISPSSSPSMGPSPSDSDSSAPMGSSSSSGTSDSSSSDSSAPMGSSSSSGYDSSSSSGSDSASGSGSGDSTASGSSTTSGSISGSTDISGSGDSTASGSSTTSGSISGSTDISGSGTFTASGSSQISGSSTGSGSSDANASAGGGSSAEITASGSFEISFKFSGTFKKVFAFGDSYTDTGNAQILGLMKNFVVAFLTKVFQGINPNLNTAGRSSNGRLVIDFLCDALNISSLQPYKALGANAGANFNSGVNFAIGGATSLSGDFFSKNKIGSNLQWQGVPPGFQTQAEWYNDFLKQMACNGKSVEECKQEMGGHLIWLGQMGVDDYTRVLGSSISMRWLADVTITHISQILTTVLDSGAKNIVVQGLPPLGCIPVAKFFTPQFLKDEMGCSAIVNKAVTIHNDLLQTTLDQFRKRYPGSNIAYADYFNAYKTIVLNLGAYGFQDDSTACCGFGGGLLNFNLNILCGMAGTRPCSNPASHIHWDGIHLTEAMHKQITNLFLTKGFIKPSFDSMCSSKRPSN >OMP03933 pep supercontig:CCACVL1_1.0:contig05902:671:2056:-1 gene:CCACVL1_02225 transcript:OMP03933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MEPTNCQVIEGELPLSLNGVYVRNGPNPQHQACRALHMFEGDGMLHSLTLSNGHATYCNRYVKTYKYMLERDAGFAIIPNMLSGLYGLLDIFRFLKSVVTGRFDVTKGIGVANTSLAFISGTILALCESDLPYIINITQEGDIETLGRWDLDKKLLSNMTAHPKIDMDTKETFAFSWSFVFPHLTFFRFDEKGIKQKEVPIFSMHKPSLIHDFAITKRFAIFHETQLVMSLAKVLMGRGTPVVYEPKQKTRFGVIPRQAKCDSEMKWFEVPGFNAAHIINSWESCDEEIVIVASNVKSIEDFLVNKKLDVVLEKVKINLKTGDVHRNIISTKNLELGSINSSYVGKKTRYAYLGVLEDTPKTSGLVKIDLETGDEVGKRFYGDGCFGGEPLFVRRNEYNGDDEDGECDEDDGFVMTFVHNEHTNESIFLVMDAKSPELNTIAKIKLPRRVPYGFHGLFLAN >OMO80471 pep supercontig:CCACVL1_1.0:contig10319:17825:19854:1 gene:CCACVL1_12940 transcript:OMO80471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEFNTGTNWWDSSRSSTNNNSSRFDATGSSSSSSLGNFGWAATEMDLKAAGAAARSSMDSVSSVSGSSVIQTGPDLHMMGLGLSSQPMDWNQAALLRGDKSDQTSFRSMLQDNLNSNANYQQESGHMGSSNQWRISDNQASVNDHQFKQISSSRGFSLDHHQSQFSPHGSSSDSTVTCQGLPSSNFQMDSTALYGTPSTILQGILGSDHNQNQQQQSQQSSINYYGMNSNEMLPSWSKVPQFLRSSPPKQQQQQQQQLHGQLHFSNNAPFWNPSAASMATDNISEVRDSSTVGKKSGNEPASKRPRNETPSPLPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKYLHEQVGVLSTPYMKTGAANAIQHQQNSEKPKDSEGPKQDLRSRGLCLVPVSSTFPVTHESTVDFWAPTFGGTFR >OMO80474 pep supercontig:CCACVL1_1.0:contig10319:74889:75992:1 gene:CCACVL1_12943 transcript:OMO80474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEILVNIMKRSSLLQWGCRTGAIKTGAIKTCKSWLMAALDVFFPSPNIFDLRPHAHALATKSHRKIVILYMTLAIDFRPPTSGTQLLFPKTRLPRKAYRFVAKRLPSLTRINLPAGAKIRVENLRAMVPYWSNLEHVRFPASVADSLGTHCKKIHTLYLFGAIGNYIASTIAENFPLLEHLRIPYCILSNDALSIMLDGHKSLITLDTRHCLCVDEVLIPRIFRNYQHCNPKTAVHRRFFKPRGKEGNHRRYLKANEWNEDEILRKAAGINKFLQCGRKNDCSECSDLY >OMO80469 pep supercontig:CCACVL1_1.0:contig10319:3987:7926:-1 gene:CCACVL1_12938 transcript:OMO80469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLCNAYSNASDDEPEPESKPDHQPTLKLVNSHQLPHRPSKRPKPEYPFPAADLQKRDEAPVPGRYISKRERALLGSVPHAAERNPSHQDAPLIAQPGLGSISDLDLPHDIRSSMRHNPKGRMQPNQLPEKLSIILHGHEKAVNAVHWSPTHAHLLASAGMDQTICIWNVWNKDQKKARVFSNHNAAVKDIKWSPQGLFVLSCGYDCSSRLIDVEKGIETQTFKDDQVVGVIKFHPDNSNLFLSGGSKGGIRLWDVRSGKVVHNYIRGLGPILDVEFTIDGKQFVSSSDVSGGNVSENSIIVWDVSRQVPLSNQVYAEAYTCTCVRYHPFDPYFVAQSNGNYIAIFSSTPPFKLDKYRRYESHGVSGFPVKCNFSLDGDKLVSGSSDGSIYFYNSRSSVLVKKIKAYEQACIDVVFHPILSNVIASCSWNGDISVFE >OMO80470 pep supercontig:CCACVL1_1.0:contig10319:15050:16846:1 gene:CCACVL1_12939 transcript:OMO80470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGKRVIFGALTLREKGRGWGPTVLARAHAAWTPLVDFFSLNGN >OMO80473 pep supercontig:CCACVL1_1.0:contig10319:73720:74157:1 gene:CCACVL1_12942 transcript:OMO80473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGQFLKQIESFSNWKRGVYMSQAYHESS >OMO80472 pep supercontig:CCACVL1_1.0:contig10319:24845:26445:-1 gene:CCACVL1_12941 transcript:OMO80472 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent FMN reductase MVVLGYYSMYGHVEKLAEEIKKGAASVEGVEAKLWQVPETLSEDILGKMSAPPKSDVPIITPNDLAEADGFIFGFPTRFGMMSAQFKAFLDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEQVKGGSPYGAGTYAGDGSRMPSELELAQAFHQGKYIAGIAKKLKASA >OMP00661 pep supercontig:CCACVL1_1.0:contig06642:2122:2196:1 gene:CCACVL1_03319 transcript:OMP00661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKTIQNSSNGPRKARNNKCSE >OMO72799 pep supercontig:CCACVL1_1.0:contig11368:8668:12617:1 gene:CCACVL1_17585 transcript:OMO72799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSLNVPSGVAKLSQRAGPGNRTETTWKGGVATNLSCLGDSAELVEIRSERIDVLHGVRWPQSEVAFAGSTCLSLGKVQCCQAWGKLSCCNGLVYTRYYIELVDWLKSLVGNQGDTPKAYHEGNDAPRTYYGLEDKHGEHGKDVQGLQGSMEMHEDHGDIDDHVPSTKRMPFDPLKMPLGPMTRARAKRFKDALTGLVRTHLEDLKTIEVQLKSFGDDLGKKLQLI >OMO69795 pep supercontig:CCACVL1_1.0:contig11984:795:10829:1 gene:CCACVL1_19257 transcript:OMO69795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MAGKSNKARTRRVPQSSTASLEAAFSSDAPLKDNAIAPEPLKGDSNGVPDMAASGPKSELAEHETLNSPSQPKQGDPHLYPVPVKTQSGEKLELQLNPGDSVMDIRQFLLDAPETCYFTCYDLLLHFKDGSTHQLEDYNEISEVADITIGGCSLEMVAALYDDRSIRAHVNRTRDLLSLSTLHASLSTSLALQYENAQSKASNLGDAVRNDVPELDGLGFMEDVAGSLGKLLASSAKEIKCVESIVFSSFNPPPSYRRLVGDLIYLDIITLEGSKYCITGTTKMFYVNSSTGNILDPRPSKAGSEATTLIGLLQKISSKFKKAFREIMERKASAHPFENVQSLLPPNSWLESYPVPDHKRDAARAEDSLTLSYGSELIGMQRDWNEELQSCREFPHTTPQERILRDRALYKVTSDFVDAAISGAIGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDADLEQLSRKSAVDTNSNNQSRNEATSFSPSEMVGNEMLHENSMDSNGERCGGLSAVEGDSLIESGQGPAEAQLAESEQATYASANNDLKGTKAYQEADVPGLHTLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNNDFHLKVMEAAKRLHLKEHTVLDASGNVFKLAAPVECKGIVGSDDRHYLLDLMRATPRDANFTGPGSRFCILRPELITAFCQAQAAEGSKSETKSEVEANVATDSSKVAGIEASMGTEAHDAATSDDIQGINTGDRNKLEEECLTESVKSCETGEEILFNPNVFTEFKLAGSPEEMAADEENVKKASSYLLDVVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINIRYIGKIANGTKNLPHLWDLCSNETVVRSAKHILKDVLRDTEDLDLGPAISHFLNCFFGCCQAVATKLTSGTPSKNQKKEQVSHQSSGKTSRGNARWKGKTSARKNISSYMNITSETLWSDIQKFAKLKYQFELPEDARLRVKKVSVLRNLCQKVGTSIAARKYDFNTVTPFQTSDILNIQPVVKHSVPVCSEAKDLVEMGKVQLAEGMLTEAYTLFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMDTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSYQHEKKTYDILVKQLGEEDSRTRDSQNWMKTFKMREHQMNAQKQKGQALNATSAQKAAIDILKAHPDLIHAVQAAAAGSSSGSFNKSLKAAMIGESLPRGRGFDERAARAAAEVRKKASARGLPTRSHGIPVQAVPQLTQLLNIINLGVTPEAVDGEEAGEKREANGHHPNGSVDAKKDASTSKAGEQAPVGLGTGLTSLEAKKQKTKPKVAS >OMO69798 pep supercontig:CCACVL1_1.0:contig11984:20233:20917:-1 gene:CCACVL1_19260 transcript:OMO69798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKLLDATVSSFDSIFEKFKSDAPNNKANLILFLADKDPCTSRSWCPDCVRAEPVIYNKLEASAMDGDVAVLRAYVGDRPTWRNPQHPWRLHSTFKLTGVPTLLRWDWENHAITARLEDHEAHLHPKIDALLSTK >OMO69796 pep supercontig:CCACVL1_1.0:contig11984:12199:14220:1 gene:CCACVL1_19258 transcript:OMO69796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIPSLLPPPSIPNPTKLSLTVQSRLFLQILQPTTNPFANLPKNLIINSRPKQLRLSAVAEEQTSAVASPSSEAARRLYVGNIPRNVNNEELTKIVEQHGAVEKAEVMYDKYSGRSRRFAFVTMKTVEDASAAIEKLNGTEIGGREIKVNITEKPLPQVDLSLFQAEESQFIDSPHKVYVGNLAKTVTTDTLKKFFSEKGKVISAKVSRASGTSKSGGFGFVTFSSEEEVEAAISSFNNSMLEGQVVRVNKA >OMO69799 pep supercontig:CCACVL1_1.0:contig11984:21583:27668:1 gene:CCACVL1_19261 transcript:OMO69799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSNGVRVLQCLVRRSRSLSPNLFQPNSMYKTLIAGGFRSFTARLVDPVSTVRNHTPQFINGRNCLRFGLLNVNLGTTRSIHGTATMSASARDYYDILGVSKNATASEIKKAYFALAKKLHPDVNKDDPEAEKKFQEVSKAYEVLKDENKRANYDQVGHDAFEQQHENGGFSEDFNPFNFSNFHDDILKSRIGGEDIKIAIELSFMEAVQGCTKTLTFQAPVLCQACGGEGVPPGVKPERCRHCGGSGMMSINKGFMSIRSTCPHCSGTGQFVSRLCKSCNGARLVKGPKTVKLDIMPGVDNNETLRVYGSGGADPDRNHPGDLYVTIKVRQDPVFRREGANIHVDAVLSVIQAILGGTIQVPTLTGDVVLKVRPGTQPGQKVVLKNKGIKTRNSYSFGDQYVHFNVSIPKNLTPRQRELIEEFAREEQGEYDKRAAAGASG >OMO69800 pep supercontig:CCACVL1_1.0:contig11984:28194:34842:-1 gene:CCACVL1_19262 transcript:OMO69800 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MALRYNNLSLPSLYLRKPNYCYLQRSICCLHCNSTVSSSPFPEKHSLQRYQRDRWVYNNNQNQNHDHAASCAIPPDTDSIRLNDIALQLPELRRLLQVLKHKRETQTPGTAQLPPGNVFLVGTGPGDPDLLTIKAVRVMQTADLLLYDRLVSNAVLDLVGPEARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIEVKVIPGITAASGIAAELCIPLTHRGVANSVRFLTGHSRKGGADPLFVAENAADPDSTLVVYMGLSTLPSLAAKLIHHGLPPDTPAAAVERGTTPQQRIVFGELKDLADKIKTAELLNPTWHVHKHQVASATSKSSCGEELAYVQFSMQSVNAQEDYNLKETNPEIGERWPNAGAYGGRGWMNGERLTSTYDLVQQMFYLYVRVVKGKDLPPSSVTGSCDPYVEVKLGNYKGRTRHFERKMNPEWNQVFAFSKDRVQSSLLEVFVKDKEMVGVGRDDYLGRVVFDLNEIPTRVPPDSPLAPQWYRLEERRGQGKVRGEVMLAVWMGTQADEAFPDAWHSDAASVHGSEAVSNTRSKVYVSPKLWYLRVNVIEAQDVVPNDRNQLPQVFVKAQLGNQLLRTKICPAAPNPLWNEDLVFVTAEPFEEQLLITVEDRVHPSKEDVLGKMNLPLSACEKRLDHRPVHSRWFNLEKYGFGVLEADRRKELNFSSRIHLRVCLEGGYHVLDESTMYISDQRPTARQLWKQPVGILEVGILGAQGLLPMKMNGRGSGSTDAYCVAKYGHKWVRTRTILDSFNPKWNEQYTWEVYDPCTVITLGVFDNTHLALGSNANAAAAARDSRIGKVRIRLSTLEAHRTYTHSYPLVVLHPHGVKKMGELQLAVRFTSLSLANMMYIYARPLLPKMHYLHPFTVNQVDNLRYQAMNMVALRLGRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMSLVSGMITVGRWFGDVCNWNNPITSVLVHILFLILVWYPDLILPTLFLYMFLIGIWNYRFRPRYPPHMDTKLSWAEAVNPDELDEEFDTFPTCKQHDIVRMRYDRLRSVAGRIQTVLGDIATQGERFQSLLGWRDPRATTLFLVFCLSAALVLYATPFRVVALLAGLYYLRHPRFRSKLPSLPSNFFKRLPARTDTLL >OMO69801 pep supercontig:CCACVL1_1.0:contig11984:36469:39276:-1 gene:CCACVL1_19263 transcript:OMO69801 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MAKPKNCNAKKQSYISVPSQIINSLSSSSLQSLLVSPKKKKSTRFSFGYNSCRSPRVWLLALFLCGLVGMLRLGWNVDTLIHFSPYPCLLTQPKPRLGFASSTHKDGTGDDFLMMANNNSHSHSDSDFWEQPDGMGYRPCLDFSAEYRRANEAIVKDRSRYLLVVVSGGMNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFSDIFDFAHFKRVLANDVRILSSLPSTHIMTRPVEEKRTPLHVSPQWIRARYLKRINREGVLLLRGLDSRLSKDLPPDLQKLRCKVAFQALRFAPPILELGNKLARRMLSKGPYIALHLRMEKDVWVRTGCLPGLSKEYDEIIHNERRRRPELLTARSNMTYHERKLAGLCPLNAFEVTRLLKALGAPRSAKIYWAGGQPLGGKEALSPLTREFPNLCNKEDLALPGELEAFANKASILAAIDYIVSEKSNVFMPSHGGNMGHAIQGYRAYAGHKKYITPNKRHMLPYFLNSSLPEAEFNRIIKELHRESLGQPELRTGKAGRDVTKYPVPECMCTNVQTHTI >OMO69797 pep supercontig:CCACVL1_1.0:contig11984:15304:19465:1 gene:CCACVL1_19259 transcript:OMO69797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQTFLARKGPLGTVWCAAHLQHRLKKSHYTATDIPSTVDRIMCPEVPIALRMSGHLLLGVVRIYSKKVDYLFHDCNIVLVGLKKVFAPIQVNLPEDARQAPTQAITLPDTFDLDAMELDDETCNEGASDNHLSNQEDITLRDQIPIGTDPYVAITFDEDITMDASPPDVFHKSGSLTVNEHLHEPQENDGAGFQDPGQHNQVEMPSPSAAFHDPGLSITFPERLPKHPVYIENPGPSNQAQVDSISNESSQDVPGIERMRDASDDFYAENGPPECSDHRNDPTVVSDHLDQVLNEKEIHTPNSNMLASGVPSMPFHQRSDPATSPSKGPPDTPFVRESPQLVIQPSPPVQQPRQRRITRRRFFDEKLVLPNRLMKRALEDCSDLVRKRIKIPCSALDVWKLNNTQRIEQVLNDPSLTGLCADICDMFKRECISTKPHMMVPEEVVQEPTVLRCTVPTTKAISEHRLGQDPASIPALENLRHSHYATDDDFTKLGSYPAGSMSPFRRDESRFSTNSSESELAANAGSISTPDTAAFIGTHGSDINKPMTLLEEQSCLKDTGFSAPPEADLFFLEEDVNTPTESLASQGVDSISGRTRALANYLKSQSPTTSFDEYPHGDLSLNKILEGKTRKICARMFFETLVLKSRELVDVRQEQPYGDITLKLTPTLWKAEI >OMO87233 pep supercontig:CCACVL1_1.0:contig09273:14575:16496:-1 gene:CCACVL1_09178 transcript:OMO87233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPMHDPLIRQPIKRLETKFERTIISLIVYSLILVLTNVWGRKGEELLQDYENRAVIMHRMDGICRVSITFGALFVIKDIFRPANRLKGSAHKHFKVWLNISLSIITGIVLILATGIVFAEGKVGIWARISLGFLVISGLVVFRQLKHLAQFIDYVWMVDLFDVGDVVMIDYVDYEILDIRLVTCGEGWMRYFEQQNGISHGVNSHNKDNVKGIGSHPNVYLLDTPGILPPSIHDAEFCCSKLAIKGAIRDSLVGQKELAQYFLAILNLSYQYKQ >OMO87236 pep supercontig:CCACVL1_1.0:contig09273:35175:37576:1 gene:CCACVL1_09181 transcript:OMO87236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase MSLSLSFTHSIFSRHCHPHSAALPLSSPLPPPRFLFLSPSTPNPTPLRFRILSRVPQRAASLTVASLLQDTTASAAVLTGAYGLVFTFDTLTRKELIPQNSNSVEARYFASLVPFVNCVRLVVHGLSLAEEDQGLIKSVTREGNPKELLRGPLYYVVILIICALIFWRESPIGIISLGMMCGGDGIADIMGRRFGSSKIPYNKSKSWVGSISMFVFGFFISVGMLYYYSVLGYFKLDWGWTIPRVALVSLVATVVESLPITMLIDDNISVPLSTMLAAYLSFGS >OMO87235 pep supercontig:CCACVL1_1.0:contig09273:23943:28498:-1 gene:CCACVL1_09180 transcript:OMO87235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGASGSVTCGSWIRRPENVCLVVLGRSRTRPGSSSVIEIFSFDPKTTSLSSSPLARHELEENDGDPITIAVHPSGDDFVCSTTNGGCKLFELYGQETNLKLVAKELPPLQDAGPQKCLAFSVDGSRFATGGLDGHLRILEWPSLRIIVDEVRAHKSFRDMDFSLDSEFLASTSTDGSARIWKIEDGVPLTTLARNSDEKIELCRFSKDGTKPFLFCSVQKGDKAVTAVYDISTWNKIGHKRLLRKPASIMSISLDGKYLALGSKDGDICVAEVKKMEISHWSKRLHLGTSIASLEFCPNERVVLTSSSQWGAMVTKLTVPADWKEWQIYLLLLGLFLASAVAFYIFFENSDSFWNFPSARQQQTRPELGSFLGDAQSDDQNMWNAFGPLDM >OMO87232 pep supercontig:CCACVL1_1.0:contig09273:3581:8834:-1 gene:CCACVL1_09177 transcript:OMO87232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSDIPRSMAVKAISGSTSSAEKNSSDKEEEKSEIYSHNMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPEDVDKLRKVGVKTIFCLQQDPDLEYFGVDIGAIQDYAKKCGDIEHIRAQIRDFDSFDLRMRLPAVVSKLYKAINRNGGVTYIHCTAGLGRAPAVAMAYMFWVQGYKLSEAHSLLLSKRSCFPKLDAIKSATADILTDLKKELVTLTWENSKCSTVEVSGLDIGWGQRIPLKFDNEHGSWTLQRELPEGRYEYKYIVDGEWTYNEYELVTTPNKDGHVNNFLHV >OMO87234 pep supercontig:CCACVL1_1.0:contig09273:17180:17998:-1 gene:CCACVL1_09179 transcript:OMO87234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MGCDVIAKWNEKIADPETRTMLRIMSCSKPCPNYKRPIEKNTGCMHMTCRPPCNYEFCWICLQKWSGHQNYYNCNRYSEVKVSRERSKESAKKYFHCCDRWEAHGLSRQKAVKNLEKLKSEEIMKLCYNQQLISSEVDFVVEAWRQIIECRQILRWTYAYRYFLSEKESGKVELFEFLQGAAESSLERLHSCTERGVGELLIADGPSLELFDEFWKKLIGLTGVTRNYFENLVKALQNGLSDVESKPASVSVPRMKYDQPKKARRRPRKDSS >OMO51340 pep supercontig:CCACVL1_1.0:contig15875:10314:11607:-1 gene:CCACVL1_29842 transcript:OMO51340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHETLVAAAADDWRIPYLEYFVSGKLPSSSKYDYVVRRSVGRFFVQGGILFRKSFNVELLRCLSLVESQKMLDEVHAGECASTELDCSLVESGQQRLLDLEAIDEKRSKAKENGKKYQDGMALLYNRLVKKRTFSAGQLVLKAADHIRRNLAKPSKFAANWEGPFIIKEAFDNGYYKIEAQDGSPRLELINAKWLKPYYC >OMO51341 pep supercontig:CCACVL1_1.0:contig15875:14435:15467:1 gene:CCACVL1_29843 transcript:OMO51341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHHKGMTMTSSLQIQGSPHNKGRPRHPPPLQRGFVTSEVLTTWSVTDSPGKEDLEPKTAREST >OMO59626 pep supercontig:CCACVL1_1.0:contig13911:13496:14203:-1 gene:CCACVL1_24714 transcript:OMO59626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEFEPQSSASTSSSTPANDSSSSSSEFNKSTRGNESKRKAKNDEVEQQGNSKHPTYRGVRMRQWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALTIKGKSAFLNFPEIAHEFPRPVSSSPKDIQAAAAKAAALSFTKSHEDEEIEAESSSQVDQMVPRSPASTVTSQEDSPIINNDDAFIDLPDLLLDMNHQIDEFWGSLSWQLASGTDDPVGNGFGYEEPCLWEYY >OMO59625 pep supercontig:CCACVL1_1.0:contig13911:1972:4897:-1 gene:CCACVL1_24713 transcript:OMO59625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHSYTVDSLSRSQDLASAILASTTSSQISATCASIDSFLRSHTPDQCRHFFSITFPTLICKLFGFDDATSLPPTQPPQKPQQPQSNGWIEIASQSSDPDFSGKVFSLLSPNGTLMNSISAVDRHTLVKYVFPIERLPEWVRFMLSNEKDCRVLADLCPLFKGKVKEDSIKGSLCQVQLNVFEYYMFWFAYYPVCKGNNENLDSNSVKRSKRFTLENWTHSIRGFSGLNKREAEQKFEGNLYIRLLYAYLRAFVPIFDLGAHQPYRSSILNYSLKGDGSVIFRAEFLVNLFVHYWLVDNDFSPLPVKACKSYGVSFPFRSVLGETPPTSGLGEVVKLFVKYLTLSSVISTDGFDNVEHSGSPSWRVSAGFDSGKSMDVVSVSPGVCSVGSWNSWIQRPLYRFILRTFLFCPVGTSIKNASQVFSVWVSYMEPWTITLDDFAELDAIVNGSNKDVRKQESQSQASGYSSLWQAYVLSNYLYYSSLVMHFIGFAHKFLHSDPEVIVQMLLKVLSLLTSSKELVDLLKNVDSVFHSKQALSSKSKVNSLYRYVPSICEQLQDWEDGLCESDADGSFLHENWNKDLRLFSDGDDGGQQLLQLFILRAEAELHGISGDNLTECLKLIDSLKEKVGYLFGGSTVKPIPIAPELRQPQHLRDEIFKPKRVGNRTLANMAYKGDWLKRPISDDEVAWLAKMLIWLSSWLNESLGLNRAEDSDAGSEWSYVDVPSGEANVTGTRETAKTLICLIGSWLLTVGTTTVRLMRKHNLRVNLRVLASKKVVMVLLLSFVFSVLKKAFRLLY >OMO59624 pep supercontig:CCACVL1_1.0:contig13911:1168:1230:-1 gene:CCACVL1_24712 transcript:OMO59624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSTAKDPPPQPFLVQSQT >OMP07986 pep supercontig:CCACVL1_1.0:contig04099:416:544:-1 gene:CCACVL1_01182 transcript:OMP07986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYLPFTNGIQSLVRRFRVSYLVVGSAQKPIGEERELRSEI >OMO58829 pep supercontig:CCACVL1_1.0:contig14114:27211:30313:1 gene:CCACVL1_25331 transcript:OMO58829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHGTGRKVIDFSQFEFKSKNGKHGDYHTTAAKILKKGEHIGAVGEKSKTPDLQVRKVSPYFWTGGDEDITSKHKVKKKMTRDLQVRVSYFETSGDCILSEHNKTEKKRKLSPYFDTGVFSNDGKEVESRDRQVENGKVSPYFETGGDCVLARYDNIISNHGKKKWQFQNPKVSACFQKGRDCVLTKCDKEEKPHVLIRKVSPYFQKSGDCILSQGENKKPRDKKVGNPKVKKDTLGGSVVKVSPYFQKKKDNENCRCGSVVKVSPYFQNENCSGGSVVKLSPYFQKKKDNENCSGGTVVKLSPYFQKKDNENCSGGSMVKVSPYFQRKKDNENCSGGSVVKLSPYFQKDNENCSGGSVAIVSPHSQKTKKTKNRNFFSNGLLKPVGVKTTLSADQKKNVAYLRNAPDNTWMPPRSNDPLIQEDYAHDPWRILVICMLLNQTSGSQTRKILSDLFALCPDAKTATEVSTEEIVKIIQPLGFQKKRAKMIQRMSKEYLFKEWTYVTELHGIGKYAADAYAIFCTGMGHRVIPMDHMLNYYWNFLYGPGGLLLNRMPQMQFFDRNGAWRNSHRSHA >OMO77522 pep supercontig:CCACVL1_1.0:contig10735:28312:29760:-1 gene:CCACVL1_14986 transcript:OMO77522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQSVCGDGAILSSSLLSMFTHMT >OMO77521 pep supercontig:CCACVL1_1.0:contig10735:10716:11202:1 gene:CCACVL1_14984 transcript:OMO77521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MAVAVPVGVLLALGVVLLCTAQVSSDVKIEQDISAVGVGEMVVVRARGGNRRAMQEIDCGELCKVRCSLNSRPNRCKRACGTCCVRCKCVPPGTSGNRELCGTCYTGMTTHGNSTKCP >OMO77519 pep supercontig:CCACVL1_1.0:contig10735:2903:3451:-1 gene:CCACVL1_14982 transcript:OMO77519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MVGGPFYTVKLGRKDSLESKISAAEGNIPRANSSMDETIKMFESRKFTVQEMVALTGAHTIGFSHCKEFAYRLYKYDKNTPTDPAYNPKYAAALKQVCGNYSKDEAMSAFNDVMTPSKFDNIYYKNLQRGLGLLESDNVLVKDPRTKPFVELYAMNQDAFFRDFGRAMEKLGTYGIKTGRKGE >OMO77526 pep supercontig:CCACVL1_1.0:contig10735:62180:63736:1 gene:CCACVL1_14990 transcript:OMO77526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFLQKSSLANHFRSSSQKIDGSMAVPSRAFHVEPGAREKALLAEDPSLRRFKSHKKGVARVKRIGDVLTIVVVAGCCYEIYVKAVMREEARNKAKAAGRE >OMO77520 pep supercontig:CCACVL1_1.0:contig10735:3843:9348:1 gene:CCACVL1_14983 transcript:OMO77520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 47 MARVCPFYHAYENYMTHAFPHDELKPLTKSFTDSLSELGNLKLQHLPQDYNGSALTLIESLSSLVIMGNNTEFERALTWLSENLTFNVDARINLFECNIRVLGGLISAHILATDSTNRLVQGYYNNQLLNLAEDLGRRFLPAFHTPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGALSRLTGDPRYESAALRALRKLWSMRSSLNLLGTTLDVITGEWIEYSSGIGAGVDSFYEYLCKAYILFGKEDYWRMFHSAYLAVQKYFRYGPWYHEADMRTGKPTYWQLTSLQAFWPGLQVLVGDIGAANSTHREFFYVWQKFGVLPERYLLDHQMLHPTEKYYPLRPELAESTFYLYQATKDPWYIQVGESIVNSLNSYTKVEGGFASVRDVTTMQLEDHQHSFFLAETCKYLYLLFDDSFLVDRNYIFTTEGHPLPVFSSWHERLPETYIPTNWTYAKSEQQTRRASAMSLQVCPAIALRSGHGVQQIESACHIPDSRGDHRCFSDEECGVDSSACRRRSCSMAGYCGLWV >OMO77525 pep supercontig:CCACVL1_1.0:contig10735:59982:61858:-1 gene:CCACVL1_14989 transcript:OMO77525 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative thiol-disulfide oxidoreductase DCC protein MCCYNGGSDAATCTTYAVGSFKVDEGIGRDRCNHSPSVHLQTLTSPPIYGTGRKYQIRAIQEATADSLTSQSQSQKVKTDEDEQQSPQNWKIKMLYDGDCPLCMREVNMLRERNKQYGTIKFVDISSEDYSPQDNQGLDYTTVMGRIHAILSDGTVVTDVEAFRKLYEHVGLGWVYAITKYEPVATIADSIYGVWAKYRLQITGKNMPHWGLNSVLKFLSSIQAEIEN >OMO77524 pep supercontig:CCACVL1_1.0:contig10735:52872:57858:1 gene:CCACVL1_14988 transcript:OMO77524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like protein MSQKRPQEDSKARPSEGNSPDQDKRRRVPSLRNVVQEVMKLQSVQHLLEPVLEPLIRRVVKEEVEVALRKHLNSMKRNSGKEADSTESRSLQLQFLNNLSLPVFTGARVEAEECSAIKLAIIDALTGQIVTSGPESSAKVEVVVLEGDFDGDEGENWTLEEFKNNIVREREGKKPLLTGDAFITLTEGIGFVGEISFTDNSSWTRSRRFRLGARVVDGSDGTRVREAKTESFIVRDHRGELYKKHHPPALSDEVWRLEKIGKDGAFHKRLSRENINTVKDFLTMLFIDPTRLRHILGTGMSAKMWEVTVEHARTCVLDKRLYLYCPPASQQKSGVVFNIVGQVTGVLSECQYVTIDKLSENEKIEAQNLVISAFEHWEEVISFDDEASLIGGCSKLANISYTSSAKTEISNNKFLSSQKMGGFDYTQPSASSPDIISTIYSVGGMNGLDDYALHGIENMGLNRYDQSLSYPGQVTNSLICDTDISQTFCDEDHLRFFDTDLQPQGLGLESQADLQTAVDGFLLQRTVAVQAKTRWTKIFSLLKWISIKIRVKNKFRGVRYSNGL >OMO77523 pep supercontig:CCACVL1_1.0:contig10735:50815:52600:-1 gene:CCACVL1_14987 transcript:OMO77523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFGWERGTRQSAFWTRKIKSCGSRISDTPFPFLSFVPIQAKPTLYYGSTRNYKSEAHPPICNSANLVIDFAHSFQNCPTLLLSEISSLPLQKVRKSHGRLAAHSTTMGGSITVDGVEREQAREGLGVGGNKLEKKNKVT >OMO60004 pep supercontig:CCACVL1_1.0:contig13822:3133:6712:1 gene:CCACVL1_24471 transcript:OMO60004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIQTCEALRACGPPLFSSIKLAPPKRFRNCKFSVQNSSLKNDSRKLILEVKEKLEKEHYSLPVGKNGRDDEDMILWYLKDRRFSVKEAVAKLTKAIRWRQEFGVSDLSEDKVKKMAETGKSYVHDFLDINDRPVLIVVASKHLPAVHDPLEDQKLSVFQIEKALSMLPAGKEQLLVIVDLRGFSTQNADLNFTTFLFDVFYYYYPKRLGEVLMVEAPFVFKPIWQITKPLLRQYASLVKFCSVETVRKEYFTEATLPVAFRE >OMO60005 pep supercontig:CCACVL1_1.0:contig13822:8161:8556:1 gene:CCACVL1_24472 transcript:OMO60005 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding protein MTSPVVTSLVPEAGPLHSSAYVVRFYLPAKFQDAPPTPLPELNLEPYTWESHFVAVRKFSGFATDDKVLKEAANLATSLSLSPWANSTTATEYSYSIAQYDSPFRLIGRNNEVWVDVDASAFVDSDRKATF >OMO60007 pep supercontig:CCACVL1_1.0:contig13822:15187:19961:1 gene:CCACVL1_24474 transcript:OMO60007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGWGDGVAIRSFLAASNGGQNCRNIPPLFCPE >OMO60006 pep supercontig:CCACVL1_1.0:contig13822:9230:11576:-1 gene:CCACVL1_24473 transcript:OMO60006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside phosphatase GDA1/CD39 MEPKSPSKVKLSVTGFSRWKRVLKVLSFGFLILLSLIGVYLAYSFVKARNVLESSYYTVVVDCGSTGTLVNVFEWEKRGLVNQGLPYLVHAYPDDSTKSPLWKKSCHYHCMQTEPGLDKFVGDASGVRASLEPLIVWAEQRVPREIHGNTPIIILATAGLRRLAAEDARQVLDHVEVVVREHSFAYSKNWIRVLTGKEEAYYGWLALNYKMGTIGNSLKADTFGLLDLGGSSLQVVVEVADEDGNENFMTSNIGSTTHDILAYSLPAFGLNEPFDRTVVMLSQNQMDRGLTTTNRFEVRHPCLASDFVQNYTCSGCAMPNVTALENSGSHMHAQFSLTYLVGDPDWEQCKKLVKAAAMNYSGPDWSQQLVGRNCEANISSFSGSIMLNLKAVAHYSGRFHALSGFFVLYDKLNLSRRASMTEIWEKGEQLCSRSSTKLSKNGGQYCFRVPYVASLIEDALCLGNAEIIFGPGDLSWTLGAALAYGLDTTETLASISTIKTMKIF >OMP03501 pep supercontig:CCACVL1_1.0:contig06059:10898:11011:1 gene:CCACVL1_02398 transcript:OMP03501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRRRQETGCSNKVKKEMRGVRLGADVGKGKKGSL >OMP03502 pep supercontig:CCACVL1_1.0:contig06059:16188:18173:-1 gene:CCACVL1_02399 transcript:OMP03502 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein MPSKLKKALGAVKDQTSISLAKVVNTNSSNLEVAVLKATSRDEEPIEERYVNEILQTISSNRVHAAICAHVIAKRIGKTKNWVVAIKCLMLVLRIFQDGDPFFPKEVLQARNNGAKILNLSTFRDDSNSSPYDFTAFTRAVAFYLEERLDCFVTGKLQRRFTYKERQNSHPRSRRVNQQPVRDMKPPMLLDRIFYWQRLLDRALGTKPMGSAQGNRLVQVSFYAVARESFDLYRDISDGLGLLLDSFFHLQHQSCVNAYQYCLKATKQFEELSSFYDFCKSHGIGRTSEYPSVQRISDELMDTLHEFLKDQASFPSPCSHNSLAPKEEYSSENRERELQCTSLEDLMSRTGISDHDPDHSGSMTPSFSVDRFSEVSEKQFLEQEDMYNVTETGSNHSLPIYQGATVTRDFVSFDEWPEEDQKPEQSCWAQPSQNFFEDDWLQQDDQKQEQSSQNGTMGNSYINDWLQDHENHEQVDSHYVANGNSFFEDDSAFNSSSWGNNNGVGWELALVETEPKPAQVSQPCMAIELFDPKPVAQRPYNPFVDDEPDLSTAIVTTSNDNSSFPCDDFSFQTTPTFFAQNPTEIVPPTFQATATPASVTQDVHGTTTAAFQGNFEDDPFAPWPAATEANNSNVPSDQQNVLVQQELWLQNQNKIISRHIV >OMP03499 pep supercontig:CCACVL1_1.0:contig06059:5445:6273:-1 gene:CCACVL1_02396 transcript:OMP03499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRNVAKSSSIIGIVTNGPLNGSLIRREEVCSILFNVPVEQRNFKVSSFGLIILRLLGLE >OMP03500 pep supercontig:CCACVL1_1.0:contig06059:7479:9209:1 gene:CCACVL1_02397 transcript:OMP03500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MHPSEPPPLPPPSDLSESHPPQTLLDLITSVLSLLLISSLTVRSFVGRWQVLRSKLCSLQSSLSSISESPHWNENFLLHTLFPSLLATLQRLKALSDQCTHSTFTGGKLLMQSDLDIASSSLSNYLHDLDLLLRSGVLHQSNAIVLSHPGPGSDKDDLGFFIRDLFTRLQIGGIEFKKKALESLLQLLTTDEKSPPLVAKEGNVGYLVNLLDVNSQPSIREYAVVAVSVLASSSEESRKIVFEEGGLGPLLRILETGSIPLKEKAAIAVEAITADPENAWAISAYGGVSVLIEACRSGSQPTQTHAVGALRNVAYVEDIKMALGEEGAIPVLVQLLVSGTTAAQEKAANCMSVLASSGEYFRSLIIQEKGLPRLMHLIQDLSSSDTIEHVLRTISSLAVVDSVSRILSSSTVFIIQLGEFIKHGNMILQQISASLLSTLSISDGNKRAVSTCMGSLVKLMESPKPVGLQEAATQAIVSLLAVRSNRKELVRDEKSVMRLVQMLDPKNEAVSKKFPLMVVTAVLSGGSGGCRKRLVTAGANKHLQSLAEMEVTGAKKALQRLAGNRLKSIFSRTWRE >OMO60376 pep supercontig:CCACVL1_1.0:contig13730:16690:17516:-1 gene:CCACVL1_24203 transcript:OMO60376 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative multidrug resistance protein-like protein MASKGDGLFYYADGIDKLLLLFGILGSIGDGMMSPVNIYILSGLGFGKVPMNIRA >OMO60375 pep supercontig:CCACVL1_1.0:contig13730:12464:13918:1 gene:CCACVL1_24202 transcript:OMO60375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNTCHDSNPEFTRPKEPELDLYTIPSHSTRVQKSWDIYSHEIIMQRILMKSEDPTMMSLLSWAFLRTLDAAPNGY >OMO60373 pep supercontig:CCACVL1_1.0:contig13730:1008:1382:-1 gene:CCACVL1_24200 transcript:OMO60373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSWTSWLSRLSPSNYAVP >OMO60374 pep supercontig:CCACVL1_1.0:contig13730:1972:2868:1 gene:CCACVL1_24201 transcript:OMO60374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MAMEFIVENIHKEIIKPCSPTPLHLKTSKLSFLDQIIPMDHVPLIFYYPNDNITEVEARSQLLKKSLSETLTRFYPFAGRLSSSSSIECNDQGVDFVETRVNCRLQDILKQHDGGESITKLGPEPIMVSKEAETRPLVLVKVNFFECGSMAIGISFSHKVADLSTMKFFIKTWAAMAQAKGSSEVVLPVPYFTIIPSLFPPILDLPVTSESDVMGQLRKDVASRRLVFDASKISMLKAQVASRDVPQPTRVEAVVALILKCAMAAATTNRGGFKKQSGLVHLVNFRKRINHSQAHGSP >OMO63495 pep supercontig:CCACVL1_1.0:contig12957:6593:6688:-1 gene:CCACVL1_22398 transcript:OMO63495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLSTVDGKLVRANKEFDFAEEIVYNPLQTPVM >OMO97544 pep supercontig:CCACVL1_1.0:contig07228:3696:10317:-1 gene:CCACVL1_04516 transcript:OMO97544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MVLFSLYYVVEPAPGVDLEGLEVARECLTEVFKLDSASINDVKPDILVDLFSSLETTKDQRIQSDISNRGASDNVPSSSSPHDVNRANSTEASKMEDNWTREPQSAGLCKDELFGQFFAALEKIHFFRALPDGNDDPSQLDKASRLFQDAVNEMERSGCQAFDRKNLAETFKSQGNRAMQSKQYTDAIELYSIAVSLCDNNAVYYCNRAAAYTQVHKHTEAIRDCLKSIEIDPNYSKAYSRLGLVYYAQGNYADAIEKGFKKALRLDPNNESVKENIWVAEQKLKAEQQRAEWDRNASSSHSNQGSNNHSTGSSGHGSSSSFTMPFDTSALPSDFASMFRNMVGSAYQGQPSQNRQGEDVNPNGSEEPGIRLGGNINMNFGDQMQMQLPEELTGAFRSVMEMFSGSPPHGNPQDTNGRSAPS >OMO97546 pep supercontig:CCACVL1_1.0:contig07228:20132:29437:-1 gene:CCACVL1_04518 transcript:OMO97546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYTKSTNEINIRQAKIPSQRMKRLIASLFKGGPKGRTEEHNKKRNDGFIEESRFGAGE >OMO97545 pep supercontig:CCACVL1_1.0:contig07228:13995:14249:1 gene:CCACVL1_04517 transcript:OMO97545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MDLTSPGRNLPDPYKQPRNGVKIFKPIVAALFSLPMPTIAVVTGHASTVGFAFALSHDYIIMSRDRVGHWANASRLFQGKDQIA >OMO74998 pep supercontig:CCACVL1_1.0:contig11069:33187:33345:-1 gene:CCACVL1_16368 transcript:OMO74998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRFQLLVESDDRFEVVVPRTFAMLCFRLLPTALDKKQNGFNKNIGHKSNL >OMO74993 pep supercontig:CCACVL1_1.0:contig11069:16366:21309:-1 gene:CCACVL1_16363 transcript:OMO74993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPSHLEKMGRELKCPICLSLLNTAVSLTCNHVFCNACIMKSMKSGSNCPVCKVPYSRREVRPAPHMDNLVSIYKSMEVASGFNIFVTQNPPPENSSDDENRVENVINCSGRVDNRRTTKRRKSSLAKSTTENSDPINVKPSFPTKKRVQVPQYPLSDTHIPAAKLGSGLAESTKDEPKRSSVIEKENYVIKEKGEPILSPFFWLREETAEKSSQNTDGDQFLFTTPPEIPSFSDMKDSDDEGFYKEEVHRNTDHVNLFDSEMFEWTQRACSPELLSSPVKLQITKTDKPAIHSANTVEPSTSNGTSMATRGDASEEMLPNMPSSRSKTRSHKSRGICRKVGKRAQKKTAERNTRQFSQNDADPGKGSESFNQNQAAGNSVSSSYMAKGMKNCEVVGLDDLDTELIAPSENAEIPNQDDTNMVKKLPARAGKKKKQNISLAKVALAKEDSTIHNQTNENISLENSTLPIPLADNKGAKQLGEGSSIKRVREVKSALSSKSEKNVNCKKKMKVSFTGDTKIWLAEDRHQGNSNASIEGSSKKIGGSPYVDILDGSTAKELPLANGVALRKCEAVTSKTQCAFCLSKEDSEASGEMVHYYDGRPVPQDYNGGSKVIHSHKNCTEWAPNVYFENEKAINLEAELSRSRQIKCCCCGLKGAALGCYEKSCRKSFHVPCAKLIPQCRWDTENFVMLCPLHASSKLPNEKSESQKSRKRTKGHLPVDHNKVPMHYGNHVQKKWNPCGTPNKLILCCSALTVIEREIISEFEKLSGVTVLKRWDSSVTHIIASTDENGACKRTLKILMGILEGKWILNINWVKACIKAMKPVDELEYEISMDVHGIRDGPRQGRLRLLNKQPKLFDGSKFYMMGDFEPSYKGYLQDLVVAAGGNILHRKPISGDQEAPKSGSLVPSTFIIYSIEFPDKCTKSKKDKVLHRRKSDAEALASSTGAKAVSNSWVLNSISACKLQSLGE >OMO74996 pep supercontig:CCACVL1_1.0:contig11069:31579:32509:1 gene:CCACVL1_16366 transcript:OMO74996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDQLFRNASDSRGRNLHIGPFDLDLLGEAFQMRETARVELPLAEKGIPTMVSKSKAESKEKKRKHRKQKDKNKEKDKSHKKHKHHHTDIPKDKNKGKTRHHASAPEHLAKPQDKKRRYPGNEDVFDVHRPQNGQKKPAVIYWADQYAFFGFVILRILED >OMO75000 pep supercontig:CCACVL1_1.0:contig11069:35691:36739:-1 gene:CCACVL1_16370 transcript:OMO75000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent decarboxylase MGSLSSMNNIEPAGKSFPNVVNINPLDPEEFRRQGHMMIDFLADYYQNIDKYPVLSQVEPGYLRKLLPMSAPDVGEPVEAILEDVQKHIIPAARDQMLTKIGRHNIGKLVVYGSDQTHCALAKAAKISGIAPHNIRAIRTKMETLFGLSPESLRTTIIKDVNAGLIPLYLCATVGTTSTTAVDPVGPLCNVAQEYGIWVHVDAAYAGSACICPEFRHFINGVEGSN >OMO74999 pep supercontig:CCACVL1_1.0:contig11069:34016:34237:1 gene:CCACVL1_16369 transcript:OMO74999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQVVPYITPPPPQFPAKRKVWGSSSIFPSQSMTIDSSSVAGGDDIQLNPTTLKPVLSTSPRKPATLPLEGK >OMO74994 pep supercontig:CCACVL1_1.0:contig11069:24368:27170:-1 gene:CCACVL1_16364 transcript:OMO74994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MMKKKSNAYGRMSEGSNINTTSSSSSTITEEEIEWEMRPGGMLVQKRTENADVSAPDIRLRVVYGAVRYEISVQSQATFGEVKKLLTAKTGLEAGEQRLIFKGKERENGEYLDMCGVKDRSKVIVMEDPASIERRFIEMRRNAKIQAAHRAINDVSMEVDQLADQVSAIEKSISNGVKVPDVQITTLIEMLMRQAIKLDNIDAEGDAFAQKNLQGKRVQKCVESLDMLKISNARVKPVIVTTKWETFEPAPALAQWEIFD >OMO75006 pep supercontig:CCACVL1_1.0:contig11069:66151:67423:-1 gene:CCACVL1_16376 transcript:OMO75006 gene_biotype:protein_coding transcript_biotype:protein_coding description:ParB-like nuclease MANFVLQLPTSNLRNFSIHASSNGAPPGTGSQNGGPVVLELPLDKIRRPLMRTRANDPNKVQDLMDSIREIGLQVPIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTKETLRHHLR >OMO74997 pep supercontig:CCACVL1_1.0:contig11069:32713:32772:1 gene:CCACVL1_16367 transcript:OMO74997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGGQKENPMDEDLVRL >OMO75008 pep supercontig:CCACVL1_1.0:contig11069:72019:74713:-1 gene:CCACVL1_16378 transcript:OMO75008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MKTRKSTRSTSTSSSSSLSNTPTTSTTPLFPSLFSNNMARKSSLFKQTLIATVCLLLAIYALFSIFFHVPLPSSSDPSSTDDGPVDISRVNFPGNQGDASFSSVSDAKVKVFMYDLPLKFTYGLIEQHGLARGGKPVDDVTKLQYPGHQHMHEWFLFSDLARPQSERLGSPIVKVTDPEEADLFYVPVFSSLSLIVNAGRPPGSGSSYSDENMQEELVEWLQGQEYWRRNNGWDHVLIAGDPNALYRVVDRVKNAILLVADFGRLRPDQGSLVKDVIIPYSHRISAYTGDFGVEDRKTLLFFMGNRYRKEGGKIRDLLFNLLETEEDVIIKHGTQSRENRRAASRGMHTSKFCLNPAGDTPSACRLFDAIVSLCVPVIVSDSIELPFEDVIDYSKFSVFVETEAALKPGYLVSLLRKVPAQKIIEYQKAMKELRRYYDYTHPDGAVNEIWRQVSQKLPLIKLMINRDKRLVKRELTERNCDCLCSNETGIISSL >OMO75005 pep supercontig:CCACVL1_1.0:contig11069:55820:65499:1 gene:CCACVL1_16375 transcript:OMO75005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nck-associated protein 1 MAKSRQHYSSQDSSLSPTAGRSREWDGPLRWTEYLGPDMTSPMASRSSRYMNSDGQVQSSGVGSHKGLNMQWVGQLIDVADGLMAKMYRLNQILDYPDPIAHAFSEAFWKSGVFPNHPRICILLSKKFPEHFGKLQLERVDKPALDALSDSAEVHLQSLEPWVQLLLDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLFSEKLPRKMMLQVYNLLHAMSRNDRDCDFYHRLVQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPMRAQDLANVTAYREWVLLGYLVCPDELLRVTSIDIALVVLKENLILTLFRDEYVMLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYSVAKQVEKMISEVHEQALVSCDAIHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQCEVIWYFQHVGITSSKSKGARVVPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKTLFQQIVQHLENIPKPQGENISAITCDLSDFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASAIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALEMQLLPEQAAFYLNNASRVSSASARSPKGAVGYPLPGQESYPENNNSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFRRRLLAVLKTDNDLQRPSILESLIRRHMNIVHLAEQHISMDLTQGIREVLLSETFSGPVSSLHLFDKPAEQHSGSATEVVCNWYIENIVKDMSGAGILFTPMHKCFKSTRPVGGYFAESVTDLGELQAFVRIFGGYGVDRLDRMMKEHTAALLNCIDTSLRSNRELLEAVAGSMHSGDRIEREACLKQIVDLETMIGFCIEAGQALAFDNLLAEAAGAVLEEGAPLIHSLLAGVVKHIPEEMPEKREIRRLRGVANSVALAGDHDSEWVRSILEEVGGANDGSWSLLPYLFATFMTSNIWNTTGFNVDTGGFNNNIHCLARCISAVVAGSEHVRLAREHHQRQVLSNGHAGDSLDPDIRVSAEASIKSAMQLFVKFSATIVLESWNEANRSHLVAKLIFLDQLCEISPYLPRSTLEAHVPYAILHSIYSQYYTNSPLMPLALLSASPRHSPAVSLAHASPIVRHPRGDSTPQYSVNDSGYFKGSSSHSQEHLYETESGNLRGVENRHRNVRRSGPLDYSSSRKVKYPEVSATGSTGPSPLPRFAVSRSGPISYK >OMO75001 pep supercontig:CCACVL1_1.0:contig11069:39748:42104:1 gene:CCACVL1_16371 transcript:OMO75001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAIQAVFAAILSFFLVSLILACVILICRSAKKRNRQNPPQTRSLTRTRPAPVPSDLTTCDSAAFDPSIHRLDMAELAAATKNFSSDLIIGDGSFGYVYKATLSNGVTVAIKKLDPNAFQGLREFRAEMETLGKLRHQNIVKILGFCSTGLDRVLIYEFIERGSLDQWLYDTSATDEQENSAGRFPLSWETRKKVVKGIANGLSYLHGLDTPIIHRDIKASNVLLDKEFEPHISDFGLARQVQEAHSHVSTQVAGTMGYMPPEYREGNTAATPYADVYSFGILMIEIATQNRPNWPVRFEGKDVGLVEWARKMVAQGRQVEMVSDKIPRKELVENEVTKYFEIAFSSNVRQGSAARLPFVDAYLSKMKQNPKLLANKKIWSRRSTILPEFVDQTVRIYNGKTFIRCKITEGKVGHKFGEFALTRKRKNMRNKQEPVKKKGKK >OMO75010 pep supercontig:CCACVL1_1.0:contig11069:81903:85630:1 gene:CCACVL1_16380 transcript:OMO75010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASVVSKSGKVILSRQFVDMNRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLLVTTKQSNILEDLETLRLLSKLVPEYAYSLDEDGLAKTAFELLFAFDEVICLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIEKSKIDKGRGGDKGGFMSMGSGRIESSFSDMSISSSGSGFGSGSGFGITTDVDSFSTKPKGRQPSSATAPPKGLGMQLGKSQKTNQFLASLQAEGELIVEDVQPKAGQSRVAAAAPTDPITLTAEEKLNVTLKRDGGISNFDVQGTLSLQILNQEDGLIQVQIETGGNPGILFKTHPNMNKELFSNENILGLKDPNRPFPTGAGDAAGVGLLKWRMQSADESLVPLSINCWPSVSGNETYVSIEYEASSMFDLRNVVISVPLPALREAPSVRQIDGEWRYDSRNSILEWSILLIDNSNRSGSMEFVVPPADSSVFFPISVRFSATNTYSDLKSGVELSIFLISAIFLTFSTFNFNCKDVVLINARDSLRGEMLLQVVNVIPLRGGAPPKFAQKTSLITENYQVV >OMO75009 pep supercontig:CCACVL1_1.0:contig11069:78227:79819:1 gene:CCACVL1_16379 transcript:OMO75009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSSLARLLLKSTRRKFHGIAGNNEVSNWRAALKNDLAIKFPKERKTASIYKVPVKMREVQPDAYVPNIISIGPYHHGDKRLQEMEELKWDFFHRLFGPKKAPDIAVELDHVMNAMEDLEQEARKCYWDEAEQIKKDKFVKIMLVDGCFIVELFRELKQSNFHYCPSVKRWMLPTLRRDLIMLENQIPLFVLQRLFKLTRRSKESTLEELALMFFNPLLKREHSNNPDIPKGIGEMQHFLDLFRLSILPNPKMVTRAAESDMKRSMTELMESGVVVEKSSVNRPPLDVRCDGRWLQIPPLYIDDYKGTLIRNMVAYEQCHSSNCKPADVTSYLFFFDGLINSAHDVELLHHKGVLQHSLGSNKEVAKLVNGLCKEIARDSRESYLHEVVFDINSYCDSSYAKIRARLVHHYFSNWVVGISTFGALIVLYLTVIQTCYGYVKDQPQFDKPFHHHLLDSITLPILHLFTFEKNQEESDEPEFDEPFHYHLLNSIIVPICQLFTLGKNKEKFWHESTDDEYFQTVPHWVLSV >OMO75002 pep supercontig:CCACVL1_1.0:contig11069:44261:44335:1 gene:CCACVL1_16372 transcript:OMO75002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAKPKASSHSQFFILILTNLPP >OMO75004 pep supercontig:CCACVL1_1.0:contig11069:47788:51262:1 gene:CCACVL1_16374 transcript:OMO75004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60 MSVSSSLSLIPRIASFSITNVDGSRRTSGLLRKPIIVRSLMVRAGPKKISFGRNCREALQAGIDKLADAVSVTLGPRGRNVVLSESDKLKVVNDGVTIARAIELSDTIENTGAMLIQEVASKTNDLAGDGTTTAIILARAMIKFGLLAVSFGANPVSVRRGMDKTVKELVKVLKKKSLPVKGREDIKAVASISAGNDEFVGNLIAEAIEKIGPDGVISIESSSSSETSVIVEEGMKLDKGYMSPHFITNQDKSLVEFDNAKVLVTDQKISTVQEIVPVLEKTMQLSVPLLIFAEDISMKVLETLVVNKKQGILNVAVVRCPGVMEGKKAILQDIAIMTGADFVSGDFGLTLAGVTSDQLGIARKVTITSNSTTIIADPSTKAEIQARITQIKKDLTETDSEYLSQKLSERIAKLSGGVAIIKVGAHTETELEDRKLRIEDAKNATFAAMKEGIVPGGGATYVHLSEQIHIIKNSMEDLDERIGADIVAKALLAPSKVIATNAGVDGEVVVEKIRTSDWRIGYNALSGRYEDLIISGVIDPCRVPRCALQNAVSVAGTVLTTQAIMVDKIKEPKTFVHDLPGLGPFAP >OMO75007 pep supercontig:CCACVL1_1.0:contig11069:67734:69446:1 gene:CCACVL1_16377 transcript:OMO75007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad (HIT) protein MAALTSFALLRNFARTGRTVASVRPSPKLSPYPTSINFLTANPPRRFVCRASAKHDEEAAAKAAAVNADTGAPTIFDKIIAKEIPSAIVYEDDKVLAFKDINPQAPVHVLVIPKFRDGLTELGKAEPRHEEILGQLLYAARIVAEKEGIVDGFRVVINSGASACQSVYHFHLHVIGGRQMKWPPG >OMO74992 pep supercontig:CCACVL1_1.0:contig11069:14898:15934:1 gene:CCACVL1_16362 transcript:OMO74992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRGRDKAFSSPENARPSTSGNHRRHGSPRNHQDITFEGIAANVKLLLKLIHEQSLMSNVDQDDRKTQRMAGMITILDDVKTRIQKCYSPTPAVAKKDFRRCNSDLKPQRAPRDPKRDHVAVDEKEMLKKEIHANCAARKSLEAMCSSLGKEKEIMASELSRKVQELNGMEELINDLKAQNETLLGKVQICYEEHRDNKKYGGGGDHQTQVAAAALQDRNKALSEQLLKSLDGYRSLKRKYKDAREKNVRFETTMEEMGVEVAEWLDRIHGLKQQLATTKVEKEDIVKEISALECLFQSFNVKMSTHMEDKSTPN >OMO75003 pep supercontig:CCACVL1_1.0:contig11069:44802:46968:1 gene:CCACVL1_16373 transcript:OMO75003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage H-protein MASRMLWASRAASHLRISVFHRGFSTVLKDLHYAESHEWVKVDGKSATVGITDHAQDHLGDVVYVELPDVGAEVKQGEGFGAVESVKASSEVYSPVSGKVVEVNEELKESPALVNSHPFESGWFIKVEMNDAGELKNLLKPEEYSKLCDEENSSH >OMO74995 pep supercontig:CCACVL1_1.0:contig11069:28712:30749:-1 gene:CCACVL1_16365 transcript:OMO74995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARTDGRFAGDCRSCYRLLDVRRYFFFLLTGAEESPYWLSEAVSKVKRSWRHHHGDWGEGLPRNVSSTDTGKQKADYTNMEHLGPKM >OMO74991 pep supercontig:CCACVL1_1.0:contig11069:10111:10807:1 gene:CCACVL1_16361 transcript:OMO74991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSVQEKADAAIPVMTNSPPEGNTTFLDGTTWCVALPGVSQIDLQNALDWACGMGMADCGAIQEGGHCYEPDTLVAHASYAFNNYYQQNGNSDIACNFGGTATLTKHNPSYGKCLYAAPGSVGSAAPPLWKLKSSLLWWEIVGVLLLLLYKGS >OMO96516 pep supercontig:CCACVL1_1.0:contig07454:5933:9843:-1 gene:CCACVL1_04919 transcript:OMO96516 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MKKSEHFSLGKRTPPRNSSLLALSLDSLDTNSVTSIEVDLEKAYDRIKWSFLQQVLEEIQLPTSWVNLIMNIISTNTFSLIWNGQHLPFFSPSRGIRQGDPLSPYLFILCLEKHGHLIDEEVRLNRWKPLKITQSGPIISHICFADDLVLFGEASTTQLDVMMDVLNKFCEASGEKISLVKSKILVSFNISQQVALSLSNYCGIALTEDFGKYLGAPMIHGRVTRNTYSDILSKAQARLLSWGNQYLSMAGRVILIQSVLSALPSYIMQTTLLPSGVTLLKKKYLRQHDFFSVKSKSTDSYTWRSILQGREVLSMGLGINISNGKNTCFWLDAWLDDKPLLESALRPLSATEVDLCVAAYCDEFGNWNLQELYDLLPIPIVSKIASVWINTEDHEEDSWFWKLECNGIFSTKTAYEIQTQPMMPSSLQWNKIWTLQGPNKIKIFLWRVLHDSIPTAAFLHHRHILSSSSCFICSSNVESALHALKDCTRARHTWLSLRPDLIQGDFFALDINDWILINCGCRDDFFGIPWNFIFMFALWFIWYWRNCLLHDQDFIWLDQCSQLINTRAKEAADVSSFLNTRLKHNILIGWRMPQGHCVKINVDGCSRGNPDDSAAGGIIRGSNGGWLGGFTFRVGISSIMVAELWAIYHGLLLGWNKGFREMLAFKGVCSVKRKEERWHRISYREWDITYVRFPCLLFPSVGSDREVKERIAAREIVNLDIQKNMADAFTRDVSYRHCIDRAKYLEHILEQTHESKSVDIESSENIRQSNFSLGQSSKRSKRSSSPQRTSPGTPNTSSISRRSGTCKSMVPQCGNCRTFHEGRCWSPRCYHCREPGHVQAHCPDKMFK >OMO86856 pep supercontig:CCACVL1_1.0:contig09399:33432:35757:-1 gene:CCACVL1_09420 transcript:OMO86856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLETEKKTSVEAAMNARIIGSGNETMVLAHGYGGDQSVWDKILPYITQHYQVVVFDWTFSGAVKDPNLFDPLKYSSYHPFADDLIALMDELNLSSSVFVGHSMSGMIGCIASIKRPDLFSRLILVAASPRYINIDDYEGGFDDAAIDNIISNIESNYENWTSAFPNLVVDNNDPLSIEQLEKCLKRMRHEFAVPLAKTVFRCDEREILEKVTTPCTIVQTSHDIVVPDSVGYYMQKKIKGKSTVETVKTNGHFPQLTAHLEFLDVLGGVLGFEI >OMO86854 pep supercontig:CCACVL1_1.0:contig09399:11657:19119:1 gene:CCACVL1_09418 transcript:OMO86854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLESSLAVKVFKNAGLIIKGIAKTCFVFISAHGLNRDGYFISWAPSTLSNAMTFHHGN >OMO86857 pep supercontig:CCACVL1_1.0:contig09399:46362:46436:-1 gene:CCACVL1_09421 transcript:OMO86857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAPYDQLQMIERLVDVPQPTSVQS >OMO86855 pep supercontig:CCACVL1_1.0:contig09399:27865:32317:-1 gene:CCACVL1_09419 transcript:OMO86855 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxyisobutyryl-CoA hydrolase-like protein 3, mitochondrial-like isoform 1 MLSNCTIGKETSSRRTGRDGEKKDHPNEVAFSLVTVTVIKAKLNLESMKCFSVASSSVSKFANKSSLRFPLFSLPNIPVTSPATPATARFAYLAPRSLRFSNSSRSSLGNFSLSSRRDRHFSTMAAAEEFVKGNVYPNGVAVITLDRPKALNAMNFDMDIKYKQILDEWELDPKVKCVLIEGSSPRAFCAGMDIKGVVAEIQKNRNTPLVPKVFTAEYSLVCKISEYKKPYISLMDGITMGFGIGLSGHGRYRVITERTLLAMPENGIGLFPDVGFSYIAAQTPGEGSVGAYLGLTGKRISTPSDALYVGFGTHYVPSGNLVSLKEDLLASTFSEDPHKDVTALLAKYSNNPESEAQLKLLLPHITSCFSADKSVKDIIEELKKHQQSAEASVAEWANEALQGIGKGAPFSLCLTQKYFSKVASGYLKHGNEFTTLSGVMKTEYRIALRSSLRYDFAEGVRAVLVDKDQNPKWNPPSLDEVDEKEVEAVFEPLGPGVEELKV >OMP05440 pep supercontig:CCACVL1_1.0:contig05391:180:927:1 gene:CCACVL1_01904 transcript:OMP05440 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger protein ZAT5-like protein MEAPEEVAMGNNNSKDYNNIVKGKRTKRLRPQSPIPFAIASNNSIYNGDNGAESSWKQLLTEMVARPDIMFTSAKLVTEPSLLSKPLAVIGLVIRSPRPPPLVVQLPLLMRRLDNSSRPPQPQQQQEQEEEIYQMKKKDNSSRPTTFLPFLFN >OMP05441 pep supercontig:CCACVL1_1.0:contig05391:5944:8761:-1 gene:CCACVL1_01905 transcript:OMP05441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MAFSGDLLSLIISANIFTLLITIVNAAEIFLEWNVAVNTSLSPYLSQQQPVITINGMFPGPVINATTADIIHVNVFNNLDEPLLFTWNGIQQRLNSWQDGVSGTNCPIQPGKNWTYEFQVKDQIGTFIYFPSINFLKAGGGFGPIRVNNREVIELPFPKPEAEFDVLIGDWYLKSYKEIRSMLKNSTMAYDISPDKMLMNGKGSSIDADSKIFETFTVTKGKTYRFRISNVGTAWSFNFAIKEHKMVLVEVEGSYVNQITVENLDVHVGQSYSVLVTANQVENDYYIVAAPKMVNDSDLSNYLSLLSVGVLHYDRSTIPARGLPLMPDPFNMQFSIDQAKSIRWNLTAGAARPNPQGSFNVSNVTLSQSFILRGSTAEIKGTPRYTVNNVSYLTPDTPIKLADAYDNGGIGVFELDKYPTNDSNLKAVNDVFVATGIHKGWIELVFINDLQEIDAWHLDGFGFFVVGMGEGEWTINSRSSYNIDDPVFRSTIQVYPGNWTAVYAYLDNPGMWNLRSQNLKNWYLGEELYLRVHDPDPNHAKEQHTPENLLICGIFSSSSESSSPNPSNAALSKEITWFHVIVVYIVTIVCIQRR >OMO90935 pep supercontig:CCACVL1_1.0:contig08405:31297:37855:1 gene:CCACVL1_07252 transcript:OMO90935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein MGTSSDLEEADLSNLSLSRTFEEIQSRASSILSLNLQWKDEIESRERIVMQRFEEIRVKEEELERQCRDLELVKKGYEEGWREIELKQRQVEELKQREEDLGLKEKGLEERRRQVEIRSKQCEQLFRRYEQQQIGFKSTEKSVKDKMEEVKRKEEEVGLKEKDLEKCLREFELKEKSFEKNCVDFKLDRKIFEERWRELEFNEKRCEQKSEEVKVKEKLVKEQMEIVRREEEQFGVKKKDFDQSYREFEQRKEQFGLIEQRFEKVLRDFELKQKQFGLREQSFENRFKEFELEEKLFQERSIGLQVNLKQYEKENKELKVMEKLVKKQFEEVKRELEETGLKEKHLNNRLSELQLMEKTLEERYRRLVAREKHCEERLREISLKEKRVEKSFEEFELKSKQFEERSKELRLKEKLIKEEFEELEAKEEQFGLKNKHFEQSSLDLKIKETSLEKSRQDLEAKQRHYEECLREIKLKEKQLDESSDQLKRKYELQFKDLELNVRQYDQRFKELKMNEKLVKDQLEELETKVEQHRLKERHFEQCSKDFELKEKCIQKRYHELEAKEKHYDECFRKIELREKEIEELSAEYRRKYEQQSKDLEFNVNKYEQQCKELELKEKKLAEWSKELEMKDRTLASAQVKTEPADGFLTKFSLDHSSPAHLRFVVNMDGKDLQMFLNDRCKQHGSIGPEVAMALRLSPDPAKLVLDAMEGFYPPHPNKGGPGFDLNIVRRSCILLLEQLMKLSSEIKPHVRKEAIKLAFDWITKMRAEPNHSLEVLGFLQLLASYRLADVFDSDELLNFLMSVAQHRQTPELFKVLGLGEKITGFITKLVARKQHIEAIRFIHAFELFEEFSPVPLLQDYLTYSKAATKRTRRGNKTPQAQNEARSKRIAYLRAVVKCIEEHKLDTQYNLPQNLKDLKNQINSLQKENASRNFTSRNTNPPFCPAPSTPSPSRQPTSNKQSQALVVTEVAGDAYVSADATTNVSSMKKPVKVLKSSNQDCKTDSKLPPSRLGEQREESNSRQLQQQGGENKHGSTTQVTNPSSTAATACPASAIPKIQPKEEHQSKRPRRYYSLSGFPITNIPMNRVHDGAIHSYSTWKAFAGPGDCNA >OMO90938 pep supercontig:CCACVL1_1.0:contig08405:63376:64767:1 gene:CCACVL1_07255 transcript:OMO90938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MLKQAIGRVFSNRVVSNSGDKLLLPCLYLPTSSIHAGQVQCAPRSFFGVEDFVDDDNSRPYTYKKEKKPKNPGKKHESFKQRTGAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLKSRSDIPACLAIGQILAERAREADVYTASYTPRDRDKFEGKIRAVVQSLIDNGIDIKVYLD >OMO90939 pep supercontig:CCACVL1_1.0:contig08405:67008:69025:1 gene:CCACVL1_07256 transcript:OMO90939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQENNNEGEQKTAEDLAKSQTSEKKGPNSSKAKSDIVKSMAKNFLKTRKAAGNSDVQSKKQKNKNKTVSEGSEETEENAKKLNSREENQQKDATAIAVHVMSEEIQKNQENKSDSQENGAKPNKRPKKRRREEEHGVLNKNWKSEKKHEGKAKTKQNEQKEKHSNTEKNNRIKEKHEGKAKTKQNEQKEKHSNTEKNNMIKEKHRGKGKQQETKKEKIDGLIFMCNAKTKPDCFRYRVMGVTQGKKDIVLGIKRGIKLFLYDYDLKLMYGIYKASSSGGMKLEPKAFGGDFPAQVRFSVHADCFPLAESIFKKAIKENYNKNNKFKTELTARQVRKLTELFRPVAVHPTALPMPSPPRAGARIHEHGENREVHDRARAPSDREASARDPYYASIGARSYSVLSQERDQQIAYAELASTRREDNRRDLYLNEKEYRAYGLQGERRNLTLQHSITPTLASYPRDYGEQLPRQLDSVYRESVPLQRDGIRSDPLYLSEREYRPYEMGATTSLAAASTLGLYATDPYRSRYYGGSLVESYLPRPRGEAPLIETNLWRRENNEMDKLQPAYASDALADYNQMHRNQDFRPEAASTSVSSRYSFGGASLSYR >OMO90933 pep supercontig:CCACVL1_1.0:contig08405:11419:23925:1 gene:CCACVL1_07250 transcript:OMO90933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein MCSLDDIAADLILLESQSKTLASNLGKLQGGFSLVPSLTLQCQKLQVLSNSIYSAIQTRFQELQSKEKEIENRLKELEFREKELEWKLKIGMEGEDDMEILLFFMLAAGYGLLGQFKSKDIRNLFERVANYKQASLFSHVLGFVEEAATESCVIHSQVKMERLSEVSNMMSEARDDTVINYPCPSSADLRFVASTNADRLLMFLNEHGNDDNVGDAVYNALKMSGNSAKLVLDVVKAGISEKANVGVEMGMVHNSCMVLLEQLMRVRPEVSQKLKRKALHVAQHLKGNLKPQGNYSKEVLVFLMLVGVYGLTNGFNSKEIESLFECVSQYKQAPILSRILGFVDQTLARGVHQSQVKIEQSEAENFQSDSFLPSDAKIEQYIASSSTSWGPQLLSFSINMDAIGLILFLSKHVQDHNLMRVQILDALKLASDPAKLVLDALSSFYRSKSREGFKGAALCNARKSCILLLEQLMTFSVQINHHVNEEALKLAAEWKERMEDKYPQGVMAYGFLLFIITYNLKSNYDADQLLCLLVTASKYRQSPELCQALGLAEKISILTETLIKENLRLEAIAYICAFDLAHKFPPSRLLNDHLKYSKRRMYQNSKRSNEKQNLTIEREIAIVRRVIGCITDHKLESLYPPENLEKHILHLESQKDSEDNTARKEKQKADRKGTLSVSSNNDKPLQECGLKCPNMSAEAIASTFDFDSAGFTPQPPPLEKPVGLIADQAAPWSLWGCSTFPADSISFDWQRGCAVADKRSLEQFIATGAYGKAASESSIHHAQQIDQSQFESFQPDGFVHSEATSEQSKTFSSSFGIDLQSYITRMDARGLISFLCEHVEHHDLMCREISDALQLAPDPAKLVLDVLSTFHGPHPWNIPQRKKKSGCVFNSEGLCKVRKSCILLLEQLRTFPLQIEPHVNEEVLKLEAIWKERMQKHQKGVMAYGFLQLIVTYSLMSAYDANELLSYLILASEYRQSPDLCLALGLADKIRVLIETLIKNNQRLEAIAYICAFDLIDKFPPAHVLKVHLEYSKESLYQKAKSSNWKWHRSIDQEIGIVRKVIECIADNKLESLYPPEDLKYHIIKLERQKAEKVDAARKEKQKTGRRKPSLVPPANSKPQQESENAPAEASASTSTNAGSTFKLSAFQVQGSTEFKIGGFSLSCVMGKLLCDLEMAEERKASLRRTFEEVQSRASSILLLNHQWKDLENTLDSAWSYVEERLKEVNAKEEEMKQQASKLEGEIETRERLVIQRFEEIRVKEEELERKCRDLELVKKGYVESLKQNQVEELKQREELGLKGGFEKLCQDLELEKKEFEDRRKKLEFSLKQCEQQFRKYEQQRIELKSTEKLVFGKLEEMKMEEKKNQERLDLKDKQIEVLSKELSRKSQIEFNGKDLQIFVNEKWANHESLSGEVLVILRLALDPAKFVLDAMEGFYPPYLKKGETEFDGDVVKRSCILLLVQLREICPEIKHDVKKEAMKIAFNWCTNLQVNSGNLLESLGFMLLLSSFRLASAFDVNVLLNFFEKVNLHDQAAKLFRDLYADKISGFIQNLIIRKQYFRAIRYICAFEVVNEYPPVPILEHFLKQSKAENRMKKKRPNEKNEAAIRRLSDMKAVVKCIEDHKLEFKFSLFKLNDLKNQIASLEKEYVMKNPASPTGCKYLDAATVLPNSPLSSAPSSITAVGSIITTATVPALASKTSSPMQSGSKHQDTIGSAEGVSDALIVTASSVSGLQTPGQQPTSFTNQASYELAAAFKADELLVLLDSDYWYQKAPDLCQILGMTTAIPGKAPCPSLSSSTNYNLESKYPSRVLIEYIAQLEKSKAELESKYTAQLEKSKAEKLHQASGSKVKTAPTLNEDQQRRENKRPRTSITREVLPHAPPGVTFPIHPMQPQPPFSGLVVGSTCSSSTSLVIPTLPIFIVETKVRK >OMO90934 pep supercontig:CCACVL1_1.0:contig08405:25562:27341:1 gene:CCACVL1_07251 transcript:OMO90934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQRFEDIRVKEEELERQSRDLELAKKGYEEGWREIELKQKELEELKQREEDLGLKEKSFEKLCQDFELEKKGFEEHRRQVETCSKQCEQLFRKYEQQHIGFKSTEKSVNDKMEEVKRKEEEVGLKEKDLEKCLTEFELKEKIFEERWRELESNEKRCEQKSGEVKLMEKLVKEQLEIVRREEEEFGLKKKNFDQISREFEPEKGAIWQFGLREQSFENRFKEFELEEKLFQERSRDLQVNLKQYEKEYKELKLMEKLVKKQFEEVKREFQLMEKTLEERYERLVAKEKHCEERLREISLKEMRVEELFEELRRKNEDVKVMEKSVKDLDEEIKETCLEKSRQDLEAKQRHYEECLREIKLKEKQIEESSEQLKRKYELQFKDLELNVNKCEQQCKDLELKEKKLAEWSKELEMKDRTLHPQVKPECIAQLEKSKAALKSKYTAQLEKSKAEKLHQAGGSKVKTAPTLNKYQQRRGNKRPRTSMRREVLPHAPPSATFPMQPYPLLPPGSIMVEGIPYVSRNTMANNIPPWYDVYH >OMO90936 pep supercontig:CCACVL1_1.0:contig08405:42247:56543:1 gene:CCACVL1_07253 transcript:OMO90936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydroquinase class I MGSLEIHSTKICAPLMAESVEQMVKEMHQAKAESAQLVEIRLDCIKNFQPHKDIPFIIKNKTLPVIMVYRPKSEGGQYEGDEHLRLQALCLARELGADYIDFELKVGSDLIREQKMKSKGATKFIVSYHINGVTPSDQDLRKLADSIRATGADIIKVVANVNDITELSRIFHLSSRCQVPIIAYSEGERGLISQLLCPKYGGFLAYGLIDGHSVPGVPSLYSIEHTYKLDYIDPETKVFGLISKPVGHSKGPLLHNPTFRHENFNGVYVPMFVDNLKEFFSTYSSSDFAGFSVGFPYKEAVVEFCDEVHPLAESIGAVNTIVWRPDGKLIGYNTDCEAAIGAIEDALEEKECTINESSLGTALLGKLFVLVGAGGAGRALAFGAKNRGARVIVFDIDFERAKSLACAVSGEARPFEEIYDFQPEKGAILANATPLGMPPNINQRIPLAEATLGDYDVVFDAVYTPRKTRLLKDADAAGAIIVSGVEMFLRQAIGQYNLFTGLEAPKEFMREVIMAKPIGEGGQYEGDETKRLDILRLAMDLGANYVDIEREVANDFISSIAEKKPDNFKVIVSSHNFQNTPSAEALCSVTARIRATGADIVKIVTTALDISDCMRLFQITLYSEVPTIGVAMGERGLISSLLSPKFGGYLTYGALEGGEALVPGQLTAKDLLQLYNFRLIRPDTKVYGIIGNPVDHSKSHLLYNPAFKEAGLNAVFVHFLVDDVDKFFNTYSSPDFAGFTCTMPHKEVALKFMDEIDPIAKKIGATNNIVKRPDGALIAFNTDYIGAISAIEDRLHELNGVNIPASDSPSSGKLFVVLGAGGAGKAIAYGAAEKGARVVIANRTLGGAQELAEKVGGQAIPLSEVENFHPEEGMILANTTSEGMKPDIDETPIPKHALKHYCLVFDAVYTPKDTRLLLEAKDSGAVIVDGTEMLIRQGFEQYKKLHWFACILFGCWENVIERMGNEREEEMKFWVVVGYWFIGFSFSITDFQIGEGAQRNSTLICIPVMAESVDQMLAQMRKAKEVGGDLVEVRVDFLKNFIPRQDLEILIKQSPLPTLVTFRPIWEGGQYDGDESKRQEALRIAMELGADYIDIELKVAHEFFSSLPGDRTENVKIIVSSHNYENTPSVEELGDLVARIQATGADIVKIATTALDIADNARMFQVLVHSQVPMIGLVMGERGLMSRILAAKYGGYLTFGSLEAGVVSAPGQPTAMELLELYNMRHIGPETKVHGVIGNPVSHSKGPHLHNAAFKSTGFNGIYLPLLVDDVSNFITTYSSPDFSGFSCTIPHKEAALKCCDEVDPIAKEIGAINSIIRRLTDGKLIGYNVDHLGAIAAIEEGLRASNGAASGSPLAGRLFVVIGAGGAGKALAYGAYERGARVVVANRTYDKAKELASKVGGQAITLAELNYFYPEDGMILVNTTSVGMKPRIDETPISKVALKHYSLVFDAVYTPKLTRLLREAHESGAIIVYGTEMFINQAFLQFEMFTGLPAPKQVMRDILAS >OMO90937 pep supercontig:CCACVL1_1.0:contig08405:58836:61703:1 gene:CCACVL1_07254 transcript:OMO90937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEAIRAREIAEKKMQDSDFEAAKKFALKAQKLFPELENLSQLLTVCNVHCCAKHKLYGTEMNWYGILQIESSADESSIKKQYRKLALLLHPDKNKFAGAEAAFKLIGEANRILTDHVKRSQYDMKCKYSGKSAPKQTTQSNRAASVTKQHGSANNHQNGSSNFTASYSHEQAQTLTFWTLCSACGVKYQYYKDFVNRMLLCQTCGSYFIAHELGHQGMSQGSHWSQFSNQKQVPSQGPCKVSLQGNGGKPSGMNIPNGFAGSDPIPKAGYSAHVGEFKKQEKVRMHQHPEGFTAQQKVDGCSNVGDGQIGSEKPKPNVGKPKQSRTSRNAKKKRGRKSVEESDESCEISNGSEVEDSFSQENCRNNTGQNSKVNGVHQPRRSSRQKEHVSYEEKVNDDDDDFVSPPLKRSKVTEPTNTDEEKVNDNSSKKNTSAGFNAAVGIGQKEVKQNASARPEASIPNKKCKIGESKGKKEEPVILDSNNEVPQVDEDQSPRVLEYPDPEFSDFEKHRAENCFAVDQVWAVYDTLDGMPRFYARVKKVFTPGFRLRITWLEPKPDEECEKNWIELGLPTSCGKYCNGSSQGCKDRLMFSHRIDPIKPSGKRFLVHPQKGETWALFRGWDAKWTLEPEKHKPPYQFDFVEILKDFDEEMGIGVAHLGKVKGFVSLFQRIEQDGVISFTVSPRELYRFSHRIPSCRMTGKERYGVPVGSFELDPAALPTNLDELVDPGVSKVGDLDNEANCSFPKFTHSQTKAGIRSEENPTPMKNDKSDIEREASASRRPTNRSSQAKVPTESEQNLTPSKNEGSGTGAFRRSTRGSSQAKATIDSEENLSPRKNDKCDIGIASPIRRSTRGSSRMQDHGQVDAGQSKEDDGIKDKRCSNFTKPKNGATSGDTSDQRTNTPKNKGGTSGNTGDQRTNTPKKAKKNDLATDCLKPRRSPRDLSKKRESN >OMO90932 pep supercontig:CCACVL1_1.0:contig08405:5333:9903:1 gene:CCACVL1_07249 transcript:OMO90932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein MEDIKKDALLGELRKENLRKSLEQVKAQASSVLLFTLEWNELQKHFDSVQSQIDERIKAAESKEKQRETLERVLAEKQDEIRLKENELSSLNSKIDMELKSTQSQLDSMRHVLNEYSSLYEIKKEDLDNVTKLIERYTSDLNSKKEELGCVQKLLTESGEKLKEKETKLVKLEEEIEKSRKGIDLNSEKVKVLQLLAEECEKKLQSEKKELGLVTARIVDCLNNIHLKNDELQQLQSSIEVKSREFEMIELDLVVKESLKNECDESFLSKKKELECLRNSIKECSDQLDLKQKELARSQELMEENYKQLHENEKILSSVKSLIQDYNEEIEAKEKEHTALRDHTAELLSKERELELLEKKIADLSMELNVRDIEFGSLQKLIKGSKKQVESTKKELGSLEAKVRAYSYDVELKERELNNLQMCIEDCRHELHFKEKKLSSVQVSIEGCSKQIKTGEEELTSIKHSIMECTKELDSKQKQLEAMQKSQKQLSDELESKEKQLGLMEKACNERQLEVDAKEKDLDLRKRSLEERFEKLEAEKRQFEARVKELQLEADAKAKSLDSRERSIEERSAKLEAEKSQFEARVKEFETRMSSGNPGSSFSHVPEITNTGTVNLNMPRQIKTERPEHFTISNADENSPVALPADATRDGTSLQGIPIEHLDEAGLRQNEVLDTLRISPDPAKFVLDLMLETFSQQQRKGGTGFEEVVLNTYVVVLEQLMQVPRLIQPNVKADAMKLATEWKAKMELNAENSVEVLCFLLFVAAFGLVSSFDRDQIFKLLGIAYQFQQARKVCQRLGLTDQIPGFISNLITRKQYIEAVRFVCAFGIKDKKFAPEKLLVDFLTHRIRVEHDSCEIGNSLPEVQKVIDGQIAALKIVKQCIMDCKLGSHIAVEEIETSIAKLSKKKMNMTFSMQAPSPAVLPQVQGWTNSNSGPFIPRNQPGATPTVQRQFQGGICASNSGSPLQELSNKRARTDGPAIRNYSPQAPTTTSPYVRSSPSPGLGVPRNQGASHRGNFGH >OMO87766 pep supercontig:CCACVL1_1.0:contig09179:27091:34428:1 gene:CCACVL1_08778 transcript:OMO87766 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MDIRILLSREWHCSLQHTYREDNFYADWLANVTCVLDDDFELLSDPPDGLKTLLDADARGVHDLPLEMQTVVNLKKIGDSIGRVVTLEKPEWNQGSGRCYMRLHLELDVHKPLIPGFWVPRKDKAKIWNCGESMPVGSRECAFGPWMRAVPVRSVMEDRLINVDEKGTFELPYEGPLHENAGILTRVLPESQSDGIPVLNEEEEGEFCELAKKSKMAARETFVGNSFRKRVEISERVSQARGNSGVSNDKARVVDCVSQGISCSGIENVGDDSSGNCGYSDLNKQITAEYVDSQLVSVDKGDFFSTESTGSRSLSSVNTPPSSKDIVYVDNSLVCNKENLVSSESGCDKLIASLSEHDHSSIIKSIAYESQVDTLSSEQSTSTVVNVDPTVLHQVEIGLSSFFRNLNLKRRFEDALLNDKASSKRMWVENENGFIIKYISNESSLAVDMVASQDTDVIMSNKANRIMGAGPALTVQALKELIRKNGPQILFIMETKNKRKYMENLKRNLKFRFCFYVEPEGLSGGLALLWHDDVSVHIIRSCKNLIDSTETDLKSGVVCRIFWVYGPPEADDRAHFWHLVKRRMEYQNIPWLCVGDFNDILYLHEKEGGNTKEYWKIRKFREMVDGCNLIDLPFQGQKFTWIGRRDELVIKERLDRVLVNIEWVEQFPNTQVFNNPIIGSDHSSILIDSNFIDQKTPRSFKFEIMWTESEHCEQVIRDGWSNEFMGSKSYILVQKQNSCRKALLDWSRKAFPNNKEAIECLKQKIAAIQDNECSVESCIKVEELISQLKEAWSKEEQYWYQRSRIKWLKDGDANTRFFHQTTIQMRQTNKILNLKSDNGEWIEEEKKIVEEFELYYSDLFTSNNSKNWDQVLLHVPRVVTDHMNGELTRDISEEEIRIAAFQLWANKAPGPDGYNGTFYQKYWEIVKEAVCAAVKSFFSSGRMLHEINNTNIILIPKTKNPESVNQFRPISLCNFVYKIISKILTNRLKPYMDSIITQEQGAFVGERQIQDNILIASEAFHYLKLKKKGQKYYMGLKLDMNKAYDRVEWGFLEAILEKFGFCQKWIKWIMECLSTVSYTLVINDKPSGSIIPSRGLRQGDPISPYLFLFVVDVLSRMVHSAVSVGVLHGTYLSRYCPPLTHLLFADDSLFFLAATKENCDGMTWILKAYCDASGQLVNLQESSIIFSNNTPADVRFQVEASLQIVGAPNPGTYLGVPNLWGKTKCQAMKFIQERIKDKLQGWRQCWLSQGGKEVLIKSVASALPTYIMSGYKLPKKLCGEINSDMASFWWGQGDESSKIHWLSWEKLTNGKEKRGIGFRNLEDFNRALLEKQGWRILTQPNAFWVKILKALYFKDCDFMEARKISRASWSWDSIIEGRKQGEIIGGNLPRKVAEIMDKEEGIWKLKAIKQEVEPAIINNIEKLLISHSNEEDRVVWPHNQDGIYSVKSGYFAIKDQAPRILSTSSSSHQCVWFGSCLNYQIDRRRITTFDVWIFEVLNMKGVRESVRIELQAIIAFICWQIWKARCAACFEKKGLCVEHVIYVAEKAVLEFQKAKEYRNTAAKVHSKESANAIWQKPVVGHLKINCDGAFDELTGTAACGVIVRDCNGRIIDGLAKPLLVTSSVEAEAIAVKEALILAKDRQFEHFAIETDSEVVQRSITSSPKDYVLDWKILPIVKDIKDAMALISTVDISWIGRKANMAANWVATSMRKGMCPLDWVSRPPSQLLYICDKDGVPAPP >OMO87765 pep supercontig:CCACVL1_1.0:contig09179:16561:21516:-1 gene:CCACVL1_08777 transcript:OMO87765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSGLVDNTDIKLVGLDYLSVAPYVDAAPTHLTFLKNRMDAQQDAFSLHSNISQSIIMLSDQLIAMVRTCFITINSSTNISRSYRDEEGEPIMDYDDIQSDPGSPEPRQDLLDDVEDDVDDWGQRERSQTPVYDTDKVGKPRKRLVKKGGSLGKENFDAPELLDEDDEPNFGREESESDVKRRKKKEKTHKEKQYGGESAKGTVKKLGKSEEVNEMWEWVNPENDQEGVRTMDDDDFIDDTGVDPADRYGSDNEARSPSHAPQAEEDDEDPEIKELFKMGKKKKKNEKSPAEISLLVENVMAELEVTAEEDAELNRQGKPAINKLKKLPLLTEVLSKKQLQSEFLDHGVLSLLKNWLEPLPDGSLPNINIRAAILKILTDFPIDLEQHDRREQLKRSGLGKVIMFLSKSDEETTSNRKLAKDLVDKWSRPIFNKSTRFEDMRNLDDDRVPMRRPSVKRPLNRAAAMESRDGDFDLDISRDHKSSRSSSGQQASRSESSSRHHASRPEATPMDFVIRPQSKIDPDEIRARAKQVVQDQRRLKMNKKLQQLKAPKKKQLQATKLSVEGRGMLKYL >OMO59987 pep supercontig:CCACVL1_1.0:contig13825:57825:58371:1 gene:CCACVL1_24489 transcript:OMO59987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MALEKVEEIVSSNTVVIFSKTYCEDCNAVKQLIIFGDYEALAVWTGLGTVPYVFVKGKNVGDFESFLAMASSGELLDMFLPFPIFKYLNKRADEAREQSQLIYAFSRL >OMO59982 pep supercontig:CCACVL1_1.0:contig13825:945:1058:1 gene:CCACVL1_24484 transcript:OMO59982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLVVDEVVVNKVLKVGVRSLMWRFARGLDEEHVGF >OMO59990 pep supercontig:CCACVL1_1.0:contig13825:84683:84751:-1 gene:CCACVL1_24493 transcript:OMO59990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLSKTLEERNLNRDLKLNR >OMO59991 pep supercontig:CCACVL1_1.0:contig13825:87369:88853:1 gene:CCACVL1_24494 transcript:OMO59991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPEKPEEITSYRTQKLAGTVNWGTATVIGVFAGMLYGGSKEAAASVSKDAEVMLKLGSTPDKREQYRLMRDAMEKRFIRVTRGSIVGGVRLGMFTAAFYGLQNLLAETRGVHDVFNVVGAGSATSAAFGLILPGSLQWRARNVMLGSVLGAAVCFPLGWIHLKLVEKANEGNMGAKDPGQRGEAKSGLGAAIDRLEENLNK >OMO59985 pep supercontig:CCACVL1_1.0:contig13825:37010:42864:-1 gene:CCACVL1_24487 transcript:OMO59985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCQIAESEASTQQKPSPRGCRVKRRKFKKRNEARYQQKWEWRTILLQKEFKELAAENTYLKEAIECLLANYLQVPARDPQKEENNVSLSATQLYDPNLYVQLDGLELDDLLDEEIPISKAIQQNATMGEFLMKIDDDVASNVDFPDCTYSLEGEQKRVGRYNFPLALVPTVERIINVYGDISTGCLINSNAAGQIYIFLCATIKEMEEVELHKVTEEKMLKWRDAIKDAHRINFKVEFAIDHLKKIARAYFGRKGSQALHDIDEKLDALYKERVETYEVFKDCLAEANAFHGKIIQWPSSKQSVSLQNRILHLGNMEDTRSEATSPYSTSEMAYSSMYDQSNDTYQWNFRQQITEAMTHSQENRKHVLQGEPSEVSHQSTPGNRRPKMSEAEKKRRKRQIDAKYRQSKKDEMELLSSENRHQKLENERLTLENERLKEHIRGQQGKKQRTEEVLERQDSCITQSISQVDNQGAYVQYHLQDPHQWVDDSNNEGAIYGFDGLEMNDLQSLLLSENEMIRNGGIAINEPTAEHPESSQTASCHAEMAKTKFLMKLDEEVVSNVDSSDFTGLGGERRKVGRYSFPLSLIPTVERIRHVYGDISAPCLISPSASGEIYVLFCAMIKEMDDLRLEEITENKMLKWRDVIKDALRLKFNVQFAMEHLKKIACAYFGSPGRIVLQDIDAKISKLEAEVNDWKKERAKIYEESKLSVDAAEKFTGVPVSQGLFRLSCCNT >OMO59986 pep supercontig:CCACVL1_1.0:contig13825:44096:57111:1 gene:CCACVL1_24488 transcript:OMO59986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSGMDVAHCYLEGNADAVEFCPHEGYQHLLAASTYTLQEGDQPSRAGSISLFDVNAEKGDLDMIHRVDTAGIFDIKWSPVGCNVGPLLAQADADGYLKIYSLESCSEGEKARGGFLNAVSGEKISSSMCLFLDWNPSATSISIGLSDGSVSVVSLEESAIETLQEWKAHDFELWTTCFDIQQPQLVYTGSDDCKLSCWDIRDSPSQLVFQNSKVHKMGVCCISKSPSDPNILLTGSYDENLRVWDLRSISRPVNETSVCLGGGVWRIKHHPSVSGLVLAACMHNGFAIVNTLGEKPEVVETYNQHASLAYGADWQKEKLLQGGKSKSTVVATCSFYDRLLRIWMPKNSNAAVDDIASNSRMNLLHEAILELDYGSASDCGDGEILYSGLQDGNHSFGVCINGAQGADCTNYNWTVDTVPPTAYITSTPFTNALNVSVNISFTESCSGGGGFKCSSVNDCNLLVYGSGQVVPSSLITLEPNLKYSLLVNISSATQFGRLILIMDRDFCTDAAGNSFTRSANSSFYVHFDRRSVFVELRTHVPEKLLELDSQIRTVQATNNNNNLKVYLYFSAPILNSSAEILSSLSISQGTLLPISGEDHGNRRFGFMVANISDLAIITISLKLNSTISRQGTLVSPVAPVTFLYDSERTAVTLSTTSHMRTRENNIPISIRFMKPVFGFNSSFISVSGGHLQSFHEISRSIYAAEIRADDDVVSVNVPENVTDDVAGNSNVASNILRVRHYCMPVISSVISIFTTVTFLFTCLAAGLLTISTASLQSVGAFSRPFSSLTSDPTRILFRSACHIQVFAMSRWLAVTLPVEYYEFTRSIEWSIPYFSLPWESGHVRPAMMGSIPSESSNSLLSRAYEREISHSLPPKQEAFANAIAAAVYGSPLTAMEYKSFFENQSMKPEAEFILDKLHSNGWRDFDRSLFWLAVIGGSLVLLHAFLLIILKFKKRDAEKKGSYGALIFPRFEILLVILALPCICQASTALVAGGTKSGVIVGILMLGIVAFLLLSLMLFLSVGITFGKLLQYKEVHREGQQFHWYQEIIRVTLGPGKRGQWTWKNEPNSIFLTMLGPLFEDLRGPPKYMVSQISGGNPSSSQSDRIIASDDETEDAEAPFIQKLFGILRIYYTLLESVRRVSLGILAGAYLNKWSSKTPVIILLSITSFQLFFIVLKKPFIKKKVQLVEIISLSCEVGMFASCFVLLQKKFSAGDETKIGIFMLMLFLLGFLAQMTAEWYALYEQTKKLDTSKSSFLTGLKIASVGFLLYFIPQKLMKSKFPVCRCGGDDTVEIPSDRHMSNSDSRSSGTPDKPWLKQLREMAKASFSRDGSKAPSDPSSSRTKWSGIWGTKRSGSSSVNSSSDIKSRSKGLYKDLEAIFASKS >OMO59983 pep supercontig:CCACVL1_1.0:contig13825:4261:6780:-1 gene:CCACVL1_24485 transcript:OMO59983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLSETVPDLGYSTFTLNQTVFKVFGLGHCYDGFRGDECRLCFETSKEKLKTCIPATSGRVYLDRCFIHYDNYNFYNESIDPKYDVATCGSPLDALPNDIYMLQDFESRLDQAITNLIDITTERKGNGTVETKGGVLTIHAGAQCWNTISDESCKVCLTRAGEKIKKCAPAAEGRAAFTGCAMRYSTNIFYQREPYRNDQGGLDDIPATKNKTNLSFKYEILEEATNSFDESRKLGQGGAGSVFKGVLPNGQTVAVKRLFFNTRQWVDQFFHEVNSISGVQHKNLLRLLGCSIEGPESLLVYEYVPNKSLDQILFVKKTSIILTWSQRYKIICGTSRGLAYLHGGSGVKIIHIDIKSSNILLNENLTPKIADFGLARCVAQDKTHVSTAIAGTLGYMAPEYLVRGRLTEKADVYAFGVLVLEIATGRKNTVFSQGSSSILYSVWKHYKDNKITEAIDASLNGKFNKKEASNVLLVGLLCTQASVALRPSMEEVVKMITDANYGIPSPKQSPFLNASVLSPEKTVSISFMGSLDLEMGQESSSDKSGNKASPDESSPV >OMO59984 pep supercontig:CCACVL1_1.0:contig13825:9028:15884:-1 gene:CCACVL1_24486 transcript:OMO59984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELYGFSSSDAPVYSMETNQTGENMLSPAGNYPVVSGFPSGFAEHMFGSTATGQLLSCSSGISSDADSMVPEINHDHHQKEEVSSAIRAKIASHPLYPKLLQAYIDCQKVGAPPEIVKVLDEISGESNEICKRSTAFIPPCFGADPELDHFMATMAPIFYCLKLFLASLKEKAALLHATFSCAAWKLWIWFEYEDFECGGCRTVAAHVAILIHDEAVGSSEEELSGGEMEALEDYQTNEDRELKEKLLRKYSGYISTLKHEFSKKKKKGKLPKEARQTLLDWWNVHYKWPYPTEADKLCLAEATGLDQKQINNWFINQRKRHWKPSENMQIAVMDSLYGPFFHE >OMO59988 pep supercontig:CCACVL1_1.0:contig13825:67809:68804:1 gene:CCACVL1_24490 transcript:OMO59988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MALNKVKQIVSSNPVVVFSKTYCGYCNRVKQLLTQLGASFKTIELDEESDGDDMQAALLEWTKQRTVPNVFIGGVQIGGCDSVVAKHQAGQLVSLLTDAGAIPVNQNA >OMO59989 pep supercontig:CCACVL1_1.0:contig13825:69359:77031:-1 gene:CCACVL1_24491 transcript:OMO59989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 3 MASLLIFISFSFSFLALLLPTSFATIRANSCRSYCGNITIDYPFALSYGCGHPGFRELLFCMNDVLMFHIDSGSYRVLDIDYAYQSLTLHDPHMSTCDTIVLGGRGNGFAVEQWRAPFFSPTPDNVFMLIGCSAQSPLFQGFPGKHLPCRNVSGMGCEDYYDCPAWSLVGHKKMGSVYGSGPPECCAVSFEAIKAINLSKLECEGYSSAYSLAPLRVDGPGDWSYGIRVKYSVQGNDEFCRACEATGGACGFGSDGVKQLCMCGSFNSTTNCDSESESSTSSKRTWSLVVALAEQMARTVFLQQSSPVSFPAFTQRRPLTKVLDPRNGFLTARTDRFSNLSNVKVVSDSAAASVSAVSSVSQNPSSSIGSINELIEGLINRVDLTESEAEASLDFLLNEADEALISAFLVLLRAKGETFEEVVGLARAMLNHAWKLEGLNDAVDIVGTGGDGANTVNISTGASVLAAACGAKVAKQGNRSSSSACGSADVLEALGVVIDLDPEGVRSCVNKAGIGFMMSPKYHPAMKIVRPIRKKLKIKTVFNILGPMLNPARVPFAVVGVYTEDLVLKMAKALQRFGMKRALVVHSEGLDEMSPLGPGKILDVTPEKIEKFSFDPLEFGVPRCTLDDLRGGDPEYNADVLKRILAGEKGPIADALILNAAAALLVSGHVKTLAEGVSLARETQLSGKALNTLNSWIDISNNMKEKALVQ >OMO52134 pep supercontig:CCACVL1_1.0:contig15594:1290:3227:-1 gene:CCACVL1_29344 transcript:OMO52134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRERRRILVGLAVAMFLGVAVYFRLWAIDYSVSSDDSELLRRQFDLANKEAMDESAEWRMRFDEEADKASKCAKELQEIKESIERKDDPTSFNKLAMLQKENAALLKQVEALKNELQAEKLRCRSQ >OMO52133 pep supercontig:CCACVL1_1.0:contig15594:98:665:1 gene:CCACVL1_29343 transcript:OMO52133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTKGSSSTSNDPAASANQPNNEVFVQTEKDTASVVLK >OMO97085 pep supercontig:CCACVL1_1.0:contig07290:26852:27291:-1 gene:CCACVL1_04656 transcript:OMO97085 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter RTVIKTNSVEYMPFLLSFFLFMNTALWTLYAVLTEDIYFLVTSSTGVVLGSAQMILYAIYKNKSKVENQAKISQNDGAENMIKVIVYKETTLDEQEQKPENIACNRIGSNV >OMO97084 pep supercontig:CCACVL1_1.0:contig07290:15182:17560:1 gene:CCACVL1_04655 transcript:OMO97084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase FAD/NAD(P)-binding protein MLGTNLSRFSNVRDLISQVRRLRPYLNIFTNVGNQFELLAQFELFLTYETGDYLGVYADNCIETVEEGARLLGQPLELMFSLHTDNEDGTSLGSSLPPPFPGPGTLGTALTRNADLLNPPPEAALTALAAHATEPTEAEKLQFLSSPQGKVELRVCYFIFFLLRRSLVEVMAEFPSAKPPLGVFFAAIAPRLKPRYYSISSSPRFAPHRIHVTCGLVYGPTPTGRIHRGNVVPSEKNRDCGSVPIFIRQSNFKLPTYPSVPIIMIGPGTGLASFRGLLQDFIYEEELNNFVEQGALSELIVAFSREGPQKKYVQHKLMDKAAEVWSLISKGGYLYVCGDAKRMARDVRRTLHTIVQEQEGVDSSKAEYMVKKLQMDGRYLRLTCT >OMP10891 pep supercontig:CCACVL1_1.0:contig01798:1265:2337:-1 gene:CCACVL1_00756 transcript:OMP10891 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein TRANSPARENT TESTA 1-like protein MQYSSTSFTANNINRSSRGTIACYEAAHHHLDRIEDEDSIMLSLGPPGQGSRNPWSANKAPGHFANPSASATATEQISGTVTVALHIGPPTSSIETASSSNSNDIINNVVEGQYWIPSPAQILVGPTQFSCTVCNKTFNRYNNMQYRKGPESLRGTKPASSMLRLPCYCCAEGCKNNIEHPRSRPLKDFRTLQTHYKRKHGAKPFACRKCAKPFAVRGDWRTHEKNCGKLWLCICGSDFKHKRSLKDHVRAFGDGHSPHTVEYCEVEEEDDHDYDDEDNINEDESHDPLFFSN >OMO63172 pep supercontig:CCACVL1_1.0:contig13013:17081:21710:-1 gene:CCACVL1_22434 transcript:OMO63172 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRE-MGL-2 protein MYRAFLKKPGTELPHIARVNPPIKWTTIYTSLMSKTLLVARIVRAAKADRMIYILPTPSSLLLENALYYTIKRTEPPMKETTVYTSLMFKVPMVARIVRAAKAARMIHIAHCFVTSARKRL >OMO63171 pep supercontig:CCACVL1_1.0:contig13013:497:4963:-1 gene:CCACVL1_22433 transcript:OMO63171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S49 MSKLLLFHSTPKIIPRTVTSVLSRPLPIPSFPKTHSRVSLSPSISSSTLRTHFQWQNFSFSCSFSTQAFDDSSSSTETQKVEEEKIGSQSGEKSEAKEYPSGEVEYEKISGWKSFVVKLKMLIAFPWERVRKGSVLTMKLRGQISDQLKSRFSSGISLPQICENFVKAAYDPRISGVYLHIEPLNCGWGKVEEIRRHILNFKKSGKFIIAYIPACGEKEYYLACACEEIYAPPSAYFSLYGLTVQASFLGGVLEKIGIEPQVQRIGKYKSAGDQLTRKTMSEENCEMLTSLLDNIYGNWLDVVSASKGKKREDVENFINEGVYKVEKLKEECLITNIHYDDEVISILKERLGVPKDKNLLAVDYRKYSKVRKWTLGLAGGRDLIAVIRASGSISRVRSPLSSPSSGIIAEQIIEKIRSVR >OMO71090 pep supercontig:CCACVL1_1.0:contig11728:1763:8678:-1 gene:CCACVL1_18445 transcript:OMO71090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MNNNPGSSSNTNQQSRDIDEQDQKAMTNKRYLPLLIINYACLFLGSVSSSLLSKYYFNHKGSSRWVSTWVQSAGFPLLVIPIFLPYYLFNCTQRKPFTHFTPKILVLSVFIGVMLGINNLLFSWGNSYLPVSTSSLLLSSQLVFTLILSVIIVKQKITFTNLNCVILQTLGSALLAFESSHDKPQGLTRAKYFIGFISTIGAGLLFALYLPIMEMVYKKVYCYTMVMEMQLVMEIAATVLATTGMAVDGGFTEMKRESKEVFDKGERVYWVALISNVVTWQLCFMGTAGMVFLTCSITGGICMTALLAMNVLGGVLVYGDNFGGVKVVSTLMVGWGFCSYVYGMYVKNKKMKHCSDELLDHDHQKLINNNGAPPSMEMAQIEPGAPPSQHLEATIKIQAFFASFATAVISFSGSSFCCGAASRAVAQRLSPPTTLSDTSAATANLFDKSPPIKIKGLFKSKLRTLVDIVRQTRDLLIYAEHSLDSRESKREEKMAELCKNIRELKESSKSIQIEAFHVFKLFAANQNKPADIVSILVANKSKLLGLFADFKTDKEDEQFEADNAQVVKEIATSWSLEPKNRRLMEEVDFPPPSYGQQEEEVEPSVAAKDLVVIRGVKDYKNDSYITFTYNSFDGEQGFPGNLAVAVAYMLLGRNKLGVKMEAKALDKATPVI >OMO71094 pep supercontig:CCACVL1_1.0:contig11728:28642:30693:1 gene:CCACVL1_18449 transcript:OMO71094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNIPKPVFLTKAQREQLALQRLEEQRAQEKRRLEELHRSNSASNGNSDSKPSSDFADRRDRERQRERDRESERRNREREREREREEEARARERARSEKLAEREREKELEAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNALYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKEMREEIRKKEGVEEKPEEAAAQRLKEEAANTYDTFDMRVDKHWSEKKLEEMTERDWRIFREDFNISYKGSKIPRPMRSWVESKLSPELLKAVERVGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYISRLPPMSEENEAEGPYAVVMAPTRELAQQIEDETMKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVNIGTAGKATDLISQHVIMMKESEKFSRLQKLLDDLGDKTAIVFVNTKKNADNISKNLDKAGYKVTTLHGGRSQEQREISLEGFRAKRFNVLVATDVAGRGIDIPDVAHVINYDMPGNIEMYTHRIGRTGRAGKTGVATTFLTLHDTDVFYDLKQMLVQSGSPIPPELAKHEASKFKPGSVPDRPPRRNDTVFAH >OMO71092 pep supercontig:CCACVL1_1.0:contig11728:25395:25979:-1 gene:CCACVL1_18447 transcript:OMO71092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKSHTMEVEKIHGVSTASDKSPTARFSGPYIEDVKTT >OMO71096 pep supercontig:CCACVL1_1.0:contig11728:32854:38619:1 gene:CCACVL1_18451 transcript:OMO71096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAEKSIEERAIEALGKGFDISGDFRLKYAKGTRLVVLDETNKTDIVFPGAFTVKGVSQDIRLDKGDRIRFKSDVLEFNQMSELLNQKSSIPGKVPSGYLNTIFDLSGDWLHDARGTKNLAFDGYFISLYHLHLTASPLVLHERVKKSVPPHWDPAALSRFIQTYGTHIIVGMAIGGQDLICVRQNYNSTIPPAELRGYLEDLGDVMFSDGKSPSLLQRKTRDSKQKVPDIFNRILQSNTMQLASIAETSSKDGLTVICSKRGGNVFLHSHSNWLQTVPGKPEGILFKFVPITSLLTGIPGSGYLSHAINLYLRYKPAPEDLRYFLEFQVPRQWAPMFCELPLRHQRKKASCPSLQFTFMGPKIHVSSTQVSSDLKPVVGLRLYLEGKKCNRLAVHVQHLSSLPNIMTFTSGRPCQWRGSDDLKPSDQFLEPVRWKRYSNVCTSVVRHDPNWLQEVSDGVFIVTGAQLLSKGKWPKTVLHLRLLYTHIPNCTIRKTEWAATPETSRKTGFLTNISTTFTFTQRTVTEQQQKQAPTALNSGVYPDGPPAPIRSRKLLKYLDVAEVVRGPHDAPGHWLVTAAKLVNEGGKISLQAKFALLDYP >OMO71093 pep supercontig:CCACVL1_1.0:contig11728:27248:27779:-1 gene:CCACVL1_18448 transcript:OMO71093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPDEEKPLWHSHLYEVKSGVLFMPRVPGPIERQDLDKVCKTYGKTIHFWQIDKGDNLPLGLPQLMMALTRDGQLDEELAQDVEKRFGVSFEEQRAKRADMAGPAHGIHPLANGGGTGLVTQLREVDCQPVDSVPRVFV >OMO71091 pep supercontig:CCACVL1_1.0:contig11728:11898:13338:-1 gene:CCACVL1_18446 transcript:OMO71091 gene_biotype:protein_coding transcript_biotype:protein_coding description:NTP pyrophosphohydrolase MazG, putative catalytic core MTGVLEKDGVTLEELKEKMAEFARERDWDQFHSPRNLLLAMVGEVGELSEIFQWKGEVPRGLPDWKEEEKQHLGEELSDVLLYLVRLSDICGVDLGKAALRKLELNAIKYPVKLSKGSSKKHTHLNVDKSNVNGDSAAAAAAASTATDQGINCDSNSSSG >OMO71095 pep supercontig:CCACVL1_1.0:contig11728:31231:31290:-1 gene:CCACVL1_18450 transcript:OMO71095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFNYHIVVKFVKKPFED >OMO60677 pep supercontig:CCACVL1_1.0:contig13684:5376:9160:1 gene:CCACVL1_23962 transcript:OMO60677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEMKDKWKGFVKKVNNQFSSSSSGTFKGQGRVLGSSSSSSGPVNPILNRPTQTQAPTPKPIPSSVNSKPSLPSKPSISDQNKPTSINNPEPTRQTANGFDPFDSLITSSKVSKNGFTLNVFECPICGASYRSEEEVSIHVETCVNTNSSDRKGNGDGVSGESENELEESRSELEVCVGSFLSGKPPEGSVEVVLRLLRNIVKEPGNDKFRKIRMSNPKIREAVGEVAGGVELLELVGFKLKEEGGEMWAAMEVPKEEQISLINRVIVLLEPRKTEEAKKSENLPSAAPAVKEINVEPKKIDRQIRVFFSVPERIAAKIELPDSFYNLSAEEVKREAEMRKKKIAESQLLIPRSYKEKQAQAARKKYRRAMIRIQFPDGVVLQGVFAPWEPTSALYEFVSSALKEPCLEFELLDPVLVKRRVIPSFPAAGEKGKTLEEEGLVPSALIKFKPIETDSVVFTGLCNELLEISEPLVTD >OMO60675 pep supercontig:CCACVL1_1.0:contig13684:2330:2722:1 gene:CCACVL1_23960 transcript:OMO60675 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-cell receptor-associated 31-like protein MALEWVVLGYAAGAEAIMVLLLTLPGLDGLRKGLIAVTRNLLKPFMSVVPFCLFLLMDIYWKYETRPHCEGDSCSPSEHLRHQKSIMKSQRNALLIVAALMFYWILYSVTNLVVKIEQLNQRIERLKSRD >OMO60676 pep supercontig:CCACVL1_1.0:contig13684:4842:4904:-1 gene:CCACVL1_23961 transcript:OMO60676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQFFEFSVASSGSRALAR >OMO91485 pep supercontig:CCACVL1_1.0:contig08336:2994:5173:-1 gene:CCACVL1_07098 transcript:OMO91485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSRGNQNAYVGYAQIVPKNDYGGNKTTPYYQGKDSNLACSRGPQRQILSSISGNGVAIAGDSYGHRNAYVGYAQTVPKNDHYGEKQTTSYNYQVRQGQQSGLYQRTSKTNSMDKQTGKYARVTNKDVFSGGETFKERSTGRVGFKDEYKTTSTYRLGDKSGYCEYQIEERFRMINFGGSGSSNNNSGNYGGPSYQNYGGGNKSKYGSVLHKNTGNKSNYGVGYKNYVGSNKGNYNNYGGWNVNNNGNRGGEWHKNYDGSDGNDRSGSYNGNYNGGSFNYGGQAALKGYSSFQGSNHAEEDEEIGFGADEEYEDYSNVWNYNPYDDIEENGEEFEDYNSGLYDDGKEEDEEGFEGSYDEEGCKDYTTTFEHEDVNDGNGDEDYYCDCGGYDYPDDYPDDYADAYVDFDTDYD >OMO91488 pep supercontig:CCACVL1_1.0:contig08336:16005:16964:-1 gene:CCACVL1_07101 transcript:OMO91488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MIPLGLSAAVSTRASNELGAGRARAASLAVCVAVFMVATEGILAGTVMILGRKVWGYLYSKDDQVVKYVGEMLLMIAFSHFIDGIQSVLTGAARGCGWQKIGAVINLGAYYLMGIPSSIILAFVFHLGGKGLWTGLIVALFVQASCLAIVTLSTNWEQEAKKAADRVYNTASPADALS >OMO91487 pep supercontig:CCACVL1_1.0:contig08336:14972:15394:-1 gene:CCACVL1_07100 transcript:OMO91487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWGQLAEMGQTAFSVVATSTHAAVEAMPTSVENIAAETAKAAAAVATIAPVAAAILPAVAAVAAPVALVAGIVAASKPEVDQSVAGAAKTAAVVATVAPIAVAVLPAVAAVAISVSAVAGTVVAASEVHKIVTDKEKN >OMO91486 pep supercontig:CCACVL1_1.0:contig08336:11492:12902:-1 gene:CCACVL1_07099 transcript:OMO91486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGSRGHRIPSQQDFNHKSVPKLITSSDSKVRQGEQSGYYQKTSRTSYVDKQTTKYIRDTNKEVVSTGETFKERLTGRVGYKDEYKITNTHKNRVDYGGCSNGYNKGNYGGSNSSYNMGNYGAGSYNYTGGKAAISNDHCNKYEESEDDEGEGCADSAYSGDSDDHYNKYEESDDDEGEGCEDSAYSGDSDDHYNKYEESDDDEGEGCEDSAYSGDSDGYDSNESNYYSESDSD >OMO88010 pep supercontig:CCACVL1_1.0:contig09134:5534:13494:-1 gene:CCACVL1_08596 transcript:OMO88010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTPKQHIEQIRKGKFSIGGEANPLTEDLHQAVRNLSAELYTKDVHFLMELIQNAEDNEYSDGVKPSLEFVITSRDITATGAPATLLIFNNEKGFSSKNIESICSVGRSTKKAYRERGYIGEKGIGFKSVFLITAQPYIFSNGYQIRFNESPCPHCGLGYIVPEWVEENPTLSDIKEVYGSSSALPTTTIILPLKPDKVEPVNQQLSSVHPEVLLFLSKVKCLSIREDNDDPGLNTVSSIAITSETNFVTRKNIDAESYTLHLAAEEEGDTFGRECSYYMWKQKFPVTQENKVERRIGVEELVITLAFPNQERLHRGMSLPGVYAFLPTEMVTDLPFIIQADFVLSSSRETILLDNKWNQGILDCVPFAFVNAFISLVKMTEDAPVSSLARMFTFLPVKSSSYVKFNAIRESIRLKLVDEDILPSDESSQEQKFFHKPSEVSRIMPAFWDIVVKARKDGVGLHNLSSHGTYVLHSSFDCVIYDGFLKFLGVGPVKSGWYAQCIQSSNLVLGVSEDVYLDLLLFLAENWQNIFQSTNIRNIPLVKYVDLYGCVSLISVCKSTDGKEGIICQSHGYHHVSWLIDWNREFRGVSSCLFLPKSTQEALYSYLKKDVILKWLREQGKVADVSVYKYAKALIEDLGGERKLVVTYAHFLYHSFSKDFLTKEDVGRLCRIMPLVDNYGNVTATANKRVLVPANGSKWASLIGSNPLKAEGYIELGEDYLHPGNFVGEITPEKKLFEFVGSFVAASDIPSLSPPNAAIPAVSSPLTKGNTFLLLDWIQYMKRNRIIIPEKFLTSIKNGSWLKVTINGSSTYKPPSQSFFYTSSWGNILQNGSEFVDIPLIDQIFYRDRISEYKEELKEIGVMFEFREACAFIGKHLMGLASMLSKGRVLSALGFIKHLVTNLLPPEEFICSIKEGKWLKTSHGYKSPVGVVLFSEEWETVRQICNIPFIDQTFYGDEIIDFKAELKLLGVRVAFGRNYQFVIENLKSSSHLTSLKADVFLLLLECMRNAKSSESLVTALTRAKCLKTNLGYKPPSECFLFDCEWGCLLQFFSCFPIIDLAYYRSIIYTYRYELQRLGVVVDLKEAVESFANYYRRQASVSSITKDNVLSFLSCYRQLERMPHQIPSDLKNLIGEVKWLRTRLGDFRSPRDCILFGPTWESISPITLLPFIDDSDNYYGKGIHEYRDELKSIGVVVEFERGVRFVPGCLCFPQSAHSIAHTSALSLLECMRVLSEDKNYNFTEAFLKKVSGSWLKTYAGYMSPGYSLLFDERSELKPTDGPFIDEGFYGSKIRTYRKELESMGVTVDVEKNGSTLLASYLGSHSDFATITRIYKFLTKVGWENHCEATRKIWIPDGNKNGRWVKPDECVLHDNDGLFSLQLNVLEKHYKDKPLLQFFSRAFGVKSNPSLDDYCKLWKGWETSGHRLSRDECFAFWRFVVKHKSSKKEQILSDMLKLPVDSGADGIMLFDKHDVFIADDLQLKDLFAQSSSRPLFVWYPLPSSPSLPLTMLLELYRKVGVRMISESVKKTELSLKNTSELKQVNFGDVMNAKELVRLILGFLAGSSIKMEADKRHEAVQCLLNLTVLETSEPVAVGYTLLFSSGKTLEVTSSRMFRWDRDSSKFFKQKMDKSTGRKNLLQYATYFSEAIAEGVLWEMEDQISSLSELIKLAFILKFDEEEVGFLMKSKNLQVFAEDEEFLSAAFPTKKGHGTIAMNYIGRSTKMGNRKHGYIGEKGIGFKSAFLITSQPYIFSNGYQIRFNETPCPRCNIGYIVFEWVEEKRTLSDIQKIYGSSSALPTMTIVLPLKPDKVKPVKQQLSSVHPEVLLFLSKIKHLSVRDKEDPRLNTVNAIAITSETNFVTRKNIGAESYTIHLAFEEKGDKFGRECSYYIYVEAKKFPVRQANK >OMO88008 pep supercontig:CCACVL1_1.0:contig09134:2379:2546:1 gene:CCACVL1_08594 transcript:OMO88008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLHDNNNNNIPVSSSSSSSSSSSSSSSNITEVSSSAADYNLEEESSNCCNILF >OMO88009 pep supercontig:CCACVL1_1.0:contig09134:3710:4750:1 gene:CCACVL1_08595 transcript:OMO88009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGSSKINRPQAEIMPKLPPIISRKIEEIRRHRGGGNNLSKKQLLNNGSEEDSHPRHCNDHKSDDSSSMDHDKDSLGSPKVAPEPISDHHKEVIRAKNIQQDYNNNSNKDKDVKHEDEEDDDDVTKTLEKLGLEETDDEIEDQYGRLSNVGGSLTCPASPSFRVYYIESLQHKDIDDDIEEDDHQLELDIGKDYIITKGSLSDTDVVSQKSSEESTSETKIINKKRRRGMKFRRVIPKGKPVRKFLSVKSCYYPMSCGGRRHELTRLVSAKAAATS >OMO84830 pep supercontig:CCACVL1_1.0:contig09692:41627:44061:1 gene:CCACVL1_10629 transcript:OMO84830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calycin-like protein MEKENPAIHPAIAPLSYLLGRWRGEGEGGYPTINSFRYGEELQFSHPAPGKPVVAYSQKTWKLSSGEPMHSETGYWRPKPDGTIEVVIAQSTGLAEVLKGTYNAEDKVIKLQSELVGNASKVKQITRVFELVNGDLSYVVQMATNLSSLQPHLKAVLKKLP >OMO84836 pep supercontig:CCACVL1_1.0:contig09692:80002:80712:-1 gene:CCACVL1_10635 transcript:OMO84836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus 6 MPDLEEGGEERSVTYEELRERLRKKIEELRGARNTAGGSDKGKKKDEKKGNAEKKRKRFGGVEKKKGTDNNDKHKIEKDVEEAAKELAFSHVKLGDEEKHGKMKKRKLSKYKELENALKLQEAKKDPEKGEAIAKKHSWRAAMDRAAGIKVHDDPKLLKQSIQKEKKKHQKNVQKWNERVETTEKLKAEKQQKRSENISEKIHEKKMRRIAKREKKLLRPGFEGRKEGFINEGSST >OMO84823 pep supercontig:CCACVL1_1.0:contig09692:1869:3762:1 gene:CCACVL1_10622 transcript:OMO84823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MASAKRGLIILITIMLATLFGVSVAAIHNVVFEYNNSTDDVRQVSLEDFESCDGTSAIAAYTSGSDMVALDKAGHYYFISGVAGHCKAGQKLDLLVQSGLFERIAVFFFPFMDMGPAKFCLAMATLFGVSLAAIHKVGDSTGWNVRENTDFGKWASNNTFIVGDYLLFEYNNSTHDVRKVSLDDFESCNGTSAIAAYTSGSDTVALHIAGRHYFISGVAGHCQAGLKVNVLVHPAASPRKTLSREDCGLNLIEAFAVHFFPNTDIGEAKIYVYMMMILIYIMLLRVASV >OMO84824 pep supercontig:CCACVL1_1.0:contig09692:4137:8567:-1 gene:CCACVL1_10623 transcript:OMO84824 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MVGAGAAQVMLYSHTNISGGGGGIFALKLCHSRGQHLHLRPHPAFFSMSRRRGRSSLNISCCASQSQSQTQSLSYNTESSQTQKSKALFDRFWKVAAPYWFSDDDKFQARLQLAGVFALTLATTGISVGFNFLGRDFYNALANKDQAQFSTQLLYYLCAFAVGIPFFVLRDYAKETLSLRWRCWMTSYYMQRYLSNRTFYKIQSQSIIDNPDQRIVDDLSAFTSTALSFSLTLFNAAIDLISFSNILYGIYPPLFLVLLLYSIGGTAISIYLGKGLVSLNFLQEKKEADFRYGLVRVRENAESIAFYSGEDNELQLLLQRFTSAFENLTQLLISSRNLEFFTNGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFNDVLDSSRSESLSDSVEQINLTYVRGSQVLDSNGSIPNYTSPRLLEVENLTLKTPKNNSTLVRDLSFMINKKDHLLVMGPSGSGKTSLLRALAGLWNTGNGKITFYVEDEGDSQPSTSSNVAPLEVKSANIDEEHGRPINSNSRSVFFLPQRPYMVLGTLRQQLLYPTWAGEAIPVSDGTKPGGPKLPFLTREPSSEIGGEKHSMPVPTTDDLTQVLVDVRLGYILSRFKGLDSVYEWSSVLSLGEQQRLAFARLLLSKPKLVLLDESTSALDEANEAHLYEKINEAGITYISIGHRRTLYAYHSRVLQIATADADSEQCNWNLESIDHDSLQNILKR >OMO84829 pep supercontig:CCACVL1_1.0:contig09692:25945:37228:-1 gene:CCACVL1_10628 transcript:OMO84829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MSSKTNKTLDSSEAELSESSSGLAQSDQKTTDSQFPKKKNNLTCTLPAPADPRKMDRMGKMTSPVTKVNENLNHQNDHKMPSPRIPPPTPNSEIQHTSSTTTSSMPTHTRQSNSSRSNSLESSMAHLRPHTGGDVRWEAVNSVSAKGPIGLSNFRLLKRLGYGDIGSVYLVELRGTNAYFAMKIMDKASLASRNKLLRAQTEREILGLLDHPFLPTLYSYFDTDKFYCLVMEFCSGGNLHSLRQKQPNKHFTEEAARYSSKSPSTSLSSALQRYLDPDATSHSHLINHLQTWPPGVDVSSSVAAAAAAAAAVPSVKRSSDVLYHQSVLDAHNTLGQTEDWYSTSSLVKRYRLESASTLTVYPQRPGEKDCAHYMQTRTCKFGDSCKFDHPIWVPEGGIPGWKEVPLAAAAESLPERAGEPDCPYFLKTQRCKFGSKCKFNHPKDKLATSGATESVIISVLPERPSEFPCAFYMKTGTCKFGATCKFNHPKDIQKSSSGQDNAKEQIEIVINSSGVTGDVKPALSHNSKGLPLRPEEVDCPFYLKTGSCKYGASCRYNHPDRNAFTPPAAAISNLNIGVGTSAASLYQTLIAQQTLSMLGVAQTVYPQRPGQTECDYYMKTGICKFGDRCKFHHPIDRSESTTNQTSEQAVKLTLAGLPRREGAVHCPYYMRTGTCKYGATCKFDHPPPGEVMAIPTEQGTSPAVGGEVKEVATLETNTTFRI >OMO84834 pep supercontig:CCACVL1_1.0:contig09692:62690:73689:1 gene:CCACVL1_10633 transcript:OMO84834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MSLLSRFFYRRPPDGLLEFVERVYVIDSCFSTEVLPDELYQVYLHEIVTELHEEFPESSFLAFNFREGEKPSHFAEILCQYDVTVLDYPRQYEGCPLLPLSLIQYFLVVCESWLSLGNHQNVILLHCERGAWPLLAFLLASFLIFKKLHSGERKTLEIVHREAPKGFLQLLSPLNPLPSQLRYLQYVARRNIAPEWPPPERVLSLDCLILRAIPSFDAQNGCRPIIRIFGRNIHGTDGLSTQMLFSTSKKRKTLRHYRQAECDVIKIDIQCLVQGDVVLECVHLDLDPEREVMMFRIMFNTAFIRSNILMLNSENLDILWDSKERYPKGFRAEVLFGEAESSSPPKAPITILNGEEKGGLPIEAFSRVQELFSGVEWVDNSDDAALWLLKQLSVFGDVKELSRLQNKGNLYASPADSEEENNASSTADSSDEAFEVTNKPSVDPAKSPLPEVVDTVLSHLENDGLHDLNHASETLNQASEEAIQIVTSPAAGSYSSSPLPPSSPALSSISTPPPPPPPPPPPPISGKSLASQPPPPPPPPLHTPSSVNILRPSSPLPPPPPPLPTFSSGNATSLPTTAKRAPTPPPPPPPPPEMFSISPKATSRGPPPPPPLPPFGASKELPPAPPHLVPRPPPPPPPPPRGPAGSVPIPPPPPVQRHNASAPRPPPPPPPPPRGPAGPMVPGTKESNVPPPPPPSIGRGKALGQTATGRGRAINNVPKKASLKPLHWVKVTRAMQGSLWADSQKQDNQSRAPEIDMSELESLFSAASVSDGSGANKLGGRRGSNINKPEKVQLVDLRRAYNCEIMLTKIKIPLPDMINAVLALDSSALDIDQVENLIKFCPTKEEMETLKNYTGDRAMLGKCEQFFLELMKVPRVESKLRVFAFRITFSSQVDDLRANLNTINSVAREVKESAKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELLDFDKDLSHLEAASKIQLKTLAEEMQAVSKGLEKVEQELAASDNDGAISAGFQKVLKNFLNTAEAEVRSLISLYSEVGRNADSLSQYFGEDPARCPFEQVTQILVVFVKMFNKAREENDRLADAEKKKLEKEAMKERASGVRTQLRSSKMEMACSTVEMQGVVQAFLMHGKTVKNAVLQRVQVVSPVMRPIMLSRSESTSSASSARMEEHGFESTTIADILKTKGAAADGSWLWCTTDDTVYNAVESMTRHNVGALVVVKPGEQKSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPDTKVLRAMQLMTDNRIRHIPVIDTKGMIGMVSIGDVVRAVVSEHRQELERLNAYIQGGY >OMO84835 pep supercontig:CCACVL1_1.0:contig09692:74428:79296:1 gene:CCACVL1_10634 transcript:OMO84835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLFSRTLLGHRLLQSASSLPNKPLIFSSFFNKRQFRVQTEPTYTTYKSSFAQPSRFMASSAIETRTVFSLQSLSTNDPVVSVDWLHSNLKEPDLKVLDASWYMPDEQRNPIQEYQVAHIPGTLFFDVDGIADRTTKLPHMLPSEEAFAAAVSALGIENKDGVVVYDGKGLFSAARVWWMFRVFGHDRIWVLDGGLPRWRASGYDVESSASSDAILKASAASEAIEKVYQGQVAGPVTFQTKFQPHLVWTLDQVRRNIEEKTHQHIDARSKPRFDGVAPEPRKGIRSGHIPGSKCVPFPQMLDASQTLLPADELKKRFDEEGISLEQPIVASCGTGVTACILALGLHRLGKPDIAVYDGSWTEWGAESDTPVNTSSS >OMO84832 pep supercontig:CCACVL1_1.0:contig09692:50691:53578:1 gene:CCACVL1_10631 transcript:OMO84832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALDMSLDDIVKKNKSERGGRGRGRTRRGRVFFGGGRTAGANPASRRVSDAWLLRRELDPLATLGISSVQDFSSCSLLFCSVHGLFEKELPSYAFELKYSAPISSQRTRSLPWQHDLFEDSLRAAGISGVEVGTKLYVSNLDHGVTQEDIRELFSEIGELKRYAVHYDKTGRPSGSAEVVYVRRSDAFAALKRYNNVLLDGKPMKIEIVGTNAEAPISARVNVTGVNGRRKRTVVMAPGAGQSRSSAGSNRGLNRPRGTRSGRGSGGRGRGRGRGGGGGGRGKKKAIEMSADDLDKDLDNYHAEAMNVS >OMO84828 pep supercontig:CCACVL1_1.0:contig09692:22801:25462:1 gene:CCACVL1_10627 transcript:OMO84828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein MVLQSWTPHNLFLTFTHTKNHTRRYNLIRIPRKPSKFTCLVNIGLEDIAQVAHNKVLIAAGVSAAIGQLSKPFTSVILYGKDFDYKTAFQAGGFPSTHSSSVVATATCIGLERGFSDSLFGLTLVYAGLVMYDAQGVRREVGNHAKALNTVLPKRQINSFVSKSRDDSIDSPEESTSTSNSGSLDSRSSEKARSSTSKSANVALLVTSDDEVKQANEGVASFKLAADDNQSSESEVNIQLIPLKESIGHTEVEVIAGALLGFLVSLAVYSIM >OMO84831 pep supercontig:CCACVL1_1.0:contig09692:46041:48601:1 gene:CCACVL1_10630 transcript:OMO84831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MKQRLISLFLILLLFIVQARARHDSIKLELLHRHAPQLNHGKPPPHGRLKDLIHHDFIRHQWREETRRGRRRSNANVAVEMPLGAGRDFGIGQYVTTLKVGTPSQKFRLIVDTGSDLTWINCRYRCGLGDDCSEHRRINKKRVFHAYQSSSFSPVACFSQTCTVELSYLFSLTACPTPVTPCAYDYRYADGSSAMGIFANESVTVGLSNGRMTKISNVLIGCSDTFQGPTLQNVDGIMGLGNSKYSFATKVAEKMGGKFSYCLVNHLSHINVSSYLIFGSSNDQIEVSGNARSTKLELNSEVSSFYAVNVLGISIGGEMLDIPSQVWDMTQGGGTILDSGTSLTFLTDPAYRPVMEAIEKSISKYQRVNLDGVPMEYCFNSTDFDETLVPKLIFHFADGARFEPHWDSYVIEAADGVRCIGFLPARFPGTSVIGNIMQQNYLWEFDLERNKLGFAPSACGNS >OMO84837 pep supercontig:CCACVL1_1.0:contig09692:83016:86652:-1 gene:CCACVL1_10636 transcript:OMO84837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIH subunit p52/Tfb2 MPSSVTARLPTVEELDEYALDKWERFLLQLISPGQAETATSFSSSMMRIFQRGLIRQREKEAPRLTESGFQFLLMDTNAQLWYIIREYISNSEEQGVDQADLIAFLLELSFHTSGQGRKESWFIPTKLATNLSVSLTDSSSRKQGFVVVETNFRMYAYSSSKLHCEILRLFARVEYQLPNLIVSAITKESLYNAFENGITAEQIVTFLQQNAHPRVAEKIPSVPENVTDRIRLWETDLNRVEMTPAHHYDEFPSRDVFEAASDFARVHCGLLWEDAKKMRLIVKADIHMLMREHLRGQNK >OMO84825 pep supercontig:CCACVL1_1.0:contig09692:11722:12702:1 gene:CCACVL1_10624 transcript:OMO84825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSKRCKVSIDKNRESCSRRWEDLDYNILRVIFNLVSDKDLLCNVTGVCRSWQRACLDLLFWSDPKAKALDFRNLTSSLGIKKETGMVFSEGVDLLRRVWPRIARNILEGEGEDADWGRSLTKIFIPAPLCFVLVDEDLVSMAERTPGVESLILFGSSGITRGGFAKAMVYWRNVTHLGLGERSSSLWKTTMDMEILEEMGKSCPKLATLEIRSSTRLETEAARAIVRSVPKLMTLRLENVKVFKGAIRLIISNSPELKVIEFRSCDLRFENSRLSSDTYRFSFDWTRKGDSEEWCFQYCSALVTDDIEHKVEFPVSDDYDLWIH >OMO84833 pep supercontig:CCACVL1_1.0:contig09692:57425:59099:1 gene:CCACVL1_10632 transcript:OMO84833 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MSESVSFSIFHPLLQKIPSGCSKLFSDKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIHLSNSKKIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDDDDSDVQEDGWVVCRVFKKKSHNRGNFQADYSHQEENFTHIKNNTSNLASSAHQMEPRHNHLQALYDYNSSFDGSMHLPQLFCPESAAAAAAAAASSFLSPVSNLNSIDLECSQNLLRLTSSAAGGGGLMQQERFNGEWSFLDKLLATSHHHHHHGLDQQQHTQSNSKMDHHHHHVGTSTQKFPFQYLGCEAADILKFSK >OMO84827 pep supercontig:CCACVL1_1.0:contig09692:18099:22142:1 gene:CCACVL1_10626 transcript:OMO84827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase NADP-dependent MAFNKIKVTNPIVEMDGDEMTRVIWKSIKEKLIFPFLELDIKYFDLGLPNRDATDDQVTIESAQATLKYNVAIKCATITPDEGRVKEFNLKRMWKSPNGTIRNILNGTVFREPIICQNIPRLVPSWTKPICIGRHAFGDQYRANDVVVKGPGKLKLVLVPDSSDEKVELEVYNFKGAGGVALSMYNTDESIHAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYETQWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDGNARLLDFTKKLEEACIGTVESGKMTKDLALLIHGPKVARSHYLNTEEFIEAVANELSKRLHLKANL >OMO84826 pep supercontig:CCACVL1_1.0:contig09692:13565:13865:1 gene:CCACVL1_10625 transcript:OMO84826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGRMKIGLPPASCSFATSSCLTQSQERDSNSSCESKDTRL >OMO67175 pep supercontig:CCACVL1_1.0:contig12465:55875:57774:1 gene:CCACVL1_20734 transcript:OMO67175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKPVGLVYNKGNTSSNLCLPGSLDPAIVRGKVVVCDRGTNARVEKGAVVRDAGGLGMILANTPVSGEELVADSHLLPAVAVGRKTGDLIREYARSDPNPKALLVFGGTVLNVRPSPVVAAFSSRGPNMVTPQILKPDVIGPGVNILAGWSEAIGPTGLAKDTRKTKFNIMSVLFGDKRVVRYTRELTNVGAARSSYRVAVNGPPSVGISVRPKALTFRSVGEKKRYTVTFVAKRGTSPTSRSEFGSIVWANARTQVRSPVSFSWTLL >OMO67187 pep supercontig:CCACVL1_1.0:contig12465:99425:102475:1 gene:CCACVL1_20746 transcript:OMO67187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWESLFSLLLFIICGAEPKRHVRDDISTLKQTEVINSEEELHAEPKRHVRDDISTLKQSEDINNEEELHAEPKIHVRDDISTSNQMEVINKEDEHHAEPKIHVRDDISSSKQTEVIYNEEEHHVDKLPSTDTDTAESFSEFETVAVQKKEEETAKQKHSPKSEKTGFVKSGSSSTQVEETVNVILGEKTSEREKKVENQACNYAKGKWVIDDRRPLYSGSGCKQWLAPMWACRLMQRQDFAFEKLRWQPKDCEMEEFEKSKFLKRFGIWPSL >OMO67182 pep supercontig:CCACVL1_1.0:contig12465:78480:78932:-1 gene:CCACVL1_20741 transcript:OMO67182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGSDTQTPSSKVTVPLEIQCVKCESCGFTEECTPAYILRVRERYQGRWICGLCIEAVKDEAVRSDTLISTEEALDRHISFCKKFRASSPSDETEHPISAMGRILRRSLDSPRTLRSNSSSVLPSFEEVKLKATPLLRSESCFSALSS >OMO67169 pep supercontig:CCACVL1_1.0:contig12465:19575:22047:-1 gene:CCACVL1_20727 transcript:OMO67169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTLVSSIILLLCCAAAAAAATTFEDSNPIRMVPDGLREFQSSVLSVLGETRHALSFARFAYKHGKKYETVEEMKLRFSIFKENLDLIRSTNKKKLSYRLGVNEFADLTWQEFQKHRLGAAQNCSATLKGNHKLTDVVLPETKDWREEGIVSPVKNQGSCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKYNGGLDTEEAYPYTGKNGVCKFSSENVGVKVFDSVNITLGAEDELKHAVGLVRPVSVAFEVVNTFRLYKDGVFTSDTCGSTPMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGLNGYFKMELGKNMCGVATCASYPVVA >OMO67185 pep supercontig:CCACVL1_1.0:contig12465:89495:92578:-1 gene:CCACVL1_20744 transcript:OMO67185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSVCVVTQKKVPDKLLDQTSVSHLFPITKFLGLLATGMTADARTLVQQARNEAAEFRFRYGYEMPVDVLAKWIADKSQVYTQHAYMRPLGVVAMVLGIDEEKGPQLYKCDPAGHFYGHKATSAGSKEQEAINFLEKKMKNDPAFTYEETVQTAISALQSVLQEDFKATEIEVGVVKADNPVFRVLSTEEIDEHLTAISERD >OMO67176 pep supercontig:CCACVL1_1.0:contig12465:58945:62099:-1 gene:CCACVL1_20735 transcript:OMO67176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSGGGSSVPSLPPPCPKSPPEYPDLYGKRREAAKVQMLEREISFLEASNGLREAAKKAGRIESHVAFGSGFVECPVLTCHGSAVAVIPAALATLNARGAVTVVFLTAIHATAAPVTAAHALANHVNANPATATHASALHATAAHLTAVHVNALHVIAVHVNALHVAAVHAVHVNVLHATAVHVNALHVTALHVNALHVTALHVLAAAKYLNGGAALVLNQIAVRKSHAAGTVAAFSHFLRAQIAVVADGNALVLNVQRWTNNLTNHGCK >OMO67177 pep supercontig:CCACVL1_1.0:contig12465:65483:65623:-1 gene:CCACVL1_20736 transcript:OMO67177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLLKCRAHPPSGQGFRTPRVGAVGSPLASSCVPFYSLIKSQPSQ >OMO67174 pep supercontig:CCACVL1_1.0:contig12465:54741:55148:-1 gene:CCACVL1_20733 transcript:OMO67174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPKSELSIETEELGSTSSVESVNSYCKTEYEEEEEEAEKEPRRSHGGHGYGKQSRREC >OMO67178 pep supercontig:CCACVL1_1.0:contig12465:67752:69197:1 gene:CCACVL1_20737 transcript:OMO67178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAHHNTLMPVIVSKLYCASSQVVLVVRRRPLVVNGGGFVVTDCSQRVVFRVDGCGVLGTKGELVLRDGNGDALLLIRRKGGMVEALSINKKWKGYTFDYEGSQKLVFTLKEPRSSCLARNNAISISTEPNKGSSNFEIKGYFPDRNCSIIDSIGNIVAQIGVKEEVEALMTSKDFYHVVVKPGIDQAFLFGVIAVLDYIYGESTRC >OMO67180 pep supercontig:CCACVL1_1.0:contig12465:74195:74254:1 gene:CCACVL1_20739 transcript:OMO67180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGPGLDHSFDWAIALGC >OMO67173 pep supercontig:CCACVL1_1.0:contig12465:46797:48580:1 gene:CCACVL1_20731 transcript:OMO67173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MATLDSDVPMVPVGEASSSAAPSSSTKKPKRFEIKKWSAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >OMO67170 pep supercontig:CCACVL1_1.0:contig12465:36510:37196:1 gene:CCACVL1_20728 transcript:OMO67170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMKITWRSIIPSCFEKPVEPKPKNKEVSKQNSFTRLAMLDLSYPSSMLSEDLSTSLAGSNLHVFTLAELRVITQSFSSANFLGEGGFGPVHKGFIDDKLRPGLKAQPVAVKLLDLEGLQGHREWLTKN >OMO67179 pep supercontig:CCACVL1_1.0:contig12465:71876:73777:-1 gene:CCACVL1_20738 transcript:OMO67179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger membrane region MTEPKRPFFGCKKSSKFRGALHSISALILLFLLYNHEFTLKPSVESDYQVKVIRRRVMETNETTVKVEDLAANNVTASDPKLCSGLFQHKGYSTRCDYLIAHPECTAGGFFNYIKFLYCGCEKFSFLGFAVLALWLVALFYLLGNTAADYFCCSLEKLSNSLKMSPTVAGVTLLPLGNGAPDVFASIAAFVGKDAGEVGINSVLGGAVFVTCVVVGVVSLCIAERRVQIDRNSFFRDIGFFLFSLIWLFLFLTVGEVTVGTALLFVLLYVIYVIAVALNELARINIRMLKLGYLTPLLPVKGGTCSSGDEEGDPIYASLLKPDSSSDVPCLENRLPHWMWASQVAIYSNEPVDSAKSVWGWNDEETLKDQSSFSCSKFLSLLELPLTVPRRLTIPIVEEERWSKGYAVASATLAPILLAFLWNTRDDAGSLSKEIVYFIGFTFGGILGVAAYLYTTSDHPPRRFLLSWVFGGFFMSIIWFYIIANELVALLVALGVIFGINPSILGLTVLAWGNSMGDLMSNVALAMNGGDSVQIAMSGCYAGPMFNTLAGLGISLVLGAWYKRPAPYIVPRDSSLFCTMGFLAIGLIWSFIVLPRNDMRPNKTLGIGLIVIYLTFLIVRVSTSLGVLSVGLG >OMO67183 pep supercontig:CCACVL1_1.0:contig12465:80128:86151:1 gene:CCACVL1_20742 transcript:OMO67183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MAFKIILKNSGLFFLGCLIATALEIIFFSPISPDLIELPLASSSVSVSPPNNQLQRVTKLGEGFLKGPEDVAVDENGILYTATRDGWIRKMHRNGSWENWKKQQSQGLLGITTAKGGGLIVCDSEKGLLKFTEDGVTVLASHFHGSEIRFADDVIEASDGSIYFTVASTKFSFHNWYFDLLEAKPHGQLLKYDPSMEETSIVLDGLYFANGVALSKDEDYLVVCETFRFRCLKYWLKGESKGETEIFIENLPAAPDNINLAPDGSFWIALIQVIYEGTEFVHTSKVLKQIIANFPKLVNYINGATKRAAVINVGANGNILKRLDDPNGTVMSFVTSALEFDDHLYLGFLIAITLQIIFFSPISPDLLQLPVVSSVPVSPPNNQLQRVTKLGQGLLIGPEDVAVDENGILYTATRDGWIRRLHRNGSWENWKNLQSQGLLGITTAKGGGLFVCDSDKGLLKLTEDGITILASHFDGSEIRFADDVIESSDGSIYFSVASTKFKRHNWYLDLLEAKPHGQLLKYDPPTDQTSIVLDGLYFANGVALSKDEDFLVVCETSRFRCLKYWLKGETKGETEIFIDNLPAGPDNINLAPDGSFWIALVQIFYEGREFVHTSKALKHVIANFPELVKYVIGPTKSAAVINVGANGNILKRFGDPNGTVMSFVTSALEFQDHLYLGSLNTDFVGKLPLK >OMO67167 pep supercontig:CCACVL1_1.0:contig12465:11068:14999:-1 gene:CCACVL1_20725 transcript:OMO67167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTERHASSNPASPQENAMFLDILHEAPLFGHRKPRSIVGGVLYCFILASYATLAAAAPWIFKPIEKFVLPLLCSCDVILLIVTGIFQQYLVYQVQKIRLQGYYSFSQKLKHIVRLPFAITAYGTAAMMLVMVWKSYISILSTPAILRIIMLVEAVCSGFFMSVYIGYVHQYNSLDSQPDVLKSLYSPLQPSSPLEDLRYHDGRLSDQQMALLQYQRENLHFLSEEILRLQECLSKYEGSNDGSTPQVDLAHLLAARDQELRTVSAEMNQLQSELRLARSLMAERDAEVQRVRTTNNQYVEENERLRAILGEWSTRAAKLERALEVERMSNLELQKKISTIRSPTYASAESSEQRGA >OMO67189 pep supercontig:CCACVL1_1.0:contig12465:111378:113409:-1 gene:CCACVL1_20748 transcript:OMO67189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic solute transporter Ost-alpha MAASSINDVVLAYEDTYRAHHKEAVIIGGCFALVAVCLSLFLILQHLRSYSNPSEQKWVVAVLFMVPVYATESIISLWNPKLSLACDILRNCYEAFALYAFGSYLIACLGCERRVVELLENESRKQLCKPLVEKAAEQDQQAQQKSFWNFFLRPCVIGKDLLTIGKFGLVQYMILKTFCAFLALLLELFGVYGDGEFKWYYGYPYITVVINFSQMWALYCLVQFYNVTHENLRPIKPLAKFISFKAIVFATWWQGVAIALLCAFRVLPKQGKVQTALQDFLICIEMAIAAVAHIFVFSAKPYHFLPVPEYGEVTTETTKAELKVEEGDKETPALLERTETQIKAPGTSIKESVQDIVLEGGQQVVEDVVLTINQAIGPMEKGVTKIQERLHQRKTDSDDNGEEESELEVEVETHVEHHVSTDESDPDLFV >OMO67171 pep supercontig:CCACVL1_1.0:contig12465:38137:38262:1 gene:CCACVL1_20729 transcript:OMO67171 gene_biotype:protein_coding transcript_biotype:protein_coding description:cobyrinic acid ac-diamide synthase MAATITTETTKFIIKAIEIIIIIITIDPQGCLQFITLKVRL >OMO67186 pep supercontig:CCACVL1_1.0:contig12465:93517:95924:-1 gene:CCACVL1_20745 transcript:OMO67186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor 2B-related protein MAPDSKSSENTLQAICYKRGSLQLLDQRKLPLETVYLPIQDATDGWNAIRDMVVRGAPAIAIAAALALAVEVANIKDFNGTVGEAASFLEMKLDYLVSSRPTAVNLSDAATKLKEIISKAVSSATVPENVFLTFIEAAEVMLEDDVASNKAIGSYGANFLQQQQKNPMRFSVLTHCNTGSLATAGYGTALGVIRALHAKGVLERAYCTETRPFNQGSRLTAFELVHEKIPATLIADSAAAALMKEGRVSAVIVGADRVASNGDTANKIGTYSLALCAMYHHIPFYVAAPLSSIDLSLSSGQEIIIEERSAKELLNTRGGLGEQVAASGISVWNPAFDVTPANLIAGIITEKGVITKSGDAFDIKDFVKKVGCNSSV >OMO67172 pep supercontig:CCACVL1_1.0:contig12465:39177:41786:-1 gene:CCACVL1_20730 transcript:OMO67172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MESPSSCLVVAITWLASLALPLFLYRIFSTRSLNFPPGPKPWPIIGNLNLIGSLPHQSLHKLSQQYGPLMQLKFGSYHVVIASSADMAKQFLQKHDQIFASRPQTAAGKYTTYNYCNITWAPYGPYWRQGRKIYLNELFSSKRLESFEYIRLEEMGAFVSRLFALSGKRKPIVLKDQLSRFTLSIISRIVLGKKYFRTDDDDESNNDSSNIVTLEEFQEMLDELFLLNGVLNIGDWIPWLAFLDLQGYVKRMKDLKKKLDRFHKHVFDEHKRKKKDLMMNDFVAQDMVDLLLQLADDPDIDVKLTYDGVRGFTQKDGVQKVKSWCSTSLPAITLPLASKEFDGKS >OMO67181 pep supercontig:CCACVL1_1.0:contig12465:74753:76344:1 gene:CCACVL1_20740 transcript:OMO67181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDRKEYQFLGEIGLGPTNVGCFINGKWKASGSEVSSVNPSNNQAIAKVREASMGDYEEGMRACSEAAETWMNIPAPKRGEIVRQIGEAFRAKLEHLGRLVSLEMGKILAEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPEHMMLEVWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVRNACIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTW >OMO67188 pep supercontig:CCACVL1_1.0:contig12465:105492:110837:1 gene:CCACVL1_20747 transcript:OMO67188 gene_biotype:protein_coding transcript_biotype:protein_coding description:von Willebrand factor, type A MAEDFAKAVEDGLKLAKRIYLGKDRVVAPPRPPPPMERAPHWYLPSAPMVYAVIIDPRIVDNPDLPSYQPHVHGRCDPPALIPLQMNGVELNVDCYADTAFIQLSGSWRVHCVMGSRSCDCRIALPLGNKGSLLGVEVDLPTRSYSTELIGIEDSKFIENAAQPEDGGFLTPHIFTLTIPQIDGGTNISIKLRWSQKLSYKDGQFSLIVPFSFPEYVTPAIKKISKREKIELNVNSGIATGILCKGTSHPLKEVRRNAGKAGFLYEADVLAWSDTDFSFSYNVSTDDIFGGVLLQSPSLYDSDQRDMFCIYLFPGSQRKRKVFRKEVIFVVDISDSMQGMPLVSTKNAIAAALSMLSPEDSFNIIAFSSETFLFSTSMELASTEAIDRATEWISTKHSVGGGTNMFIPLEKATEMLSNTRGSIPMIFLVTDGAVEDERNICDWMKKRLSNKGSLCPRIHTFGIGSFCNHYFLRMLSTIGRGKYDAARDIDSIEDQMQKLFSRGLSTVLANITVDLFDNHEQIEVLSSYIPDLSLESPLTISGRYQGSFPDTLKAKGILGDLSSYITELKVERAKDVPLDRVLARQQIDLLTAQAWLSENKQLEEKVAKLSIQTGNISEYTRMILLEKNKTEQATETSGVKDLTN >OMO67168 pep supercontig:CCACVL1_1.0:contig12465:15588:17363:1 gene:CCACVL1_20726 transcript:OMO67168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGNFHQRPIFESGVPIGKFSHFQAEDFVNLIRPRNGLQMTLFSFTTCASRARASSKFFIPHFHAQFHGGSHPQGNIELKAIQKHEAWFVKVVCTLFVYSQPLDDCCLGYLSKNLTPFIEFESVKWLNNPALGLKFFEFSRVNFDIAHSILTYNLLMKSFCHMGLLDSAKLVFDYMKSDGHLLDSTMLGFMISSFGRAGDFGMARKLLAEVKSDEVVVSSFALNNLLDMMVKQKKMEEAVSLYKENLGSNFYPDTCTFNILIRGLCTVGNVDQAFELLNDMGSFDCFPDIITYNTIIKGLCWANEVDRGHKLLKKVQSRSDCPPTVVTYTSVISGYCKLGKMTEASALFSQMLSSGTLPTVVTFNILIDGFGKVGDMVSAKSMYEKMASFGCAADVVTFTSLIDGYCRMGAVDQCLQLWNTMKRRHISPNVYTFAITINALCKENRLHEAHGFLRELHCMNIHPKPFIYNPVIDGFCKAGNLDEANLIVEEMELKKCHPDKVTFTILIIGHCMKGRMFEAISIFNKMLAIGCTPDDITVRTLLSCLLKAGMPNEAYRIKKMSSVDMNLASSSSLENNAPLQINIGVPVAA >OMO67166 pep supercontig:CCACVL1_1.0:contig12465:5647:10288:1 gene:CCACVL1_20724 transcript:OMO67166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin-tyrosine ligase MSATTASTNGRIETYEDFVKVHGILLAASGLPQSLHFKLFEKLTSETFDGGAHFEIEPCEDGRQRRLLLTSDTLPKESDVFLIDHAWTFRLPDAYKQLQEVPGLAQRMAALMCVDVDEDAGSEEVVENGGKMTVEEVLESEIRNARENGDGVVRWLELEELGLDDDTLLSLDLSTKFPDLVALSLCGNKLENADKIVQEVTKFKDLKALWLNNNPVLEKSDKDFEDAIIRGCPKLEICNSRFTRNFGEWALGFCADIYGKDNPGSCRQGDPALQSVTSLDLSNRSIHNLINKAFSPFEMPNLSHLNIRGNSIEENSVSDLVGILKAFYCLQSLEVDIPGPLGESAVEILESLPTLSSLNGVDASKILETEKHVVDSMLQPRLPEWSSEEPLADRVLNAMWLYLMTYRLADEEKLDETPVWYVMDELGSALRHSDEPNFRVAPFLFMPDGKFASAVSYSILWLIQNVKKGDECTRDYLLGIGEDKQRSARLTAWFYTPQNYFIQEYEKHRNKLQSKSLPSVPTKPSTTNAVCRSDGGALRVYTDIPQVEEFLTRSEFVITNEPKGADIIWTSVQVDEEMKKAVGITDEQYVNQFPFEACIVMKHHLADTIQKAQGSPGWLQPTYNLETHLSQLIGDYCLHKRDGHNNLWILKPWNMARTIDTTVTDNLSAIIRLMETGPKICQKYIEHPALFRGKKFDLRYIVLVRSISPLEVFLSDVFWVRCANNPYSLEKHSLFEYETHFTVMNYGGRRLNHVNTPEFVREFEKEHQVKWLDIHQRVKSMIRSVFESAAAVHPEMHDPKSRAMYGVDVMLDDSFQPKLLEVCN >OMO67184 pep supercontig:CCACVL1_1.0:contig12465:87317:88799:1 gene:CCACVL1_20743 transcript:OMO67184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MASSSSLVKLLSILLIIISIFAEQAVSVAPDECQTEVNSCTNKKKAVPLKVIAIFSILIASIIGVCSPLFTKSIPALNPDRDLFTIVKCFASGIILATGFMHVLPDSFEMLSSQCLKEHPWHKFPFTGFVAMLSALVTLMIDSMATSIYSRKSSAGITPVNGAGSATNDMAVVNIGQFHGHGHHHDAKPADQHQQLLRYRVIAMVLELGIVVHSVVIGLSLGASNNTCTIKGLVAALCFHQMFEGMGLGGCILQAEYKAFKKFVMAFFFSVTTPFGIAMGMALSKTYKDNSPTALITVGLLNASSSGLLIYMALVDLLSAEFMGPKLQGSIKLQLKSCVAALLGAGGMSVMAIWA >OMO67165 pep supercontig:CCACVL1_1.0:contig12465:359:682:-1 gene:CCACVL1_20723 transcript:OMO67165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVFQRTDRTANSSLRRSEFIRGMQCISAYDSPAENNEGRARLLSAEEREDDDDSGSCSSSSIGRNSDDSDGSSSDGEDSPEAEAQSELKGPLDTIDALEEVLPMR >OMO99512 pep supercontig:CCACVL1_1.0:contig06877:25779:27239:1 gene:CCACVL1_03763 transcript:OMO99512 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-ATPase proteolipid subunit MSGTYSSSWATALVKISPYTYSAIGIAIAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPASQIYAPESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPAKSV >OMO99515 pep supercontig:CCACVL1_1.0:contig06877:85886:89736:1 gene:CCACVL1_03766 transcript:OMO99515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MYNKNLPGRRGLTNEFIAGVEEFIQFASTQYEHMDGELLRCPCRKCDNLQFLDPKEVTLHFYNKGFTKNYFNWVSHSEELWVEEQQVDDVSEELNLDQQFRDYEHRGPSFVPTASFDEVGPSGEPFYGEAQPSFKEVVPSPLGDEVPNPLGDLYDKFFNLLKDADEPLYSGCETNTQLSMVAKMLRMKAERNLPESTFNEFAKMLKDNLPRDNTLPENFYGYKRYKNMRGRVDNPKRKRIAHAILRWHFSHEIDEGHMCHLSDAEAWKHFDRTYPDFAFEPRKIRLGLCADGFSPYGQYGQSYSCWPVIVTPYNLPPGMCMKSEYMFLSLICPGPKNPKKNIDVILQLLIEELNHLWDHGEMTHDVSTGERFNMRAALMWTINDFPAYECYLDGVPLGYLDAQYVWRTQSVSIYKMVRRVDWDSAPPRLTGDEILNRVSQYKSPMEDPRGKTPEYGNGHKWTKRSIFWDLPYWKTNMIRHNLDAMHIEKNVFDNVIATVMDVPGKSKDNLNARKDVRLYCDRPEIAIPVESTFNRRGEGQKILRWLLEEELHAAQTYILRNCEEAQPIYQMFVTHLQQYDNAIIDEMVDKHFSKWFTGYVQNPNNQVTNQLLTTLAWGPFTRVKTFSSYFINGYNFHTLEHGETKSTMNSGVCVRSLNGDFYGLLEKIIQLEFSDMPPKVVILFNCQWFDPTVKGMKIDKKYGIVNLNRKRMYKKYDPFVLAQQVIQVYYCDYPSLKRDKADWMVAFKIKGRMTIEGRWKENDIPPYQMDETEARPVVSTYEVLPPLNDPNGIDLFVDLSDFGPQCHRLNLTTVVEEEEEEHEGYDEDIDDEEVDDEDDEEELGSAF >OMO99516 pep supercontig:CCACVL1_1.0:contig06877:91525:94564:1 gene:CCACVL1_03767 transcript:OMO99516 gene_biotype:protein_coding transcript_biotype:protein_coding description:TdcA1-ORF2 protein MTRDKTKPVSSRQSSGRATSANVANPLAPPCVQRSKRPGSVGASANVANPPAPPCVQKSKRPGSVGGVDLQTLIPNFVPPPVQEIPPGAPQETVGESDGDDGEHSDGGEEDYSDDDEEHIQQGSQAQQRPEFLPQRIHIVPSDMEGVWNTWSDVSAEGKKCYWQKFQALFTWAPEDKNAVELKYGQRCALWLKGAMHRCRMNRKKPRWITRAVWKKLVELWDSKEYQDLCAKNKRNQLSNSGATIYRGGCISTIEHRDREEKFKKARAEEVSRLGEGAVINDDHLWEKIMGVHKRRCYGLGNLITEMAVFPTCSQSSQSTSTINTDESSLKEEVAELRQLVQQQNKTQELILAALQSGGITLQAGSIPVGSRESVSQPQQVRYCFNLYGALLRKLSIFGRVSIGSIVPLFVDFPSKFHFYLCAGFEIDAEHMQK >OMO99514 pep supercontig:CCACVL1_1.0:contig06877:40941:41072:1 gene:CCACVL1_03765 transcript:OMO99514 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucan endo-1,3-beta-glucosidase 13-like protein MGLGFRDIKLSITLSYSSTITTAYPPSSPSFSEPAADLVIKLL >OMO99513 pep supercontig:CCACVL1_1.0:contig06877:27779:32067:-1 gene:CCACVL1_03764 transcript:OMO99513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monooxygenase, FAD-binding protein MIEKKSKAKAIIVGGSIAGVSCAHALTSAGWEVVVLEKTCAPPTGSPTGAGLGLDPLSQRLISSWLGNPHLLHQATLPLTIDQSQATDSATKVSWTLTRDEEFNFRAAHWADLHGLLYNALPENIFFWGHQFISFSISEDKASVKVKAKVLQYDEIIEIDGNLLVAADGCLSLIRQSFLPDIKLRYSGYCAWRGVLEFSGKEDSETIQGIRKAYPDLGKCLYFDLSYGAHSVFYELRNQRLNWIFYINQPEPHIKRNSVTMKVSEDMINEMIQEAEKVWVPELVRVMKETKNPFLNAIYDCDPLRQLFWDNVVLIGDAAHPTTPHGLRSTNMSILDAAVLGKCLEKWGIEDLPSALEEYQTIRLPVTSKQVLHSRMLGRIKQERGIGMIEKKNKAKAIILGGSIAGVSCAHALTSVGWQVMVLEKTSSPPTGSPAGAGLGLDPLAQHLVASWLGNPHLLQQATLPLTIDQNQATDSATNVSWTLTRDEEFNFRAAHWADLHGLLYNALPPDIFFWGYQFISFCFSENKASVKVKAKVLKTDEVIEIDGNLLVAADGCLSLIRQCFLPDIKLRYSGYCAWRGVLDFTGMEDSETIRGIRKAYPELGKCLYSDLSSGTHMGLYELPNKRLNWIFYVNQSQPHLNRNSITMKVSEDMINEMIQEAEIVWVPELVRVMKETKNPFLNVMYDCDPLRQIFWDNVVLVGDAAHPTTPHGLRSTNMSILDAAVLGKCLEKWGIEELTSALEEYQSIRLPVTSKQVLHSRMLGRIKQGLNLPNREPFDPKKATPEDSKDLQQKNMPFFTSVPSLIDSMLYSVTK >OMO99511 pep supercontig:CCACVL1_1.0:contig06877:12573:14069:-1 gene:CCACVL1_03762 transcript:OMO99511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MADGTVTYMGKRSVVSTISTQSKKLCNLSVLDRLMEPNQVKVVYYYPSRENVEPGEWIKKLRDSLSTVLSNFPIVAGRLQKNEKGHWVVKCNDGGLRMMEAKAKGSVEDWLKSVDRDKELKLIYWEDMFHKPYFWSTFYVQVTEFEKGGVAIGLSCTHLLADPTCVTMFLKAWADSTLSGKMSCPPFFHSLPQPEENDHFSFNKKNPNQDLINYYKSSIERPITLLISNQKYATITLSFRDSMVRDCIGMARATNTCSPAFETLAALFWVDIESAVNVGAENMLQEATKAIGEVVKKMDGEEIKDLIQWLKCNDHNNDRAVPSMNGYDLVCANLEGVNPYLAIFKDRLEPLRVSYYVEPMVGPGRVQIMQPPPGAAGEGPLSRVVMATLPEDEVAMLCKDELIQRFSPTVLMGSSTKY >OMP07174 pep supercontig:CCACVL1_1.0:contig04619:795:1139:-1 gene:CCACVL1_01360 transcript:OMP07174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14b/L23e THLNVADNSGARELMCIRVIGASNRRYAHIGDVIVAVIKEAVPNTPLERSEVIRAVIVRTCKELKRDNGMIIRYDDNAAVVIDQEGNPKGTRIFGAIARELRQLNFTKIVSLAPE >OMO59834 pep supercontig:CCACVL1_1.0:contig13865:4793:12019:-1 gene:CCACVL1_24585 transcript:OMO59834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGRIQDRKADLQNQRPALLAIARSHATLTKEELHWKSVFPNTPMPKVLKDILPPPGQSTIFRGSVSPDSDGVNDPEFGAYWYDGAYDDNSVKGSKAEDHPNNKGVNDPEFGAYGYDDEYNSAKESRGHNSDPNNKGVNDPEFGAYGYDYEYKDSAKESRGHDSDPNNKGVNDPEFGAYGYDYEYKDSAKEPRRTTPSSKGNKNSLGRKSGYEYDIGTIKETIYFFQEDLRPGKKMNLKRLTEKSDKALFLPQQIAESMPVSTDKLPEILKNFSIKPDSIYAKGVGVTVMNCERAEMRGEEKYCATSLESFVDLGVAMFGKNIRLLSHQLGKGVDGTKVNAVALAIAGSHSTLTTEELHWKSVFPKTPMPKVLKDILPRTGQSTIFRGDVALESEGAKVRPPVSRSAPNNKGVNDDSQYADDGYDSLYKDSIKGARDGDVRSDKDVNDDSQYADDGYDSLYKDSKESRGHDNAPNNKGINDDSQYADDGYDSLYKDSAKGAKDEDVPSNKGVNDDSQYADDGYDSLYEDLKESRGHDNAPNYNKGVNDDSQYADDGYNSLYNKDKKNSRGHDNAPENKGINDDSLYKDSAKGAKDEDVPSNKGVNDDSQYADDGYDSLYKDSAKGARDEGVLSNKDANDPPAFRNQDSSRRNDDYDIAAIKETMYFLQDDLRAGRMMNLQRLAQKSDRTPFLPQQIAQSIPISTDKLPVILKNFSIKAESSYGKGVRITVMNCERAEMRGEEKYCATSLESFVDLGVAMLGKNIRLLSHQLGKGLKNPLVTINRGMRDMGENNIVCHKTKYPYAVFLCHSIKKTTVYDVPLVGVDGTKVNAVAVCHKDTSAWSPNHIAFKFLKVKPGTVPICHFLRRDAIAWVRD >OMO52016 pep supercontig:CCACVL1_1.0:contig15642:4774:10573:1 gene:CCACVL1_29422 transcript:OMO52016 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSLQEEDNQERVIIDQEWIEELEGALAWFCLIGKSLAKKQPNLEALKAVMSKVWNVESGFQVKEFNKALLALNEYDGFQSPESVQFEVCPFWLCIYGLPLNMMNEKIGIAVGETVGPVLEFDEDWGRYLRVRVQMNFCQSLKAGTLVSAPNEELYVDFRFEKLLDYCWVCGLLDHLDNDFPVTVSLRKNHQIVKKKYTCNLKAEFPPVTPAKEPASSHRRGGSAASVSPVLRAGREVGNIGPAGSRSVPSKSINGGGPVRNHADSPALYGRRAARALQFDEASCEIIYRMNGNLVRQQPNLKEGQGQSQQRGNGGKVVAGLSVDSARRGILSKMGATLKENLLVEGEGEFRGVAAVNDVDSSNNSQYPDDYIPLIDNMGCGSFIGAEIIGAQSIPGVGLSSIGPTSGAVRSKSMGLQAELQRRANAKSGSTGATRQQRANNVQQGDEPYDPDAPYVFCAEASEGTKIRKWKKTAHTAQSASVDLLFHETMRSVGAADLREDEADSGNMKHRSSNQMEWIKVRLGYHCCFVVDSRGRLGGLALLWMANDCISLLSYSFYHIDVAIGSDMATQWRFTGFYGRPETSRRHESWSLLRNLGDQYSLPWLCAGDFNELKSNLEKEGGSVRSPRQMELFNEVIGDCNFRELPVQGLLMTSCRNKNGELLFERLDRSMANPAWWDRFGYSIEKHLSYRGDACPLRGEIYCRSRKSNKQYSKCQARKLEARMDYHLRFFSVVGNDVTDFVLDFLNNGSPLSNVNHTNIVLIPKLDSPRFAKDYRPISLCNVIFKIVSKVLANRLKDILPELIGENQSAFVPKRMIYDNAMIAFETIHFMRNKRRGRKHHMALKLDLSKAYDLVEWGFLRDSMRAMGFPDRWISLVMECVETVSYSVLVNDKQGETFHPTRGIRQGDPLSPYLFLLCMEGPSSMFSSGSRSGFIHGISISRSAPKVSHLFFADDSILFLQASRKECEAMISLLNLFEAASGQKINIDKSSILFSANTPLAIQNKVMSYLGIQRNPDTLCTRVLRAKYYPRGDFLHATLESSPSFLWRSLMAGRRVIIAGSRFRVGEGNLDIWKDRWIAKPPSFRPSPRIETVVPDLKEDASRILGLAIPRHPVRDCLIWNATRMGEFSVKSAYYVARDVLRRADSLQGPRQQTWKLVWSSQIMPKIHFFTWRLIWNILPTKGNLVFRGLDVPQLCEVCGKQPESVFHVFFRCKFSELVWDRVGPCVNLTLDQWNSDGDWWDFFIAKATSIGQLDKVLITLWLIWNNRNKALYEQLTWIPPPMGIVKINTDVAFCSSSGEAGLGVVIRDSAGKIIICASRCLNFIADSLYAEVHALLFGFELAIEHGIERCIFESDSLLPITQINKKDPIFWEGGHLIEEIRDLATLFDDYTFQFVHREANILAHNLANLWQDCVWCGTIPPGVL >OMO52018 pep supercontig:CCACVL1_1.0:contig15642:26107:26418:1 gene:CCACVL1_29424 transcript:OMO52018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MEDHNKKAKVKKGWLAVQVGLEEDGGFQRFVIPISYLYHPLFKRLLDKAYEVYGYHTAGPLRLPCSIDDFLNLKWRIEKESNHHNHHHNHHHHLPLSLSFHSC >OMO52017 pep supercontig:CCACVL1_1.0:contig15642:16041:20740:1 gene:CCACVL1_29423 transcript:OMO52017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKDLESDLEALRKLYGLLQCGGDVGSNVVLGERSKLLLKELLDGATERVLETHKKIVAAAEHGVCGRFSPAELENCRSVPEFQVQSVSPFIFKALPGVRRNSTKESTQNHVFSSQKDGHFSDKLTSNEVASQQSRLGFSLGKVGSRKKSCQLSKQSRKQQSIARILSKDSKHQGQIYDPLGPFHEANGDGRKLLERNETMRQRSIRRLQGTPSVVGSVAGSSRSLVSGDDLATYQDEPKREAESNFSKDVDNVVKHIESHISALRLCSKLADATKDTVPHMPKPARPMLQAKEHSGKKSELNYAVEPFSDRDELMLIGLGSPRIGKKEDSDGSQQVFRQSGNDFFDDIASKRRRIQHKEKVQPCKHSVRSQGSQDVNAKVEAGFYVQGLRVPINQPDIAKKPPMPVKMPSAIPMSYSRKQMTPQIAKSRASKPSQSAGSKASVKLITNKKSLSHQVQEERKNGGKGIFRNKTPLYQPNSRYSSKAGSESSDSEAYSLPSQDTAASTSYEDSRSSTRSDYKDASDSSRMYPPRTQKAIIPVNSQPEKAEGRLGRLRRIKKKIGLIFHHHHHHHHHRYDHENKTDRGKSMWTHLNKVFHPRNRHEAQDNNESRKDKASNVSVKHQVGHFHGLVQGLLQQLRHSKKSKPSKGGIHGHKNRNVKPLHWWQIFQRQGGVKLPSKKRVKLGFMSKKKQLRVPKLKLQLEAELKLLEEETAKRLEEAIQKNVEERLNSEEVKLDIEIRIVEARKKLFEDVTTQLEKEKQAALAEARRKQEQERREREELDKMLEENRRRVEEAQRREALEQQRKEEERYRELELIQRQKEEAARRKKLEEEEEHANQMKNSSKNKSSRPKVPFGIGL >OMO52019 pep supercontig:CCACVL1_1.0:contig15642:28281:42041:-1 gene:CCACVL1_29425 transcript:OMO52019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFGALAMDSDGEVVDDSLKEEENDDHVVAKALKGKKKKSKAAKKGGNRFALDEFDDDGFGKLEEKNDEEEDAPSLSFSDKKKSSKSSKKSGRTFGGSAFDAIADEDDDDGEVVEEENKVDDDDDDDMVAKALKGKKKKSKGGKKAGNSFAAVALDELDDEAGEEVVEEESKLEDDDMVAKALTGEEVVEEENIDDDDMVVKALTGKKKKSKGGKKGGNLFAAVALDELDDEAGDKEDEKKEEEEDVPSFPFSDKKKKSSKSSKKGGNSFSAAFLEDENDGDAPVSESTSAMDDYDDASAIAFSGKKKKSSKKKGGTELQTEVLDVVEPEQPSLGASYIEADDSKTDSKSEEVAETSKNKKKKKKNKSGRTAQEEEDLDKILAELGEGPPVTQAAAPPPEEKVQVPSEAVIAADATGEKEGEEESVESAAAKKKKKKKEKEKEKKAAAAAAAAVEVKEANQEEIKTETSDAKKKDAKSKAADKKLPKHVREMQEALARRKEAEERKKREEEEKLRKEEEERRRQEELERQAEEARRRKKEREKEKLLKKKQEGKLLTGKQKEEARRREAMRNQILANAGLSLPTAEKESAPAKRPIYGTKKSKTAHHHANGAAATKPEEVQAKENQQEEQETKDEVDSVEEEKVDEVESNNREDKSVVAEAAEENGMEEEEEDDDGEWDEKSWDDVNLNIKGAFDDEEADSEPKPVVQKDIKNATPASRNAGGGAPAATKPTVEAKKATASQPIKSQSDESKKPHPEVEAPQKNIKKDTAAKNKAPKSDALPQKSEENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPADNIRDRTKELKADAKLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLRMRNTEFIVALNKVDRLYGWKVSRNAPFVKSIKQQSRDVQTEFNTRLGQVVLQFKEQGLNTELYYKNREMGETFSIVPTSAITGEGIPDLLLLLVQWAQKTMVEKLTYNDEVQCTVLEVKVIEGLGTTIDVVLVNGVLHEGDQIVGPIVTTIRALLTPHPMKELRVKGTYMQHKEIKAAMGIKIAAQGLEHAVAGTGLYVVGPDDDLEEVKETVKEDMQSVMSRIDKSGEGVYVQASTLGSLEALLEFLKTPAVNIPVSGIGIGPVHKKDVMKASVMLEKKKEYATILAFDVKVTPEARELADELGVRIFIADIIYHLFDQFKAYIDGLKEERKKEAADEAVFPCVLKILPNCVFMKKDPIVLGVDILEGILRVGTPICVPQRDFIDIGRIASIENNHKPVDVAKKGQKVAIKIIGSNPEEQQKMFGRHFELEDELVSHISRRSIDILKANYRDDLSLEEWKLVQRLKATSCVLRAKKYVKRSRDFGGREEEKEEEAKANRSRKVHCEVEVISWRERRIKAEISVAADIDSVWNALTDYERLADFIPNLVCSGRIPCPHPGRIWLEQRGLQRALYWHIEARVVLDLQEIPNSSNGRELHFSMVDGDFKKFEGKWSVKSGTRSSTTILSYEVSVIPRFNFPAIFLERIIRSDLPVNLRALAGQAERKFAKDLVKTSSPGMDLDGALLEKDKLPPVGLRDSYANSNLGPPLPSSSEVNSSNWGAFGKTCRIDRPCIVDEVHFRRFDGLLENGGVHRGVVASITVKAPVREVWNVLTAYESLPEFVPNLAISKVLSRDNNKVRVLQEGCKGLLYMVLHARVVLDLHEQREQEISFKQVEGDFDSFEGRWLLEQLGSHHTLLKYSVDSKMRRDSLLSEAIMEEVIYEDLPSNLCAIRDYIEKRGTEISLETHEGQQSSFSSTNNETGYSDTAEQVLDSTSTNSPRQRPRVPGLQRDIEVLKSELLKFITEHGQDGFMPMRKQLRLHGRVDIEKAITRMGGFRRIASLMNLSLAYKQRKPKGYWDNLENLQEEITRFQRSWGMDPSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLSLKVRHPNRQPQTTAKEKQIENVASSVKEIEEKTPTKPYVSQNTQKWLSKLKHLDINWVE >OMP11413 pep supercontig:CCACVL1_1.0:contig01307:465:1160:1 gene:CCACVL1_00530 transcript:OMP11413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MSNQEAKPAITNLPTIGTTPIAAESLRNALVSMVEAWKEQQAENRGCTFIEFKKINPPAFEGHFYPLEAESWIKKMDHLFGLLNCTDEQKVSYATFMLEGDAVHWWEFAKRDIKKPVTWYVFKAAFSGHYLPRSFRKLKELEFLELEQGSMTVNEYDTRFIGLSRFAPNMVTKKGFLAGHFLRGLRPSIRSQLSILKPENYPDLVDDALAIESNLEVSQRTKRLKVLGTIY >OMP11414 pep supercontig:CCACVL1_1.0:contig01307:3326:5978:1 gene:CCACVL1_00531 transcript:OMP11414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KNSSSGGSNSGNKVQQQSVTCERKRPFQIIPDITKGKENVKISLVDEIGNQDLPKFTYLRNNVVYQEAYVHISLARIADEHCCSSCLGDCLSFPIPCACAQETGGEFAYTPEGQLREEFLRTCISMKKAPQKHHLVFCQDCPIERCKNERKPEKCKGHLVRKFIKECWEKCGCDKQCGNRVVQRGIASKLQVFSTCEGKGWGLKTLQDLPQGTFVCEYVGEIVTNTELYERNMKSSGRERHTYPVTLDADWGSEIVLKDEEALCLDATSFGNVARFINHRCFDACLIDIPVEVETPDRHYYHLALFTTRDVRANEELTWDYGIDFDDHGHPIKAFRCICGSPFCRDAKRKG >OMO72101 pep supercontig:CCACVL1_1.0:contig11507:6418:6528:1 gene:CCACVL1_17949 transcript:OMO72101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLSSSNLHPPETLTQSCRHRLKSPPPCQSPVRR >OMO59453 pep supercontig:CCACVL1_1.0:contig13961:1641:3217:-1 gene:CCACVL1_24819 transcript:OMO59453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MQNDKSSTVYGGDLAANHQIDGTYSSSDSSSSAAAGSLFALYHPRLLMQQHQDMINRHNLCLTRLREAAKEAEALRLENAALRSANRDLNKELSALIQASVQNHFVSSDYNSTPFELVNAMRDLCLGSVSSVGEEEVCDESPTSVMEGAKDADRVMLPKSISVRSNGYLKMMNQAGASHRGKNRGPTRSGSATQLSGAQKVYVQGGKKEEEPLALEVYNQGMFKTELCNKWQETGACPYGNHCQFAHGIEELRPVIRHPRYKTEVCRMVLAGDNCPYGHRCHFRHALTEDEKFMGHLKPRTR >OMO59454 pep supercontig:CCACVL1_1.0:contig13961:5739:10345:-1 gene:CCACVL1_24820 transcript:OMO59454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MEKICEFCTTSRPVVYCKADAAHLCLSCDAKVHSANALSNRHLRSLLCDSCRYRASYIRCLDHRMFMCRGCDQSIHDVSSTHQRRAVSSYLGCPSAKDFAALWGFELNELDNNAIQDHSLSNSCISVNPNMDKLDSLGQSSSHIGVSSSKSSVASAHAAGHNAGSNSQQTKVIDKGQQQQNPSFILQQILDLKKLQLTEGDRHLPFVCRQEQADTSSSICNSSKNLDSNLVQDISTSLHPSDNQLLEPNTDPLPLSFTHLENLPSSSASGIPLYGESFWQCKSPIRNSQLWSQNMQDLGVCEDIFSQEDFNMPDIDLTFRNFEDLFGGDQDPIRALLGDKDVSCSFVEKDMSFNKSDNCNARQMEDASGDSSIYMVQSAGTESNMDPSNHIQNPQRIIASPHPLRLSHSTMSFSVSRFSAESSATDCLDSGLSPIAQGEPSCLSPDLDSLHSEARENAMMRYKEKKKARLHERQIRSASQKARTDVRKRVKGRFPKMEDYDSDNANVTRSF >OMO51441 pep supercontig:CCACVL1_1.0:contig15820:7265:7342:-1 gene:CCACVL1_29795 transcript:OMO51441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSEFIKQGIKDANSEDGACQTRDH >OMO53679 pep supercontig:CCACVL1_1.0:contig15170:6017:6354:1 gene:CCACVL1_28439 transcript:OMO53679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate-energised proton pump MGFLLAANGLLVLYIAINLFNLYYGDDWEGLFEAITGFGLGSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNLANP >OMO53687 pep supercontig:CCACVL1_1.0:contig15170:41216:42026:1 gene:CCACVL1_28448 transcript:OMO53687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKVYGTALSTCTGRVLLCLLEKGLEYEIVPLDLANSAHKKQPYLSLNPFGQIPAFEDGDVKIFESRAITKYLSRKYKDTGIDLLGSSSLTETTIVDTWMEVESHQYNVPMQKIIRQMIVNPAYGIASDEKIIEEELEKLGKVLDVYEERLSKCKYLAGDFYSLADLHHIPYLVYFMRTLKSSFITSRPHVNAWWKDISSRPASVKLAENMNF >OMO53680 pep supercontig:CCACVL1_1.0:contig15170:8286:9422:-1 gene:CCACVL1_28440 transcript:OMO53680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTDQKRQNLIKVEEGWPVIQEAVNKLIQIIEGDKTQSFTSEGYMQQKPYEVT >OMO53685 pep supercontig:CCACVL1_1.0:contig15170:35416:38524:1 gene:CCACVL1_28446 transcript:OMO53685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein MVHGTGSSARRVKYEVGDMVWGKVQSHPWWPGQIYDEALASPIVNESKIEGHLLVAFFGDDTYGWFEPTKLIPFDPNYMEKSKQTNARDFMDAVADAENEIRRRAALGLSCFCRNPSNFKAVGVRGFFQVDVRGYGPGAVYTAKQIKSARDGFQPTDVLNFLKGLALMPCCNLKKGVDWLKKEAMVLAYRRAVFKEFDKPYFNSFGVEPEGTSDSSRILALQEKLPSEASLSCPPESPEATARSKCLPRSTETKKKRCLNESDISGVHSDQEQYMSIYEKVVIGGNIMQTRAPSGSLRQQKLNKKFDSMSMTLSQEDLNEATAMNKKPVRRKISSMNLMVDREHLPQGLAKVGNLDNLQAVDNALPPSGVISREKFLVTSSGHRDEQPSIYNQLEKDLTSKEAGTVNKRKRKTEFKLDESSQKRCKTVKAEASEGSKYGNSCQTGLGYLDNNPSQVDSQEVPSASKPPKKRLRPDDYEMFGQNNSDSSQNEGMPEKKKMKPSKLKSFSAEKEVCHQKPLKSLRKHDKEGALRIHDKGGALTDPTKKGKNLIKKSKPSTKAVERTMLVMQFPLKSALPSVSELKARFSRFGPLDSLPKLFWKSSTCRVTFKYKSDASVAYRYASGNKSLFGNAMVNCYLHALEVPALEVPKPCQDNAEENPDNFPLSASTGTGISTENLKAIAPEEQQHSHSLFQLKSCLKKSEGEELDADTLRMKPRVRFRLNEDQSSSREQVTVNSNVINSSMNQLGGSSFPGNRQVINAQLPPALHPPLNQSTDTLEDYGLDHFVASCNARYEAEARNNCIYSPSTSCNVDISSQMLNLMTRCSEIVSNLKDCLGYVPINL >OMO53690 pep supercontig:CCACVL1_1.0:contig15170:46194:48687:1 gene:CCACVL1_28451 transcript:OMO53690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGLRRSSCVPLMNGRPPQELLDGLLRFQLELAQHPQIWMSSNIIRDNQKVE >OMO53682 pep supercontig:CCACVL1_1.0:contig15170:22931:25757:1 gene:CCACVL1_28443 transcript:OMO53682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEISHSGNCIEDASDEQLLSAVSMGSYNIFEDPEILPRVGDEYQVEIPTLITESDPLLLTENPNDVKDSAMSFEFLMGLPVSIMWVSTDIEKIKDEPAESPINSIDLYNKIDSVKSECILETNKEIGDFEPKPNGGDITPDGGINSQEAENLALQQEMKVDIHQKQRRQGYFAVPGTPNDTWNDLEEASFILGLYIFGKNLVQVKKFVESKKMRDILSFYYGKFYKSEKYRRWSECRKMRRKRCIYGQRIFTGWRQQELLSRLLPHVPEECQNTLQEVCKSFGEGKLLLEDFVFSLKATVGLSALISAIGIGKGKEDLTGITLEPVKASQVAPIRPEIPVGKACSTLTSLEIVNFLTGNYRLSKARSNDLFWEAVWPRLLARGWHSEQPPSQGYAAGSKHSLVFLIPGVKKFSRRKLVKGDHYFDSVSDVLSKVASEPGLLELEIGAEKGDRSKEENETESDKDDLPNQKRSCYLKPRTPNHAADIMRFTVVDTSLDNGGKFKVRELRSLPFEMNISNSLSDSEESTSEEITDETDSGDTLCSNRIETNGLKPMKISSDKEESPDTIASNNKFPIDGKASTNIPVIPGDPKIKVSNGMQPKKDMKNQPRQRTKLDNINHLAPVTTRRRRLTAFSRKETTQKAMNVSVACGPKQTEASCSERNPDGSADIPSEVCPTEQLLSSVSSSSKSSPTSRDECIRRSTCAEQTHENHQERMLIDLNLPVLPEAETDEPFMGEVIKRDEHTTRQPDNASQLEANSCMPSAELQPDMNARRQSTRIRPPTTKALEALACGFLSTTQKRKRGDGFARVRSFSRPSSQACGSAKVSENHGDGMVDFKAEGKGNDMSNGNGVMEQTSDLTQMEVDSNLGVPYNQ >OMO53681 pep supercontig:CCACVL1_1.0:contig15170:12613:13107:-1 gene:CCACVL1_28441 transcript:OMO53681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, CBFA/NFYB, DNA topoisomerase MAENVGASSSNDDGIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTSEASEKCRKERRKTVNGDDICWALATLGFDDYAVPLRRYLHKYRELEGDKAANQDKVSNSNQDKEEDQPSSSYGRNNQTRNQGLGPSPLKFSNNNSNLGGPYN >OMO53684 pep supercontig:CCACVL1_1.0:contig15170:28869:31761:-1 gene:CCACVL1_28445 transcript:OMO53684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MEEPGQVKRALIDAGAGAIAGGISRTVTSPLDVIKIRFQVQLEPTTAWALLRKDLSRSSKYTGMFQATKDIFREEGLPGFWRGNVPALLMVMPYTAIQFAVLHKLKSFASGSSKTADHINISPYLSYISGALAGCAATVGSYPFDLLRTILASQGEPKVYPNMRSAFIDIVRTRGFTGLYSGLSPTLVEIIPYAGLQFGTYDTFKRWAMAWNRSKSSNLSSTIDDSLSSFQLFICGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPKYGARVEPQAYRNMFDALRRILQSEGWHGLYKGIVPSTIKAAPAGAVTFVAYEFTSDWLESIWT >OMO53688 pep supercontig:CCACVL1_1.0:contig15170:42788:43369:1 gene:CCACVL1_28449 transcript:OMO53688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase/archaeosine transglycosylase MRPLDEQETTAVFEKLFKFTGNNLKNIVENPSHEGPDQNPGRYCFRLQKNKVYYVSESLVKRATNVARPKLVSLGTCIGRFTHGNKFHLTVECLNLLAANAKHKVWLKPTSEMSFLYANHVLKGGLGRITDSISPGDGVVIFSMSDLPLGFGIAAKSTQDCRKLDPNAIVVLHQADIGEYLRMENQSEQLIEE >OMO53686 pep supercontig:CCACVL1_1.0:contig15170:39562:40443:1 gene:CCACVL1_28447 transcript:OMO53686 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAHRILEINVISAKGLKNVNLIDKMDVYAIISLKGSKHKQKTKTHVHKDCGKDPTWNFPVKFTIDESLAKSNQLNLKFKIKCEKILGDKELGQVNVPVKELLDSPGDGGGSMKFVSYQVRKPSGKPEGTLNFSYKFGDKVAEPFKEEKIKGDHPVTAYPTAHHMAAGSSSAPYAAAAAPPGHYPPPPPAGYGYPPQPVPAAGYGGYPPQGPPPPGYGYPPPPPGYGYPPPGGYGYPPPVQQPPKKNSKFGMGLGAGLLGGAIGGLLIGDMVSDAASYDAGYDAGFDDGGGFDF >OMO53678 pep supercontig:CCACVL1_1.0:contig15170:5593:5676:1 gene:CCACVL1_28438 transcript:OMO53678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKSNKHHFSIPNASIGIIFKTTEQG >OMO53689 pep supercontig:CCACVL1_1.0:contig15170:44975:45310:1 gene:CCACVL1_28450 transcript:OMO53689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVVSLAENQGKSLNGIQKGSNAKGKVLVYLAANEVITSYAVLERKLSSLGWERYYEDPDLLQFQKRSTVELISLPKDFHKFKPLHMYDIVVKNPNMFQVRDM >OMO53683 pep supercontig:CCACVL1_1.0:contig15170:26655:28107:1 gene:CCACVL1_28444 transcript:OMO53683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRRATGRIRTVDQSQPPKVRRTLDPTDEQKISRASQYDNVDHEIASRGNHENVLEERDPKYDQMLNQMVGRVSAKPGGKLEMGEALVTENPNRPLPKLRNTTPESGRYEERPAPAGTLNVKQLRHIMLLHQGKADDHNGPMDVHQIAERFRLDAIQVQKILQFMSLPPEDSTKQKN >OMO87741 pep supercontig:CCACVL1_1.0:contig09186:1077:2551:1 gene:CCACVL1_08789 transcript:OMO87741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFCCCPCGDDHEEYAYPSNSIYRHCICLRFFFYQLFTGYGVMFHRLEGRPVSSQIQGASLSSTAIATAAPDNSVNESHVAMSRPVPYDTEQRYSRLQRDGLVSRRDKSVTHFQEETQPLRRNMSSSGVESLGTGKKRNAVDSEEGNTIGHPESSDRTLATKVSYGQTYVQSSSDDEDVCPTCLDGDGVL >OMO87743 pep supercontig:CCACVL1_1.0:contig09186:27097:27168:-1 gene:CCACVL1_08791 transcript:OMO87743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRTADHCKHSSIHLLPPRSRL >OMO87742 pep supercontig:CCACVL1_1.0:contig09186:4318:6508:-1 gene:CCACVL1_08790 transcript:OMO87742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MGSREIKSPEDLHQTPANLDGISAESVHRKKLGVFFIESDNRRTPLGRGYTGGTTPVNIHGKPIANLSKTGGWVAAFFIFGNEMAERMAYFGLSVNMVAFMFYVMHRPFSSSSNAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTIYLAGLTGITLCATMKVFVPNQDQCDQLSLLLGNCEPAKSWQMLYLYTVLYITAFGAAGIRPCVSSFGADQFDERSKDYKTLLDRFFNFFYLSVTIGAIVAFTLVVYIQIERGWGAAFGSLAIAMGLSNMLFFAGTPLYRHRLPGGSPLTRVAQVLVAAFKKRTVPFTRSELVGLYELPGRHSAIKGSGKIPHSEDFRCLDKAALQLKEDGSNPNPWRLCTVTQVEEVKILIKLLPIPACTIMLNVVLTEFLTLSVQQAYTLNTHIGKLKLPVTCMPVFPGLSIFLILSLYYSVFVPISRRITGHPHGASQLQRVGIGLFISILSVAWAGFFEKFRRNYAIKHGFEFNFLTPMPNLSAYWLLIQYCLIGIAEVFSVVGLLEFLYEEAPDAMKSIGSAYAALAGGLGCFVASIINSIIKSVTRNPKDPQQSWLSQNINTGKFDYFYWLLTVLCVINFCIFLYSARKYKYRTEQIKETKQNIPEEGS >OMO61391 pep supercontig:CCACVL1_1.0:contig13493:3395:5608:-1 gene:CCACVL1_23552 transcript:OMO61391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MEENQKQLVSDAVDHKGNPADRTKSGGWVAAALILGVEICERLSTFAIVVNLVTYLVGTMHLPSATASNALTNFGGTAFLLSLFGGIVADTFLTRYWTILIFALINTMGTLMLAISTALPNLRPPPCNPTDQSSQCVEANSLQMGVLNFALYLYTIGVGGVKSSVSGFGTDQFDRNDDKEKRQMGRFFDFFYLIINIGTLIAVTVLVYIQDNVGRPWGYGICSASMFLAALVFLSGTKKYRYKESPGSPVVQILQVLVAAIRKRNVDFPSDITQLYDDPSQEFRISHSNKLRCLDKASVITSKDSTGTCESLSITLNPWRLCSLTRVEEVKMLTGLLPIWATTIMFWTVYAQMVSFSVQQALTMERFIGNFEIPPASFNVFMIGGIMITIAIYDRLIMPLYKRTKIPQGLTHLQKIGIGLFFSIIAMAGAALSERKRLSVAKAQGNTSNPTLPVTGFLLLPQFILVGIGDAFIYAGQLDFFISESPRGMKAIGTGLFLTTIALGCFLSSILVEIVKKITARNGGHDWLPRRINDGRLDLFYALLAVLNVVNLVLFLFCAKRYKPNPGQVAPKTKSDEVNDDDVHHKEESV >OMO61394 pep supercontig:CCACVL1_1.0:contig13493:19300:19710:-1 gene:CCACVL1_23556 transcript:OMO61394 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OMO61392 pep supercontig:CCACVL1_1.0:contig13493:7854:10999:-1 gene:CCACVL1_23553 transcript:OMO61392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MAVNCVHQEGKMSWTVADAVDYKGFPADKSKTGGWIPAALILGIEICERLSTMGIAVNLVTYLIDTMHLPSSTSANIVTDFMGTSFLLCLLGGFLADSFLGRFKTIAIFAIIQTLGTGTLAITTKLPKLRPPPCHASATHPCTPANGFQMGVLYMALYLIALGTGGLKSSVSGFGTDQFDEKDEKEKAQMAYFFNRFFFFISTGTLMAVTVLVYIQDEVGRSWAYGICSVSMFIAIVIFLSGTKKYRYKKSVGSPIVQIFQVIVAAIKKRKMTLPYNVELLYEDTPEPSRIQHTDQFCFLDKAAIVAEGDFERNVVSTPPNPWKLCSVTKVEEVKMMVLLLPIWATTIIFWTTYAQMITFSVEQASTMNRSIGGFQIPAGSLTVFFVAAILITLGVYDRLIMPLWKKWKGKPGFTSLQRISIGLVLSTIGMAVAALAERKRLAVASAAGATAAAAKGPLPISVFLLIPQFFLVGAGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFVSSFLVSVVKKVTGSNGGQGWLADNINHGRLDCFYGLLAVLGVINFALYLVCAIWYKPRKAKPALQMETIVNGSSAEDKC >OMO61393 pep supercontig:CCACVL1_1.0:contig13493:15477:15876:-1 gene:CCACVL1_23554 transcript:OMO61393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCGVTYSSSGRFDQLRY >OMO63922 pep supercontig:CCACVL1_1.0:contig12881:2378:2806:1 gene:CCACVL1_22176 transcript:OMO63922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSATMYKGLKGYMNRRGYVKLNGSGRRTRRSRVELGSTRRRRFWKIRVKAKLRLPSPKKFFVWLRDAYVKMMLNLAGSRVINTGYGGALGDGLGGFGKRPMKEYDEKMIVEIYKSLVMAQGQLVPREAGKLNSAIICQR >OMO63923 pep supercontig:CCACVL1_1.0:contig12881:5363:7983:-1 gene:CCACVL1_22177 transcript:OMO63923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVNETLKTLVEKGFIVAIQKKNKLQPRSYKMKPIVRSCLIKFAKEAGFFDYDGEGKPTMDFTYCKKACMVEREGALAQWFSDYLDGQDNKQDTEEKLSEDMIKLQMLFNFPDRQKLNDAHSKFDELQTLFNVSEQFPALPKERLLKMENIKTTMFKPI >OMP02380 pep supercontig:CCACVL1_1.0:contig06262:766:840:-1 gene:CCACVL1_02814 transcript:OMP02380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALRFVISKTIQNSTNAPRKT >OMP02168 pep supercontig:CCACVL1_1.0:contig06307:252:1594:1 gene:CCACVL1_02898 transcript:OMP02168 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F0 complex, subunit A MAWLVDKRPILGRREGSAIIAVRNPQTIPTDGQNFFEYVLEFIRDVSKTQIGEEYGPW >OMO79248 pep supercontig:CCACVL1_1.0:contig10458:4766:5055:1 gene:CCACVL1_13806 transcript:OMO79248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALQHSWGSSLKETAHVVDPVKLGFQKAKAYKNTQVNPDSEIVPSTDEIA >OMO79249 pep supercontig:CCACVL1_1.0:contig10458:43966:47694:1 gene:CCACVL1_13808 transcript:OMO79249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLDGKTFKKPRRPYEKERLDAELRLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRVKRKNQKAAAKKAAGGDNDEEEDDQPRDSRPFFHSSATCYPTTNCQTPPKLAVLVPSFSPINTLATSKFSPKKKKSRKKRIIVSEITMESQNEVTDKRVIMEVESGGESSTEEMEAESPRSVVAVAEIKNYKKAERVHSQVLRIREEDSHLGKDFFGDDKESNNNKNDGICGGFRPRCRVVVSFTRLTRPILPCSPLSGKNNVQSPCTKAT >OMO81620 pep supercontig:CCACVL1_1.0:contig10139:54960:65001:1 gene:CCACVL1_12342 transcript:OMO81620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPILSLEMSTVEVEIVEKNMETSSSSSSSLLSSARLDSTRPVVEPVGTTGCGSTTDLTRAGEFVVGDGV >OMO81622 pep supercontig:CCACVL1_1.0:contig10139:94657:94851:-1 gene:CCACVL1_12344 transcript:OMO81622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGCRLKGGERRTLIWKVRRGNYREARLGSTSLRAKYYTTRIWLVDYLSSLSSSLARLFSNRI >OMO81617 pep supercontig:CCACVL1_1.0:contig10139:39834:44193:-1 gene:CCACVL1_12339 transcript:OMO81617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSNNSSFSLAGNDPFDLSWLFLSNDTNVDMNHVGSSSGSGLNNNDWSLDDGQLDPLLVSPTIALAMESLEFKRELGTKSHLGE >OMO81619 pep supercontig:CCACVL1_1.0:contig10139:47980:48129:-1 gene:CCACVL1_12341 transcript:OMO81619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSNNKNSFSSAGNDPFDLSWLFQCNDSNVGMNHVGSSSTSGQNNND >OMO81618 pep supercontig:CCACVL1_1.0:contig10139:47349:47549:1 gene:CCACVL1_12340 transcript:OMO81618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIWFCIFGKSLAWNSLYFETEYLDIDKAPKAKNLVDIVHEMGPIPSLEISTVEAETVEKGKNVAN >OMO81621 pep supercontig:CCACVL1_1.0:contig10139:83455:89608:-1 gene:CCACVL1_12343 transcript:OMO81621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIATSDLQATMCDDSEIDPSSNTRFDIGLNKIK >OMO65177 pep supercontig:CCACVL1_1.0:contig12688:28610:35421:1 gene:CCACVL1_21578 transcript:OMO65177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MFSLPSLRKSSPLIKPVLANQRSSSGVRPLFSQPQGSASSSSSLSKPLSGGSGSGENKSTGDELLLFLLRSVSSGVLIVGSSFCLDRNSFVSFADAPKGATSSIDGDDDQFQLEHSIHQKKSKFLFGEAYRRRVFFNYEKRIRLQSPHEKVFDYFASLRTPAGDVLMTPADLMRALVPVFPPSESNRVREGSLRGERLPSESHCPPSQFFMLFDTNNDGLISFPEYIFFVTLLSIPESSFSVAFKMFDIDNNGEIDRDEFKKVMTLMREQNRQTARHRDGRRALGIKVTEPVENGGLVEYFFGSDGKTCLKHDKFVQFLRDLHDEILRLEFAHYDFKVNGTISAKDFALSLVASADIRHINKLLHRVDELNNQPHLKDIRVTFEEFKNFAELRKKLQSFSLAIFSYGKVNGVLTKKDFQRAASQVCEISITDNVVDIIFHVFDANRDGNLSADEFVRVVQRRERDNSQSRPEGYGLFSYWVTCAARKAALVMGSSLLIPCTKEAEGKSLSASETITELREMLSNTSCYPVPHATWCHEGEVAYHTIRDASLSSPLPPITCCSNQKQMEASLQLPRSFLRPIRRVRLPQQSPLGSSRLRDEEADSPLKLNDGSLWKALNAIALSLEKFDNTQERLMQQLAQQRADSQENIQQIINRLEVNQKVNNQQVPPPAPIPQGVIQPGFGGEKNLLIQHDVGDNAADNTYKELNNVEPRAAAYVTPHVRNGNVMEQGMDISSILLHTILLKKDQSIRITSEK >OMO75339 pep supercontig:CCACVL1_1.0:contig11053:85288:85377:-1 gene:CCACVL1_16230 transcript:OMO75339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAPNRADPLLTLAKFSSLLFAIFGNKI >OMO75329 pep supercontig:CCACVL1_1.0:contig11053:15849:17433:1 gene:CCACVL1_16219 transcript:OMO75329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFAKSSFYTVPLILALFTFISSSDALVSTPYPKAISDLKEAIVKGLGFQAEDFKVSGFDLRDALVGHSVAYEFDLEIDKKVIPFRLLEDVNRWEYVDLPIFRVEEPAKPGIENGLVEHKSNSDNGLPVLAPFQLAGPMELWIQDAKDMRISLPHDVDAGVLKKVILADGAVVTVKGARSVSLRHPIDLPLPLNRTHNGFASGLMALAEHLRRASRSQNEPILSLRIVGPTSLTVPSSASSSNKLKLKRLAPGLVELSSMSKTKAMDALSTINPQEEATTVLTPKHFATMWPLASVNGSNANLIGFETLLSSVLGPKANKKGSFKLLKADVSAQTFLKIGFGIERKLKEGDLEGFPEWRTKPETVRMHFEVLAKVDGDKVIPERVMQVNPVVIDDSVAPNLLTGNITMSTNPVVHPPSNPFTM >OMO75330 pep supercontig:CCACVL1_1.0:contig11053:17817:19809:-1 gene:CCACVL1_16220 transcript:OMO75330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSSEANQRIARISAHLQPLNPKMEESSVLRRADCRAKGGAPGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNAPGVTADLSHMDTGAVVRGFLGQPQLENALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVRTLCEGVAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVAEVLGLDPREVNVPVVGGHSGVTILPLLSQVKPPCSFTPEETDYLTNRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRGLRGDAGIVECAFVASEVTELPFFATKVRLGRTGAEEIYPLGPLNEYERMGLEKAKQELAGSIQKGITFIKK >OMO75341 pep supercontig:CCACVL1_1.0:contig11053:93241:95221:-1 gene:CCACVL1_16232 transcript:OMO75341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class IV MGSLEHLVECDKTEYANVNWDELGFALTKTDYMFVMNWCKDGEKFSEGVLTRFGNIELCPSSGILNYGQGLFEGLKAYRKEDERILLFRPEENALRMQMGADRMCMPSPTVQQFLDAVKKTVLANKRWVPPHGRGALYVRPLLMGTSPNLGVKPASEYTFLVYASPVGSYHKGPNLNLVVEDKTHRATPGGTGGVKAVINYSPVYKALSEAKAKGFTDVLFLDALTGKYIEEGSACNVFVLKENVISTPPAHGTILPGITRKSIIEIALTFGYQVEERAVPIEQVLDAEEVFCTGTAMVVRSVASITYKEKRIEYKMGAETVAQKLYATLTGIQTGLIEDKMGWTVAID >OMO75340 pep supercontig:CCACVL1_1.0:contig11053:86867:92702:1 gene:CCACVL1_16231 transcript:OMO75340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPVNPGRRFADGGSIPFVGSVQSKTRSSPLLSIGLLVVGAILLICYMYSGSGTSINTKEAFSKVEGGSSCTLEVQRALPILKKAYGDSMNKVLHVGPDSCSVVSKLLKEDDSQAWGVEPYDLDDADASCKSLVRKGIVRVADIKFPLPYRAKSFSLVIVSDALDYLSPRYLNKTLPELARVSADGVIIFSGYPGQQKAKVAELSKFGHPAKLRSSSWWIKYFVQTKLEENETATKKFEQASTKRKASISSSPTHPQFLRFKPITAVNVTTGSDTDASEESPCDYSDGSWIYDPDFRFDRYDSSCKEIFKGWNCILNNKSNARDIVKWRWKPRNCNLPPFDPLKFLQTYKDTNIGFVGDSLNRNMFVSLFCTLKRVSSEVKKWRPAGADRGFTFLQYNLTIAYHRTNLLARYGRWSANGNGGKLEALGYREGYRVDIDIPDGTWEKATSFHDILIFNTGHWWWAPSKFDPVNSPMLFFEKGQPVLPPIPPDVGLDKVLKHMIQFVEKTTKSGAIKLFRTQSPRHFEGGDWDQGGSCQRSQPLLPEQVEDLFSVKNNGTNVEARLVNQHLYKALKGSNFHLLDITHMSEFRADAHPSSAGGKKHDDCMHWCLPGITDTWNDLLVTHLNSLKIRN >OMO75337 pep supercontig:CCACVL1_1.0:contig11053:74376:75853:1 gene:CCACVL1_16228 transcript:OMO75337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETENRIAAILLKEAAELKRQADREGVHAYLQKPTVRGRPNSRFLSATVLGVQQANRAVEVNEMWRARQKELELNDRIKGRSKDDSRHSRSHRDISNSSRSTIRRHDSNASASCSSSKRVAESSYAPEDEGLRDEEIEEFLQSRVKRGRGSIGSRMDETGPYLPAHSDSPVNFSSSPIAREHRVMLGPEKPTSQKSDYSSSDEELHEHRRKKGNDRPENSRKKHSRKHKSKEKSRDKKRKRKEEKRSKHRK >OMO75328 pep supercontig:CCACVL1_1.0:contig11053:12697:14259:-1 gene:CCACVL1_16218 transcript:OMO75328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSICRELPEVGLMEEDPNNSLLINQWSMNSFDELSNMLPLAAATFGENLQLQHSNFSNDIHSNTAMDIRPNFKLQLKTNSWESCKSSPDDHSDIIISNLQQPTSSNLMMMNNNPNPIDTRSYLGRKEAPRKAQPEMDKASVLGDAIKYLKQLQEKVKTLEEKTRKRTMESAVVFVKKSQLIAQGDHDFYSDENFNGPFHEPLPEIEARFCDKSVLIRIHCEKRKGVAEKIVSEIEKNHLTVINSNVLTFGISALDITIVAQMEMEFCMTVKDLVKKLRLAFKSLLM >OMO75338 pep supercontig:CCACVL1_1.0:contig11053:76652:79849:-1 gene:CCACVL1_16229 transcript:OMO75338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MSGLTLGLMSMSLVDLEVLAKSGTPRDRKHAAKILPVVKKQHLLLCTLLICNAAAMEALPIFLDGLVTAWGAILISVTLILLFGEIIPQSVCSRYGLAIGATVAPFVRVLVWICFPVAYPISKLLDFLLGHGHVALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELTEKTAKDAMTPISETFSIDINAKLDRELMSLILEKGHSRVPVYYEQPTNIIGLVLVKNLLTIHPEDEVPVKSVTIRRIPRVPETLPLYDILNEFQKGHSHMAVVVKHSKKTEQPPYGNSAGSPVPEVKVDIDGEKPSQDNALRRKRSLKKWKSFPATGNSFKSGSRSKKWTKGMYSDILHLNGNPLPQLPEVEEAVGIITMEDVIEELLQEEIFDETDHHYEDS >OMO75327 pep supercontig:CCACVL1_1.0:contig11053:1431:3396:1 gene:CCACVL1_16217 transcript:OMO75327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEDDKKSAKQQKKEGFGSTPGKFLSESGSSMEISSICRELPELGLMEEYPNLLNQYWNMNSFDELSNIVPLAAAAFGENLHNSFPNTAIDRPFKHLKTDISDISNLHQLQPVACSPNNFCFPMDNPIGIVKPKEEEAAAACSKSQHYNYMRKASCHETKRVVGTCNNNSQTTQDHIMAERKRREKLSQRFIALSAIVPGLKKMDKASVLGDAIKYLKQLQEKVKTLEEKTRKRTMESAVVFVKKSRLIAEGDDFSSDGNYFNEPLPEIEARFCDKSVLIRIHCEKRKGLAEKIVSEIEKTHLTVLNSNVITFGNYALDITIVAQMEMEFCMTVKDLVKKLRLAFKSLM >OMO75335 pep supercontig:CCACVL1_1.0:contig11053:68304:69278:1 gene:CCACVL1_16226 transcript:OMO75335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MPELTFISDGQKGIIDGVRRKFPNSCHAFCMRHLTESIGREFKNSRLVHLLWKAAYATTSIAFKEKMAEIEEASPDAAKWIQQFPPAQWALAYFEGTRYGHLSSNIEEFNRWILEARELPIIQVIEQIQSKLMSEFEDRRMKSSSWFSVLAPAAETRMLEAISRASTYQVLRSDEVEFEVISSERSDIVNIGKHSCSCRDWQLYGIPCSHAVAALMSCRKDVYSFTEKCFTVANYRETYAEEIHPIPRKIEWKKIGEAPIPMDEDAQVVRPPKFRRPPGRPEKKRICLEDLNREKHTVHCSRCNQTGHYKTTCKAEVMKSIEQF >OMO75334 pep supercontig:CCACVL1_1.0:contig11053:67521:67998:1 gene:CCACVL1_16225 transcript:OMO75334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant MEDRTFKVGQEFPDVKAFRNAIKEAAIAQHFELRIIKSDLIRYFAKCATEGCPWRIRAKDTAYFLLIVSK >OMO75332 pep supercontig:CCACVL1_1.0:contig11053:26637:34168:1 gene:CCACVL1_16222 transcript:OMO75332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRQWPPSPFVFLFVLLLFILVSSVESDELQILLKFKSAVESSNSDVFSSWKQGNSPCDFSGVSCNSNGSVTEINLHQQQLVGVLPFDSICELQSLQKIDVGNNSLHGKISEDLKKCAGLEYLDLSLNSFSGEVPELSSLNGLKFLNLNNSGFSGIFPWKSLENLTELTFLSLGDNPFDSSPFPLEVLRLEKLYWLYLTNCSLTGQIPEGIQNLIQLINLELSDNSLTGPIPAGIVKLNKLWQLELYNNSLSGKIPVGFGNLTSLENFDASMNLLEGDLSELRSLKNIKSLQLLENQFSGEIPEEFGDFKNLEGLSLYRNKLTGQLPTRIGSWSNLKFIDVSENFLTGPIPPDMCKNGKMAELLLLQNNFTGSIPGTYASYGKELAVKHIWVSDSGNRKGYNSSVAMLTKKNFRSLEYDAEVATLSAIRHVNVVKLYCSITRKKKLSLWVQRVGYRTETQLSDIMESGKEIQELSRNLYPPIEPYSTGFLKVSDIHTIYWEQSGNPSGHPVVFLHGGPGGGTSPSNRRFFDPEFYRIILFDQRGAGKSTPHACLEQNTTWDLIDDIEKLREHLEIPEWQVFGGSWGSTLALAYSQSHPNKVTGLVLRGIFLLRKKEIDWFYEGGAAAIYPDAWEPFRDLIPENERDSFVTAYHKRLNSDDLETQYAAARAWTKWEMMTAHLLPNEENIKRGDDDIFSLAFARIENHYFVNKGFFPSDSFLLDNVDKIRHINTTIVQGRYDVCCPMMSAWDLHKAFPEADFKVVSDAGHSANEPGIAAELVAANEKLKNLLKKE >OMO75333 pep supercontig:CCACVL1_1.0:contig11053:35010:37957:-1 gene:CCACVL1_16223 transcript:OMO75333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIQINSAAGEDEIIGDDFYEKIEAPKFVDLSAPDRCRNHDDDRYWFCLRVGCDQKHEEELDSEAIYKNFVLRVMAARSPSVRLRKAIYSKDKSSNLQCPRTVPAKSSKSRVSRLAMISSISQKMGETKVKVRTVPKQDATTPNVKAAKERSVKALTTPRNKKGLSNPGTFRSVRNPKPTKIEVPKSKVVAKALVFHSPKKAVKLKKSVELSSSSLRKICAGMKKLEITDGNKKNELGCNKPLDASRKQLRGREVKSRVFDSLYSQNQKKQEAKSSKCLKKKNKEKDLKVSKKGDSTDKEIEAKSKDGSLEVCSTSCTSKNHSGSLEQQEIDEKAKFSSDASRSNLTSLSNSKEMNSGDGDVPKSSNGGQNEISERGSQEENVEPCSTDKEIPETVESDDKENALVSHTEVSESKVMEIDDKENATASDENIKLNCTTGKLVKKNVLGKHETSKSIQKVTKVMSKTLKENSTSAVDGTQGIKYRRPKPTNPKPFRFRTDERGILKEANLERKHQTVQRNEGSENESDLKDQLRTVKSSKIIKVTTERKVSAILQKRTVPVPQKTKVPSLLENSQVKADQKSENRLEKTSIKQLGKPRGVGSSRKKLVSLMKPGQLGTINETSRPKETSEPKGSRTSLATKGRRHTTIPKEPNFHSIHTPKSCTKRVL >OMO75331 pep supercontig:CCACVL1_1.0:contig11053:20805:23705:-1 gene:CCACVL1_16221 transcript:OMO75331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDINLFREEKGFNPEIIRESQRRRFANVEDVDAIIELDKSYRRLLYEVENMRKEINRITKQVGQLKIANQDASEPIAQVEQVKQSKAEKEVEVQEIWNSLKSKLEKIGNLVHDSVPVSNDEANNAVARTWGERRLEPKLKNHVELVELLGIADTKRGSDVAGGRGFYLKGAGVRLNQALINFGLDFLEKREYEALQTPFFMRKDVMAKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHLDDWIHPSKLPIRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKIEQFCITSPNDNDSWDMHEEMIKNSEDFYQLLKLPYQIVAIVSGALNDAAAKKYDLEAWFPASQTYRELVSCSNCTDYQSRRLEIRYGQKKNNEQTKQYVHMLNSTLTATERTLCCILENYQREDGVEVPEVLQPYMGGKTFLPFKTKPAAEAKGKKTKA >OMO75336 pep supercontig:CCACVL1_1.0:contig11053:69951:73364:-1 gene:CCACVL1_16227 transcript:OMO75336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MSLMSNLSTSMISSSSSAFLAPISFNSSRTQSVSVPVKSIKIIKCVATPQEQQTAYKTKVSRNANIAKLQAGYLFPEIARRRAAHLLKYPNAQVISLGIGDTTEPIPEVITSAMAKRSHALSTLEGYSGYGAEQGEKALRAALASAFYGNLGIEEDDIFVSDGAKCDISRLQVVFGSDVTMAVQDPSYPAYVDSSVIMGQTGQFQKDVEKYANIEYMRCTPENGFFPDLSSVARTDIIFFCSPNNPTGAAATREQLTQLVKFAKDNGSIIVYDSAYAMYMSDDNPRSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKQLLFSDGFPVARDFNRIVCTCFNGASNISQAGGLACLSPEGLEAMQEVIGFYKENTKIIVETFNSLGFKVYGGQNAPYVWVHFPGRSSWDVFSEILEKTHIVTTPGSGFGPGGEGFIRVSAFGHRENVLEACRRFKQLYN >OMO75342 pep supercontig:CCACVL1_1.0:contig11053:99715:101517:-1 gene:CCACVL1_16233 transcript:OMO75342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class IV MASVNRDGEETYANINWDQLGFAPTPTDYMYMMKCSNGDNFSQSQGQLVRFGNIEISPFSAVLNYAQGLIEGLKAYRTEDGRVLLFRPYENAKRMQIGAQRLCLPFPSVEQFVDAVKQTAIANKHWVPPHGKGSLYLRPMLIGSGHVLGLAPAPECTFLIYATPMGNHYLTKHGAANLYIDDKLHRAARGGTGGIKCITNYSPVFEAVTQARARGFSDVLFLDAATGKYVEEVSSSNVFIVKGNVISTPPIDGTVLPGITRKSLMELALDMGYQVEERHIPVEDLMDADQVFCSGTAVVVTPVGSVTYQNTRAEYKTGEETVSNKLKAMLNGIQMGLIEDNKGWTLALD >OMO85804 pep supercontig:CCACVL1_1.0:contig09575:854:7657:1 gene:CCACVL1_09991 transcript:OMO85804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 5 MMVREAHWNSFIVEEDFKFISENGLNAVRIPVGWWIASDPTPPWPFVGGSLQALDNALSWAEKYKLKVIIDLHAAPGSQNGWEHSASRDGSQEWGITDENIQQTVDVIEFLAARYAKRPSLYAVELINEPLSPGATLESVTKYYKAGYEAVRRHSSTAFVVMSNRLGPMDPRELFPLATGLSLTVIDVHYYNLFEDKFNNLTVQQNIDFIYNNRSSQLNHITTSNGPLTFVGEWVAEWSVNGASKEDYQRVDVDPNFRIRAVNLGGWLVTEGRAKPTLFDGIINSDLLDGSQLQFKSVKTGKYLCAEQGGGSLIVANRTDPQLWEIFRVWRINETTFNFRVYGDQFVGLDRNGNGSGIVAVSDQTPGKSETFVILRSSDDLNRVRILAPNGYYLQVNAEDVVTADWVGSGSDGWEDDDDDPSVFEMKNTERMEGEFQITNGYGPEEAARVMREHWNSFIVEEDFKFISENGLNAVRIPVGWWIASDPTPPWPFVGGSFQALDNALSWAEKYKLKVIIDLHAAPGSQNGWEHSASRDGSQEWGTTDENIQQTVDVIEFLAARYAKSPSLYAVELINEPLYPGATVEALAKYYKAGYEAVRRHSSTAFVIMPIRQRTLQPRELIPVASGLSRSVVDIHFYNMFEDSFDTVQGNIDFIYGHRASQLKEVTMSNGPLSFVGEWVDEWDVVEASKEDYRKYFKAQLEVYGRATFGWAYWSLKHSNNHLSLQWLINNGYVNQTLWQY >OMO85806 pep supercontig:CCACVL1_1.0:contig09575:30785:43042:1 gene:CCACVL1_09993 transcript:OMO85806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSTYIHPASIFFSSSSRCPHFNFKLKARVDFGRNQLTSTSKNRNPSTPFIFLRFRRLPLWALSLLEEQCKAPITKLRGASL >OMO85805 pep supercontig:CCACVL1_1.0:contig09575:27043:28264:1 gene:CCACVL1_09992 transcript:OMO85805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGKRPRPPMKRTTSLTEITFDLNTSNAAEAPPPAADPHNPFNNYPKQPAAGPFGGVWGPQIQSNGDGGGGGGGGLEGLDQRLLATVTPRVHRRHSADFLETAHFLRACGLCRRRLVPGRDIYMYRGDTAFCSLECRQQQMNQDEKKEKCSIASKKHAAASSAARSSVSAKGETVAAV >OMO70018 pep supercontig:CCACVL1_1.0:contig11899:100:2617:1 gene:CCACVL1_19144 transcript:OMO70018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKKALARRQDVASQIRDGNSMRNSLSRERFDKVAGFRTVNHNRPQFHRNQERSRGEYKQFWNSSQQQQRPPLVHRSSRYEREPSFKKNSVENPKSSDKPNSNSPAENSKKNDDLVIMNEVNIVDNQNRDGKIENVESDELDESEDGYISCEEVDNKVDVRVWSNVMLVLTVEVESSLDGCIQMVMNICKDWIIEVSPWDTFNSQRVSTVWINLHEVPLELWHVNFFEAVCSNWGKFLKADDETLAMNSFLNARIQILVTGLSEIPRLVVGKSLGITFRIPISVEPEVVVPAVQASSPVYQVFQIQNDRDPSTTADSLLGNQETFNAHGAIGSPFERVVESREMLGSDEDNDIGGAKVFDEDIVGRVSVLRGSLMIHLIKNWYTSQPKAKCKECRRRKNKKCRRGVTRIILGENAVTDLNSDISLSDEDIRCRNEIIIREAEDTFDISAALGIKFCEERSQIVSRGIGRKEKKRMVHSIWGKSSSVWRASNEVGTSGDLILIWDTNCFSLELAKVFAMCEVFEEDWSTVCYRMVDMPLMGQSLPRETTESLHLSIVWTGSGFQDMFLKAWSDVSNCDNRVEDFDYNFKKVWNANVAWLERHFVESEVWEASRL >OMO70712 pep supercontig:CCACVL1_1.0:contig11794:3715:4083:1 gene:CCACVL1_18691 transcript:OMO70712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGDGGVGSIRDVTVISGLPASTSTELLEMLDDDEHVLSFRVVGGDHRLKNYRAVTSVNEFVDQGGKVYSIVLESYTVEIPEGNTEEDTKMFVDTVVKLNLRNLADVAMAAAMQKEVESILI >OMO88799 pep supercontig:CCACVL1_1.0:contig08929:14865:17380:1 gene:CCACVL1_08198 transcript:OMO88799 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MKNYKNASKHIAVLAFPFGTHATPLLNITRRLSEAAPSLVFSFLSTEQSNAVTFPNGEKVDKIQPFNVWDGLPEGFRFKGDPHEPVEYFLKAAPENFMKAMDVVVAETGKPIDCLLVDAFLWFSVDIAADLNVPWMALWTAGPRALYVHVDTSFIRQHVGINDTLEKPLDFIEDFSSLSVADLPDGIVNGKFEAPVQALLDKLEQVIPQATAIAANSYEYLDNQVVNMLKSRFRNFLNVGETHRKKLPEGFLERTSLKGKIVPWAPQQKVLQHEAVGVFVTHGGWNSVLESITGGVPMIFRPFFGDQGLNTKTVEAVWGFGLGLEGGMFTKEATVKALKVILSSDEGKKMREKIAVQKELAFKAVEPNGSSVENFKKLVEIMSSS >OMO51855 pep supercontig:CCACVL1_1.0:contig15690:17740:22400:-1 gene:CCACVL1_29547 transcript:OMO51855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLFSYNRNAKSRETEGSGGERGEKKEVHKFHFTQPEIDHSPKSKGIEGSGGERGEKKTRLDNVGVKERSDIGEKGNKFRRVPIKLELLPFQLLFPLLPPYDSLQIVEAINLL >OMO51856 pep supercontig:CCACVL1_1.0:contig15690:41096:44842:-1 gene:CCACVL1_29548 transcript:OMO51856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDPDACSRIRKRKRRGHRYRQSPPSVILFYYHRDSVDYSCSAYALNTAAVLEDNGDDTKPLPPPLFRFHRTKFPILPGYAALGSKIYIFGGMNSARACKANPTLGLERHALETYVFDTTKPLPSAAADHDPKTFLLKGPRLNAPKFHPISVVFKGKIYVFPRSFSRHDLLTSRRKPTEFSPKCEQSKRYTWRKLNSELHFSYHYTSSNTQRDEQLFRQWATGELPSILTSLLPAMMTSLLPNMLTSLGYRPLDSASRDSIIDSSSSLGCEVQHSRQNDIERNESCGDGDGDDDRGELEDLC >OMO51854 pep supercontig:CCACVL1_1.0:contig15690:17339:17419:-1 gene:CCACVL1_29546 transcript:OMO51854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRRTRSVAAIVTARVELKTGPLIV >OMO51857 pep supercontig:CCACVL1_1.0:contig15690:54503:55714:-1 gene:CCACVL1_29549 transcript:OMO51857 gene_biotype:protein_coding transcript_biotype:protein_coding description:IKI3-like protein MQTNPDACSQNRKRKRRAHRHSTEAAPPLSPSVILFYYHANSEDYDCSVYALNTAAVLEDKGDDTKPLPQPLFRFHQNKFPILPGYAALGSKIYIFGGINSVKNKKKKNQSGMVQLASSTFTMLDNPGTFGHPIACFPM >OMO51853 pep supercontig:CCACVL1_1.0:contig15690:9801:12898:1 gene:CCACVL1_29545 transcript:OMO51853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRLAPLSEEPINEEDSSNCSKKGLSWKNWLKTHFSLVFNKKSDIKILLSVLGCPLFPVSVLSKPPINEVSSSAQYIIQHFRAATGCKKSEGSVKNIFVTGKVTMAMVDELGATAGSVAGAAGVAQKGCFVMWQMVPNKWLIELVVGGHKVVAGSDGNVAWRHTPWLGAHAAKGGVRPLRRALQANPPAKVSVEFMGLDPVAISAVFSSAQYMGEKRISGTDCFVLKLSAEQVDLADRSDNTAEMIKHVMFGYFSQRSGLLVFLEDSYLTRIQSPGTYPTYWETTMATKIEDYRIVEGVMIAHSGQSSVIITRFGDNLKAGLSITRMEEIWTIDDVAFNVPGLSLDCFIPPKEVQNDDPEENLDWRSPLHR >OMP10809 pep supercontig:CCACVL1_1.0:contig01898:839:910:1 gene:CCACVL1_00782 transcript:OMP10809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPKFSPFVSSPPFLASIGIGTSLT >OMP11036 pep supercontig:CCACVL1_1.0:contig01659:759:918:-1 gene:CCACVL1_00712 transcript:OMP11036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S2 MGRLNRLPKRDAAMLKRQLSRLQTYLGGIKYMTGLPDIVIIVDQQEEYTALRE >OMP01124 pep supercontig:CCACVL1_1.0:contig06527:9810:12045:-1 gene:CCACVL1_03154 transcript:OMP01124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVDIGDEEEYPLFQWIRPVHLDDHDRNPDQQIRSHAEEFGIDVDKVMSEEVGLECSTDETVGLPPGFGSQPKFRGSSAHGRCDDVDDDDDDGGNEGRTTTTGVATCGSGV >OMO77331 pep supercontig:CCACVL1_1.0:contig10779:2111:2179:1 gene:CCACVL1_15072 transcript:OMO77331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVGWQWSNIGEKHMLRPRFS >OMO77333 pep supercontig:CCACVL1_1.0:contig10779:6298:9900:-1 gene:CCACVL1_15074 transcript:OMO77333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKSREPETLAGNDTVSAQSDVFTTIFGDVSDHNAAASSLFSDSNPFKRKPLESVGNPDGGDSLNSDTVDLKQRKRKGKENAENASLGSIEEAPEARKSKKEKALENPKVDSLPSIDESSGFDGKSLVNNEKKETSEGKDVKRRKRKRDEVEKEYEEKKYGKVAEAQEEVVVGQKRKKAEDDGVVALVPKEGFDDENKLLRTVFVGNLPIKVKKKVLIKEFSKFGEIESVRIRSVPLAETKKPRKGAIMLNQINEKADSVHAYIVFKTEQSAEASLAHNMAVVAGNHIRVDRACPPRKKLKGESAPLYDNKRTIFVGNLPFDVKDEEVYQLFCGISNLESSIEAVRVVRDPQFGVGKGIAYVLFKTKEAANAVVKKRSLKLRDRELRLSHARPDATPSKRKSPSSSGMNSTPSKKLAVDSGTPSSNGSRSNAKASLSYQGLRASKSGVQKKVHKGTGPVKMKAKAQKDEKPKERNTKRPAVAARKAKANAQAHKDAGVSKHAVRGKYMSNEAGDGAQSAEDFFGATKWSDIALITSWAVWNDRNAEFHEGVRRNPTHTVDFIRAFILEFQRCQAAVTPLPKAGNEVRWKAPPRGVIKINFDGSFHAASKYGSFGVVGRDHNGQVMGSMAGRFEHVLDGFAAEARAALKAIEWSKDMGFKDVILEGDALTIIKKLSSKLQDLSPIGTFIEEIKLHSSLFNSCTFSHIGRDGNTTAHALASYGFSLQEETIWMEELPTLVLDVLQSECNVLPS >OMO77332 pep supercontig:CCACVL1_1.0:contig10779:3781:5809:1 gene:CCACVL1_15073 transcript:OMO77332 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family MASTVVMGFVLGLIGVYLTMPASDYSFLKLPRSLEDLQILRDHLESYTSDYTLQVLVGYCVVYIFMQTFMIPGTVFMSLLAGALFGVFKGVALVVFTATAGASSCYFLSKVIGRPLVFSLWPDKLSFFQAQVAKRREGLLNYMLFLRLTPTLPNTFINVASPIVDVPYHIFFTATVIGLIPAAYLTVRAGIALGELQSGIGIHTGRADFEDGDLGRC >OMO77335 pep supercontig:CCACVL1_1.0:contig10779:19567:19884:1 gene:CCACVL1_15076 transcript:OMO77335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSNWNQAAKSKGVMENKQGNVKSFKGASKQVNKGNKGGPLLCHKGEKPILNFGENWAPLQNNGFVFIAEASTSGPKVGVKNSKKDSKFKAHSKGPMNFVNNQ >OMO77334 pep supercontig:CCACVL1_1.0:contig10779:17892:17957:-1 gene:CCACVL1_15075 transcript:OMO77334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKDRRKPLILQMKPIDLQP >OMP04128 pep supercontig:CCACVL1_1.0:contig05814:2302:4857:1 gene:CCACVL1_02188 transcript:OMP04128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase MGTGDSFNYDESSGRGPSHWGTLKPEWRQCSAGRRQSPIDIGRVQIRSNLGDLQKNYSAASAALVNGTFIVEVLWQGKAGKIQINRTEYGVVNVHWHSPSEHTFNGTRYDLELHLVHKSGQNNFAVVSMLYQIGLPDPFLAKLLPSIRGLGGGSKNETEVGSMNPESIGILSGRKYYRYVGSLTAPPCTEGVIWTIFHQARTVSRQQVEALKEVLPIVDKNNSRPTQALNGRQVFVYHPWGNFTNEKS >OMO88806 pep supercontig:CCACVL1_1.0:contig08925:11687:13188:-1 gene:CCACVL1_08187 transcript:OMO88806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L17 MAMAMATAADIGSSRWNMVSLKSALPPLTPTASYVKLPCVRRQRPTKCPQLVRSFSALSPVSPLHSLGFSDQTSFGHNFTNIDNRYRFSAMRHGRRVPKLNRPPDQRRALLRGLTTQLLKHGRIKTTRARASAMRKYVDKMITLAKDGSLHKRRQALGFIYEKQIVHALFAEVPERYGERNGGYTRIIRTLPRRGDNAPMAYIELV >OMO88809 pep supercontig:CCACVL1_1.0:contig08925:43058:43546:1 gene:CCACVL1_08190 transcript:OMO88809 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase delta, subunit 4 MATTSKNLKGIYRQKKNNATGGITKSKSTKKASPKEAATFGSDITQPPALVSHGGALDLKDDFDEEEQVLRQFDMSMEYGPCLGMTRMARWERAQRLGLNPPKQIEHLLKGGKVKLDSLFDGRI >OMO88810 pep supercontig:CCACVL1_1.0:contig08925:44275:46935:-1 gene:CCACVL1_08191 transcript:OMO88810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biopterin transport-related protein BT1 MVSAKEDGSGRRPEKGKANRIRSLLGIGYWVQGFRCFPWMAVNFFLKDSVKVDPSTLQILQNSVNLPMVWKPLYGVVSDAVYISGQHRVPYIAIGAFLQAVSWLAIAILSQSNISIFAMSIYLLLSNLGASIAEVANDAIVAETGKTQSSSKKSPSASSGELQSFVWMASSVGGVLGNLLGGIAIDRFSPRSMFLFFGLLLALQFFITISVPERSLNLPKSPSNVGIRKQLSELLAALRKPEIAYSIAWFAASYAIIPVLTGTMFFYQTQYLKIDASVLGISKVFGQAVMLLWGVVYNRRLKSIQPRKLIEAIQVTMAVFMISDVLFAKGIYRQMGVPDSFYIVAFSSVLEVLFFFKILPFSVLIAQLCPPGCEGSLMAFVMSAVALAFILSGYLGVALASYVGITGNNFSGLPLGLLIQAGCTLLPLFWSSWIHDDVKVKTRTE >OMO88807 pep supercontig:CCACVL1_1.0:contig08925:14920:16487:-1 gene:CCACVL1_08188 transcript:OMO88807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 METWWFLILSTISIALLLKAFLNLFYPSKKNIPHTLPPGPPTFPIIGNILWLRKSFSQIEPTLRDLHSKLGPMVTLHIGSRPTVFVSDRYLAHQALVQSGSLFSDRPKALATNKVISSNQHNISSAPYGPNWRLFRRNLTSEILHPSRIKSYSHARKWVLEILFDGLQSKAKTGEPVQVLSHFQWEEFLQLRKDQENVLIPLIRARKKAKEENLRNKGSSSDDDYVLAYVDSLLDLELPEEKRKLEEGEMLGREAGVYHGDEDSIAGPYFTKEEIRS >OMO88812 pep supercontig:CCACVL1_1.0:contig08925:55001:57865:1 gene:CCACVL1_08193 transcript:OMO88812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHGVVPVLSLWNRLMYSFNASGLVSEVMLLYSEMIACGVLPNVFTINVLVHSFAKVGNLSFALEFLRSVDNSNNVDTVTYNTLIWGFCEQGLAYQGFGFLSEMVKKGFSFDTFSCNIVVKGFCRIGLVQYGEWVMYNLVNGGISKDVIGFNILIDWYCKNGDMNYAMELMDRMRTEGIVPDIISYNTLINGFCKKGDFVKAKSLIDEILGCRKRDLKILDGSDHQNKSENSVTLEPNLITHTTLISAYCKQEALEEALSLYEEMVVNGLLPDAVTYSSIINGLCKHGKLAQAQMLFRDMEKMGVYPNHVAYASLMDSFFKSGNSMDAFALQSLMVVRGIAFDVVVYTILMDGLFKVGKPKEAENMFITLLKQKLVPNSTTYSALIDGRCKLGNIDGAESALEEMKESNYVPDVVTYSSIINSYIKNGMLDEAVNMMRKMVGENILPNVFTYAALIDGYFKAGKEEVALYLYDEMKLAGLEENNFILDAFVNNLKRAGRMGEAEVLAKDMISRGLSLDRVNYTSLMDGFFKEGKETAALNLAQEMTEKNISFDVVAYNVLINGLLRLGKYEAQSVYARMRELDLAPDLITCNSMINAYCKEGKLEYALNLWDDMKNWGLMPNSITCNILVRGLCKSGEIQKALNFLNEMLILGISPTTAIHRCLLNASSRNGRVDAILLMHERLVSMGLELNQTVFNTLIIVLCRLGMTKKAISVLENMTGQGFLADTITYNALIHGYCVGSHIEKAFAMHSQMLRKGVAPNVVTYNILLRGLSTAGLMKEANKLFSKMREKGFNPNASTYDTLISGHGKIQNNKEAIKVYCEMISKGFVPRTSTYNVLIRSFARVGKMAQARELLKEMQLRGALPNSSTYDILISGWCNLSDQPELNRASKLSCLAEAKKLLLEMNDKQFIPCESTVSDISSAFAKCGKKFNAQNFLKGLYWRKNTLKEKKS >OMO88808 pep supercontig:CCACVL1_1.0:contig08925:40377:41933:-1 gene:CCACVL1_08189 transcript:OMO88808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 METWFIILITISISLLFRVLITLFSSSNPKKPSQPLPPGPINIPIISNISWLMKSFSDLEPILRNLHGKFGPMVTLNILSRPSIFVADRSLAHQALVQNGALFADRPEAPTVGKIVSCNQHNINSAFYGSTWRLLRRNLTAEILHPSRIKSYSHARKWVLEILFESLLPHSKSTEPVRVIDHFQYAMFCLLVLMCFGDKLNQEQIKEVEDVQRRLLLGLGTKFNILNFWPKLTRILLRNRWNEFFQVIEDQEKVLTRLIRARKKVKEEKAETSEVLAYVDTLLDLQLPEEEEQRKLTEQEIASLSSEFLNAGTDTTSTALQWIMANMVKYPHVQEKLFMEIQGVMENGSEEEEVKEEDLQKMPYLKAVILEGLRRHPPGHFVLPHTVREDTSLGGYLVPKNATINFLVAEMGWDPKVWEDSMAFKPERFLNNSEKKGTVEMFDITGSREIKMMPFGVGRRICPGLGLAMLHLEYFVANLVWKFEWKAVDGDEVSLEEKQEFTVVMKTPLQAQIYPRIR >OMO88811 pep supercontig:CCACVL1_1.0:contig08925:48437:54116:-1 gene:CCACVL1_08192 transcript:OMO88811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 MSAKSKASVWSRLLSQTLQNPPKTLPSVSRKISTFARVLASQTAGSHSSTNSTHFSVTPPLPNDTLKPHSSFSRKISTFSRFPTNRTPKSSLCSKKAHFCTEVMSDFEELLDEVESEKKRERDDRQRKGLDTKDIDEEDEEDYLGIMPLIQKLEKMASKEPEDLPEPTDSDSSDEDEEMFSAEADEKRIEVFEKKFKRHEELLDEFTEAETLDDAFKCMSKIDKFEEKHFRLRPEYRVIGELMNRLKVAEGKEKFILQQKLNRAMRLIEWKEAYDPNNPSNYGVIQLEEAGPSDDSPKNAKEKEMREKEAADDDDDDKDDENDNENDNDEEFDDMREKDNILLEKLDAIDKKLEEKLAELDHTFGRKGKLLEEEIRDLAEERNALIEKKRIPLYRKGFDVRLIDVNRTCKVTKGGQVIKYSALLACGNYHGVIGYAKAKGSAVPIALQQAYEKCFQNLHYVERHEEHTIAHAIQTSYKKTKVYLWPAPTTTGMRAGKTVETILNLAGYKNVKSKVVGSRNPHNTVKALFKALNAVETPKDIQEKFGRTVVEKYLL >OMO60926 pep supercontig:CCACVL1_1.0:contig13644:18844:18921:1 gene:CCACVL1_23803 transcript:OMO60926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPARYTGGGANNQYGGGVENGSSK >OMO93320 pep supercontig:CCACVL1_1.0:contig08109:36748:44436:1 gene:CCACVL1_06544 transcript:OMO93320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLKLQPGIKAIEEEPEDCDATHSNKATLACMINSEVGAVLAVMRRNVRWGGRYMSGDDQLEHSLIQSLKALRKQIFLWQHQWHTINPAVYLQPFLDVIRSDETGAPITGVALSSVYKILTLDVIDQNTVNVEDAMRLVVDAVTSCRFEVTDPASEEVVLMKILQVLHACMKSKASVMLSNQHVCTIVNTCFRIVHQAEKKGELLQRIARHTMHELVRCIFSHLSNVDNTEHALVNRNSNANQELGGIDNDYAFGAKQAENGNGSEYDGQASSGSFASNGSSGLVATMREESMIVAGNGKETVPYDLHLMTEPYGVPCMVEIFHFLCSLLNAVEHVGMGPRSNTLAFDEDVPLFALGLINSAIELGGPSFRRHPRLLSLIQDELFRNLMQFGLSMSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQGKYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCSNVFEDLANLLSKSAFPVNCPLSAMHILALDGLIAVIQGMAERIGNASVGSEHKPVSLDEYTPFWMVKCDNYSDPSHWVPFVRRRKHIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPREFLTELYHSICKNEIRTTPEQGYGYPEMTPSRWIDLMHKSKKSAPFIVSDSRAYLDHDMFAIMSGPTIAAISVVFDHAEHEDVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPSSVEEPVLAFGDDTKARMATVTVFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESEVSADPSHGKPITNSLSSAQIQSIGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHIDSIFTERRPQKGNSSPEDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIASIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANATHIRSQMGWRTITSLLSITARHPEASEAGFDALLFIMSDGAHLLPANYVLCVDSARQFAESRVGQAERSVRALDLMSGSVDCLVRWANEAKETMGEEDAAKMLQDIGDLWLRLVQGLRKVCLDQREEVRNHALLSLQKCLTGVDGIQISHGLWLQCFDLVIFTMLDDLLEIAQGHQKDYRNMEGTLILAMKLLSKVFLQLFHELSQLTTFCKLWLGVLSRMEKYMKVKVRGKKSEKLQELVLELLKNTLLVMKTRGVLIQRSALGGDSLWELTWLHVNNIAPSLQSEVFPDQDPDQSLLKHGETSGVVSGETVHAIDTHAQYIGGACIEILEHVRHDDAPDPNQYCLEVTDAKIDYRDRESRQVVDRAFPRIVDKSMSEVFEGYERQYCELSANLSRKCNSASVILDAEQKKQKLFEINSGIDEADVLIRKMDLEARSLQPGIKATLLAKLREYKSDLNKLKKEFKRISSPNANQAAHDELLEAGLADAHAVSADQRERLSMSVERLNQSSERIKESRRTILETEELGVSILEDLHQQRQTLLHAHNKLSDVDSAIDKSKKVLTTMSRRITKNKWIVGSIIVALVLAILLILYFKITG >OMO93319 pep supercontig:CCACVL1_1.0:contig08109:30160:35606:-1 gene:CCACVL1_06543 transcript:OMO93319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEESKAESDRLKMKTYSCQAQTADGAQREQRILERLQDEKFILRPELERWLVEIEKDKSTKVDRKMVDRLLKKLQQQGHCKCMHINVPVVTNHGRSRITQVVLHSSVESLDPELLGGIHDRLRSFDSNEEEPHLERNKQSSDRKRKRSSKVKLDESTRVDARTIQLDEQEVATLPVCIDGFTVEENGALTSSVGPDILQTHQEAHNIEAVSKGGTLEDAFPKFKPTRAKRFSWTDEADRKLVMQYARYRVAHGAKLHRVDWTSVADLPAPPSACERRIAFLKRNAKFRKALMQLCNILSQRYVMHLERNQNRSFNNSDCRLLVRPSLVGFSNGIEHGEDAGIEEERWDDFDCKKIKRGLDGALRIKQIVNLEASKMAKSISAEWSTINMNSEDNLQGPEIVSPSTEGEDLGWPGAGCKGSIQSSRNHRFHQKLVKLWNVGNGAGRQVHESLAVSNAVELFKLVFLSTSTVPPFPNLLAETLQCYSERDIFAGFSYLRDRKIIIGGTCGQPFVLSQPFLHSISKSPFPRNTGKRAANFSAWLHERKNDLMEGGINLTEDLQCGDIFHLISLVSSGELSVIPCLPDEGIGEAEDMRSLKRRAEDNELCDDDKAKKLKSIAEGEFVLRREKGFPGIMVSVYSTVVSTANALELFKDGTCTFEHVNDESLSQNFSSGSTNSDYMKEMLEFGSNVTIALKSKVSPWEAMTSYAKHLLSNPSYEGEGSHFDPEIIKAVHAEIQKAGDQGLGIDDVYNLINVPGRMMPEIIIDTLQAFGRALKVNGYDSVRVVDALYHSKYFLASSSCFHRDLRPPSSLSQGKDGGNFILQQENVSLDTANLSGSVTVGDVHKVTILNLPEEHALPSNEVPRSKVNESCMVGEVSLDGDNEGEIYCEALVPILPWINADGTINRMVYNGLRRRVLGTVMQNPGMLEEDIICQMDALNPQNCRKLLELMILDQHLIVKTKLQATGSGPPALLAPLLGNSYRKPKLVFLEHYFANPTSTSLL >OMO93314 pep supercontig:CCACVL1_1.0:contig08109:13929:13994:1 gene:CCACVL1_06537 transcript:OMO93314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGTTLGNLDLRLVVLRLYT >OMO93321 pep supercontig:CCACVL1_1.0:contig08109:45104:47429:1 gene:CCACVL1_06545 transcript:OMO93321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSSVSPSIVLPPSNPLPTQRHRRQVATFSFAANNHLPRLSSFFSVPSSRGRLSRVVDCKCNLNGRDGRNGEDDDSDGFDEKEEVERALHLDGTIPGTSGEFVKRVSSRAYDMRRHLQQSFDSSSYDVLEANPWRDPSKPVYVLTHKENQLCTMKTRRNRSEVERELGLLFSKGAKWSSGISNKTKQPRSGTKFQMVVEDVREGVLVFEDETEAAKYCDLMQGGGQGCEGVAEIEASSVFDLCQKMRALAVLFRRGRTPPLPQSLELNLRARKRSLEDQEDIM >OMO93323 pep supercontig:CCACVL1_1.0:contig08109:52793:54895:-1 gene:CCACVL1_06547 transcript:OMO93323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein MILRSLQIVILVLGILSPASESLRFELQSGQTKCIAEDIKSNSMTVGKYHVVNPNEGHPLPESHKLTVRVTSSHGNSFHSAEKMETGQFAFTASEEGDYMACFWAPDHSPPVTMTVDFDWRTGVHAKDWSNVAKKGQVDVMELELKKMYDTVTSIQEEMYYLRAREEEMQELNQATTSKMVWMSLLSLLLCLSVAGLQFWHLKTFFEKKKLI >OMO93316 pep supercontig:CCACVL1_1.0:contig08109:24128:24226:1 gene:CCACVL1_06540 transcript:OMO93316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPSFSKFLLWLVGDPTVMQLFGQKDFCIKY >OMO93312 pep supercontig:CCACVL1_1.0:contig08109:1960:5520:-1 gene:CCACVL1_06535 transcript:OMO93312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MEETEENHANKKCTTKTRTFNGRTNPHKYHYQHPLLQYSSHFGFFNQNQFQTYSYYPALLPLPPPIPLQLALTPPLSQNQTFPSKTHLQKVSCKVNDPPIATSSVSDTQVPVTPAAPEGFQGRKTLPLKGNNGRKVMNSTKQELVAARRPDSGGVEGPVITLLANHFLVKFDPTLKIYHYNVEISPNPSKEVARMIKQKLVETNSALLSGANPAYDGRKNFYSPVEFQNDKLELFISLPIPTNKSSLAFGELNGLEQKQHQLKVFRINIRPVSKFDGKDLNSYLSKEGEDWIPLPQDYLHALDVVLRESPMEKCISVGRSFYSSSMGGTKEIGGGAIGWRGFFQSLRPTQQGLALNVDFSITAFHESIGVIPYLQKRLDFLRDLSQRKTRSLTDEERKEVEKALRNIRVFVCHRETVQRYRVYGLTEDATENLYFADRDGKNLRLVSYFKDHYNYDIQFRNLPCLQISRSKPCYLPMELCMICEGQKFLGKLSDDQTARILKMGCQRPKERKAIIDGIMRGPVGPTSGNQAGEFKLHVSREMTRLKGRILQPPKLKLGDGGHVRDITPSRRDRQWNLLDSHVFEGTRIERWALISFGGTPDQKSNIPKFINQLSQRCAQLGIFLNKSTIVSPQFESTQVLNNVTLLESKLKKIHRAASNNLQLLICIMEKKHKGYADLKRIAETSVGVVSQCCLYTNLGKLSSQFLANLALKINAKVGGCTVALYNSLPSQIPRLLHTDEPVIFMGADVTHPHPLDDVSPSVAAVVGSMNWPAANKYVSRMRSQTHRQEIIQDLAAMVGELLDDFYQEVNKLPKRIIFFRDGVSETQFSKVLKEELQAVREACARFPGYKPPITFAVVQKRHHTRLFPFENDPSSTQNQHFDENIPPGTVVDTVITHPKEFDFYLCSHWGVKGTSRPTHYHVLWDENQFTSDELQKLVYNLCYTFVRCTKPVSLVPPAYYAHLAAYRGRLYLERSESAACTRSSSTISRVAPLKATPLPKLSENVKKLMFYC >OMO93317 pep supercontig:CCACVL1_1.0:contig08109:25033:25769:1 gene:CCACVL1_06541 transcript:OMO93317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGAEASEGFSEWEQIQYSPTASSSPRTDMIPIKDNGLRHELSVFPPSRHEDLEVKPEEEVHHIEDEEEVNSSVQDSWSTTGEEAEAESNPLIPSKKPNEIGKILTSGIVKVAARVKNYVGVAFGCGVWSVGAASGVIAAVLLSVVYAKVRRWRRTTRPRIKEETKDYKLMSLIQDKDQKINQLMAQIAHMNELLSARRRVPVLRVS >OMO93322 pep supercontig:CCACVL1_1.0:contig08109:48268:51734:1 gene:CCACVL1_06546 transcript:OMO93322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDTSGGGSPARPGGKGGSSSSSDKQKDKARVSRTSLILWHAHQNDANLVRKLLEEDPTLVHARDYDNRTPLHVASLHGWVDVAKCLLEFGADVNAQDRWKNTPLADAEGAKKHSMIELLKSYGGLSYGQNGSHFEPKPVPPPLPNKCDWEIDPSELDFTHSNIIGKGSFGEILKASWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTEKKPLMLITEYLRGGDLHQHLKEKGGLSPTTAINFALDIARGMAYLHNEPNVIIHRDLKPRNVLLVNTSADHLKVGDFGLSKLIKVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGEPPFSNYEPYEAAKYVAEGHRPTFRSKSYLPDLRDLTDKCWAADMNNRPSFLDILKTLEKIKNTIPPDHHWNIFNT >OMO93325 pep supercontig:CCACVL1_1.0:contig08109:62982:65575:-1 gene:CCACVL1_06549 transcript:OMO93325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLFTLSSPSSSSVSTNLKHFFTSFTTKPTLPLHITKKPISLSATSSLHSATTTDPPPELQTFWQWLQDQGVVSAKSPVRPGMVPEGLGLVAKKDIAKNEIVLEIPNRFWINPDTVSASEIGSVCSGLKPWVAVALFLIREKFRDDSKWRVYLDILPQLTDSTVFWSEEELAELQGTQLLSTTLGVKEYVQNEFLQVEADVILPNKKLFPAPITLEDFFWAFGILRSRAFSRLRGQNLVLIPVADLINHSPSITTEDYAYEIKGAGLFSRDLLFSLRSPIPVKAGEQVLIQYDLNKSNAELALDYGFIESNPERNAYTLTLEIPESDSFFGDKLDIAEMNGLGESAYFDIVLGRSLPPTLIPYLRLVALGGTDAFLLESIFRNTIWGHLDLPISRANEELICRVVRDACKAALSGYPTTIEEDEKLLEEGNLEPRQRIAVGVRAGEKKVLQQIDEIFKERESELDELEYYQERRLRELGLVGEQGELIFWE >OMO93318 pep supercontig:CCACVL1_1.0:contig08109:27997:29265:1 gene:CCACVL1_06542 transcript:OMO93318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYLPATTDSIAQALEAKTPAEAISIYYRVLENPSSAPDALRIKEQAITNLSDLLRQENRAEELRSLLTQLRPFFALIPKAKTAKIVRGIIDDVAKIPGTSDLQISLCKEVVQWTRAEKRTFLRQRVEAKLAALLMENKEYPEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQAEDVAGIISSKAGLQYLGPELDAMKAVADAHAKRSLKLFETALRDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIVHIAELIELPIDHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNIGKVVDSLYVRSAKIMA >OMO93315 pep supercontig:CCACVL1_1.0:contig08109:15607:20841:-1 gene:CCACVL1_06538 transcript:OMO93315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSALLTSVGINSGLCVLFFTLFSILRKQPSYYELYIPRMLAEGISKRRSRFNLERLIPSTSWLKRAWQMSEEDLLSISGLDAVVFMRIITFSLKVFLFAGVIGIFVLLPVNCTGDQLKDIDFEDLSNNSLDVFTISNLKSGSLRLWAHFSAVYLVTVFVCYLLFYEYKYISAKRIAYLYSSKPQPHQFTILVHSIPVSAGSSVSETVERFFLEYHPSTYLSHMVVHRTSKLQYLIKDAKMLYKRLTHLQSDPNQKYKHVGLFGHKVDLVDHYGKKLEDVEENLRLERAEVSLAAEEVRAAFVSFKSRYGAAVAFHMQQSTNPTQWITEQAPEPSDVYWPYFSSSFMKRWLSKIVVIVACILLTILFLIPVLIVQGLTNLNQLEIWLPFLKSILTITFFTQVITGYLPNLILQLSLKIVPPIMQFLSSIQGYICHSDIQKSACNKVLWFTIWNVFFASVFSGSVLYKISIVLDPKNIPARLAVSVPAQASFFIAYVVTTGWTSVSSELFRIFPLFCYLLKRPFSCCSNDEFEVPALRFHRDIPRILFCGLLGITYFFLAPLILPFLLVYFCLAYIIYRNQFIHVYEPKYETAGKFWPIVHNSMIFSLLLMQAIAVGLFTLKKLSMASTLIFPLAAFTLLFNEYCRKRFLPNFIAYPAEVLIKRDREDRNDPTMAEFYDQLVTAYEDPAMLPVHFSQNADSLNQPLISDAAVRR >OMO93324 pep supercontig:CCACVL1_1.0:contig08109:59325:59435:1 gene:CCACVL1_06548 transcript:OMO93324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAFAYRVYQPDFVDRAPSSSSSTLARDPCPSSSS >OMO93313 pep supercontig:CCACVL1_1.0:contig08109:11730:12923:-1 gene:CCACVL1_06536 transcript:OMO93313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKVIASAEGEKGNNNVDHWEPNMVMDNAAAAAAIAALGGARRARKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTYDTAEEAARAYDEAACLLRGANTRTNFWPCSSHYNNSTPALPSKIANLLLLRLQARNNNNLAAATSMASSSSNLAPNNNKGFQAAAAEGDEVCNIEDFFVNVPNEDQDFSLNTSSSSSSEMDCGSSFAKQEDVKIKKLGLEEEGIDDMGKLMDLQLEDALGLPSYFSDPFQIAEEMVLENQPLMEQQETYGNYNYNEHDEDPSMLRETMKRMKFERNFSASLYAFNGIPECLRLKQHDQSENHIDKPPQQYSYLRNDINCSDPNFEKKFEIKEEHDEQVAMFHAETGSSAHSSSISNIEAAHEIWGSLDLPPICFVNQF >OMP00501 pep supercontig:CCACVL1_1.0:contig06665:925:1119:1 gene:CCACVL1_03338 transcript:OMP00501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLERPEITSVLNVLKSSQWTNLIKITIVRPETIGRKALWFGKSKTIQNSTNGPRKARNNKCSE >OMO77236 pep supercontig:CCACVL1_1.0:contig10796:36996:39599:-1 gene:CCACVL1_15147 transcript:OMO77236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MRMEEIAEETNGKHEKMVEITLKTVGPARPSRLQVPSSIRVLDLRKLIAGKNHLPVENLKLILRGKVLHDHEDEDDIYVQLKDGDSLIVAVKPKPPVGLDVDDDDDDDLKFQLPQSTSQWQKKLYSFLHRRLKLPDIILMALFSLRLRTWALIILWFILAPVAHKWDVGPLYILGTGFCIIFLNLGRRQPGDVSAYSIFNEDFRELPGTLNADAIDRDVRTGYDIKIWLKLEFVLHMVTKEFSRCASLDDITQNFDVNQMNLSCNINNRVKTVNYDLFLQMAVKDVMLSEKGHVTFSEELDPTKISVS >OMO77231 pep supercontig:CCACVL1_1.0:contig10796:478:1270:1 gene:CCACVL1_15141 transcript:OMO77231 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLDc MACCISNTVFQQGWKWRSPYSLFGWNIGKNKNADLKPQPKYHDVDLPFSPSLVDKTFLKGRELKCCYKASIDGFSATNFHDCCDFKGPCVIIGYTDKSFKFGAFNPEGYRSTDDYYDTFDAFLFYWTGDEETDPIILPKVGGSGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDTNSGIGDLRQAKSRLGLSYAKRKDGKESLFGDESKATIQEVQVFCSPQIASLY >OMO77237 pep supercontig:CCACVL1_1.0:contig10796:40304:41371:1 gene:CCACVL1_15148 transcript:OMO77237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage H-protein MALRMWASSTANALKISCASKAHLSPAFSLSRCFSTVLDGLKYADSHEWVKHEGSVATIGITDHAQDHLGEVVFVELPEAGGSVSKGKGFGAVESVKATSDVNSPISGEVVEVNSKLTETPGLINSSPYEDGWMIKVKPSSPSELESLMGPKEYTKFCEEEDAAH >OMO77234 pep supercontig:CCACVL1_1.0:contig10796:13440:15569:1 gene:CCACVL1_15145 transcript:OMO77234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLSTALDVHHLTIPQSDNKRPNFFTKTIKQNPRRKFTIFCKSPSKPPQNPQESPKKNSSLSELLQPLFETTLPKKDQAPVLSKPSKSTWVNPSKPKRSVLSLQRHRRSPYAYNPKVRDLKLFAKKLNECENSEDAFLKVLEEIPQQPTREDALLILNSLKPWQKAHLFFNWIKTKNLFPLDTIFYNVTIKSLRFGRQFELIEELANEMVSNEIPLDNITYSTIITCAKRCNLFDKAVEWSERMYKTGLMPDDITYSAILDVYAKLGKVEEVLSLYERGVASGWKPDPIAFSVLAKMFGEAGDYDGIRAGKPGLARSLFNELLECGLTPNEKTLSCLAKIYGKARWAKDALLLWEEMKSRKWPMDFILYNTLLNMCADLGLVGEAEELFMDMKQSEHCRPDIYSYTAMLNIYGSGGNVSKAMELFEEMSELGIELNVMGSTCLIQGLGKARRIDDLVRVFSVSVEQGVKPDDRLCGCLLSVVSLCESKEDMDKVLTCLQQANPRLVAFVKLIENEESSLATVQEEFKSIIINTKDDARRPFCNCLIDICRNKNLHERAHDLLYLGTLYGLYPGLHNKTVDEWNLDVRSLSVGAAQTALEEWMGTLAKIVKREEALPELFSAQTGTGTHKFSQGLSNAFASHLKELAAPFRQSENKAGYFVATREDLVLWLQSRIASPATA >OMO77232 pep supercontig:CCACVL1_1.0:contig10796:3417:4608:-1 gene:CCACVL1_15142 transcript:OMO77232 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MGPATFLFQLKEKSSFDQNFFLFSNEKNATQSIVLTEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATKAGYWKATGKDRKVVCQSAVTGYRKTLVFYRGRAPLGDRTDWVMHEYRLSDEPSPGSSNQVAFALCRVVKRNEQKAKSTAVGSSSGAMVELTSSTMICNEPLSDISCQTSYPNNESRYSSPVTSPYPYEVTQIPPNEPPSAHTDPASVWVSPDMILDSSKDYPQICETASQYFPQYEFPNSGSLWQQQYEQQTDFSPSSSYSNFGEIENLDDLSRIGCMSPNYMDFYGNEGYDQTGSFNYLNHF >OMO77235 pep supercontig:CCACVL1_1.0:contig10796:16529:21003:-1 gene:CCACVL1_15146 transcript:OMO77235 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MGSGVSTLCPCFNPVTNRSNRQPENHPDLIFPSTEPLDETLGHSFCYVRSSNRFVSPTPSDRFVSPSHSLRFSPPHDPRTRTGPETLFKAISGASVSANTATPRTVTQLDNIYDDATENTLGYGVKSSIVSGNGFESTSSFSSLPLQPVPRGGAHDPMERGGFFMSGPLERGAVSGPLEANPGPEGRVHFSAPLGGIYVKKKRKKGISGILQNFSEKKRPWVVPVLNFVGRKENSEEAEAAAAVEGRTEGDVQWALGKAGEDRVHVVVSEEQGWLFVGIYDGFNGPDAPEFLMGNLYRAVFHELHGLFWELDEETENKTENEAENITNPPDVVIATDSLNKIDSETSTQKNSVDEVKGAGESLIEENESSGVVQERTRRVTFEQEGTEIRRRRLWEFLAEEDPEDGLDLSGSDRFAFSVDDAISVSKEGSIGSKRWLLSKLKQGLSKHREGSGNLLFPWRFGLEEKEKVEEVDNRVEERVPKTGRRRKEGPVDHELVLSALSRALEVTELAYLDMTDKVLDTNPELALMGSCLLVVLMRDEDVYVMNVGDSRAIVAQYEPEEVGSSVKGRKQEENGSSMEGIVEETLAVGEKAIKPVNEAPAQSMKLTALQLSTDHSTSIEEEVIRIKNEHPDDSQCIVNDRVKGRLKVTRAFGAGFLKKPKLNDALLEMFRNQYIGTAPYISCSPSLCHHRICPRDQFLILSSDGLYQYLSNQEVVSLVENFMEKFPEGDPAQHLIEELLFRAAKKAGMDFHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYL >OMO77233 pep supercontig:CCACVL1_1.0:contig10796:10282:12641:1 gene:CCACVL1_15144 transcript:OMO77233 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF system FeS cluster assembly, SufBD MAALAFTHQNLLQFTPKLTPSKPKLKSKRTKTKLSIQTLTTSPQASFTDQFVLQLADSLEDSLPSSSSPLPLQKLRDDSSEALLSTQWPSRKDESFRFTDTSFIRNSNIKPISHPPESLAFLDDSGDTQFKSLNFIDGFLVDSSFDSSNLPDGVYVGSLSKLSSEGILKRVSEFLGDFEWGDLFWSVNGLGAPDLMVIYVPEGCRVENPIYLKYISVEGGNEGSKKVALSNPRVFVLVEKGGEIGIVEEFVGKEGSECYWTNSVLEVVVGEGGKVRHSYLQKQSLSAAHIKWTSVRQESTSTYELVEVSTGGKLSRHNVHVQQLGSDTATELTTFHLCVGDQTQDLHSRIVLDHPRGYTQQLHKCIVAHSSGQAVFDGNVKVNRFAQQTDAGQLTRSLLLEPRATVNIKPNLQIIADDVKCSHGAAISDLEDSQLFYFQARGIDLETARKALVFSFGAEVIDRLPYSFVQKQVKDHVKELLQSPR >OMP05928 pep supercontig:CCACVL1_1.0:contig05129:5818:6033:-1 gene:CCACVL1_01790 transcript:OMP05928 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc finger protein KPNSALASPQPQLNLSRYEPRFSLHGPNELGDGIRVVDRFLELGMIRSRPDVVMEGGDGAKRRAGRLLRRF >OMP12028 pep supercontig:CCACVL1_1.0:contig00548:492:8944:-1 gene:CCACVL1_00169 transcript:OMP12028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASKRAVGAGPGHQGASASVSQRVAENPRLRPRNDNVELGGINQSPKVVKSVAIGEDL >OMO49428 pep supercontig:CCACVL1_1.0:contig16507:5702:8714:1 gene:CCACVL1_31004 transcript:OMO49428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTKNEREDGMLSKDQTDSPLADDGNCGGAGAGVVLKKGPWTSAEDAILIDYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGAFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVCLQALQESHSSSAVNGGDKGSQDILQNNSYEIPDVIFDSLKANQSVLPYVPELPDMSTSSMLMKGLGSSQYCSFISPTIHRQKRLRESAAFFSGYTGVVKNECPLFEHFEDDISDKASRSFGLSFPIEPDPTAKTSQSFGVFSGSHALSNGNFSASEPALEAVKLELPSLQYPETELGNWGTLSCPPPLLESVDAFIQSPPPTSGVESDSLSPRNSGLLDALLHEAKTISSAKNHVSEKSSNSSTPGDIAESSNFNVCETEWENCGEPLSPMGHSATSLFSECISASGSSLDEQPPAENFTESHVKSEPGDRVLTPEIQREAPIRLDSTRPDSLLASNWLEQASGYDKDQSIMTDAIATLLGDDLTSEYKNMAAGASIASQAWGLGSCAWNNMPAVCQMSELP >OMO49429 pep supercontig:CCACVL1_1.0:contig16507:10023:15182:-1 gene:CCACVL1_31005 transcript:OMO49429 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine-threonine protein kinase, plant-type MSREAERVVVILDASRELSLTTIKWALLGGLPLKPGDKLILLAILHQVNNPSTLSFMGAGKFMGYRIKVDSSSIFGTNKKIIAEEMDRRTEQFRKHAEIVKISNKCEREQIEFRIEMQAGSPLKVVASRAAKKLRATWLVLDRHLKSDQRFFIENLTCNIVRMKKDNTVEELRGPNVREINKINPAPRRSNVSYAEMIPETSLSEVKTPRKSRSGQSISSVKEQASEGSEEQHPWHDSSKSITHSASTSSLATSRATSSGYNEYLKSSTHDEEYTTTTGAETGGEHSAQSIYESGDQKDLYSPDETQKQHNHNEDWMGGNPGDEVFKNTVCLTCKNRRPKARPLLKDRNYPDLIDPRIIDSHDVHQLFWMVRVAEKCLSRDPTKRLSMDKVVYALNYIMDCDSICSIRDLSPAQSDTMSRDSCESESQSPYDEDSTFTIETTSVSSLSQFSSARLPPSPSISRISSASTFYYGESASGTATSFDRYIS >OMO57377 pep supercontig:CCACVL1_1.0:contig14385:2262:3399:1 gene:CCACVL1_25798 transcript:OMO57377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase type 2/haloperoxidase MAEILLGAHTLTSHGVKVFKRHLYDWLILLVLILIDGLLNFIEPFHRFVGQEMMTNLKYPFHHDTIPFWAVPFLAVLIPIIVFAIYYYFRKDIYDFHHAILGLLFAVLVTGVITDLIKDGVGRPRPNFFWRCFPDGKAVFDKITGDVVCHGNHKVVKEGYKSFPSGHTSWSFAGLCFLSWYLSGKIRAFDRRGHVAKLCIVFIPVLVAALVGISRVDDYWHHWTDVFTGALIG >OMO50141 pep supercontig:CCACVL1_1.0:contig16296:20473:20544:1 gene:CCACVL1_30603 transcript:OMO50141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKHQEVEAKTMSGGGESNGLT >OMO72334 pep supercontig:CCACVL1_1.0:contig11465:22708:28650:-1 gene:CCACVL1_17853 transcript:OMO72334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVADPVELGISLREGMTHLKSSSPHRFNLTPSLYLLTEETKKAVTKQE >OMO87567 pep supercontig:CCACVL1_1.0:contig09219:6188:7571:1 gene:CCACVL1_08930 transcript:OMO87567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MASSAYSILIVVTLFMLFMATSRADDVVANETSAALLIRVDQSGKGDYTKIQDAIDAVPSDNKEHVFILVKPGIYKEKIVVPADKPFITISGLSANTTIITWNDGANIYDSPTFSVLASDFVGRYLTIQNTFGPGAKTVALRVSGDRAAFFGCRILGYQDTLLDDVGRHYYSNCYIEGAVDFICGNAASLFERCHLHSLSVGDAAITAQRRMSPSEDTGFTFLGCKITGVRTAILGRPWGAYSRVIFAYSYMSNVILPQGWDNWGDSAKESTAFYREYKCYGPGANTKKRVEWTQKLTDTEAEFFLTKNMIGGNSWIRSTPTRFKKASFTISNNSTNHA >OMO96103 pep supercontig:CCACVL1_1.0:contig07526:584:1513:-1 gene:CCACVL1_05071 transcript:OMO96103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGFFRPRFLLTCHEVPFRLNLGS >OMO63800 pep supercontig:CCACVL1_1.0:contig12892:6081:6218:1 gene:CCACVL1_22263 transcript:OMO63800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRINELEQSINDLKAEMGVEGSPSPLAPSKQKPDEAKQDEGSA >OMO63801 pep supercontig:CCACVL1_1.0:contig12892:7427:7783:-1 gene:CCACVL1_22264 transcript:OMO63801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MAKPSVFLLAVSLFLTTLIIAMSTVDTSADHNLNWVPTTTKSGCQGSMAECMVNDNEFDMDSEINRRILATSNYISYGALQRNTVPCSQRGASYYNCQPGAQANPYNRGCSAITRCRS >OMO63802 pep supercontig:CCACVL1_1.0:contig12892:10246:13927:-1 gene:CCACVL1_22265 transcript:OMO63802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MAVAALALNLQLLCFSNSRTRAKSRPWFPKRAAFFNSQKKAHIFIVSCSSSSTSQSPEANTQTAESCVNLGLSLFSKGRVKDALAQFETALTLDPNPVEAQAALYNKACCHAYRGEGKKAADCLRTALRDYNLKFGTILNDPDLASFRALPEFKELQEEARLGGEDIGYSFRRDLKLISEVQAPFRGVRRFFYVAFSAAAGISLLFTVPRLFRAIQGGDGAPDLWETVGNAAINIGGIIVLVALLFWDNKKEEEQLAQISRDETLSRLPLRLSTSRVVELVQLRDTVRPVILAGKKESVSLAIQKAERFRTELLRRGVLLVPVIWGEGRTPQVEKKGFGVSPKAAAALPSIGEDFEKRAQSITAKSKLKAEIRFRAEVISPEEWERWIKDQQKSEGVTPGEDVYIILRLDGRVRRSGKGMPDWSQIVQELPPMEALLSKLER >OMO63803 pep supercontig:CCACVL1_1.0:contig12892:17797:19423:1 gene:CCACVL1_22266 transcript:OMO63803 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3 auxin-responsive promoter MDVDEEEFPNDRLVDLVNVKVGCYYELVVTTFAVSTDPSQVLPRPTRPV >OMO63804 pep supercontig:CCACVL1_1.0:contig12892:20973:22150:-1 gene:CCACVL1_22267 transcript:OMO63804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSVVSFALLVFLISLQLIKIAGDCEFDNYYYNHDDDRCGRIPIKVTDEDRIEFAQNLEYLEAEFFLYGARGEGLDSYDPELAKGGPPPIGGQKANLDPLTHRMIEEFAYQEVGHLRAIDNYTGGIPRPLLDIRRQTFAKLFDKAVGYPLDPPFNPYKNTINYLLASYAIPYVGLVGYVGTIPNLKYYCSKRLVAGLLGVESGQDAVIRGYLYRKAYEKVEPYDITVVQFTNYISMLRNELAKCGIKDEGLIVPLQLGAENRTTSNVLSANTYSLSYARTPPEILRIVYGTGNEHKPGGFYPKGGNGKIARAYLSEYY >OMO63799 pep supercontig:CCACVL1_1.0:contig12892:195:4337:-1 gene:CCACVL1_22262 transcript:OMO63799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTVMAFLSLSIWALQEKKNEAEKCSEGTNFDNCRDSEIDECGGRQFQVNKQSQISPRLPIQVVSPEKGRKPLHHLSSSQRKISSPQPDPKPEKKVARQCLAQK >OMO88872 pep supercontig:CCACVL1_1.0:contig08903:14695:16483:1 gene:CCACVL1_08149 transcript:OMO88872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVKIVIQPQRDSDHPKSLQRKERRTQLHNLALHRHQIPPKAGPLRKPAPVAPSPGTMCGTYGHVRESPVCPLKEKPQSNPTPAELEGGKDKDLVKESKKLEPTTNPNVGPWMIVQRKKGGSPSNKGQAKNTSPPLEKASGSSLASTSKNPLKNSKSKTSSPSPKPDPPFVLNFDIPSSFSNHTSNSLSTPPPQPPPLPDPDPLPPEDEPFGETAAKCNLNDMDESMLGTVGSNLPSKDVQTLCSEIQVESVNTATDSGGTDGNSASSNAQSDGAEDMEGVSGLHKC >OMO88874 pep supercontig:CCACVL1_1.0:contig08903:40189:50798:1 gene:CCACVL1_08151 transcript:OMO88874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRRGRIRRSVGNREGSVKEGAKRRQRLRNTPLSPTNSFGKPIKIELQCSKRCQKLHNHSNISKENGNQTARKAKDEESPTVRNSDRWVRK >OMO88875 pep supercontig:CCACVL1_1.0:contig08903:64311:65279:1 gene:CCACVL1_08152 transcript:OMO88875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MKQYECLTNDSSMASAIFVPYYAGLDLRRYLWGYNTSMRDSSGLDLVKWLAGKPEWKGIMGKDHFLVSGRIARDFRRKSNRKSDWGSNFRFLPESENMTMLTIESGSWENDVAVPYPTYFHPSTDNEVFQWQELMRRQNRPYLFSFAGAPRFRQKWSIRSEIISHCQASKKLCNLLDCDSQKCDDPNNLMKLFQSSVFCLQPPGDSLTRRSTFDSILAGCIPVFFHPGSAYSQYVWHLPQNYTKYSVFISPKDLRLGETSISQTLLGVSKDEELAMREEVIRLIPSVIYADPRSRLETVEDAFDLAVKGILKRIETLRKVVS >OMO88873 pep supercontig:CCACVL1_1.0:contig08903:36101:37684:-1 gene:CCACVL1_08150 transcript:OMO88873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MERPISAKCFCNNQRWFVLLVSLIFLFELLCFDYSALTGGDNGLSVLDSNHANSISTQNSLSHHFAETSNDIISALPNIPPVNILVNKTDQVANKSEELNVDSCFGRYIFVHDLPSRFNMDLVKNCQMLTRGTDKNMCPYLENLGFGPHVENSENVLLNNSWFLTNQFLLEVIFHNKMKSYECLTNDSSIASAVFVPFYAGLDMSMYLWGYNISVRDSASLGLVKWLAEKPEWKRMWGRDHFLVAGRIAWDFRRQTDNESDWGSKLRFLPESKNMSMLSIESSSWNNDFAIPYPTCFHPSKESEIYEWQDRMRRQKRQYLFSFAGAPRPEYQNSIRGKIIDECLASKNLCKLLDCNYGATNCDNPVNVMRVFQSSTFCLQPPGDSYTRRSIFDSILAGCIPVFFHPGTAYAQYTWHLPKKYTKYSVYIPVKDLSEWRINLNETLLGISEERISALREEVIRLIPRVVYADPSSRLEGLEDAFDLAVKGILKRIENVRNTIKKGKDPSVGFADGDDYKYTFSLYGNGI >OMO54662 pep supercontig:CCACVL1_1.0:contig14919:11373:11516:-1 gene:CCACVL1_27683 transcript:OMO54662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKSAQHLVPTAELAPSEINYHTRPKLTTTLASNDYNIVPGQSSNT >OMO54664 pep supercontig:CCACVL1_1.0:contig14919:46622:48472:-1 gene:CCACVL1_27685 transcript:OMO54664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLKTLSFLAIFVLLHLKIAKSDFLTPLLSPMFDDICKEVECGKGKCNPSINGSFPYYVCECDLGWKQTRSDNDDYLKFLPCIVPNCTLNSACAAAPSPVQEKEDKPNESFFDICKWTSCLGGSCNKTSPFTYDCKCPEGYYNLLNVSAFPCYKECAIGLDCSDLGISMPNKSTSTAPTVSQNDLNQAGLKLLGSYQWVMILAFLLAMVA >OMO54663 pep supercontig:CCACVL1_1.0:contig14919:15995:19263:-1 gene:CCACVL1_27684 transcript:OMO54663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLSRSWSVSALEVSRALTPPSSQAAASHQMCLKGSSSANVVIQEDVVGELEDSGIVSGNPFSFASSETSQMVMERIMSQSQEVSPRTSGRLSHSSGPLTGGGSLTDSPPVSPSEIDDVKQFCRANHSLNAQYRTHAAVPVATPSTTAVTGGGKTVGRWLKDRREKKKEETRAQNAQLHAAISVAGVAAAVAAIAAATAASSSAGKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAEREHLASVISSAVNVRSAGDIMTLTAGAATALRGAATLKARALKEVWNIAAVIPVDKGGGNGSNGSSNGSFSGEIVPEENFLGICSRELLARGCELLKRTRKGDLHLKIVSVYINRMNQVMLKMKSRHVAGTITKKKKNVVLEVIKDMPAWPGRHLLEGGEHRRYFGLKTVMRGLVEFECKNQREYDIWTQGVSRLLSIAAEKNSRNRI >OMO89106 pep supercontig:CCACVL1_1.0:contig08847:44307:44786:1 gene:CCACVL1_08019 transcript:OMO89106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSTVECSFINIFEEERKNPSSAADDDEAAPPSWGGLIEVRIRLWHQLWIKAYKFEHCISDVTTPTFVNCFSMPLTFLLPELSVSLVSEKLRSIHVVDPRVCDILSPKIAEFAVDVAKRRGPTKSFLTVAEVEVKKIDYILDQEFDRITKTIYAADLV >OMO89108 pep supercontig:CCACVL1_1.0:contig08847:49718:51013:-1 gene:CCACVL1_08021 transcript:OMO89108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQIHSLMRAVGLRGQLTLIEKRKPVDSASFSRVFWGVAGSKIRSVSI >OMO89105 pep supercontig:CCACVL1_1.0:contig08847:38224:43196:1 gene:CCACVL1_08018 transcript:OMO89105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIESEMKIVSTENNACDEFKRVAASGKEIVGQGACDVNVGLNAQVDNPEHQLQSGENNSLLALQEIQGPSTPVKTNGAAGGNEVVEIVKEKPKLILTNDFKPRVRWTHELHACFVDAVNQLEATPKTVLDLMDIEGLGISVSEIVGASRGVSSLKSVSSRTNASRGRKAKQTAKSQEEIDEKLHLRIETQKHVQRCLEAQRRYLGMALERASKKLGDGYLGNAATENTILHGQASGTLAAISDVRTMATIPEFYVNQQNQYPTYDTLTPQANVSLQEGYEQ >OMO89103 pep supercontig:CCACVL1_1.0:contig08847:318:7964:1 gene:CCACVL1_08016 transcript:OMO89103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGRGGRERPRREHPPPGSAGRSNAPPSRHLWVGNLSHSILEPDLTEHFLQFGELESVAFQPGRSYGFINFINEDEAISAMKALQGFPVAGNPLRIEFAKADKSSTPSHDEDYLQRRDEHRSTVRGSPFSPRDPRARHASPEHFGPEKSRMNDRSAEPSEVLWIGFPASLKVDEAVLRKSFSPFGEIDKITVFPGRSYAFVRFRSVMAASRAKENLQGKLLGNPRVHICFAKGEGGSSNSGRGSVNAPSSPHFRVNGRLGSSENFLPDRNYSDFTEDAGIRSPFMPNFDSGDADVYTFNRRSSSMSSGNTYEPWRLGEGGPDSRLPQDIYEHSKSPMRFHDFPPKLPRKNTLYEEPWDMPEDPYPIHGAKKLKTGSFPPEKELPEYPLSDLEQEKRGFPRMLSNFDQSEAFDKNFEPGAFGYKQIPDRRMNLAPNRGERNDQWKPTYDGLQVGSNSLHSNINERKRYTPELDQPSLKEWKWEGTIAKGGTPVCRARCFPVGKVLDIMLPEFLNCTARTGLDMLAKHYYQASSAWVVFFVPGSDADMGFYNEFMHYLEEKQRAAVSKLDDKTTLFLVPPSDFSEKVLKVPGKLSISGVILRLEHSGTSFGSAHPNEIKDANWLTFRGDTSYAKPPTPSASFPSMTSYPELSRSGIKEISFPGNEPTPSHSVGPDFYGEQRRDNAPAPPRNAMFGPSWSSQDQKNPVSIARNTPSQVSSSAFDPTLQGHQSIMPRAVQETYHTSGISGVPLSGNIKPTMHEIKSPVPSIAALQPEQLAQLASSLIGQQRQVGSTTSVSMGENFRHTNTMDQSDKLRQSQGYALPNNNQAAPEFSTSQLSQSQQFQPQTSNAVAAVSQAAPRSQVQGNGQEEGDGDPQKRLQATLQLAAALLQQIQQGKGT >OMO89107 pep supercontig:CCACVL1_1.0:contig08847:47260:49239:-1 gene:CCACVL1_08020 transcript:OMO89107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MSFSERKMPGICRIFLLVVLFAHSCHGFYLPGSYMHTYSPSDSIYAKVNSLTSIETELPFSYYSLPYCKPLGGIKKSAENLGELLMGDQIDNSPYRFRMNVNESLYLCTTNPLNEHEVKLLKQRTRDLYQVNMILDNLPVMRIAKQNGINIQWTGFPVGYTPPNSNDDYIINHLKFKVLVHEYEGSGVEIIGTGEEGMGVISEADKKKASGFEIVGFEVVPCSVKYDPEVMTKLHMYDNISSVNCPLELDKSQIIREQERISFTYEVEFVKSDIRWPSRWDAYLKMEGARVHWFSILNSLMVITFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPDHPKLLCVMIGDGVQITGMSAVTIVFAALGFMSPASRGMLLTGMIILYLFLGIAAGYVAVRLWRTIKGTSEGWRSVSWSVACFFPGIVFVILTILNFILWGSKSTGAIPISLYFILLSLWFCISVPLTLVGGFLGTRAEAIQYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLVIVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVALYVFLYSINYLVFDLQSLSGPVSAILYLGYSLIMAVAIMLSTGTIGFITSFYFVHYLFSSVKID >OMO89104 pep supercontig:CCACVL1_1.0:contig08847:8553:16958:-1 gene:CCACVL1_08017 transcript:OMO89104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFTEGLDESAINWINQGKERDEEPRIRSPLSENISPHDSFPKSPLFHNPGTLLSSHILPPPLKFRSGLLGPHHSVIAPHLDDDGGDDESVASVSDDTGFGSGDTNGIFSDEDEGFAIGSNNKCSSKLSRGFSKQNLRVELPDTNRRFTDGDLGIRDFAKKNFTSAGTGGGSFGLRERVQGTIPSHVMSAFKDVEDLGTPSAPPIMDIGREGSDMEVEEETEPSQDDMYKPVQTDCFDGSKEGLANWKYQSFNGAENGEERVNEMVKEDKEEKIPYWQSNISDDLHHYSASGQYAWQTLIAYDACIRLCLFAWAKGCPEAPEFLRDECLLLRTAFGLHKYLLQPRGVQPVEVNTAKNIEQVSLKTKKVVGKIRVEVKRLRIIPRRKLKSTYSQKSAMYMQVGAEYMRHVSSLVKTGMNSLKIASFSMTSEEPLPCLFQLKSATEDSKLEPSSAICLHPGCGDYHVFFPETEGDALLVEVQDKKKTIQGRATIPVSSLTDNPNDRIRWWPIYHEDEECVGKIQLSIGSTITCDETSQIKSAPVVETLAYDLLLEASMRAQHFHSRNLRLQGPWQWLLTEFADYYGVSDSYTKLRYLLHVMNVATPTKDCLELVHELLVPILKARSEKSLTRQEKSILLDCETQIESLLANAFENYKSLDEKSPTGLADIFRPTRETAAPALDPAVQAYTLLHDILSPDAQTMLRNYLQTAAKKRCRKHMVETDEFLSSNSEGFIMDSITISTAYLKMKNLCINISKEIQADIKIHNQHILPSSIDLSNITAAVYSTELCNRLTGFLAAWPPSSPAPHVNELLIAIADFERDLESWNISPVQGGVDSRTLFHDYIVVWVEDMQLKLLDLCKAEKVPWSGVTTNHSTSPFAEEMYEKIKDSLIGYEVVINRWPQYSLVLENAVANVERAIVKALEKQYNDILTPLKDSIPKRLNMHVQKLTRRQSTTLYSVPNQLGIFLNTVKRILEVLHCRVEDILKSWASYLPLTGDKKSLFGEQMNGITVMLRTKYKNYLQATVEKLVNNTQANRNTRLKRILEEIKEEDGEAEIRERMQMLSSQLIDSISNLHEVFTSRIFVATCRGFWDRMGQIVLKFLEGRKENRVWYNGSYYALGILDDTFASQMQRLQGNLLQEKDLEPPRSVIEARSILCRDTADATDASTYFYV >OMO94319 pep supercontig:CCACVL1_1.0:contig07917:6726:6872:-1 gene:CCACVL1_06059 transcript:OMO94319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VCLLSPSLAFTVKGGEERPTDKEWGKGEEKMERWKKRWVFGELRPNQL >OMO81366 pep supercontig:CCACVL1_1.0:contig10190:3765:3845:-1 gene:CCACVL1_12447 transcript:OMO81366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISIFIRSYFWANIQTYTSLLYQI >OMO81367 pep supercontig:CCACVL1_1.0:contig10190:4645:4857:1 gene:CCACVL1_12448 transcript:OMO81367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGKEVDSQNVSARNEEFSIGVGEDGYLQNLEVGPGKVSEECNGHIEALMCAGPEGMEENGTKHDGQRS >OMO55563 pep supercontig:CCACVL1_1.0:contig14664:32971:33757:1 gene:CCACVL1_27180 transcript:OMO55563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAEMQKLTVKPYQFGPFDSSYYDTFAVIKPATISNEALQITPDSIGNFSLTNRSGRIFFNQTFKLWNDDGQDSKGTTKIPQVASFNSSFLINVFRVNNSVPGEDGT >OMO55564 pep supercontig:CCACVL1_1.0:contig14664:35568:35765:1 gene:CCACVL1_27181 transcript:OMO55564 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine-threonine protein kinase, plant-type MAVPHVPPFKPAFIWPSMPGPGSFSITSSSMTNTADITPISSGWTPQYISRGEGEGEFSDSSSLM >OMO55562 pep supercontig:CCACVL1_1.0:contig14664:9627:22764:-1 gene:CCACVL1_27179 transcript:OMO55562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 1 MQDPRMPPSEDDLNPKQRKKKSSTKAPMFPSERNGAQQVQGSLPVRTGQKTSKRNLKNEMTPIFQQPERSNSDSLPDSSSSGNEYRALRRKYLLLEEESFALGKELKEVEDEVKALEDEKLGLLDQLVVLEGLVDPSEMQSHGILDRQLRLQACALSARLSTPINVRVPITMMNSPYLLCYAFTVIFCIASANAADYQLSEAAIAMADAAGHLDAGGLSRDSFPKGFVFGTAASAYQVEGMANKDGRGPSIWDAFVKIPGNIANNDTADVSVDEYHHYKEDVDIMAKFNFDAYRFSISWSRIFPEGTGRVNWKGVAYYNRLIDYLIEKGVTPYANLYHYDLPVALQERYNGFLNRQIVEDYAKYADFCFKTFGDRVKNWFTFNEPRIVAALGFDNGINPPSRCSKEFGNCTAGNSATEPYFVGHNLILSHAAAVKRYREKYQENQKGKIGILLDFNWYEPLTRSKADNYAAQRARDFHIGWFLHPIRYGEYPRTMQEIVGERLPKFTEDEVKMVNGSWDYVGINQYTSNYIYDPKKPKPNVTGYQADWNAGFEHEKNGVVIGPRANSWWLYIVPWGMYKAVTYVKERYGNLNMIISENGMDDPGNVTLPYALNDTTRIRFFRDYMTQLKKAMDEGANVTGYFAWSLLDNFEWLSGYTSRILIPMSSVTQRCRELLQCDWQCSLVHTYHEANICADYLASWAYQGCFDVTVLDQPPSVLDRFIEEDSRGVSGCVGVDLICTGHDSASASCNAHTLIKLKRVLEMSRMWRANIQAQAPRLVMERRLLQLELKRVGDLLLTMLPRRGHQLHQLLQLQKNKSLSQRIGVWIGLTITVDNASSNDTTLSYLKRKIGNSRTSVLGGKYLHMRCVAHNLNLVVNDGLEEFKGVVEKIKESVKYIRNSNARLSKFKELAEKKKIDTTLGLCGDVSIRWNSIYLMLEHAKRYEKAFDVYGDADPNFRAELDASCGGLPTELDGEKARMLMQFLRKFYLLTLKNNENADIKGMTIRMVMKYEKYWGSMDKVNMLLYVAIVLDLRKKLGFVDWCLTKMYLTQTGQVSSMVSKVNDVAHQLYDEYKRMMEPAMETALTVQATASTSSHQTATADVHMLDDDDDDVFSQFIKHKMKTGREENQSELDKYLKEDTENVKRGDEFDVLAWWKVNRNRFPS >OMO55566 pep supercontig:CCACVL1_1.0:contig14664:45234:49550:-1 gene:CCACVL1_27183 transcript:OMO55566 gene_biotype:protein_coding transcript_biotype:protein_coding description:A1 cistron-splicing factor, AAR2 MDPEKALELVKSGATLLLLDVPQYTLIGIDTQVFSVGPAFKGIKMIPPGVHFVFYSSSTRDGKEFSPIIGFYIDVGHSQVVVRTWNQQEERLLKVSEEEEERYCQAIKNLEFDKHLGPYDLNQYADWKRLSNYITKSTIEQLEPIGGEITVTHESGILKSTPKSTMERALDEQLRNSKFSTPAEKPQKRGCYYTQIPRIVKRKGVESGQLTSLNLDKTELLETLLMKNYGGSEDSILGELQFAFIAFLMGQSLESFLQWKSLVSLLFGCTEAPFHTRSQLFTKFIKVIYYQLKYGLQKDRSVGETGASALLDDSWFSSDSFLHRLCKDFFSLVQDASVVDGDLLSWTRKLQELLETSLGWEFQPKSAVDGIYFEEDDEYAPVVEMLDEPSTHG >OMO55567 pep supercontig:CCACVL1_1.0:contig14664:54072:61929:-1 gene:CCACVL1_27184 transcript:OMO55567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate (active vitamin B6) biosynthesis, pyridoxal kinase MFSKLEEVKNGAASNFVIGSSVGDWSGSQHSVSYRSGYPTFKGQVLNGEQLWDLIEGLEANDLLFYTHLLTVCDPVLGDEGKLYVPEDLVSVYREKVVPVASMLTPNQFEAELLTEIRIGSETDGRKACKILHAAGPSKVVITSINIDGNLLLIGSHQKDKEHAPQQFKIVIPRIPAYFTAVFCAQKYPDNLDKAAELAVSSLQALLQRTVNDYKSAGYDSRSSSLEIRLIQSQDDIRNPKTTFKAEKYT >OMO55565 pep supercontig:CCACVL1_1.0:contig14664:37184:37687:-1 gene:CCACVL1_27182 transcript:OMO55565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQIQHLRNGMELDDFKTEHEISTNGHEVEDDLGSYLAEISSMTGDESDHNLMEETNLDRQEEQDMFAMMDHHPGGFGGLRRHEFGMFHEGPSMLPNYAYNHQNMPSMIMNTYMQQQDSQSKNNNMIMANYGNNNMYMQQNDMINNLSSAPRIPPFARHGISSVPYY >OMP12355 pep supercontig:CCACVL1_1.0:contig00100:321:537:1 gene:CCACVL1_00024 transcript:OMP12355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPPVFYDFFNSQALGSHQNAHKRERAAARKNVGGSSFDFH >OMO59165 pep supercontig:CCACVL1_1.0:contig14042:9718:10263:-1 gene:CCACVL1_25035 transcript:OMO59165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENSPRVPRKEEGATRKGRYAVDEGGEVIECSGKYCRSCTAGVIADCVALCCCPCALFNLLTLALVKVPWKMGRKCLGLGKKKRKKLEMKRKCKKNSESSVNGDHHQFGNLNLRERVRLEEGMWEISTVFGDDFGEEEFEQEELDEEMGNFSARFEAERVWLELYQVGHLGFGRVSFTGI >OMO59163 pep supercontig:CCACVL1_1.0:contig14042:2257:5256:1 gene:CCACVL1_25033 transcript:OMO59163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein MEAFEKPAKTLNEDRSLDQDGKPLNEDSKSVAAADFTVEKPLGENGVRVSVNVKEGGACGDGEDGEGLEDSEMNGVSSLLQMKESMRKVDVNGGNENVEGFGSLLGAVDESKEIGDEDVLASADDGVALMADTHGETQEIDEEERRDLDDKENGGGSGTTRELNEIDDEDGDDDSDGDWGGEFSAGDFVWGKIKSHPWWPGQVYDPSDASDYALKVRQKGRFLVAYFGDSSFAWCHPSQLKPFEENFEEMSKMSSSKNFLNAVQTSVDEIGRLVELKMTCFCVLKENCIGRDRPLAANAGIKKGALVPEGGIGKLSIGVFEPKEVLDKLKRIAEAVSMSNLLECTVLKGWLSAFYQSNGGRQMPMYHEPLSISDLEENVSNLVVDMSDYNEAVEVPIAGPIEKDWVSSSGIKFGLRSHSLLRCPEISEDGMSHKRKEKSIAEILKGEVGSQVRKDEDEELKGTNSGEHASFSRRKKTKANDKASGDDGSNTSSMTRKRKGTQLSRSLEARKGNLSSAEAEGSGAKEELGKGYSSRGRKKKSEGASNAGDDSGGKEEVDNHPVPAKRKLNVGSGVGNIDAETKDHSESGSLLRERKKSKYLSPPYTSPPGKLSRMDFVVESLKASNESQLGEQMTKATGNLVSSPLVGESNQLAEEVNTEQKASNESSSYTAKQYQNRMIDLAKVIPPAPEVLIEVQSVALSPQYPRINKSFEIVVEFLSVFRSSVYRDEANYENQLKSHRKRKTPDSVTESSGKDQNLTDNVPPSGHKSKKKKAAAKDEETKMDHFKPGQATRASPKKVSEESTARNTKIKQAARAAAARKKDNEVENASSALFVTFGPGSSLPTKDDLVKLYSKYGALNMEDTDMFYNNFCARVVFLRSSDAERAFKSSQMASPFKSDNVSFRLRYHPAASVSEQRQTPRPKPSPLAKKGAKTPKKSVASQNSADQASQLNFIRDKLEMLTSMLETSDERMISEMKPKLQGELKGLLKKVNTMVESSS >OMO59164 pep supercontig:CCACVL1_1.0:contig14042:6147:7616:-1 gene:CCACVL1_25034 transcript:OMO59164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein A MATTSEATPTRILVAVNESTIKGYPHPSISSRGAFDWTLQKIVRSNASGFKLLFLHVQVPDEDGFDDMDSIYASPEDFKSMRQRDKARGLHLLEYFVTRCHQIGVDCEAWIKKGDPKEVICHEVKRIQPDLLVVGSRGLGPFQRVFVGTVSEFCVKHAECPVVTIKRSPNETPQDPVDD >OMO59166 pep supercontig:CCACVL1_1.0:contig14042:55885:58148:-1 gene:CCACVL1_25037 transcript:OMO59166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MAFDLEIKFKEAFIDDRFELALELYSQAIELNLNKAELYADRAQANIKLNNLPEAVAESNKAIELKPSMSKAYLRKATACMKLGEYQTAKAALETGTLLAPGESRFSKLIKECEELIAEEEVTTNVEPAKEVEPEPVPVAAPPKPIYRHEFYQKPEEVVVTIFAKGIPRECVSVDYGEQILSVAIDAPGKDPYNFQPRLFGKIIPDKCRYEVMSTKIEIRLAKAEPIQWTSLEFTREVVVPQRVNLPSVTAERPVYPSSKPKRVDWDKLEAQVKKEEKDEKLDGDAAVNKFFRDIYQDADEDTRRAILKSFVESNGTVLSTNWKEVGAKKVEGSPPDGMEMKKWEY >OMO49681 pep supercontig:CCACVL1_1.0:contig16438:5454:8045:-1 gene:CCACVL1_30853 transcript:OMO49681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MHSQEAPDDIHGNDTTKVCVTFPPKINSGGIWDNITSPSEILSYSLPFLELQMLLAFFITHLLHLFLNRLGLGIPILASQIIAGLMLGPMMLGNVEAFKAISVHANIFLLEVIDTAAVFGFALFMFLMGVKMDVKGAFSSGKTAKMIGILSFLTPFIFGLIVNGLMGDKNLSNEAKVERVAITLSESMTSVSVIACLLSELKILNSELGRLALSSAIVGDFSSLIIFFGISLPKRWSNSIIAASMNIGGLILFVIVLVFVLRPFMFWIIKRTPPGKPIEEKYIYIVLLLAFGCALYAHFFDRSPIFGAFLFGLIAVPAGPPLGSALVDKLECFVSGGMLSLFVTTASMRVDPMRLSADPAEVHFCATIGVVTFLAKFIGTFIPSFCSKMPLKDSLALALIMTSKGIVELSVLSNLKDSKVIQDATYCVLILGTLLNSIVVPILVKFLYDPISRKYVGYQKRTIMHLEPNSELRILACVHKPENAIALIGFLNATCPTEESPNVVYVLHLMELIGRDTPLFITHQKEMIAGSSYENFIMAFNQYEQNNFGLVTMNAFTAISPPDMMHEDVCTMALDKQTSLILLPFHRKWSIGGSIETENNAIRMLNCNVLETCPCSIGILIDRDNASTIMLTNSQSRSSCYNVCMIFIGGKDDREALTLAKRMSKDTRLSLTVTRFVSGEEAGDNNLMLDWEGMLDDQVLKDVKHSQTGEYGEITYIEEIVRDGPQAAKLVKSIACGYDLVIVGRRYGVQSVQTQGLSEWSEFPELGGIGDLLASTDLQTTTSVLVVQQQHYVDVDVDKN >OMO49683 pep supercontig:CCACVL1_1.0:contig16438:46058:47515:1 gene:CCACVL1_30855 transcript:OMO49683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPSLVTSSTTTSKSTTPCCSKVGIKRGPWTPEEDEILANYIRREGEGRWRTLPKRAGLLRCGKSCRLRWMNYLRPSVKRGRIAPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLISQGIDPRTHKPLNNYLVSHSPPSHSHQVTHDPIPNSNLNLPISNSSELGEKNVTITTMNTANYQGFAPTSNLDYYQQYDDQKNLQQINVDNGVGNYQNPDDATATLIMEQPAAGSSLQGNEGNYIENCNEDMFSFSFLDSLMNDNLFGNDQLQQPNTNALPEQGSAAMASTQSFSTHGNIWEAEIMSSMLAFGNDQLNSFNTHQQYQP >OMO49682 pep supercontig:CCACVL1_1.0:contig16438:36451:36768:-1 gene:CCACVL1_30854 transcript:OMO49682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSISIELDNIFTDDDASIAFVYQLFSFDAVARNEVESLLDSAKQSCLDEISSRLLKVASLPEASQDIRRNATVLLADCLLMILLLQCSFNSRRKQKKRLKRSY >OMP06862 pep supercontig:CCACVL1_1.0:contig04778:201:859:-1 gene:CCACVL1_01424 transcript:OMP06862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate dehydratase GIAGAYSEAAALKAYPNCETVPCDQFEATFKAVELWLVDKAVLPIENSIGGSIHRNYDLLLRHRLHIVREVQLTVNHCLLALPGVKKEEVKRVLSHPQALDQCEMTLSKMGIIRVSFDDSAGAAK >OMP03201 pep supercontig:CCACVL1_1.0:contig06123:130:2652:-1 gene:CCACVL1_02509 transcript:OMP03201 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MSSFEESLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPDPPSGLDPVGSRMNPAAAAAAGSRPGVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCSERACWHTHCTSAVDLALDTLAAARYFGVEQLALLTQKQLSSMVEKASIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSLARRSLIPHHHHHHHHHHHDLSAAADLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKTLLELGAADVNYPAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLTHIEPNKLRLCLELVQSAALVISREEGSGNGANNSTAIYPPMSDDHNNNSSSSGSNLGNLNLDSRLVYLNLGAGTTTQMGSRMEGGDDESSHNSQREAMNRHDPTMYHHSHDF >OMO95202 pep supercontig:CCACVL1_1.0:contig07719:217:364:-1 gene:CCACVL1_05497 transcript:OMO95202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLRQKNIRITSTAALDHAAGSGSSSSRSGQSQGLDIPEALEAVVVMM >OMO79425 pep supercontig:CCACVL1_1.0:contig10417:11265:12884:-1 gene:CCACVL1_13684 transcript:OMO79425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MWCLFSSCKQSLDHNDLTPIKVQETDMLLSTPLNPKNPTEHKGDQISEKTCLSLVIQEAKCIANIAFPMILTGLLLYSRSMISMLFLGRLGELALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAKRYKLLGLTMQRMILLLLLTSILISSLWFNMRSILLFCGQNEDIANEAQSFLLYSLPDLLAQSILHPLRIYLRTQSITLPLTYCSALAILLHIPINYLLVSVLNLGIKGIALGSIWTNINLVGSLIVYVKISGVYKKTWGGISSDCLKGWKSLLNLSIPSCISVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTSLIYIFPSSLSFGVSTRVGNELGAKNPMKAKLAAIVGLSSSSFLGFSALVFAVLIRKKWATMFTEDPEIIALTSMVLPVLGLCELGNCPQTTGCGVLRGTARPKLGANINLGCFYLVGMPIAVWLSFFAGFDFKGLWLGLLAAQASCVVTMLFIVARTDWDIQALRAQELTRAVPMDDDYRTNQIESSIADSDSKESSGLLHDTKQNCLPV >OMO54847 pep supercontig:CCACVL1_1.0:contig14890:825:2649:1 gene:CCACVL1_27522 transcript:OMO54847 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-S seed storage protein, plant MAKHSYFLLSFLVLFHGCLGQIDLVTNRGQSPFSTQQQSERRQSPCQLQNLNVLQPKHRFKSEAGYTEFWDQNEQEFQCANVAFLRHTIFRKGLLLPSFNNAPQLIFVQEGQGIHGAIMAGCPDTYQTQVQRSPRGQQEQQQSPRDQHQKLSQFKQGDIIALPAGVPHWIFNNGPSQLVLVSLIDLGNKANQLDNFFRKFFLAGNPPQGLVSGGQRQSQSPRQSRRGEQEEEGQEQRNGNNMFSPFQPRDLADILRIDERLARKIQNPNDNRGAIVFMKEASQLTSPQRAEEEQPREEDERPSRRRGHGCNGLEETYCTMRLRHKTDSSFADIFNPRAGRITTVNSFNLPVLGDLQLSAERGVLYKNALYVPHWNLNAHTIVYITRGQGRVQIVSENGDSVFNEQVRQGQVFVVPQNFVVFKRASEQGLEWVSFKTNDMAKISQIAGRNSFFQSTPVEVLAAAFDISREEAFRLKENRQELTLFSPSQQQW >OMP01635 pep supercontig:CCACVL1_1.0:contig06402:34430:37890:-1 gene:CCACVL1_03049 transcript:OMP01635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 3 MAGITTSIHLKPLPTRHTKTIPLLLSSLESKFGIHFRSTNSFPSSSLHCSAVITARYGGDSRFSGPGRSKKNDDDQALDISSIRSDTVRLIDEEQNMVGVVSKSEAIQMAEDSELDLVILSPDADPPVVKIMDYNKYRYEQQKKKKVQQKKTVRMDLKELKMGYNIDQHDYSVRLKAARKFLNDGDKVKVIVNLKGRENDFRNMAMELIRRFQNDVGELATEESKNFRDRNIFIVLVPNKAVAQKGQDPAKKKDKPKVSASV >OMP01632 pep supercontig:CCACVL1_1.0:contig06402:18064:23372:1 gene:CCACVL1_03046 transcript:OMP01632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVCESEQRLHKLAAVDTTRPPLVPAEKNNAPLTTRRPRTREISSRYKSPTPSTPSAPRRCSSPNLTRTTPTPSPSVQKRAVSAERKRPSTPPSPRSPSTPVHDSSIATQISSRRLSTGRIPESLWPSTMRSLSVSFQSDTISIPVKKEKEKPVSNISTDRTLRPSSNVAHRQQSETSTLSRKPTPERKRSPLKGKNAPDQSENAKPVDSLPSRLIDQHRWPSRIGGKLSSNSLNRSIDLSDKIIRSLTTPVPGMGLSSLKRIPMSDNLGRPLQKSASDAVKLLSLDDIDRVGSEANSVDDKSLQVSGPARLLSASSLDKITLATRGIKSQSLSAPGSRPQSPSRNSVSRGVSPSRARPSTPPSRGVSPTPRGVSPTPRGVSPTPRGVSPSRQSHSSTSVLSFIADFKKGRKSASSIEDAHQLRLLYNRYLQWRFANARAEAVLYIQKVTVEETLYNVWNATLSLWDDVIKKKIYLQQLKLELMLNSVLNNQMAYLNDWALLERDHISSLAGAVEDLEASTLRLPVTGGARADIESLKVAICSAVDVMQAMGSSICCLLSKVEGINNLVSELAAIAAQEKNMLGQCEALLASTAAMQLEEYSLKSHLIQTKQPLETDKQPILAAKTFPWP >OMP01633 pep supercontig:CCACVL1_1.0:contig06402:24301:26667:1 gene:CCACVL1_03047 transcript:OMP01633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIKSAITGSYSLIGSQSFFINLLKKSTTLSHLTQTHAQIILNGFKDDLSTITKLTNRLLDLNATSYARDLFLSIPNPDLFLFNVLIKGFSNHHSISLYTHLRKCTHLIPDNFTYSWAITSASTLSDEKLGILLYEHAIVDGFGSDVFVATAFVDFCFKIWRVDLARKVFDKIPARDTVLWNSVISGFVKSCFFEDAIRVFGDMLKDGRVRLDSTSVAAVLPALAELQELTLGMKVQCLALKLGFHSHVYVLTGLISLYSKCGEVEAAKLLFGEIGRPDLVSCNAMISGYTSNGETECSVRLFKQLLGSGEKVNSSTIVVLIPVFYPFGYLNLTKCIHSFCVKSGIVSKCSVSTALTTAYSRLNEIESARKLFDESSEKTSASWNAMISGYTQNGLTEAAVSLFQEMQMSKVSPNPVTVTSILSACAQLGALSLGKWVHGLVKSMNFESNIFVSTALIDMYAKFGSIREARQLFDSMVEKNVVTWNAMISGYGLHGQGQDALRLFSEMSHFGVSPNGVTFLSLLYACSHAGLVKEGEELFHSMVHAHHFEPLAEHYACMVDILGRAGQLERAFNFIMEMPVEPGTAEWGALLGACVIHKDKKLAHVASERLFQLDPDNVGYYVLLSNLYSAERNYPLAASVRQDVKKRKLAKIPGCTLIEIGETPHVFTSGDRSHPRATEIYAMLEELIRKMKEAGFQTETDTVLHDVEEEEKEQMLNVHSEKLAIAFGLIATESGSEIRIFKNLRVCIDCHTATKFISKITERVIVVRDANRFHHFKDGVCSCGDYW >OMP01634 pep supercontig:CCACVL1_1.0:contig06402:27788:32068:-1 gene:CCACVL1_03048 transcript:OMP01634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MEASAGLVAGSHNRNELVVIHGHEEPKPLKSLDGQVCEICGDEIGLTVDGDLFVACNECGFPVCRPCYEYERREGTQQCPQCKTRYKRLKGSPRVEGDEDEEDIDDIEHEFNIDDEQNKHRNVVESMLHGKMSYGRGPDDDDNPQIPPVITGARSRPVSGEFPIGGALAYGEHTSNSSLHKRVHPYPMSEPGSARWDDKKEGGWKERMDDWKMQQGNLGPENYDDANDPDMAMLDEARQPLSRKVPIASSKVNPYRMVIVARLVILAFFLRYRILNPVHDAIGLWLTSIICEIWFAFSWILDQFPKWFPIDRETYLDRLSLRYEREGEPNMLAPVDIFVSTVDPMKEPPLVTANTVLSILAMDYPVDKISCYISDDGASMLTFESLSETAEFARKWVPFCKKFAIEPRAPEFYFTLKVDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKVPPEGWIMQDGTPWPGNNTKDHPGCVFRRQALYGYEPPKGPKRPKMVSCDCCPCFGRRRKDKKYSKNGGAANGPSLEDDKELLMSQMNFEKKFGQSAIFVTSTLMEQGGVPPSSSPAALLKEAIHVISCGYEDKTEWGLELGWIYGSITEDILTGFKMHCRGWRSIYCMPTLPAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHCPAWYGFKGGKLRWLERFAYVNTTIYPFTSLPLLAYCTLPAICLLTDKFIMPPISTFASLFFIALFLSIFATGILELRWSGVSIEEWWRNEQFWVIGGISAHLFAVVQGLLKVLAGIDTNFTVTSKAVDDEEFGELYAFKWTTLLIPPTTVLIINLVGVVAGISDAINNGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVLKTKGPATTQCGINC >OMP01636 pep supercontig:CCACVL1_1.0:contig06402:40665:42434:-1 gene:CCACVL1_03050 transcript:OMP01636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MNPENTVFDAKRLIGRRFSDPSVQSDTKLWPFKVIPGSGDKPMIAVRYKGEEKQFSAEEISSMVLIKMKEVAESFLGQTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKASRRGEQNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVSEFRRKHKKDISGSSRALRRLRTACERAKRTLSSTTQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLKDAKIDKGQIHELVLVGGSTRIPKIQQMLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGDEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELTGIPPAPRGVPQIKVTFDIDANGILNVTAEDKTAGVKNKITITNDKGRLSKEEIEKMVKDAEKYKAEDEDVKKKVEAKNALENYAYNMRNTVKDEKFAAKLRPEDKENIGKAVEETIEWLDRNQLAEVDELEDRLKELESICNPIISKMYQGGSANGDVPMGDNNGYTGSSGSASGHGPKIEEVD >OMO79335 pep supercontig:CCACVL1_1.0:contig10437:2343:6648:-1 gene:CCACVL1_13744 transcript:OMO79335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cys/Met metabolism, pyridoxal phosphate-dependent enzyme MAVPSSCSCLPRVFNANSSFECRSDPDFSGASVGDKPRIGSTRRVTAASFCTGGGIGGAGLSSLIFRFPPNFVRQLSVKARRNCSNIGVAQIVAASWSNGPASGSPSSAAAAAAQAAAASAATTVPVSNDVTVVDGCTDNDSVQIGGSDNSKTSFLSSDGSITVHAGERLGRGIVTDAITTPVVNTSAYFFKKTQELIDFKEKRSQSFEYGRYGNPTTVVAEEKISALEGAESTLIMASGMCASTVMLMALVPAGGHLVTTTDCYRKTRIFIETILPKMGISATVIDPADVDGLEAALNKHKVSLFFTESPTNPFLRCVDIEKVSKLCHSKGALVCIDGTFATPLNQKALALGADLVLHSATKFIGGHNDVLAGCISGSEKLVTEIRTLHHILGGTLNPNAAYLIIRGMKTLHLRVQQQNSTALRMAKILEAHPRVKRVYYPGLPSHPEHEIAKQQMTGFGGVVSFEVDGDLMTTIKFVDALKIPYIAPSFGGCESIVDQPAIMSYWDLSQAERHKYGIEDNLVRFSFGVEDFEDLKADVLQALETI >OMO73385 pep supercontig:CCACVL1_1.0:contig11241:3203:7601:1 gene:CCACVL1_17288 transcript:OMO73385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formate-tetrahydrofolate ligase, FTHFS MSSSRCVRKLEVVSPVPADIDVANSVEPFHISEIAKDLNLSSNHYDLYGKYKAKVFSFLLLSFLFLLLLMRMPMSLDFDVLLSVLDELQGSKDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRFFHESSQSDKALFNRLCPPNKEGKRKFSDIMFRRLKKLGITKTEPEDLTPEEVKKFARLDIDPNSITWRRVMDVNDRFLRKITVGQGPEEKGMVRETGFDISVASEIMAVLALTTSLADMRERLGKMVIGNSKAGDPITADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGFVVTEAGFGADIGTEKFMNIKCRYSGLTPQCAVIVATIRALKMHGGGPEVVAGKPLDHAYLNENVSLVEAGCVNLARHIANTKAYGVNVVVAVNKFSTDTDAEMNAVRNASLAAGAFDAVICSHHAHGGKGAVDLGIAVQKACENVTEPLKFLYPLDIGIKEKIEAIAKSYGAAGVEYSEQAEKQIDMYSRQGFSGLPICMAKTQYSFSHNASAKGAPSGFILPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDLDTATGKVIGLS >OMO73384 pep supercontig:CCACVL1_1.0:contig11241:425:1048:1 gene:CCACVL1_17287 transcript:OMO73384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MSATWPPYPPCVSGTCEDIICCAHGHKVRWPELLGKSQQEATSTIRRENPEVTVEVLTPGRVGLPDFCCNRVYLPVDANNNVITIPTVG >OMO94091 pep supercontig:CCACVL1_1.0:contig07978:427:10372:-1 gene:CCACVL1_06175 transcript:OMO94091 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MKLIVWNSRGLGYAKFRRTVRELVKDNKPDVLCLIETKSDETVVKNLALKLKFSSSFTVPAHGMAGGLALFWNAEKIDVDVLGNMPFRLETAWLSHSEFNSMFLSTWGKRNGNLIKAIEEVTRDVQVWKKDVFGSIKKYKRILLARIQGEEQASVTHDLKMVEVRNAMFSMKGLKALGVDGIQPIFYQRNWDVVKYTLFKFVEEALQAGNVDLDVLKAYGAYSEREVLLFYDIPLSLVDLIMFCISNMDLAVISVVRDALKEIFLGFGSSGAYLGVPIIHGRLTEATYGHIVDKRNRNFLWGDSVEKSHGHLVSWDRVYRPKGNGGFGPWKAKKFNVALMEKNPCLHFGDSAGEERSQKKASERIAFMEKSNAVMEERIVQLTRALNGSNGKNAEIPTAANGGDLSEASNGGRGAEGTPKETQPPPPPFNSTPILVDNDTEYVTKEELEKIFQKKNVASSEFDLKLPYSKRVATKPYPKDYVSPKFKQFDGKSGDAKEHVMKFVETLGVAGLDDDLKLKEFSKSLTGKAYTWYVNLIPGSVESWSHMCTLFGEKFFSTQERVTLIDLGREHQGRGEDLMDYIQRFRERVLDIHEQHDEKELVKVCLQGMFDEYRVHLENLNLHTFAVLVEAARRTNNTVTRQREAQQRDDAPPFPVPVDRVRALLQQWIADGQVHLPFVSQMPTAQEKADPKYCDYYRRGSQNNPLPVHGGDVAAIIHSAHDEPHTEEENDTRVAAYVTATIATSLLKTPNVRNFFDQLGFSEDARKEAAEALVHIADKYHGEGGFVDSSMKRMSRAYRNAIVFTEADMCTPNPSHNKPLYVESIINGVKVRRTFVDDGSGVNLKPLSTMYALEIDIKSLRHPITLNSFSNQEVRTLGYTTVNFKLGNIQEQAIFHVIDADVAYHVLLGRRWLNNHYLISSNMHQCIKGFWQGKEVFIPATKAPFEKYEVRYAEASFFDEVAEEGEGVLSRPVGLRLPRWEEYAEEDKAGGEPNFKRTRRGGRRKRGRTGGNEMVKQTKESPEGDVNCMQVEKEAVVEEVAPTPETFQDKPKPQGDELEEINLAMDGGTSKPLFISKGLTGERRDTLIALLKEYEDVFAWSYEQMPGLDENLVTHELHISPSSKPVKQSARVFRPEIDVQIKEEIDKLLRVGFIKPINHPTWLSNVVPVKKKNGSIRVCVDFRDLNKACPKDDFPLPNIDTLVDATAGHEMFSFMDGFNGYNQIKMCKEDAEKTAFRTPIGNFYYTVMSFGLKNAGATYQRAMTAIFHDMMHVCIEDYVDDIVVKSKRVSDHFSDLRRVFERCRKYKLRMNPLKCAFGVTSGKFLGFMVHRKGIDVDPAKVTAIRELAPPINQKQLKSLMGCSFYWGEPQQKSLERVKEVLDFPNHHDATIKGQPMMLYLTSTNESIGGLLVQEVEGVERPVYYLSRCLHGSELNYSPIEKHCLSLVFATQKLQHYLLAHKVTVVTKSDPIRYILSRPILAGRAAKWLLLLGQFDLSVAQPKAIKSQALSDLLAYFPIQSEEAVTLDSMLGDVEGEVCCSQPLMGEWTLYFDGSATATGGGAGVVLVPPEAERAYEEVVSMAFKLDFQCTNNQAEYEALILGLNTAKIIGVTELCIIGDSNLVVKQTNGEYALKEPTLAPYRELTRVMYADALATLASKIHIPGQKEEISLLVQRWSVPGPLAGMTEYYLGEVSKDADWRTPIIEQLRERKSSNLRFLKSYTMIQGALYYRGPNDAQYACPRCSEPPDVNDCHFVGSVGDWRRPYIEYLQNGVLPTNHQDARNLKRKVQRFFMKGNELYRTSFGGSPLKCVSPADVTSLLEETHGGTNGAHEGGLKLYKKLLDLGYYWLTMETDATSHVRRCHLSKGHIWILVATECFTKWVEAVPLKKATGPAVASFIKENVVCRFGIPRRIISDNGTPFVNKDVRKLLALYDIDHVKSTRYYPQGNGQAEATNKTLLRVLSKMVHKDPKLWPDALPVALWAYRTSKRSPTNATPFSLVYGTEVVVPSELLVPSSRLAIDAGLTHDEMRVMELEALDGRRDKAKKHFLVYQRRISRAYDKMLRRRSFKPGDQVLRAAEHVMRGAPPPHKFSEKWEGPYIVHEVHDSGYCTLLNPRNNNALTTPINFHYIKKYHA >OMO50317 pep supercontig:CCACVL1_1.0:contig16217:33483:38666:-1 gene:CCACVL1_30513 transcript:OMO50317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MRLLLILALLLLSILKTGNFATANQLKADGKVLELDESNFDSAISSFDYILVDFYAPWCGHCKRLSPQLDEAAPVLAGLKEPIVIAKVNADKHTRLARKYDIDAYPTLMLFMHGVYTEYYGPRKADLLVQYLKKFVAPDVSILSSDAAINDFIEAAGSFFPIYIGFGLNETVISNLAVKYKKKAWFSVAKDFSEDAMVLYDFDKVPALVALHQSYKQQSIFYGPFEDEYLGDFIKQNLLPLVVPLNHETLKLLKDEKRKVVLAITADENEEQSQNLIKFLKAAASANRDLVFGHVGVKQWEDFADKFGVNEKTKLPKMIVWDGEEEYFSVVGIESLDNEDQGSQISHFLEGYKAGRTERKKVKGPSFMEFIHSLIGIRTIYIIVFIVAMMMLIQSVGKDDEPVRDGRRDEIHHAESSVSSEAESSQKKED >OMO50315 pep supercontig:CCACVL1_1.0:contig16217:27612:29914:1 gene:CCACVL1_30511 transcript:OMO50315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASVVLIGNNGTLSFDAIEANFAPAIKGSGECGVLYLAEPLDACSDLSNKVEKVSNFTSPFALVIRGGCSFDEKVRRVQKAGFKAVIVYDNDDDGVLVANIGLDEKDLNLLEFVNFMG >OMO50313 pep supercontig:CCACVL1_1.0:contig16217:12842:14182:1 gene:CCACVL1_30509 transcript:OMO50313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDALRAKIKADMNKKKPESQDKGQGKKRLAEQLPSKDPKDNVSKKSKTTPETHSVASESLAVTPSSKPDPAVGGSGGTLKATSPKDTPSGGNRPLVERDGVHTKHSAIPPKGRGRGTLDFLCDSMAYLKLGEVREFDAIEKLEQDECAACLVAHAHKNKFDREKKAKEDALKLHGALRKKCNDLEAKFEKLEKDNSVLTNQFASLKRSKDEEAGSFEVFKIQMQKELEDLKAWVYPLFLAIHLALALLG >OMO50318 pep supercontig:CCACVL1_1.0:contig16217:48486:50998:1 gene:CCACVL1_30514 transcript:OMO50318 gene_biotype:protein_coding transcript_biotype:protein_coding description:NnrU MSCLIVANSILSSPQRSKLSTQKHPLPLFSSLSLHHSFKTSKPIAPTLLKAPFCRIRLLARASLGETDASSEDPLPLVGEDSAAFDLGKQSIVSWVYFTLILGVVLFVLDVVWIDNSTGYGKAFIDAVSTLSDSHEIVMLILILIFAAVHSGLASFRDMGEKLLGKRAFRVLFAGLSLPLAVSTVVYFINHRYDGVQLWQLQDAPGVHQLVWLSNFISFFFLYPSTFNLLEVAAVDKPKMHLWETGIMRITRHPQMVGQVVWCLAHTIWIGNSVAVAASIGLIGHHLFGVWNGDRRLAMQHGESFEAVKNRTSIIPFAAILDGRQKLPKDYYKEFIRLPYLSITALTLGAYFLHPVMQAASYRLHW >OMO50316 pep supercontig:CCACVL1_1.0:contig16217:31631:31822:-1 gene:CCACVL1_30512 transcript:OMO50316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDANEDLNDDNMEEDMELGVDESNGVLSDAGGSPVAVLASRLQTGQKVLHVVSQASTQFA >OMO50312 pep supercontig:CCACVL1_1.0:contig16217:12184:12348:-1 gene:CCACVL1_30508 transcript:OMO50312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAMSLDKVDKICGPLRRSTTLTKCVDRYVARQADKMCGPPLRSTRLTKYVDHH >OMO50314 pep supercontig:CCACVL1_1.0:contig16217:21245:22420:-1 gene:CCACVL1_30510 transcript:OMO50314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHKNYPYARHPNDCSIKAKTSSDFHWTEGEIFSWRSNSIIPETSQVQRIEKGIASEKTICQWLNIGPDEIPPPETIFKQLQRPHIIAAIYDFT >OMO64419 pep supercontig:CCACVL1_1.0:contig12829:48227:49602:1 gene:CCACVL1_21777 transcript:OMO64419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATCAIDNGRMKIDSSSKSFSRKITFSDGLISR >OMO64412 pep supercontig:CCACVL1_1.0:contig12829:8054:16381:-1 gene:CCACVL1_21769 transcript:OMO64412 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MTPIFTTPKTSNMDNMVMDQTCSNPCCFFCIMKEPDQSLRRAGIASCFKEMPLNGDDQEHVLVLSGLWNIAMTQPDDPEFPSLGIFDCMASFIEKGVNDRSWLLRDQNIYIPYYAAHVIGSYTMNKVEFAEKAVLAGVIPPLMELLRGKISWVEQRVAVRALGHLASYERTFEALATYEEEVVRLGMHLASTCLNEVYVKFVGVKDKSKRSTYHCDLLTRGVGGSEMENRKAEEWASQLQCWSLYLLNCFAYKERSLNLICKQEFLTDLSKMWGGLVNHSSPAGVGLIRILCYSKYGRKNISECKEVLENLCHLSRSSDDWQYMGIDCLLLLLKDPDTRYKVMEIATMFLIDLVELKSLGDRSGLGETITKALLLDYKQSKIRIKNTHVQKALKETWELKVERRKREKMISDEKVEERRVLANLIKQQANHLFWLGDVEAAVKSFTEALNACPLKHRKDRMILYSNRAQCYLLLRNPDAAIRDSTRALCLSNPANSHGKSLWRRSQAYDMKGLAKESLMDCIMFINGCINSEKKTNKRVKIPYYAARMISKQMDATWLFANAQSKATSNDHLRKVQESDDDYEINSNEDQDDDQCIDEIMRMMMEKKSIASEFITPSKTEDKVRRAPEDIYEDVAKEWKNCLVLQFLGKVPNLSQIHRILNNIWGRDGQVRITSTDYDLFIIHLPTESTRQWILESGPWHVMNQPVVVRQWEPGVTKLDIDLTKFPVWVNLKGVPLELYTKRGLSFIASGIGMPLYMDKATAYKQSLHTAKVCIEVDFSIDIPDHLEVEMKNGYVVKIGIDIPWKPPKCPKCKVFGHSDCSKKHSEKIWMPKESKSVHIVDNSVNEPVLPVEIPILHLDETIVESELEFEPNLQSSAQFPPLTVDKSADKGKMVQGSSASGNRFAILDTATVEVTGSSVNTTIASVEKSPPKKRAERAASASVWNVRGFNDLSKQAEKVKRIRSLNADIVCLLETRVKFPKFDKIVDKHFQDFNCLHNYSHATNGRIWVLWKSDIQLDHVITTEQSITVKVVSAQQSFFLTASYGANKGITSDIVEFNECLNDISVFDHSYTGPIFTWYNNNDEHPLSRKLDRVLINAEWLQCFADSMVEFMLPDVSDHCLMFIRTDVKFFSPPKPFKFFNYWTKHAEFLQLINDVWSGNVTGNRMQRLSKKFKSLKSVLKEFNRKHFGNLPQKVVEKKAEIATLQKGILVSPAADLIQRHKIATNELHELQLAEESFYKQKSRVQWLQEGDLNTGFFHKTMKIRNKRNDIRLLYKENGDRLHTYAEIRDEAVNYYQNFLGKKDDNISSCPADLLQDILQNSISEELARKIIEPVTEEEIRATFFSLNSNKASSPDGYSAHFFKAAWSIVGKDVITAILYFFESSRISPGINSTVIALVPKVDNPSHMTDFRPISCCTMIYKCIAKILANRLKQCLPGLISIKQSAFIEGRSIIDNVLMAQELVSRYNKSQLSPRCAIKIDLRKAFDTLDWGFILNIFKALNFPKQFVNWISTCITTTRFSVSINGGLEGYFTGARGVRQGDPLSPYIFVMAMNTLSKLLDYGVDHGVFGFHPKCKKYSGFCMNCSKSEIFYTGIYDECMVEIQVETGFKVGDLPVRQLWIKFVSFVQAIYGKGRKVIVEEQRLPTRERLAQWGLKLDSTACLLCGQQTENRDHLCFTCSFSRSVWQQVLSTCGFTRQAGDWNEEFDWAVKGFKGKSLLTTILKLAWTTFCYYVWLERNARLYGKSHSSVETVFGHIRDTIRFKLHGLSKVKYDVVNRNLCNRWSLVIPDLCS >OMO64414 pep supercontig:CCACVL1_1.0:contig12829:24899:24961:-1 gene:CCACVL1_21771 transcript:OMO64414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDHGHWLGVYASLYSKKI >OMO64416 pep supercontig:CCACVL1_1.0:contig12829:33442:37295:-1 gene:CCACVL1_21774 transcript:OMO64416 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MARDLHVVMIPWSAFGHFIPFFQLSVALAKSGIKASFISTHANIRRLPKVPSNLKNLIDLVAFQLPAALDEQQLLPEGSEATVDIPPEKIQFLKIAYDLLRHPIKQFISDQRPDWILADVIPHWVAEIAQDHQIPLIHFSVFSASAYSFLCNPSCLTGDGQKRMRSCPETLTSPPEWYDFPSSLAYPGFLATGMHEGFYKRNASGIADAERVSKIIQASKALVFRSCPEYEPEYFKVLEKIIGKPIIPVGLLLPEKPEGTKITDKSWIKVFEWLDEQSPKSVLFVGFGSECKLSKEQVHEIANGLELSGLPFLWALRKPDWAPNDLDALPPGFNEHIHGRGVVCFGWAPQMEILGHSSIGGSLFHSGWGSIIETLQFGHCLVVLPLVIDQPLNARLLVEKGLAVEIERNEDGSFNKEDVAKALRLAMVSEEGESVRVLVVCLIPAYITSMARNLHVVMLPWFAFGHLVPFFQLSVALAKAGVRVSFISTPRNIQRLPQVPQPLATSLELVAIPLPALDKQLLPEGAEATVDIPMEKIQYLKFAYDLLRHPVKLFVSDQRPDWIFTDVISYWVAEISQEHQIPVINFSVFSASSYAFFLPKYFPDSDAQEEATRLSLESLTKPSEEDEDFSSSVAYKSFEAASTYEDLYAQNASGITDNERVLRILQASKAMAIRTCPEYEGESLNKCQEITGKPVIPVGLLLPEKLPAEEGRRISTDQSWVENFEWLDAQKPKSVVFVGFGSECKLSKEQVQEIANGLERRFARSCLHWLGTATGNIITSVNWRLVVSRWMGFDHRNAAVWTLSCGFTAHS >OMO64421 pep supercontig:CCACVL1_1.0:contig12829:54399:54494:-1 gene:CCACVL1_21779 transcript:OMO64421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQAPLQTRQRATEVNNPHVSLAHSTPRQG >OMO64420 pep supercontig:CCACVL1_1.0:contig12829:50169:51227:-1 gene:CCACVL1_21778 transcript:OMO64420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BYPASS-related protein MSRPQEPHRPFFHFGNPFRMISPKGSQLSPRLLSLLNSFEGTLSERLQKLVPKNKDDILSLSWMKLAMESLSEIHCDIKNLITELELPVTDWDEKWIDVYLDISVKLLDISIAFTSELTRLNQGHLLLQCVLHKLESNHPDQCIRACSSLDSWKKHIGSKNPRVETCRPILDNLVESLDLHKVKNSAKGKVLMRAMYGAKVATVYICSVFAAAFSGSSKNLLDLTVPDTLPWALVFSNVQTTVNAEVRNIFSSGNFTVLKELDAVDACVKNLYPMLRDGSGPQEGESFKKSVSELRMTAEKLSEGLDSISKVVDGFFKIVLTGRDALLCNIREGVAVPNSMLGRNVEEQVVR >OMO64413 pep supercontig:CCACVL1_1.0:contig12829:18049:18123:1 gene:CCACVL1_21770 transcript:OMO64413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAIRILLDTAEKKRLEGPQLQI >OMO64415 pep supercontig:CCACVL1_1.0:contig12829:25466:27481:-1 gene:CCACVL1_21772 transcript:OMO64415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKQGFFSVVRGLSPSRSRAKSPVRSASPMSSLLRRRRGSNHHVSQPELLIFRSGSLRPAEALSPLREGPDPDGTDGEDSRMEGKWAHWMKGQLGISPSVSTSTCKRSDLRLLLGVLGAPLAPVHVSSLEPFPHLSIKDTPIESSSAQYILQQYTAASGGQRLQNSIHNAYAMGRVRMIASEFETANKVTRNRNSSKAAESGGFVLWQMNPDMWYVELALGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPRTTASMFTNARCIGEKKINGEDCFILKLCADPATLKARSEGPAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQNNGGDAVYWETTINSFLEDYRPVEGIMIAHSGRSVVTLFRFGDTAMSHTRTRMEEAWVIEEVAFNVPGLSMDCFIPPAEIRLASISDACEYSQGPRVKTTVATAPHRAKVSTLDRNS >OMO64418 pep supercontig:CCACVL1_1.0:contig12829:44053:47388:-1 gene:CCACVL1_21776 transcript:OMO64418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIESSTGSGDHSIKGIPTHGGRYVQYNVYGNLFEVSRKYVPPIRPVGRGAYGIVCAAVNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPLRENFNDVYIVYELMDTDLHQIIRSNQALTDDHCRYFLYQILRGLKYVHSAHVLHRDLKPSNLLLNSNCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTRQPLFPGKDYVHQLRLITELIGSPDDSSLGFLRSDNARRYVRQLPQYPRQNFAARFPNMSPGAVDLLEKMLIFDPHRRITVDEALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEENIKELIYRESVKFNPDPIH >OMO64417 pep supercontig:CCACVL1_1.0:contig12829:41075:43351:1 gene:CCACVL1_21775 transcript:OMO64417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MTKVATIIVLVAILGLNSAAEARKGRILESFEYTALSCRGHTASITDFGGVGDGKTSNTKAFQDAITHLSQYASDGGAQLYVPAGQWLTGSFSLTSHFTLYLHKDAVLLASQDLNEWPVLKPLPSYGRGRDAAAGRYASLIFGTNLTDVIVTGANGTLDGQGAFWWQNFHKGKLKYTRPYLIEFMYSDNIQISNITLLNSPNWNVHPVYSSNLLIQGITILAPVTSPNTDGINPDSCTNVRIEDSYIVSGDDCIAVKSGWDEYGISYGMPTKQLVIRRLTCISPYSAAIALGSEMSGGIEDVRAEDITAIHTESGVRIKTARGRGGFVKDIYVKGMTLHTMKWVFWMTGNYKAHPDNHYDPNALPVIQGINYRDIVADNASMAARLEGIEGDPFTQICISNVTIGMAVKAKKVPWTCTDIEGITSGVSPRPCELLPDQGPEKAKACDFPTEPLSIDQVVLKSCTYRMNHM >OMO92763 pep supercontig:CCACVL1_1.0:contig08172:22191:33750:-1 gene:CCACVL1_06758 transcript:OMO92763 gene_biotype:protein_coding transcript_biotype:protein_coding description:cellulose synthase-like protein H1-like protein MAKSKPPTQLPLCERVSSKSIIRRTVDVTVFCLFLCLLSYRLHSLRNHGFTWLPLLAFLCESCFASTWFLNLSTRWNPVEHKTYTENLLSRVNELPPVDMFVTTADPLLEPPLVTVNTVLSLLAVNYPPEKLACYVSDDGCSPVIFYTLVEASKFAQLWVPFCKKYNVQVRAPFRYFSAAKLPPPDDHNLSGFEQEWIKMKDEYEQLCGKIENTAHDLMLGPHSSSEYADFKNIDRNNHPSIVKVIWENKKDQSTSLPHLVYISREKRPNYPHHYKAGAMNVLTRVSGVMTNAPFMLNVEYCDMFANNPKIILHGVCLLVGIKDEQDCAFVQCLQIFYNVLKDDPFGNQVTIPVAIFGGGMAGIQGPSYSGTGCFHRRKTIYGIPPNHDDHINGTDRRIMKERFGKSTKFRESVVKILNGSGEKEEHFPCDISRTIESACRVADCNYENSTCWGIELHFRQALAYLYMLTWALRSIPEFVYVILPSYCIITNSHILPKVQEPVVYIPVSLFLIYNLNSLSQYLKYGQTIRAWWNAQRMARIIAVSSLLFATLSMIVKLFGYSETVFEITQKTQSDDDQENDVDKVAGRFTFDESPMSVSVTALLMLHLTALAIWLLGVQPPPARGGDGGSGVGEILCSVYRLNSLNNHGFIWLLAFLCELWFTFDWLLKLITRWNPIEHKTYPENLFPSRLVELPPVDIFVTTADPLVEPPLVTINTVLSLLALDYPPEKLACYVSDDGCSALNFYSPVEVSKFAQLWVPFCKKYNVQVRAPFQYFSPKALPPHDVNSPGFKLEWQRMKDEYEQLCGKIEDATQNPKLGSRSPEYSVFLNIKRNNHPSIVKVIWENKKDQTSSVPHLVYISREKRPNHPHHYKAGAMNVLTRVSGLMTNAPFILNVDCDMFASDPKVIQHGICSLLGVDDEQACGFTQFSFAFSNDLEDDPFGNKLLLTLIEIFGGGMAGIQGPTYNGTGCIHRRKIIYGLPPSSVQNVEGKTPQDYQKLEERFGNSREFCKSVASILFGSEGQSFPCNIASTIDYSASKVADCDYENNTSWGREVGLAYGTVTEDIITGLRTHKLGWKSTFVRLNPPAFMGYAAQGALDFMTQMKRWGTGHSEIFFSKHGPMFLFFTSKLHFRQALAYFSFTLWGFSSIPDVCYMLLSAYCIITNTSFLPKVEEPIVYVPVFIFVINKLEFLALYLLLGKSLRAWWNGHRTERIATSSSMLLAFLTMLLKNLGLSETVFDITQKTQTTFDDDHKVGRFVFDESPIFMPGTSLLLVHLIALAMWVFGLQPPAATDGVGSGLGEILCSVWVVLNFWPFLKGLFGKGQYGIPWSTIWKSAALVLLFVQLSK >OMO82683 pep supercontig:CCACVL1_1.0:contig09990:13074:13160:-1 gene:CCACVL1_11806 transcript:OMO82683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAWVTTKIMAKAKTFKGDDMVTNFRV >OMO82687 pep supercontig:CCACVL1_1.0:contig09990:36488:40096:-1 gene:CCACVL1_11810 transcript:OMO82687 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein METPLEEQEEQRCQRVDSLTEPDLSSTSSSLSSLLSAEDSRSTTSSGDISGTSGSSGEILTAEAMVPRLLAPAPGSDELTVTVTARQKCVGRNNKGVTWGFTSVIGRRKEMEDAVAVIPAFISCKCDHVGGCTALGSRTSAEISPIHFFGVYDGHGGSQVAKFCAERMHGVIAEELDREMVEHSGWQRRWEVAFSSSFERADNEIVTAEVAPDMVGSTAVVVVLSGCQIITSNCGDSRAVLCRGTQTIPLTVDQKPDRQDELMRIEREGGRVINWNGARVFGVLAMSRAIGDRYLRPWIIPVPEITFMTRTDEDECLILASDGLWDVMTTEEAGEVARRLLRRRRRALMMADGVSAAQAVADNLTEIALGRNSSDNISIIVVDLKPKKKRQPRQ >OMO82686 pep supercontig:CCACVL1_1.0:contig09990:33944:34923:-1 gene:CCACVL1_11809 transcript:OMO82686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRTLRDQIAAMRQSFFDEGILDSQFTQLEQLEDRDNPNFVEEVLTLYFRDSTNLLATVEKTMETEPTDVAKVDRILHQLKGSSASIGANKVRNEVIKTRELWQQGNQEGAKAAFMELRQEHDTFKAKLEPYFQQLRQAGPVQAAMRPQGQQGGH >OMO82681 pep supercontig:CCACVL1_1.0:contig09990:89:160:1 gene:CCACVL1_11804 transcript:OMO82681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGDSLSLGSFSPLLLISLENK >OMO82685 pep supercontig:CCACVL1_1.0:contig09990:25674:31705:1 gene:CCACVL1_11808 transcript:OMO82685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPAEKKELEKGEMSGRKHNISIIFRYADWVDILLMVLGTLGAIGDGMSTNWLIVFAGRIMNSMGYGNTQQNNHNFMDEVKKCSLYFTYLGLAVMVVAFMEGYCWSKTSERQVSKIRYKYLEAILRQEVGFFDSQEATTSEVINSISKDTSLIQEVLSEKVPIFVMNSSTFISGLAFSAYLSWRLALVVFPALILLIIPGIIYGKYLLYVSKKAAKEYGKANAIVEQALSSIKTVYSFTAERRIVERYSEILDRTIKLGLKQGIAKGLAVGSTGIAFSIWAFLAWYGSHLVMYKGESGGRIYAAGVSFVLGGLSLGVALADLKHFTEATVAATRIFARIDRTPEIDSEDSKGIVLDTIRGEIEFEHVKFIYPSRPDSVVLIDFNLKVEAGKTVALVGASGSGKSTAIALVQRFYDVNDGVVKIDGVDIRTLQLKWIRGKMGLVSQEHALFGTSIKENIMFGKLDATMDEIMAAAMAANAHNFIRQLPEGYETKIGERGALLSGGQKQRIAIARAMIKNPDILLLDEATSALDSESETLVQTALDQASMGRTTLVVAHKLSTIRNADFIAVVNNGCIIEMGSHNDLINMKNGHYAQLAKLQRQFSCDDHEQYPENRLSSVGRMSTGRLSTAKSSPAFYATPLDQNIESPQKKPVSHPSPSFFRLLSLNSPEWKQGLIGSLSAIAFGAVQPVYALTIGGMISAFFAKSHQEMQARIRTYAFIFSLLTLLSIILNLLQHYNFAYMGERLTRRIRKKMLEKILSFEAAWFDEEKNSSGALCSRLSNQASMVKTLVADRISLLLQTTSAVTIAMIIGLIVAWKLAVVMIAVQPLTILCFYTRKVLLSTISTNFVKAQNQSTQIAVEAVYNHRIVTSFGSIGKVLQIFDEAQEEPRKEASKKSWLAGIGMGSAQCLTFMSWALDFWYGGTLVQKGEISAGDVFKTFFVLVSTGKVIAEAGSMTSDLAKGSTAVASVFEILDRQSAIPGSQGGDGTSNGTKLERMSGKIELKKVDFAYPTRPETQVLRQFSLEVKPGTSVGLVGKSGCGKSTVIGLIQRFYDVEMGSVKVDGIDIRELDVQWYRKQMSLVSQEPVIYSGSIRDNIMFGKLDATENEVVEAARAANAHEFISALKEGYETECGERGVQLSGGQKQRIAIARAIIRNPRILLLDEATSALDVQSEQVVQEALDRIMVGRTTIVIAHRLNTIKKVDSIAFVADGKVVERGTYAQLKNQQGAFSKLARLQT >OMO82688 pep supercontig:CCACVL1_1.0:contig09990:40759:40869:1 gene:CCACVL1_11811 transcript:OMO82688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGTKERSWINVKSMDGFDQIKRHAVKLSKYGTNT >OMO82682 pep supercontig:CCACVL1_1.0:contig09990:6336:7632:-1 gene:CCACVL1_11805 transcript:OMO82682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPLPLHSERKIYEQFIESLKEYSKRESDNSKPPGVDAWLDKHSGPLSAEKLSRDVLEDEDGLVCFCLINALMLKFYLFKLPIDPSTTLSGTNPSVYHVVDVDLSDCGLNMISNGISGMDVFFGMRYTVMGSPAWIYYCDVANPDPNGVSVFSSRAYSFHVLKLGSPNPNPKWVILDTPRSLPSMSNQIEFPRIIGTFVDEEAKKIVIRGRGTKDSNTILFIYDAGANQWVLEHRLDLSYKDKDNDIPSLPAGLTIPNLVEDHYCDPLHVYFDSPRRSFVDYPFRWIVGQVKGERICEILVNVEPDHDHGGLVYDHYNGCTCDFAHGLLLVPVQQDSKVGSFSFDYVNAAPRWEGKFEFDSEEEEEA >OMO82684 pep supercontig:CCACVL1_1.0:contig09990:16147:20582:1 gene:CCACVL1_11807 transcript:OMO82684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiator tRNA phosphoribosyl transferase MENEAKLSIYRAARTIKRKENTVYNALRSIYEDSIFVGEISQLWPQLPLVANLRCGLWYSPKFHSTCYFKSTDGHNNNWSFSTSRLNFHIALLAGQKGGCRIVDSTRRGKRFPDSMSKTIPIWTCVLNRSISNYLNNNNDGSSMEVSFGSLDTEQKSVDWDCSLHLPLWVSGTEKAAIEERLEGWTRDLEASGADIAALASCLKKPLRPLWISQKTVIWINEVPDYDSWDFTPIILVSASLPSGVPQHRTTSEFSWNYIPGAGDDEESWARGLSPYLFWNHAYDLISSGPDLCNQKVADMVEKDRVYRAQRGQNAPQITAKPSISESSPNFLSVDPLLCSDLSNLNVSCSDEESGIYWLGSTNLALSSSQVAENATNVDCILNCDQRSITVHLPEAEAYLHLPILNSKFDRFSLFNNLSSAVSFAKSKLTNGRTLLVSCHDGEDISVCICLAILISLYNDEGSFDGGKSFSETGITKSEMRRRLVYICKFAIKARPSRVKYGFK >OMP04954 pep supercontig:CCACVL1_1.0:contig05557:9946:13021:1 gene:CCACVL1_02089 transcript:OMP04954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEMITGRWGTWEELLLGGAVLRHGTRDWKLVASELRTRTVSPFAVTPEVCKAKYEDLRQRFSGCKGWFEELRKQRMAELRQALEKSEDSIGSLESKLESLKAEKKDDSRVYDSSQTESAMPCVKSEGIEFSSKDGLSAGSFTQEAQTNWSPDSQIPAAVPAEELDSKPGDSPTSDRERVSSIDKLVDTFCGRQLRSVRKRRGKRKRKDCSKDVKEGSVGESEFLGPADVASASRGKETSVSNSPQIARSSGVEDQSRDSSKEGMDDIVGIFSSVAENDCASVFRRRLDSQKRGRYKKMILRHMDFDTIRSRIATNSIMSVKELFRDMLLVANNALVFYSKNTREYKSAMLLRHIVTATLRQHFKEYGSKVPVTTFTPSRPMHKPPAKPRSIRPGNRKPPAKAANDENAVAGNANASKKTANAGSPLSVESLPATKKNSAANASKKAANAGSPPSVESSPATKKGSAANASKKTAIAGSPPSVESSPATKKGSARQKKVSRGRAGQKNESPTKGRKRTRAR >OMP04953 pep supercontig:CCACVL1_1.0:contig05557:685:7406:1 gene:CCACVL1_02088 transcript:OMP04953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGYKLQEFVAHTSNVNCLSMGKKTCRLLITGGDDQKVNVWAIGKPTSLMSLCGHTSTVESLAFDSAEVLVLAGASTGVIKLWDLEETKMVRGLTGHRSNCTAMEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIRFTPDGRWVVSGGFDNVVKVWDLTAGKLLHDFKFHEGHIRSIDFHPLEFLLVTGSADRTVKFWDLETFELIGSSRPEATGVRTTTFHPDGRTLFCGSDDSLKVYSWEPVICHDSIDMGWSTLGDLCINEGKLLGCSYYQNSVGVWVADIAHIEPYGRNDRNEKKFNLEKSYSPEKAGSGIRSTLGSRPLSPDYETKEIKNIYVDTAGSNPIASQKTVPSSSPKRVLPLDASDITNLAAEKQSPGTGANAKSNEQLGNKSFITPSIVSQDTPAGKDSGNSEKEIITFSRTKPGMLLRPAHVRKPSVNKFEVEKLSAAVEAVSLSNKKTGIDSTMDLDSQTRKPCEEARKSCEEEDLIIKTVTPKSEKTLSPQPPPNQKTSNESRSKDSNSVKFVNGVAVVPGRTRTLVERFERRERLNNSSDPETNSTGGVVPETDKTPSIVKGETQISKIESVSSNDGNSDERPISRTESTSGYEGSITSSQVSRSESTPSSDVIVTGDQISRRESIITSDRIITGNQNSRKESSNTPDRVITGNQISIKESTSTPDRIITGNQISRREPSSASGGIVAGTESTSDYEGSITRSQVSRSESTPSSDGIVTGDQISRRESIITSDRIITGNQNSRKESSNMPDRVITGNQISRKEPTSTPNRIITGNQISRREPSYASGGIIAGNQISRRESRSAFGGVVTRNPISRRESTSPSDGIITGNQISRRESSSVSGRTISRNLNTRREATSADDRSGPNVTITENQISMRGLNSANDGNVTIIESQTSEGESISANDGNITESLMETHDIFLSTLRSRLTKLQVVRHFWEKNDAKGAIGALRKLPDHSVQADVISVLMEKMEILTLDLFSGLLPVLMGLLDSKMERHVNVSLEMLLKLVAVFGPMIRSTVSAPRGVGVDLHAEQRRESCNQCFMQLQRIQKILPLLERWYNSKMCSGIDTSSSRMKMNADIQGSKPSAAEA >OMO83267 pep supercontig:CCACVL1_1.0:contig09915:3640:6499:-1 gene:CCACVL1_11462 transcript:OMO83267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGMSSKTMTHPSLISVTQSEPSKGFGESYHTAVSPVHNFLSIESEGQSSLAGECLSPHQFPFIRTESFNSSHMQASTVQPNKNNLKYGPSSPISPSLHAKGAFSRSSVFCTSLYLSSSSTSETQRQLGNLPFLPHPPTCNQSASAVDSSKSPVVFSEDLSNPYNEDHSEVLMKDFLNLPEDACGGSFHGMHCESDDFALTEQLELQFLSDQLDIAITDNGENPRLDEIYETPQQSSKLTVGLACNQNSAPVAPSMDSPASLPQSGPVAVHKPRMRWTPELHECFVEAVHKLDGPEKATPKGVLKLMNVEGLTIYHVKSHLQKYRLAKYMPEKKEEKKTSGSEEKKVASSSSEGDGKKKGAVHITEALRMQVEVQKQLHEQLELQRSLQLRIEEHARYLQKILEEQQKAGSALIPSLSMSTLTDSSQTLELQPSSPSAIASLSQPSESKTKSSSSSLPPKSKAPEDSDCKTESSPKRLRTEDKQESAADEPVVENPVQ >OMO83270 pep supercontig:CCACVL1_1.0:contig09915:27722:27859:-1 gene:CCACVL1_11465 transcript:OMO83270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNYSQNRNNKLNTAKRIVKGENKKKGASIPGEVREMVLRRRES >OMO83271 pep supercontig:CCACVL1_1.0:contig09915:28100:33992:1 gene:CCACVL1_11466 transcript:OMO83271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase-like protein MSFFRGLSTLRSRVNRQSSLSNSVRWLQTQTSSDLDLHSQLKELIPEQQERLKKIKSEHGKVQLGNITVDMVLGGMRGMTGLLWETSLLDPDEGIRFRGLSIPECQKLLPAAQPDGEPLPEGLLWLLLTGKVPSKAQVDALSQELRSRATMPDYVFKAIDALPVSAHPMTQFATGVMALQVQSEFQKAYEKGIHKSKYWEPTYEDSLNLIARVPLVASYVYRRIYKDGKFIPMDNTLDYGGNFSHMLGFDSPQMQELMRLYVTIHSDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVDECGENITKEQLKDYVWKTLNSGKVVPGFGHGVLRKTDPRYTCQRDFALKHLPDDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNYYGLTEARYYTVLFGVSRSIGICSQLIWDRALGLPLERPKSVTMDWLENFCKKAKAA >OMO83273 pep supercontig:CCACVL1_1.0:contig09915:41683:51182:-1 gene:CCACVL1_11468 transcript:OMO83273 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIT4 phosphatase-associated protein family MFWRMAGLSTASPVEAILDKENFTLEELLDEDEIIQECKALNGRLINFLREKAQVEQLIQYIVVEPPEDAEKRRTFKFPFIACEIFTCEVDIILKTLVEDEELMNLLFSFLDSNHSHSTLLAGYFSKVVICLLLRKTSPFMQYVKGHQGIVKQLVDLIGITSIMEVLIRLIGADEHMYTNYMESMQWIEDTDVLEMIVDKFSATDSAEVHANAAETLCAITRFAPPGLAAKITSPNFVGRLFHHALEDSKPKSVLVNCLSVLISLLDPKRLTLGIYHTYTRQLAQGSVIAANPETVEGMLESLGDLLKLLDVSSSESVLLTTYGKLQPPLGKHRLKIVEFISVLLTVGSEAAEKELIRLGAVQRILSLFFEYPYNNFLHHHVENIILSCLESKYVPLVEHLLRECNLVGKILEAEKNCTLASDPNMPTVSAEGRAPPKIGNIGHLTRISNKLVQLGNSNAEILAYLQENIEWIDWHTNVLSKRNATENVYQWACGRPTALHDRNRDSDDDDYQDRDYDVAALANNLSQAFRYGIYSNDGMEEGHGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDRVSNERSTGAVASPSPNTEGAGVVNADGEDDEVVVGEEDDLEDTAISSPVPDAKSEDNPADLAQDSKEAGPSANDKPPVWVEWRETPDSIEASGSDESGVLPNGEVQVNLEDKDCDRDPDGASEPSPSSSDDTSETASGQSPQSTNENLGSNPPEPSESVNGDSSPVVNEDDEKTGSGAESAPEITKDDKDATKEIEN >OMO83272 pep supercontig:CCACVL1_1.0:contig09915:35246:39744:-1 gene:CCACVL1_11467 transcript:OMO83272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSIPNHFLALTQPCTFSLNRRQLVSVPLFTGRNRSFFTGGAGICRAKGRDMVLGNPSVTVEKGKYSYDVETLINKLSSLPPRGSIARCLDVFRNKLSLNDFSLVFKEFAQRGDWQRSLRLFKYMQRQIWCKPNEHIYTIMISLLGREGLLEKCREVFDEMPSQGVTRSVFSFTALINAYGRNGAYDVSLELLYQMKKDKVLPNILTYNTVINSCARGGLDWEGLLGLFAEMRHEGVQPDIVTYNTLLNACASRGLGNEAEMVFRTMIEGGVLPDLTTYSYLVETFGKLGMLEKVSELLKEMESGGNLPDIMSYNVLLEAYAKSGSIKEAMSVFRQMQVAGCTPNAATYSILLNLYGRYGRYDDVRDLFLEMKASNTEPDAATYNILIQVFGEGGYFKEVVTLFHDMVEENIEPNMRTYEGLIFSCGKGGLHEDAKKMLLHMNEKGIVPSSMAYTGVIEAYGQAALYEEALVAFNTMNEVDSKPTIETYNSLLQTFARGGLYKEAEAILSKMNEIGIAKNKDSFNALIEAYRQGGQYEDAIKAYVDMEKARCDPDERTLESVLSVYCFAGLVDESNEQFQEIKALGVLPNVMCYCMMLAVYAKCDRLDDAYQLLNEMLANEGSNIHQVIGQMIRGDFDDDVNWQMVEYVFDKLNSEGCGLGVRFYNAILEALWWLGQKERAAKVLNEATKRGLFPELFRENKFIWSVDVHRLWEGGTNAAISVWLNNMQEMFLSGDDLPQVAAVVVARGQMEKSSISRDIPVAKAAYTYLQDNVSESFSYPGWSNGRIVCPRSQLKRILSAKDSSSNESGADNIITLSNLPIPSMGVKSSSRDVEYKQHGNVNSGTKSRTSTELIAGRV >OMO83269 pep supercontig:CCACVL1_1.0:contig09915:21376:22216:-1 gene:CCACVL1_11464 transcript:OMO83269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGVKPMAYTIAAFGVQSFIYGIVAENRKPTYGTRQVSTQGDRIICKNSSDPSVVLGFLSIASLAISVLVGYLSVFYPYSGKSVPRHVFFRCKTFVVFFLITLALSMLAAVILCWMTISELVHLTNNVHRDMNTSCPTAKSGLFGGAAFLALSASLFWLISLMLAYDVRTYHFEEQEDTKAQALKTDYDHEESKQQSEV >OMO83274 pep supercontig:CCACVL1_1.0:contig09915:52496:56647:-1 gene:CCACVL1_11469 transcript:OMO83274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKNEKKRGPAPKGGQKGKKFSMNNDPFFDMKTKKRRKTEYEDDDIESGDSEEEAGILGGSDASEGEEFEEETPGEVRKRMATDFLDKMRAIARKEKVEDEDEDSEEGEEVRDSLVAKILQQEQLEESGRIRRVLASRLKKPETVDGFEALVKHRQSVTAVALSDDDLKGFSASKDGTILQWEAESGKTEKYQWPSDDILKSHGAKDPRGLNKKHSRSVLALAVSSDGRYLASGGLDRHVHLWDTRTRQHLQAFSGHQKPVSCLSFREGTTELFSGSFDRTVKHWNMEDRAYIDTICGHESEVLTLDCLRKERVLTVGRDRSMMMFKVLDQSRLVFRPPPSSLECCCFVNHDEFLSGSDDGSIQLWSATRKKPVYIVKNAHALLPAGQNVEQKGSEKIPTSVSVNGDHEIRSYNCSSTYSWVSSVTVCRGSDLAASGAGNGCVRLWAIESGRKDIQPLYDVPLVGFVNSLAFANSGRFLIAGVGQEPRLGRWGRHPAARNGVAMLPLNII >OMO83268 pep supercontig:CCACVL1_1.0:contig09915:16841:20634:1 gene:CCACVL1_11463 transcript:OMO83268 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MGFGKFQILVLAYAGMGWVSEAMEMMLLSFIGPAVQSLWGLSSHEESLITSVVFVGMLVGAYSWGVVSDKYGRRKGFLITAIVTAAAGFLSALSPNYISLIILRCLVGLGLGGGPVLCAWFLEFIPAPNRGTWMVVFQAFWTVGTIFEASLAWFVMPTLGWRWLLALSSLPSSFLLLFYGLTPESPRYLCLKGRKSEALGVLEKIARMNGAKVPCGTLVSDHEIELAGNSIPTEDTQLLPPKAGEDTTSREMNSNAGGISSLLKLLSPELVRSTTLLWAVFFGNAFSYYGLVLLTTELHNGHNTCGPSELQSGNSQGVSYKDVFITTFAEFPGLLLAAATVDRFGRKISMSIIFFVCCFFLLPLVFHQPQGLTTGLLFGARICITATFTVMYIYAPEIYPTSVRSTGVGVASSVGRIGGMICPLVAVGLVHGCHQTAAIILFEIVIFVSGICVLFFPLETKGRDLSDSISSSKQTNKPVLS >OMO58633 pep supercontig:CCACVL1_1.0:contig14193:16891:19050:1 gene:CCACVL1_25421 transcript:OMO58633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MLVVNDSYPGPEIRVHRGDTVYVNVHNQGNYGFTIHWHGVKQPRNPWADGPEFVTQCPIEPGTNFTYEVILSDEIGTLWWHAHSDWTRGSVHGAFVILPNENETYPFPTPDADQTIMLQSWYNRDFLEIFTTANSTGRAPPNADAYSINGHLGDTYGCTNDTIFRMQVDYQKTYLLRIINAAMNEQMFFSITNHSLTVVAQDASYILNFTSDYILITPGQTMDVLVSTNQNIGQYYMAMRPFSDGAFPATNNITTAILQYTNSDVGGLNNSTITLPSMNDTNAAYNFTSRIKNSNVTQNPPFNVPTDTDRRVYIAIATNNNGGTTFRASLNNVSFLAPRIDILQAYYNRSINGVYTEDFPLNPPVYNYTGELTGFPPGTSLGTRAVVLNYGEAVEIVLQATNFGAGGGHPIHLHGFSFYWVGSGIGNFNNITDPMSYNLVDPPLINTVQVRRGGWVALRFFADNPGVWFMHCHYERHSSFGMDTVFIVRNGTTVGTSIRPPPASGMPRCPGT >OMO84068 pep supercontig:CCACVL1_1.0:contig09800:85869:88365:1 gene:CCACVL1_11024 transcript:OMO84068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWNKEALVVCSDRSTGVGITVRDLETGEQLLHIPTCAAAPNGLLCLRNHFLAASQSNRHGSFGGGAIFIWPLNKPQSPLRSYTMEAIGPLSCTNDGIYIAGGAPSGNLYLWEVANGRLLRTWRPHHKSFKCMVFSHDGSFLICGSSDGIICAWSMISLLDLEDSERSPPLLHFSSEHRSSITGLVTLSGSQSIIVSSSLDGTCKIWDLVSGRLLQTQVHPTSITAITLDPVEQLLFSGSMDGNIYVNVLHLGQVEDPLVTTKDQAFVLKGHNGSITALTFSGSGLISASEDCTFCLWDTVNWVVAKRFDHKKGAVTNMVVIKQSTLSNHEKVPNPNQFRVSLLQKYPQPTNSSKGMGMPTFLSLSANFNRQPSADFQGNNLLDHLILNNNSEREKSAALTMQLKVEKSIDERKWVTSMTKHVMEMNKHLQSRLLDMVQWRLLCRPTPIETDSSSSPKKKRQKPNVH >OMO84065 pep supercontig:CCACVL1_1.0:contig09800:44869:46251:-1 gene:CCACVL1_11021 transcript:OMO84065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDRHLNEMAYRTGNPIFHDAENVLYDQYIRAFYSRRTTRVFYRDRRDQQQNRNRNNNTPPPQSPRQSRLLGYNGPPDEDDRDDMEARFEIQDEQEENINNGVDIPNGNVAVPIVADPIQAIIPSTTEAPASQELSNTVQLPSGNGGTTQEINLPQQLNNPQEVPIPPPNIDLPTLNRNFDPAEAFNESANRVRRIQNYFNTGGPHTLAEIHEMRAALAEEKDRFEEICRQVVRHGHAMMQDIQNRGHNDPLIQPTSNDPRWINFPGGGILFTNARITTGRQRDQAESSAMGASRGQEREQSSLSRQEFLFQLEMEFDKSINAHGISESLQVFNIRANNSNLDGTFGPAGDIRGNQTVLTPRTTEPVEENYNLNEIDVVTAFLRDSPSPTQPQAEVEERDNLKRKRDEDENENLETEMSRTIRQRLEYLAVTGDVNGEVSTSQATEGLRQAVPDQPPKQI >OMO84066 pep supercontig:CCACVL1_1.0:contig09800:48988:49380:-1 gene:CCACVL1_11022 transcript:OMO84066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGYFDQNGDGKISAEELCQRLGQMGCELMLKEAEDAIEELGSNGDGLLDLEDLIGLMEEGGEEDKLKDLREAFGMYDVDGSGFITPKGLKKMLAKLGESKSIDECKVMIKQFDLNGDGVLSFEEFRIMMQ >OMO84064 pep supercontig:CCACVL1_1.0:contig09800:40946:44477:-1 gene:CCACVL1_11020 transcript:OMO84064 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MDYYGRLQPELKSKGLHFTWSNRREEHVNTWERLDRAFANPEWFEIFEDDFVENLPITVSDHSPLILQLDKKSEFRKRPYRFEIMRTTHPQCQNRKKRLESELAAVQSNPFAPGNVTKEKELRKDMELVLEQEQLLWLQKSRANWYVKGERNTRFFHVSTKKRRARNRILRIKRKDGRYFEEPGDIEKAFLDHFKDIFSSHNDASMEQIQDVLENLSIPALSEDHIEILNRPFKEDEEMNRTLITLIPKKKTPEEVFDFRPINLCNTTYKLISKMMVNRLKLILNEVISPFQSAFIKGRNISDNIILGSELLDTIMKKKKGKDYLAALKLDMNKAYDRISWTFIEVVLQKMNFPPQWIQFVKQCERINGNKSDLLLSPNCPKNKRRWFKGILGYNLVNKPGRYLGMDMGLLRKRIVFFQEVIDKLNSRLQGWKSKLLSSGGRLTLVKSTLASMPNYLLSTFKAPANVCNKMDRTIRNFWWGHDDHKRKMHLKSWDFVCRPKSVGGLGIRKTEDMNRAFLSKQAWKIITELDALTSKQLRSKYCRKWDFEVVQAKQGDTWIWKSILEGRDVCLKGLDVQIRQGDKVYIQDGRIRKNHELQQGNGNAIPVKSLLCLREHKWQEWLAQGLLEPEDLNELIHKEASFYEGEDRFVWKFDKRGKFSVRAAYDLISKENHGGEWDYSKETEWKTLWKLKVPYKLVIFLWKICNNCLPVRAELKRRGFNIDDRCNLCHEGMETIDHLFWHCPFAKAIWFSSSLGLRTEAFVEVNIGQWILQWLRNSDCLMDGNNWFTLEWVLALWFIWVQRNESFFKGVQPDANAKRKRINGYAAKITEAFKREKETQQKERRSETHRGNDIIQGVPFLGENHWLIFWEIDKKPGNNWFGTSMIARNYQGETMVIAKSFKAANKSVARLLLVRLSLKRLNEAGANVLWCRSNRNNWKAAVQGLTFVNW >OMO84067 pep supercontig:CCACVL1_1.0:contig09800:71434:79144:-1 gene:CCACVL1_11023 transcript:OMO84067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein MAASTSLSLCPYTLPYQPRPRKRYISCSAGSPTPIGTRRTKVPRSRTGRLEGARKSMEDSVQRKMEQFYEGSAGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDELGVQKIIPDTTFIKKWSHKIEAVVITHGHEDHIGALPWVIPALDPNTPIYASSFTMELIKKRLKENGIFVPSRLKVFKTRKRFMAGPFEIEPLRVTHSIPDCCGLVLRCADGTILHTGDWKIDESPLDGRIFDRQILEDLSKEGVTLMMSDSTNVLSPGRTTSERSVADALLRHISSTKGRIITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLIIVTTGSQAEPRAALNLASYGSSHAFKLNKEDVILYSAKVIPGNESRVMKMMNRISEIGSTIVMGKKEGLHTSGHGYRGELEEVLQIVKPQHFLPIHGELLFLKEHELLGKSTGIRHTTVIKNGEMLGVSHLRNRRVLSNGFSSLGKENLQLMYSDGDKAFGTSTELCVDERLRIASDGIIVVSMEILRPQNIDGRMENSLKGKIRITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLAHMERTVSEVLRKMVRKYSGKRPEVIAIALENPAGVLSDELNQRLSGNSNVGFGIPTLKKMVDGHPKKSQANKAKAKDDDTLHIENAPEQNLEVDDDEVGRLLPEEETTTSSSDYAERHAPKTEDSDEFWKSFITSSSPVDNLVKDNNGLAPKEEHQSEIESDDSASSGDDSEMPSSRPKSSKSAKRNKWKPEEVKKLIKMRGELNSRFQVVKKRMALWEEISLSLSADGFTRSPGQCKSLWASLVQKYEESKSDKKSHKDWPYFEDMNQVLSDSEATAAK >OMO84062 pep supercontig:CCACVL1_1.0:contig09800:9498:9608:1 gene:CCACVL1_11018 transcript:OMO84062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGKYNEKQSTLEHVMPKIQKQQSKSSTECSSIKQ >OMO84061 pep supercontig:CCACVL1_1.0:contig09800:1669:1743:-1 gene:CCACVL1_11017 transcript:OMO84061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILRDNLRDNLKIKRANKEIGED >OMO84063 pep supercontig:CCACVL1_1.0:contig09800:23053:34230:1 gene:CCACVL1_11019 transcript:OMO84063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRSGSLSGNNSKRSSSSEDKPPSPKRQKVENAEKPIPAAESSKEMCTPPTVDPGDSGNSEAPVVGDGVSAGKGETSGAVAVATPIADGSAPMVLDKGRSSFSTWSASQKQNPNFETSTPWCRLLSQSAQNPNVSISISNFTIGSSKHCNFQLKDQAMSAMLCKIKHVQREGSAVAMLESTGSKGSVQVNGTVVKKNTSCVLNSGDEVVFGSLGNHAYIFQQLMTEVAAKGAEVQNTVGKFLQFERRSGDTSAVTGATILASLSSLRPDLSRWKPPSQASSKIHQVTEVPTPIVNDAADVDLDGLEGNSTANLGIDKAAEVGANNKKLPHDCNHDSNIEAGNVKLSGVNDLLRPFLRMFAPSTSCNLKLSKSICKQVLDERNEWARDSQPASTSSMSLRCAVFKEDILAGILDGRNLEVSFDNFPYYLSENTKNVLIAASFIHLKHKEHAKYTSDLTTVNPRILLSGPAGSEIYQEMLTKALANYFEAKLLIFDSHSFLGGLSSKEAELLKDAVNADKSCTCTKQSPGPTDAAKSSTQTVEAETSSPVATPSSGPESQPKTETDTVPCSSGSSRNQMFKIGDRVKFMNSTSGGLYPTSSPPRGPPYGVRGKVVLVFEDNPFSKIGVKFDKPIPDGVDLGNTCEHGHGFFCNVSDLRLENTSTEDLDRLLINTLFKVVQSESRTSPFILFMKDAEKSLAGNTESYTTFKSKLETLPDNVIVIGSHTHTDNRKEKSHPGGLLFTKFGGSQTALLDLAFPESFGRLHDRGKEVPKATKFLTKLFPNKVTIHMPQDEALLASWKHQLDRDAETLKMKGNLNLLRTVLGRSGMECEGLETLCIKEQTLTNESAEKVIGWALSHHLMQNPEADPDSRLVLSCESIQYGIGILQAIQNESKSLKKSLKDVITENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAANRAKILKVILAKEDLSPEVDFDAVASMTDGYSGSDLKNLCVTAAHRPIKEILEKEKKERAAALSEGKPPPPLSGSADIRPLNMEDFKYAHERVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >OMP06514 pep supercontig:CCACVL1_1.0:contig04908:9987:11583:1 gene:CCACVL1_01538 transcript:OMP06514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L24e-related protein MVLKTELCRFSGAKIYPGKGIRFVRGDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRATKKPYSRSIVGATLEVIQKRRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAAKQQKSQGKGNMPKGAAPKGPKIGGGGGKR >OMP06515 pep supercontig:CCACVL1_1.0:contig04908:13145:14218:-1 gene:CCACVL1_01539 transcript:OMP06515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWNGTLRTFVSRPEPSLNFLYNYNYDQYPGMEMKHPGVLAEGAHGLVPALDKNGYNNQEKKKRLTSDQLDSLERSFQEEIKLDPDRKMKLSRELGLQPRQIAVWFQNRRARWKAKQLERLYDALKQEYDAISREKQKLQEEVMKLKGMLREQAPRNQVSTGYTEISGEETVESTSIRSSNKPRMAANQHQPIAECNYLFNVEEYNNVPVSSPYWGGVQLPSYP >OMP06513 pep supercontig:CCACVL1_1.0:contig04908:3876:8061:-1 gene:CCACVL1_01537 transcript:OMP06513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNKKEEEELSGSESGDYTTEDEGTEDYRRGGYHAVRIGDTFKNGRYVVQRKLGWGHFSTVWLAWDTHLSQYVALKVQKSAQHYTEAAMDEITILQQIADGDKEDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGMPIHMVKEICYHVLVGLDYLHRELSIIHTDLKPENILLLSMIDPSKDPRKSGTPLILPNSKDKMVLDSLKSMNGDLNRNQKKKIRRKAKLAAQNCVEKEISAEGDADPETSGAADESSAREKTNVGSVEEHPSSSGNANRLSDADGARDTGQASQSNKKGSRSTRRKLLESVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYVDLRHIRRLRFWPLNKVLIEKYEFSEQDASNMTDFLIPILDFVPEKRPTAAQCLLHPWMNAGPRLLEPSGSSSRSQDADTHISEQKKREMDDREAMEVGIGNIAISAESKPAKDPQSISKPSKAVTSSPIG >OMP06512 pep supercontig:CCACVL1_1.0:contig04908:1158:1898:1 gene:CCACVL1_01536 transcript:OMP06512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSKEEQNPWLHPLAVVRGRKDKEWLQTMFYDASTNRCITKSLPEMRNKVICSSPYGWLVTKDVDSNDYSLFHLESKMNIKLPPVQPQQRFCFLSSPPTDPDCRVVFYDESVDNNNVLICKPGDDKFAIQQMERQIGQYAVHQGTSYSWVTLEMSLDRIEFVDSQIQLTTILTIEHNHLPDIFQSKRYLVGSQSHDHDHDLYLLEKIYFGFFTEFSTFRVNRMDFARNQWTRVRSIGEVAIFGFQ >OMP06516 pep supercontig:CCACVL1_1.0:contig04908:20663:23144:-1 gene:CCACVL1_01540 transcript:OMP06516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLSNLTEGINGAAMGPGLGDIPESCVACVFEYLTPPEICNLARLNRAFRGAASSDFVWEKKLPSNYQDLLDLMPPERYQNLSKKDIFALLSRPIPFDDGNKEVWLDRVTGRVCMAISAKGMAITGIDDRRYWNWVSTEESRFQIVAYLQQIWWFEVDGAVKFPLPADIYTLSFRLHLGRFSKRLGRRVSSFEHTHGWDIKPVKFELSTADGQVASCECCLDDTQQDYEYGNLKRGCWVEYKVGEFIVSNSEPATEVRFSMKQIDCTHSKGGLCVDSVFIIPTDLKERKRKGVLK >OMO86626 pep supercontig:CCACVL1_1.0:contig09450:1121:1519:-1 gene:CCACVL1_09544 transcript:OMO86626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRVFSNRKKNCYSIPVDKGERILVRASFYYGNYDGKSSPPVFDLQFDGNYWATVNTSGSSFDVISHEVIYVVKGDTTSICVAQTQPDQLPFISALELRSLASTMYSHVTPNYAMHMIRRAAFGATQTIR >OMO81849 pep supercontig:CCACVL1_1.0:contig10092:54556:55407:-1 gene:CCACVL1_12169 transcript:OMO81849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MKLYLLFGLSLALLISGAHMATINIKNNCPYTIWPGTHTGGGDGPNTGFQLSPQASNSIDVPATWTAGRIWARTGCSSNSGIFSCATGDCGQHEACNGATGVPPTTLAEFTLGDPNNAGQDTYDVSNVDGFNLPLSITPQGGSGPTCTPTSCAKDINAVCRADLAVKGSDGATIACKSACVAFGDPQYCCTNEFNSPETCKPTTYSQFFKDQCPQAYSYAYDDRSSTFVCSGGPNYLVTFCP >OMO81847 pep supercontig:CCACVL1_1.0:contig10092:23163:32066:1 gene:CCACVL1_12167 transcript:OMO81847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MAPFKAICSLVVLLVISGKLVQSDKTFNFDNQCSFTVWLAASSSIADFDPERGPGTTLKIASVPDTWTGSIWARTKCSFNPSMTKFSCETGDCGNGIDCQSPPPTPPVTLLNFGINQNVVSYEVNLNHGFNVPVRIQPVGGSLVGGGSGPCPVVDCIKDLKDVCDAQLVAGNSNGAYVGCNSPCDALKDPKYCCTGSFSGQACQPNEFSRTFKQISSSNPEKGGVGFNYGKLVQSDITFNFDNQCSFTVWLAASPSIGDGDPERGPGTLEIFSMPDTWTGSIWARKKCSFNPPMTNFSCETGDCGSGTIDCQSPPPTPPVTLLNFGINQNVVSYEVSLNHGFNVPVRIQPVGGSLVGGGSGRCPVVDCIKDLKDVCDAQLAAGNSNGAYVGCNSPCDALKDPKYCCTGSFSGSACQPNEFSRTFKQVCQLAHTFPGDNDPPVYQWFIFLISQRNKQALPRLISMAKKKEPEETKKKRKKKQKIKELNQIDNVPKFNKLPTKAAAQAKRSSSSSSSPASPSAPGFLSQVSYDRDEASSATLTSFNDENDGRKKLHDSVDCSKSWDERPGSVFHFHEFPSVEVESDALNKEMSYGPKQLAKSGGQLKYGSVTFMLFASKRTSSTSSKPGSNNLVKEGGIKKERKPPLHLDDATFPSKSAQGARRLKIMRYLGLTPPRGSPF >OMO81848 pep supercontig:CCACVL1_1.0:contig10092:32864:50156:1 gene:CCACVL1_12168 transcript:OMO81848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MASSSSIGSSIPAPEAVQVLVSSLADDSPMVREASMASLKEIAPLNPLLVLDCCSAVSRGGRRRFGNMAGVFQVMAFGVRALDKKDIDASYIGKLAKIATAEIISSKELNTDWQRAAASLLVSIGSHLPDLMMEEIFLHLSGPSSALPAMVQILADFASADALQFTPRLKDVLSRVLPILGNVRDAHRPIFANAFKCWSQAVWQYNVEIRSDYPLDGDVMSFLNSAFELLLRVWAASRDLKVRISSVEALGQMVGLITRTLLKAALPRLVPTILELYKKEQDIALIATYSLYNLLNASLLSELGPPLLDFEELTVILSTLLPVICMNNESKEHSDFSVGLKTYNEVQRCFLTVGSVYPEDLFTFLLNKCRLKEEPLTFGALCVLKHLLPRSTEAWHNKRSLLIDAVKSLLDEQNLAIQKALSELIVVMASHCYLVGPSAELFVEYLVRHCALSEHSRNYLEKSQVKIGSICPTELRAICEKGLLLLTITIPEMEHILWPFLLKMIIPRAYTGAVATVCRCIAELYRHRSSYNNDMLSDCKARGDIPSPEELFARLVVLLHNPLAREQLATHILTVLCYLAPLFPRNINLFWQDEIPKMKAYVSDPEDLKLDPSYQETWDDMIINFLAESLDVIQDIDWVISLGNVFTKQYALYTPDDEHSALLHRCLGMLLQKVNDRAYVRAKIDLMYKQANIAIPTNRLGLAKAIGLVAASHLDTVLDKLKDILDNVGQSIFQRFLALFSESYKTEESDDVHAALALMYGYAARYAPSMVIEARIEALVGTNMLSRLLHVRHPTAKQAVITAIDLLGCAVINAAENGAPFPLKRRDQLLDYILTLMGRDETDSDSSLELLHTQALALNACTTLVSVEPKLTIETRNHVLKATLGFFALPNDPVDVINPLIDNLITLLCAILRTSGEDGRSRAEQLLHILRKIDQYVSSSVEYQRRRGCLAVYEMLAKFRMLCVSGYCALGCRGSCTHSKQIDRTLHGNFSNLPSAFVLPSREALSLGDRVIMYLPRCADTNSEVRKLSAQILDQLFSISLSLPRPLGSSVGGDIELSYGALSSLEDVVAIMRSDASIDPSEVFNRIVASVCILLTKDELVGTLQGCMPAICDKIKQSAEGAIQAVIEFVTKRGTELSETDVSRITQSLLSAVAHVTEKQLRFEVLGAICSLCENTTAEIVFNEVLAVAGRDIVTKDISRLRGGWPMQDAFHAFSQHTVLSLLFLEHLITVLNQTRTTKSDPGKAENSSIFSETQSEDEILQAAIFALTAFFRGGGKVGKKAVEQSYSSVLAALILQFGSCHGLASSGQHEPLRALLIAFQAFCECVGDLEMGKILARDGEQNEKEKWINLIGDLAGCISMKRPKEVQNICKIFTKSLNRQENFQREAAAAALSEFVRYSGGFSSLLEEMVEVLCRHVSDESPTVRCLCLRGLVKIPSVHIYHYTTQVLGVILALLDDLDESVQLTAVSCLLMILASSPNDAVEPILLNLSVRLRNLQISMNVKMRADAFAAFGALSSYGVGAHKDVFLEQIHATLPRLILHLHDDDLTVRQACRNALKRFASLLEIEAMLALFNSHSFNSDHRSDYADFVRDFTRQFLQHLPSRVDTFMVSIIQAFDAPWPIIQANAIYVSSSILALTDDQHILACYFTQVFAMLVGKMSRSADAVVRATSSSAFGLLLKSTYSSSWRSDRLERAESGRKGHDSESAKK >OMO61969 pep supercontig:CCACVL1_1.0:contig13383:414:2139:-1 gene:CCACVL1_23112 transcript:OMO61969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKLVLFSLFLALIFIQIRADASMDPVPESDASDSSALKMELDQLKSKILVLESFLEEKTRQLKAKDETIKQKEKIIQEKSDSIASLNTEVSSLKKKGTSDAEAQVGKAHAQALELQKQVDKLKSELEAKLKVKDSLEARATEAEKKLTELNQKLENLQKINNEQKSKIAKTERALKVAEEELMKAKLEAASKTNELME >OMO61970 pep supercontig:CCACVL1_1.0:contig13383:2593:2691:1 gene:CCACVL1_23113 transcript:OMO61970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGGPPTRVRRGYKVSKEEHVAYEKGGEGTA >OMO88683 pep supercontig:CCACVL1_1.0:contig08967:18794:19315:1 gene:CCACVL1_08260 transcript:OMO88683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent decarboxylase MPEIPKEAAYQIINDELMLDGNPRLNLASFVTTWMERGCDKLIMDGINKNYVDMDEYPVTIELQEIFRLFFFIFFLILMKIFSLLNGESEFLLMQNRCVNMIAHLFNAPIGEKEAAIGVGTIGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVTGANVYFLCKFHYDSFK >OMO88685 pep supercontig:CCACVL1_1.0:contig08967:42750:44357:-1 gene:CCACVL1_08262 transcript:OMO88685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWEQLKMHQFFLGIVEKCNHLNHLKQLQSFLITQGQSQTQLYIFKLVRFCTLKIFDFCYARLLFDHLRAPNVFLYTALITAYASHPNHHSSAFALYRQMLCKGKPKPNHFIYPHVLKSAPGVLESDNGTKLVHTHILKSGFGQYPVIQTALVDSYSRTGSSIGIARDLFDEMSEKNVVSWTAMVHGYMRVGDVGKAVSLFDKMPNRDAPSWNAVIGGCTQNGLFSEAISFFRKMVMVGNWPNQVTVVCGLSACGQSGMFQLGKSIHGYIFRNGIIDDCLVANALIDMYGKCGSLEKARRIFEMSSKKNSTSWNSIINCFALHGQSDKAISLFEKMIECNGEDIRPDAVTFISVLNACTHGGLVEKGRAYFELMTNIYNIEPRIQHYGCLIDLLGRAGKFEQALEVISEMKMEPDEVVWGSLLNGCKIYGRTDLAEFAVKKLIEIDPDNGGYGIMLANLYGELGKWDEVRKVRMKLKEQNAYKTPGCSWIEVDNQVHQFYSVDTKHPRTGEIYNILESMVVLAKAHQNLALFAA >OMO88684 pep supercontig:CCACVL1_1.0:contig08967:30435:41341:1 gene:CCACVL1_08261 transcript:OMO88684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MGVHGLWELLAPVGRRVSVETLAGKKLAIDASIWMVQFMKAMRDEKGEMVRNAHLLGFFRRICKLLFLRTKPVFVFDGATPVLKRRTVIARRRQRENAQAKIRKTAEKLLLNHLKQMRLKELAKDLENQRKMQKSNGKAREVSSDKQDEANDAGCNANVDAEHDSMASSSYDQEKLDEMLAASLGAEEDINLANNASTSAAAILSDEDGDEGEEMILPATHGDVDPAVLAALPPSLQLDLLVQIRERLMAENRQKYQKVKKEPEKFSELQIQSYLKTVAFRREIDEVQRAAAGRGLAGVQTSRIASEANKEFIFSSSFTGDKQALTSARKERDEDKPQEIHSNHTSGSFNSVKSVCKSNMLKESAPNEPNSAPDEDVGTYLDERGRVRVSRVRGLGIRMTRDLQRNLDLMKEVEQERTNSDKSVKVQSVPDRNIIGTSNSLSRKNHIATTSLDGKSESVNMSDNNQQTAFEDEAFMEITFEDDGRNTVIDNDDDIFARLAAGDPVTLSSPDDKSPRKQASDSDSDFEWEEDTIEGKWVSHGENAENNPSKTESHISGESEVEWEEEPSDAQRSSVPVESGRLLSKGYLQEESDLHEAIRRSLVDVGAKRSNYFPSDLEKPKILGENTDEGFGSLQDKNYMDGPSFHGDNLNQQTKSCVNPDGFQKQCSVDYLNLSETINSPERLSPIAHNSDKNGTLVKKPFERSDVPHSGQSRQNDSTELVSTLEKEVHFQLGKCLDSSNEVDGLSTVSNCWSKDSSHSLDVVIDNLPGAVLVDKKNVFEGEPSTLVIDQKSSIGAEPCVVPDENIDFEAKSLDQPIDIVDSSIPLVQSSVNNKSLDQPIDIVDLSIPLVQSSVNKVTFDTQFEQEIGVDRTYQNRVNESEQEMDMFTVKANDNAEIEFPEATLDEELLILDQERMNLGDEQRKLERNVESVSSEMFAECQELLQMFGIPYIIAPMEAEAQCAYMELTNLVDGVVTDDSDVFLFGARSVYKNIFDDRKYVETYFMQDIEKELGLTREMLIRMALLLGSDYTEGISGIGIVNAIEVVNAFPEEDGLHKFREWVESPDPTILGKLNVQEGSSGRKRGSKSTSDNGGVSSLDEKISQADKIKHSTDCNDDVKQVFMDKHRNVSKNWHIPSSFPSEAVISEYSSPRVDKSTEPFTWGRPDLFVLRKLCWEKFGWGSQKSDELLLPVLREYEKRETQLRLEAFYTFNERFAKIRSKRIKKAVRGIAGNLSSELIDDATKGRKKRRVNPVESGDDQSGEPSNRKEERVSRPHSKSMEKSVPKPSRKRQNPGKHVPSGMSSPGSPLEVAPRGKTKKQSPKNGRGRGRGVKRCKASPDFEPLEGCSSGADSGKDYQAAVDGEKLEMPLKVRRSMRTRNPVDYTVDDREDEDGLSNKEASSGRAKEQGAANDLNEKNLSEVHVPSLDEDSSRDYLERGGGFCMDEQETGQPDASQDVDPSSEAEASMDYLKMGGGFCMEESDTTKDLDAAYYRDPIGVTDSSNIYASTDKADDNIGSAEILLDGLQYGVKTPKLIAESDIDHRNAVNKDDSDNKAPLQDTGTGFIGGLKAMPTLKRKRRKRYIGVSIMNMDGVHIRDNVKPATARNREEDLENFQGEKMGLNSYIWKAAPFVAMITVECTDVGISVISKAALTKGMSNTVSVVYYNALGTLILLPYVIFFRNKQAPLTLSLLWRFFLLGLIGSSGQIVYLTGVKLSSPTLSSALVNLIPIFTFLLAVTFRQPLLRHIQIK >OMP06023 pep supercontig:CCACVL1_1.0:contig05088:12181:15104:1 gene:CCACVL1_01753 transcript:OMP06023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MELLLLPSLELDVAKLYNPLSLTLLVLVFSLVVIWLNKQAKGTKLNLPPSPPKLPIIGNIHQVGKLPHRSLRDLSRKYGSLLLMQLGDNPTLVVSSADMAKEIVKNHDIVFSNRPRTTGAETLLYGCSDVGFAPYGEYWRQVRKICVLELFSLRRVQSFQCVRDEEVEDLINKIRRACVKGESINLSEMLMAVSNNIVSRCVLSKKTEEEDGKVGQLSRRLLGLLTRFCFGDMFPYLRWMDVLTGLIRRMKAVSRELDTLFDQIIEEHRALKNYDTKRDFISIILQLQRDGLLEMELTHDNIKAILLDMFVGGTDTTSTTIEWLMGELIKHPCVMKKVQEEVSTDISNGYIDGYIETTVFINAFAIQRDPNGWEKPEEFIPERFENSPIDFKGQDFQFIPFGSGRRGCSGMAFGVASIEYEIGNLLYWFDWKLLAGEIPDKLDMTELYGLTVNKKVPLHVLPIIPHLFT >OMO87155 pep supercontig:CCACVL1_1.0:contig09305:1648:1761:1 gene:CCACVL1_09232 transcript:OMO87155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDTRKEIEEEHETIGKQIEIRQQLMEAKIGLSFKL >OMO73934 pep supercontig:CCACVL1_1.0:contig11171:68307:71237:-1 gene:CCACVL1_17062 transcript:OMO73934 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MMMFRSIARPLERCLGVRAGGDGLMWHADLKPHASGDYSIAVVQANNNLEDQSQVFTSPFATYVGVYDGHGGPEASRFVNKHLFPFIHQFATEQGGLSADVIKKAFNATEEEFLHLVKRSLPVRPQIASVGSCCLVGAISDDVLYVANLGDSRVVLGRRVSENKKNGVVAERLSTDHNVGVEEVRKEVEALHPDDSHIVVYTRGVWRIKGIIQVSRSIGDVYLKKPEFYRDPIFQQFGNPVPLKRAVITAEPSILVRKLKPQDLFLIFASDGLWEQLSDEAAVDIVCKNPRAGIAKRLVRAALQEVAKKREMRYDDIKKIEKGIRRHFHDDITVVVIYLDQHQDSRNNKGRHNAMGCTIAPVDIYSYNADEADEDLLQTLS >OMO73944 pep supercontig:CCACVL1_1.0:contig11171:107395:107927:1 gene:CCACVL1_17072 transcript:OMO73944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S14 MGHSNVWNSHPKTYGPGSRACRVCGNPHAIIRKYGLMCCRQCFRSNAKEIGFIK >OMO73942 pep supercontig:CCACVL1_1.0:contig11171:103190:104929:1 gene:CCACVL1_17070 transcript:OMO73942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erv1/Alr MSENPNPIQALFRTFERFSNCVQTHIANFTRQPHHSSPSNKNPLISLSSSSSKVVNLDSPPTSSTHPLAKKPAAPVTKEELGRATWTFLHTLGAQASGNIFFPLHVK >OMO73939 pep supercontig:CCACVL1_1.0:contig11171:93935:96092:1 gene:CCACVL1_17067 transcript:OMO73939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpv17/PMP22 MSGALRKNASIARLLQRKQAFTDFTDPINRIHPFLRNQTQTQTREYFRLPNAFRKVKEYDISPSLFTSSFSSSSSSTAVSKVGLIGWYLGMVKSRPVLTKSVTSSFIYIAADLSSQTISKSSSEPYDLVRTLRMAGYGLLILGPSLHHWFNFMSKLFPKRDLITTFKKMAMGQLLYGPSMTVVFFSLNARLQGESSAEIVARLKRDLLPTMLNGIMYWPFCDFITFRFIPVHLQALVSNSFSYLWTVYMTYMASLEKAATVTS >OMO73932 pep supercontig:CCACVL1_1.0:contig11171:58566:60055:1 gene:CCACVL1_17060 transcript:OMO73932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSAIFSTFISPQTVPFSAKSSSSSVRLILPTNVRTLRTVTQATASKPATGTRQPRGIMKPRRVSPEMQALVGVPEISRTQALKQIWAHIKEHNLQDPENKKIIVCDEKLKKIFKGKDRVGFLEIAGLISPHFL >OMO73937 pep supercontig:CCACVL1_1.0:contig11171:79598:87182:1 gene:CCACVL1_17065 transcript:OMO73937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MKGKSKSSKRKLGLAKTLLLCSLSFLAGLFVSTFFSQDVPIIKPRLRRLEVVHEKVEEYRDPNPMPNGETGESSIESIPFQVLSWKPRAYYFPKFATAEQCEHIIKMAKVNLKPSTLALRKGETEESTKGTRTSSGTFISSSEDDTGILDFIEKKIAKATSIPQSHGEAFNVLRYEIGQKYDSHYDAFNPSEYGPQSSQRVASFLLYLSDVEEGGETMFPFENDSRVKGYDYRQCVGLKVKPRKGDGLLFYSLLLNGTIDRTSLHGSCPVIKEKRSSKKVVDSPNKEADSTNESFTSSSSRIGKNKSSKDTSPSALNALNKFTSQIKKPPHRKNSPVNWFPRKKIDSYLKRKIKMLQEVDGMNLTLDETLGDSNPHYCRVLREKMAAKEAANKAMEARKAALVEASWCRILQAARIQSKEAEELLLKAEKTAAEAFESATALGVIMYEIPNCPQSTCHIETSSVNRAGSTTHTVRASFETAFEVDKQVAAAVKTAFRRLACSSSFDKDEFKDLLRKINENPETGNSNLESMEFSSECESEPSSELEADNQKDDSKSQDFSTKKSPVEGRQRKSKRRQSLEKFNTEKLVEMMLERLKCLQEDELSSLATIVATRGLSAALAEVENTKVTNHPPASALNFARRTSSIGPGILKKTNHYGQIDSELPSLDKFLVKHMTKLEREVQEARSRRNVTKDGGSGKMDSSLVNSDIISSETVPQLEGILVKQSSSEEEIHKNSDADTSVDAISDLGSILVKHSSKLEKEIQEAKRNFCNTYEVNGKKGGAMSNRVPSHKKGDIQEVPSLDKFLVKHVSRLEREVEEAKNRRKNDLVEKDKVAHQKEVLSEKNSHSTSGKVIGKENINLNKEVDRTQSEDSLDKILVKPVHRLEREKMQALSSGSNYGNHRNNRNGGNNVTECESLDKVLVKHVSRLEKEKMRLRFNANEEVPKMRRGSTVNMPPASEDGGLDQILVKHKSRLEKEKMAAAEQPNDQVRLSVSVSRREARERELQEAWGGLSLGNSIRPHFSRLERDKAAWKKAEEEERMLAASQNV >OMO73936 pep supercontig:CCACVL1_1.0:contig11171:77124:78376:-1 gene:CCACVL1_17064 transcript:OMO73936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYDDDKKLRRFMGRAAIAKFRIFVLSGARRVHHDRRNLSKIAARGEDDHDDHGEIISNNYGNDIKDSSCWVPHPKSGIYFPKGHEWVMKDVPDGAASLGQTFWLRGVEGVEKPHPDDHQLPTDHHYNFHANM >OMO73940 pep supercontig:CCACVL1_1.0:contig11171:97257:98321:-1 gene:CCACVL1_17068 transcript:OMO73940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator Hfi1/Transcriptional adapter 1 MMLNQNCARVDTLELKALIVRKIGHQRAEKYFDQLKRLFCLKIGKSEFDKSCIKTIGRENIPLHNRLIRSIIKNACTARVPPVKVIRKGGSNLQVGNGYQRNCLQSLYGDAFPPSPRKGRSPVNRDRKFRDRPSPLGPLGKPQSVVYEESVSKAQEQSATELLSLGSRPPAEVASVEDGEEVEQMAGSPGVQSRSPVTAPLGISINFGGARKALSNAFSCNNYQLETCQNKGELPDTRSLRSRLQQKLETEGMNVSMDCVNLLNNGLDAFLKSVIEPCVRLARSRSGNESLRQPNGQFGPRWNGMSPRHHLQHSEKPFYASLLDFRAAMELNPQVLGEDWALQLEKISLGSFED >OMO73938 pep supercontig:CCACVL1_1.0:contig11171:90532:92830:1 gene:CCACVL1_17066 transcript:OMO73938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLSFISLFLSFLLLLSPAISTNSEGNALHALRSRLSDPTNVLQSWDPTLVNPCTWFHVTCDSNNHVIRLDLGNSNISGTLGPELGQLQHLQYLELYKNEIRGKIPEELGNLKNLIGMDLYGNKFEGEIPKSFAKLKSLRFLRINNNKLTGSIPRELTTLSNLKVFDVSSNDLCGTIPVDGPFSMFSMPSYENNMRLNGPELKGLVPYDFGC >OMO73941 pep supercontig:CCACVL1_1.0:contig11171:100274:102199:-1 gene:CCACVL1_17069 transcript:OMO73941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MGGEGMVSNEKNNLVKPNPKLTVLPLIALIFYEVSGGPFGVEDSVRAGGGPLLSLLGFLIFPLIWSIPEALVTAELATSFPENGGYVIWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSFPIFNNLIARIPALLGIKVSLTYLNYRGLHIVGISAVSLAAFSLFPFLVMGILSIPRIKPKQCLLVDFKKVDWRGYFNSMFWNLNYWDKASTLAGEVENPSKTFPKALFGAVVLVVCSYLIPLLAGTGALNTKSSEWSDGYFAEVGMLIGGLWLKWWIQAAAAMSNLGLFEAEMSADSFQLLGMSEMGMLPSIFASRSKYGTPTFSILCSATGVIFLSWMNFQEILEFVNFVYSIGMLLEFAAFIRLRIKKPDLHRPYRVPLQTFGAIMLCLPPALLLVLVMCLASYETFIVSGIVIIVGFFLYPALVHAKNRKWTQFDIEHVVVPSNDLDVQEPPQEVADEASASLLPVLSSLEINQEVSETSAGVLKVV >OMO73943 pep supercontig:CCACVL1_1.0:contig11171:106019:107031:-1 gene:CCACVL1_17071 transcript:OMO73943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase superfamily MADQAGSSSSHLEIHLEQEDDFDLIYGADSGWVEARTHCDHLASLSSDLAHIPTPNSSCNRCQHPSENWLCLCCKDVLCSRFVNKHMLEHYKQTTHSIALSYSDLSVWCFACDSYLDAQVIQQLRPAHETAYILKFGEAPPFWTVESSQMEDKDAKDTSRSS >OMO73931 pep supercontig:CCACVL1_1.0:contig11171:51874:53254:-1 gene:CCACVL1_17059 transcript:OMO73931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative copper ion binding protein MSKVLFVSALLCIAVAGVLAQAPSSPPTATPAPPTPPASTPPPTATPPPTSTPPPTSSPPPVTASPPPASTPPPATPPPVSSPPPASPPPATPPPATPPPATPPPATPPPATPPPATPPPATPPPATPPPAPLASPPAAVPAPAPSKTKVKSPSPSPLSSPPAPPTEAPAPSLGASSPGPAGTDVSGVEKMLPVGKMVGSLVFGWAILSLM >OMO73933 pep supercontig:CCACVL1_1.0:contig11171:60995:65890:1 gene:CCACVL1_17061 transcript:OMO73933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLALPLLLPNPPPPKPPFLHPTLPQQQNPTLLPTSPPSSTPILQEFLLRHSAKSQQPIEPKVRSRTRIGRSRDPNRGKPWTHKRLSPQGQQVLDSLIDTSFDSNLLDSVLAKLFEQYQEDQVAKAEFLTAEVLGIVKGFEKSGKDELAMGVFEEMRSAGCKPNICTFNALIKMHGNRGKFTEMMKVFDEIKACNGSPDIVTWNTLLAVFGQNGMDTEVSGVFKEMKRAGFVPERDTFNTLISAYSRCGSFDQAMAVYKRMLEAGVTPDLSTYNAVLAALARGGLWNQSEIVLAEMKDGRCKPNELTYCSLLHVYANGKQVDRMHALAEEIYSGTIEPHAVLLKTLVLVNSKRAIGAAKKKMEEHEKPSKYKSVALVIGITGIVGNSLAEILPSSDTPGGPWKVYGVASRPRPAWTDDSAIHYIQCDVSNEEETQEKLSTLNDVTHIFYVTCAKKSSESENCFVNGTMLRNVLKAVIPNAPKLKHICLQTGRRHYTGPMDSFSKIQPHDPPFLEDLPRLKFLNFCYTLEDVLFEEVRKKDGLSWSIHRPGAIFGFSPFSLMNIVGSLCVYATICKHEGKPLRFPGNRQAWDGYWDASDADLVAEHQIWAAMSRHAKNEAFNCSNGDVFKWKDLWKVLAMQFGIEDYGFEESDGKLSLVEMMKDKGPVWDEIVREKDLVPTKLNEVGAWQFADALFSGKSLLDSMNKSKEHGFVGFRNSKTSFNSWIEKMKTHKIVP >OMO73935 pep supercontig:CCACVL1_1.0:contig11171:72386:75226:-1 gene:CCACVL1_17063 transcript:OMO73935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MTSASELFYTRRSRVGRPDPDPGIGSSIDRNYSRRHHLSSHHNHRHELDGCDHLRRSPHVRHSSSRASSLSERAPVRFDQGTSQFAPSNGLNADAVSSSPSRPTLSSSDRLPGAVLLARARLLERLRGVSVSANRRSSRAPSSAYRREFLLGDDFRLVDAGDWGSEISTGWSTGSSPFTDLNSQTERFQLLHETNKKPPGLSQQALDSLPLEVFSSLKVDAERQLSRQSRDCSICLESFQDEEVLTRLPCGHRFHFACLDPWVRNCGDCPYCRRSIL >OMP11357 pep supercontig:CCACVL1_1.0:contig01362:3027:3086:-1 gene:CCACVL1_00564 transcript:OMP11357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTSFLWFLAFFVFALELGP >OMO87251 pep supercontig:CCACVL1_1.0:contig09267:16081:24536:-1 gene:CCACVL1_09168 transcript:OMO87251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MFRLHKQKSDKSGERFDFKFSSFQALQVPKGWDKLFVSIISVDNGKTITKSNKVSVRNGICRWTENFTESVWIARGDTSKANDECSFKLVVAMGSSRSGVLGEVTVNLASYINAKTAVPLSLPLKKCNHGTVLQVKIQCLTPREKFRDEQWKDTDSYLEDGSLEYDDLESKSDVSDGTFTRSVGSSSSNHLEGTIHPGELSSREASFSASDSRNSFDSFEGSFNRENYFPQNGVASNLIGRQDSTGSQTSSPRGSYSFNDSSRSNHSTPKVSTSASYPHNHREDLNRVSRLVPSSPLRNAGSSKDLLDAAEITIGELRAEARMWEQNARKLMIDLENVQKELFDLSKQQKSLEVALSKSQAECDILKQEVEQVKILLQESKMKQASAENVKFQARNTENVQKELEDEIKFQREENANLAVQLEKTQESNIELVSILQELEETIEKQKAEIDDLSRMKSESDGSGKEDFGFDDGGQINAGKQISAKQTRKSADSDGDVENEGRDLHAENRNLELQLQQLLESHKKLESTIQFLEKTLEEKNHEMEIEQDLRSQSLKDCEAGWRSKLAEKEEKIINLELKLSKALDLQGLKELDSEKEGNCTLTAEMEALKLKVQELERDCNELTDENLELLFKLKESGIDYSATSNPPLPDHPGKNSLYRHEPEVASCNHEVELNERTLTDVASVDHLHIHSVDLEKRCGDLEVQLEDFKVKVSYLDGELSICRAKAEEQETEIVALQQQLEHCRQTESDSKDEPALASTGAVEMSGLLAELDEQIQLSLAGLKRQYAPNSHANPEGTSSSDHLQISKSTDLISQKQQVEIILNNFVQLKQLLREKSVVGNDRFGKEATDSAGGADDISDKLEVYDLKESNTPCKEDSDLEDELSAKISEIEKLKSENLLKHDELEALRHHQKELEAKVSSIECEKSQLEENIEIMLREGAVAAKCLDDLRSEIKVLNSNLDSQISANKILLSELESGKQELEVHLSELEEENVQLSERICGLEAQVRYLTEERESHRLDLQNSESQALNFKEEIVRLENEMEAQKLDMKQKMEGMQKRWLEVQEECEYLKIANPKLQATTESLIEECSILQKANGELRKQKMELHEHCAVLEAELKESEKAFSNMVNEVEALEEKYSTMLEEIASKEKALNLELEELFQENKKQKEKLLLEESLLNQKYLEKTVEVDNLQREVAHLTEQISATQDEKERTASEAVLQVSHLRADKVTLEATLQDVQGKLKLSESKLSALQVESETEVQGLKEELAVAKQKQEILMADHEKLLDLLEDVKSNEDKLKGTVRGLELKLKASEYENQQLAEEISNLKVQLQKTPLLQDEILALKTSISETKFENERLQASFQMLSREHEELNVERNLLVQKMTNNQQAVSELEDCRWRKVALEEKVLRLQGDLTAREALGTQEAALKNELAQIRRENSQLQRKIKYIEEEKEEYLKKTQALEEELKQIKQEQRESQNSIEENNHQQSSERLFTGTDQVEHHLEENYTQADDNNQNCNNETSQATGVDLLSKIQNLENELAEALEANDMYKAQLKSLLSKEASFYSDATKKSTDEDAERKGKCNCKTSALEIELKDLRERYFQMSLKYAEVEDQREQLVMQIRAASGRKRWF >OMO87250 pep supercontig:CCACVL1_1.0:contig09267:5451:11081:1 gene:CCACVL1_09167 transcript:OMO87250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MTDFQALQQKPESTDDARAEFERGLEELMRGHLDDCMSFASCSSNRNPDDEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTLERRNRESELMALAGLHTVSMLDSSFLRESQSPTSRRQGGNVERPSTQASSILQMWRELEDEHLLTRARGRVRERLRQQQNADSNTMISSTTLSESRGSEIHGSVGDASESENEYEPWSHDQGVSQNDRGDNNGSSREQSPDLGEVERERVRQIVRGWMESGISDHSSNVTQRAGSPRAEWLGETERERVRIVREWVQMTSQQRGVRGGRREDQTATIGGQVDQVREGSVTDHEEGQPEHIRRDLLRLRGRQAVIDLLVRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPPSMAASELNQLRQRHTVSGLREGFRNRLETIVRGQAGSNSETSSSNVIDDSRNERSQTNMLQDLQHGNNEHTQPRTSENDIGRLTNQTGDIVSNMAVERINWQENSNQVGNWRETTTNNERGNWQQPTYAQFNEWRRGNAEEMDANWQESSVGEYRQENSGNVNGEESRPEEVQRVWREDGSREAVDNWSEGPSDPPRARRSVPVRRFNRFHPPEDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQGRSPIDWDLHRNLPTPASPERDQEQQRDETNDDQNDAINRPSLVLPSPPVPPPQPLWHQDLHHTSWSRHSMHRSEIEWEMMNDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKGLNAETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEHAYCLDIILEESNKFILELRLAKMLI >OMO70496 pep supercontig:CCACVL1_1.0:contig11819:14845:23440:1 gene:CCACVL1_18874 transcript:OMO70496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGLQAEGVWWIGFEKFSSSQSGVIAKNCLAVPFGAAKFVGEDLAGGLKWRIGDGSNVRFWTDKWLDRPLIESFEDVPSYVNNNSRVSEFISNTGNWNTDLIFAQLPLEAAMHVIGYPLPRVRAMADSYIWAFTANGKFSTRSAYLNLLKGEEINVAENWLWLWKLQIPARWIYFLWLAIRGRLVTKHLQFSWGLDVDAYCSICGGEVEDVLHALRDCPEARRVWEQLIPAAKWSVFFSSCLEGWLKDNLDESSHDSRFVGLFATACWRIWTKRCMLIFHDNLVGVTCSNLTREILITANEVCAALKVKGVSIASGLCISWHPPDSLAVKLNTDGASCGNPAHLGVCSNVVAELHALRLGLLLAWEEGFRRVECEIDAKVVLDMIKDADLNFHPLGTFIADIRDLLHRDWICSFHHTLREGNFSADRLSKLGCSLDDDYIVYRSPPQEVLDVLRADMRGVAYPRVRALNSIFQQWDTQAVSSWNTTGDPCSGLALSQGESVYEDPANNPAIRCDCTYDNNTVCHITRLRVFALQKLGQIPEELLDLRYLEFLKIDKNFFSGPLPSFIGNMSRLKLLSIAHNAFSGPIPKELGNLKELFLLSIGNNDFSGTLPPELGNLVKLEQLYIDSCGLGGEIPETFANFEKLQTVWASDVAFTGKIPDFIGNNWTKLKSLRFEGNSFKGPIPSSFANLTSLTSLRIGGIYNGSSSSLDFVRNLKNLTDLVLRNVLLTGVLPSYITELHSLQKLDLSFNNLTGQVPSDLFNMRNLENLFLGNNSLSGSFPRQKGEKLQTMNLVANNFTSNSSNIRLLQGLECLQRSFPCNRNPPRYANFAIKCGGPRKIYNGIVFEAENTTLGPAAFNVTSTQKWAVSNAGLFADRQDQKFVEIKLAQATSTGTPELYQTSRLSPGSLRYYGLGLENGNYTVTLYFAEIGFPSPSTRAWQSQARRVFDVYIQGTRRLRDFDISKEAGDVEIAITRNFTIHVTDNHFEIHLFWAGKGTCCTPEQGYYGPLISAINVVPNFKPTVSGLPPGITRDKNHTALTVGIAVPVGAMALILALAIIYFKSRKEDDDEEVLLGIGPRPNTFSYAELKAATEDFSPSNKLGEGGFGAVFKGTLSDGRVVAVKQLLREANQGKCQFVTEIATISAVQHRNLVKLYGCCIEGNRRLLVYEYLENKSLDQALFGKSELHLDWPTRFKICLSTARGLTYLHEESRPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDKETHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVAMEILSGRPNSSNNLQNQRFFLLDWAWTLHENNQSLGMMDPSLEEFDEHEALRVLGVALLCTQASPNMRPSMSRVVAMLAGDAEVSSVATKPSYITDWDFNDETGTFVRKSDQQTSSASEYNSDNKSPQNKTFNEAEHSPINVSNFSDIVGEGR >OMO70495 pep supercontig:CCACVL1_1.0:contig11819:2105:4979:1 gene:CCACVL1_18873 transcript:OMO70495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALADANFSIKCGGPQMIYDGIVFEAENTTLGPATFNLTSTQKWAVSNAGLFADRQDQKFVENTLVQVTSTGTPELYHTSRVSPGSLRYYGLGLENGNYTVTLYFAETGFPSRSTQTWQSLARRVFDVYIQGTRQLRDFDISKEAGDVEIAITRDFTIHVTDNHLEIHLFWAGKGTCCTPEQGYYGPLISAINVVPDFQPTVSELPPGITRDENHTALAVGIAVPVGAMALILALAIIYCKSRKEDDDEDVLLGIGPRPNTFSYAELKAATEDFSPSNKLGEGGFGAVFKGRLYDGRVVAVKQLLRETNQGKCQFVTEIATISAVQHRNLVKLYGCCIGGNRRLLVYEYLENKSLDQALFGKSELHLDWPTRFKICLSTARGLAYLHEESRPRIVHRDVKASNILLDAELCPKLSDFGLAKLYDDKETHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVAMEILSGRPNSSSNLQNQRFFLLDWAWTLHENNQSLGMMDPSLEEFDEHEALRVLEVALLCTQASPNMRPPMSRVVAMLAGDAEVNSVMTKPSYITDWDFNDEIATFVRKSDEQTSSASEYNSDNKSTQNKTFNEAEHSPINVTNFSDIVGEGR >OMO70497 pep supercontig:CCACVL1_1.0:contig11819:30240:35820:1 gene:CCACVL1_18875 transcript:OMO70497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNTVRALNSIFQKWDTQAPDSNLWNTTGDPCSGLALSQLDSVFEDGANNPAIRCDCTFDNNTVCHITRLRVYALNKLGQIPEELLDFPYLEFLKIDQNFFSGPLPSFIGNMSRLGLLSIAHNTFSGPIPKELGNLKELYLLSIGGNNFSGTIPPELGNLLNLQQLYINSCGLGGEIPETFANLENLETVWASDVAFTGKIPDFIGNNWTKLKSLRFEGNSFEGPIPSSFANLTSLTSLRIGDIYNGSSSSLDFVRNLKNLTDLVLRNVLLTGVLPSYITELHSLQKLDLSFNNLTGQVPSGLFNMTDLVNLLLQGLECLQRSFPCNRNTPRYANFAIKCGGPQKIYNGVVFEAENTTLNPATFNVTGTQKWAVSNAGLFADRENQQFVQNTLVQVTSTGTPELYQTSRLSPGSLRYYGLGLENGNYTLTLYFAETGFPSRSTQTWQSLARRVFDVYIQGTRQIRDFDISEEAGGVEIAVTKNFTINVTENHFEIHLFWAGKGTCCTPVQGYYGPIISAINVVPNFKPSVSGLPPGIPRDKNHSPLIVGIAVPLAGVTLILIFGIIYFKRRKEADDEEVLLGIGPRPNTFSYAELKTATEDFCPSNKLGEGGFGAVFKGTLGDGRVVAVKQLLREADHGKSQFVTEIATISAVQHRNLVKLYGCCIEGNRRLLVYEYLENKSLDQALFGESALHLDWPTRFNICLSTARGLAYLHEESRPRIVHRDVKASNILLDAELYPKISDFGLAKLYDDKKTHISTKVAGTIGYLAPEYAMRGHLTGKADVFGFGVVAMEILSGRPNSSSNLQNERFFLLDWAWNLVENNESLGVMDPSLEEFDENEALRVLGVALLCTQASPNVRPPMSRVVAMLTGDAEVSSVATKPSYITDWDFNDETATFKKEDTQASSASDNSDDKISTKNKTINETELIISPINVTEISDIIGEGR >OMO52641 pep supercontig:CCACVL1_1.0:contig15493:14280:14759:1 gene:CCACVL1_29146 transcript:OMO52641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biogenesis of lysosome-related organelles complex-1, subunit 2 MAEKEPEARDELAESLNDLFTSLSTMVKSELQGTNNILELLEKMNLRVAEEYKEFGDVASGLRVFVEQLKNKSGNFDEYVQQIDAIEQQVTEFEAVISVLDRYVSLLESKVQSVYQHPPPLK >OMO52642 pep supercontig:CCACVL1_1.0:contig15493:15404:21509:1 gene:CCACVL1_29147 transcript:OMO52642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTMGPPPPRNPNPPAEPEPETKEESEPTTAKTSMGPPPLPPPPPKNPSAQNPRDEEKESNSNSQSNSIEKPSNQKQPSASVPYTIPQWSGAPPHHFYLEVLKDGCIIDQFKVYEKGAYMFGRVDLCDFMLEHPTISRFHAVLQFRRSGEAYLYDLGSTHGTFINKSQVTKRTYVDLHVGDVIRFGHSSRLYIFQGPTELMPAEKDLKVLREAKIRGEMLDREASLRRAREDASLADGISWGMGEDAIEEFEDDDDEMTWQNYKGQLTEKQEKTREKIIKRTEKIAHMKKEIDAIRAKDISQGGLTQGQQTQIARNEQRMTQIMEELENLEETLNESIRESIGARAGNTSRGKRKGGPDDDDEDLSSDDDEFYDRTKKKPTVQKVGETQSVETADSLLDKRDAIMKEIEDKKELLLSEKNKMASETALETEAGDELDAYMSGLSSQLVLDRTVQIEKELSTLQSELDRIFYLLKIADPTREAAKKRDSKVSAPDKSRAHPAVVNPAVVKKQPHSEPKKISTSIEPAKSPTEKEGVADAPVESSKEPEENIVSDTAEGKKAIYTVPKPQWLGAVENKEIKELEQEVQVEVETNTVDEFVDYKDRKKVLGSVDGSQSKGQSGIESAASGLIIRKQKQVDKPEDDDKASEQSTSSSTGAEEIAQNAVALLLKHTRGYREEDEELNKTPEISAKNQSKKKEKKPKRVLGPEKPSFLDGNPEYESWVPPEGQSGDGRTSLNDRYGY >OMO52640 pep supercontig:CCACVL1_1.0:contig15493:9168:12041:1 gene:CCACVL1_29145 transcript:OMO52640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MTIGDIAPKKERKSRKSKHVVDEKAPLLPKRQDEDGGFDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLILGVALIIFMAFLTNASIEFMLRFSRAGKTSSYGGLMGDAFGKYGRILVQVCVLVNNIGVLIVYMIIIGDVLSGTSSSGVHHAGVLEGWFGEHWWNGRTFVLAVTTLGIFSPLACFKRIDSLSFTSALSVALAVVFLVITVGISIIKLISGTVPMPRLLPAVTDMTSFWSLFTVVPVLVTAYICHYNVHSIDNELEDSTQIKPVVRTALALCSTVYIMTSIFGFLLFGDATLDDVLANFDTDLGIPYGSLLNDAVRVSYAAHLMLVFPIVFYPLRLNIDGLLFPSSRPLSQSNTRDRHFIATKKDKILAIFMIVLAVFSNAVAVYSDALALFKKNPGPTA >OMO52644 pep supercontig:CCACVL1_1.0:contig15493:24525:33687:-1 gene:CCACVL1_29149 transcript:OMO52644 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, phage-type MDGRRIGEVLPKKEYIRKPSFGVLRKPSEIGFSGLCPRGYVSVAEAVSSTDVEEDVSMVEEIKELLKEMRKEQKKEVSMKSRRCREIERGMGNNKYRMLKRRQVKIETEAWEQAAKEYKELLMDMCEHKLAPNLPYMKSLFLGWFEPLRDAIIKEQELYRSGKLRANYAAHLDQLPAEMTAVITMHKLVGLLMSGEHGCTRVVQAACVIGDAIEQEVRIHKFLEKTKKKRVDEKNEDEQDGSSMEQEKLRKKVIDLIKRQKLPAVRQIVKEQDDTKPWGQDAQAKVGSHLIELLLQTAYIQPPADQLDDCPPDIRPAFIHSFRTVVKENKKSGRRYGVIECDPQVRQGLERSAKHVVIPYMPMLVPPVKWTGYDRGAYFFLPSYIMRTHGAKQQREALKRTPANQLQPVFEALDTLGYTKWRVNKRVLNIVDRIWSSGGRLADLVDRDDVPLPEKPDSDDEALLRKWKWKVKSVKKENRERHSQRCDIELKLTVARKMKDEEGFFYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSHEGRLAFTENHLDDIFDSADRPLEGKSWWLKAEDPFQCLAVCIDLTEALRSSSPETFVSHIPVHQDGSCNGLQHYAALGRDKLGAAAVNLVKGDKPADVYSKIAARVLNIMRRDAEKDPEVFADALRAKVLLNQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERGVMTDDKEIFGASCYAAKTTLTALGEMFQAARAIMNWLGECAKIIASENQPVRWITPLGLPVVQPYRVFGRHLVKTSLQVLTLQRETEKVMVKRQKTAFPPNFIHSLDGSHMMMTAVACRKAGLTFAGVHDSYWTHACDVDTMNRILREKFVELYETPILENLLENFQQSFPDLCFPPLPERGDFDLGDVLESPYFFN >OMO52643 pep supercontig:CCACVL1_1.0:contig15493:22641:23744:1 gene:CCACVL1_29148 transcript:OMO52643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSEEDWSALHFLADVADAVAAKEDLEKEKSTKETTNKQKPTTFCGYVSIFRDSPSNKKPTSMREKPVNNNSLTFSPAMKLQNLPNRFMKLGFDAKDVRHEFSPKFSDNPFSKKPSLKEKSVYSKSSTFFLDNVETKESSETEGFLNQGLVLNERNHVTFSPRKQSFTGKEEIHENSGTRKRCFEKGETSCVKNPKPRKKKKCKASIFGSSDQSQPVLPERLKEMIKDMGGTDEKLIIEKSLYKTDKSETHCRLSIPKNQVRVEFLTDEEKKLLKSGSSNKERKSIEVLLIEPSLQTRKVSFKIWVMGKGLGKKACTLYVFGGCWKSVLKDNGLEINDLIQVWSFRVKSTLCFALVVVKRHSDNA >OMO70310 pep supercontig:CCACVL1_1.0:contig11841:12255:14421:-1 gene:CCACVL1_18997 transcript:OMO70310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSNSKEKGKSLTPTINRQLTGKKMEVFYLFWSILSRFFTSVALSLLLPFRLLLTRYRRRPPPSATQIESVTLYEGTVWHERRRPVRHSFRYSVRYALFDLDHAPHAPTDHLSANGARRIAETTGPVSLLTIPPSVGYEQNPLSIYYCYDLEGSNKLLKKCIAEVTNTPWGERVRFVFNPNSDLVAKPLHVSPFMDMLGNWSLRVNDPGDSLLVTISVQHPELGDYFLATLKAKRKPLASAHDYALFFWLMPHKVAVWIYWHALKLWWKNVSFIQHPRYTNPSYREEALKRDRKLRCCPVVGQNKDEFMLDGGCDPGIVSERNHEDRLFVWRDAKWPWC >OMO70311 pep supercontig:CCACVL1_1.0:contig11841:15076:17602:1 gene:CCACVL1_18998 transcript:OMO70311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIFQGVGAAAALSSSPSNSFDTKKLLCSPRRSFSARKGASFVVVRSDGSVNFDLNHKGRRAQQLITNAVATKADSSAAASSSKPGHELLLFEALREGLEEEMERDATVCVMGEDVGHYGGSYKVTKGLAEKFGDLRVLDTPIAENSFTGMGIGAAMTGLRPVVEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPIVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEDYICNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGNSVKKTHRVLIVEECMRTGGIGASLTAAITENFHDYLDAPIICLSSQDVPTPYAGPLEEWTVVQPAQIVTAVEQLCQ >OMO70309 pep supercontig:CCACVL1_1.0:contig11841:2522:3683:-1 gene:CCACVL1_18996 transcript:OMO70309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tify MRRNCNLELRLYPSSYPTAGDRHDMVEESSNESPQTQQPQQLTIFYNGKICVCDVTELQARAILMIANREMDERIKTPRVKTPTGLGSGSGSGSGSGSEPASPTLQSQAQLCSPNTTTTTTVTGLSMKRSLQRFLQKRKNRIQATSPY >OMO73505 pep supercontig:CCACVL1_1.0:contig11223:27895:30077:-1 gene:CCACVL1_17240 transcript:OMO73505 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like, alliinase MGKIDGSKYIVASITLNLILIINLCVSGKWKLSWSSQAAIEAETVAAISCSGHGRAFLDGLVLDGKQPICECNSCYQGSDCSNFIPGCAANVDGYVPFLLVQHAASSAVVVAGWHRMSYKFNDHTFISQELERLIRKVHDIVGNAVTDNRFIVFGAGSTQILSAAVYALSADNASSPARVLTSNPYYPLYKMQTELFASVNFEYQGDTSLWKNSSDAGTNMIEFVTSPNNPDGQLNKAVLHGPYAKAIYDRAYYWPHFTPIPAPADEDVMMFTLSKLTGHAGSRFGWAVIKDEAVFQRMTKHIGVTSIGVSRDGQLRALKLLKVVLEREGKEIFEFGYETMKTRWEKLVKALSLSKRFSLQDIEPQFCTFFQKLRQLSPAYAWLKCEREEEKDCYAVHKAANIIGHEGSVFGAEDRYIRLSMIGTQDEYDLLIDRLNKLVSEEVGAKTMRRMQPSCSLLKISKKNFTTCNIEILRQYYLPSYISISIS >OMO73506 pep supercontig:CCACVL1_1.0:contig11223:32048:35298:-1 gene:CCACVL1_17241 transcript:OMO73506 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like, alliinase MVKSGFNSKYVQASLVLSSVILNLLLVTNIYLSGQWDISWSSGAAAEAEAIAAVSCSGHGRVYLDGLVDDENTEPICECNSCYSGPDCSHFLTDCIADANGGDPLFLEPFWTQHAASSALVVAGWHRMSYSYNNNGFISKELESLIRKLHAVVGNAVTENRYIIFGAGSTQVINAAAYALSPENSSSPAGIVASVPYYALYQQQEEYFNSEKFKFQGDPYTWRNKSDSRAYTIEFVTAPNNPDGRLNKALLQGPNVKTIYDRAYYWPHFTPITAQADEDLMVFTLSKLTGHAGSRFGWAVVKDETVFNKMIIHMQINSMGVSRDTQLRAFKLLKTALKGEGRELFDFASQTMKTRWEILSSVISLSKRFSLQKINPEYCIFYNKVREFSPAYAWVKCEREEDKDCYAVLQAAKITGRAGNAFGAEDHYVRLSLIRSQDDFDILIERLNKLVLEENGAKII >OMO73503 pep supercontig:CCACVL1_1.0:contig11223:9597:11196:-1 gene:CCACVL1_17238 transcript:OMO73503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAEAGYIQSFVARFNEGHQETRALMAAALFECYIHPSREQPSLSHKLSQSSSLLVSDIRAKQENSLPLLHMMKLFPNMSWCCTASTTNEMSCSIHGFACSNRHTFCLVKADAMMPLLQTLRDTNSGVAKAALMALETLLEDHNTLSHATAAIVESQGVLAILQVLEKGSLSAKSKALDLFLKILNHSKISDTSFQRAEGILIQLLHEDEI >OMO73504 pep supercontig:CCACVL1_1.0:contig11223:14447:27182:1 gene:CCACVL1_17239 transcript:OMO73504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M14, carboxypeptidase A MKMPDNYQPPKFQQFDGKGNPRQHVAHFVETCNNAGTYGDLMVKQFVRSLKGNAFDWYTDLEPGTIDNWEQLEHEFLNRFYSTRRIVSLIKLTSMNWTLRYIFQGIKPKTFEELVTRAHDMELSIAVGGNQEPLVRESTEHKGGKFVTKEVESKQSMAISIKPFKIVSDSKKGHDMATAMMAALYFLFSLSRWDSHRTSPYAPIGRSVDIPPLVQTDANAVTIANEGFPVPPLSATTFIADLSSSTTTPHLVDILYTRYIYNQGSGNDSYTTGARRLFEQDQSQSRIDIARGYMTNAELEKAVKEFGQRCSHISRIYRLYTDWFLNTHFEGALDFGISIGKSVNGFPLWVIEISDKPGVEEPEPAFKFVGNVHGDEPVGRELLLLLANWICDHYMSDPLVKLIVENVNLHLLPSMNPDGYSLRSRGNANGIDLNRDFPDQFFPWNDDEDARQPETKAIMRCDVYPRTKVKPRTVMPNDLGGALVANYPWDGTQDKRRNYYACPDDGTFRYLGSVYSQSHYNMSLSKEFKRGITNGASWYPIYGGMQDWNYIYGGCFELTLEISDNKWPNAKELPTIWEYNKMSMLNLVASLVKTGVHGRVFSSDSGRPLPGSITIKGINYTVKAGRAFADYHRLLVPAERYEVIAAVPGYKSKTTSIWLGEEATTVDFVLDPEVNSEGTLLRSICNCDCGDKSRLHLVEYFWGIHIEVYLVLIVVLAFLCFLLRRRIKFNLSKQRQSPRSVSSQNESC >OMO69204 pep supercontig:CCACVL1_1.0:contig12091:4025:7837:-1 gene:CCACVL1_19601 transcript:OMO69204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MVSLANSKSYNNAPKRKRRCKALQLHETFSCLNRLFVPATAPTTQLSPIALNTSAQLYLKLTSTNFTSWRAQFGALLIGFDLVGYIDGCLPSPPPEIERDDNKIPNPAYSFWLCQDKLILHVILASSSESVLPLIAASKTSCEAWKTLTKMYASRSRSRVMDLKNNLTCTKRENKLVTEYMQQMKHIANEIRMAGSTIDKDDLVLYILNGLDSRASLHVTAKLKDLSINSDYDGTDAMVVGNGSSLKITHSGTTSLNSPTVSYSLNDVLSPSVGHSSSSVPIFNPEVAASPVSNAPSIEVNTSSINIVSITTATNTSSSVPYIPLENRSDSLATHGYLVPLAPQTSSVALIPQDNCSNSLTTHGSSVALIPQDNCSNSLTTHGSSVALIPQDNCSNSLTTHDPTPMTPKLTSVSQALKDSHWQQAMSEEFNALVKNGTWHLVHSSEAQNLVGCKWGFRIKRNPDGTISRYKARLVAKGFNQRPGLDYHETFNPVVKPTTIRLVLTIALQNKWPLFQLEVNNAFLHGQLSEDEYMKQPPGFIDSTYPNYVCKLDKGICGLKQAPRAWYNMLKSFLLAYGFSQSKSNSSLFIYHHDGLTLYFLVYLDDIIASKSSSSAVTDFVEELSRQFSLK >OMO86757 pep supercontig:CCACVL1_1.0:contig09422:11667:18254:1 gene:CCACVL1_09477 transcript:OMO86757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1-like protein MVLVSAVRDYVNRMLQDISGMKVLILDSQTVSIVSVVYSQSELLQKEVFLVELIDSISKSKESMSHLKAVYFLRPTSENIQHMRRQLSNPRFGEYHLFFSNMLKDTPIHLLADSDEQEEFYADFVAIDPYHFTLNMPSNHHYMLPAVMDPSSLQQFCDRAVDGIGAVFLALKRRPTIRYSRASDIAKRIAHESAKLMYQQESGLFDFRRMEMSPLLLIVDRRDDPVTPLLNQWTYQAMVHELIGIQDNRVDLRGLGKLPKDQQEVVLSSEQDAFFKANMYENFGDIGMNIKRMVDDFQQVAKSNQNIQTIEDMAKFVDNYPEYRKMHGNVSKHVTLVTEMSKIVEERKLMMVSETEQELACNGGQVAAFEAVTNLLNNESISDIDRLRLVMLYALRYEKESPVQLMQLFNKLASRSAKYKPGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQIMESIIKGRLRDVDYPFVGNHFQQGRPQEVIIFIVGGTTYEESRSLALQNASSSGVRFILGGTAILNSNRFLKDLEEAQRIARTSTSIV >OMO69400 pep supercontig:CCACVL1_1.0:contig12044:7927:10433:-1 gene:CCACVL1_19527 transcript:OMO69400 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase MLVWHHGSTLQACKCPLCRRHITLLVPGEASLSERQNPAVAEILEKVEKYNRYFGGQSNGLIQRMQDLPFLLRRLLREMTDPQRTLPFVIRARVYLAMFLSAIYIFSPIDIIPEAVLGIVGLLDDLLIALVCFLHVAAIYRSVLYYRHGGS >OMO69397 pep supercontig:CCACVL1_1.0:contig12044:520:1434:1 gene:CCACVL1_19524 transcript:OMO69397 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MPLQSLRPGFRFSPTGEVVLQCYLRDAINGQNLPSDIFTEADLYGDKEPWKLFNPNHSHPYWVFTHLKMKRDSVSVAKQTTTKKKGKGNSKAKKNREEEEEKHDRTAGGGSWKGRSVHDILSSDNGEKLGFDREYKFKLNHDDEGRGSNPNSNGNWIMHEFSIKGCDLVICEIKNLKRKRKGTERDSHDLDDDSRVSKKLDVGDRDHQLQVCAITEEQAGLVDTPLPDDDDDFLKKLDVGDRDHQLQVCPIPEEQSGLVDAPVPDDDDDFVNSLLNSEWDWNFIASDREFSSPLQQQQHIPLVF >OMO69399 pep supercontig:CCACVL1_1.0:contig12044:5220:6203:1 gene:CCACVL1_19526 transcript:OMO69399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MSKIRAYGIWPWLWEIDIITLCKANFAFLVTLSAILLLWFMLTIKKSTKARKSPLPPGPRGLPLVGYLPFLGTNLVEVLPELAKVYGPIYKLWLGQKLCVIISSPSLVKEVVREKDTIFGNHDATIAAKMSSYGGNDIAFTPYGHEWRKLRKVFAHDMVGHASLERTRNLRRKQVTKIIREVYRNAGKPTDFGELAFTFSINTMISMLWGGKVEGAEVVANKEAEFREVFANLTRLLGSPNVSDVFPWLARFDIQGIGKQIKEIAAWFEEFLNALIDARNNSFDAVKEEEDGNGGEKDFLQILLELIGKEDNASSITMDQLKAILMV >OMO69398 pep supercontig:CCACVL1_1.0:contig12044:2105:3093:-1 gene:CCACVL1_19525 transcript:OMO69398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dienelactone hydrolase MRGQRVRCSAVEVENEIDDEACELVNGVELSIGEGDDNIQAFLFKAVKNNNGTGVLLLTDIFGFQDSATRDFAYQVACHGYNVLVPDLFRGDPWGKGRPKTMFEQWLASQDPKRVARDIETSTKWMVDEFTAAGLSKKLGIMGFCFGGGRVIDVLAVDEGGCFSTAVSFYGTRMDLSAASKVKVPVLFISGDNDPLCPVSVLNEFEKGIGRGSKVVIFKGRGHAFAHRPGSPEEDVDAEQAFTLMRNWLHDGLVKN >OMP06934 pep supercontig:CCACVL1_1.0:contig04739:347:601:-1 gene:CCACVL1_01404 transcript:OMP06934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DELRENDSEDELQENDSEDELQRIIYDSEDELQENDSEDELQENDSEDELQRIIYDSEDELQENDSEDELQENDSEDELQRITL >OMO80635 pep supercontig:CCACVL1_1.0:contig10304:13812:15789:1 gene:CCACVL1_12835 transcript:OMO80635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AB-hydrolase YheT MARAPLTLTSKPPLPLPIITPLRHQLRRPTTVRCSAATVTTTTSMPDCHPSLEISGGGVDRFLPAFKTLHLPYKPYPIVGWNRHVETIFAAFYRKFPEVRYRRECLRTKDDGAIALDWVCGDRSLPADSPTLILLPGLTGGSQDSYVKYMLVKARSKGWRVVVFNSRGCGDSPVTTPQLQSAKFPDDMCHVVDHVSSRYPKANIYAVGWSLGGNILVNYLGTEASKCPLSGAVSLCNPFNLVIADENLRKGFNNIYDRALREALCRIFTKNALLFEDMENEFNVQVGLKPKTVREYDEAITRVALGFKSVDEYYSNSCSCHILQNVRVPLLCIQGNPNCMLIVTPQGGHLGWIAGDDAPFGAPWTDNVVMDFLDHLQKDATKPQPSNSSAISEAVQQT >OMO80633 pep supercontig:CCACVL1_1.0:contig10304:4029:8537:1 gene:CCACVL1_12833 transcript:OMO80633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MRDLGIGFLITVLKRTVFAAFTCIFAIGGAIVGTIAGAMKGQTTETGFFRGAGIGSVAGAITAVQLLESLADGESLSKVALLVSLLNGKVFIEWVSPAVLKAYQWQMNSLESTYREISDIYDINGEKGLSRSCIEKLPVHEFHSNKLTRSKEESSCSICLQELKDGELARNLPRCGHIFHIKCIDEWLNRQGTCPMCREHVCNDAEQVFGLHQPKGNLVNIERQQRNIPSYLTINSVYKFPVQFCSLHHFTNTTKKHKAEDKESNSETKGEMAWCSLRSLNVPTIDAGVLRTRSPLAAVGGVVAGSSLWRSSSRKGFPLACLSLSTAPGIKEAVATDKAPAALGPYSQAIKANNLLFVSGVLGLIPETGKFVSDSVEDQTEQVLKNMGEILKASGADYSSVVKTTIMLADLKDFKKVNEIYAKYFPSPAPARSTYQVAALPLDAKIEIECIATLKA >OMO80638 pep supercontig:CCACVL1_1.0:contig10304:31263:33455:1 gene:CCACVL1_12839 transcript:OMO80638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIRGNIPACSYTRLLIPWSSTAKIMELATFCNITLPPCPVQTIPNLKKKRVWDCNFGWLLVSQEKKKCYHHRFSLWKPQSSSKSFKDLPLLILKQKEEIQFAKILSPPDDNDDKSGCTVLLFESVFRNLIFCRIGDKQWNRIWVGKEIDMVGRADARDGIHGNCVTLWGGNLYAATFRRGMLMVMEQNRPNNFKFKPLKCAPPDTIPMAPMASCSNYLFDFCGQLCYLGISMKPGDRNRNNDIISIELFKLELSGNRTEWIKMKSAKDGAIFASDDYAFSCPVNENEPAGIQAGCVYFFRVQDKRLYTFNIEDQSISVSLPLDLELLPHHSQHSPFLAITDIFYRFGYPEAKSKEIINEKVPKDDESTGAQNRDYLSKLPWDILEFIANKLYLVDYINFRAVCHTFQQVLPRINWREASVSVKSEFPSLSPWLICKSRDIHRLIDPHMGGTYLTNIPKSLLEAKIRYSKNGWLLMATGRDTMSLYHPFRKEEMKLPKAPARRGHCFCYGYALSSSSPTSPNTILVGSGSHSICYIRLTAGECDDGWHEYECDDDDYTLFQLQPKNMNNSVIYFKGAFYFLNGLGNLGVFEFNDDDQPSWKTLAELKSPHHHLFQNFLVECDGKLLSVFVDDQLVHVYKLNFEPVMVWEQVNSLGKHALFLSPSGCFSEIPSCSDMENRIYFPKLFGDAIVYYCLTTHKFYTCANNQVVPDFYNTTEFLSSCWIEPRRD >OMO80636 pep supercontig:CCACVL1_1.0:contig10304:16469:19923:-1 gene:CCACVL1_12837 transcript:OMO80636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTGKASLPATFSGRNHTDADLRPTTKRKARSTRWKRVGAQVVGRRSRPETPLLKWKMEERERGRERGGGGASGEVEQELEEEEEGGRRGGGRGRRKKAASTVSARKLAAGLWRLQLPETVTAGAGEKRRDRLGFKPGNDFTGVPFLYHHKDKLYGSDAKDPLQSPGSASVTKNGLLRKIEPSIQFSNSAMEGATKWDPVCLKTTDEVRKIYSHMKRIDQQVSAVSIVSALETELEQARARIEELETERRSSKKKLEHFLRKVSEERAAWRSREHEKIRAFVDDIKADLNREKKNRQRLEIVNSKLVNELAAAKLSAKQYMQDYEKERKARELIEEVCDELAKEIGEDKAEVEALKRDSMKLREEVDDERKMLQMAEVWREERVQMKLIDAKVALEERYSQMNKLVADLETFLRSRTGTLDVQEMREADSLRQAAVSVNVEDVKEFTYEPPNPDDIFAVFEDVALAEAEANERDIEQCIAYSPASHASKVHMVSPEMSMIKKDSVLRHSNVYVNHNDEIEEDESGWETVSHLEDQGSSYSPEGSAASVNKNRRDSNFSGSGTDWEENACGETPMTEISEVCSLPGRQLKKVSSIARLWRSCPNNGENYKIISVDGTNGRLSNGRKSNGSILSPDRGSGKGGLSPPDLVGQWSSPDSSHPHITRGMKGCIEWPRGAQKNSLKAKLLEARMESQKVQLRHVLKQKI >OMO80634 pep supercontig:CCACVL1_1.0:contig10304:10311:12969:1 gene:CCACVL1_12834 transcript:OMO80634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MDGIVVRRVIPSDNSCLFNAVGYVMDHDKTKAPELRQVIAATVASDPTKYSEAFLGKPNAEYCSWILDSEKWGGAIELSILADYYGREIAAYDIQTARCDLYGQERNYSERVMLIYDGLHYDALAMSPFEGAPEEFDQTIFAVQKDRTIGPIEGLALNLVKDQQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYR >OMO80637 pep supercontig:CCACVL1_1.0:contig10304:29144:29536:1 gene:CCACVL1_12838 transcript:OMO80637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFRHQSSTQWAGDDWGTSPASHDEDEEFFGNESKRDTYEYNKGMVNLEEKGLLGNHQKLGYTTTSSNSTHEVKVKITKKQLEELLGRVDVKELSVQQVLAQLISVSNQYEANQRSWRPALQSIPEVN >OMO81078 pep supercontig:CCACVL1_1.0:contig10243:85872:87410:-1 gene:CCACVL1_12627 transcript:OMO81078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTAFDQDKIFVSQFIDACSSLGFSAYAYSVFSFISRHSHVYVYNTMIKALTDSHSAFEALLVYRSIGRAGLRPDSYSFPFALKAVVDFQDLRLGTQIHCQTICVGLDSNVHVVAGLIQMYSSVGCISDARKVFDERLGSFDVAFWNAMIAGYAKVNDPDSAWGLLERMPRTGTYSDSVLICWTTVIASYAQMDRPNEAIDVFWRMQCEDVKPDGIAMLAVLSACAHLGALQIQLGECIHGYIHKHGFDQMVTLNNSLIEMYAKSGDICKALQVFENMNHKTVISWTTIISAMAFHGLGMQALQMFSRMERAQVKPNDITFIAVLSACAHVGLVDLGRFFFNTMSSTYGIQPKIQHYGCMIDLLGRAGQLREALELVNQMPFKPNAAIWGSLLAASNIYADAELGEVALLHLIELEPWNSGNYALLSNIYASAGRWDKSLVTRKMMKDKGVQKMPGWSFIQVSNRAHEFIAGDTSHPQFDWIQKILFSMNEQLNIAHHVQIEYDELLDFDG >OMO81080 pep supercontig:CCACVL1_1.0:contig10243:95932:97780:1 gene:CCACVL1_12629 transcript:OMO81080 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MEFSSCITRDHNTLDPNVYLIKQWQTWSGGLHRVLCMQGGDDDFSYAKNSEAPASAIALCKPLLLNSIQSVKLFFHAKKEAVDSIRIADLGCATGHNTLVTIDMIVQSLRQKYVTMCGFEPEFEVFFSDLPSNDFNSLFQSLSSRQFSDQQQQYYAAGVPGSFYNRLFAKGKLHIAVSLSALHWLSKIPETVLDKRSPAWNKGRVWIEGSKIEVVEAFAKQAEKDLDDFLRCRKEEIVQGGMLFILMGGRPGLQPPQNQLEDPDSRAKHPFTITMDQAWQDLLNEGLIDEETRDGFNIPAYMRGIEEVERAIKRCGGFEIKMIEYKRIMEEKREEWIKDPVSYGRAKANLVRATLRPIVQAHLGPHLSDQLFNRFQLRVSSDFTLLHKTCFYGVIVVCAIRI >OMO81071 pep supercontig:CCACVL1_1.0:contig10243:34149:35270:-1 gene:CCACVL1_12618 transcript:OMO81071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MEEAMASRYWCYQCSQMVNPILEVEIKCPFCQGGFIEEMGSGTRDSQDVDSDIASDRALSLWAPILLGMMGNPRRRRRLRRFDFDDDDDDDNDDGEARHGGETDLDRELESIIRRRRRSSATILQLLQGIRDGMVSEAENSGNDRDRDRDRETSRDRDRERERVILINPFNQTIVVQGSYDSNQGGQNQNSNHIGSLGDYFIGPGLDLLLQHLAENDPNRYGTPPAQKDAIEALPTVKIEENLQCSVCLDDFEAGTEAKEMPCKHKFHNGCILPWLELHSSCPVCRYQLPADESKLESERSRSNTNQRETESNANGSGEDNEGDGRSGNGRRFSFPWPFNGLFSSGSSQSGGGNSSSTSSSSHTGSASQADEN >OMO81074 pep supercontig:CCACVL1_1.0:contig10243:48993:61986:-1 gene:CCACVL1_12621 transcript:OMO81074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein MASRLEIEEEREGDQVETTDLFFDKIGEAVPVRSQKDSKFDTESPPSQPLAVSQRFQLLFLAHSSGFLVARTKDVIDLAKDIKEKGSSSSIEDLSLVDVPIGKLNILALSIADESTLAVSVAADIHFFNVDSLLNKDIKPFFSASLPQSSSSVKDFKWRKKDNSFLVLSDDRKLYHGTPTRPLKHVMDEVDAVEWSLKGAYAAVAKDYSLSILSSKFNEKLCISLSLPETGDSNDEGSVKVDTIKWVRADCIVLGCFTSTKEGEEESYFVQVVKSKTGKITDATSSLVVLSFSDLFAGLIDDILPYRTGPYLFLSYLEQCELAIAANRKNTDQHIVLLSWSLGEAGEASVVDIGRDNLLPRIELQENDDDNLIMGLCIDKVSLYGNVKVQLGAEEVKELSPYCVLICLTLEGKLIMFHIASQIWVYCSAEEHAVPLDVSALSDNEEDSSAVVNEEFNLPKLTYGQGEQKSEQVALALPLPDKSKMEQPTRGSSEIPFKSDANLSQRNANSVLHATDEAFLKDDDTKRSLSTENSRSFEAIDQQKPPTAKLYPESGDQLKLLSGQHSANSGQSFFKTSQLEGPGNKVGDGNQTEMQKIAGSGSIASFAGKFSNDASTQRKHDNLPTKFELVKESAGKTGSNGLQSASFQPWTNQSSQSFTSGKFMVSEESDARSPFSASTHNQYNRSQAPVVTTGATKISSSNAGIPSHVKDTSATSFSVNKFSGTPVDIGTQKSSIGAGNIESVPLIRGSQLPSQLNFALEKTPNPKLYPPKDDYKSSTQSVMLKSEPHLSKQFSNIKEMAKELDALLESIEETGGFRDACTVFQKSSVEVLEQGIASLSDKCWRWKNIMDEQLGKTQHLLDKTVQVLARKIYMEGIVKQASDSQYWDLWNRQKLSSELELKQRHILKLNQELTNQLIELERYFNTFELHKFGDNNGVHAGRRALQSRFGPSRQIQSLHTLHNTMNSQLAAAEQLSECLSQQMAMLSVESPVKQQNVKKELFQTIGLAYDASFNSPDVSKPSNTSSVGKLVLSSGSASSRNQSRRNQSSALKSSDPETARRRRDSLDQSWASFEPPKTTVKRMLLQESASTKRSSFTDMQNRSPYASTGSKSSLSKEWTATTATLYQSGNEVKTGIQDALPKQESQSTLFRWANNSPVPPQSAGWKSSTGQTSSFPALTSTSGSHPIMVQNRSWESSSATVAKPNSGPSRFERSNISSFNENEIQSTLQSRPTQELLISQVASLPRISTDGQGTVLANSALGGVKQVASTTKSTLFGSSNNRDVQLSPPAALSASPNLSAKVSHFNIVTSKGQPREKVPESSAFSKPVSDISSSTSSLSSSFSTMPPSSLPSISPPVSVSSSTTIASSSAPKFSFSTSSTSEILKKEVDLTTQSLPLQSELKAVQSSLKPESVVSSAPISETPTTVSSGNQTSVVDVASPASNLASNAQPVLSSPASALASNAQPVLPTPASSLASSAQPVQPSTGDTQLKAPLSASIGTADGKNESLDVTVTQEDEMEEEAPETNQSTELSLGSLSSFGLGSTPNPAAPKPNPFGAPFGIVATTPASTSFPATIPSGELFKPASFSFQPPQPSQSAQPASFGAFSGGFASSTPGQAPAPGAFGQPARLGAGQQALGSVLGSFGQSRQLGTGLQGSGFASASGFGGGFSGSQSTGGFSNAATGGGFAGISPTSGGFASLATGGGGFSSLASGGGGFGGLASGGGRGFGGLGSGGGFTAAASGIGGFSGAASGGGGAFGAAAPAGGGFAGAASGGGFGTFSNQQGSGGFSAFGGGAGQSGKPPELFTQMRK >OMO81082 pep supercontig:CCACVL1_1.0:contig10243:103688:105555:-1 gene:CCACVL1_12631 transcript:OMO81082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MSVNLLLFLCLWASSFLVTRSEDPYLFFDWNVTFGTIAPTGIPQQGILINGQFPGPNINSTSNNNVVINVFNNLDEPFLLTWTGVQHRKNSWQDGTLGTGCPIPPGKNYTYHFQVKDQIGSYVYYPTTAMHKAAGGFGGLRINSRLLIPVPYPDPADDYTILIGDWFSKGHTTLKKMLDGGRNLGRCDGVHINGRNAKGDGKDEPLFTMEPGKTYKYRICNIGIKTSLNFRFQGHNMKLVEMEGSHTVQNIYDSLDVHVAQCFAVLVTADQEPKDYYVVASTRFTRRVVTATGIIRYTNGKGPASPDLPPAPVGWAWSLNQFRTFRWNLTASAARPNPQGSYHYGGINITRTIKLANTAAKVNGKLRYAINGVSHVDPPTPLKLAEYYNVADKVFKYDIITDTPPASIQEIQLAPNVMNLTFRTFIEVIFENRETAIQSYHLSGYSFFAVAIETGTWSLEKRKNYNLLDAVSRHTIQVFPNSWAAILLTFDNAGMWNIRSEIWDRHYLGQQLYASVLSPERSLRDEYNLPDNTMLCGGIIENMPKPPPYSI >OMO81070 pep supercontig:CCACVL1_1.0:contig10243:29279:32627:1 gene:CCACVL1_12617 transcript:OMO81070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MEMNGGAQMERKAKTKIVCTLGPASRSVEMVEKLLRAGMNVARFNFSHGSHEYHQETLDNLRAAMNNTGILCAVMLDTKGPEIRTGFLKEGKVQLKQGQEITITTDYTIKGDEKLISMSYKKLAEDVKPGMVILCADGTISFTVLSCDKAKGLVHCRCENSAVLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNQIDMIALSFVRKGSDLVEVRKLLGKHAKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPDLAVQTMSRICAEAESTLDYGDVFKRIMEHSPVPMSPLESLASSAVRTANSAKAVLILVLTRGGSTAKLVAKYRPGMPILSVVVPEIKTDSFDWSCSDETPARHSLVYRGLVPVLYAGSAKASHEETTDEALDFAIQHAKSKGLCKNGDSVVALHRIGTASVIKILTVK >OMO81072 pep supercontig:CCACVL1_1.0:contig10243:40176:41325:-1 gene:CCACVL1_12619 transcript:OMO81072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCCCSQNLRDGIQACVRDYDRLQHIAVVLIYIQIGCALIGSLGALYNGVLLINLAIALFALVAIESSSQSLGRTYAVLLFFAILLDVSWFIFFSHDIWSISSSEKESHGILFIISVQLTLAMEILGFCVRLCSSFLWIQIYRLGVSYVDSVGGPRDADFDLRNSFLSPATPSSALLRQCSNNESDDALGGAIYDPAYYSSLFEDRQQQNRNSFLGHNDGISGNVSPSGGASPQLKPSPVRPLEAIDYSELLSGIGSLDLRDISIQK >OMO81077 pep supercontig:CCACVL1_1.0:contig10243:79506:84412:1 gene:CCACVL1_12626 transcript:OMO81077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKKSIQNLGETIHSLLGLKSHLTSTWVKSVCDIIKKLPHEGSIDNNKDEEESAAAISMIKEELAGLNDCIKQLNIQRRQLLNDLLDLKGNIRVFCRVRPFTLGENLGRLAFGPVVALDTSNLILQLGDNKSKKYTFDKVFHPGSSQDEVFSEVEPVIKSVLDGYNACIFAYGQTGTGKTFTMEGISDSPGIVPRTIEALFKQAGDSNHTFLITFSMLEIYLGNLKDLLVPQPIRAMDPLPPCLSIQMDPKGGIEIENLVSIQVRDIYQALKLYKLGCRLRSTASTNSNSTSSRSHCMIRISITCYNAPERRRETNKIWLVDLGGSERVTKTKAWGRRLDEGKAINLSLSALGDVINALQRKKNHIPYRNSKLTQVLKDSLGEDSKTLMLVHVSPREEDLCETVCSLNFATRVKSVHLGHEESNEGRSLKEAAMENLQKKIEKIEDERQNIRGKINTLNNKLEGLTRAGQSLEQQVEVSNFSTEGLPPELDSINNRIGNVTTAPPSQLPRFMRSTICSRKKSGIERQTSVGRDPAHRRWRRQSSKRAESVTFPVRNNSECNSEHSISRSTCAGELNMKASIDNDTVCSHDTSECDIKMVVFPEQEITQVASDNQRTSQVSPLEKNGSRKTNKRNTTKILKVDQWLDLQEIEPSISGYAPRNRWVLDIPIPEKKNRLKGREKEEISCNERVSTYDFKMQRNHSKKEKQAALEVAGRYVSGRVVDKPPTLKDLFAEESRSSFISAPQATEGQTIKHTENSLDDTLIEDNQGGSPPDFCYDDLEQVDNEYEFYATPMVHAVEDTEQYSDSPTSKSSVYQFSPSNLHNHTSNSQEIFGACTVASELECYHKEVPCKVATEVGQKEDMTASPQSSPKEIRQSLCSLKSQRALFMDDIKEKDFKMTLISQEITQSKGACYILKQKVQISWASALLGLGFLDLGFEHDFFYGLML >OMO81069 pep supercontig:CCACVL1_1.0:contig10243:21874:27132:1 gene:CCACVL1_12616 transcript:OMO81069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVYSIFILLVFFIASSCFRSASSAPKDPFLGISPQDENYYKSSSDTIKCKDGSKKFSKSQLNDDFCDCPDGTDEPGTSACPTAKFYCKNAGHVPQFIFSSRVNDGLCDCCDGSDEYDGQVKCPNTCWEAGKVARDRLIKKITTYKEGVTVRNQEIEKAKIAIAKDGAELTKLKNEEKILKGLVQQLKEHKEQIEKAEEKERLQKEKEEKEKREAEEALRNKTKVEEEGKVENEKVEQEASSEDKPIESTHDDSIGNIDDSSLDEVRLDLEVTNDDDHPAPAAEDEKHDTSKTEGSPLDIVEEHGSENKHAASAKHEDDSAVATEIDHDAGNKVSPDEVKKVESDASETTEGLSKEELGRLVASRWTGEKNENQGNTHDNADESHEEIPRDTHDEQYDGYASESDEDTGKYDDSGKYNDDDIDDELEENYEEESHDDTTSYKSDTDDEPYLSDTTSQNNPSWLEKIQQTVKNILKAVNIFQAPVNISEAARVRKEYDESSAKLSKIQSRISSLTKRLEHDFGPEKEFYSFCDRCFEIKQNKYVYKVCPYKQASQEEGHTTTRLGRWDKFEDSYRTMVFSNGDKCWNGPDRSMKVKLRCGLKNEITDVDEPSRCEYVALLSTPALCLEDKLKELQDKLDSMNKEQPQGHDEL >OMO81073 pep supercontig:CCACVL1_1.0:contig10243:44921:47683:1 gene:CCACVL1_12620 transcript:OMO81073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEEGVGGGAVGGGSGNANSATAATTAWVEELQKSFKDSKESAIRSARSLQQNSSTHIRSFQDFLPHAFSRYKSYEDAFVNKFKEEVISAKEHPAAAIGIAATAALVLFPGPRRFLIRNTLGRFQSEEARFLKAENNIKELNLSVDMMRKESSKLLERAALAEKEMMHGHKELKNAGGQIQHLAKSVYKVEAQAADLMDVLREIPGRDALKLRAEVASMVSVLRQQRASLDKRIMKISELGIPV >OMO81068 pep supercontig:CCACVL1_1.0:contig10243:19585:21527:-1 gene:CCACVL1_12615 transcript:OMO81068 gene_biotype:protein_coding transcript_biotype:protein_coding description:NusB/RsmB/TIM44 MEGIRSSCVLSCYSSKSHFGLQHLQPFIEYPFLSLPLRLPPKRGLTTANPRLRSCFPSMALQVQVQDQQAFHKSKEMLPKIDKSGRFCSPRAARELALLIVYASCLEGSDPIRLFERRINATREPGYEFDKASLLQYNHMSFGGPPVTTQSVEEADELLRNDEQDSAIEAEVLSAPPKLVYSKLILRFARKLLVAVVEKWDSHVLVIDKVAPSNWKNEPAGRILELCILHLAMSEITVLGTRHQIVINEAVDLAKRFCDGAAPRVINGCLRTFVKDHTGTNVAQASSDSKQEVPVEV >OMO81075 pep supercontig:CCACVL1_1.0:contig10243:63328:67021:1 gene:CCACVL1_12622 transcript:OMO81075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLVNKQSSSSFSVLFLLLTLLLLPCFLVFGSVFVHGTTTSRDEASTRQEEANALLKWKNSLDEQSQNFLSSWVGNYPCHWIGIICDNSRRVSQLNLSSSGLKGKLYDFHFSSFPKLTVLDLANNSLNGGIPSNISTLSGLTYLDMSSNNLSGNIPFEIGELRSLSELYLEKNILTGSIPTSVGNLTGLFFLYLFKNKLSGVIPQQVGMLNSLNLLSLSDNNLVGSLPASIGNLSNLYELRLYNNKISGPIPQEIGKLSSLEYLSLSNNSLTGKIPASIGNLTKLYSLYLYSNRFYSSVPEEIGRLTSLVDLELAQADLTGLIPASIGNLKNLSYLYLHHNQLSGSIPSSIGNLTNLIELLLQDNRLNGSIPWELGKLPSIIDLRLFKNSLNGFIPAEMNNLTGLKVLLLSENYLIGHLPQQVCLGRALENFTAHNNYLTGPIPKSLKNCTSLYRVRLEHNELTGNLSEDFGIYPKLDYLDLSDNKLHGKLSPKWGQCHNLASLSISNNNISGEIPSELGKATLLQVCDLSSNNLVGEIPKELGDSKLLFNLMLNDNHLSGNIPSEIGMLSGLVRLNLAANNLNGSIPRLLTKCEKLLELNLSSNVLSGGIPSEFGSFSFLEILDLSQNSLCGEIPKQVGNLKTLERLNLSHNKLSGHLPSTFNDMLSLTSVDISYNQLQGPIPNIKAFLEATFEAFRNNKGLCGNIIGLEPCPSNVNPTPVRKKTNKIVIISTVVPILCSLLVVFGILFSIKQREGSADTENNTARIAETQNLFAICNFDGKVLYENIIEATEGFDSKYCIGVGGHGSVYKAQLSTGQIVAVKKLDPLLECGVEEEEDQRQITAFHNEIRALTEIRHRNILKLYGFCSHPRHSILVYEFLEGGSLEKILSIEEQAMEFDWIKRIDVIKGVANALSYMHHDCFPPIVHRDVSSKNILLNSEYVAHVADFGAARLLKPNSSNWTPFEGTFGYAAPELAYTMQVNEKCDVFSFGVVTLETLMGRHPGDLISSLSVLSSWFSSSSPETSSSDDLRVKDVLDQRLQPPRKQIAGHLVFIVKLASSCLHASPQSRPSMQQVSRELSAKNPYPLSLKQFDTLTLRQLLDSSYSNLVTGVSK >OMO81079 pep supercontig:CCACVL1_1.0:contig10243:89492:93447:1 gene:CCACVL1_12628 transcript:OMO81079 gene_biotype:protein_coding transcript_biotype:protein_coding description:PUR-alpha/beta/gamma, DNA/RNA-binding protein MEGNSGGGGGGGGSGSGGGERAAGGGGGSGGGERGGNDVELVCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPSSGISWFLDLFNYYVNSDDHDLFSKELQLDTKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSTRDEGWVAFRNILAEINEASRLFILPNQQSSEPSERLVGLSDDVGAGFISGHSSQPASGSDLNVDRSVDLPAQDEIGNMGVSKVIRADQKRFFFDLGSNNRGHFLRISEVAGSDRSSIILPLSGLKQFHEIVGHFVEITKDRIEGMTGANVRTVDPPQR >OMO81076 pep supercontig:CCACVL1_1.0:contig10243:69033:71046:1 gene:CCACVL1_12623 transcript:OMO81076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKIFALLLVAVASFAAAQISSASSVPAFLWSPGFSSIEVKESVNYQIISPKDLANSVLNQGGWSDLLCSGRKQENPVDLAIVFVGRELHSSDVVGNKHADPALLNLLKASYTRSNFSMAFPYVAASEEETMENLLVSGFTEACGHGLGVGNVAFSESCSIEGGDFQKLANSHSIHDHLVSRMEKRGKGETDLVVLCHGGSHSVEELDQPRPESEILSEVISSVEQSGTKYAALYVSDPLKPVHYPAYRELERLLAEADNGSAPSTGCDEVCKIKSSLLEGVLVVSNCPYP >OMO81081 pep supercontig:CCACVL1_1.0:contig10243:98618:101005:-1 gene:CCACVL1_12630 transcript:OMO81081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MGKVILLILACFFCGAMISGVQGEDPYLFFTWNVTFGFIAPLSGVPQQAILINGQFPGPNINATTNNNIVINVFNNLDEPFLLTWSGIQQRKNSWQDGMLGTNCPIQPGQNYTYHFQVKDQIGSYMYYPSTDMHRAAGGFGGLRVNSRLLIPVPYADPADDYTVLIGDWYIKSHLILREFLDSGRSLGRPDGILINGRQKSDEPMFTMEPGKTYKYRICNVGLKNSLNFRIQGHKLKLVEMEGSHTVQNVYESLDVHIGQCLAVLVTADQPPMEYFMVVSSRFTKEPLLSTAVISYTTGKGIKASPALPPAPTGWAWSLNQFRTFRWNLTASAARPNPQGSYHYGAINITRTIKLVNTVSRGSGYKNLRYGINGVSHVNPETPLKFAEYYNIADKVFKYDVIRDDPPPKITNLTNEPNVLNVTFRTFVEIIFENHEKSLQSYNLDGHSFFAVAMEAGRWTPEKRMNYNLLDAVSRHTIQVFPKSWVAILLTFDNAGMWNIRSEIWERTYLGQQLYASVLSPTRSLRDEYNIPDNAPMCGVVEGLAKPPPYTI >OMP10565 pep supercontig:CCACVL1_1.0:contig02189:718:1023:1 gene:CCACVL1_00872 transcript:OMP10565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSGGFTVTRTHTDNRFYNPPARRHLLKQQQLQQIQGQLQKELERPVKTDSRVNSVDSMSEVAKESDVSTLSSPSYNLTNLDRLMESVTPLVPVQYFSEV >OMO90587 pep supercontig:CCACVL1_1.0:contig08458:31691:33308:-1 gene:CCACVL1_07336 transcript:OMO90587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFVHPYFSSAFLKISLCFVVVVGLTAEGLKYYDVVEGKGPIAEKGSTVQVHFDCIYRNITAVSSRESKLLAGNRIIAQPYEFKVGATPGKERKRDFVDNPNGLFSAQAAPKPPPAMYSVTEGMRVGGKRTVIVPPEAGYGQKGMNEIPPGSTFELNIELLQVMPPEENNWRSMAMGKGLSIPVALRFSTLLAFSTECNLRNKVLPWVHSV >OMO90588 pep supercontig:CCACVL1_1.0:contig08458:38727:38822:1 gene:CCACVL1_07337 transcript:OMO90588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLDQSIPLPNPNSFPLQSFPAREKSMGERE >OMO90586 pep supercontig:CCACVL1_1.0:contig08458:27667:31188:1 gene:CCACVL1_07335 transcript:OMO90586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin I MEVSRFALFVFTIAILASPALSDLVLSRVDRRIYLTSQIVRISSTLKVENTGPETVSEVLLAFPELQAKNLAFLMATPHEGKGKVKNTVISLPIKAANLKDVPPALAFYSVSLPKGLAEGDTFTFDVLAVFTHALRPIPEQITQGDRQLVVFQESAYYLSPYAVKVQSLSVKLPDSDIESFTKLEKTTHQGSEIKYGIYENLPAYSYSPIVVHFPSNQPFAVAKELVREIEISHWGNVQVTEHYKLIHGGAQSKGEFSRLDFQARPNVKGASAFRYLVANLPPRAHSVYYRDEIGNISTSHLWGDSKKTELLIEPRYPMFGGWRTAFTIGYGLPLLDFLYESEGKRFLNITFSTPVVELVIDTLIVKVVLPEGSRDISVSAPFPVKQLQETKISHLDIDGRPVVVLEKNNVVPEHNQYFQVYYKFNKLSMLREPLMLISGFFFLFVACIVYMHADVSISKSSASYLAKEQWEEVKAATQQIQNIISRCLTTHYKLEASLRDLSRTGDVQACKAARKTADGLLKELSKELKPLLTILQSSPSAAQILPKVEELVAKEKELQEKMMLKHSTVVDGYEKKSGARDIENRVALQQQKLAALRQEVDDLLEFIDEI >OMP05214 pep supercontig:CCACVL1_1.0:contig05482:14419:15425:-1 gene:CCACVL1_02002 transcript:OMP05214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLSTSVLSIKWTIQGKPKSFLASIGGDVIIRVNSKFTLNQISGQVVEHEELWDLSSSSVNAQAFFWASRRLFATIEAGKDLADGAKDLSTRFPPKQENVEVYPDPSGDPTKFFQRDDGFQRDVYQIALFLAVVYFVVQFLRTTLLSMSIIVPKPNSNFGSNASGFRLKKKNQERSLYLVELADTAN >OMP05213 pep supercontig:CCACVL1_1.0:contig05482:9585:10030:1 gene:CCACVL1_02001 transcript:OMP05213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSIGGRKKAKVMKVDGETLKLKTPIRAWDVVKDYPGHVLLDSEAVKHFGIRAKPLEPQQDLKPKKIYFLVELPKLPADEDYNKAPRRVRSGGIHMSAKERDDGQNEGAKVSNG >OMP08343 pep supercontig:CCACVL1_1.0:contig03848:4040:4111:1 gene:CCACVL1_01119 transcript:OMP08343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISTLVKRFEPLPKHQMNMKLGQ >OMO61810 pep supercontig:CCACVL1_1.0:contig13409:1132:3294:-1 gene:CCACVL1_23229 transcript:OMO61810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDFKTRDGGEFGDPDPWRLKTFFKRQLIWPIVVEAFRHSGGH >OMO61811 pep supercontig:CCACVL1_1.0:contig13409:19501:21903:1 gene:CCACVL1_23230 transcript:OMO61811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance, DNA-dependent ATPase MKLVDSLGKWDDELCTSGTITGVGKYLKGQNPNIKLNGVELVESPVLSGRKAGKFLVCTDITDAACNNLGTWVPTEAMQLSAKILENLPDGVRLRGDINVLPDRREFHLEGGPMVLADGGVVCIDECDKMRPEDSYIYMEQQTISIAKAGIITVLNSRTSVLAAANSPSGRYDDPKESDDGGPVEASASVPETAWS >OMO88440 pep supercontig:CCACVL1_1.0:contig09019:14794:14865:-1 gene:CCACVL1_08392 transcript:OMO88440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGKSELTSLILSRLRNFDLES >OMO50914 pep supercontig:CCACVL1_1.0:contig16030:12187:12330:1 gene:CCACVL1_30147 transcript:OMO50914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSSFSRLLFWVLQVKPLPSRPLEEYARLKMIGEVSTVGKEREDHSLQL >OMO52278 pep supercontig:CCACVL1_1.0:contig15552:13928:14284:1 gene:CCACVL1_29285 transcript:OMO52278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGCVYEGSIVMEDSLIERRPYHRNCGCALHKLKGVCSSACSRPRNLSFPKKHKWNDCSLSLSNSISPSKFSSQSSLPAPDGCSVSGQEDAIAALYVTHSHIPTSYIAKMNQNSEAQ >OMO52279 pep supercontig:CCACVL1_1.0:contig15552:18612:22590:1 gene:CCACVL1_29286 transcript:OMO52279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRCVLEGSLAIYEVEMERRPYHRNCSCALHNLKGICSSASYAAFFSRKISFPKKQAWRIDCSLPIPIGAAAPPKFSSQSSLLLSDLAEELAMGLHTTITDRVFLDFSLCPTYFRSTTIPNPSTKNASAADSPLCSDPTPLGRLVLGLYGNLLPLTVSTFKLMCTSSSYKNTVVHKIFPGQFFQAGRQGRDREYGEVHLRPNLEFPRNTETVDSKAFLLKHSRAGVVSLCLSENDDDDEVKLNSDYRNVEFLITTGPGPCPQLDNNNIVFGTVLEGLDVVTSIASIPTYKPSERIRQLNDLAEFFGDERAQKGRTLWNRPLKTVYISDCGELQVARPSLSPTLP >OMO52280 pep supercontig:CCACVL1_1.0:contig15552:27186:27281:-1 gene:CCACVL1_29287 transcript:OMO52280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGKEEQLTQRELNHAFGRNRGERTKMKIG >OMO52281 pep supercontig:CCACVL1_1.0:contig15552:29312:29395:-1 gene:CCACVL1_29288 transcript:OMO52281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVVVECKAAAAAEVAMPIERVAIKM >OMO52282 pep supercontig:CCACVL1_1.0:contig15552:38591:43782:1 gene:CCACVL1_29289 transcript:OMO52282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDGFLSDHAKDMHTLALNEPGASASAAAAAAAAVAADVDNWEKAKCKAEIMAHPMYDQLLEAHVACLRVATPVDQLAKIDAQLARSQEVMTKYSAAAAAAAAEEELDHFMTNYVLLLGFFKDQLQQHVRVHAMEAVMACWDLEQSLQSLTGVSPGEGTGATMSDDEDEVVDSDTSLFDGSFDGIDSMGFGPLVPTETERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSLLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSLKSKRKRGMVLTYIPYEQLMEEFHGTNGFNHDKNSNTSDLDL >OMO52283 pep supercontig:CCACVL1_1.0:contig15552:44505:44921:-1 gene:CCACVL1_29290 transcript:OMO52283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKQGDEVDEDEVWDNGCDWCSLFCLKRRRETDSLLHHGGDNQTAAETWWKHKLKKVKQVSEKVAGPKWKNFIRKMSGYFNKRKAQKNRFQYDPYSYALNFDNSDDNGYGGDEYLVHQDFPHRFAPPFADDQKQRAGS >OMO52277 pep supercontig:CCACVL1_1.0:contig15552:10825:11664:1 gene:CCACVL1_29284 transcript:OMO52277 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin carboxyl-terminal hydrolase 1 MVKPWKKRRTGLVKEKKKGTKKKERKNNSTVAGSSLPPAQKQPAVVTEFQMVDSQREELEKPATVEGTAKGSGPAKPGQSDPKCVQQTTGDDVVMEEASNEESSKIDKGSKEETGAGAVQGSLFWMNRCIQRRNF >OMO78968 pep supercontig:CCACVL1_1.0:contig10523:10069:10791:-1 gene:CCACVL1_13970 transcript:OMO78968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFYQEEQPRQSKRCKFLATVLKEAFSNCHTFNGRLSASVREEENSSDIDDESEVVVSEIRSRAMEKMKRRPSFIADSYSWVLSPSTGEIFITSKLAKRRDKENQEDDEKDDEFFSVGSCFSLCSSAASREAFLSAKTNFSRCSSLNKGDLPLPEIWKFDLHDLRRRSIIQEFCHCEGWPFGLCRKAVLLPPLPKSPSESWSWRKGTRLAKTPYV >OMO78971 pep supercontig:CCACVL1_1.0:contig10523:16051:16221:1 gene:CCACVL1_13973 transcript:OMO78971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARRAEDKKEKEIQTELGSRRRLKFHLYYFALDEFGVSQRAQIVWLRLSAGEGR >OMO78967 pep supercontig:CCACVL1_1.0:contig10523:7285:9358:1 gene:CCACVL1_13969 transcript:OMO78967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMVALRSIYRAACFRSSRFAVAAAAANHNRHLGSRSFFSLSSPSASNLPRNLPSGGGSSFAVRLGSMRNFSDDVTHMPAIKDPEILNVFKDLMAAGWDELPYQVVKDAKKALSKDTDDKAGQEALKNVFRAAEAVEEFGGVLISIKMELDDSIGLSGENVKPLSEDLAKALRTVFQRYSTYLDAFGPDETYLKKKVETELGSKMIYLKMRCSGLGSEWGKVTVLGTSGISGSYVEQRA >OMO78974 pep supercontig:CCACVL1_1.0:contig10523:31094:32540:1 gene:CCACVL1_13976 transcript:OMO78974 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MKILVTGASGYLGGRLCHALVSRGHPVRALVRRTSDLSGLPSPSDGALLELAYGDVTDFQSLLAACSGCDVIFHAAALVEPWLPDPSRFFSVNVGGLKNLLQAAKETKTVNKIIYTSSFFALGPTDGYIADENQTHPEKFFCTEYEKSKATADKIALQAIAEGMPVVPVYPGVIYGPGKLTTGNVVAQLLIERFNWRLPGYIGRGNDRYSFSHVDDVVEGHIAAMEKGRAGERYLLTGENASFRHVFDIAAIVTGTSRPKFNIPLGLIEVYGWISVLFARITGKLPLISPPTVKVLRHQWAYTCDKAKLELDYRPRSLKDGLEEMLPWLKSLGVIKY >OMO78979 pep supercontig:CCACVL1_1.0:contig10523:53698:60642:1 gene:CCACVL1_13981 transcript:OMO78979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGRLGSYISRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKTREKVVSINVNGVDANFHMFLDHKGEAYFLREVDAEEGESESLSFPFSSGDETDEPLSGNNRRPMKSKSCNYDAGKSNSTGQIDVSNGKILARTTSRRSRIFGLVFGQRPPKVDGYLEGADGTGMTRTDSLDRAELAADLLEVKWSTNLASSRVNNKASRFALTTDILDNKSCEGDTQINDEENQFCSSVQNKEENSIDHQALLDETENLNAQKVSCSHSGLENVEYSAEESSLQVSCGSTNQQVVEISSLGEGLIANISSTIDECSVGNSDHNGNKTGAVSGMSSPELQSQYKIETCNDQLFDEEPVDNERNAVLSRCGSSNMETVSDRVESFRYCETSERSVVTLDVSSEQTHETLCLSDVGNGELHIHTETLVTTTELAPEVSVHEQADTMELDSGGAVTVSESYSQLVSVDPVIGSVEEIKPHSIHTTSTVRDLGDQVKDENNNKNVPHSSLGSVDDSRGDSEPKRSFPPSESSEDEQFLFSDLDEFKHHEPDYVNKDLHPSICTENEEVNGFFNANNESYVNYDKFEPENQPTDPENSVEKSRMVSSPINICRNHRVPGEKDGLQVESLPNMWSPVAKFDANDHRPLSHSLDSNSETMKWTTIKKDDTRCITSDADEEQTLTHERSSSEDSNTAGKLKDALHNSAVEISLCKHLLYEGMGAEAASQMFDAEKLDSNKFGSLGPSVLKNDRLVVRIGGRYFPWDAAAPILLGMVVFGSEIFEPKGMIPVDRVEKSIEGDPSKAIISRSGSWRLWPFSLRRSRSRKAVQPAPVEMEGSQTLDAADDTVVSDNDKNLLTAKQVKKMIRAITPTSEQLASLNLKDGMNHIAFTFSTPMLGKQQVDARIYLWKWNTRVVISDVDGTITKSDVLGQFMPLVGVDWSQTGVAHLFSAIKENGYQLLFLSARAISQAYITRQFLVNLKQDGKALPDGPIVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDCNPFYAGFGNRDTDEISYLKVGIPKGKIFTINPKGEVAVNRRVDTKSYTSLHALVHGMFPPTASSEQEDFNSWNYWKLPPPLIDI >OMO78973 pep supercontig:CCACVL1_1.0:contig10523:27086:30136:1 gene:CCACVL1_13975 transcript:OMO78973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERREGGIVVMFAWMSSQEKHLKNYVQLYSSLGWNSLVCHSEFLNMFFPEKAAALALDLLKELVEELKIRPCPVVFASFSGGPKACMYKVLQMIQGYCEAPINPDDLQLVKECFSGHIFDSSPVDFTSDLGAKFVVHPTVIKMSHPPRIASWIANRIASGLDSLFISRFETHRAEYWQTLYASVSLGAPYLILCSETDDLAPYQIICNFARRIQELGGDIKLVNWNGSPHVGHYRHYPVDYKAAVTELLGKAAAIYSQRIQQLEGERMGLAGTHDEISEPISNISKTALSANQSFQGTPLVQSDHFLLPSSVEYYEGRDFGSVQDEHKEGLIRLPHPPSINVHGVLGQILFDVCVPKNVEEASRGQMKERNALRSVPIAN >OMO78977 pep supercontig:CCACVL1_1.0:contig10523:39259:43009:1 gene:CCACVL1_13979 transcript:OMO78977 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MSSRGDHQTVPLSVLLKRELANEKIEKPEIVHGQANQSKKGEDFTLLKTECQRAMGDGVTTFSCYGLFDGHNGSAAAIYTKENLLTNVLNAIPTDLNRDEWVAALPRALVAGFVKTDKDFQERAQTSGTTVTFVIIEGWVVTVASVGDSRCILESAEGEIYYLSADHRLDTNEEERERITASGGEVGRLNTGGGAGIGPLRCWPGGLCLSRSIGDMDVGEYIVPVPYVKQVKLSTAGGRLIISSDGVWDALSAEEALDCCRGMPADAAAAQVVKEALQSKGLRDDTTCIVVDILPHEKPAASLPPPKKPGKRMFKAMFRKKNSESSSHADKEYMEPDVVEELFEEGSAMLSERLDAKYPLCNMFKLFMCAVCQLEMKPGEGVSIHAGSSDKVKLRPWDGPFLCSTCQEKKEAMEGKRPSGDRHSSESD >OMO78980 pep supercontig:CCACVL1_1.0:contig10523:61490:61777:-1 gene:CCACVL1_13982 transcript:OMO78980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAIKTRSCYADGKRDMTIDEFKRWLSKFDEDNDGRISKDELADAIRASGGWFARRKSKQAIQAVDANGNGFIDDNEIKNLVEFAEKHLNVRILFF >OMO78982 pep supercontig:CCACVL1_1.0:contig10523:64294:64533:-1 gene:CCACVL1_13984 transcript:OMO78982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MPIFVSKSASIKLTEEQLKKIFKDHDSNKDGRLSKEELSNAFRKMGYHKPGRKVNRAMKHADINKDGVIDQSRLQGQLI >OMO78969 pep supercontig:CCACVL1_1.0:contig10523:13569:13955:-1 gene:CCACVL1_13971 transcript:OMO78969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGEPNPLFSVFDGIKTFPLTPEALMAEINTAITNLEYARAKAMLDSPSSSLSRNKGHDSPQYDARMADEAFKAGCAALAAGKLDEAFHSLNVSLSKCPPEKTSAVAKLQSLISLTSQQLQKSSVAN >OMO78981 pep supercontig:CCACVL1_1.0:contig10523:62428:62715:-1 gene:CCACVL1_13983 transcript:OMO78981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAIKTRSCSIDGKRDMTIDEFKRWLSKFDEDKDGRISRDELADAIRVSGGWFARRKSKQAIRAVDENGNGFIDDNEIKNLVEFAEKHLNVRILFF >OMO78975 pep supercontig:CCACVL1_1.0:contig10523:33312:35273:1 gene:CCACVL1_13977 transcript:OMO78975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAKPKLSYEESRQKRIEENKKRMEALNLPQLSQALRTSPFKPSPKKQSKPRIVEKQLVVVRRSSRVANLPSPVYKEVVVERVAIPRRTSKPRDLNLVYASEEDRAEALEKAEKLESSLGTDFPIFIKSMLPSHVSGGFWLGLPVHFCKTNLPKRDEVMTLIDEEGEEYPTIYLVRKTGLSGGWKGFAVAHELADGDAVVFQLVRSTTFKVIAL >OMO78970 pep supercontig:CCACVL1_1.0:contig10523:14825:15352:-1 gene:CCACVL1_13972 transcript:OMO78970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGSNSIGETHYDILSVKEDASYEEMRTSYRSALLNSHPDKLHADHEAGDRFLRVQKAWEILSDSKSRAVYDSELRASRQDVVASEDIGLEDMMIEDAGELMELFYQCRCGDYFSVDSMELGKMGYTLLRDESEISLRTPDALPPSVVLPCGSCSLLVRLIINPDTKVSTVGCL >OMO78976 pep supercontig:CCACVL1_1.0:contig10523:37660:38710:1 gene:CCACVL1_13978 transcript:OMO78976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRISRSSRWKVKGMPGSAFLDRSDELNSSIRLLILFARNLPFARC >OMO78972 pep supercontig:CCACVL1_1.0:contig10523:16787:20180:-1 gene:CCACVL1_13974 transcript:OMO78972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREGRAKWGAFGSGSGPVQCSYKKITLIVCSVNIVIALYVLRSLYASLYIYSNKDNVVKYTPDQIRKMEESIKIRRANEPIELVKLVKRLKHDFTSQESVAELPQAVKHKITDEILLRLRSLRPNANSSEQQEVVESWRKEKLKEAKMLALGGEGLNSTLSQEEAGMLVKALESSWRVLSEDIGLWIPTKIKNQEHDDKPEGVEDTDDVDQILAGRPLPPECHAELHTDYDGAAVRWGLTHHKESAADCCQACLDQAKHAKPGEKKCNIWVYCPSEGGCYSPDIYEHRHMECWLKFSEKPRLNFKDRYSEAYRNSHPNAPIVVPWVSGVVSV >OMO78966 pep supercontig:CCACVL1_1.0:contig10523:1334:6357:-1 gene:CCACVL1_13968 transcript:OMO78966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MDSSSKPDPEAVFDFSPLIRVYKDGHVERLIDTDFVPPSTDPKTGVSSKDVTIIPESNVSARIFLPKLTNPNQKLPLLVYFHGGAFCVSTPFAATYNSLLNALVAEANVVAVSVDYRLAPEHPIPAAYEDSWAALQWVLSHCDSEGPEAWINEHADFERVFLGGVSSGANIAHNLAIVAGDPEYGLNVPLLGIALVHPLFWGSEPIGSEALDPEKKASMDRLWPFLCPSNPDNDDPRVNPVAGDGPGLSGLGCKRVLVCVAEKDEVRDRGWLYYEALGRSGWMGVVEIMETEGKGHGFHLHDLESQEAKDLISRLNDEIQVCYNDTKYPITNNFWQIKNPTANYTPIFMLQLALFILLMRALMIIFKPLRQPRFFSELVAGIIIGPTVLGTVKWVASNVTPFEGGYFLETMSNLGVTFYMFLVGLEMDLAPIRKIGKTALSVAIAGILVPLGVGAGIFLILYKTTTAGDTPPPFIGIFFWSIALSVTSFPDLARMLSDLKLMYTDLGKTALSAAVVSDLSSWFLLVVTISLVNGRSKLYVTIPILVCMTLFWFLIRPMISWVVKQSKEASREGKYSEIHVYFILSAVLLCGYLTELCGAHSMFGAFMFGLMIPSGELGTLIMETVEEFVVGFLLPPSFIVIGTRTNLVHVAQFNPAIVVLVMLLSFSAKIISTLLVCLYFRCPTRDSFALGLLMNTKGVLVLIVLNEGRGMKAFDQPTFTLATLAILVMTVIVGPVIYFTHKSNRNVKQLIYRSNLERSRPEAALRVLTCVHSTKNLSGMINLLHISNATRKSPIVVFAVHLVELAGRNTAMLIFHDKDKTGEYDIGNNATREKAEAEQIMSSFEAFEEDNHAVTVQPLTAVSPYATMHEDVSTFAHDKLANIILIPFHKHPNALGGWVDENLQHREMNQNLLANAPCTVALLVDRGLTSPLYDMESQHSVAGVRECHIAMLFVGGPDYREALAYAWRMAGTPRVTLTVVRFLPGKDVCELLERDDDDDHEDGILTAMYEREKDKQLDDEYINEFRFKTMNDQSVAYIEKIINGGEEIVSTINSAYYSNFDLYIVGRGDGMKSPLTIGLISSFSEYPELGALGETILVSSESGSPASVLVVQQSAPALSGSKKFNNNHSASTPFFNSNTTLST >OMO78978 pep supercontig:CCACVL1_1.0:contig10523:46235:47173:1 gene:CCACVL1_13980 transcript:OMO78978 gene_biotype:protein_coding transcript_biotype:protein_coding description:BRI1 kinase inhibitor 1-like protein MDIHHQQQQQQKVADKYEETTSSIVSPASPPSASSSPSHEFSFTISLHSSSNPVPDNKTKTPPSIAIDLSPADDIFFHGHLLPLHLLSHLPVSPRSSTNSLDSFTLPIKDLLDEPKPDRKISSGGSKSDSNIRTNISSNSSSHGRDRVPSRHQSCNIEAKGRSKSKSFSLFSLPIWQKGRVEGRERDTEEKEQKLHNKKKRRFDVLKRYVRMVRPLLFFRGRRENLHLRRQPYSFSGNLSLRNKQELRGRRGEYSAPASMRTSPTNSGLLVATTGFPSSAASDSTMEELQAAIQAAIAHCKNSIKGEDKLKC >OMO87944 pep supercontig:CCACVL1_1.0:contig09153:2487:8023:-1 gene:CCACVL1_08649 transcript:OMO87944 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MKEVKIDRMRRRIGFANGICVEPEGLSGGLCLWWKDDVDVKVLKISKNLIHVRVRNKEKLSWFLTCIYAPPVLENRLEFWNVIREVAASVSGAWIIGGDFNEVMTSDEKERGLPLENRRVLPFHDVIFDCGLMDMGFKGQRFTWQNRREANIRERLDRAFINVEWRAKFSVAQCFNLPAVGSEHSPIFIALDPDEKKSSRIFRFESQWTTSDECKTVIENEWQGTVSDSWLMEVIRRFKKCKKALTTWSKKSFPNNRKEIDTLMKELAQIQDNSHSEEEWVKAELIIAKLEGIWAREEMFWNQRSRVKWLKYGNWVEKEDDVERLLLNHYASLFRSTGAREWREALDHVDKVVTDDMNEMLTREVTEEEIKSAAFDMGALKAPGPDGFSGIFYQKYWEVVGDLVINAVKEFFRTGFLPQKLNKTNIVLIPKVDNPKEVGQFRPISLCNFMYKVLSKVLVNRMKGILTDIITPSQCAFVPQRQIQDNIFIAHEAFHHLKLKKSKKDVEMGLKLDMNKAYDRVEWDFLKEVMLKMGFDNDSLFFLKAEPENCRRMAEILSQYCRASGQQVNFQKSSLFFNSNCPDHVKEECGNIFNIQTSGNPGKYLGLPSVWGRSKEEALGFLKDRMIKKIQGWKKGLLSQAGFCSKLESIVAKFWWGDNEDKRKIRWQSWKKLTRAKKVGGLGFRELYFFNKVLIAKQSWRILQNPNAFWVYSGENVDLWDQAWIPGLKGEKLGYKPDDDEVIPRRVKDIMDVPQQKWRLDLIAHRISDMEASAIEDTPINETGICKEKVETVKHLLLLCPWTTPVWFEGCASMRIDRGAITNFADWWMNCFEDTRLNEEDLMSLAARAAFITWYIWKGRNEVHFNGKEPDAKGTLIRAEKAWQEYHSANSTEKKKILTRTQKREPWSPPANDIIKINVDGAYDCKTGEAAVGVIARGANGMVVDGLGKKVRASSCDMAEVMAVREGIRLAKEKRWERVILETDSQEALTDCIKDDSVCAWEVKPLVQDIKVWSRSIRELEMIWVPRSANGAAHWVAVSTRKGMCNDDWKLPPQFAKGFQRCLVNKLPEDRFILICDGKSWAVEVVNVAKDEVYFREGWKEFVHDNSLGIGGLIVFEYQGDFKFNLDIFGRQCSRKGVSPDIWEKYNVPQKGEKPTINGGGQATSELSQQEGQPTMGGGGQGTSETQETNLAALKKSKKFKSKSLNFKVTMQPTYVQGTYLNVTNKFTKKIRLSHGANNIKLKVSDKTWDVKISDYPRHGKIHSGWRKFVDDNSLKENDICLFKLINSQDLTLDVTISRFSQFL >OMP01533 pep supercontig:CCACVL1_1.0:contig06419:6431:6490:1 gene:CCACVL1_03069 transcript:OMP01533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLPAGRWSNEIKERMKK >OMP01532 pep supercontig:CCACVL1_1.0:contig06419:2346:5584:1 gene:CCACVL1_03068 transcript:OMP01532 gene_biotype:protein_coding transcript_biotype:protein_coding description:immunoglobulin superfamily member 10 LFYDEFFTHSQPKLFTTSSNVQRFYQRSSIEIIGLPYRKTNQSRRNVFNRIPFFGWQARSILSLNQMEKRGAASPTTTSFAESVYETTCFNEGSTSMKRLISPSSGGGTTGGFRGEHRTEGSLVRLKMSEKAIHEATAFFPIPQTKVERSSQVNELGAHTGVSNYVEGIRFSQPLAEGGKLTSGSTLGQTDTRSKARHLRPLRLTVRHLIRFATLRFYLRKVQDS >OMP03440 pep supercontig:CCACVL1_1.0:contig06074:171:332:-1 gene:CCACVL1_02415 transcript:OMP03440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGPIPFPLLSSSGTYVSLTRPVFRPARNSPGSHRDPALTLVDRHPNDGDFV >OMP03441 pep supercontig:CCACVL1_1.0:contig06074:1153:1359:-1 gene:CCACVL1_02416 transcript:OMP03441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGGSYGSDGFNVYHDGPSPSSLCFKVKHWDAYTCRTHSKLSSSNHVLPRDTATAQWSPLPSSKSAK >OMO51151 pep supercontig:CCACVL1_1.0:contig15953:33256:38148:-1 gene:CCACVL1_29979 transcript:OMO51151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQVVILAGGTSKNLNPLVSKEVPKPLLPVANRPVLYYVLHQLEQSNLKDLIVVVEGEDSALLVGGWISGTFVDRLHVEIAAVPQDIGTAGAIRAISHHLTAKDILVVSGDLVSDVPPGAVAATHRRHDAVVTAMLCSVPVSGPSESGSSGGKDKTKKPGRYNIFGLDPGKQFLLHIATGAEIEKDARLQKRILRAVGQMEIRSDLMDAHMYAFKRSVLQEVLDQKDTFQSLREDVLPYLVRSQLKSEALLNGIPQAEENGIEKVRSQNNQVMVSRILANASTPSFHELCSVGPDGSAPVQRTHKCCAYIASSSSYCVRLNSIQAFMDINRDVTGEADHLLSDTSSDRNNIVGPSPKLGTKTTVGPHCMLGEGSEMGDKCQVKRSVIGRHCRIGSHVKVVNSIVMNHVTIGDGCIIQGSVICSNVQLQERVVLKDCQVGAGFVVTAGSEYKGESLARKEK >OMO51150 pep supercontig:CCACVL1_1.0:contig15953:285:515:-1 gene:CCACVL1_29976 transcript:OMO51150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKAVLQVSMKCQKCRTEALKVAAKQE >OMO88496 pep supercontig:CCACVL1_1.0:contig09000:2183:5106:1 gene:CCACVL1_08343 transcript:OMO88496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKRKEIAFCFVAFLLFWVPTNGLLSPKGVNYEVQALMAIKAALHDPHGVLDNWDGDAVDPCSWAMVTCSPESLVIGLGTPSQNLSGTLSPSIGNLTNLQIVLLQNNNITGPIPKEMGKLSKIQTLDLSDNSFTGEIPSSLGNLKSLQYMRLNNNSLSGECPVFLANMTQLAFLDLSYNNLSGPVPRFAAKTFSIVGNPLICATGSEPECHGMTLMPMSMNLNSTQTAFPSGRSKTHKIAIAFGSSIGCVSLMVLILGLLLWWRQRRSEQMFFDVKDRHHEEVSLGNLRRFQFRELQTATHNFSSKNILGKGGFGNVYKGILQDGTIVAVKRLKDGSAAGGEIQFQTEVEMISLAVHRNLLRLYGFCITPTEKLLVYPYMSNGSVASRLKGQRALEFGKAANQKGAMLDWVRKIHQEKKLEGLVDKDLKNNYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQKTEATKSKPHEFSSSDRYSDLTDDSSLLVQAMELSGPR >OMO88497 pep supercontig:CCACVL1_1.0:contig09000:6534:6605:-1 gene:CCACVL1_08344 transcript:OMO88497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPRGHLFGIANANGGKELAAL >OMO88499 pep supercontig:CCACVL1_1.0:contig09000:19072:20431:1 gene:CCACVL1_08346 transcript:OMO88499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, Rab type MELSLVGLQNAGKTSLVNSIATGGYTEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAIVYVVDAADRDSVPISRTELHDLLKKPSLSGIPLLVLGNKIDKSEALSKQALVDQ >OMO88498 pep supercontig:CCACVL1_1.0:contig09000:11201:16752:-1 gene:CCACVL1_08345 transcript:OMO88498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQEGTGLLSFHCSVKPASRCAFLSRIHETQGLGSSPSAQLLAWNGQARNQPLEPDPYRLSQCPITILPPLGGNRVFFRTVSCKLFDNLAKLKLSFTNKR >OMO62792 pep supercontig:CCACVL1_1.0:contig13157:1026:1675:-1 gene:CCACVL1_22634 transcript:OMO62792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1/H5 MKRLEDAILEGAISGQSNPSSSSMELIASIKVCLNNEIFNHQFQNPLDHPPYSEMIYRAIEEVNKNGGYSNESALSAYIKSEYKEELPWAHEPLLSHHLRRLNIKGEIIVAEDGCYTFPKLKCSHNNHQQNGADLLLLHDNEDEGTTLVVEDEDLLAKFISARKGKTIGRKRRRERIM >OMO96667 pep supercontig:CCACVL1_1.0:contig07408:7773:7838:1 gene:CCACVL1_04841 transcript:OMO96667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAIELDRDTIYMAEKVERV >OMO85660 pep supercontig:CCACVL1_1.0:contig09594:7117:8637:-1 gene:CCACVL1_10060 transcript:OMO85660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg2+ transporter protein, CorA-like/Zinc transport protein ZntB HLVTFEQVGNSSFVPKAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMESSFYGDQSLLGFRSNDGLSASAPVSPVASPPESRRLEKSLSIARSRHESMRSSESTTESIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFEIPMFDDAGAFKWVLIITGISGVVIFSAFVWFFKYRRLMPL >OMO85659 pep supercontig:CCACVL1_1.0:contig09594:31:2143:-1 gene:CCACVL1_10059 transcript:OMO85659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2/LPE10 MDDFELPETGQGLQSWIQIDSSGNSQVIEIDKLSIIARCGISTRDLRILDPLFVYPTTILGRENAIVANLEKIRCIITADEVLLLSSLDEKVVQFVVELQRRLVVNGAAALDPRGSSRSPFEFRALAVALEVVSGLLNFEATELESQAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEHLMDDYEGMAEMCLTEKKKRMESSSYSDQSLLGFRSNDGLSASAPVSPVSSPPESRRREKSLSIARSRHESMRSSESIKKLEMVLEAYFVVIDHRTLNKLTTLKEYIDDTEDFINIQL >OMO99215 pep supercontig:CCACVL1_1.0:contig06934:11669:14631:1 gene:CCACVL1_03888 transcript:OMO99215 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MVGKSYVVFNGHRPDNYNTWEEAKAQVYYYRGAHYKMYKDRREAEATFSEYWGLNDHPDEKEVDIQMDVESESTGEEGVQTAVVAGGSEGVSSKPKKTAGPARGKRTQTQPSNKTPRTSTSIVWQHATKFKENGEDKASCNYCGHPYFVDFKRNGTSSLKQHVLKCPKNPMVQAAKENYAKQAKLTLNNQEDVGTWKYVYSEIRKTLAKMIIVDELPFRFVEGKGFREFMEKAEPRFTIPSRQTITKDCFEIYEEAHFIDYEWRLKKKIINFYPINNHKSEGIGKTLEKCLKEWSLCDKVFSVTVDNATPNDVAVAYLKNLFVSRESEICEGKYLHMRCVSHILNLIVREGLKYYNETVARIRDSVKYVRASNSRLAKFLECAVAEDLDTSAGLC >OMO98929 pep supercontig:CCACVL1_1.0:contig06981:14034:15748:-1 gene:CCACVL1_03998 transcript:OMO98929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tify MNPELIKSVISSGLTNNQNLENVNQLDSNQSFSVPSSPKEAENVLPTLPILSLRATAPENGPETAPLTIFYNGTVSIFNVARDRVDTILKLAVEGSSKNIESAPLDSKVETPSDQQQVLENLNGGFPPFRRKSLQRFLEKRKQRLTSVSTYAC >OMO64577 pep supercontig:CCACVL1_1.0:contig12796:20047:23278:-1 gene:CCACVL1_21664 transcript:OMO64577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESQIQIPRVKLGSQGLEAAEWRPLRDLNDEERFDRWVGNRREIR >OMO96024 pep supercontig:CCACVL1_1.0:contig07548:16705:16770:1 gene:CCACVL1_05116 transcript:OMO96024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRTKKVAVPNHCAKRPIFL >OMO96025 pep supercontig:CCACVL1_1.0:contig07548:21015:26857:-1 gene:CCACVL1_05117 transcript:OMO96025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAAASSSYDLNMESECIKKSQVTGLTGPTAGPGRV >OMO85403 pep supercontig:CCACVL1_1.0:contig09624:11479:12847:-1 gene:CCACVL1_10202 transcript:OMO85403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNFILTVAGVSAVVLLLRSDVKQSAAIFRRNVKHIRTWLEEESSAASKAAEKAKPKELDSKVPPKNKD >OMO85404 pep supercontig:CCACVL1_1.0:contig09624:37577:37957:1 gene:CCACVL1_10205 transcript:OMO85404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMSNRKRRGGFIKGKLTPFYRAAKPAASTVMQYTTKVKPNLVSSTATSISFRVHQDYMISQPKQVSFILPADKNRENLTQIDNFFGVPGDESVDIKAASYISSVQERFKLERCNSERVKLQETH >OMP00265 pep supercontig:CCACVL1_1.0:contig06704:7017:11476:-1 gene:CCACVL1_03418 transcript:OMP00265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKDAFYMIKKGDVIGVYRSLSDVHRDVKGGHCIPFIIYKGYGLSREAESHLDSLGLKNAAYSISASDVNDSTFGKLCPCLPQQPSYGGTTSNRDPSPNRLHQELVLATSHLKHRNMTNQIETQMMISDSQSCIVEFDGASKGNPGPAGAGAILRTADGRVICRLCEGVGIATNNVAEYRAAILGMKYALQKGFKHIRVKGDSNLVCMQVQGLWKIKSQNLVELNKEARELKDKFISFHINHIDRHPPKDFHCFGSAAAAAACNIDCSSVQDIDCSSVPDIECSSVLDIDCSSVLDMECSSVQAHSHTANWMLLEIDSLAEPETGDVTREAFGLIRRVKEELKLARMRLMDERKRLGSTNGVDIKITLAAMVAEPMASLTTCITTEKPYWVGRGREESQRVARVRRMGDELRQLAAGSVQGEVTRLASVHPSRGLEIAG >OMP00266 pep supercontig:CCACVL1_1.0:contig06704:13572:14135:-1 gene:CCACVL1_03419 transcript:OMP00266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNLLEYPVAFGDLMPAARELICTLEDLLLLRFPNKPNSVAIEHLMDPDKKEIAKVLIGYYYIKNARMIGNDPNVFQWLRLNPSDIPRPYQIFHMLRDPSFINKMCKYSVFNPIYPSLAMDTANPVPDRVNLINELVNTCRYSSTQKIVYLVTANDILQLQVRITLEVNSVLRHGVDREQAFRGFSFI >OMP00264 pep supercontig:CCACVL1_1.0:contig06704:2709:5241:1 gene:CCACVL1_03417 transcript:OMP00264 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyl transferase MAPPSSVVVTIEKPNGFSLVEINGSESSLLLEKQKQVSPKQFTWFLLLKAHRVVACISWLAMAFKTMLSSVKKRISVSDVSEEEAKSKRLYRFIKAFLVISIVALVIEIVAHFKKWNLNLIQPWEVQGLVQWSYMAWLSFRVDYIAPVVLTMSKFCTVLFLIQSLDRLVLCLGCFWIKYKKLKPRIDEEAYDIEDPSSFPMVLVQIPMCNEREVYAQSIAAVCQLDWPKDRILIQVLDDSDDGNLQSLIKDEVSLWREKGVNIIYRHRLIRTGYKAGNLKSAMSCEYVKDYEFVAIFDADFQPNPDFLKQTVPHFKGDPQLGLVQARWSFVNKDENLLTRLQNVNLCFHFEVEQQVNGYFINFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFVNDVKVLCELPESYEAYKKQQHRWHSGPMQLFRLCLPAIISSKISILKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPLWVICYIPIFMSFLNILPAPKSFPFLVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKTGRSSESDLLALAERESKSSNEEKIHRRHSESGLEMLEKLKQQEVTPVKKKKNKLYRKELALAFLLLTAAARSLLSAHGVHFYFLLFQGLTFLAVGLDLIGEQIS >OMO97055 pep supercontig:CCACVL1_1.0:contig07307:20933:30438:1 gene:CCACVL1_04679 transcript:OMO97055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGGRVLLDTDGGMSLAD >OMO97054 pep supercontig:CCACVL1_1.0:contig07307:3383:3466:-1 gene:CCACVL1_04678 transcript:OMO97054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEEREPEARSRWKLNCNAIKRWLD >OMO68013 pep supercontig:CCACVL1_1.0:contig12311:18583:19809:1 gene:CCACVL1_20134 transcript:OMO68013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, typical subtype MATNAFPSVSSSYSMIFVVVLAFILTFVRSLTLESEVEVLRALKRSVDPNTIPPASYLSTWDFSGDPCESTGAKFLGILCSVPEGNSSSRIISIDLDAAGYDGFLTPLIGNLTELTAIDISKNRFRGPLPENIFDLKKLTRISLSGNFLTGSIPHRVYQLKELETLDLSNNLLSGPIPSGISALRRMKHLTLAHNGFIGKIPNLNGLWQLQTLELHSNMFVGNLPTLPTRLTTLTLCHNLLSGRITSLGTLKQLRSIDVSDNRFTGPISRGIFALPLLAQMNVSFNQLTAMEVNNNHEVGSPLQVFDAQGNRLQGHLPVNLVTFERLLEINLAHNQLTGRIPREYGVRLGNPWRTLFLDHNFLSGPLPSQFSHLKIRGSLASNCLRCTTTIPFCRGGQRPGSACLGEM >OMO68015 pep supercontig:CCACVL1_1.0:contig12311:23805:26536:1 gene:CCACVL1_20136 transcript:OMO68015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAEAEEALKVLDASLFAIKWRLKSSAKRRLQIDVLALCTGMRPVVMIDYGGKMPELQERLCAFLEHCQKEASIFEQLRVMIIEEMIYLVHVEEMAKYVSSSLSSEVELLFVDLEQDPPKMKTLDDKNLLGMQFISIQKLFSLYFPLEGLRNDLLPPDTTELRADMGSVREPINSQSSLLMDLSSCMHDTKVTVPTLNGWLLGYPLVYLFSTDHIEDAIYNLSTKFLHIYKILVFRNNTPNKGSQPEELMSFSVPYDLSMRGSNEPWAEAFLGHLQSKWGSCKQTWSSLEMEVSECYPQAIAL >OMO68017 pep supercontig:CCACVL1_1.0:contig12311:30502:33476:-1 gene:CCACVL1_20138 transcript:OMO68017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribokinase MADKKLIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVARLGGKASFVGKLGDDEFGHMLADILKQNGVSGDGILFDQGARTALAFVTLRADGEREFMFYRNPSADMLLKPEELNLDLIRSAKVFHYGSISLIVEPCRSAHMKAMEVAKQAGALLSYDPNLRLPLWPSAEEARKQILSIWDKADIIKVSDVELEFLTGSNKIDDETAMKLWHPNLTLLLVTLGEKGSRYYTKKFKGSVDAFHVNTVDTTGAGDSFVGALLCKIVADPTILEDESKLKEVLKFSNACGAITTTKKGAIPALPTEAEALALIKGA >OMO68011 pep supercontig:CCACVL1_1.0:contig12311:456:2429:-1 gene:CCACVL1_20132 transcript:OMO68011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAANTTFPKPSPLTSSFKSSLPVTKSTLPFSTKLTSSPSLHISCSLSQSSSNPKSAATTATPTTAPTSHDFVSRYGPDEPRKGADILVEALEREGVKDVFAYPGGASMEIHQALTRSNIIRNVLPRHEQGGVFAAEGYARSSGLPGVCIATSGPGATNLVSGLADALLDSIPIVAITGQVPRKMIGTDAFQETPIVEVTRSITKHNYLVLNVDDIPRIVSEAFFLATSGRPGPVLIDIPKDIQQQLAVPNWNQPIRLPGYMSRLPKAPGEAHLEQIVRLVSESRKPVLYVGGGCLNSSEELRRFVELTGIPVASTLMGLGAFPTSDDLSLQMLGMHGTVYANYAVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHLSICSDVKLALKGINRILESKGAKLNLDYSAWRQELNEQKLKYPLNYKTFGEAIPPQHAIQVLDELTNGNAIVSTGVGQHQMWAAQFYKYKRPRQWLTSGGLGAMGFGLPAAIGAAVANPGAIVVDIDGDGSFIMNVQELATIRVENLPIKILLLNNQHLGMVVQWEDRFYKANRAHTYLGDPSNESEIFPNMLKFAEACGIPAARVTRKEDLRAAIQKMLDTPGPYLLDVIVPHQEHVLPMIPSGGAFKDVITEGDGRTQY >OMO68016 pep supercontig:CCACVL1_1.0:contig12311:26993:29375:-1 gene:CCACVL1_20137 transcript:OMO68016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MAKNGVASDKKLIVTFGEMLVDFISAVSGVSLAEAPQLRQFRRRSTGQRGSRCLKTRTQIRFHRKTGRRRVGSHAMLAQILKKNGVCVEGILFEQGARTGLAFVSLRADGEREFMYYRNPSADMLFKAEDLNLHLITSIMNLVSNLFFFGRRSAHMKAIEVAKQAGALLSFDPNVGLSLWPSAEEARKQILSVWDKADIIKVSDVELEFLTGSNYEIDDETAMKLWHPNLTLLLVTLGEKGSRYYTKKFHGAVHTFHVNTVDTTCAGDSFVGALLCKIVDDPTILENESKLREVLKFSNACGAITTTKKGAILALPTETDVLPLINGI >OMO68012 pep supercontig:CCACVL1_1.0:contig12311:16446:18017:1 gene:CCACVL1_20133 transcript:OMO68012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MKIQCDVCERAPATVICCADEAALCAKCDVEVHAANKLASKHQRLLLQCLSNKLPPCDICQEKPAFIFCVEDRALFCQDCDEPIHSAGSLTANHQRFLATGIRVALGSSSCNKNTEKTGLEPPSKSAPQFSMKVPAQQQSSFASSWAVDDLLQFSDIESTDKKDQLELGELEWLADMGLFGDQMAPQEALAAAEVPQLPVVPQSSNINSYRPTKYSMPLKKPRLEIPDDDDEFFTVPDLG >OMO68014 pep supercontig:CCACVL1_1.0:contig12311:20254:22329:-1 gene:CCACVL1_20135 transcript:OMO68014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hrf1 MYNNLGAQPGVPRPPTNPQPNPFGNSFYGAGSGLIRGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDQYVRNKLKVVLLPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPFMAFGTYVVLAGLSLGLQGLFSPEALNWLFVKGLLGWFTEVMLLKIALLSMGSGEAPLLDIMAYGGYTFAGLCLAVIGKIIWSYLYYFLMPWTCLWMGVFLVKTTKRVLYAEVRTYDSSRHNLLLLIIAVAQFPILLWLGNISVNWVL >OMO78328 pep supercontig:CCACVL1_1.0:contig10599:1079:1731:-1 gene:CCACVL1_14482 transcript:OMO78328 gene_biotype:protein_coding transcript_biotype:protein_coding description:coat protein IMSKREAPWRTTLGTSKLGIGVLLRRLMILGLRLTCLIMSLVPLLLRTISVIVFRFCTGLAPQSQEEGA >OMO78327 pep supercontig:CCACVL1_1.0:contig10599:261:518:1 gene:CCACVL1_14481 transcript:OMO78327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQRDWQSNCKYGKPIQIKGGIPTIFLCNPGPQSSYKEYFEEEKNKAINDWAKKNIVYVTIQDPFYITTNQDSSQSLQEGSATT >OMP02513 pep supercontig:CCACVL1_1.0:contig06230:4385:10101:1 gene:CCACVL1_02776 transcript:OMP02513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFKTVRSEILSMDPLLAINRAYAMLITEEKQLSIGSSRVPIEAAAFAVKPGQKPSPKVNQSSQQTRVINEEESDKPICGHCVSPHGYHNAVDPHVRSKRKLNSKLDIAWNCKGTTSKLQRIMPSSYRMLTVAVCTEIIENNSGENNNNSRHEAKSIATSFQASDLAHDMSGSHLPTISETSEMFEVENLVKNQVEGMKTAIMDDIKDIFDQLMVRREKAREKNSSEVPSSPLKQNQTPLPPLHPYYSFIPPPYQARSEPPPWPSNSGQASDTSQQIQWSNPYYQPSYRMDLPRFNGEDFKSWFSKFEQYLEMENVPDEYKPKVAMFPFEGPALHWHQFYAGTVGGMGNVRW >OMO88403 pep supercontig:CCACVL1_1.0:contig09025:4722:6682:-1 gene:CCACVL1_08407 transcript:OMO88403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MDMFKLVILLFIFFLCSSLQTSRSTHTCGTAVCSRTEPEIRFPFRIQGQQAKSCGFPGFDLSCNSLNQTLVELPYWGKFSVQGIDYASQEIWINDPNNCLPHRILSLNLSASPFKGIYYQDFTFFNCSNLNHPNYGLDLNPIACLSGVNHTVFATSSTRVESYLSLSCQRVSTVAVPVDWPFYEQILSADLSDNMGLSWDNPKCRNCESRGGKCGLKANSTRELICSNAPLRGIPRSARYAITVGAGVPIILCILGVLCFVCGKVKSYAVRPHDIPEFNPTVAPQPTIVGGLDGPTIESYPKIVIGESRRLPKPDDNTCPICLCEYLPKDTLKTIPQCQHCFHADCIDEWLRLNATCPICRNSPQRVSSLPLHEEYS >OMO88405 pep supercontig:CCACVL1_1.0:contig09025:9227:10645:1 gene:CCACVL1_08409 transcript:OMO88405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEQALKRIPRIKFPQRHPKPSASGSGTQATSKDGNIADRLISRTKSDVPAPPINTAVGGKASLLPKRTPVSDKEIEAILLGGCF >OMO88408 pep supercontig:CCACVL1_1.0:contig09025:37720:38025:1 gene:CCACVL1_08412 transcript:OMO88408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTYSDLGSTAGLKKVDEYVLACSYIFGYQASKDDITFFATFRAAPASSYVNVSRWYNHIDALLRIAGVTGEGCVVLLLRDLLLLRLLPLLQLRTQRLQC >OMO88404 pep supercontig:CCACVL1_1.0:contig09025:7623:7967:-1 gene:CCACVL1_08408 transcript:OMO88404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGLSRIFASISELSTPKPSFTGFVSESTIRSASNSASRFMCSSAQQPRSSHEEKANEEKPESVKEKLEIENEEEEEDGDHVNKETGEVGGPKGPEPTRYGDWERNGRCYDF >OMO88407 pep supercontig:CCACVL1_1.0:contig09025:23299:23490:1 gene:CCACVL1_08411 transcript:OMO88407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSETATCRFNPMRHATAAHGGSPVEEEIDTLGGWLLFLFKSFLRYCWIRTRFGSEPPQVVSQ >OMO88406 pep supercontig:CCACVL1_1.0:contig09025:11392:22536:-1 gene:CCACVL1_08410 transcript:OMO88406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSNSSYLLQLRNNPCPIPSIHKSSVTSQLNVTPSNRTNRRKTKTAVAKRSTAVLEKKFFGTSLRGSGSERLHFWHSDGPGRIPKLRVMVRSALSGVPEKPLGLYDPSFDKDSCGVGFVAELSGDSSRKTVTDALEMLIRMSHRGACGCETNTGDGAGILVALPHNFYKEVAKDAGFELPPAGEYAVGMFFLPTSESRREESKNVFTKVAESLGHRVLGWRSVPTDNSGLGNAALQTEPVIEQVFLTPTPRSKADLEQQMYILRRVSMVAIRAALNLQHGAVKDFYICSLSSRTVVYKGQLKPDQVQNYYYADLGNERFTSYMALIHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCKELGLSKNEMKKLLPIVDASSSDSGAFDGVLELLVRAGRSLPEAVMMMIPEAWQNDKNMDPHRKALYEYFSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMASEVGVVDIPPEDVLRKGRLNPGMMLLVDFEKHIVVDDEALKQQYSLARPYGEWLERQKIQLKDIVDSVQESERVAPAIAGTMTASNNDDNMENMGIHGLLAPLKAFGYTVEALEMLLLPMAKDGTESLGSMGNDTPLAVMSNRDKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCHRLSLKGPLLSIKETEAIKKMNYRGWRSKVLDITYSKDRGRKGLEETLDRICAQAREAIKEGYTLLVLSDRAFSSKRVAVSSLLAVGAVHHHLVKKLERTRVGLIVESAEPREVHHFCTLVGFGADAICPYLAVEAIWRLQVDGKIPPKSSGEFHSKDELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIEKCFAGTPSRVEGATFEMLARDALHLHELAFPSRLLAPGSAEAVALPNPGDYHWRKGGEIHLNDPLAIAKLQEAARGNSVAVYKEYAKRINELNKACNLRGMLKFKESEVKVPLDEVEPASEIVKRFCTGAMSYGSISLEAHTTLAIAMNKLGGKSNTGEGGEQPSRMEPLADGSRNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPSARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEEVREIMSQLGFRTLNEMVGRSDMLEVDKEVLRSNEKLENIDLSLLLRPAADIRPEAAQYCIQKQDHGLDMALDQKLIALSKAALEKGLPVYIETPICNVNRAVGTMLSHEVTKRYHLAGLPSGTIHIKLSGSAGQSLGAFLCPGIMMELEGDCNDYVGKGLSGGKVVVYPPKGSRFDPKENIIIGNVALYGATSGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGIAYVLDVDGKFHSRCNPELVDLDKVEEEEDIMNLKMMIQQHQRHTNSQLAREVLADFDNLLPKFIKVFPRDYKRILAKMKEEKASKESLERAAKEAEVEEQDEVELKEKDAFEELKKLAAASMNQKSSEVCMEAEQVKRPSRVSDAVKHRGFVAYEREGVQYRDPNVRMNDWKEVMEETKPGPLINTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFEEGWMVPRPPLKRTGKSIAIVGSGPSGLAAADQLNRLGHSVTVYERADRIGGLMMYGVPNMKTDKIDVVQRRVNLMAAEGVKFVVNANVGNDPSYSLDRLREENDAIILAVGATKPRDLPVPGRDLSGVHFAMEFLHANTKSLLDSGLQDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSSVVNLELLSQPPQKRAPGNPWPQWPRIFRVDYGHQEAAAKFGKDPRSYEVLTKRFVGDENGTVKGLEVVRVHWQKDASGKFQFKEIEGSEEIIEADLVLLAMGFLGPEATVAEKLGVEQDNRSNFKAEYGRFATNVDGVFAAGDCRRGQSLVVWAISEGRQAAAQVDKYLTKEDEDASSEGDSQEDLEKRHQDLSQRQQTVMT >OMO66978 pep supercontig:CCACVL1_1.0:contig12485:42660:48356:1 gene:CCACVL1_20878 transcript:OMO66978 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1, alanine aminopeptidase/leukotriene A4 hydrolase MEQFKGQPRLPKFAVPKRYDIRLKPDLSACKFAGSVSIDLDIVADTRFIVLNAAELSINSGSVSFSPRNSPKVFEASKVDLVEEDEILVLDFAETLPLGLGVLAIGFEGVLNDRMKGFYRSTYEHNGEKKNMAVTQFEPADARRCFPCWDEPACKATFKITLDVPSELVALSNMPVVEEKVNGPLKTVSYQESPIMSTYLVAVVVGLFDYMEDHTSDGIKVRVYCQVGKANQGKFALYVAVKTLELYKEYFAVPYSLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDEQHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSLFPEWKIWTQFLDESTDGLRLDGLAESHPIEVEINHAGEIDEIFDAISYRKGASVIRMLQSYLGAECFQRSLASYIKKHACSNAKTEDLWAALEEGSGEPVNKIMNTWTKQKGYPVVSVKVKDQKLELEQSQFFSSGSHGDGQWIVPITICCGSYDKKKSFLLQTKSEAHDVKEFFSDSNKRGIANSWIKLNVDRTGFYRVKYDEELSARLRYAIENKYLTATDRFGILDDSFALCMARQLPLTSLLTLISAYREELEYTVLSNLISITSKVGRIVADARPELMNDIKQFFVNLFQYSAEKLGWDAKQGEGHLDAMLRGELLTALAMFGHEETLAEASRRFHAFLNDRNTPLLPPDIRKAAYVAVMQKVNSSDRAGFESLLRVYRETDLSQEKTRILGSLASCPDQGIVLEVLNFSLSSEVRSQDAVFALNVSKEGREVTWTWLKDNWDLILKTYGSGFLITRFVSAVVSPFASFEKVKEVEEFFATRAKPSIARTLKQSLERVHINANWVKSVQNENNLAEAVQELAYRKY >OMO66987 pep supercontig:CCACVL1_1.0:contig12485:91150:95423:1 gene:CCACVL1_20887 transcript:OMO66987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligomeric Golgi complex component MLMELENGDDVSPSASPTSAMANLLPLASVSQQPYVSELLSFTLDRLHKEPELLRVDAERIQRQMQEVAVGNYRAFIAAADALVAIKEEVSSIDKHLESLITEIPNLTSGCTEFIESAEQILEKRKMNQTLLANHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVCKLSTMHPKLPVIQALAAEVRQITQSLLSQLLQKLRSKIQLPECLRIIGYLRRIGVFSDYEMRLQFLRCRESWLNGILEDLDQRNAYEYLKGMIGCHRAHLFDVVNQYRAIFADDTSGSEENYDGGLLFSWAMHQITSHLKTLKVMLPKITEGGSLSNILDQCMYCAMGLGWVGLDFRGLLPSLFEEAVLNLFSKNMNTAVENFQLVLDSHRWVPLPAVGFSATSIGEESQEDVTPPSYLMEHPPLAVFINGVSAAMNELRPCAPVSLKNVLAQELIKGLQAVSDSLLRYNTTRMLNKNESGLFLSLCRAFIEVAFPHCATCFGRCYPGGAALIMDAKNLYDGVARLLTISSSKELPKPVSKDEVKTASENGDVPHPVAENGVEPAVDETGSATANADGEEQNSLTLQVDEKLGEASS >OMO66974 pep supercontig:CCACVL1_1.0:contig12485:21846:22711:1 gene:CCACVL1_20873 transcript:OMO66974 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MSNNSNFRPPDEYSPESDRADDQSNFQFPEEYFTFDGWLDDYPEAFMSGSNSIQNPVNQGNYEVNTSAETSTFLHRPAANESETVRERREFRERYAFKTKSEVEILDDGYKWRKYGKKMVKNSPNPRNYYRCSVEGCPVKKRVERDNEDPSYVITTYEGIHNHQSAS >OMO66977 pep supercontig:CCACVL1_1.0:contig12485:32799:33257:-1 gene:CCACVL1_20876 transcript:OMO66977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSKRDKKSSSTSTSPCAHFRSAYHNCFNRWYSEKFVKGQWDKEECLSEWQKYRACLSEHLDDKQLSRFLEAEAAFGSIFQGDSENPV >OMO66968 pep supercontig:CCACVL1_1.0:contig12485:7049:8834:1 gene:CCACVL1_20867 transcript:OMO66968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVTLLLELLRENPNILDKAHSFLSDTPLHVAALLGHSAFAKHLLNKKPELATELNTHGSSALHMAAAKGYVEIVKELLLVNPDMCLVLDSNGRTPLHLAAIKGKVEALTELVRFKPEATRVLTAGGESGLHLSVKNNRLGALKALVESVAEDDQFVNWRDSDGNSVLHIAVAKKQLEMIRYLINNTKIEVNARNANGFTAMELLLNGPRDLRDMEIKECLEKAGASRMSKVQSIANSRDIVEVEEDMPQRQEESAILKKTDSKPIVKKHKQHTDWLGRKRSALMVVASLLATVAFSASLSPPGGVWQEDYTVDSDGNPVEHPHKAGLSVMADTDPQKYQAFMILNTLAFIASLSIILLLISGLPMRKRRFMWIQMVIMWVAISAFTATYYVALIEITPRHVKATLFSVTVASLMIGLGMIGVVFLGNVIRMCLWFLREYGYIKPKEKQDSLYVEEEENDE >OMO66983 pep supercontig:CCACVL1_1.0:contig12485:74194:75666:-1 gene:CCACVL1_20883 transcript:OMO66983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MNNGDNYKRRRKESFGQEDDYDDDSCSSDHEPKKKDLNGIITSLLLLEEQDKRDQEEQNEASNEEKSILDSTHKRKTRTMLEFYSNLQDYYSEIDETDRIKRKKSRTMAATVAVASVHQTENQAPSNNNNKQSGSGQQRRLWVKDRSNAWWDECNRPDYPEEEFKKAFRMSKSTFELICEELNSVIAKEDTTLRNAIPVKQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLEVCSAIRSVLMPKYLQWPDEDSLRKIKEEYEGISGIPNVVGSMYTTHIPIIAPKISVAAYFNKRHTERNQKTSYSITVQGVVDPRGVFTDVCIGWPGSMNDDQVLEKSALYQRANGGLLKGVWIVGSSGYPLMDWVLVPYTQQHLTWTQHAFNEKIGEIQRVAKEAFGRLKGRWCCLQKRTEVKLQDLPVVLGACCVLHNICEMRNEGLDPELKTELVDDEMVPEVALRSVSSMKARDAIAHNLLHHGLAGTAFL >OMO66970 pep supercontig:CCACVL1_1.0:contig12485:10917:11638:1 gene:CCACVL1_20869 transcript:OMO66970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRAMGFDPSIIDTLHELLDIHEEGEKSQTHPSRAYIRDSKAMAETPADVKETPNAYVFVMDMPGLKQDQLQVQVEEANLLTVCGQRKREKDKDEGVNYIKMERRLGKYLKKFQLPDNADTDKISASYEDGVLTVTVEKKPLPEPKKPKTIQVQVKLCCVSGTVKSVGQLEDRTGYGVSSK >OMO66972 pep supercontig:CCACVL1_1.0:contig12485:13443:17645:-1 gene:CCACVL1_20871 transcript:OMO66972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial Fmu (Sun)/eukaryotic nucleolar NOL1/Nop2p MARFKAKPPSGAAEKPKNPKPTAAERSAYFARREAAKVLRSVLQGDARRRAVGSIKSLVYSPSIKNKKATFALVCQTLKHLPIVKDVLETANILNSRWKRQEELVFIITYDILFGQEISFIGDAEKFLVQRKSSLRSALARLMVRKKVKSIEDLVDFYQTPDVSKPRYIRVNTLKLDVDSALNELGKQYMVQKDDLVPDLLKLPPKCDLHDHPLVMNGSIFMQGKASSMVAAALAPKPGWEVLDACAAPGNKTVHLAALMRGKGKVIACELNKERIKRLQDTVRLSGADNIEVLHGDFLSLDPKDPPYSKVRAILLDPSCSGSGTVAERLDHLLPSYAAGQAANIDEAERLNKLASFQKKALAHALSFPQVERVVYSTCSVHQIENEDVVKSILPLAESHGFQLATPFPQWQRRGLPVLEGSEHLLRTDPVEDKEGFFIALFIRKDRTMVHQSSKPGRAIRDSSHLSSVETKRCLHRRKARITIPILFSGTFKRQLYCKPKSRLN >OMO66985 pep supercontig:CCACVL1_1.0:contig12485:81075:84398:-1 gene:CCACVL1_20885 transcript:OMO66985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVYVLEPPTKGKVIINTTYGPIDIELWPKEAPKAVRNFVQLCLEGYYDNTIFHRIIKGFLVQGGDPTGSGTGGESIYGSVFSDEFHTRLRFNHRGIVACANAGSPHSNGSQFFISLDKCEWLDRKNTIFGKVTGDSIYNLLRIGEVDTDQDDRPLDPPPRIKSVEVLWNPFEDIVLRVASKPLIQPPSEAERKEKKQKPVKKLNLLSFGEEVEEEEKELATVKQKIKSSHDVLNDPRLLKQEQDVKNTKEVQLSVREALSSKKEGTRKDKEELSDSLYHSDDYDDASFDARMRRQILDRRKELGDQPRKQKMQNGSSKPNAQDIPAPRSNDESSDDDQPRVEKLSIKKKGLGSEARAERMAKADTDLQLYNEAERGRLLQKQKKRRLQGREDEILAKLEKFKQSISTKPAASSTSNAPASADNEDLSNWKSVRLEFAPDTKDGMSRKDDPNDYVVVDPLLEKGKEKFNRMQAKQKRREREWAGKSLT >OMO66989 pep supercontig:CCACVL1_1.0:contig12485:119531:119890:1 gene:CCACVL1_20891 transcript:OMO66989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYNRSRSYANGMTTMQLDTYHGPPPRPSASYDLRCYSASYAQSQMANNNGRDLKLKKGKSTSASSSSSWSFGDPEFQRKKRVASYKMYSVEGKVKGSLRRSFRWLKVKYTQVVYGWW >OMO66973 pep supercontig:CCACVL1_1.0:contig12485:18589:19023:1 gene:CCACVL1_20872 transcript:OMO66973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRSIRFKSICKIKTQKPRVFGFLYLELGVSKRKEYAFVFVIWKWKRRRNKSLPPVRSGHDRCETFPRCYCARLDQGAVTLLGSHEGKKFDAREASSQLGFEGRGWRCSGLGFSRRYWGSVRVGKRKRRRRRRRVALLRNLQL >OMO66994 pep supercontig:CCACVL1_1.0:contig12485:147598:150089:1 gene:CCACVL1_20896 transcript:OMO66994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDSESLTNKFDHNLNDESDSFKQLASNSPAVSNDKANRIHIPIKPTIELPEPVVFFSPRPASELDAAATKLQKVYKSYRTRRNLADCAVVVEELWWKTLDSAALKRSSVSFYNIEKQETVVSKWARAKTRAAKVGKGLCKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDVWSASKSTQPFFYWLDIGDGKELNLKQCQRIDLQRQCIKYLGPLERESFEVIVESGKLVYKQSGKLVNTNEGCKWIFVLSTSRSLYVGQKKKGVFQHSSFLSGGATTAAGRLVASQGVLEAIWPYSGHYLPTEDNFKEFILFLEENNVDLTNVKRCAIDDDYTSYKVGSDESKQGEIKDPTATTKPTDSKAENVEANGVEEAEAPVFKMGKPLSCKWTSGVGPRIKCVRDYPTQLQFQALEQVNLSPRVTPGRFGNSGPIPSPRPSPKIRVSPRLAYMGLPSPRVSVTPAN >OMO66982 pep supercontig:CCACVL1_1.0:contig12485:69779:72491:1 gene:CCACVL1_20882 transcript:OMO66982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPYYRYNTAAAGDTGSVSRHSFPGYLSSEAPSLGSHHADKQVDYSDINQLHPGRYGVNDFPNSGVYPEPSLDGVPAGATTRAYPSSLGDPNLIAQRWDAPIGGSTSMGVYHEPSFGGGSAGASIRDFSSAKDVPSLVAHRQDAPGISPSTGFRSEPSVGAASAAATIRGYSSALEDPNLVGQRRDVSVGISSSAGIRPEPSIGALSAGASLKGYSSTLEDSHLVGQNQDDPAGISQSAGLRSEPNLGAASAGASRMGYSSPLEDPKVFVKRQDSPLAVGGGIPATVDEKPVFMKNDDESLAAAEESNILFVDGLPTDCTRREVGHLFRPFIGYKDIKVVHKEPRHSGDRARVMCFVEFNDSKFAMTAMQALQGYKFDDKKPDAPFLRIQFAHFPFRFQDIRDDRQVELRR >OMO66984 pep supercontig:CCACVL1_1.0:contig12485:76928:77053:-1 gene:CCACVL1_20884 transcript:OMO66984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAEITEPKPRKKGRKILKIRVIQGHRPTPTATISISQQ >OMO66992 pep supercontig:CCACVL1_1.0:contig12485:141167:141856:1 gene:CCACVL1_20894 transcript:OMO66992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLCGFGNETSSPLENNSSDSESPPSNNAETTLVPPPPQQVTPSTPSETEEKTGETEENTEEVLKQPLPLPPGRAMKETFSSNNLITKSASTRKLSSTLSVKNPRSMSMKPKADQDSSRGKMIDGNSVIQNGAGSLVKGYNPKTMSFRSMSRTTSFREPESMGKTIGGNSEIQNGEGSLVKGINPKTMSFRSMSRTASFREPDMVPNQHKGKGITRKEEEEYVPIQL >OMO66990 pep supercontig:CCACVL1_1.0:contig12485:122666:126011:1 gene:CCACVL1_20892 transcript:OMO66990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter NIPA MWESICLTLAATAGNNIGKVLQKKGTVILPPLSFKLKVIRAYAVNKSWAIGFLMDIFGALLMLRALSLAPVSVIQPVSGCGLAILCIFSHFYLQEVMNVIDWMGITLAGIGTIGVGAGGEEQEASSVSIIQLPCLALSVVILFVLLNGWLRICKRQRREQELMEYEVVEEIIYGLESGILFGMASVISKMGFVFVEQGFSKMLIPLCVSISICCSGTGFYYQTRGLKHGRAIVISTCAAVASIVTGVLAGMLALGERLPSAPVARLSLLLGWLFIMTGVILLVSSTRLMRHLPWPLRKLIPGGVDRNFNLRRSGSHRVKDPSPSAVIQASTLHHLIPTPAKEKA >OMO66976 pep supercontig:CCACVL1_1.0:contig12485:29159:31050:1 gene:CCACVL1_20875 transcript:OMO66976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mycolic acid cyclopropane synthase MEGLMQAPYEVTVRFMLASLERNLLPDTVIRRLTRLLLASRLRSGYRPSAELQLSDLLQFVNSLKEMPIAIKTDKPKTQHYELPTSFFKLVLGKNFKYSSCYFSDGSKTLEEAEEAMLELYCERSQLKDGHTVLDVGCGWGSLSLYIARKFPNCKVTGICNSTTQKAFIDEQCREHQLQNVEIIVADISTFEMEGSYDRIYSIEMFEHMKNYQALLKKISNWMKQDGLLFVHYFCHKAFAYHFEDINEDDWITRYFFEGGTMPSANLLLYFQDDVSIVNHWLVNGKHYSKTSEEWLKRMDKNSASIKPIMESTYGKDQAVKWTVYWRTFFIAVAELFGYNDGDEWMVSHYLFKKK >OMO66991 pep supercontig:CCACVL1_1.0:contig12485:129495:131581:-1 gene:CCACVL1_20893 transcript:OMO66991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG MEEEKTSRSKFKRLCVFCGSNSGHRKVFSDAALELGNELVKRKIDLVYGGGSVGLMGLISQTVYDGGCHVLGIIPKALMPLEISGQTVGEVRTVADMHERKAAMAREADAFIALPGGYGTMEELLEMITWSQLGIHKKTVGLLNVDGYYNSLLALFDNGVQEGFIKPGARHIVVSAPTAKELMEKMEQYTPSHEHVAPHESWQMEKLGDYPKQPNAQ >OMO66980 pep supercontig:CCACVL1_1.0:contig12485:55194:57932:-1 gene:CCACVL1_20880 transcript:OMO66980 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MEEMSPTVAVPFRLGNSVCENSTFGPRMNITRLQLMANPAAILTDSATQAANQSITDDDVDCNCVDMATEETGIEVSLPQRVKGGEGDTTSLDMVSSDSKVNWISSNDVVAQESEEEDSISLEGDRVFDLDSSCSLSVASETSSLYGEDFSGFDFTSETGTPCSVDIEKSICSVDFIAKATKFVESNLEAEVARDPLAVAVSLEEEIGDGPEEKSSAMVLQLALEKEASTTVPVPVPVPRSVFEVEYVPLWGFTSICGRRPEMEDAVAAVPRFLKIPIEMLIGDRLLDDMSRSFAHQTAHFFGVYDGHGGSQVANYCRERIHPALAEEIEFVKECQSNRGITDSCQELWKKAFTNCFVKVDAEVGGGQTGQEPVAPETVGSTSVVALICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYERIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVMFIPRVKEDECLILASDGLWDVMTNEEACDLARRRILQWHKKNGTTLTSERGVTIDPAAQAAAEYLSNRALQKGSKDNITVIVVDLKAQRKFKSKT >OMO66993 pep supercontig:CCACVL1_1.0:contig12485:143206:145674:1 gene:CCACVL1_20895 transcript:OMO66993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MAGLVDGATAHREVSYVDCLPVYVKELIAGGAAGAFAKTTVAPLERIKILLQTRTHGFQSLGVHQSLTKVLKHEGVLGFYKGNGASVIRIIPYAAIHFMTYEQYRGWLLDNYSSLGSGPVVDLLAGSASGGTAVLCTYPLDLARTKLAYQVVDTRTNFRCGVRSLYPRPAYSGITDVLTTVYKEGGIQGLYRGVGPTLAGILPYAGLKFYIYETLKTHVPEQHQKSIMMHLSCGALAGLLGQTFTYPLDVVRRQMQVGSRQHSTIQGDTRFKNTLDGLTTIIRNQGWRQLFAGLSINYMKIVPSVAVGFTAYDMMKVWLRIPPRQKSQAG >OMO66971 pep supercontig:CCACVL1_1.0:contig12485:12500:12970:1 gene:CCACVL1_20870 transcript:OMO66971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRILGLDSPLFHTLQHMVDLPDDMEKNNNAPSRTYVRDAKAMAATPADIKEYPNSYAFVVDMPGLKSGDIKVQVEDDNVLLISGERKREEEKEGAKYVRMERRVGKFMRKFVLPENANTDAISAVCQDGVLTVTVEKLPPPEPKKPKTIEVKIA >OMO66975 pep supercontig:CCACVL1_1.0:contig12485:23835:27332:-1 gene:CCACVL1_20874 transcript:OMO66975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRVEPEKNNLGGDSNNGQLLRDIEEISKALYLDKPSSKALISTSNVRSKSAGKTHFSESKSKQNSRNLYDDEDKRSSSLWNWKKPLKALTNIKRHRFNICFFLHVHSIEGLPAYLNDFSLCVHWKRKDEVLSTRAARVVDGIADFEETLMHKCCVHGSRSGPHNSAKYEVKLFLISASVVGTLGYDIGKHWIDLSRLLPLTLEDLEGEKGSGKWTTSFKLSGKAKGATLNVSFSFLVNRDNLVESSGDVNASNFINLTEKLSSSRGHNGGLHASNENGTLQHAETGSNVNYRPYLSPLSVDSKFSTELLPHLGLELSKSISFLYQKLNEGNFHSSSGLDRLSKLVEPVKPNSESVKGIDEFENVEFCVIEQGVEMSRKDLSKLERSVSQSIDGSAIEIIDVDEILKGCDTESDEAAEDVLKVPSSNSCLEGVLVDDCRQEKNNEFSEPLTMQELEAAFHDMLITESPILESSSALGEFIEHGKFMESDYTASKVAKQSLSLDAVAETVASDFLKMLEMEHDPFSLDSNSALESPRERLLREFENEALASENFILNFGSRREEAEIGSISPGCGNISEDFALSSLILPSEEQKMENLSLKNRRKVKMLENLETEALMHEWGLDEKAFQSSPHIQTDGFGSPIELSPERVELPPLEDGFGHFILTKDGGVLRSMNPSHFRNCKNVGHLVMQVSRAVVLPARLGTDILEILQNLASLGIQNLSSQVNTLMHLEDITGKTLQQVSLGAAMERWVELQQDVPSEQDSFDPRKEVEGFQFCWNYDNLSSGLIGSDMTQGCVSAESLAPSAMNRIEALAIEGLKIQCGMSDEDAPSSVSPLSVSNMSFITGKDSNLGKFLSLEGAAGSESLDSRDDVDNVNRLMGFSITLGEWLRLDAGIIGDGDHNNEHMIQTLAAHQAKYNDLVSLGKASCRKHGLLGNNFTLALMVLLRDPIRNYEPVGTSMIALIHVERASVPPEQEIYCTVPEGDQEENPDSKEEGEEKEESTRYFRITDVHLAGLNTEPEKLHLWGTKTQQQSGFRWLLASGIAKSNMNTLSKSKAIVRFCPPSMKKTQAENVLWSLTSNVHEEETWCKELTDLGPHSRNPNVIFPN >OMO66979 pep supercontig:CCACVL1_1.0:contig12485:48732:54351:1 gene:CCACVL1_20879 transcript:OMO66979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1, alanine aminopeptidase/leukotriene A4 hydrolase MEVLQVHKQRKPGASTSSDSSQSSREATSSQFKGQPRLPKFAVPKRYDIHLKPDLKACKFSGSVSIELDIVADTRFIVLNAAHLSINPASVSFNHPDSSKVLQATKVGVVEADEILVLDFAETLPKGMGLLSIRFDGVLNDQMKGFYTSIYEHNGEKKNMAVTQFEPAYARQCFPCWDEPAFKAKFKITLLDVPSELVTLSNMPVMEKKENGHLKTVYFEESPLMSTYLVAVVIGLFDYIEAHTLNGIKVRVYCQVGKSNQGKFALDVAVKTLEYYKDYFDMPYPLSKLDMVAIPDFAFGAMENFGLVTYRETALLYDDQHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTDLWLNEGFATWVSYLANDKLFPEWKVWTQFLDHECSEGLRLDGLAESHPIEVEVNHASEIQEIFDTISYRKGASVIRMLRDYVGGQKFQNSLASYIKRHAWSNAKTEDLWTALKEGCDEPVNKIMNSWTKQKGYPVVSVKMKNQKLEIEQSQFLYSGSHGKGQWIIPMTLCCGDYESRKSFLMEKKAEIHDIKGFFSDTDKSSDPARSWIKLNVDQIGFFRVKYDEALGAKLRYAIENKYLSITDRLGILDDSFALCMARQMSLASLLTFLGAYQEELEHTVLSNLIKITDKVGRVVADAKPELMNYIKQFFIGLFLYSAEKLGWDPKQGESHLDAMSRGDVFTALAMLGHEETLIEGTRRFHAFLENRNTPLLHPDIRKAAYVAVMQKVNTTNRTGFESLLRVYRETDQSQEKVRVLGSLASCPDQGIILEALNFALSAEVRSQDAVFGLAVSKEGREVAWKWFKDNWDPIWKTYGSGNLVTRFVSSVVSPFASSEKVKEVKEFFTSRTKPSMARTLKQSIEQVEINAKWVQSIKNEKQLTETVNKLAIRKY >OMO66981 pep supercontig:CCACVL1_1.0:contig12485:61257:66703:-1 gene:CCACVL1_20881 transcript:OMO66981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVAAAKQFIENHYRAQMKNIQERKERRWVLERKLAASDVPKEEQINLIKDLERKETEFMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMVMRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLMREDTLTEDVAKFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCTTLPAIHENKPMDDENMSEPMDIDGCIPDADNKSSWRSPREQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFYSDDPITTCRKIVHWRNHLRFPEDSKLTHEAKDLICRLLCDVEHRLGTGGASQIKAHPWFKDVVWDKLYEMDAAFKPEVNGELDTQNFMKFDELNPPAPARSSSGPSRKMLTPKDLSFVGYTYKNFEAVKALRHSFDFKNPSMEQPSVDSIYGDPGVGYSTKRSAEETEVQMFESAGDPMLP >OMO66988 pep supercontig:CCACVL1_1.0:contig12485:96337:107338:1 gene:CCACVL1_20888 transcript:OMO66988 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding HORMA MVVELKVKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFNDKSVPALEMKIKKLMPLDAESRRLIDWMEKGVYDALQKKYLKTLLFCICESMDGALIEEYAFSFSYSNSDSQEVSMNINRSGNKKQGGTFKCNSTTEVTPNQMRSSACKMVRTLVQLMRTLDRMPEERTILMKLLYYDDVTPLDYEPPFFRGCTEEEAHNPWTKNPLKMEVGNVNSKHFVLALKVKSVLDPCGDENDDIQDDEVSLGANSVQRDESSDSDSEDIESQENQFIVAPADKQRPEEDNSIGDDDDTQDPMEDEQQLERVKDWINSRHLDTVEVTDVLSNFPDISVALTEEIMDKLVKEGVLSSIGKDSYTKKKQKTSEYDFMVKEETEDQVQTVRKSPKIEDHMYMKALYHALPMKYITVAKLQSKLDGEANQSVVRRLITKMTRDGFVEARGIRKLGKRVVHSILTQKKLLEIKRALNNDAMDVDTNDYEPQNKTTPQIHTTGGNLRDLSTCGVLHSIGSDLTRTRGRSDINQNGSIRSEQTISKSCRDHGNTPMSKPIASRESFVPGCENTRVNGNCDDVCSRPSQDKRGRKASTLCFLAHKFRGMESNAQNGVQQQQSAQRIQIYPTKSSGVSPFWKDKYERDAKKYWDQFYRRHQDKFFKDRHYLDKEWDRYFSVGCGAGNAVFPLIATYPDVFVHACDFSPRAVNLVKAHKDFAETRVSAFVCDLTTDDLSKQISPASVDVVTMIFVLSAVSPEKMPSVLQNIKNVLKPNGYVLFRDYAVGDLAQERFSGKDQEISENFYVRGDGTRAFYFSNDFLTSLFKEQGFNTEELGLCCKQVENRARELVMNRRWVQAVFRFSDCVTPYPDSEAPSKVDPCCLENVEPKTDLEPDKDFVVDMSEGMAVEMFGVTTANDNEIIDFEFGGHDFKIKVLSKEYQHTCKSTGLMLWESARLMAAVLAINPNIVAGKRVLELGCGCGGICSMVAARSAELVVATDGDTRALELLTQNIKSNLRPPFLNRLVTKRLEWGNRDDIQAIKAMNNEGFEVIIGTDVTYIAEAILPLFSTARELISSKGSAERDRAPALILCHIFRRVDEPSLLSAASEFGFRLVDKWPKGNPTAYITVTGVAAFYTGKLASWVLIKQGWKEYLPWRQRQPQELQHVEKSCHGPSPLQCRHCGHCPHCGRRGSE >OMO66986 pep supercontig:CCACVL1_1.0:contig12485:85013:88355:1 gene:CCACVL1_20886 transcript:OMO66986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHFSDESNVPTNTTAVAIDRDKNSPHAVRWAIDHLVITNPLIILIHVRLKNQGEGESDYDINQLFIPFRGYCARKGIQMKEVVLEDIDVSKALLDYISKNLINAVVLGASTRNAISRKFKHDIPTSLIKSAPEFCSVYVISKGKILTVRTAQRPVANTATPPRAPMISLDQTEDDRSPGSERLSFDNPARATPTRERDRLRNSPANLSLDSIEFGARGQSSGGNDSLSGDMDFPANLALGSMDISSQNLDFSMVSSSSSSESLSQSSRDVEAEMRRLKLELKQTMDMYSTACKEALNAKRKANELNQWKMEEARKFEEARLAEEAALQMAEIEKAKCKAAIEAAEAAQKLAEMEAQRRRHAELKAKKESEEKNRALTALAHNDVRYRKYTIEEIEEATEKFAESNKIGEGGYGPVYKGKLDHTPVAIKVLRPDAAQGQKQFQQEVEVLCCIRHPNMVLLLGACPEYGCLVYEHMSHGSLEDRLFRRGNTPPLSWRRRFKIAAEIATALLFLHQAKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVADTVTQYHMTSAAGTFCYIDPEYQQTGMLTTKSDIYSLGIMLLQIITAKSPMGLAHHVGRAIEKGTFSDMLDQAVPDWPVEEALSYAKLSIKCAELRKRDRPDLATVIVPELNRLRELGRSASESRSLGYCGGGHSSSGYSNSGQSRSRSLATSPLSRSRISSTSQV >OMO66969 pep supercontig:CCACVL1_1.0:contig12485:9654:10136:1 gene:CCACVL1_20868 transcript:OMO66969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLRNFGFDSPLFSILEDMLDIPEEQEKTRNNPSRAYVRDAKAMAATPADVIEYPNSYVFIVDMPGINHSEIKVQVENDNILVVSGERKREKEKDEKEGIKYVRMERRVGKFMRKFALPENANMEKISAVCQDGVLRVTVEKLPPPEPKKPKTIEVKVA >OMO72008 pep supercontig:CCACVL1_1.0:contig11518:12617:14002:1 gene:CCACVL1_17987 transcript:OMO72008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSNTLKPTVTNLKNPFFSSFLNQNPSLFSFNLPFSPSKTLQKPISATLLPPNPQSSQPPQLIIPSGGRQPQQQKQQLYQPFRPPPSPVPSQFRSLDAAGRIDVLASRLGLWFEYAPLISSLYQEGFTPPSIEELTGISGVEQNRLIVGAQVRESLIQSQTDENVITFFDTGGAELLYEIRLLSAQQRAEASRYIVENGLDAKGAQDLARAMKDFPRRQLDKGWKSFDYNLPGDCLSFMYYRQSREHANPSEQRTSALKQALEVAETESAKKEVLEELEGGADGKEEKEEVLDRVRVPVVRLKIGEVAEATSVVLLPVCKAEEKEIAILEAPLECRTEGEFGVVVSEKGWKRWVVLPSWEPIAGLRNGGVVVSFPDARVLPWRANRWYKEEPILVVADRNQKEVESDDGFYLVTVDDGGFKVDRGSTLKETGVKESLGTVLVVVRPPRDEDDQISDEEWD >OMO52083 pep supercontig:CCACVL1_1.0:contig15612:229:408:-1 gene:CCACVL1_29367 transcript:OMO52083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEGVENGQVAGVVSRLVEEERRQEGVERRLVVAVGNRLVEVEMKIQLLVEVNDSVQQEN >OMO87874 pep supercontig:CCACVL1_1.0:contig09161:815:2144:-1 gene:CCACVL1_08709 transcript:OMO87874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVALSSLSCPYKIDHSNEQSTLARKLGTFSITNQLERNVQLNESLRAEKTMETPALKPSSLVCPSIKFFQFPINTSKLPQVKARSLYLVPLNATLNSPRGFGPPPKKKKKTKKSKGGDEEDDEDEDEEEEFEADAGVIPEVVTNRMISRMGFSVGIPLFIGLLFFPFFYYLKVVLKVDVPYWVPFIVSFFFFGTALLGVSYGIVSSSWDPLREGSLLGWNEAQKNWPVFWQSIWGGS >OMP09879 pep supercontig:CCACVL1_1.0:contig02914:995:1147:1 gene:CCACVL1_01027 transcript:OMP09879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAEGMRSFTAHQFSSARSNMIISDYSLQQAEVSKMHPSQQVSKRTMGR >OMO52751 pep supercontig:CCACVL1_1.0:contig15453:6915:6977:-1 gene:CCACVL1_29091 transcript:OMO52751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIVDVPWLAFACDSSEMIE >OMO67870 pep supercontig:CCACVL1_1.0:contig12359:2175:6595:1 gene:CCACVL1_20243 transcript:OMO67870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDVGLWNLQCFSGQKELEDKSEKEGKETKLKWVFGWEVLLCAFLLMLVPIMLPMAKGFKHQSFLVLAVILVVINQSEQLQSSQTHNLLRLKLLLNYPDILSSWNSSTDFCNIEPTSSVTVVCYEESITQLHLIGKKGTPLLSRNFSMDSFVTTLVKLPDLKVLTLVSLGLWGPLPGKIARLASLEILNMTSNFLYGAIPHELSSVTSLQTLILDDNMFSGRIPEWLGSFPLLTVLSLRKNLFNGTLPDSFSNLENLRVLALSHNHFYGEVPDFSSLTNLQELDLEDNAFGPRFPQLGNKLVRLVLGKNRFRSGIPSELSSYYQLQWLDLSFNRLVGPFPPSLLSLPSITYLNVADNKLTGMLFENTSCNVALEFADLSSNLLTGQLPSCLSDSKDRVSLYARNCLATGKGNQHPLSFCRNEALAVGILPQRKKSKPSKVALALGITGGVIGGIVLLGLIFIFVRRLNANKVTKKPTTRLIQEKASTGYASKLLSDARYISQTMKLGALGLPAYRTFSLEELEDATNNFDTTAFMGEGSQGQMYRGKLKDRTFVAIKCLKMKKSHSTQSFMNHVELISKLRYRHLVSSLGHCFECYLDDSSVSRIFLIFEYVPNGTLRSWISEGNARRTLTWAQRISATIGIAKGIQFLHTGIMPGVYSNNLKITDILLDQNLVAKISSYNLPLLAESGGKVGHGTFALPKDPSNSTRVTYEDKVDIYDFGVILLEMIMGRPLKTRKEVQIFTNQLQAILGTDDATRRSITDPAVQNSCSDQSLKTMMEVCGRCMQKDPAERPSVEDVLWNLQFAAQVQDAWRTDSHSSEGSPGSPFPHLRVSFH >OMO67873 pep supercontig:CCACVL1_1.0:contig12359:22411:29731:-1 gene:CCACVL1_20246 transcript:OMO67873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLTSCSFSAVNFRFRPNSMFRQRIGVQVFESRKLRRNLCFLCRGIISKELSREKSFKVSCISNGNNNDGDYSNNDGDIKGNDNHNASSKESTVTTASPPGETVPEERSTSNDPPPSVSSRPPNIAPLGSAYSDFQIDSFKLMELLGPEKVDPSDVKLIKDKLFGYSTFWVTKEEPFGELGEGILFLGNLRGNREDVFAKLQTQLAEIMGDKYNLFMVEEPNSEAPDPRGGPRVSFGLLRKEVSEPGPTSLWQYVIALLLFLLTIGSSVELGIASQINRLPPEVVKYFTDPNAIEPPDMELLYPFVESALPLAYGVLGVLLFHEVGHFLAAFPKKVKLSIPFFIPNITLGSFGAITQFKSILPDRSTQVDVSLAGPFAGAALSFSMFVVGLLLSSNPDAAGDLVQVPSMLFQGSLLLGLISRATLGYAAMHAATVSIHPLVIAGWCGLTTTAFNLLPVGCLDGGRAVQGAFGKGALVGFGLTAYTLLGLGVIGGPLSLPWGFYVLICQRTPEKPCLNDVTEVGTWRKTAVTVAILLVVLTLLPVWDELAEELALVLPWLTDGELATIFMTCKTLHRLARPITHHRSLDASRSFEKFPIPFYNSVDHFPYAYFIYTPFQVIPSSTRQFWGPNDLPCQFGPNSSDADFPLSREGNGEMNKPESSLVSLVDESGCECEACEKVSEDNVIGCPCMELDAAEGMGIMSECGPSCGCGSECGNRVSQGGIRVKLKIVRDVRKGWCLHAAQSIQQGQFICEYAGELLTTQEARKRQQIYDKLASKGNFSSALLVVREHLPSRKACFRINIDTTRVGNVARFINHSCDGGNLSTVLVRSSGALLPRLCFFASKDIEEDEELTFSYGEDRVRPNGLKCFCNSSLCFGTLPSENT >OMO67872 pep supercontig:CCACVL1_1.0:contig12359:19448:20895:-1 gene:CCACVL1_20245 transcript:OMO67872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPHCPGAMSETRRRSTATRATMPAVEADEPLVVANTPVPAAPCGACKFLRRKCITGCIFAPHFGSDQGAARFAAVHKVFGASNVSKLLLHIPVNRRQDAVVTISYEAQARLSDPVYGCVSTILSLQQQVASLQAELAMVQNQLINSRFAMANALQTSQQQQQQQAQQQQQQHQQQHQQQIALLQPAYSNTSSASNNNLINISNFTSNFDLVAETTAPSSQSMDHLHLSRPCHDDEDDEEESRIPAIFANDIIHHR >OMO67871 pep supercontig:CCACVL1_1.0:contig12359:10367:13964:-1 gene:CCACVL1_20244 transcript:OMO67871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQIIDANDDVVLLQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >OMO53097 pep supercontig:CCACVL1_1.0:contig15332:11465:11642:1 gene:CCACVL1_28886 transcript:OMO53097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPSSKKKTSLPLTPGHRPAMSECDCESRRGFNGFEIMRNLETNTGGSSTSDNNGKTI >OMO53096 pep supercontig:CCACVL1_1.0:contig15332:10557:11118:-1 gene:CCACVL1_28885 transcript:OMO53096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYHQTANFVVEAEMVVTEAGNMAAAAALMEKASFFA >OMP07003 pep supercontig:CCACVL1_1.0:contig04731:376:618:-1 gene:CCACVL1_01401 transcript:OMP07003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLGLLSGEPKYPETVIPFRLTSSSTYVSSTSSPDSVKSRPETRLGNRKETSSSSGTGTLSLLTAPLDSEQLSSSDYGKT >OMO55675 pep supercontig:CCACVL1_1.0:contig14608:154:2372:-1 gene:CCACVL1_27094 transcript:OMO55675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MGFWTLFEVASMPILQVLLISMLGAFMATDYCKILPPDTRRSLNKLVFVAFTPSLMFANLAKTVTLQDIISWWFMPVNIGITFLVGGIVGWIVIKILRPKPHLEGLIIATCSSGNLGNLLLIVVPAICNEDGSPFGNRNVCSTVGLSYASFSMALGGFYIWTITYHMMKTSAVKFKALEAAEQVVSKEANNKLDATAQTQLLIKAEQQQQELESSSPNTYQKEKASWVKVVHQILEELMAPPTLGAILGFIFGSITWLRNLIIGDGAPLRVIQDSIQLLGDGTIPCITLILGANLIQGLRSSTIRPLIIVGVICVRYIIQPIIGIWVVKAAGNLGFLPPDPLFRYVLMLQFSLPPAMNIG >OMP11922 pep supercontig:CCACVL1_1.0:contig00697:2250:2333:1 gene:CCACVL1_00215 transcript:OMP11922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPRKGDSSGISSQNNPKIIRCSSSSKF >OMO69261 pep supercontig:CCACVL1_1.0:contig12084:14156:17061:-1 gene:CCACVL1_19578 transcript:OMO69261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MDRARNGDEFHQPLLTEPRPEDKAKAEVIKDEEEENDLTKRVLIESKKLWVIVGPSIISRVASYSMNIITQAFAGHLGDVELAAISIANTVIVGFNFGLLHEHQWMGDDDTNGFLGSYRVALIFTSSSDVLREVDKLSYLLGITILLNSVQPVLSGVAIGSGWQATVAYVNIACYYVVGLPLGFLMGWVFDLGVGGIWGGMIFGGTFVQTVILAILTIRSDWEKEAQTAKQRVEKWGGDQVEQ >OMO69260 pep supercontig:CCACVL1_1.0:contig12084:221:3487:-1 gene:CCACVL1_19577 transcript:OMO69260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSHQVFEINGVLVRAEKLYVQRDEVAKGIVELIHRHSIKKLLMGAAADEHFYEY >OMO69262 pep supercontig:CCACVL1_1.0:contig12084:22477:34348:1 gene:CCACVL1_19579 transcript:OMO69262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLKMDGAATSHSFFDSSQASGSGGGGGGGGVRQRATECLATDPSTTNPNNCPTSKINSITTTLPITAKTNSMHTPKYSSN >OMO90995 pep supercontig:CCACVL1_1.0:contig08398:10327:10392:1 gene:CCACVL1_07238 transcript:OMO90995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGESRGERVDWLKRGERGV >OMO69835 pep supercontig:CCACVL1_1.0:contig11968:48232:48366:1 gene:CCACVL1_19237 transcript:OMO69835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRLYMTASKRLYMTTFRCRNQDSGVWTPQNNDILYNGDLDGV >OMO69834 pep supercontig:CCACVL1_1.0:contig11968:23813:23881:1 gene:CCACVL1_19236 transcript:OMO69834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLNLDGETEGEDGAEEKNK >OMO65418 pep supercontig:CCACVL1_1.0:contig12654:67334:68801:-1 gene:CCACVL1_21531 transcript:OMO65418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich receptor-like protein kinase MSTTQRSESMNKYFKDYVNASTPMSKFVIQYDKALDARYNKEREQSFKTMNSKPILRTMYPMEKEASMVYTRKMFRKFQDELIHSQQFVAEKINAVEQVYKYGVHEFDKKKPEYIVTFDVSSTTTAYRWTRNAKEGEVDITSDHDNNAPTSSMMLFNSVMRLFEELDGLKIEDDKGNNPTDVVISKNVPEAVLRDEETHLLSQNSILLDPPHCGSSAEITSDPNATKQVFSSHIDQDSNTPQNLNQGQGSMSLESQSHPTNSTDLTTTQTMQSGTSEKA >OMO65413 pep supercontig:CCACVL1_1.0:contig12654:18648:18815:-1 gene:CCACVL1_21526 transcript:OMO65413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHQVIRVWEAPARYPSTIESGRFSLLCETSLTRCPPKPSLDLHGSTLDLERAG >OMO65414 pep supercontig:CCACVL1_1.0:contig12654:23021:25397:1 gene:CCACVL1_21527 transcript:OMO65414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein MEDTQSVATLIDSTSSKIQQLQKAFAELESHRAVTLNLKWKELEEHFHGLEKSLKRRFHELEDQEKVFETKTRKAREMLQKREAAVVAKEQASLVRLQEKRDAAVFAITNALEKNRKISSEEPAGVSCDGDNGELSVEDKPPDSVASESNSEDIKSPFENDNFEVKSYPELIKLCDEMDSEGLHKFISDNRKNLAALKEEIPWALKAAPSPALLVLESLEGFYISELPNVDGKKDSNLLGLRRTCIMLMECLSFLLSNLDDNSVSTLISEDVKEQAKSIAEEWKPKLDALDIDASNGNSLEAHAFLQLLATFGIASDFNEEELSRLIPMVSRRRQTADLCRSLGLSEKMPGVIEVLVSNGRQIDAVNLAFAFELTEHFSPVPLLKSYLKEARKTSSPVKPGNASPTAQTEVNERELTALKAVLKCIEEHNLEEQYPADPLQKRVLLLEKAKADKKRATEAAKPQPKRPRANGAGYGPRVTNIAADKTFYPRVTDRYPPQYVYDRPYVYPGPADNHGPSLLGSATYNFSPSHGNYFGNGYQYQATYLH >OMO65416 pep supercontig:CCACVL1_1.0:contig12654:55993:59834:1 gene:CCACVL1_21529 transcript:OMO65416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MRIAEISTPELRQPAQDPQSPHHHHHQHNQLISQLESKIKQTEAHPANAPLPHSLPSDLRQIVTHLTQLAPFPTVNNSFKLHLWKLSYRLWNACVDLSNAAAIRSPSSKTSTLNVVKLRHIAADMLALAVHVEGVPSPLIKSASFYYKTGLAWHDLKIFDLASTCFERATDLISKLDIKKLSDAGERKLLLDLNLARSLTAWEISDKNLAITLLNRSKILLFGSPVHFRALANQFLALAKTVLSRTENNGSSFNECLKLMNEALELCEKGLSVARTREETVEIKELKSKTLRFISAVHLQNGEFESVIKCVKVLRKNGGESGDHASLPVLAMKAWLGLGRYNEAEKELKSMVVNKGIPEGVWVSAVETYFQAAGNAGVETAKGVFLGLLGRCHVSARAAVRVVHRVIGEAGGIEGSKIRAKVVAELASDERVVALFAGETVAKERTAMHAVLWNCGSANFQLKDYETSAEMFEKSMLYIPHDTENRVLRAKGYRVLCLCYLGLTQLDRAQEYVNEADKLDSNIICAFLKFKICLQKNDHGGAINQIQTMITCLDFTPDFLSLSAHEAVASRALPVAVAALSNFLNFYTSGKPMPTTEVILTSLSVGTQLTDDKVTTIDADLFFIYVLNAYEMHGRLNNSETQQHLVKSFAGTKACSPEFLLQIGLNASQGPRFNAEVATFALSECLSGFLSSPSPDYQNVALIVRRLITIASIHKGDTEDDAVLSLYKQAYRIMVGLKEGEYPTEEGKWLAMTAWNQAALPVRMGQIDVAKKWMNVGLELAKKVARMETYQACMEDYVTGFEKKFDKPITGESRSQLVQ >OMO65419 pep supercontig:CCACVL1_1.0:contig12654:72087:72320:1 gene:CCACVL1_21532 transcript:OMO65419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLSHAPNPATKSSVSLSPLSPPSIKIPTFSPLTAKPLSSTFTRQATRAISTVTPHATSISSETTTQQQPSMASE >OMO65415 pep supercontig:CCACVL1_1.0:contig12654:53216:53344:-1 gene:CCACVL1_21528 transcript:OMO65415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAIVFGLVESGLGTETESGLQSFGLNGFWEYEIVCLRRE >OMO65420 pep supercontig:CCACVL1_1.0:contig12654:73287:85224:1 gene:CCACVL1_21533 transcript:OMO65420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLSLACEGVDYLKYDKCYYNTGVSPKDRVNCTIDISQ >OMO65417 pep supercontig:CCACVL1_1.0:contig12654:60154:62340:1 gene:CCACVL1_21530 transcript:OMO65417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLSMILSSSPPLQNHPKTHKFPSNKSSPFFPNSISIHQQNNFPSTKLNSPSTFPQSQSHQEKPLSLLKNSSVSFTLFNLFTSLPCLAAETVFSSTEPGKISLEAVFVSIDDFFNKNPFFVAGCTFIWLVAIPLTKEYLSKYKFISAIDAFRKLRDDPNAQLLDIRDTKTLASLGSPNLKYLNKDSVRLQFTAEDEDGFVKKVLEKFPDPANTVLCLLDNFDGNSLKAAELLFQSGFKEAYAIRGGVRGKKGWLAIQDTLLPPSVHIKPMKKKKKKVKISQQVGINGAVSQVEDNKEDSSSTSSLVAESQTINGEATESVARAKVGSQSSSPYPN >OMO89403 pep supercontig:CCACVL1_1.0:contig08733:13423:13515:1 gene:CCACVL1_07862 transcript:OMO89403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQQKRNIPAMVETFSIVHSKQNGASIFS >OMO72473 pep supercontig:CCACVL1_1.0:contig11442:30652:32108:1 gene:CCACVL1_17780 transcript:OMO72473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYFGTAYGGDPGVPHADPTRFTNIWIGSAAWHDKAFLFEQYHWKKAREKKQPYKFKWNEYMDRELRDAYYNNWPVYFP >OMO72477 pep supercontig:CCACVL1_1.0:contig11442:40380:48062:1 gene:CCACVL1_17784 transcript:OMO72477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase MKFLRHGSWKYPINNVQSSLQGGLEVVTHVKSSHKEQTCLYDGVELEALPYIQTLKNFPKEELFAKVVMVRFDSNILLGEKLDWSSRSASKALYTIKYLHKSGAKVILVSSWKRKKNSELLTAEAVADILSSVLQHKVVALRCISCDMPVKREDLQKADIFLLENLSDYKEEVSNCSKFAELLSSEVDIFVNDSFSQSHKVLASTVGVARFCFASMAGFLFEESLHQLKKTAKTNKKPYIAIIGGGNLNNKAAAIEFLASRCDALVFVGLMSFQIMHALGHSVPTNLLERKAHKTALDIVQFAHEKHVLISYPEDFWCVNQHLPKQMGVFRAHGVLDGWLPVDLGPKSLDEINSLVTNSKRVIWIGPVKFKSYSPYTGGTSKLAQMLYKQSQCDCEVTIVGKMAHEIAKNESGSLSSFNMLENASAVWEFLKGQKLPGVVALDRAYPFEIDWNVAYCDPSRPLVVDIGSGNGLFIMGMARKRKDLNFLGLEINGKLVRRCLDSVHQSGITNGYFIETNATSTFRSIVSSYPGELVLASIQCPNPDFNKPEHRWRMLQRSLIEAVADLLASKGKVFLQSDVEEVAMRMKELFLKYGKGKLCLSHDHHNVKPNGNTWLEENPYGIRSDWEQHVLDRGAPISFVEKLGVIVAMIDSFLESLTHFPHNQTLERKTMENNEQKNHAIMIPYPFQGHVIPFVHLAMKLASKGFTITFVNTESIHHQITKSHSTTSPTSDVDDDIFAEARKSGLDIRYSIVSDGFPLGFDRSLNHDQFFEGVLHVMSAHIDELVANIFKQSPPPTCLIADTFYVWSSMISNKYNLVNVSFWTEPALVFTLYYHIDLLKANGHFACIENRDDIIDYIPGVRAIEPKDMMSYLQASDISTVVHRIIFKAFEDVKKADFIICNTVEELEHETISALQEKQPTYAIGPIFPTGFTKSVVATSLWSEFDCTQWLDTKPHGSVLYVSFGSYAHVSKNEIAEVAYGLLLSGKQNKWDKVAEKIHRVIGGKSNDDDELRKNIKEVKRELENALSTVGSSVVRRCLDSVHQSGITNGYFIETNATSTFRSIVSTFQLPRRIGSCFDS >OMO72467 pep supercontig:CCACVL1_1.0:contig11442:3251:9198:-1 gene:CCACVL1_17774 transcript:OMO72467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKKTEKNYRAKLDPRNIASDLELDPKDYISGLPDSILYHIISKLPFEFAVQTCRLSTQWKDVWQKALLEMVEDPSLEDIDITLTNFLEQLSEFNRPTQNCGFKFNFGRGRFFFVAITPNNKLVFDSSFVGEQKLSEPFDLLLPLNLPKPHPDNKQHFPEHIKVKSLHLKSVSSLICKTLSSFLSKKKLPFLESLTIEKCNGLQSLEINEACRLSTLIVLDCPKLEFLSIRHNLFLDTFRYRGRYVSFQYLYGAIRVRDVMLDFRHGSIYNNNYWTSSSSRGYTNCHVKELWWIDCSIEGNQVKFLLRFLKECPFLERLYVTIDPNCYPDSCKLTDRFSRRFTNENGKCFHAAKQTLSHLKLVKLEGFPNEKEEIKFVRKLIPLSEETPEIIAKSPDGTCLRQLVKVARPEKNGKNPYSNRFKVLKHNAFTDHSHMNFLFDLKLKLDRKDYISGLPNDIVYHIISKLPFEVVVQTARLSTQWKDVWQKALLAMVEDPSLEDIDITLTNFLEQFSEFNRPRQHRGFKFNFGGGRFFLVAITSNNTLVFDFSSLGEQKLSKPFDLLLSLNLPTPYYKQPSPEHIKVKSLHLKSVNSLVCEALSSFLSKKKLPFLKSLTIEKCNELQALEINKAKGLKTLIVLDCPKLESLSFNHSLYLECFRYRGRYVPFYYLSVVIRDVMLDFRQGLVYNHKENCENLGLLTFNVRNSCSLTICRWFFESIYNNHYWISSSSRGYICQVDPNCYPDSCKLTDRFSRRFTNENGKCSHATKQTLSHLKLVKLEGFPNEKEEIKFVRKLIPLYEETPEIIAKSPDGTCLRQLILANPNAAAAPISITSTTNLPVKLTSSNYTSWKAQMDALLVGLYLAGYVDGSFPLPPFEIQQEGEMIPNPAYNLWLRQDKLILHALITSTSESILPYIASATTSSEAWNTLAKVFANKNRSRIMSLKEQLSLTRRDQMAVGDYIQHMKQLADAIRMAGSPVEDDDLVLHILKGVGPDFKDVVAAVRCRETPMSIDELHSTFTAHELHLKNEAAVASMEVNIPSANFTRRVNNNNSNHGRGRFNSRFNGPRTYSNHSTVSNNNNRPTCQICDKFGHSVRAT >OMO72472 pep supercontig:CCACVL1_1.0:contig11442:28620:29741:-1 gene:CCACVL1_17779 transcript:OMO72472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGGVENTRDTRVSEDQRSLPLERSKADDFGRAVSKIAVAQVCESVGYHGCKESALEALADIAVRYLCDLAKNASFHSNLAGRTECNLFDITQALEEMGVSFGFPGASETGSCLIGSGILKEIIQFVESHEEVPYDQPVPQFPIVRNRKLIPSFEHMNETPPGKHIPTWLPAFPDPHTYIHTPMWNERVSDPHADKIEQARQRRKAERALLSLQQRLVLNGSTGTSAAMDIDSKREKLQESGKNPFFAAPLQPGEKDVSQVVLPTKLSDEASKGNHVSVLEAFAPAIEAMKGGPSNDLDGEKSFLPEKRPAVHFTFRTGKKILGDSLDLSLQKKGERSTTFCLRDEERDDKKRRAEFILRQTTDFPMELNQS >OMO72475 pep supercontig:CCACVL1_1.0:contig11442:36057:36416:-1 gene:CCACVL1_17782 transcript:OMO72475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGLIVLRLLMRKLKRVFSEFPLTGRDQDFVEFDDEHVEEVKKIPKDVKEGHFAVIAVKGGNPKRFILELSYLRNPAFLKLLEQAKEEYGFQQMGALTVPCHPEELQNILEDGLNGIASI >OMO72468 pep supercontig:CCACVL1_1.0:contig11442:15631:15954:1 gene:CCACVL1_17775 transcript:OMO72468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MFTPFFQIPRKGFWVSSAARAPSFNHYSEFDEAVIGVPNDVKEGYFTVFAVKGTETQRFVIELNNLTNPAFLSLLEQAGEEYGFKQKGVLSLPCRPQELQAILEDWC >OMO72469 pep supercontig:CCACVL1_1.0:contig11442:18212:18556:1 gene:CCACVL1_17776 transcript:OMO72469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MMFRPFFQKLRQGLWIPASGGLPALHHAKFDEDKSMSKAVPGDFKEGFFTVFAVKGKETGRFVIELDHLTNPEFLSLLDQAREEYGFQQKGALSVPCRPQELQQILDHTKHNSA >OMO72471 pep supercontig:CCACVL1_1.0:contig11442:22325:24635:-1 gene:CCACVL1_17778 transcript:OMO72471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFDSNILLGEKLDWSSQSASKALYTIRYLHKSGANVILVSSWRRKKNPKLLVAEAVAGVGFAEAKVGVNKPELLPKEFTPVIDVAGFLSDGQEKRIAQEISNIEKDTGFKLRVLAQNYPDTPGLAIKDFWRVDDTTIVFVADPTFGKGFVYVIVF >OMO72478 pep supercontig:CCACVL1_1.0:contig11442:49867:51463:1 gene:CCACVL1_17785 transcript:OMO72478 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEKNEQKNHAIMIPYPLQGHVIPFVHLAMKLASKGFTITFVNTESIHHQITKSHSSTTSPTSDVDDDIFAEARKSGLDIRYSIVSDGFPLGFDRSLNHDQFFEGVLHVMSAHIDELVANTFKQSPSPTCLIADTFFVWSSMISNKYNLVNVSFWTEPALVFTLYYHIDLLRANGHFACIENRDDIIDYIPGVRAIEPKDMMSYLQASDISTVVHRIIFKAFQDVKKADFIICNTVEELEHETISALQEKQPTYAIGPIFPTGFTKSVVATSLWSESDCTQWLDTKPHGSVLYVSFGSYAHVSQNEIVEIANGLLLSGVSFIWVLRPDIVSSDETDFLPVGFEENIKGKSMIVPWCCQIAVISHPAVGGFLTHCGWNSILESVWCTKPLICFPLLTDQFTNRKLVVDDWRIGLDLCDDKKQIKRDEVAEKIRRVISGKSNDDDELRKNIKEVKRKLENALSTVGSSEKNLNQFIRDMEMKSKRKRSVANHIC >OMO72474 pep supercontig:CCACVL1_1.0:contig11442:33302:35258:1 gene:CCACVL1_17781 transcript:OMO72474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLQYPSPSFTTTRLPSFSVPIRASSRLSLPTTTPTFNSTSFSKWVPDFRAKSLNWHSYEPDFVGVGFAEAKVGVNKPELLPKEFTPVIDVAGFLSDGQEKRIAQEISSIEEDTGFKLRVLAQNYPDTPGLAIKDFWQVDDRTIVFVADPTFGNILNFNVGATVDLDIPRSFWSRLAGKYGNMFYWKEKGEDASIEAAVMAISNCLKEPVGPNNCSEVK >OMO72470 pep supercontig:CCACVL1_1.0:contig11442:20619:21005:1 gene:CCACVL1_17777 transcript:OMO72470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MKNWEQRHTGLVVLRLLMRKLKRVFLEFPLTGRDHDFVEFDDEHVDEETNKIPKDVKEGHFAVIAVKGGNPKRFILELSYLRNPAFLKLLEQAKEEYGFQQMGALTVPCHSQELQKILEDGTKGIASI >OMO72476 pep supercontig:CCACVL1_1.0:contig11442:38316:39668:-1 gene:CCACVL1_17783 transcript:OMO72476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MEEEFRGPPIDVVLELVLGFIFCIWAALTVFGKFLSIHPDSEENRIVSLSANLDFMIFNHRARAFPLEVDMKLKH >OMO52704 pep supercontig:CCACVL1_1.0:contig15472:3469:4092:1 gene:CCACVL1_29110 transcript:OMO52704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAFFVSKADDQPPPSAAATTKLEPILFQDNFIPNNTTNTNINLHHAADTDSNSLDLLSQLQAIKVLVNEASNYATHPQFHNNDYSSSSSSPSSSSCSTCSTAAAAQQQPPSALPFCWRDFLLEDALLPAHQDQQQDHQMLVEFSSKETPPQSHCHNETNHANSGLPTYGFHASSSTTDTSFVAAMLDQENDMFSEFANLLEDPCY >OMO52705 pep supercontig:CCACVL1_1.0:contig15472:4822:7858:1 gene:CCACVL1_29111 transcript:OMO52705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAISLYRGNLHRAPDIPRRWLMPTPKISLKDFKSLLHRRNKALSRLRSSSSSSDPDPNPNPNPDSKPRLQSPKQNAPPIEPKLDAPVDSELPQDCKSGAPPTVTQVELVEGSKAEDCLLKLEDEQPDKDEIMQVDEKPPEETKANVEVLCLKFMKFLSVGGRQITNIHVIENVSELNEKEKRKRDVEDKLQILNAKKHNLVQVLKQLLNAEEELKRRNSIQGTGIGPAGQLQVEMTNDSVSMTRIVTPRIGSEANLAGENEGVEADDVSNPNIHSRHVFRMSSTSPSSESPLRRPTYLQHNVVPHPSRATMGVTGSPSRFAPIGNQGHPGNPPTVSVSGTNYVASSPSPAASGGTSVFREARQPSPWN >OMO62067 pep supercontig:CCACVL1_1.0:contig13367:29041:29166:-1 gene:CCACVL1_23048 transcript:OMO62067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHLEIRSVAEEITIPPTLFMAVVEDAVDQLFSLVPTPTT >OMO62066 pep supercontig:CCACVL1_1.0:contig13367:7036:7122:-1 gene:CCACVL1_23047 transcript:OMO62066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTECSPKYVQLLEARAITTVRKKSAR >OMO65699 pep supercontig:CCACVL1_1.0:contig12627:13404:16278:1 gene:CCACVL1_21436 transcript:OMO65699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase/Amb allergen MLPFTCILLICFLGSFSCFARAAFNLTLPHQHPDPDSVAQDVQRSLNVSLSRRQALSITEKDQCLTGNPIDDCWRCDPNWYNNRQRLADCSIGFGQGTLGGKGGRIYVVTDSSDGNPANPKPGTLRHAVIQDEPLWIVFSTNMVIKLKHELIFNSYKTVDGRGANVHITGNGCMTLQYVSHIIIHNIHVHHCKPSGHTDIASSPTHVGWRGKSDGDGISIFGSQKIWIDHCSLSYCTDGLIDAIMGSTGITISNSYFTHHDEVMLLGHDDRYLPDSGMQVTIAFNHFGQGLVQRMPRCRRGYIHVVNNDFTAWEMYAIGGSANPTINSQGNRYTAPGDPNAKEVTKRVDTNEKDWTDWNWRTEGDLMVNGAYFVPSGAGLSAQYAKASSVEPKSAALIEQLTFNAGVFGEAR >OMO73322 pep supercontig:CCACVL1_1.0:contig11265:441:1983:1 gene:CCACVL1_17331 transcript:OMO73322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEAENFPPLFRASFSDSTRNTAMILFQ >OMO73323 pep supercontig:CCACVL1_1.0:contig11265:3287:3367:-1 gene:CCACVL1_17332 transcript:OMO73323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQNFHFEELSHLTPAAMPLKAKFWEL >OMP05160 pep supercontig:CCACVL1_1.0:contig05506:23786:23990:-1 gene:CCACVL1_02038 transcript:OMP05160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DTNTQQSGCGGSALSLSLSAEINGELRVETSLF >OMP05159 pep supercontig:CCACVL1_1.0:contig05506:444:13447:-1 gene:CCACVL1_02037 transcript:OMP05159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAGPSLPPPLNDKISDLTIGVQTVGRRGFEDDLQDRIVEIILTSTTLKLGF >OMO59483 pep supercontig:CCACVL1_1.0:contig13947:70311:70448:-1 gene:CCACVL1_24796 transcript:OMO59483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFERLTKVHLKGDAQTISNALDFPPTTSQWQDLFKGRATRPRLQ >OMO59480 pep supercontig:CCACVL1_1.0:contig13947:29074:29238:1 gene:CCACVL1_24793 transcript:OMO59480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRTLVMAIFLLWRALEMTKLLPPLMLGTMLMGNRQTPRMLLKATSLLLALNL >OMO59481 pep supercontig:CCACVL1_1.0:contig13947:61927:64891:1 gene:CCACVL1_24794 transcript:OMO59481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQNHCSPNDYFHRSERFEELRLVGEGNP >OMO59482 pep supercontig:CCACVL1_1.0:contig13947:67052:67387:1 gene:CCACVL1_24795 transcript:OMO59482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPGSTASAENKTQTIGDQDDQSKVKKQLINDAIEESRSKLRFARNGQATIRDTMFESKNNANTQRTPNLLIPTI >OMO95804 pep supercontig:CCACVL1_1.0:contig07606:41487:41561:1 gene:CCACVL1_05235 transcript:OMO95804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFEGSQCPGMVLNLDELAGVT >OMO95805 pep supercontig:CCACVL1_1.0:contig07606:56936:58831:-1 gene:CCACVL1_05237 transcript:OMO95805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DQTEGRTSPKALNLCCSDGSPESETLTQLQELYTFKHDHTPKVRKPYTITKQREKWTEEEHQKFLEALRLYGRGWRQIEEHVGTKTAVQIRSHAQKFFSKVVRESHGGFEGSVKPIEIPPPRPKRKPMHPYPRKSADLLKGMSPSSQPERSPSPNQFFKAQDNKSPTTVLSAFTSDAMGSAASEQQNGCSSPTSCTTNMQSVNTSPVEKDNDNATSNSSEEEEKASLSSVKVFCHSAMEDILSMKHNAAFQDSTCVKGDATKVGPFTSIKLFGKTVQVKEFHKPSMGSENSKSPKSMTAQADIDAVNEMLVQVLPSTHIDTRLSLGTVIDNWNMVPSRTNLFPCMEIHSQENDHVESNSDAPLPWWSFYQGLPLYYVTSFDQTHADSRAEERMKEKDTLIERSSTGSNGSVSQADNREKNSDSVDSQCQQPCLRGKLTLQKCSKGFVPYKRCLAERDTSSSVVVSEERERQRARVCS >OMP07140 pep supercontig:CCACVL1_1.0:contig04643:894:998:-1 gene:CCACVL1_01368 transcript:OMP07140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVATIKRLATTAGRYALLSRDPYSNQKTKGPY >OMO74289 pep supercontig:CCACVL1_1.0:contig11145:1663:4724:1 gene:CCACVL1_16843 transcript:OMO74289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFCRSAIMASSRSAASRSRTITLKSLTPKPVSSPFSSPSTRSLSGASRILSVLGGVESMMPLHSAIASARLRSSIAVDSSCWSWLSQGSGSA >OMO74293 pep supercontig:CCACVL1_1.0:contig11145:20442:23050:-1 gene:CCACVL1_16848 transcript:OMO74293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSSTRKRQRVPHHHTSSTRLSSTAAVIESFSDTTISDSSQKLRRTSSSSTISSATAITPKTSASSFNDPSTADVVLRLFIEDSPFDSASSDSADRSDIQIYLHSHVINRSKYFAALLSDRWQREKTIGRNNNAAEDSGNDDHSKFLHLNLGVLDHPNSITVHLTVLQLLYTNDFATVINSASTALEILPVALELLFEECVKSCVKFLEAVPWSEEEEKRVLCLIPFLREEESKELLARVSPGKDDSCEEMLHGLILAAIHSHPNMAFVKAFVAKLLRDFSSRESARRVLERAFETSLKIVKESLEEYSSPDFRGDHNETEAIQRLNLHTAMTNGRHLLWLVERMIELRVADSAVKEWSEQAAFTADLQRAFRDDAWRNIVPGLPAVVLRCTCKLANAVAAGTILAARQVRMKLVKDWLPVLIVCKDNVSPMLPSHKTLYLELEETFLRIISTLPMSDAQSLLQQCLSFSTRNFEDCPHLVTAFNTWFRRATQPPQLENLD >OMO74292 pep supercontig:CCACVL1_1.0:contig11145:18856:19806:1 gene:CCACVL1_16847 transcript:OMO74292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease CAF1 MAVRPVYRENLEKELQHIKYTIARCPFVSIDTEFPGTVYKPETRPNPNDAETNYQYMKANVDALKIIQLGLTLSDAQGKERHVWEFNFRDFDIDQDLYAEDSIELLKQQGINFEKNKGMGIDSKCFASKLWVTNLMFNPSLFWVTFHGAYDFGYLLKILTGQNLPSNLTDFQRELHRFFGSQIFDIKHTVYGGLERVAESLGVDRVAGLSHQAGSDSLLTMDCFMKLRDRGHFQKDSQDYGKIVAPLGLYGLVSNPCWKPLTRRLPPPLLAARRHPYPMISVAPFSKRLRSPPLPAAWQPYPMISVGPFSSRGIFV >OMO74288 pep supercontig:CCACVL1_1.0:contig11145:68:1222:-1 gene:CCACVL1_16842 transcript:OMO74288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIFARNSVNALRARHLAVSGQVLQGSQHSGLRLSAHSYSTKKDDEEREQLAKEISKDWSSVFERSINTLFLTEMVRGLSLTLKYFFEKKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEA >OMO74290 pep supercontig:CCACVL1_1.0:contig11145:7147:8803:1 gene:CCACVL1_16844 transcript:OMO74290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRNSPYQQPFSKGLLSWLDVRVFYVRVSKCETDDSTPEYLTLNHVPLNPDTLLEVNGDRIGIYSDGASTLLRRDRLDKKSEEATFVSTDSIRLTGSVKFEVFHKDTLLLSGVLELHDSNGHTEESRGSDQKWSMNSVINAGTGFLKAKQFISPDSASPTIEVYVAGSFLGSPIILTRTLQPCFRKKQMKKGMLDSIPEYEATEGQKEVNPLQIPDYLNHKPENEEYNHMYSGIDYFDGEDGELSWFNAGVRVGVGIGLSICVGIGIGVGLLVRTYQGTTRNFRRRLL >OMO74291 pep supercontig:CCACVL1_1.0:contig11145:9770:10648:1 gene:CCACVL1_16845 transcript:OMO74291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease CAF1 MENMAVHVIPVYRHNLDEELRHIKDTITRCPFVSIDTEFPGTVYIQETRFNPNDADTNYRFMKANVDALKIIQLGLTLSDAQGKERYVWEFNFRDFDIDQDLYAEDSIELLKQQGINLKKNKGMGIDSKYFASKFWITNLMFNPSLFWITFHGAYDIGYLLKILTGQNLPSNLTDFERQLYLFFGSQIFDIKHTVHGGLDRVAESLGVDRVGGLSHQAGSDSLLTMDCFMKLRDSGYFQKDSEDYGKMVAPFGLYGLVSNPCWMPLTQWLSPPLLPYPMSSVGPFSSRGIFV >OMP12008 pep supercontig:CCACVL1_1.0:contig00577:678:758:1 gene:CCACVL1_00177 transcript:OMP12008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRTVASPPSALSFTVTSSSNSGGSLF >OMP02668 pep supercontig:CCACVL1_1.0:contig06210:1099:3408:1 gene:CCACVL1_02729 transcript:OMP02668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSHLLNHALVKEWQPLIEKKENFPISKRFKYTTRKSSYEGRRVSWCSTYCCLGATNSPIELLPSKLAITGELPNITSIARNVKFNMEVSPEVTSDFTRQLLLADLDPATAKLAISILGPFLSAFAFLFILRIVMSWYPKLPVEKFPYVIAYAPTEPFLIATRKVIPPLGGVDVTPVVWFGLVSFLNEILLGPQGLLVLVSQQVS >OMP02669 pep supercontig:CCACVL1_1.0:contig06210:5933:8897:1 gene:CCACVL1_02730 transcript:OMP02669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGKAERKQKPRQNPKSNRKPFGPKSKQKKKTKTKNNHKKNDTEQTKTKTTIVTGDNNNHPPPPPASPSEQLNYFLTQFQSANGVQLSSLELESLKDSIILDVSQELGQDVMELEKHIKDALGSKWKEELCEGKLIEGKIEAGNPAVLAVATSALRSIELLRGMRSLTKECHAVKLFSKHMKIDEQVSLLKNRVNIASGTPSRIKKLIDIEALGLSRLSVILIDIHTDVKGYSLLTLPQVRDEFWDLYKNYFHQRVVQGDLRICLYGPIPNGNEFKGKKSLELADE >OMP02671 pep supercontig:CCACVL1_1.0:contig06210:16733:18776:1 gene:CCACVL1_02732 transcript:OMP02671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETDPSSFNQPRLVTKKVLAKHQREGDGAVVRRCIGRSELKSLDPFLMMDDFSVSPPAGFPDHPHRGFETVSYMLQGAITHQDFAGHKGTIHTGDVQWMTAGRGIIHSEMPAGEGVHKGLQLWINLSSQDKMIEPRYQELLSEDIPRAEQNGAEVRVIAGESMGVRSPVFTRTPTMFLDFSLRPGAEVHQEVPESWNAFAYIIEGEGVFGYQNKTPISANHVAVFGPGDGVSVWNRSSKPLRFVLVAGQPLNEPVVQYGPFVMNTQAQIDQTIEDYQYSKNGFEMAKYWRSH >OMP02670 pep supercontig:CCACVL1_1.0:contig06210:10475:10549:1 gene:CCACVL1_02731 transcript:OMP02670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVGRASWLKKNYIRAAALLSTS >OMP02672 pep supercontig:CCACVL1_1.0:contig06210:20816:23996:-1 gene:CCACVL1_02733 transcript:OMP02672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MSSDDEGGGEEYLFKIVIIGDSAVGKSNLLSRYARNEFNPHSKATIGVEFQTQSMEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDISRRTTFDSVGRWLDELKTHSDTTVARMLVGNKCDLESIRDVSVEEGKSLAESEGLFFMETSALDSTNVKKAFELVIREIYNNVSRKVLNSDTYKAELTVNRVTLSKSENDGSKQNQSFSCCSR >OMO68037 pep supercontig:CCACVL1_1.0:contig12304:19:989:1 gene:CCACVL1_20116 transcript:OMO68037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MCHRFDKQSGDLYIADAYFGLLVVGPAGGVATPLATHVEGKPILFANDLDIHNNGSIFFTDTSKKYNRVNHFFILLEGEATGRLLRYDPPTKTTHIVLDGLAFPNGVQFSMDQTFLLFTETTNCRLMKYWVEGPKSGTVEVAAHLPGFPDNVRINDKGQFWVAIDCCRTPAQEILTNNPWMRSLYFRLPVRMSILARIMGMRMYTVISLFNDKGEVLEVLEDREGVVMKL >OMP08251 pep supercontig:CCACVL1_1.0:contig03912:215:609:-1 gene:CCACVL1_01138 transcript:OMP08251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSVQMTNGDLTTNVVLSK >OMP11785 pep supercontig:CCACVL1_1.0:contig00879:1059:1160:-1 gene:CCACVL1_00265 transcript:OMP11785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDEEIDKCQIWPNGFAIVLQSPDDEDLKRKTTI >OMP11784 pep supercontig:CCACVL1_1.0:contig00879:265:429:1 gene:CCACVL1_00264 transcript:OMP11784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGKPAARDQQTSAVSNPKRKADIAGTQDSNNTGKPLKCKKTEPSQGKDKGPWKL >OMO49976 pep supercontig:CCACVL1_1.0:contig16333:22044:22115:-1 gene:CCACVL1_30732 transcript:OMO49976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APLVFYKYGSSWMNAAKDDEIRS >OMO69943 pep supercontig:CCACVL1_1.0:contig11926:9423:10232:-1 gene:CCACVL1_19188 transcript:OMO69943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSKNSDGFDENDDVQWLKLKEEIEAKTENKSQMETKLINIVSQKFKARVAMERNRRNNKEAKKKKMEFEEKGIEKEELVVMRKRKKQKIITGDDEKKLNTKVMTKLRELGLEPPLDMPEAFKTCIENMGGTDINLIIQKIIEQTDLKKQQNRLSMPKKQIRSKFLNEEEEAMLNIVPNKGIDVKFIEPCLKVSELHLTTWTLGSGKPSFIFNKQWHQIAMENSDSLKPKAVVQVWSFRHPPDSKLGFAMIKVKDGEDGHIIDEAMG >OMO61266 pep supercontig:CCACVL1_1.0:contig13548:20869:20940:-1 gene:CCACVL1_23633 transcript:OMO61266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIPDHSDTQRTLSSLSESSLNIL >OMO62692 pep supercontig:CCACVL1_1.0:contig13209:8934:9705:1 gene:CCACVL1_22691 transcript:OMO62692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHVGRMHLQAGLSIMDNEVLESSCMVLATCAIQRWSCEPILSGQSSKIGLKSALFPRKRSFGFLKWNSFSTRRHGWKVAFALDTGGISGNSGEDSVNSDSPNIGGTRLGRIVSAGGRQLLEKLNSARKNFPMKVIGMY >OMO62693 pep supercontig:CCACVL1_1.0:contig13209:11223:14681:-1 gene:CCACVL1_22692 transcript:OMO62693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNYNLKSLLTKILNLSKPKKKEPTFSKQKKKKKKKKIAATRTLESLKEETNGVEPDNDVQLEETDNSTHGQLLDDLNDENKFGNDVQLEEPDHGTHELVDDSPIKEIMLGSDVRLKEIDNAIHGELLEDSTDNENELSDGDQLQQTDNYIPVDVLHNATNNEHHLGKDGTIAGSSVQDVSQVAQNDNTTQSSSVVQLTVVEGDEPYVGQEFENEAAAHAFYSAYGMRMGFITRMNYHNRSKIDGSIVSRAIVCNKEGYRKPYRHDVKNVRSRAPTRVGCKAMISIRKMSMGGKWVITKFVKEHTHPLAGFQAPNQKAVISNQIPNEDKRVQELTQQLLIERKRSASLRRFIDLLFNHIEEHTQGLAKRIQYIVDSVNKVESEGSNR >OMO52500 pep supercontig:CCACVL1_1.0:contig15520:778:3412:1 gene:CCACVL1_29217 transcript:OMO52500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYERHPQQQVRSKAENMNKGGSGDHQNQRRKYAEEERLEIVDLSGMSLDSLPNPSLNLATICKLDLSNNNLQSIPESLTARLLNVLVLDVHSNQLKFLPNSIGCLSKLKILNVSGNLIQTFPKTIENCRSLEELNANFNKLTMLPDTIGFELIKLKKLSVNSNKLLFLPRSTSHLTSLRVLDARLNCLRSLPEDLENLVNLQVLNVSQNFHYLDSLPYSIGLLISLVELDVSYNKITTLPDSIGCLKRLQKLCVEGNPLVSPPLEVFELGLHAVKEYLSEKMNAGHKSPHKKKSWVGKLVKFGTFNGGFRSAGPGPGTHSEREDAFIMPEYRSIDGLASPRYMGMFSPRRIFSPRTYFSR >OMO78228 pep supercontig:CCACVL1_1.0:contig10615:345:1451:1 gene:CCACVL1_14566 transcript:OMO78228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPELVDQATAYVKQLQKRLGEYKEMKVQLEKERSEMRSKMIPPVLYMRDLGSNLEVHLITGLNMEFALSHFISILHEEGAEIISATCHHSGDRAIYTILSQAIYPRIGIATSSVQQRLKSLIS >OMO82467 pep supercontig:CCACVL1_1.0:contig10033:8371:9540:1 gene:CCACVL1_11945 transcript:OMO82467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAEVIGSNDDIIMEILLHLPVKSLIRFKLVSKNWLSLISDPNFVLRHSRQSHKTVSAFILACFLPRSFKCPPMYMHVSLDENQKQNSNSFQSQANFHFDPSAPGAIIISQSCNGLLLCYSFSRYNSTACVYYVFHPATNEFSILPETVCNKNSINYFSLAYDPTISPFYQVVCLQFSTISSCKIQIYSSKTETWRDAKNQELDYLSANFQHGVYWNGGIHWLFTSDGTSFRFDIEKETILQVPRPPLPENWNPHNLRHFMESQGHLFFIDFESPEYVIYEMEKDCSKWFVKYRFDINLIVTAFPDEIDKNRENSSNSVVELEKMISPVSLVEVENEGPLLVMSIPGRFISYRFKDNTFKTIREAGFYFSRRFKWYHTFNYMETLSYV >OMO82468 pep supercontig:CCACVL1_1.0:contig10033:13884:17735:1 gene:CCACVL1_11946 transcript:OMO82468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MESSLQQPNRLFPLLPHVKARNRHGTSCQNCLPTKAVTEEDLVITALNGIGADFKELAVGIRARETQISFEDLMDKMDDYESFLKKLEAATDYMLHSANSAFKPSNNQGAKPKQYNKSSPNTNRNNAGNNQRRQRGPNTNKVTCQFCDHVGHSAKQCRQIKLKQNQFVANNAATTTPRNNNWIADTGASHHVTSKMENLESSTDELYIGDGSVSNAPLEILFTDVWGPVRVTSSDGFKYYVSFVDYYTRYTWLFPMTNKSDVFNIFKKFKVLVERLFNRNILTIYSDCGVISTVPSLQAVHSCPENSSTQLEMASLSSALSRSNNKELVPPPKNRNIIGSKWVFHVKTNPDGSVSRFKARLVAKGFSQRLGLDYKDTFSPVIKPVTVRLVLCIAVTNNWPLHQLDINNAFLQGDLSKEVYMKQPAMFVDKNKPHHVCKLRKAIYGLKQAPKAWYLALSNFLKSYGFTNSVADTSLFIFHNDDCLLYMLVYVDDIVLTGNNSEFLQHFIQDLSTRFALKDLGRLNYSLGVEVTYNRSGIILSRHKYIHDILKMSNMEGAKLVSTPLSTSVPLTLHDGTAPTEAHLFALKRVLRYIKGTVMHGLHLKRQASPSLVVYTDSDWAGNPDDRKSTYFFIIYLGNTPISWSSKKQKTVARSSTEAEFRSIAATVSELTWIQSIMSELQVRTPKVPLVLCDNASATYTCANPVFHTRMKHLALDYFFVQEKVQQGLLQVKFIPSKVQLADALTKPLSVSRFHSLLSKIGVCSTSTILREDNRLGLQSHSDESTLDKP >OMO51535 pep supercontig:CCACVL1_1.0:contig15793:5761:5829:-1 gene:CCACVL1_29733 transcript:OMO51535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLSALSPVLLKTSQNSDLLH >OMO73138 pep supercontig:CCACVL1_1.0:contig11295:1379:1456:-1 gene:CCACVL1_17447 transcript:OMO73138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATILGLGSWKLFEGSFSQPSRYLRAT >OMO62840 pep supercontig:CCACVL1_1.0:contig13142:45294:46036:-1 gene:CCACVL1_22614 transcript:OMO62840 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting ALKLDEQEFLGEATCVVSEIVTKRDRSLTLNLHGKNGAEGSRNLGSITVHAEETKESRISLLPNCCMVKDMFSKSDPFLRIFRINPSGKLVPICKTEVINNNLYPSWRPIHLTMQQFGSKDTPLLIDCFDFNNNGNHTLI >OMO62838 pep supercontig:CCACVL1_1.0:contig13142:13162:16262:1 gene:CCACVL1_22612 transcript:OMO62838 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MEQSQTLRELNFNCELPPLCIDYPTMDMSYKLKPRVIHGKAKEWFLRLPPKSIKSWKEMETVFLNKYFPEAKRADVRRKINTCQQLKGEKWYQYWERYNQICASCPYHNISKALLIQHLYDGLIYEDRTYVDATSGGGLTFKTPKEARKLLNTMVENIHKFGTTNEADATKDSEIAEIRRELKEVTTQCGNLAKMFAEFMSVCSQPSIQKRGINAIEETQHWMQLPKVPAMSTIPTPIFPKTLPPIDVFNDPIQGKMETSTEIREGVPAANDEHQQSEEEWMEEMQVKYDPLPFPQSFAQQLNLLRKLEVNIPLVDEIVKVPSIGKNEVGNAMCEYGSLINIMPYSVYKQLKIEPLLETDLIIQFADRTNHKPEGLVENVLVQIGDFVFPADFYVLKMSEDDIHLPQMPLLLGRPLLIAASMEFNAKEGTITMELDGKPIVINISEAMKAPAEIHSIYSIDTIGTYSEEVQALNQEDELEVILTKSLHQQDVEEAEVEMPKEIAETVSQLSFNEEVETISYINLEPTPKPLPSIIQPPEVKLKPLPEGLKHVFLGDNDTLPVVISTDIKGISPTVCMHRIRLEDDAVAVQQPRRRLNPNLAEVVKDEVLKLLGAGLIYAISDSKWVSPVQVVPKKSGFTVIENKDGELVPQRLQNGWRVGIDYRKLNSATRKDHFPLPFIDQMLERLACKAYYCFLDGYSRYFQIPITPEDQEKTTFTCPFGTYAYTKMPFGLCNAPATFQRCMMSIFQDYVGDKMEVFMDDFTVYGDNFNQCLNNLELILKRCVETKLVLNAEKCHFMVEQGIVLGHVVSKEGMQVDKAKVNVVQNLASTYWSVSRQKELNWSYLEQVGKILFQPEAGRNQAKNSPVSTLRKVETGL >OMO62839 pep supercontig:CCACVL1_1.0:contig13142:21929:22039:-1 gene:CCACVL1_22613 transcript:OMO62839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKQTPVISITFRTGITATMNRSKNPILKREKKA >OMO49940 pep supercontig:CCACVL1_1.0:contig16353:9682:13397:1 gene:CCACVL1_30746 transcript:OMO49940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSQCSQISSGIGNLFLIFTCNLAEAAMSQLSVKPENIAMAELIQLRVPELFFMLRRFPIESRGHQKKLFELYINQCTVNHLFRWIGIFLLEGLDPAVFAPVVPNSAYKPVSELVDEIMGDHEMMHVYDMKVEFPMSSIFRYCTMRLIGFLGGYD >OMO79410 pep supercontig:CCACVL1_1.0:contig10421:1416:5746:-1 gene:CCACVL1_13693 transcript:OMO79410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTEQAAAKVAPKLGPKGFISVAGYKASGD >OMO79411 pep supercontig:CCACVL1_1.0:contig10421:7654:8964:1 gene:CCACVL1_13694 transcript:OMO79411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFMNVSEDDYTMILTANQSSAFKLIAESYPFQCNQNLLTVYDYQSEAVEVMIESSKKRGANVMSGKFSWPNLKVNSEKLRKKILNKSKNKKKGLFVFPLQSRVTGSRKISASVLKDSDSATATTCAGIVNLVPPSKSAIYSRIEAQQMFDEFPTQEIKRKQKTVSFSEIEEVIDTSIESSNTLQSKNLKIECRGLDHADSLGLILISSRTRSLINWLVNALMSLEHPHSETGIPVVKIYGPKIMFDRGPAVAFNVFDWKGEKIDPGLVQKLADRNNISLSIGILQHIWFSDKNEEDKEKQLGTRTSEGITVSGGKKRDKFHCGISVVTASLSFLTNFEDIYRVWAFVSRFLDADFLEKEKWRYKALNQKTIEI >OMO49442 pep supercontig:CCACVL1_1.0:contig16503:6803:6877:1 gene:CCACVL1_31001 transcript:OMO49442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGTPVRVKMPKNGLVGYIIIAVGN >OMO56534 pep supercontig:CCACVL1_1.0:contig14507:27680:30486:-1 gene:CCACVL1_26477 transcript:OMO56534 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MLEMCTRPLEKCFGGGDGRDELLWHMDLKPHASGDYSIAVVQANSSLEDQGQVFTSPSATYVGVYDGHGGPEASRFITHHLFPFIHKFQSEHGGLSTEVIKKAFDATEEEFLHLVKRSWPSRPQIASVGSCCLVGAIADDVLYVANLGDSRAVLGRRLSPNGTNSQVVAERLSTDHNVGVEEVRKEVVALHPDDSHIVVYNRGVWRIKGIIQVSRSIGDIYLKKPDFNRDPLFQQFGLPIPLKRPVMTAEPSIIVRKLKPQDLFVIFASDGLWEQLSDQAACEIVLKSPRVGIAKRLVRAALHEAAKKREMRYDDIKRIGKGVRRHFHDDITAIVIYLDHPLGSPNGRFKNHNFVDCTSAPVDIFSLNADEADDSFHPLR >OMO56532 pep supercontig:CCACVL1_1.0:contig14507:20961:21188:-1 gene:CCACVL1_26475 transcript:OMO56532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRVWIKGGLGTQKPLWSKMRNHLN >OMO56536 pep supercontig:CCACVL1_1.0:contig14507:39454:39735:-1 gene:CCACVL1_26479 transcript:OMO56536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-18 MEKKQEDENKKVNDKEEKKEGLEGLPLEESPYVKYKDLEDYKRQGYGTEGHQQPKPGHGAAGSTDAPTLSGVNDLSSERDFSATDTVNRQGVP >OMO56531 pep supercontig:CCACVL1_1.0:contig14507:7549:16054:-1 gene:CCACVL1_26474 transcript:OMO56531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MSSAISERVELAKLCSSRNWSKAIRVLDSLLAQSCAIQDICNRAFCYSQLELHKHVIKDCDKALQLDPTLLQAYILKGRAFSALGRKEDAIAVWEQGYEHALRQSADLKQLLELEELLSAAKPGGQDRNVVTTNNHVAEPKLSAPSYVNGKANEALTHQNTYNNSRPFQKHKNLSQLHYKSTDNLSDSNGKTCESYKSLSEISDGSKLSTESADASYKKLSEISDGSKLSTESADASENGSVSGDNCDIGLSYQTSAEEMPCALTNGTHKNIDKPSYDSASCSDLSEKSEKCSKSSPTSSNSSDIIESHRQTNNTSNTHNEISDGIKRNKKLCVAKISKTKSISVDFRLSRGIAQVNEGNYAYAISIFDQILKEDPTYPEALIGRGTAYAFQRELEAAIADFTKAIQSKPSAGEAWKRRGQARAALGESVEAIEDLTKALEYDPNSADILHERGIVNFKFKDFNAAVEDLSTCVELDKNNKSAYTYLGMALASIGEYKRAEEAHLKATQLDQRFLEAWAHLTQFYQDLANSKKALECLEKVIQIDGRYAKAYHLRGLLLHGMGEHRKAIKDLSIGLSIESSNIECLYLRASCYHAIGEYAEAVKDYDAALDVELDSMEKFVLQCLAFYQKEIALYTAHKANSEFRWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLRESLKKGKLRKQDFAVTKQKTALLLAADSIGKKIQYDCPGFLPNKRQHRMAGLAAIVIAQRVSKVWRSLQADWKHSQRSSKNGKRARRKERITMASQNRGGAGCSTSSSSETSTSYGITEDRSSGRPTMSWHDVYSLAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMILGQAKVVRYFPNHERTLGIAKTIMKDKLFVHNKKDEIIDLSKDGKSEKIVHAKSCDDLYELVGEDFWLATSCNSTAFEGKQLEGTRITLVKMGQRGYDFAIRTPCTPARWEEFDAEMAMAWEAICNAYCGENYGSTDFNVLENVREAILRMTYYWYNFMPLSRGTAAVGFIVLLGILLAANMEFTGNIPKGVQIDWEAILNFDPNAFMDSVKSWLYPSLKITTSWKDYPDVASTFETTGSVIAALSTYDD >OMO56535 pep supercontig:CCACVL1_1.0:contig14507:34546:35751:-1 gene:CCACVL1_26478 transcript:OMO56535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESALINWEADEEEEEALSLCDLPVNLVEEENKVQPITNDENGEPQGIKTEEDFNFGSLGGSLSTEPAEMCAADEVFFKGQILPLRLSVSSDSGLAGFRQDSRRCLSRSESLDHGSLSRFASVSSSSRSSSIGSSHFSRSSSNSNNSMTVTARNFNSNSNSSSSFSSNSNSNSNSKSESKPIKIRNNFNSHPSPKPQIRLSKTRPLNHVSSRNQKSTMWEFFRLGLVRAPELELQDLKVRSNNNNANRNSVSRNSSCNSSNSSSSTKNNNNNSTNIEVAKNQQDLNKGNNFLEKKLGFFSGCKCSVNAVETIPLNNIVIIKSSSNKINHNEKEKGKALMSYGAMEEKKKLLQELKIKKKLKEKEKEKKQEGKQALSRHRTFEWLKELSVSHASYVDEEAS >OMO56533 pep supercontig:CCACVL1_1.0:contig14507:21521:22444:-1 gene:CCACVL1_26476 transcript:OMO56533 gene_biotype:protein_coding transcript_biotype:protein_coding description:sigma factor sigB regulation protein rsbQ MGIVEEAHNVKVLGSGERIIVLAHGFGTDQSLWKHLVPHLVDDFRVVLYDNMGAGTTNPEYFDFNRYATLEGYAYDLLAILEELRIESCVFVGHSVSAMIGAVASISRPDLFSKIIMISGSPRYLNDVDYYGGFEQEDLDQLFEAMGSNYKAWCSGFAPLAVGGDMESVAVQEFSRTLFNMRPDIALSVAQTIFQSDMRQIINLVTVPCHILQSMKDLAVPVVVSEYLHQNLGGESIVEVMSSDGHLPQLSSPDIVIPVLLRHIRYDITA >OMO92944 pep supercontig:CCACVL1_1.0:contig08154:10801:11850:-1 gene:CCACVL1_06716 transcript:OMO92944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reversibly glycosylated polypeptide family MSLEVKDDEIDIVVAAIHSDLTSFMNEWRPIFSRFHLIIVKDPDLKEELKIPEGFNLDVYKKSDIDRVVGSSTSILFSGYSCRYFGYLVSRKKYIISVDDDCLPARENDGFLVDAVAQHITNLTTPATPFFFNTLYDPYCEGADFVRGYPFSLRSGVKCALSCGLWLNLADHDAPTQALKPGHRNSRYVDAVLTVPARALAPISGINIAFDREVVGPAMLPALRLAGEGKFRWETMEDIWSGMCVKVICDHLGLGVKTGLPYVWRNDRGDAIASLKKEWEGVKLMEEVVPFFQSVRLPRTATTAEDCVVEVANAVKEQLGSTDPVFARAAGAMLDWVKLWKSVGSSASS >OMO92943 pep supercontig:CCACVL1_1.0:contig08154:3886:8206:-1 gene:CCACVL1_06715 transcript:OMO92943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLYLQVMDIKRLNNMMSDHGIYSREREKGEGSVKGVAGGHKKGREEGDSAGSRMAWKS >OMO92945 pep supercontig:CCACVL1_1.0:contig08154:12475:13864:1 gene:CCACVL1_06717 transcript:OMO92945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNVCSGFSAPSCLTIFDFLGQLAGYPHTYLVNCHVWDSIRS >OMP05982 pep supercontig:CCACVL1_1.0:contig05101:1040:1117:-1 gene:CCACVL1_01765 transcript:OMP05982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGFAIDEADSLLNLKEKEGYLPP >OMO83482 pep supercontig:CCACVL1_1.0:contig09882:40815:43237:1 gene:CCACVL1_11392 transcript:OMO83482 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, 17.2kDa subunit MSKLFARIAGFFSNKTFIGLDKAGNRYFARKEEIDGILKEKRWVEFKGEQDPTTIPVEWICWLNGQRKKAPTPEEIMELEARRERVRLNVALIKKEEEERKAREGSKKAVSSGKVGGPDLKSFIQQFPSASEDDKVEEALEARTKETQHEKQKPLPESSEPTGSGATYKPGTWQPPT >OMO83477 pep supercontig:CCACVL1_1.0:contig09882:4053:11371:-1 gene:CCACVL1_11387 transcript:OMO83477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVSDLGALHATITFTILAFIPLLLLKYQKEDTSPFDDHAFILLAFYLATLLYAIAMVTNILLRIRDTECPKIVGNICLLSGPLATISLVFIPFPLVGWFLFALSMDYLAMKEEDNNKNHGHSSSSCKKMPTALSAGDLAAFHAIYGSQILASTPFLLLKFQKSNVSPFDDDYTSTMLLACFISTLIYISAMVLEFKLRIRDIECPITITNVAQLSAPLASISLAMITFPYLAWFLLAIWIVFFVKMAIESCLEFLSLFGKSDQICRETKSDVSPSDDSPV >OMO83486 pep supercontig:CCACVL1_1.0:contig09882:64452:69585:1 gene:CCACVL1_11396 transcript:OMO83486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor SUI1 MVKGGQNLPADVIQVIDQLERHCLSPDGSLVSKSAYYDLQLAREEMSRERLRYLEAMAIYCEAIAMVEEYQQAVSVANLGGIRDLQGLYPQLGLKNSPQVYETLEHRLVVAEAAQRLRLPLISKDGEIHEEEIEKWSIMSRSSLDSTSTSLTISSNSNSVNYANSAGDSGELGVGGVPNRFLGITPAYLWQTQLQRLPLSMDMADYQLALSREIDGRLKSKCDKLADAFVDDIDSSSGSQSSSSRLPERVKLIIEEIEREEAALREDLYSADRKFAEYYNVLEQILGVLIKLVKDLKLQHQHKYDELQKTWLCKRCETMNAKLRVLEHVLLLETYTQESIPALHKIRKYLVEATEEASAAYNKAVTRLREYQGVDPHFDTIARQYHDVVKPGGKWVIQSIITLLSKHTRTKPKLFRRSVSSFNLTKYMVELDIQIPTTFDPFAEAAEFGGTGTKEYVHIRIQQRNGKKSLTTVQGLKQDLSYEKILKSLKKEFCCNGNVVNDKELGKIIQLQGDQRKNVSQFLTKAGIVGKDLIKIHGF >OMO83479 pep supercontig:CCACVL1_1.0:contig09882:22969:23901:1 gene:CCACVL1_11389 transcript:OMO83479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MARALSHINGNPTLHIPCRPNPSRPFPSLLPYRPMKVTMSLSNQPYWASINSDIEAHLKQAIPVREPLSVYEPIHHLTFAAPQTTAPALCVAACELVGGHRDHAIPAASAIHLMYAASFTHEHLPLTESVRPKSMISHVYDPNMELLLGDAMIPFGLELLARSDDPAQNQSDRVLRVMVEVTRVMGSQGMVYGQYYEVERYQSGNKDSSSHIKEIERISENYEGALHGCAAACGAILGGGSEEEIEKMRRYGLYMGKIQGMINRIGSNERGLIEKLVEELRNLASQELRGFNEAKVKAISTTFEFNFMYA >OMO83474 pep supercontig:CCACVL1_1.0:contig09882:875:973:1 gene:CCACVL1_11384 transcript:OMO83474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRRSRWFDVEKGAVDGGGGCRVGGLREDAW >OMO83478 pep supercontig:CCACVL1_1.0:contig09882:12452:16817:-1 gene:CCACVL1_11388 transcript:OMO83478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MWTVPEAEDKVVSRETGFKNERLKLISEGCDPIRKDVKRESKDILGEVSKTHHAIQTLDKTISNLEMELAAARAMQESIMNGSPISDDLKIPESSGKRKYLMVVGINTAFSSRKRRDSVRATWMPQGEERKKLEEEKGIIMRFVIGHSATSGGILDRAIEAEDRKHGDFLRLEHVEGYLELSAKTKTYFATAAALWDADFYVKVDDDVHVNIATLGATLARHRSKPRVYIGCMKSGPVLAQKGVRYHEPEYWKFGEEGNRYFRHATGQLYAISKDLASYISINQHVLHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTTARLFNLAESTPSLTISIVSGKLKQATSVLLRSTGLAAEFATFCLQIPSRMSSLDSQKPVLKLVDSSLRLSVIPLSVATIWLTVTNKEDNSIYGEVKFSNFLGLKYVVCISAICAGYAFLAAIATWIRCLVTKAWLFFVSDQIIAYLMVTSGAAVMEIVYLAYNGDQKVTWSEACSSYGKFCNRMKMALILHAIVVCCFIVLAVISAYRVFSLFEPPLSSKDQLEAERS >OMO83485 pep supercontig:CCACVL1_1.0:contig09882:61834:64031:-1 gene:CCACVL1_11395 transcript:OMO83485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MEKEKSAPQAVEISLKKLDLNANRNSKSSQLVPSLHSSKLRFEKKKPPSLVSLCLGVIGRHLEDIIEELNEIAVSFPADIKIALVAIARRRKLLSDDVIISLADSSWEILDLSGSEVSDFGLAKVAEMCKFLRAVDISQCKNITANGVSELVQHCHSLETLRCGGCPSSESTARRSLGILKPKLNDVEGDSWEELDTMEIGHGAQSLRWLVWPKIDKDSLEMVSTESPRIIVNPKPSLFGFRGTEVPREAFSDVALDEPFVRDIDPKTWVICRFTPKAISQALSSPNELSVAEKFRLAFVERDTRLAPKRAKNARQHQRRAEREWVMGSTTAKALALASKASKSLHGRS >OMO83487 pep supercontig:CCACVL1_1.0:contig09882:81085:81573:1 gene:CCACVL1_11397 transcript:OMO83487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor SUI1 MAMVDSDVEIPTRFDPSAELDEEFGGGTTLAATIDDDMNNNINDPDVEVATSFDPCAEAAEFFGATNKNYVHIRVQQRNGKKCVTTVSGINQDFNFEKIVKEMKRDLFCNGHVVEDKELGKIIQLQGDQRLKVRDFLCPPPPKDPKKKPPIVEKELIKMHGY >OMO83483 pep supercontig:CCACVL1_1.0:contig09882:44153:50077:1 gene:CCACVL1_11393 transcript:OMO83483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase A/isopropylmalate dehydratase small subunit, swivel MYYKARFASRIASTTSVPLSFSMCSRVSSPIGLQSSSASSVSFWNQKYRSLSFSSSLRSLRCSVPRWSHGVDWKSPGSLRAQARMAVPVLEKFHRSIATSAPEHPFKEVLTSLPKPAGGEFGKFYSLPALNDPRIDRLPYSIRILLESAIRNCDNFQVKKSDVEKIIDWENTSPNQVEIPFKPARVLLQDFTGVPAVVDLASMRDAMKNLGSDPKKINPLVPVDLVVDHSVQVDVARSENAVQANMEHEFRRNRERFAFLKWGSIAFDNMLVVPPGSGIVHQVNLEYLGRVVFNNDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLHDGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMAELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIEAYLRANKMFVDYNEPQQETAYTSYLQLDLADVEPCVSGPKRPHDRVPLKEMKADWHACLDNNVGFKGFAVPKEEQNKISKFTFHGLPAELKHGSVVIAAITSCTNTSNPSVMLAAGLVAKKACELGLEVKPWIKTSLAPGSGVVTKYLLQSGLQKYLNKQGFHIVGYGCTTCIGNSGELDESVASAISENDIIAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGTGKDGKSVYFKDIWPSNEEVAQVVQSSVLPEMFKSTYEAITKGNPMWNQLSVPASTLYSWDPNSTYIHEPPYFKNMTVEPPGPHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLVESGVDRKDFNSYGSRRGNDEVMTRGTFANIRLVNKLLKGEVGPKTVHIPTGDKLHVYDAAMRYKSAGQDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLSFKPGEDAETLGLTGHERYTIDLPSKISEIRPGQDVTVTTDTGKSFTCTVRFDTEVELEYFNHGGILPYVIRNLNKE >OMO83481 pep supercontig:CCACVL1_1.0:contig09882:32357:38162:-1 gene:CCACVL1_11391 transcript:OMO83481 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MKPISRSRKNEAFHKYLKPGALAQLRDSKITARSHKLNSVRLNPVPTQIPPSQTQIQISDFDQIPGFLKKTYGDLNQIILKEAQHRWLRPAEVCEILINHAKFRVLDKPQFMPPAQSMLLFDRHAFRYFRKDGHKWKRKSDGKTIKEAHEKLKVGGEDVLHCYYAHGEDDGNFQRRCYWMIEKDFERYVFVHYRQVEEGYNRSGSSRSLTGSLPSLVHANSPLQYPIYGSISLTASMKHEVAGFPESGRTPPGSWSIGLNHDNSSTAPCFSPGIHYNMTNSTISMPNQKLYVEQPIAGELITHEQAEVTTLRDVLDELLSDDDDDDVQAAVGESLEIVIQDYDFDVAPQDDSSLGIADSSSEQIEINESKGGLKKLDSFGKWMDKEMGGDCDDFLIASSDHSINYWNPLDMIDQSDVSEGSSLSHQMQLDHVYPLDPSLVEQLFTIADFAPNWAYSGVETKVLIIGRFLQSKELSDATNWCCMFGEIEVSAEVLGKNVIRCQVPCHSPGHVPFYITCCNRLACSEIKSFEFREKPPGFSFDRNVKTTAEEEILHLKVGLAKLLDIVGPRRKWPGCSVEECDKCRLTNDMVYWMGIACPNDGMQYSKDGLIQNLLKERLCEWLLCKFHEIDGEDVRILDEEGQGVIHLAASLGYNWAMGPIIATGISPNFRDRRGRTGLHWASYFGREETVITLIELGANPGAIDDPTSCFPGGRTAADLASSRGHKGIAGFLAEIALRAEFSSLTMGQGHETTQNAIETATSNESCSLKRSLAAVRNSAHAAALIQEALRTRDRQLLTKGNDDMSNKVSLEQLGIVGSLNRHQKTTTHFGDYLHTAAAKIQHKYRGWKGRKEFLKIRNRIVKIQAHVRGHQVRKQYKMILWSVGIIKKLILWRRKSAGQRGRFRAQESIDSTRPVEETGDDEYEHLRLGRQQKINGVEKALARVQSMARDQEARDQYMRLTTKFGESMVSSTSTNVGSGQEGKQLAFLGDQ >OMO83484 pep supercontig:CCACVL1_1.0:contig09882:56382:60779:1 gene:CCACVL1_11394 transcript:OMO83484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEEVTVVHVAEREESAESSSKVDTVVVEENNEKKEMGKEDLSNGANGMTVKEETDVETDYVMVEGSDRVNGDPVESDLEINGNGIAGEDPKLEIKGEEVKSESQDNEPIELCPVESPLITGDPVSGIDVGDGVSETSRRDQNGFSEPPEAAVSDSNGDASANVIAERTVSEDAAVPDNNGDGDNLANGSVEDSVLDTRLKENGNSEIAGDGLTNKDTNSNSEGADGCHGVSDLVPLVSDCNGSKADTNGGDSIEAAVVDSSGADANGGDSLEAAIVDSSAKSDVVSSVSLNNCSVEDFVGDTKLEENDSEIAVAAEEDTACLVGLGHEEDDSEIAVAAEEDTACIVGLGHEVDGVAPVSAAVGDSENNDVSVSVTSEAGIQPSDGNRDCPVSDGNGDCTVSDAPKAQDGDTEDKSSEILSSVETDDTKTPEKLVVGVEELELGVEKLELGDVIVDDVDETHLERSEEDAIMDETSVQKENLEDPIMNETHENLVNEKLGSDGNNVDTETLLGTVDSEISESGGRGVKTEVSDAKVEDSVQGLKIGDADSSIPSDKAEISSGLAESKCEVDDVVADSVAESKCEVDDVVADSVAESKCEVDVVADSIPSSTGLAKDDKATSSPVSKDIPSKDIPIKDDSEHETHIANEKISDGAIQFGSLEPESVDEVEKKKPFYYLIRVPRYDDEDLKEKIRLAQIRVEERTQSRDAIRYEIQSMRAICKEYDEKVDAAKAQERVARDLLKSKRQEIESIQSVINIEDIGGRIRNMEHRIQHETLPLKEEKQLIREIKQLKQQLREQLSSNMGKQDEVQQGLDEKDQTEKRLKSLKKEADQLRDNVQKAEAVTKAAKKKHYDETEKLNALGKQFRDADEIRQEAFAQLQGLKKQSYEKNKSFFQFKDDSRTAHELASKGDKEALQNLCVTQVERVMELWNNNDEFRKEYVRFNLRSTLKRLRTLDGRALGLNEQQPVIPQVVPQVVNGRVAKDGRVAKDHTVSSSTLEEQTQEKLVLPKAEKPNNKPMAKVAEQKNQTSKSEKPVKSVPLASGSTTASTSDEIFIEETWEEKPKMTKEEEEAARRAEALRKEEEAARLREQRRLEEIAKGKEAMERKKRIAEKAQARAVFRAQKEAEQKEKEE >OMO83476 pep supercontig:CCACVL1_1.0:contig09882:2771:3710:1 gene:CCACVL1_11386 transcript:OMO83476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEAQALAANNDMLRRGFISSSDQGFSSVYKAREETPDQQMNTTNDDYTGLLNVVPSSMAIPEWYSDSGEGSNGQSSIITDDNLGLEMHHIANSLLPVDIGATGHGKTSSSRSWDNLHGIC >OMO83475 pep supercontig:CCACVL1_1.0:contig09882:2210:2395:-1 gene:CCACVL1_11385 transcript:OMO83475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQQRRKWEHKARSVVVVIATSIQTNVIGYISTVAAAGSEPLVAERSLEEKRGRSREEEI >OMO83480 pep supercontig:CCACVL1_1.0:contig09882:25913:26563:-1 gene:CCACVL1_11390 transcript:OMO83480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MEDRYSFSRQGSGVWRSLRDGDFEEEDVWAVIKERKDSISKVGQFIESPAPVRRNLPSAARMIPRTFSATNNSSSNIDSSSSSSSHEANGVKQQSAPVNIPDWSKISRKKSNKASSRNGSWHHDEDGDDDGGGGGHGYNYGDNGVMNGFRNDSDEEEEDDDDDFNSKVPPHEFLARRLARSQITPFSVFEGVGRKLKGRDLRKVRNAVLTKTGFLE >OMO68752 pep supercontig:CCACVL1_1.0:contig12180:26530:30191:-1 gene:CCACVL1_19854 transcript:OMO68752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKSLCSLKEVISCQQFPDEHWTTYWERYNKLCESNPDHGLSELHLIQIFYYGLSHKARECLDYMKIWINFGIDSTTYIVAHKIMRLKCVNLFKYSNLVCNQVKGEKWEILPKEASKIGQEEKEASIKVPIMKEIEEVSSATKDDSKSQELKVQDPIQFFSNDEDDAPCMVCIPTTPEEIPKIVHEERVAPKEDVEMDIPPPSPPKIAKHEEPPYDEHFGHPKDLKDLVDDQVLELTYPNLEVDLQEFEAKEQRSVSRQEDMSSGGQALEHMGPKTNQVGRQACDMGAQPDSGVPPSSHMDAADLVPEANDLGAQCN >OMO68751 pep supercontig:CCACVL1_1.0:contig12180:200:7129:1 gene:CCACVL1_19852 transcript:OMO68751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MDKSWMQKQKWTKEYQDGVEAILNFAFQNASLDGMILCPCKNCRSDYWRPRDVVQEHLVCDGFEPGEDNLGGMLRGVFNMHDQHFHPQSPQNDPLPDSPSGTSIVGDPGENGGCEEPTGEAAKFYKLFDDMNEPLYEGSKCSKLAFSIRLFHLKCLCGMTGKAKQESCDVCGNSRWEDSNTNETLNEDDGEVEIKKKPAKKAFDSRFPDFASDPRNVRLGLAADGFNPFKLMSTTYSTWPVVLINYNLPPWIGMKQPAFILSMIIPGDKGPGNDIDIYLQPLIHELKQLWEGVETYDSSRKQNFHLRAALLWTINDFPAYAYLSGWSTRGRYACPCCAAETCSKWLSNGKKFCYMGHRWWLDADHHFRFQNHLFDNTIETREPPSITYGSDILSMLMDVNFTYGKANEASRNKGNSNQSSQKRVRDVGDGELDVQNDAQVEDVVREADLWKKRSIFFELDYWQHNLLRHNLDVMHIEKNVCENIVATILNVDGKSKDNLNSRLDLVAMGIRNELQPQLLPNGKTWIPPACYAMSKDKKDVFFHLILKISRFLMVMHQIYQDVSMTKERKLSSLKSHDYHILLHDLLPLALRSAMSSSTSKQVTHAILELSNIFKELCGKLFQSGGQAKSKAEVVELDSLARIQAHRYVLHHHELMEPFRVEYKNHLRANARSRRPNPRELDKLFIETFHDWIVQRVRDGVNVTEEVLDLARGPDTMVKRYSAYVINGFRFHTKSREQKRRTQNSGVVVTSSTISYASARDRNPLEGDVNYYGILNNIIELNYYNKFKVVLFRCDWADVTTSKGVKKDQFGFTLVNFSHLIHTGKQLIDEPYVFSSQVRQVFFSEDPKDPGWFVVLHNTPRDLYDMGEEASDDVERRTECFPSVNPNMPRLRQKNIRITSTAALDHAAGSGSSSSRSGQSQGLDIPEALEAVVVMMTNAAGNLIKRRGKTRLRDVAELGQGDRIFVQGNGEKFDLRGVSDIWLRASMAKKWRDHKNRLKGKYFDGNLTPDEVKRKLPEKTDIVRTQFYALVDYWFSPEGKEKAKKAQESREKQAHTHTSGSKSFARMAHEMSLESDGRPIGRLSVYVAAHTRANGSPMEATKETVARSKQLLNEEMKDCATTEDFLSKENSLYNEVLGPERYSRVRGFGLLPQSNRLFKNNVGASTSAANVVGPSEPAPSLQEYQSLVAKLAEMQEMHIREQAKAQAVHASLMNQLNDLQQVVYNIQRGNGDMQDAEDEDSEAPRKRRVDWLAGDSPCCTSVSSNDIPQKRRKMKTA >OMO68753 pep supercontig:CCACVL1_1.0:contig12180:33260:37398:-1 gene:CCACVL1_19855 transcript:OMO68753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MSTTTVSSASNPSSNLVTINYAAQLPIKLNSNNYPSWRAQFNSLLLGHKLLDLLMEQKSLLLPPFNLQLRMEQLPPFQILKYKEIVAGIRARESVISYEELMEKMCDYELFLKKQDSATDQIVATAYSTQKQHNNNGNRFKNQNHQSKQNFRPSQSTGAHGTRLTCQFCDKPGHSTKWCRKIKPQKAPMTTNHAAAKPTSHTPVKNTPWIADTGASHHVTTKLEHLDLNTPYDGTDELYVGNGTGLSISHTGSATLNTPHNSFALTDILVDLSTRKPLVQGLNKDGVYEFPPADSLLEGKTLALVGTRQNKRIWHQRLGHPSSQTLQFLVNHFDLPCFKNSATELCTSCACNKIHKLPFDLLNFVTKAPLEILFTDVWGPARIPSPDGIKYHVSFVDYHTRYTWFFPMKFKSDVYSIFPKFKILVERFFKTNILTVFSDCGGEYEKLKSVFSSFGIQHLQTPPHTPENNAVAERKHCSIVETSLTILHNASMPLKFWPYAFQAAVYLVNRLPSKVLSNKSPYESSQPPASQTSVLTDVPVTQAVVTQQPLSIHSSSADANSSTPPPVASSVDNSALPTNEIPKSDYKPKKMFTATKYPLEPNEEPTYASKAVKIPHWKEAMHEELEPLHKNKTWELVPPPSDRNVIGCKWVFRVKKNSDGSISRYKARLVAKGFTQRAGLDYHETFSPVIKHVTVRMVLSIAVSNGWPLQQMDVNNAFLQGELKEEVFMKQPPMFADRAWPHYVCKLQKALYDDILAYMLVYVDDIILTGNNDEFLKKFSAALSSKFSLKDLGHFNYFLGVEVETRNNGIFLSHRKYITDILDKANMSGAKTSTTPMSTTTALTLHDGTASVDAHHFRQILGSLQYLSLTILDISFVKLANPVLTAYSNSDWAGNPDDKRSTSAYVIYLGNTPISWSFKKQKTVAKSSTEAEYKSIAQTVSEITWIKSLMKELHIPCTSNLVIYCDNLSATYTCANPVFHSRMKHLALDYFFVREKVQAGELQVKHISTKAQLADALTKPLSSDRFHQLISKIGVLDQSTILREDIRGKSKFKSQSIQT >OMO96404 pep supercontig:CCACVL1_1.0:contig07479:1026:3304:1 gene:CCACVL1_04970 transcript:OMO96404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTAAFSAPSCPKLAQPSGFSGLRKFPEAILGSLIRQFRES >OMO96406 pep supercontig:CCACVL1_1.0:contig07479:10240:15029:1 gene:CCACVL1_04972 transcript:OMO96406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNDNETAVAEQFLKPRRSKSREVSSRYLSPTSTPTHDSGNQSPSKALSPVRRKSTSIDPRKHRSLDEPGGLLRGLWPSSSPVTTSSSSSSNPKLDTLADHLGNERLKDFLERKSYESRTDNGSVSLTRQRSRTELADSSPKFSKKFTIKNAIKRANSLTGHGTSTSQWALSPGRSGSPPMTVESKVKPLSFSSLRPPNSPSRARGVEKLLNLGLMDLFKSKKSSASQVGSGDAESFHQLKLIYNRWMQWRYANAKADAVNKNINNQVESYLLSGWNSLLTLQHSVLQKKLKLQKEKLEIKMNFILQSQMKALESWAEIERQHLSAISMTKECLHSVICKVPLIEGAKVDAQSASMALRNASDFTISIKSILTNFSPATEEAVSLLSELAKVVAEEKLLLEECLELLKMIYTLEKYSDLKQQAYLDDLEAGKETVNLDKFFEDVDNVKEDMRVVEQLYKRLHDSNEETKAAHTAKAMKDLRARMDSDVEQVLKRVKLIKKKLEALEKSNAAHQKLPGCGPGSSSERTRTSVVGGLGNKLKDMMDDFQNLRAKMTAEYKETVERRYFTVTGQKSDEEMIENLIASGESETFFQKAIQEQGRGQIVDTISEIQERHDAIKEIEKNLIELHQLFLDMAVLVAAQGHQLNDIERHVAQASSFVMRGTGELEVAKEYQKSSRKWGCIAIAIAVFLVVLILFPILSSAIIKNT >OMO96405 pep supercontig:CCACVL1_1.0:contig07479:7381:8808:1 gene:CCACVL1_04971 transcript:OMO96405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MEGLNITDEMLSPNSVTRQLSDQISLAKAFVVIAKESNNLQFAWELSAQIRNSQALLSNAATRRTPLTVGESETAVRDMALLLYQAQQLHYDSATMIMRLKAKIQALEEQMGSVSEKSSKYGQIAAEEVPKSLYCLGVRLTTEWFGNPNLQRKLRERKQVEAKLKDNSMYHFCVFSDNILASSVVVNSTALNSKDPENVVFHLVTDEINYAPMKAWFAMNSFRGVTVEVQKFEDFKWLNASYVPVLKQLQDSETQSYYFSGHNDDGRTPIKFRNPKYLSMLNHLRFYIPEVFPALKKVVFLDDDVVVQKDLSGLFSTDLNGNVNGAVETCMETFHRYHKYLNYSHPLIRAHFDPDACGWAFGMNVFDLVEWRKRNVTGIYHYWQERNVDRTLWKLGTLPPGLLTFYGLTEALDPSWHVLGLGYTNVDPQLIEKGAVLHFNGNSKPWLKIGIEKYKPLWEKYVDYSHPLLQQCNFH >OMO96407 pep supercontig:CCACVL1_1.0:contig07479:16667:26490:1 gene:CCACVL1_04973 transcript:OMO96407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPYKQQPLLSHVSTPFSIRYPLTLFDNGNVKWVLGRTIRVDYCKKYKKKIRRNKRSGRPEGVLAIVSTAIEE >OMO96408 pep supercontig:CCACVL1_1.0:contig07479:28652:29593:1 gene:CCACVL1_04974 transcript:OMO96408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLPPLHLLHRRAQKIIQLADSEMGLDYPTKHPGHAHAVRKNPALRELVRLLIAVEAEMLDFASWQVLSIWLDFDHDKIPPNQQATIGLQHRDMLEQLYSRGLTNFYNPPITLKNPLTRLEKMRLSKENPKIVLDGNADTLLLAITHPNLGFRISASFRFEPFTKSPVHSIELVFKESEENSDGGVSGSPIASPSDISAMIPLRLLVCNARGAGNTDFPEYIAHHYYNQRPHMTIFTETRLSGKNAKKIRNSLAFDRSVSLDASGFSGGLWLLWNQDDSDVNVLSKGSYEIRTNVQPLNLDPMNGWRDTSVH >OMO81532 pep supercontig:CCACVL1_1.0:contig10151:1155:3853:-1 gene:CCACVL1_12374 transcript:OMO81532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDKDLETILSDDYPIDDEDIDNDENEQNSVSSSKNNVELPSLKYSMYRPLEPCQGRVLDIRSTKKRTRVNPERAETRIGCKARMYLKRANDKWVVSSFVTDHNHELLTPKSTSFLRGHRVITHAQKNLIDTLNEAGVPPRKIMSVLTKESGGDWNAGCVPIDVQNYLGSKRRKLLAQGDAQKLLHYFIQNQSKNPWFFYAIQVDENGHMGNCFWADARSRLAYRHFGDVVTFDATYLTNCYGMPFVPFTGVNHHHQSVMFGCALLVNKKIESYVWLLETWLEAMLGQAPTTIITDDCPSMKNAIAQVLPNTTHKLCMWHILQKVPEHLAFVYNKHPLFKDEFHSCIHDTMTIDEFEFEWNVLLATYGLEENGWMKYLYMRREKWVPAFLRTTFCAGMSTTQRSESMNKFFKDYVRSSTLVSDFVHQYDKALNARYQKEKEKDVKTKSSKAILKTCYKMEADAGKHYTRKMFSKFQDELFSSQNYRASKDRDDGEKKIYKVIPHGKEKPIHEVSLDISDNKTICTCHKFEFVGILCRHILVVFVKKSLVNSLPKCFILERWTIDAKRHTIPDIAGVDVIQADTQISSTLMRNSLMMQFLEVAENGSKSRRKYEHLSHSLQRIHEEILAISDENEENLEASVPTPNFEATNLDESNIVMTLQDPLHVRSRGRPKSLRAKNPKENQSKPSGIKRKCTMCKAEGHNKTTCLSLKPSLTAEILFSSAVGVK >OMP00009 pep supercontig:CCACVL1_1.0:contig06747:10185:10763:1 gene:CCACVL1_03501 transcript:OMP00009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGDTVATGKHAWTPSLGAVLGGVSAENATPDECHRGSNENVSQEAAQEIDVESPKIGQRVMEVGQDKGKKKIPPKKTIGKRMTSQIDKLCDNMSSPRKAISTIVFPPPRHVVEEEMGSLRAMEDEVPSMSYLYYFTFELFHQQIKREIFLNLRQAERKWWLEKEYEKHQANSRFSSLLATTSCGSQPSQGL >OMO58944 pep supercontig:CCACVL1_1.0:contig14082:17810:17908:-1 gene:CCACVL1_25228 transcript:OMO58944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNHEVNGKIQSGGCARKKYGKQQFHQANDLNY >OMO56800 pep supercontig:CCACVL1_1.0:contig14479:38032:38790:-1 gene:CCACVL1_26264 transcript:OMO56800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFYQASKLSFSVTITILYVIFQAKSFNAEINKCHGSCKTSNFSNSLPYPFGFSAGCPIQLNCTETGVTIGKFVVLNITSTDIVIKLPAKCDREVASISVLFGENYALSSATSLLLENCSKPLSGPCEIRPMFIEGSLKLNSCAARSDNMSCFNGAVGENLLSFDEINNTQCRFLFSATTAVASNSAVSLELERVYLGWWHKGEYCNCDQNANCTQVMKGNSTVMGYRCSCKEGYEGDGFKEGSGCRRGESI >OMO56803 pep supercontig:CCACVL1_1.0:contig14479:58289:58603:-1 gene:CCACVL1_26267 transcript:OMO56803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMISRVQPSFSGGADQKVMDVNVNNQEEKDTTQTPNSVTSQLQIKSSAVRSSPESLDKEVVLRRIRHHKCKNKVKSAFQALVGGSAQGQEKWMELGDAFTCP >OMO56796 pep supercontig:CCACVL1_1.0:contig14479:20743:21555:-1 gene:CCACVL1_26260 transcript:OMO56796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYRVECKQCGKYSWGGCGNHLSTLYANIEEGKRCMCRSWPGVAVPSTTNNVRNKCQPAATATPASGNQQSS >OMO56801 pep supercontig:CCACVL1_1.0:contig14479:43718:53753:1 gene:CCACVL1_26265 transcript:OMO56801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRLAKAFNFFLVLSCTIFCLTTCEPCSVNVMPTMEEHEGCEYHGDNHHVGIQENLVGDTNAGYDTRTSLTRLSVESICTNSHLFCFPSTLPGFSTEESKLEVGGLEVSRSQSHSDTSYVEPSDLRGQGNNRSWLSNHGVFRLLNGRMVSCSLYSRGGIHEFSSFLTDGATQNDIFSCRGPSQNQKSTSVRMENNIEVTKSGSLEVSSLPNVDISPAVMDWGQKYLFLPSVAYLTVANTCNDSILHVYEPFSTSIQFYPCNFSEVLLGPGEVVSICFVFLPRWVGSSSAHLVLQTSSGGFLVQARGYAVESPYEIQPLVSLDIPSSGQLSKDVSLFNPFDETLYVEEITAWISVSLGNTTHHTEAVCLKENFQGSDGHILLSAEDWLVMNSGRVGFPLMGMRLNRSWEIDPQSSETIMEIDLSFQSKGTIFGAFCMKLWRSSQDKSEIVMVPLEVDLDSTPSYEDQASSLSVSLEALVPNDSSETVFVAISVENVAPYVLSVVKISEVADRKIFNIKYMEGLLLFPGAVTRVAVITCTKLPVGIHDSFSEVSKTIRSCKLLIMTNDSISPQIEVPCEDIIHICKQHPKDLSMSYEHQPDKSANTKTGPLGRGVQLASWAKVLETAEADELVLGNWKAQGTISGMSVLDDHEVLFPMVQVGSHRSKWITVKNPSKQPVVMQLILNSGEIVDECRGQDAFLQPPSGSLTHNSSAMPMKYGFSLGESAKTEAYVHPYGRASFGPILFHPSNRCGWTSSALIRNNLSGVEWLSLRGFGGSVSLVLFEGSQPIRSVEFDLNLPTSVNISPQMFFNMEETNHACSQPFSKELYAKNTGDLPLEVKSIKVSGRRCVLDGFMVHGCKGFSLEPGESTKLLISYQPDFSAGMVHRDLELALATGILVIPMKATLPIDMINLCKKSVFWMRLKELSIAILLFASLLFLIFCFIFHQAIVLGSQDFLYKSEKNPITAIRTGGKSSCVNRNQRNSKFSMSAEMDGLLSSVRNGKSLKEVSNGRCSNGQVRAKELDLTDQDAKQITENGREIESFLVSQRESSLSSLPSKSAVAENPDIKEAPQAGNLTIRTGKEKGRRRRKRKGVFTGLIEVSSSQSGNSTPSSPLSPITSVTPNRTWLLSPDVDQSVEGRNPFTQLADQTCEKVQDPEPILRANISGPKVSVEQGSNNWHSLTRERSTVPRKVSNPVLLPSATFPCPGRASPSMLSFSTLASTSVIAPPARAPGSKLCDQKTMKAEGKGRLGDEYTYDIWGDHFSGLHLLSGSKDGVAMNSSATENDSDSFFLRGPSTLMKPRFGGDTQIVSTLCFEVMFYIGVGVFLLVTDRVQRPYLEFSANRWGLITGLKGYLTSSFLTMGFKVVAPLLAVFATWPVLGFPALIAVAPFLFGCAAQFAFETILEKRQSSCLPLVPIIFEVYRLYQLTRATHFMQSLMILMKDSPRRPEVMERASAIIGMGWFDRTQGFGSFDQKEFYRLPSLARREKAGSLPLTA >OMO56802 pep supercontig:CCACVL1_1.0:contig14479:55343:56928:1 gene:CCACVL1_26266 transcript:OMO56802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAREEDYDSDAPEEFTNEQGLKQDEEIRKIQEENKARVVRERRERRRLWAQKKTPRQSAKVENGQEDVETETETDDEESQAKKGMLPTNIVEMLASREKQVFLSDSEDEKAEVKPTSRKKKKKTSGLEPVILNDMPPAQCLQNSLEFLKRRKMQVPRSSSVLTNSNQALRLNSVLSTSGLRESCKRL >OMO56804 pep supercontig:CCACVL1_1.0:contig14479:60227:79005:-1 gene:CCACVL1_26268 transcript:OMO56804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFNNFFKQRLLSLLRPWLEQDPELELQLGLIKSVAIARNLRIDTSTLNRPLIDGSSSSRFIFKEFLIKEFIFRFSNWSATAFTFEARGINVTLSYEELNKEGTGKARKSSNTASESLKKDLSTIDPEGSAVHNILETILATTCERSRVKSSFLNLILQHCRLEILGINLQLKFPTSNDSLAYMLELKELNAESIPFVRGCLYSGLVKVLFLPLKEGSLVVNGSCFKIGYKKSNQIKYICCSSTQLTCLKFKDLKLVESSLCIPELSFSVSPVDFPVFMALTKVSSKESKRVRMGRHLWRLAAIKIGSNRNLAPSPRLSWYKLLGVVSLWLHYVDYYEYLLSLIHYSDDHLLERLDIKIPRDKVILTSAKHYWGVISDIEKELPAEAIAQARRIARNRAVSSGLGSEDNNRKLFVDDHEAFVPISECSYSRFHFILSLGKIYINLSSMSAVQHVNEKDESHLGISSSDVFSFRFSIKVLLLLYIEDIFEQTFSFSCGKMKMKYFIKSVGIEKERVKNLKTLLRCEPAKMFLLSENNKISVETEAGGNSDPCLENFLGEMCLNWRRACEQFEDSEILYPESPRLLFEMKSFLRHPDLKKLGSSLWKCNFTVGKFNIALGYLSILSVVMLLRQIEHVLYCIQGNGRTMDLSYSPQNTEHQSEVNWEKGYECYSSKIKKSLLRILPGKDIQIGVLIAGPYVQLSSRKIGSRNVNEGVSSHAVSVDDFHLGFDIHDIEVVVWPTSKSFLAPIRLCTERDEEDSDSFMLQEPKILDIPKPENNKYTSEDGHSLCFYLRLNGLQAYYEDVGDRQKNKIAVLDPITFQGSSFSITSIAFSTAFYGLAMGFTILLCIDDLYARIQVLAGLFSDLSHPFHNFVFPGNESFQMFRREDVVSGVTEDEELSTEAAPLICNNTLFLINGIFKLKSVDIFLCKSRISNEVTVVNYLRDCGIGISLHQLCFDILYEERKLELLIDLSGIQSVIVRYQEDMRKSSNRAVFRELLLSSQNCLYEVSLSHCIFTLLLNLPQNSSSSTSVNEMLDGSASEANASHPLVDSSFSSESESMPASSCWIFINVVIAEVFVTRCSVKNILIGAQSFNKLLSSLCVGAKFQKLNWGIQGGFVCLETEALEMFVQFFASYLHQIENVLAIVQSTARHIQNAGRNDGSVGGNAREMRITPQQVEEKVPEAFSLDFSQFSLVLVCESESSHIRELVLELDLILHLDLANMQRKFMFKLSRLSIFSQVFEQSAEDEIRISHLSSTQSNELSSHSISGESAIGFQHEDGSCPVDDNFSRGSVSQRVFFLRHQDYILKHLTASLSIEKAVASPLEPKQLWVGSGSVLGFEMTILLSELQMILSMVSSFSGLPGKGSSSESMQRNWSYDQQEDNNFEARIPDGSIVAIQDVHQHLYITVEGGENNYAVGGAIHYSLVGERALFRVKYHKERKWKSSVLWFSFISLHAKNNSGEPLRLNSCPGSGFVELSSTTDNAFSLWRVVPYESEAYEGDIDWEPYNQVLKNTLYLVNKKNDCAVAFNDRVPVFVMKPGNPFKFKVLSDILVPQDGGRNYGPSGNLPCIAITIDTVSMTIVHELSDANDRFPLLRGCIDDTQLTFQILSTRARVISTSKALLQYFDAQTNFWRDFLHPAEICIFYRSSFENPHGVPVHVYCRTKELDISLTELSLDILLFVIGNLNLAGPFSVRSSMILPNCCKVENQTGLNLLCHFYGKQSVTVSRKHSASFSLRLSASANQQPETAPDVSIQLSNPDSFTTSPVHLSLLEPQALAWRTRIVSVQDSKSYPGPFLVVDISRKSEDGLSIAVSPLIRIHNETMFSIELRIRRPRRDEDEFASVSLKAGDTFDDSMASFDAINLSGGFRKALMSLNVGNFLFSFRPEISNDLIHSDTPLSVEWSDEIKGGKAIRLSGIFDRLSYEVRKALSVGSVKCSFSTTYCTVKSAAENVSDMHFLIQSIGREVPILKPDKCKDGVENTNPPISLQEQKEVFILPTVRVSNLLHSEIHVLLTEKNLSTPTGCDSIGKEATLPCGSTIDFYANPNLLYFFVTLTAFGSTCKPVNSGEWVKKLLKHKKNVPCLDIDLNFGGGKYFASLRLSRGYKGILEATVYTPYTLKNDTDFSLFFFAPGQKPSFRKEMGRVSPDVGLFLPSKSTGSWFLKSHKMLLRLLEDHASDAQIDLDALSGPTEVSLEIEKSSGVKYIAKFGVSIGPSSSRVVPSQMITVAPRHVVLNESEESITVRQCNLEVDIDGMISINCGQSKTLWLQTEINKRREYRRFFLKFRKQSNQLTIEDKRIAEFATVHVVEEGSTIVVRFQKPEIIKLPYRIENRLHDVPLTYYQKNSSEAEFLGPECSVDYTWDDVTLPHKLVVILNDMNPPREINLDKVRTWKPFYKLSQERLASHMLLDKKSKDQRSGFVELKGINAVKVGYEVYAEGPTRVLRICEFSDGHRQDKVSHSCAKIRMRVPQFSIQLLEREKEDFNQSVTPSYTPFLVARLQKINLDSVFTDQQKYNQIAVQSLNVDVKWAGAPFASMLRGHQLDYSDASDSILKIVFVLLSAGSDVIQVQYSSIILQPIDLNLDEDTLMKMVSFWRTSLSDSNAPSRQFYFDHFEIHPIKIIATFVPGESYISYSSTQEALRSLLHNVVKIPSIKKMVVELNGVSITHALVTIRELLIRCAQHYSWYSMRAISIAKGSQLLPPGFASIFDDLASSSLDIFFDPSQGLINLPGLKWGTFKIISKCIDGKGFSGTKRYFGDLGKTLKTAGSNVIFAAITEVSDSVLKGAETSGFDGMVGGFHQGILKLAMEPSVLGTALMGGGPERKIKLDRSAGVDELYIEGYLQAMLDSMYKQEYLRVRVVDDQVILKNLPPNSSLINEIMDRVKGFLISKALLKGDPSAASRPARNIQGESEWRIGPTIVTLCEHLFVSFAIRKLRNQADKYLANIKADKFLANIKWKKEPENDDLKAIVPAKTEEAQKVRFVWKWGIAKFALSGVLAYIDGRLCRSIPNPVARRIVSGFLLSFLDQTNG >OMO56792 pep supercontig:CCACVL1_1.0:contig14479:4097:4207:1 gene:CCACVL1_26256 transcript:OMO56792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKVDICYKEGRSQRLKRVEAKDRNQDKNAIAADN >OMO56805 pep supercontig:CCACVL1_1.0:contig14479:81045:82421:1 gene:CCACVL1_26269 transcript:OMO56805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNGSTGQKFGRLKNSIPAEDQDRKSNSPLSNNSPRISPENSPFPMDGDSSSSPFKKSPWSSHMATNCDNNSSALEDSNFSPNVLMGSLVREEGHIYSLAASGDLLYTGSDSKNIRVWRNQKEFSGFKSNSGLVKTIVIAGDKIFTGHQDGKIRVWKVSKKNSSVHKRVGTLPTLKDYLKNSIKPSSYIEVKNSRSAIWLKHLDAISCLSLNEDKTLLYSASWDKTFKIWRISDSKCLESVQAHDDAVNAVVAGFDGLVFTGSADGTVKVWRRELQGKGTKHFFSQTLLKQECAVTALAVNPDATMVYCGSSDGLVNFWNRENHLSHSGVLRGHKLAILCLVAAGNLVISGSADMGISVWRRTGTEHVLLSMLTGHSGPVKCLAIERDHESASGEKRWILYSGSLDKSVKMWRISEKAPPLTSQNHQQQYRSESKRGAATLSAAPSFSSHGRISQRK >OMO56793 pep supercontig:CCACVL1_1.0:contig14479:8046:9357:-1 gene:CCACVL1_26257 transcript:OMO56793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbO, manganese-stabilising MAASLQAAATLMQPTKVGAVPSRTSSVQLRSSQSISKAFGVESSGGRITCSLQNDLKEFAQKCADATKIAGFALATSALVVSGASAEGVPKRLTFDEIQSKTYMEVKGSGTANQCPTIEGGVDKFAFKPGKYYAKKFCLEPTSFTVKAEGVNKNSPPEFQKTKLMTRLTYTLDEIEGPFEVSPDGTVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPESFGGDFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELAKENNKSAASSSGKITLSVTGTKPDTGEVIGVFESVQPSDTDLGAKTPKDVKITGIWYAQLEQ >OMO56798 pep supercontig:CCACVL1_1.0:contig14479:25909:26415:1 gene:CCACVL1_26262 transcript:OMO56798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTISPPVLHSLSVRCTNNAHPRRSVKAHVSKPSPVVSLPNRRQLLFFLSTTTALTIRQPESHAEDIPLFGIRKKLKRAEDEAVELVKEGFETAEKGLETAEKGIITVEKELKTAEKEIEIAEKEIEGAISFPALAQAGAVAAAEFLGVVVATSIVNGILGPEAQKS >OMO56795 pep supercontig:CCACVL1_1.0:contig14479:14821:17882:-1 gene:CCACVL1_26259 transcript:OMO56795 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MAAAADKPSSKGQAWFCATGLPSDIVIEVDDMAFHLHKFPLMSKSRKLDQLIAEQEIEEEEEEEEEDDDEEYQDEEEEEQYQISLPDFPGGSETFETAAKFCYGVKIDISSSTVVPLRCAAEFLEMTEEYSEDNLVSKTERFLSHSVFKSLKESIKALKSCESVMALAESLGIVQRLIDSIASRASSVDPTLFGWPVNDGVLEAKGTSTQALWNGIETGLRRKALARTNNVESWFEDLALLSLPLFKRLILTLKTRDLSPEIIESCLMCYAEKYIPGTSRSNRKPSTSSSASVASESEQRELLETIISNLPLEKSPSRSSTATRFLFGLLRTANILNASESCKAALEKKIAFQLERATLDDLLIPSYSYLNETLYDVDCVERILGYFLDGLEERNAAGIEAETERNLNDINDNSVRPPALMLVGKLIDGYLSEIASDANLKPDKFYNLAISLPDQARLFDDGLYRAVDVYLKAHPWIPESEREKICGVLDCQKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGTLLAAEAVPTNAGRMSEARREEDEEEEEEEGEERAVGAVTMSGGEEGSSTWRAAVRENQVLRLDMDSMRTRVHQLERECSTMKKVIQKIEKDGPRGGGWRGSLNKRFGSSQPGKQLIKKAGEVRVKGVGRGG >OMO56794 pep supercontig:CCACVL1_1.0:contig14479:11522:13885:-1 gene:CCACVL1_26258 transcript:OMO56794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold-regulated plasma membrane MGRMDYLAMKTDSTAEDLISSDMNELKLAAKKLISDATKLGGLGFGTSFLKWVASFAAIYLLILDRTNWRTNMLTSLLIPYIFFSLPTVLFDFLRGDFGKWVAFIAVVLRLFFPRHFPDWLEMPGSLILLLVVAPSFFAHTLKYSWVGVLICLLIGCYLLQEHIRASGGFRNSFTQSHGISNTLGIILLIVYPVWSLVLHWV >OMO56799 pep supercontig:CCACVL1_1.0:contig14479:26743:30549:-1 gene:CCACVL1_26263 transcript:OMO56799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYQASKLSFSITITILFVIFQAKSFNAEDNKCHGSCKTSNFSNSFPYPFGFSAGCPIQLNCNETGVTIGKFVVLNITSTDIVIKLPAKCDREVASISVLFGENYALSSATSLLLQNCSKPLSGPCEIRPMFIEGSLKLNSCAARSDNMSCFNGAAGENLLSFDEINNTQCHFLFSATTIVAKNSAVSLDLERANLGWWHKGECNCDQNANCTKVMKGNSTVMGYRCSCKEGYEGDGFKEGGGCRRAPHCNASRYFSGKCGGTTRVGVLVGGIVAGALLMGGVALLCCYVRRRSSSINKQMSAKRLLCEAAGNSSVPFYAYREIERATNGFNEKQRLGTGAYGTVYAGKLHNDDWVAIKRFRYRDPDSIDQVMNEIKLLSSVSHPNLVRLLGCCIEEGEPILVYEFMPNGTLSQHLQRERGPGLPWTTRLTIAAETAKAIAYLHSVNPPVFHRDIKSSNILLDYNYRSKVADFGLSRLGMTESSHISTAPQGTPGYLDPQYHQYFHLSDKSDVYSFGVVLVEIISALKVVDFSRPQSEVNLAALANDRIGRGCVDELIDPYLDPHRDAWTLSSIHNVAELAFRCLAFHRDMRPTMTEIAEELEQIRLSAWVPGMCIESPTASSSASSDDESEKSLNTYKTIKKSVVGSRRSLIVKHRFGDCLTSLDEVKNGSPVSVQEHWLSEQSSPSTNSLLGNNASQRE >OMO56791 pep supercontig:CCACVL1_1.0:contig14479:412:543:1 gene:CCACVL1_26255 transcript:OMO56791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTNTQVNGIVDPSCKAESWVGGMYESEKSSSQQRTKKGKEF >OMO56797 pep supercontig:CCACVL1_1.0:contig14479:23072:25609:-1 gene:CCACVL1_26261 transcript:OMO56797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MAEEAVPAPAASEASMIITEQTSGPKIGETLETQGSIEGTIQSAAQGGTESTCNNGNNAESSGLAGDADLEKTLEFADELAEKGSKAFKENDFAEAADCFSRALEIRVAHHGELGVECLKAYYMYGRSLLYKAQEEADPLGSMPKKEGQTQQDSNKEGSVKSAVNGESSVASVSSNVEQEGSSTQQEGTTEEGGKDEEEEEEGSDTDDVAEAEEDESDLDLAWKMLDVARAIAEKQQLGDTMETVDILSALAEVALEREDIESSLSDYQKALSILQRLVEPDHRQIAELNFRICMCLEIGSKPQEAIPYCQKAISVCKTRLERLTNEVKSSSGSASSSAASELEDGVQQSSNGSETVKSITDKESEIKTLAGLAEDLEKKLEDLQQLATNPKSVIAELLGLASAKAKGGENSAASAAVSSSRMGTSNSSGGFDSPTVSTAHTNGAAGVTHLGVVGRGVKRVLMSSGTVESSSAAKKPAIEPSSDKGGGSSA >OMP12242 pep supercontig:CCACVL1_1.0:contig00246:879:974:1 gene:CCACVL1_00066 transcript:OMP12242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQSEFNIQFSHQAKITYFDLEKIQAKLSK >OMO69808 pep supercontig:CCACVL1_1.0:contig11983:19719:20637:1 gene:CCACVL1_19255 transcript:OMO69808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MSSLQRDFNQTIDYVFKVVLIGDSAVGKSQLLARFARNEFNIDSKATIGVEFQTKTLLIDHKTVKAQVWDTAGQERYQAVSSAYYRGAVGAMLVYDITKRESFDHVARWLEELRGLADKNIVMMLVGNKSDLASLRAVPIEDAKEFAQRENLFFMETSALEATNVESAFLTILTQIYRIIGKKNLLPNDEQDSAGNSSLLTGTKITVPQQDPEFKGKSFSCCASP >OMO69809 pep supercontig:CCACVL1_1.0:contig11983:23238:26037:-1 gene:CCACVL1_19256 transcript:OMO69809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPVIDLAPYLEIAGDRDGELPSGLNELCCEVSRLLKETGALLVRDPRCTAEDNDRFIDMMEKYFEKPSDFKRLQERPYLHYQVGVTPEGVEVPRSLVDQEMQEKLRELPKEHQPYTPKGPDPKWRYMWRVGPRPLNTRFQELNSEPVIPEGFPEWKETMDSWGYKMITAIEAVAEMAAIGFGLPKDAFTSLMKQGPHLLAPTGSDLRCYGQEGTVFAGYHYDLNFLTIHGRSRFPGLNIWLRNGQKVEVKVPMGCLLIQTGKQIEWLTAGECTAGMHEVVVTKRTIDAIKLASEQNRSLWRVSSTLFAHIASDAVLKPLSHFAESHIASKYPPIPAGEFVEQELAVINLKGNKGDP >OMO69807 pep supercontig:CCACVL1_1.0:contig11983:11757:18971:1 gene:CCACVL1_19254 transcript:OMO69807 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/Surp MEKGVPSSLFVNDGSFMERFKQLQQQKDEKEKAAAALEESKPPKIVKGSSAPKPAIALNKLTMEFKANDARKTSQASSGGKLAFSLKQKSKLVSPPVKLATDEEEEDQDTGKLPDDIPVKRQKLGQAIASEQASKQVDVASPSASDPTVKKFADNLANFVAKHGRQIEHITRQKNPGDTPFKFLFDESCSDYKYYEYRLAEEEKALSHNKESQTPQHGDASISASKSTSSSVRSLHQSGYQMPASALYENNEEPRSSMASVGRAGPSSAPTGADPIAMMEYYMKKAAQEEKMRPPKQSKDEMPPPPSIQAASLKKGHHMGDYIPPEELEKFLAACNDAAAQKAARETAEKAKIQSDNVGHKLLSKMGWKEGEGLGSSKNGISDPIMAGDVKMNNLGVGAHHPGEVTAEDDIYEQYKKRMMLGYRYRPNPLESSKDMSVSYSSSFRFPDGELRKKHEFKDSSHYVQQKQQHNSGLIRFRSAPSSFLDDTDSGPGFEDYDKFPPSSPEMETLFILSCNGSGSDNMQQHGHGEKAVLKREEAQSVPQRNGCSEMMMYQRPVNGLANDTNIVTVANGVVGSSVCHSSSCGLENSMQAKLGNENGSNLVRQSSSPAEFFSNVLGLDHGFNVAQKDCGDRLSLAKTRAEMAVVEKLWQFQGSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQGLFPNMDKQTNTADMLDMAVEYIKDLQKQVKKLTDTKASRTCTCTCSAQVNTNTNTNQIFVPN >OMO69806 pep supercontig:CCACVL1_1.0:contig11983:11061:11294:-1 gene:CCACVL1_19253 transcript:OMO69806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYSIFRISYIGCRIRWEKNLKLRSPKLTTDTEKKKEKRKKRGQHRRRRIDLGECKKKKQMNRRPADLVKRGYRSF >OMO69805 pep supercontig:CCACVL1_1.0:contig11983:7848:10491:-1 gene:CCACVL1_19252 transcript:OMO69805 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase, SpoU MFHPLQASSSVCVRVQRLSPLSPLSKRGNANSLPATATIRNAVSQNQFLQVVLVSPQIPGNAGCIARTCAASAVGLHLVGPLGFKVDDTKLKRAGLDYWPKGDYLIFGSETCGLPCDVLVDCKNETFGGGTIRIPMVDTYVRCLNLSVSVGIALYEASRQLKYEQLQGSSGSGNASEQSFLTEDIFA >OMO69804 pep supercontig:CCACVL1_1.0:contig11983:5399:6508:-1 gene:CCACVL1_19251 transcript:OMO69804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTGFIIICWVFTLALLPSVYGCPPSDRQALLAFKSSLQEPYLGIFDSWKLGTDCCSNWYGISCDPTTERVTDISLRGESEDPILQKTRTGTGFMSGSINPSICQLDRLTTLIIADWKGITGQIPHCLVSLPNLRVLDLIGNSLSGTIPQEIGNLQKLTVLNLADNKISGGIPSSIVQLSSLKHLDLSNNLLTGEVPANLGNLKMLSRALLSRNQLSGSIPSSIGDMYRLADLDLSMNKIRGEIPEQLGKMRVLSTLNLDSNLLTGEIPSALLGNTGLGILNLSRNSLQGNIPEVFGPKSYYMALDLSFNNLKGSVPRSISSAKFIGHLDLSHNHLCGPIPMGSPFDHLEASSFDANDCLCGNPLKTC >OMO69803 pep supercontig:CCACVL1_1.0:contig11983:4604:4669:1 gene:CCACVL1_19250 transcript:OMO69803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGKRIPCLCCAFAAQLSMA >OMO60990 pep supercontig:CCACVL1_1.0:contig13628:74:169:1 gene:CCACVL1_23779 transcript:OMO60990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSNTIQNSTNGPRKARNNKCSE >OMO89280 pep supercontig:CCACVL1_1.0:contig08793:35888:37110:-1 gene:CCACVL1_07942 transcript:OMO89280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMATMGARAIGFSSFPSSSSCLSWNSRATTSSFSTTLSMQMVSKSMASRGCVACSAVQESSSPTATAETKAATPAEAKPSTAAEKKTAAAGGKEEAKAAPAPKAAAAKPKPAKAAAKPLPKMMEEDIIPSLKAILEAQDDLSELQLSFQDNKLEGSFMKKGFPYSFWAFFPDGALTGPKGFSLSSYGSGPSTVEPFLVDERKITARHVVFWVEKRLAAQGIIPVWEE >OMO89279 pep supercontig:CCACVL1_1.0:contig08793:33197:35247:1 gene:CCACVL1_07941 transcript:OMO89279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENPTPTAPDSAPAIKRYAPPNQRNRSLGRRKSSDWFDWTNNVYGNDSEKNQPRNNPVGDAGTGSSAILNEDLIRPALIPLEGCSRSEASRLLTNRWAAAIHRYNDPSVDLSERPVLYSGSDSAWRNFRLPHQMMSPTNSIGHSSGSQMDFLGELRSAMRNASAGSDN >OMO89277 pep supercontig:CCACVL1_1.0:contig08793:7854:9700:1 gene:CCACVL1_07939 transcript:OMO89277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation, RCC1 MSFGDGAQGALGLPDSEMGPGGDAYEPTRVSGLPSDITSISAGHYHSLAIDSHGGLWAWGRNQEGQLGRDPLASRDSWNEPKRVEGLDHVNVCAAFASGVTSAAIGDDGSLWVWGKSKRGQLGLGKGITEAVIPRRVDTLAGEKIVKVSFGWGHALAQTIDGKLLGWGYSADGRIGKVGEPLEVSLLDSNASTSMDNQQFSPSALDVAEQVVLQGMEREKDMPIIWEPQLVEELQGLEVKDIACGLDHSLVLCSNGTLLSSGSNVYGQLGRVKQDLGMLPVDLSFYPVSVASGLGHSLAICEVGASGAEGAGRSVVSWGWNQNSQLGRPGQESLPLIIEGLQGETPVSVSGGRVHSIALTSEGQVWVWGCGKNGRLGLGSSSDEVEPILLDYLEDFQVLQAVSGFDHNLVLIAE >OMO89278 pep supercontig:CCACVL1_1.0:contig08793:10315:13972:-1 gene:CCACVL1_07940 transcript:OMO89278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ionotropic glutamate receptor MHISFCCFLSLLGLGLFISISIIAATNEGIIIGGIVDNTTRIGKEERVAMEMALEDFNTNYKGNQSLILHVKNSSNKFPLQAALAAMDLINREGAEVILGLQTWEETSLVAEIGSQNHIPILSFSDLIPSWAKQNWPFLVATFPNQYAQAKAIASMVQSYEWHQVTVIYEDMDSSASKVISDLSHAFKQGGIETILVAIPPLDVVSLSKEIGRLKRDPCRVFVVHLSFPMALHLFEKAKMMNMMRKGYVWISTTSFSNLVHSINASTISSWMQGIIGVRSYLLTNDSKFHDFHIRFRKRFSLEHPEESNHEPGFYAVQAYNAVQIVAQAMRGKKMGGSILLEKILDGNFDGLTGKLHFINQGVAPANTFQIINMIGRSYRELGFWVDRLGFSETVDSHGNFSNSMKDLGQVFWPGGPINTPKGWDVSTNGKPLRFGVPARSIFNKYVKIQYDPDKNQTSITGLAIELFETVVEQLPFHLTYDLFPFNGTYDDLVKQIYLKNFDGVVGDVGIIANRYQYAEFTQPYTEPGLVMIVPLRSKTGNKTWLFLRPFTKAMWFLIGIINIYNGFVVWLIERNHCSELNGSALNQTGSLLWLSFSTFLSFHGERPRSNLSRMAMAVWLFVALVLTQIYTANLASILTVQGLEPTVTNIESLQSSNAKVGHTQASFVKSYLVNVLRFSPTNMKNYTSAADIANDLRNRVIAAVFFEVPAAKLFLAMYCKGFTMAGPTYKVGGYGFVFPKGSPLLPLVTEALLNVSESGKLRELEQSMLASQNCTQVDLEDDISSLSPSSFWALFLLTGSMSTVALLIYVSYGKPQQKSGPLLAEKVNWLTMWAVMLRSWSSNSRLVKLFSAKELSSNVGSPPIV >OMO86025 pep supercontig:CCACVL1_1.0:contig09546:3117:4880:-1 gene:CCACVL1_09856 transcript:OMO86025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTRDQLAEALYQKGLALSEIGSVKNEKAATEGTKDRDQTGDQSSLGSDKHSDLFEENFIELQKWVDLKSSKYGTLSAFHERHCGRLGTALKVLNDMIQDDGEPSQKKLYEMKLSLLDDIGWSYLSTYERQWMHVRFPPSLPLFQGCSHFIESSV >OMO54266 pep supercontig:CCACVL1_1.0:contig15020:2872:11393:-1 gene:CCACVL1_27934 transcript:OMO54266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase, subunit Vb MASGSGSLMWFRKGLRIHDNPALEYASRGSAFVYPLFVIDPHYMEPDPNASSLGSTRSGINRIRFLLESLVDLDLNLKKLGSRLLVLKGEPGEVLIRCLKEWDVKKLCFEYDTDPYYQALSNKVKDYASSAGIEVFSPVSHTLFNPADIIEKNGGKPPLSYQSFLKLAGEPSWASSPLSIDLSSIPPVGDVGSFEISQVPTLKELGYMERDQDELTPFRGGESEALRRLKESLSDKEWVANFEKPKGDPSAFTKPATTILSPYLKFGCLSSRYFYQCLRDVYKNVKKHTSPPVSLVGQIRPLQLLWREFFYTVAFGTPNFDKMKGNKICKQIPWNDDDELLAAWREARTGYPWIDAIMVQLRKWGWMHHLARHCVACFLTRGDMFIHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYNRIYSPISFGRKYDPNGNYIRHFLPVLKDMPKEYIYEPWTAPLSVQTKAKCIIGKDYPKPVVSHDSAIKECRRKMGEAYALNKKLNGLVSEEDLKSLRRKLVTSQLKTLATAPCRSAARTAPLRFQSHISPSPAASLLVRHFSAESVADTAVKKKVEDVMPIATGHEREELEAELQGKKILEDVNFPVGPFGTKEAPAVVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCSQYFVLEVVGPGGPPDGHGDDDHH >OMO54267 pep supercontig:CCACVL1_1.0:contig15020:17753:18886:1 gene:CCACVL1_27935 transcript:OMO54267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKGSKIEVLTKKEVPTGAWRCAEIISGNGHTYSVKYGWFPITGEAAMVERVPRKAIRPCPPPVNGFDDWVLGDIVEVFDDLCWKPAVIVRVFGGNNFSVRILGSNSELEVHNSRLRVRQSWESGSWFLVGKGSSNSTGQPKRKRSPPGVSDVGGQKKRVIEKGKIGGQRIIVRLPSPASKKVDAFVSPQNIMGEKYMPSSFDRIDDTMSCASSVGSCSGLGNNGFLSPSPVRNGNEELEDYCSDADSYSRQRSQQRCGEEEASVSTGVQLGKDFHRSELHAYQQALEALHASGPMTWEEEEKVTNLRRALNISNDEHLRELRKLRHDDNRPFISCF >OMO64395 pep supercontig:CCACVL1_1.0:contig12831:49:1094:1 gene:CCACVL1_21793 transcript:OMO64395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGGNPRQKLSLDYFQGEISQKQEEIHMV >OMO64396 pep supercontig:CCACVL1_1.0:contig12831:8742:11856:-1 gene:CCACVL1_21794 transcript:OMO64396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MPLYFPEKRGLGQGKNREEKENSATKRTDREAGEMIGDNSFSKTICSICYEDLKPIVEDLQTISICGHVFHELCLQQWFEYCSKPAKKYSCPVCKQHCIGHNAIRLYFQSVGDQSDSLCSQKLIDREEDAGALRIEVKKLLAKVSGLTSSLERKEKENKEINEELCLCKEQIRKEITWKNEALREKAFIQQELISKSAELSKSNSECSRLEQRSIALAKEIAVLKLVSDLDLEQDEIFKLASLGNEGNSQDTVDNLVRSLASHKKSYKELMTKCNILGRGEARLEKKLEKAKQKIDKLKRRVQELETSIEVKDNEVLRTLKALKKTDCEGVILLVDDDSNTLKAKNSLTGQMQHSNLPLNNLDLSGRSLKDSPRVMKENIHSGSPRVTNHITKGSSTVGIEEEINPLMSGHAYRDSTTNLNCRNRENIVGESAPSMSKAVSDVKGEAANGTDRLSGFSGSRTCSPTDKSATVLSAPKPMFNIRTEAPSSLPLAEQGNPCFSGGLVGPDGTKWHLGKWCKRGKMTQGSTKSSGDLISVGADGRGGRIKVMRSLNQSSMDDKENSISAKRLKNGAKANIVQTQGCLQIEHFFGKAQY >OMO51230 pep supercontig:CCACVL1_1.0:contig15926:17294:25715:-1 gene:CCACVL1_29919 transcript:OMO51230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSEEAVDDQGSGWLEVKKKHRSSSKYSVQGWVGGFSAKNANNSIKSQHSLYEKGGTVQGKFRSHLQKSGRNLDVHSQGGFANSTAESSEEKKDVCNLNNCDVKKDHEDPISPSCFVKNVNGSFAHVPKIPSNSKTHIVHKIKWGDLEDDVLVAHHDKNLGAEIKFGDIGEHNVLGCRKLENTWDSLSCSSCTNLQETTLEASVCVDSHSCQKSPSTLINEICKEVNEASLEVIAQTDSDKIISEHDGYKEIHAEDIKQIKDNKVDSSYLSCQASGTTAALEVPDVMLEVSKPKASEEPNTDGGSGTEMVSQDAVSLSPESSRPEALRELIRKDSMQDGGIADDLSKAQIITALGEADVGESKERFRERLWCFLFENLNRAVDELYLLCELECDLEQMKEAILVLEEAASDFKELTTRVEEFETVKKSSSLLVDGVPITLKSDHRRPHALSWEVRRMTTSPHRAEILSSSLEAFKKIQQERASRHPGSKKTLGQDHSNHASTSGDNLKRSFILSDATPNDRESVIKSRKLSGGPDLTQGNLSEEKRNIESGKCSKLYSMQNGCDPPRNYISSDLASSRSHLKDHSVASGSGKNKREYLGSETEKLLSRKDKMLTENFVEKTSKSVDHCKRQIPPEKDKDKRYANSRKSMDAWKEKRNWEDILSSPFRFSSRVSHSPGIGKKSAERVRNLHEKLMSPEKKKKTALDLKKEAEEKHARALRIRSELENERVQKLQRTSEKLSRVNEWQAVRAMKLREGMYARQQRSESRHEAFLAEVVRRAGDESSKVNEVRFITSLNEENKKLILRQKLQDSELRRAEKLQVMKIKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQLRREEERKASSAAREARAIEQLRRREERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLLRRSVNKESQGRSTPTSNAEDCQANGNAILGNSALATGNGALHHSLKRRIKRIRQRLMALKFEISEPPPAPENTGIGYRTAVGTARAKIGRWLQELQKLRQARKEGASSIGLITAEMIKFLEGKEPELQASRQAGLLDFIASALPASHTSKPEACQVTIYFLKLLRVVLSTTVNRTYFLAQNLLPPMIPMLSASLENYIKIAASLNLTGSSKTSLENFESVSEVLDGFLWTVSSIIGNISSDERQIQMRDGLLELLIAYQVIHRLRDLFALYDRPQVEGSPFPSSILLSIHLLVVLTSSPGHCSINWESLPIEMELGTESQEAKIAESPDSGCSFVDNKTGDYRPPLSALNSGTVVSLSGVPEDRPLDESCTTNKNDNLVFIGKDGEMKTTDSSVELTNVNTAKIGDTDVLNTTLAEQKEENLVMIPSEERLNENLSSLKQPLAFLLSTISQTGLVSLPSLLTSVLLQANNKLSSEQCSNSLPSNFEEVATGVLKVLNNLALLDIKFMQRMLARPDLKMEFFHLMSFLLSYCISKWKAANDQIGLLLLESLLLLGYFALFHPGNQAVLRWGKSPTILHKVCDLPFVFFSDPELMPVLAGTLLAACYGCEQNKDVVQQELSMDMLLSLLRSGRNMLPTVRSNPSPEIFSGEDFSEGNQPGSDIKRSQGERSSRFHARSTRVSGGKGSALGNNLRVGKIRSQRDSKSAKASEEAIPRQNFPVLGTSIMLFCRFPSSFIDRAEQFFSAGITNMGGEV >OMO51231 pep supercontig:CCACVL1_1.0:contig15926:41307:41621:1 gene:CCACVL1_29920 transcript:OMO51231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDVDGEVCCSRPLMGEWTLYFDGSATVTRGGVGVVLLPPEAERAYEEVVSMAFKLDFQCTNNQTEYEALILGLNTAKIIGVTELCIIGDFNLVVKQTNGEFA >OMO51229 pep supercontig:CCACVL1_1.0:contig15926:4552:16061:1 gene:CCACVL1_29918 transcript:OMO51229 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MELTDEQRKQAEANRLAAIAKRKALIESSTATVNSHYQQNQAHYWRLTKCPKLSNHNTHIPQRPQASNLDPVSSTQLSKKFQVRLEICSPDSFAVTPETLEGYVYQGDEECFRRMENILSDVMPSHFTQNSGGGKAGVFKLADYSYVLSCLRKSRDIEIKEIPHRTLRVVETFSRSFISGHWIPCRPEHLSEEDVDELIGKLPRRLLDVLLPFQLDGVKFGLRRGGRCLIADEMGLGKTLQAIAIAGCFLNEGSILVVCPAVLRYSWAEELERWLPFCLPSDIHLVFGHRDNPAYLKKSPKVVVISFTMLNRLRKIMLEQEWALLVVDESHHLRCSRKASESGEIQTVLDLAEKVKHIVLLSGTPSLSRPYDIFHQINMLWPGLLGETKYKFAETYCAVNFSQSSQGFKDFSKGIRLEELHVLLSQTVMIRRLKEHVMVQLPPKRRQVIRLQLKKSDIASAKAAISLSNGDTFDNNAAKDASENLEENHDGESYCTSGELSYQELGVAKLRGFREWFSIHPLIAESDAVEEVDTNSGSHKMIIFAHHHKVLDGIQEFICEKGIGFVRIDGTTLPKDRQSAVMSFKSTNGVKIAIIGITAGGVGLDFSSAQNVVFLEIPQSPSLMLQAEDRAHRRGQTNAVNIYIFCAKDTMDESNWQALNRSLHRVSSTTNGKYDAVQGIEVEGISYLVTPDGNCKRKILRIEATSKFSLDLEKSQDPESFLDKQPSDGCADKAISMEDVSDGKNQTGGSYLHNDDIIVSNLQRDEEFVSLGNKNELHHSPADTGICDMAPDCSMDERSGYHDPLPKGQSIKADDDAPIQLLEPECFSNDVDSLRFEVSQYTGRIHLYSCIPGTDLRPRPLFENFRPEEIDTEDGLVTDNEETVSKRHALRAFINEWNKLRPIEQRKLRGKPLQLPLSIELCYLKESVNHDSVGLLKGGSKRRTTPLCEISISLPPNAVWKKVHLQKSYSKKEKEYTQGWLNDEPLCKLCQNLCKGGSAKMPEYFEDLFCKIGCYEEYRLRTSNRFLRQELFQIEHGVCTNCQLDCHQLVKLLKPLSSARRREYIAKVAPKIASQKSLYEKLVSDPSEGNAWHADHIVPVYRGGGECRLENMRTLCVACHADVTAAQCAERRLMRIKAKKKLKSVLNELRNSENTERNAPCRKDQGSLEIIDDIAMDELLVNVPGSAYSGGESSIPHTEVLNNSPESE >OMO51232 pep supercontig:CCACVL1_1.0:contig15926:42052:42522:1 gene:CCACVL1_29921 transcript:OMO51232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPPLYRRMQRVGYYWPTMSSDCADMQYACSRCSEPPDVNDCHFVGSVGDWRRPYIEYLKNGVLPTNHQDARNLKRKVQRFFMKGNELFRTSFARTPLKCVSPADVTPLLEELHGGTNGAHEGGLKLYKKLLDLGYYWPTMEVDATSNVRRCHLC >OMO51233 pep supercontig:CCACVL1_1.0:contig15926:42553:42774:1 gene:CCACVL1_29922 transcript:OMO51233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHSVTTLWPFHTWAFDLIGPINPLAKGHIWVLIATECFTKSVEAVSLKKATRECGLQVWDSRRIIYDNDTPF >OMO88315 pep supercontig:CCACVL1_1.0:contig09039:27772:27888:-1 gene:CCACVL1_08472 transcript:OMO88315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNRHLQTVNLRYNRHLQDVHHHNIGHKCGRNILENGV >OMP01064 pep supercontig:CCACVL1_1.0:contig06543:35:4472:-1 gene:CCACVL1_03176 transcript:OMP01064 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MGSQAAFISGRRASDNIILVQEAIHSARTSKGKDGWMVIKIDLKKAFDRLEWSFIRDMLIFFKFPSDLISLIMSCVTNPSLSVIVNGTASESCHATRGIRQGDPISPYLFILCMEFLSLCIEKEVISGNWCPIRIGKGDPKVSHALFADDIIFFAKANNRNCESILNTLDFFCERSGQKVNVDKSKIWFSPTVSEESSCRITRNLDFRKTNNLGLYLGHPILDAIVDYNMQVSHLPAATLEEVDRIQRNFVWGDGEENKKIHLVGWDRITRSKKRGGLNLRKAKLRNIALMAKLVWRAKKNPEDLWVKAINSKYKLLELKKRVGSNSDVWTSMMKGVEVFRKGCRHVIKSGGSTNFWHDHWMDNHSLRSLISGPLMFGEEELMVKDCIGNDGEWNLDKISFCFPLSISSKILSTAFCFQGEVSDSFCWYNSSNGQFSLSSAYDIAADFDHAPENPMFWKKLWSTHCHNRIKFFLWLLAHDKICTKSLLCHRNISPDLCCDLCPDSEEDAFHIMRNCVLATSIWNLCSSLPSNFFSQLNLFDWLSSNLSSSFFSNGIPWNILFSYICWGLWKSRNIRLFQGHVLTSSQVFNEYHIKAVEFFHIGLPAAKMVSSLVDVCWNPPPQGWFKLNSDGSSVGNPGSSGAGGIIRKDTGEWFVGYVRKIHCATSLQAEFWGLRDGLTLAVDHGISFLDIAVDAKNVISLLNDADIDTHPLGNIIYDCRKLMERIQNIKISHSFREANQAADAFANRGRMLDESFVVYNCIPDFVMNILIANTMGISFPRSVNSSNS >OMO67049 pep supercontig:CCACVL1_1.0:contig12478:74410:80485:1 gene:CCACVL1_20834 transcript:OMO67049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MEPFLSNGLGAHLFSQFSSLVDSSYYHSKHVYASCMSKFAGAFLFWFSSLSSLNLSRQISASNQHGLKSGSCKSSVQVKHIASCKNSFAGFHFIPESRGQSTTPLDFGKIFSYAMRHLFGGVEALQIQSLPLFSLAAALVPPFDNFSSKVLALPLETTEVQMQECINQRPCEVGHQGCGSLSFLDLNWTRHAIEPRTGIEFPTILDNVLDRQNNSSLASEVLVGTGSRTMKIIRIKSLKVYAFGFYIHPYSLCQKLGPKYASIPVGDLKKHNDFYQDLLREDIGMTVRLVVNCNGMRINAVRDQFEKSLRARLEKTNPNTDYDCLSTFGSYFTQDIALPVGTIIDFQRTADGQLITKIGGNQIGAVRSKDLCTAVVVAWLAVLQGCLLAVNGHNNFIYKDALTKSLIFLEAQRSGKLPPNNRVPWRGDSALDDGKEANVDLAGGYYDAGDNVKYGMPMAYTITTLAWAVIEYKSELQAAGEFENALAAIRWGTDFFLKAASRRGRLYVQDELLWAAAWLYDATLKPFYLKFVTEEAISNIVDEFNWDLKSAGAQILLSKYFYKGDQSLKPFKEEADGYICSVLPQSPYFKITMTAGGMIHLRDGANTQYVTGAAYLLTIYADMLHQFNQKFQCGDKQFDCTHLYAFAKQQIDYVLGKNPLGRSYMVGYGINPPKQAHHRGASVPMTMAHADVSCPMSFVQFYNKNVPNPNELTGAILGGPDKQDQFSDLRWTSVYTEPCTYINSLAVAPLAKLTSIYG >OMO67048 pep supercontig:CCACVL1_1.0:contig12478:60568:69484:1 gene:CCACVL1_20833 transcript:OMO67048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MAPSFLSFVSLYGIYLRRCFATSGLTSQSIDIDDETTIHLWAPKPNQTAQKQKPALVLLHGFGPSAIWQWRRQVQFFAHDFHVYVPDLIFFGNSTTKSKERSEVFQAVSVGKLMEKLQVKKYHVMGTSYGGFVAYHVARMFPEKVEKVVIASSGVNMRKADNMALLKRANLENIEEVMLPETATQLRTLTRLAVAKSFNMIPDFLWNDVVNQLYSDKRKEKMELLKGVSLVAGEDTFKLAPLQQDVLILWGDKDQIFPLKMAHELKESLSGKTFVIIGASCLENMGLLCSKNHHYTEADSEENAQAAEIERRIEQETKAEKHIQKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELKSYISVIHANIYQTIKILYDGSKEFAQNDEDSSKYILSSENKIIGEKLSEIGSRFDYPRLNKELANEIETLWKDSAIQETYARGNELQVPDCTNYFMENLQRLSDANYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDEQKNRMMETRELFDWVLKQPCFEKTSFMLFLNKFDIFEKKVLKVPLNVCEWFKDYQPVSTGKQEIEHAYEFVKKKFEELYFQSTAPDRVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >OMO67046 pep supercontig:CCACVL1_1.0:contig12478:49493:49933:1 gene:CCACVL1_20831 transcript:OMO67046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ctr copper transporter MMHMTFYWSRQVTLLFTSWRTDSWLSYALTLLACVLASAFYQYLEHVRYRVRRGGNPAEGTAGEPLLLRQKGPGAGNRGKWSTAKIIGGVLFGLSSALGYLLMLAIMSFNGGVFLAIVLGLTVGFLAFRTEEDDEIAGVNSTCACA >OMO67040 pep supercontig:CCACVL1_1.0:contig12478:2472:6762:-1 gene:CCACVL1_20825 transcript:OMO67040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRSTASQLISTKDDDDDFQIPPTQTLSASIKTKSQKTPLKPSNSAFPPPKKPKRPPKPGKENAVVSTTPITGSDDISDLDETCSLDLIPSSIDCSFHLTSAQNRELDSVKSFEKEKELLELNKGYSCNSIESRLIRPRSEFSEEFGDDFEEDDKLDELLKLCDEMEEEEEEDIGDEKERNGVDNSPVQCPLCGAEISDLNEEQRLVHTNDCLDNVENGAEPIGAPLSVDKEVQCLPEVVDGPPLSPRQAVDVSPVVKWLNNLGLAKYADAFVQEEVDWDTLKWLTEEDLVSIGVTALGPRKKILHALSELRKGSSYEAETHVGHPSHENGSAKSNKAKKLTGISNVADDEPNKPAANKLITDFFPGLVSDRKKVHAPPRGQHISGKSHSDSGPRRVQKSHVKDRKLKEILIWCCIPGTPFRVDAFRYLQGDCSHWFLTHFHMDHYQGLTRSFRHGKIYCSSITAQLVNLKLGIPWEKLQVLPLNQKINIAGIDITCLDANHCPGSIMILFEPPNGKAVLHTGDFRFSEEMAGMSLWHACPIHTLILDTTYCNPQYDFPKQEAVIQFVIEAIQAETFNPKTLFLIGSYTIGKERLFLEVARVLRRKVYITASKLRLLECLGFSQEDMQWFTFNEQESQIHVVPMWTLASFKRLKHISNQYAGRFSLIVAFSPTGWTFGKGKKKSPGRRWQQGTMIRYEVPYSEHCSFTELREFVKLLSPETIIPSVNNDGPDSAKAMVSLLLD >OMO67043 pep supercontig:CCACVL1_1.0:contig12478:23650:33489:-1 gene:CCACVL1_20828 transcript:OMO67043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNMHSTSLGQGSNPLKRKFSEINSESEPPDMMVIDNVGPAKQDPCSSSPQDLIPRGYQLKIKEVAMRRNTIAMVDAGGGETLIAVLLIKDFAQAIKSSENKKLIIFLAPNVHLVIQQFGYIKLHTNLGVEHYYGEKGVDDWDLARWEKEVKEHDVMVMTPQILLDALRKAFLSLQIVSLIIIDECHHTSGNHPYARIMKEFYHKSSNKPKIFGMTASPVVRKGVSSSNDCEGQISELESVLDSSIYAIEDRTEMEEYVPKAKEDTRFYDPTKFSSLDLKAMVEASRSKTDASLSKLHGLQTSYEDTDDEFKTLRRRLSNDTAEILHCLDNLGLICAYEAVKVCLENIPSCQEECETYRESVLQCKKFLEEVLHMIGESLPLGDENFLNTGFDYLKAVEVGYISPKLHELLQIFQSFGETGQVLCLIFVERIVTAKVIVRFVKKVTNLSHYMVSYVTGSNTSVNSVTPKVQKETLESFRSGKVNLLFATDVVEEGIDVSNCSCVIHFDLPKTVRSYIQSRGRARQSNSKFIMMLERGNLKQRNQIVDIIRSEHLMMTSTINRDTDPCLLKGCTLEESQVYTVDATGASVTADSAVSLLHQYCGKLPADKNYMSKPKFNFTSSGDLYECKLTLPANAAFQTLVVPLSRNSHLAKQLVCLEACKQLHQMGALDDHLIPNFKKPSQKHSIAKGKDSDSSAGTTKRKELHGTTCAHALSGSWGEKPDGADFFAYKFDFSCNIVSVVYSGFVLLTESKLADDVGNVELDLYLIKKMVKACVTFCGKVRLDAEQLMKAKRCQELLFNGLFGRLFVGSKSSGTPREFLLQNKTSALWSPSNMYLLLPREDKLSDELRINWSAVTACTSAVEFLSKNSLLGSEQSCTDRGNPSLNQTGSSVTVSKETDVIHFANGPFDVNNLTDTVVIATHTGRVYSIIELMNNTSADSSFNEIVDTNSSEFDTFSEYFFKKYEIVLKHPGQPLLLLKQSHNPHNLLAKDISAEPSQGKPRFFVHMPPELLLVLDVRIDVLKALYLLPSMMHRLESLMLASQLREEIKFHSSNIPSSLILEALTTLRSCESFSMERLELLGDSVLKYAVGCHLFLKYPKKHEGQLSSTRSWQVCNSTLHKLGTERKLQGYIRDGAFDPRRWVAPGQQSLHPVPCKCGVDSLEVPLDKTFQTEDPKIKVGKSCDRGHRWMCSKTISDCVEAVIGAYYVSGGLIAALHVMKWLGIDAELDPKLVAETIEHASLRSYVPRDEISSIESKIGYNFSVKFLLQEALTHESAQEFYSYQRLEFLGDSVLDLLITQYLYHHHTDINQGELTDLRSASVNNENFAQVVVRHDLHKHLQTSAKLTKQITDYVQSFPESHDTTRSGPGIKGPKALGDLLESIVGAILIDTNLSLDKVWSIVEPLLSPIVTPDKLELPPLRELIELCDSLGYFIKDKCSKGEIVQAELQLQLEDALLVGTGFDRSRKEARGKAASVLLMELEKRDIISKRRKQGCAESIQTVGDDSLEPTTNTRQKRAKIQLLQESTTVPHDSSKKACDTTTAPPVIAPIDTKKGGPRTALFELCKKLGCRMPTFEAMVTEHKSSAPMEIGGVEIKKGSKIFVSKITLNVPKFGIIECFGDARADKNSSRDSASVNMLYELEQQGKLIISES >OMO67047 pep supercontig:CCACVL1_1.0:contig12478:51988:53991:-1 gene:CCACVL1_20832 transcript:OMO67047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKKVTWKSLLPSCYKSNKNTMAADSEEKILKLKPLCQFQRLSLSDVSDPSSPLSVNDLSTSLLGSNLHVFAFAELRLITHNFARCNLLGEGGFGPVYKGFIDDKLRLGLKAQPVAVKALDLDGLQGHREWLAEIIFLGQLRHPHLVKLIGYCCEEENRLLVYEYLPRGSLENQLFRRYSATLPWSTRMKIAFGAAKGLAFLHEGDKPVIYRDFKSSNILLDSDYNCKLSDFGLAKDGPEGGETHVTTRVMGTQGYAAPEYIMTGHLTTMSDVYSFGVVLLELLTGKRSMDNTRPSREQSLVEWARPLLRDPKKLDRLIDPRLEGQYSSKGAQRVAALAYKCLSHQPKPRPNMGDVVKILESVQGFEDEFVGTFVYVVPNENEDSSKEFFDKKESKRTEEGISPIRRSWRNRIKLPQTSVANSESPCSISV >OMO67041 pep supercontig:CCACVL1_1.0:contig12478:8942:14177:1 gene:CCACVL1_20826 transcript:OMO67041 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase MSGEERWCVVTGGRGFAARHLVEMLIKYQVFSVRIADLPSTIDLEPSEENGLLGEALRSGRATYVSTDLRNKAQVLKALEGAEVVFHMAAPNSSINNYQLHHSVNVQGTKNIIDACVELKVKRLIYTSSPSVVFDGVHGIINGNESLPYPPNHNDSYSATKAEGETLVLKSNGVDGLLTCCIRPSSIFGPGDKLLVPSLVSAARAGKLKFMIGDGNNVYDFTYVENVAHAHICAERALASGGEVAEKAAGQDGIQKTIESYSHLRAENQPKREGPSKASVYLGEGRVADTLLWKNKRQTITVLLVLVAIYYNFIASGSTIVTAISKLLLVSIIFLFIHGSLPNTILGYTVEKIPASNFHLSEEKSKGVVTLIASSWNGAVNVLKSLCKGKDWILFLKVVFSLLLLNFLGAISVRTIFVIGLPLAFIGFYVYEKKEQEIDSLIQEAFSKGCKLKSDVARKLVASKKNE >OMO67042 pep supercontig:CCACVL1_1.0:contig12478:19063:23046:1 gene:CCACVL1_20827 transcript:OMO67042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLNRVEVINSKGCSRLFVGFSASVPSFRSFQSFEPMSPASNSLGSEPSTVRSTGPFSGLVICVTGLSKDGSMCGMMIICVCGRKFEHALKHGSRNGLFVVTLGWFVDSVKRNVRLSESLYTVKGVGEHSMRVDELSRLGGSTATESSCLPAGFHEAKKFDLVEKPYVQFSGRGPNKSANSTLSGHTMYIESDISDELRNKVREAASKEGATVVDKWYVGCSASYVVCEENSMHRYIGHSNNIVTPLWILKTAKDRNMQRLIHLSADLARQIGIVLENSQNSIVGEKNNGANFTQDPQSFRRNASHEERQQVVHLAKTGVRNRRSRRMQTCQTPIRPISPGSLLDSICWSISEPTSTASIYTDSFSGEDASEHQSEFFDANGDGKDSVASFTNLTRSLTESEKNELIFKNQFLTILYPIDRFSEMGPSSRTYFSDNGFTCLQVLDYIYTFYQENMSAHEIEAAIHTDSRHGDRLRAVYSSKETVECGYVIFKRIDFLGSRKSFEMLKRVSGDNNSNVYELLIRA >OMO67045 pep supercontig:CCACVL1_1.0:contig12478:45139:45564:1 gene:CCACVL1_20830 transcript:OMO67045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKQKNVLQSHDVEFAECDCCGLTEECTPAYIARVREKFEGRWLCGLCSEAVKDETVRCDEDITTNEALDRHTKFCEQFKSSSPPPNPAEDLLSAVKNLLRKSLGNSPRKNGSSSPNSPFGRSKSCFSTLSNGEVESQV >OMO67044 pep supercontig:CCACVL1_1.0:contig12478:35432:39062:-1 gene:CCACVL1_20829 transcript:OMO67044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSKKGTQITDTKLNTTSKATTLNPHAAEFVPFSLRSPSSSGSTSAADATARFAASATIGKAVLDRSESSVSNNSDDEAHQFWRRQLPDDITPDFKVINEDDSQGIGSGSLSLAGLSLHDSSEASRFPASAGGGYVYGDQQELLHQYGNGNNFGEKLRYPASSYGDDPTSASFLHLSAKPWDKQLINSDQLLGNGREGHPYNGNSRHGFVNDMLGEQTIMDDPEMNPVEFLASQFPGFAAESLAEVYFANGCDLNLTIEMLTQLELQVDGGFNQNLNSKTLSAPNLSALDFPALTVSDSQSGPPKYTGDDLQHSANPYRSSDKENMLMFKSSSSLPSRGAVDFASAVRKMASQDSGIWKYDRNGSVDSTVGSSRSSHGSANTYSTAPGRGVYGNRLQTRGSARSAPVWLETGDAVANLYSELREEARDHARLRNAYLEQAHQAYTIGNKALAKELSVKGHLHNMHMKAAHGKAQESIYRQRNPVPIENARGQERMIDLHGLHVSEAIHMLKHELSVLRSTARAADQRLQVYICVGTGHHTRGSRTPARLPVAVQRYLLEEEGLDYTEPQPGLLRVVIY >OMP07491 pep supercontig:CCACVL1_1.0:contig04398:307:871:1 gene:CCACVL1_01294 transcript:OMP07491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYGSPKPSERGITLMLFAWLFAGMVKPEIPAIVWSWWSQVLPETGTTLHLVTTGLLTLLSWLAMMLGVIGVGRAFPTAGCTGAGILVKSCAAHAASTCSPEGDFARYLDNEVKQEHISIKNDKAVLRTLKRAIAWQAFPTRIFAY >OMO77478 pep supercontig:CCACVL1_1.0:contig10745:16266:17663:-1 gene:CCACVL1_14999 transcript:OMO77478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTDTKPDNFTYACVTRACYEKFDLDWMKIVHTKVIVSGLGLDSICGCALVTGYSKLCLVNEASIIFSGMPEKDLVLRNSMILGYGNCGLWNKGLHLFNLMRRIGQQPDEYTFVGLISGLVDSSLLSLGQGIHGLCLKSCFDCTVHVGSSLVSMYSRFKCMDLANIVFNSLLQPDLVAWSSLITGYSQCGDYDQALLYFWRLNMETGKKADSILISSVLEAVAQSANARFGSEIHGYVVRHGFKTNVMVSSALVDMYSKCGYVSLGIRVFEYMPERSTITYNSLILGLGLNGMAYQAFKMFDEMLAVGLNPDDSTFSALLSACCHGGLYYDGWEIFRKMKYEFSIQPRTEHYVHMVKLLGMAGQLEEAYDFILCLPKPVDSGIWGALLSCCNVHGNSYLAEVVYQQLLENEPKKGAYRVMLSNTYAVDERWDDVQRLRDGIAQNGVRKVPGLSWIGSRSTKSIK >OMO77479 pep supercontig:CCACVL1_1.0:contig10745:19789:22211:1 gene:CCACVL1_15000 transcript:OMO77479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKKSRVSHDEDEEEEVVQEEEENHSSSTESLYEILGVEKTASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQRVISILGDEEKRAVYDQTGCVDDADLAGDVVQDLKDYFRAMYKKVTEADIEEFEANYRGSDSEKKDLFDLYKNYKGKMNRLFCSMLCSDPMLDSHRFKDMLDEAIAAGELKETKAYQKWAKKVSQMKPPTSPLRRRGTSKREPEADLYALISQRQSERKGRLDSMFSSLVSKYGGSADSEPTEEEFEAAQRRVESRKASNKSKRK >OMO77477 pep supercontig:CCACVL1_1.0:contig10745:3370:5524:-1 gene:CCACVL1_14998 transcript:OMO77477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQWEIHYSRFFNFPDIKSTGLTPLPSRNLRSIGNWVSSPSPASLQLITNPNSRGTVLIVSSLGKIYESFKDAGNSWLPSSNCALPTSLPLELVELNGINARTDAEFCHENHSGNHEPLLLPPSNDEGLIGQCTKEPVSTHHLEAKYAEVPSSFSALLSSSCIQTEQGADAVELRNAPTAMNRLSGMV >OMP12190 pep supercontig:CCACVL1_1.0:contig00301:823:976:1 gene:CCACVL1_00084 transcript:OMP12190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEIDTIIVSTIMERWTFSGSTMDV >OMO51346 pep supercontig:CCACVL1_1.0:contig15869:2605:5482:1 gene:CCACVL1_29837 transcript:OMO51346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASPSPSKPTLVSKTKKSLDFGSTKDKGAVEIAADGNIPSPTYSPETVDSNSNAIVPTLSTSLEHGDIILNDQAAATISSAVNDILSNPEKIENLMNSLDLVNSITPNLGFASPSPALASSNPSSNVNTPRKICVPVTYGRKSPSTSNNHVALDISLPRSVEHIPTSLAEKNLTGQVFKAATSETLKSSGPKIAPTPSSNVSPPPLLVDESHVTASECDSLKILIETALPSSPNINGSEDLICSTQEAYMEAYKTLHTFLSGGALLAFTERDMNPIYEAACIRASNPRLSTEEEEFWHHFPKLFKTLAADRQACPSPKEIRLKSRLTESKNLVANKMAEIAVMEAEITDLANKRREIAKGQIAILKERVKNTIPFFLVLRKIDQERESLKLVYVPKLCVLLMPRIIKVSEQSAAPFDSFTELINPKFVVNDKLLVFEEDRALAAAKSADEVYRSLDPKANAATATLPPPQSFIRARYGSGEILPVPLQFEHPARTQSPWTTWTGEVLRDTAFSNLLKKAGVIPSIVLSRHAYYTKDNMAVEHLVRRWNKDTHTFIFAFGEVGVTLEDVINFTLLPIHGDNDLDTLRAFTFEEKNRHDTLVSIIAHTDTQMGPLLKEWCRHFVTRSGRNSPMRREAFVLAWLTIIFGAYPEKRIMR >OMP09720 pep supercontig:CCACVL1_1.0:contig03071:984:1118:1 gene:CCACVL1_01046 transcript:OMP09720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHEHPKVRGCSSSTHDYGVAPYTYFGEIQARMTFPQLEILIV >OMO55664 pep supercontig:CCACVL1_1.0:contig14618:22368:25560:-1 gene:CCACVL1_27108 transcript:OMO55664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dimeric alpha-beta barrel MRFRSKEDLTKFYENPFYLEVPKEHVEPYCHGLMNVMDYESEVEDDILPIFHKGEAFNYGVEFVLLIAFVEDAISGPAENALTSFQELTMDFPSLIVQCTQGSNFNFSCEEYTHGMVIRFRSFEAFGIFLTSSKYRDELGTDVNLYALVFGESVLNDVARVQLSGGQWAVRNLAKIHGESKHSGTISMHAAGRSKSYTRPEELAHYS >OMO55663 pep supercontig:CCACVL1_1.0:contig14618:1430:5376:1 gene:CCACVL1_27106 transcript:OMO55663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWAGKKKHMGGIPRKIVFLAVGAFAKAVATLLNTTSVHNADTLLRLVRSRPPGIPLLTLHTFPEGKVSQEDAPIRRLKWGTASLIVRAQVTPIVLPIIHCGLEKAVRHPDSASLCAKV >OMO77421 pep supercontig:CCACVL1_1.0:contig10761:20355:20936:-1 gene:CCACVL1_15017 transcript:OMO77421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGVFTRVAQFLSEIPNSQERQELLPINNLVFGLSDGPERKLTITADDDGVERLNFVVAKGQACHGGVSPFKLLASVPIKPATAGAPFVFLTSVPPFTHFPPYAHFPPYPPYTPYPSVPVQLATATATQEEHVPISQPPRPSSPAVPPAQPRRRHCVSGAAVQQPHRCHAAAASPQQPRRHSSRAAAVRRCE >OMO79219 pep supercontig:CCACVL1_1.0:contig10467:3912:3995:-1 gene:CCACVL1_13833 transcript:OMO79219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAGLDLNINKRHMLAQLRPGGAIRA >OMO68084 pep supercontig:CCACVL1_1.0:contig12285:3908:4576:1 gene:CCACVL1_20086 transcript:OMO68084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I3, Kunitz legume MKTMSATALLLAFFLVFSTKSSLFGGVANAAPVLDIDGNEVLTGVEYYAISIISGAGGGGLALDQFGYGRCPLAILQRTLDTDRGDPLTFWPVNNESVVSQSTDLNIQFVPPFSAWCRSTNMWRLDNYDPSSGKWWVTTGATKGEPGANTLTTWFRIETIGGNVYKFSFCPSVCESCISLCNDVGIYDDEDPELGKRRRLALSPQPWGFQLIKTSRVLKQVA >OMO51397 pep supercontig:CCACVL1_1.0:contig15850:5864:9606:-1 gene:CCACVL1_29816 transcript:OMO51397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSLFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKEEFHAILEEEELKGAVLLIFANKQDLPGALDDAAVTEALELHKIKNRQWSIFKTSAVKGEGLFEGLDW >OMP00606 pep supercontig:CCACVL1_1.0:contig06652:244:321:-1 gene:CCACVL1_03327 transcript:OMP00606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKALLSKSKTIQNNTNSAPKGPR >OMP12156 pep supercontig:CCACVL1_1.0:contig00349:8178:10376:1 gene:CCACVL1_00096 transcript:OMP12156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKHGALWNLTGICDAILSSRYELRSNKDLLLGLVEFWCPETNTFVFPWGEATVTLEDVMILGGFSTLGESVRRPLERDLVKIEEEMGKKRSILTRNKSKKANHSSWIKHFMGEEEREYEHVAFLSLWLSRYSNWWKKCDLARKEALRGIDRNQNSSSPLALAKRNVEKSPASNKVGVKKPQNLVSKLEGCCSSFINENASKASARPKVYGRMYHNDEPHNPRMPRETVRQMQKIIKPRVSPPVQVERAKSNSKDPASMCGLRRTCSISQVFGDNISQESYATVDPELSSSMVKTQAYEEYSDTDHIPISKRLKHMLGTPSRRVVFSEASSHCRIETTDSPTSRAPQGDVRKRKFSSTVTAIRKAPTLHERQRNSPTSRIPNGCASKKRCSPIAVRRKATNMPFGGSAQRHNAGSSDQKLKRVVQEGRSAFDHATNAMEVSEHRMNVEQKRRFEGSVKGTGTESKTRIGEGIPKKSENVTFKDATAGSFQNFGLELELRLRALEEVVGISAK >OMP12155 pep supercontig:CCACVL1_1.0:contig00349:2418:5228:-1 gene:CCACVL1_00095 transcript:OMP12155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSTNSIVEERVEHMVTPAEEMEDIQEELNNARKDITRTASGKANQTQWMKKFMDSGSPVEHEAFLAFWLSRKVLTNSDGAKFHRDKANGISDDSSLDDELLSFARCIRPSELVGLDCIEQYLPHRVALQFGMDQDIPGTVSRSNDSSGSAWADYNKSVWGGKLNIPSRVFEAGVTTRYLKWWNGWWWNRSLLSMPEASKDALEDKRSFKIKRKGTEEMNKSDCFTSFKMIPKGLKRAKEGDGNFKMTPKKLKGMRNSNAFPGPKGLKRSRLLSNQKEKGKKATSDLGSSRKRLKKLVDIPDRKREVHTAQSSKAKKKAVLRPHESPPKGSEVSNEDKNGANSDARLSSASKELPPRFVTKIELNNSPFPPGFSPKPNHVQAKVSTEDDMVTPALPPRFPPKCSDEDEVTLAAAPPGFPPKGLNEDEITPKGIDADEVILAAPPVDSSKGINENYEVTPAASLAFSPTCIGEDKDESTIVEVSDSVNEVEESVDGDKLTATEVLNLSEDSITDDEDQLTISEVLKLHRRSENVEYSNAREGKNSSGHCQNSSFSIADKVPKPLVGMGNAIPTMAASGGSNRATIEDKTESCGGRSNGIPGDKAAVATVNCVEEDGNGKSDEVETDVPLLERRIGRLEILVAYMKATRKVKGDI >OMP12157 pep supercontig:CCACVL1_1.0:contig00349:11461:13304:1 gene:CCACVL1_00097 transcript:OMP12157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQSDTIFEVREEFMVSPTGGDPTVRKAHFLKPTFTANNGEISELPPGKHVFPVAIHLARGTRVALAPAVLSSIYRDLGVLKDQLFGSNVLQIIGELNLYAPFQLVQLWLWERFPGLRPMPNSIKHGEPRVARWQWHRLQKNVDDMKLDIGFAGTEFQWRPYTLAVNGWTFPKFYGDKEQYIPLDSHLDEEVKSFAICLRVSELVGLESMEQYLPHRVAMQFGMDQDLPGCFVRCNRKPEIVWRNYNRPMGDAEIYIPPRLFESDVTVTYSDWWKQSIKAQCDVIKQFVKRPGSTRELLNVSKRKKESHILSPHYPLKWGEVSRGESAKKNGGVETGDLIEEEMEDGLTIQEFFALTKHNSKKIDGETESRLCAQSPLPSTKEIETPVATIKPGEVSRAAPFKDQNDVILENVSNGDNIGYIVAGDSLPL >OMO49595 pep supercontig:CCACVL1_1.0:contig16457:21878:21991:1 gene:CCACVL1_30909 transcript:OMO49595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARELKKQVDEVIGAVFPTGPYGQKLMNNLQNVLDCLN >OMP08138 pep supercontig:CCACVL1_1.0:contig03978:10118:10201:-1 gene:CCACVL1_01156 transcript:OMP08138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIAVTIKRYRVVTPVVVAAVKGEGSV >OMO51478 pep supercontig:CCACVL1_1.0:contig15809:6779:6874:-1 gene:CCACVL1_29780 transcript:OMO51478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKTIQNSTNGPRKARNKKFSE >OMO82753 pep supercontig:CCACVL1_1.0:contig09978:2453:4235:1 gene:CCACVL1_11776 transcript:OMO82753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin MSWQTYVDDHLMCEIEGNHLTAAAIIGHDGSVWAQSSNFPQFKPEEITGIMNDFNEPGTLAPTGLYLGGTKYMVIQGEPGAVIRGKKGPGGVTVKKTGAAMIIGIYDEPMTPGQCNMIVERLGDYLVDQGL >OMO82754 pep supercontig:CCACVL1_1.0:contig09978:5708:8587:-1 gene:CCACVL1_11777 transcript:OMO82754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MASRFKLVFAVSLLLLLLDFCSGGIVGVCYGRNADDLPTPDKAAQLVQQHNIKYIRIYDSNIQVLKAFANTGVELMIGVPNSDLLAFSQFQSNADSWLKNSILPYYPATKITYITVGLEVTESPDNASALVVPAMQNVLTALKKVGLHKRIKVSSTHSLGVLSRSFPPSAGAFSSKYAYFLKPMLEFLAENQSPFMIDLYPYYAYRDSPNNVSLDYALFQSSSEVIDPNTGLLYTNMFDAQIDALYFALMALNFRTIRVMVTETGWPSKGSPKEKAATPDNAQIYNSNLIRHVINDSGTPGKPGEELDVYIFSLFNENRKPGLESERNWGLFYPDQTSVYNLDFTGKGAVDMTNSANGTNANGTTWCIASSKASESELQSALDWACGPGNVDCSAIQPSQPCFEPDNLVSHASFAFNSYYQQNGASDVACSFGGYGVKVDKDPSYETCIYLTTKG >OMO64213 pep supercontig:CCACVL1_1.0:contig12847:17796:21696:1 gene:CCACVL1_21943 transcript:OMO64213 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding protein MTRLFRSKSCGLVGVTEFNNAPPSPFFHGTKSDNEDASVEEEDEEEEEFEEEEEESDYDRIPIATTPFISPTARYGGGQGRNSDSTTKDNNQFPFLDILAALLRKSLVTCSVNTDDVSSMDISWPTEVRHVSHVTFDRFNGFLGLPTELEPEVPRKVPSASASVFGVSAQSMQCSYDDRGNSVPTILLMMQKRLYAEGGLKAEGIFRINADNSQEEYVRDKLNKGVVPRGIDVHCLAGLIKAWLRELPSGVLDSLTPEQVMHCNTEEDCTELVKLLPPTEAALLDWGINLMADVVQHEQYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLHEREESVAKDRLLPSCSDSPTRTTDINSASINSGVSREPNPYACESEEPACAKFLRAATLSRLEWGPKEKLWSFSKSDGEEELESLSDNSMPNACEMATVENESRGAYDSGDRLSLRKGVRRLCRHPVFQLSKSAKKTRNMGIVNTRGGGGEAWT >OMO64212 pep supercontig:CCACVL1_1.0:contig12847:1235:6673:-1 gene:CCACVL1_21942 transcript:OMO64212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium-dependent protein kinase MGQCYGKVNRTGVYETPTSNATTTTTTTVVVPADRAQTPLPSGNGAVNVNVPSVKNTPARSSNQNIWPSPFPHGVSASPLPPGVSPSPARPSRAHPWLRDESRSIPLDILIYKLVKSYIHATPFKRAALKALARNATEAMEESRVPDILSLMGSLAYRKLYFEEFCAAAISIYHLEGVEDWEQIASTAFEHFEQEGNRVISSEEFARELNIAGPSALTYVRDCIRTSDGKLNLI >OMO52080 pep supercontig:CCACVL1_1.0:contig15618:11755:11889:1 gene:CCACVL1_29369 transcript:OMO52080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKQRKEEERERDNGRNIGVGGEGLSTATRRLDDGGGSRVALSCS >OMO66346 pep supercontig:CCACVL1_1.0:contig12554:15497:16791:-1 gene:CCACVL1_21200 transcript:OMO66346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mago nashi protein MAAEEENEEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPAALKECRRIISDSEIMKEDDNNWPRPDRIGSQELEIVMGNEHISFATSKIGSLVDVQGSNDPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >OMO66345 pep supercontig:CCACVL1_1.0:contig12554:1801:9198:1 gene:CCACVL1_21199 transcript:OMO66345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MKIDDDDFLLYREDALQTDDEENQAERGHEDDDHSESDYGAILSRNPSSNCLEVNNSPWPQSYRGSMDMLKGTPPTMSLLGTSTSGGLIGANSSFRKRQSQIMNGDIESSSLNKPLISDEKSLDHHDQEVPATSFIDLRPRLSVSGLRLSQSQRQSSSFTQALVNGINVLSGIGLLTTPYAVKEGGWLSLLLLIVFGIIACYTGILLKRCLESSPGLHTYPDIGQAAFGVPGRLLISVILYAELYAACVEYVIMMSDNLSTLFPNTGIAFSGTYLGAHQVFSIIGTLIVLPTVWLRDLSLLSYLSVGGVGASILVVICLLWVGAVDQVGFHGGGTALNFANLPISVGIYSFCYAGHSVFPNIYSSMKEPSRFPFVLLGSFVFCWVICTGAAISGFLIFGDSVESQFTLNMPIKLPASKIAAWTVVIITVSKYALTLNPIALSLEELVPSTTQSRSHGVSVVIRTALVISTLIVAMTLPFFAFVMALAGSLLAMLIAIIFPCACYLSIFRDSLTNLQIHFSFSSSSSARGRSYLMFPLKTQTLRSPNKLQFHHNVSLIVSLTVGTPPQNVSMVLDTGSELSWLHCNQTSMIRNNQPDPTKFNPNLSYSYKPIPCSSQTCINQTQDFPIPASCDSDNLCHATLSYADASSSEGNLASDIFHLGSSDNNISGLVFGCMGSIFSSNEAEDAKTTGLMGMNRGSLSFVSQMGFPRFSYCISGSDFSGLLLLGDSNFTWLAPLNYTPLIQISEPLPYFDRVAYTVQLEGIKVSGKLLPIPKSVLVPDHTGAGQTMVDSGTQFTFLLGPVYNALRTEYLNQTRGVLRVLEDPNFVFQGAMDLCYRVPLGQNNLPNLPSVTLVFTGAEMVVSGDRLLYRVPGEIRGNDSVWCLSFGNSDLLGVEAYVIGHHHQQNVWMEFDLEKSRIGLAQVRCDLARQRFGVGL >OMO97001 pep supercontig:CCACVL1_1.0:contig07330:8710:21962:1 gene:CCACVL1_04714 transcript:OMO97001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLHQQNPSRKRPSSSSVPPLHPPKIPKSQAAGVAVDAIEKMVSILAEGGCTLINPLGPPSLPADPYKLRRHLSRLFSSSSSSKDRSLFLSGFSSYIQSPSNLRRVLISLTGSSFGPTRSESLVRHLLLVAPIQLDLQIMLLEKLPEYFDMFSGDSQTSLSLEDDVARLIINQFRWLDFIVDPSSFTDKLMQVLSVCPLYLKKEIIGSLPEIIGDQNNKNVVESLEQMLHEDPSIIVPVLDSFSNFNLDDQLQEQVITIALSCIRTIDAEQMPCLLRFLLLSATPVNVRRIISQIREHLKFVRVPNTHTMQKNKFKGKMLVDNTEASILDALRSSLQFKSILCQEILKELNALNKPRDHKIIDLWLLVLLFKNGESMRKSIEKVFKKKVIEGCIQEVMLDQCICGNKELAQEYFLTLLSLSEYLLACKEQKARDFGIYMYTLLFQEFADAYSRQEVLGALVTHVGSGVSSEVSSALQIMASLATKHAQELIPLSSHINGILDYLEGLNVENLHKVYEVFSHMALLARSGVDRYGSSIANELLIIVRKQVSHPDLNYKKMGLIGILKIVSCLGDASNVTLSSPFQKSNAEEALELLETSLESCRQSSLSLIFFYDELTAILESRTLHPVIMDWIGKHIGEFESVFLSDLDGGQLPSRNLYCGLEGELWMNLDGEISPICLNILPLASSSQSASLQFLPANFYLLSAVERSTNQGSLGGIDALLGCPLLLPSSKYFSDAEWRSLTGKQKEIICLSVYYALNWMRELLNAFCTQVVGKFEVTSQSTKEDIKLKLLKRLRNLIFLESLLNHFIRMCPVELPLLNLLVEHCGSTLINQPNYIGNMEKKNENESTSPNKGKRKKIAKTSTTSADGKLRQPTLLDVLRKAGVVTSQEIPSENTSKERTSAPGDQHSHVFNESVPVEVSPVAQALESQKFRFRPLLKECFSILTFSKNHDSSCTDPEAELPLSMYLIHDLHCKLEYFAALGKQCSSRSLHSAAVTRMTLDKFLDNITPLFPSLRRYLDSAFCILKEGNETCQEHWKVQFAAAGNPDITNFVPSESSISIMVFKELLNSFSKIINLPEVQRNISVLSDLLEAFQLNETLDAGASDVQPFPSPGTVEYSYLGACSYLESILHAACSFSFILASEALFTLESAVISIWKVVDKLEGEDKNIRSRFTKIFPLLCSKLGSSAQKLLKHKWNDENLENGWKNKGEIVQKILRIYLEYTESTSDLLDELACRILPQVSCTTMTEDEDHGFPTLCSATFISWYRVLFEVNLTVLDKLVKEVVRLEKCRPGFQPENVHTHLLKMQKNVNVVVALVNMSRTSDKVTLHAMAVKYGGKFIDSFLKAFDFLQVHFQMHNEVILLLVKELQKATRTIQTLCSEAKGLKQTTITGKIPATKRSLERFLFRVKALLHASSSGCTFWMGNLKHKDLRGQVVSSQAYVDDQTDGIDEEPEGAVDVDPPVIVSTASGGNSETE >OMO99849 pep supercontig:CCACVL1_1.0:contig06787:9923:14453:1 gene:CCACVL1_03578 transcript:OMO99849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDGKNRRSESNLKEMGHKLMHNPNLPADSLLLLLDEVENRLKKVGQSPRVSMQNALSTVTKALVAKHLFRHSNEDVRVAVASCITELTGITAPNAPYDDKQMKVFKLIVSSLKDLSDKCSRSYPKRVHILGNVATFQLFNVMLDLECDALIIEMLQHFFKEMRDYHPKNVLSDMERIMTLLLEESDNISVDLLLPILHIVKSDNKEVLPMARELVVRVLKCSASKLKPYLMQAVKNSGFSLCHYSEIVASICQETSDDIEQKDAVTNDLQVSISFLFEYSVFVTWCVDKEIPKEASSTKQVDLAINKSLKLVLSNALLQGKDDSLTDLNSFKKKDHGNHADRAKNVDKTSIAEPDILRTDKVVNCECKSDTKNRLTKPNLLRTKSSANLYIHENEVENVPDPKKDSNDLPGSFHEDLTVNAALLNQNETGIRPSNLESTKPSENLYTTEKEVETLPDHKKDREDDAPGVSDEDLSIHMAVSLQNKEEADYQPSLEATEPSEKICICQKEVETLSDHKKESKDTLSSLHEDLSVDEEATLNRFSGEMVAVLSSKKEKTPAKVDETKKENDTGTTSKVRLLEQSLKKLDATSKNRDGLSSKLPELNQKQDLVKCISEKNGAKSSTRNDKKEKVSPLEPSNAPTSAINSDLVIHLHNGQPKKRKCVAPSSCACEERACYKAVEGTQNSLDEMSSVVKVKTTEKESLNDMMLYQKCLEELQDLEGLDDAEFAKAVNALKDDKNAIAFTTIKGPRRLVWLVFVASIVT >OMO99850 pep supercontig:CCACVL1_1.0:contig06787:15865:19111:1 gene:CCACVL1_03579 transcript:OMO99850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDEWPLPSGELCLRKRSSRPGWVRQLVLNSYQRRTVASPPSALSFTVTSSSNSGGSLF >OMO99851 pep supercontig:CCACVL1_1.0:contig06787:26362:27378:-1 gene:CCACVL1_03580 transcript:OMO99851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MIDVMTTLHGEKYLSKYSLSLHRRIVQYKTAYYSFYLPDDFQDCFGDPVVIGKVRTDIEDFKCSWLVVKALERANEEILMNVVGNVVAILARLLPQKYA >OMO85691 pep supercontig:CCACVL1_1.0:contig09590:15567:21267:-1 gene:CCACVL1_10035 transcript:OMO85691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITRFEFERMDKIKILVKGANQ >OMO85695 pep supercontig:CCACVL1_1.0:contig09590:43640:46491:1 gene:CCACVL1_10039 transcript:OMO85695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKIAAVNSSEAAAVVAAAAAEEELVVVREYDEEKDKEGVEEMERLCDIGEGGKPSIVADLLGDPICRVRHFPSHIMLVAEYGEKKEIVGVIRGCVKTVTRGNSLYVKLAYILGLRVSPSHRRLGIGKKLVQKLEEWCKQNGAEYVYMATDCTNEASINLFTTKCAYTKFRTPTMLVQPVHAHYKPLGSGVAIVKLNPALAESIYRHVFANSEFFPKDIDNILCNNLSLGTFMALPKKCLPKWDPKTGILPPSFAIMSVWSTKQLFRLQVKGVSKLTYACCMASRVVDAWMPWLMLPSFPDVFRQFWVYFLYGLHMEGKNGSRLMKSLCAFAHNMGRDDDGCGAVLAEVGHRDPVREVIPHWRKFSLSDLWCMKKFGDSNEGSTDGRYGPSDWMKSRPSSPLIFVDPRDI >OMO85696 pep supercontig:CCACVL1_1.0:contig09590:48132:60591:-1 gene:CCACVL1_10040 transcript:OMO85696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSLLYVEMDIEEGCPTDARTTQGFWDHMTFSSITSVMNCGLIKQLDFDDLLLLPTDMDPSTCHSKLLSCWQNQLNNSHSSVSLFRAIFSAYGWPYLRLGLLKVFNDCIGFGGPLLLNKLIQFLQQGSGNLDGYVLAISLGLVSIVKSFSDTQYSFHLSKLKLKLRSSIMTVLYRKCLFVSIAERSKFSEGEIQTFMSVDADRTVNLCNSFHDMWSLPFQIGVALYLLYTQVKLAFMAGLAITILLIPVNKWISELIASATEKMMKQKDERIRRTGELLAHIRTLKMYSWEIHFSSWLMDTRSVEVKHLATRKYLDAWCVFFWATTPTLFSLFTFGLFTLMGHQLDAATVFTCLALFNNLISPLNSFPWVINGLIDAFISTRRLSRFLCCLEKESEMEQTVKFPPLFLHDQSDLVSKDMALVIHEACCAWSSSKEDPNLVLDHITLNIPKGLLVAIIGEVGSGKSSLLSAILGEMRLVHGSIYSRGSSAYVPQVPWILSGTIRDNILFGKNLDLQRYADALQACALDVDISLMAGHDLAYIGEKGTNLSGGQRARLALARAIYQDSDVCMLDDILSAVDAHVAKWILHNAILGPLMEHKTRIICTHNIQAISSADMIIVMEKGHVKWVGNPPDLAVSGYSGFASVNEFDTSSYIHSKLCHTNASNMGIQCLSLEENNANAPLEAQEIVEAEQRKEGTVELIVYKIYAAFSGWFIAVVILLSAILMQASRNGNDLWLSYWVDTTGSSQAKYATSFYLLVLCIFCIINSSLTLVRSFSFAFGGLQAAVQVHNTLLSKLINAPIQFFDQTPGGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIAVVLSYVQVLFLLLLLPFWYIYSKLQFFYRSTSRELRRLDSVSRSPIYASFTETLDGSSTIRAFNSEDYFLARFTETVAQYQRTSYSELIASLWLSLRLQLIAASIISFVGVMAVIGSHGSLPISFGTPGLVGLALSYAAPIVSTLGSFLTSFTETEKEMVSVERAIQYMDVPQEELHGSQSLNSSWPFQGVIEFQNVTMKYMPSLPAALNDITFTIAGGTQVGIVGRTGAGKSSVLNALFRLTPICRGQILVDGLNIVDIPVRDLRAHLAVVPQSPFLFEGSIRDNLDPLKMSNDVKIWDILEKCHVKEEVVAAGGLDAHIKEAGTSFSVGQRQLLCLARALLKSSKVLCLDECTANVDMQTASILQNTISSECRGMTVITIAHRISMVLNMDNILVLNQGTLVEQGNPKILLQDDDSIFSSFAKASTM >OMO85690 pep supercontig:CCACVL1_1.0:contig09590:11694:11765:-1 gene:CCACVL1_10034 transcript:OMO85690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLSSCNSKVTAKVIKFMPSSKQ >OMO85697 pep supercontig:CCACVL1_1.0:contig09590:82211:87090:1 gene:CCACVL1_10041 transcript:OMO85697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFMAHCISSPLFISPATPRSHFSLHYPSSHHFPTLCPPKPRVTIQCATKDNKEATPPPPAASTQSVDPKKGVAVYKPKSYDVLVTDAANCLAFALEDGKIRLEIDFPPLPSNISSYKGSSDEFIDANIQLALAMVRKLQEKRETRACIVFPDKPEKRRASQLFKAALDSIDGISIGSLDDIPSDAVSTFFKSIKNTLDFDFEDENEGRWESKEPPTLYIFINCSTRELSVIENADLGLLGFPSKDLQYRFLSQFIPVFYIRIREYSKTVAVAPFIVNYSGALFRQYPGPWQVMLKQSDGSYACVAESATRFTLGETKEELLRVLGLQEEQGSQLEFLRRGYKSSTWWEEDVELESFSEWRS >OMO85694 pep supercontig:CCACVL1_1.0:contig09590:32424:34963:1 gene:CCACVL1_10038 transcript:OMO85694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 9 MATTLLPKSVSPFLTNPTSLPSAHFTTKPPCFSPLDHSHCTTKRPSVQTNCKKRNPWLDPFDDGEDPNYSEFGSLFTDGKQEEDPRPPDNPDNPYGFLKFPMGFTVELASLPLKIRDRGKQTYELNKNVRWANVYDPDDEWPEPAEYTDMVGLLKNRYYDMALSTKLAGLGHAAFLFMTTARDRVSYIYPNVNAAGAGLLLSQTFTPDSMNLSEGGYNMYHQMSDWLGRPFRSVPRHLVPPLRVSISRKLKEVVAEKCRNAGVEKGKYIVIHGIESDSKASMQSRGDTDSLLPIEVWAQIAKDIRGFKPLFVIPHEKERENVEEVFEDLTGEDPNIVFITTPGQLAALINDSAGVIATNTAAIQLANAREKPSIGLFCSEQKGKVFVPNAEEKNCAIVSSKTGKLKDIDLDAVKQAMQIFDVSLALV >OMO85692 pep supercontig:CCACVL1_1.0:contig09590:24434:25792:1 gene:CCACVL1_10036 transcript:OMO85692 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDSSSWVDTSLDLNASARSLRLETPKKETSSNLFVFGKKFSVKEESGALVEELNRVSAENKKLTEMLTAMCESYNALQSQLMDLMSKNPEKELSPASKKRKSESINNENNNNFGIHIGNSESSSTDEESSKKPREEIIKAKISRVYVRTEASDTTLVVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPSCPVKKKVQRSIEDQSVVVATYEGEHNHLPPSQMEATSGSSRCMSLGSVPCSASLNPTGPTITLDLTKSKSSNSEEIARNIISNKQKMESSSSSPEVRKYLVEQMASSLTKDPNFTAALAAAISGRMFSTKSN >OMO85699 pep supercontig:CCACVL1_1.0:contig09590:90026:90385:1 gene:CCACVL1_10043 transcript:OMO85699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKELYDRMVEKNSVAWLAMIAGYGKCGELSEARRVFDEIVKPDASCWAALVASYAQNGYAKEAIEIYSAMRDQGVRVTEVAMVGALSACTQIGDVHVAEALVKNIEEGCCGEFCLY >OMO85701 pep supercontig:CCACVL1_1.0:contig09590:94333:96071:-1 gene:CCACVL1_10045 transcript:OMO85701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FAKLHLEAQSHNHRILLLTEPLESAACEASDDDLEDIQSKLIRELQFPAAIKKTPDFDELKDCNNWLYLGGSCNGLICAVFESKRIFIWNPTIGEAKELAKLSDFDPKDTFFYGLGYDSSTDDYKIVRISRSSTATASNETQVEVLALKSNIWRRIQGPQHGIELGGPDYGIFLHGALHWFATISKTGSKSTYGIFAFDIVKENFYELVLMPDHLEEIKYDTLTLGVSGDSLCLFCGRGYEDFLEAWVLKEYGIKSSWTKLFSVEKGVEYGYEYTENVLCYTKSGKVLIDYDGRVLMWYDPKENTSKTFSPRNHWDWFLPSFIKGGGGAGDDDNTIQCKPDEGVFEQDFNKVF >OMO85693 pep supercontig:CCACVL1_1.0:contig09590:27687:31043:-1 gene:CCACVL1_10037 transcript:OMO85693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyladenine glycosylase MSGPPRVRSGNIATEMEARSVLGPADNKVSRKPAPKSVKKPVQEDGKGKEKVKEKEKELSTPPKQEAPVPQSLTLTASILRQQERKAGSLSMSLSYLSDGGASSSSAGSSSSGRTAGGGRRSGGVGVGVRRKQSVVKVEKGEVESGGGDCLEDKKRCGWVTPNSDPCYAAFHDEEWGVPVHDDKKLFELLSLSGALAELTWPTILSKRHMFREIFLDFDPGAVSKLSEKKIAAPGSPASALLSELKIRGIIENARQICKVTNEFGSFDKYIWSFVNHKPIVGQFRYPRQVPVKSPKSEVISKDLVRRGFRSVGPTVVYSFMQVAGLTNDHVISCFRYQECMNGSESRKKDNNGEANGETGKLEETTDSG >OMO85698 pep supercontig:CCACVL1_1.0:contig09590:87624:88766:-1 gene:CCACVL1_10042 transcript:OMO85698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVPQLPQEIIANILSRLPVKHLIQLKCVSKPWRSLISDPQFAKLHLEAQYSHNRRVLLFTEPLESAACEASDDDLEDIKSKLIMELQFPVAMNEGVEGWPESGGSCNGLICIVFDHQRVLIWNPTIREAKEFAKLSDFDPKGTFFYGLGYDPSTDDYKIVRISRSSTVTTASDETQVEVLALKSNIWRRIQSPQPSIEIKGPDYGIFLQGALHWLAAISKTGSKTTYAIVAFDMVKENFYELVPIPDQIEENQYNTLTLGISGDNYLCLFSGCGHADVMEAWLLKEYGTKSSWTRLFSVERQMDHDQIYIENYENVLCYTNSGKVVIDHDGRALIWYDPKENIFKSFTPRNDWDGFTPVIYIESLVSPNSYIQLPICR >OMO85700 pep supercontig:CCACVL1_1.0:contig09590:91284:92504:-1 gene:CCACVL1_10044 transcript:OMO85700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVPQLPQEIIANILSRLPVKHLIQLKCVSKPWRSLISDPQFAKLHLKAQSHNHRVLLLTEPLESAACEASDDDLEDIKSKLIREHQFPAAMKKTPDSDELVDDHDGWFVSGGSCNGLICVVIGTLIESKRIFIWNPTIREANELAKLSDFDPKGTFSYGLGYDSSIDDYKVVRIARSSTATASDEAQVEILALKSNIWRRIQSLQPGIEIKGPMGPEYAGIFLHGALHWLAAITKTGSKTTYAIVAFDMVNENFYELVPLPDQIEESKYDTLGLGISGDNLCLFCGCGWEDVMEAWLLKEYGIKSSWTRLFNVDRQRLFEREIEYDEAFYTLYYENVLCYTNSGKLVIDHDGRALIWHDPKENTFKSFSPENGGGGFTPVIYIESLVSPNSYSATNMQTTIHNS >OMO76710 pep supercontig:CCACVL1_1.0:contig10875:5535:7567:-1 gene:CCACVL1_15478 transcript:OMO76710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKLATIGITGGEDAGVGVAVGGGGGAISQLSGSVMDATEASVRIYVSSAKGNSISCIGTLAR >OMO76711 pep supercontig:CCACVL1_1.0:contig10875:15861:16121:-1 gene:CCACVL1_15479 transcript:OMO76711 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase family protein MSATSRSHLESEDIMSAVLRSHLKSRPISEPPRDIVAFGPMSEPPMRKPIEPPHKPPFSGRIFEPPVSEPPKDIEPKPPITRITSDF >OMO74428 pep supercontig:CCACVL1_1.0:contig11130:3444:12935:-1 gene:CCACVL1_16731 transcript:OMO74428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVMGRNRRIQGPTIDMLLNLDLSSRLWVRDRDSSLWPLGGT >OMO75894 pep supercontig:CCACVL1_1.0:contig10954:4258:5994:-1 gene:CCACVL1_16002 transcript:OMO75894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MEPHPPPKKFITRLNSAVADSRIGKRFKLNERKTNFTTELRAGTATFLTMAYILAVNASILADSGGPCSVADCIPLCSDPSVPVSNCTGPTQRVIQPDVSCKFDPVNPGYASCLEKVRKDLIVATVASSLIGCVIMGAFANLPLALAPGMGTNAYFAYTVVGFHGSGNVPYKSALAAVFMEGLIFLFISAIGFRAKLAKLVPKPVRISASAGIGLFLAFIGLQNNQGIGLIGYSGATLLTLGGCPSSSRVSVAPVIAAANGTVSLMPGGTISGDIFCVRDRMESPTLWLGIVGFVIIAYCLVKNIKGAMIYGIVFVTAISWFRNTKVTAFPNTDAGDSAHEYFKKVVDIHLIESTAGALSFNTIGKGYFWEALVTFLYVDILDTTGTLYSMARFAGFTDENGDFEGQYFAFMSDAMSIVVGSLLGTSPVTAFIESSTGIREGGRTGLTALTVAGYFFLAFFFTPLLASIPAWAVGPPLILVGVLMMRSVVEIEWNDMRQAIPAFVTLILTPLTYSIAYGLIGGIGTYIVLHIGDWGFELLVKYGLIKKSGGGVAVNGTHHEQATIEDGSVKAVELDRV >OMO98816 pep supercontig:CCACVL1_1.0:contig07019:39082:39702:1 gene:CCACVL1_04055 transcript:OMO98816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MAEQNYQQKKIDMESDAELSKELKRKKRMKLIAYFAAFAIFQTIVILVFSLTVMRIKNPKFRVRSVTVDDLTFTSTPNSPSFKMSFNAEVGVKNTNFGHFKFENTTISFDYRGIQVGEAFVAKGRAKARSTKKMTVIVELNSNNIIPANSNLGSDISSGFLTLTSHSKLNGKVHLTKIIKKKKAAQMDCTMTINLESGAIQDINCK >OMO98817 pep supercontig:CCACVL1_1.0:contig07019:44016:44675:1 gene:CCACVL1_04056 transcript:OMO98817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MAEKSGQSTYPLAPSANGHSRSDEESAGAAAHSKELKKKKRMKCLLYVLLFAVFQTGVILLFALTVMKVRNPKFRVRSDTSFPSTFDVGTEASPSFNLRMNAEFGVKNTNFGNYKYHDSTVTFSYRGTPVGQATINKSQARFRSTKKVSVEVDLSSANLSNTNELGSDIRSRVIPLTTNSKLEGNVYLMGVLKKKKNVQMNCTMEVALDTRTLQNIVCK >OMO98813 pep supercontig:CCACVL1_1.0:contig07019:19101:19649:-1 gene:CCACVL1_04052 transcript:OMO98813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSINGNESSIQEGWEVDEHIYNAVEFELEKENEDHEDNECEGEDEKDNECEGGDEYDSENVIGNEIIVYENEEEVSIKPHVGMVFDSEEEAYDYYNRYAYKKGFSVRKGATRKSEKDGNVIGRRLFCAKEGFRQEKFKANEANKKRHRGETHCDCNARLLINKVVMDPGLFLCSLKNIIIY >OMO98815 pep supercontig:CCACVL1_1.0:contig07019:32807:35115:-1 gene:CCACVL1_04054 transcript:OMO98815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLRLIRRFEIPISTEPAQNFISLKSDRKISVAGHESSSSESWSPSDELISNQGDDVDSRMNDAVEDYKEGGIGNSLLNEVEAHDVLMSRERDDHKEGVVEELVSEPINFGVNGPLDKGAEVDNFVVNELEHSDGLSHVPESCLGDSRIGPQEDFHSGSDNVNANGNLVLLKGPSAGVQVTEREGSPLHSSKAKGSFNSVKDCGRRVRNTENIEEEIEEDWFSSLLEKAKRRSKKLDKKKSKKSKSKMSKQQLGEVANGCLSDGDFENRNRILMKEARATWEVGKMLGIYFDCDESLILEKFKSMEEDDIAT >OMO98814 pep supercontig:CCACVL1_1.0:contig07019:29140:30275:1 gene:CCACVL1_04053 transcript:OMO98814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLNGIVSFLLSLLIGVCLPPTAQTSKERCYDTGNFTTNSTYGRNRDQILASNFPANVSANGGFFSATIGQDSNKVYAVGLCRGEASSDICYSCINSSMHKLIATCPNQKEGISWGICMPCMVRYADRRILGLLELEPTDAGYNTGDIQSISANLTKFDLAWESLMDSVVKKASMGSTTLKYATGEAYVTTFVKIYALMQCSPDLSQKNCDSCLRQSAIYYQGCCHGKQGGYVQKPSCLFRWDLYPFYVSNASTSATAPISSSPPLSPAVSPSTNTTITRGDGGISSQTLTAIVAPIVVIFVSVAIIIAVALVLKRRKKTRQQDVNSKLFLDLNLFLQILKV >OMO98818 pep supercontig:CCACVL1_1.0:contig07019:46300:46386:1 gene:CCACVL1_04057 transcript:OMO98818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPWESQFSNMDRGPNASAGKTAPPPSS >OMO61261 pep supercontig:CCACVL1_1.0:contig13550:3203:3673:-1 gene:CCACVL1_23637 transcript:OMO61261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRKKLRMLLENYLQRCESYHGQAERLIDSAKEMEDSIAVNLRSSNLIYLNF >OMO54452 pep supercontig:CCACVL1_1.0:contig14995:5729:10920:1 gene:CCACVL1_27786 transcript:OMO54452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRKRLARADEELAAGAKTQNTLKF >OMO60843 pep supercontig:CCACVL1_1.0:contig13658:12584:12784:1 gene:CCACVL1_23834 transcript:OMO60843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPINHSKIRTNPPTSIQSLVRSSLSTSADVPTSSYLMVPSLTRSWFRAVHYLQTAPKLALLIKS >OMO60846 pep supercontig:CCACVL1_1.0:contig13658:18977:20323:-1 gene:CCACVL1_23837 transcript:OMO60846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISSWRRRRAARRAGKEQQGNENGEMELMIPRDFRCPISLDLMKDPVTLSTGITYDRENIEKWIESGNFTCPLTNQVLRSLEPIPNHMIRKKIQDWCVENRSFGIERIPTPRVPVSSMEVSDILSKINVACKKEDGNWCRDLVVKIKSLAKESERNKRCVVSNGTGSVLAEAFEAFSKASFDENVAVLEEILSALTIMFPLETEANGFLGSVSSIHCLIWFLSSGDLSRRQNAVLALKGLVVSSSDGIRKANELSEMEGAIEALFKLIKDPICPTATKASLTVIYHMLNSSSSPNDTKLVTKLVNLGMVSLLLETLVDSERGMCEKALAVLDQICNSQQGREMACNNALSMPVLVKKILRVSNLATEFSVSILLKLCKNGKVEDGGVILVEALQVGAFQKLLLILQLGCVDNTKEKVSELLKLLNLHRNKVECVDPVDNFRDLKRPF >OMO60847 pep supercontig:CCACVL1_1.0:contig13658:28889:31821:-1 gene:CCACVL1_23838 transcript:OMO60847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTHVLVCLLCWFLSLLCHVSSQSSPDAPFMEKLKASLKIPSSLDWSDPDPCNWAKVGCLNNRVTRIQIGKLNVGGTLPPELRNLSELTTFEVMNNQITGPLPSLAGLSNLQEANLHNNNFSSFPSDFFTGLSSLVHVFLDYNPFEPWEIPASVTNSSSLQTFSATGANVKGRIPGIFNSDTFPQLRVLHLAMNQLEGELPNGLAGTSIESFWVNGQSLNGTIDVIQNMSSLTEVWLHGNQFSGPLPDFSMLTGLQNLSLRDNQFTGVVPASLINLKSLVVVNLTNNELQGPTPKFADNVNVDMRAGSNRFCLDDPGVACDDHVNVLLSILETVGYPQTFADNWKGNDPCNNWLGVACAQGNIVSILFPRKGLTGTISSNFAKLTSLTTLDLSGNNLTGTIPVELTTLPKLTKLDVSNNRLYGKIPSFRQNVVLVTEGNPDIGKDKVPTPDGKSPGGSSSGGGSSSGSKKSNTGTVVGSVIGGVGGLSLLALGIFLYARKGNRTSRVQSPTTVVIHPHHSGDQEGVKITVAGSSVNGGSETFSHTSSGPSDMHMVEAGNMVISIQVLRNVTNNFSQENILGRGGFGTVYKGELHDGTKIAVKRMESGVVSEKGLAEFKSEIAVLTKVRHRHLVTLLGYCLEGNERLLVYEYMPQGTLSRHLFNWKEEGLKPLEWTRRLTIALDVARGVEYLHGLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPVDGKHSVETRLAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMEMITGRKALDETQPEESLHLVTWFRRMHLNKETFRKAIDETIQPDEETLASISTVSELAGHCCAREPYQRPDMSHVVNVLSSLAELWKPAELDTDDEFAIDYEMSLPQVLKKWQAFENSDSSSFLASTDTTQTSIPCRPSGFADSFASADAR >OMO60842 pep supercontig:CCACVL1_1.0:contig13658:7295:9627:-1 gene:CCACVL1_23833 transcript:OMO60842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFIFSLPREFHFLLQNEDGISIEIYASIPAIFFRF >OMO60844 pep supercontig:CCACVL1_1.0:contig13658:13525:14014:1 gene:CCACVL1_23835 transcript:OMO60844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNVQFSDSIPQSILRQTMINSMIEKDGSLLECNFLSYMIRSIVDKGLAGSEMVALCTLITLAMLDFQPSLVFSAIFLMAVGECGVQEFAS >OMO60845 pep supercontig:CCACVL1_1.0:contig13658:17145:18368:1 gene:CCACVL1_23836 transcript:OMO60845 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein MSRRLRKVYTSIIEHSSVSYAKMATIGGFCNVDLILVKATSPDDFPLPDKYVHQLLKIFTISPTSSRAFSLSFSRRFGRTRSWRVALKCLLLLHRLLRSMPENSPFRSELLWKRSNGFLTLCPCRFQDASSSNPETFTTFIRSYAYLLDEALCGFYLDGDVIYEEDLEKQESNSNLADKMKEIARLIEVLPQIQSLIDRVMDCRPNRNAAKSFLIQSAMKYIIRDSFLCYTIFRQDVVLVLDNLFQMPYRSCIAAFGIYKKAAIQASQLWEFYEWCRLMGFVGCYEYPSVDRIPQLQIQALENFINGMWQLSSSSPSSSPSPSSSIIDDQSRSSFIEEREPLIKLEDDDENDNWEDLLENSMNLSHEQGIIQVYNSNESNPFNQFSSNIPICHVEYASSDPKYPWGL >OMO60850 pep supercontig:CCACVL1_1.0:contig13658:49046:52102:1 gene:CCACVL1_23842 transcript:OMO60850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNGSGGSKGQSPGSRTKHAPSKGQYTTPPPPSISRISNNALRGAVKPESCSSYFRNRACIPNPNKAAEKCSPYGRNCNRKP >OMO60848 pep supercontig:CCACVL1_1.0:contig13658:35385:38786:-1 gene:CCACVL1_23839 transcript:OMO60848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MELRLSIKETIIVKPLQLFKRQTIELSGLDRISPAILYTVFFYKSNDLTVNDELFTQGDIDRDDHVERAKKALQKVLIPWYPAAGRFRINEGSGKLEIDCNNQGVVFVSAVADSKLEELGRLHEYKTCYENLVPVLFPEASDVSENPIAVIQITRFACGGIAIGFGGSHALFDGIGAFNFLSSWAHISSGKDEYSETMQPNHSRDALLSAIHSPNSSHTAAASIYEQDHITAIQDLYGIPMQAMASDDRCWETALAKFRQVDDPQGGVLELVNLAMAKETIETWKRLAIERGKLSKCSTFDVLCAHVWKNNLYMKPSAKSNKQNEITDEYIKLYVRALEASDKFFPSMRELTIVADWTRFPFHALDFGWGKVSDAAILSTPVPETAFLMLNLEEPAGGFLVRIGIGRQYLHDLISNFNNLNYI >OMO60849 pep supercontig:CCACVL1_1.0:contig13658:46971:47338:1 gene:CCACVL1_23841 transcript:OMO60849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILTIKFKPPPFTNSLHILCCFLLEVSEAMHRDGDTLHRNGDNRKSNYMLLIYQL >OMO60189 pep supercontig:CCACVL1_1.0:contig13764:489:554:1 gene:CCACVL1_24338 transcript:OMO60189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FTLLSPPPLTLPVTTSSIVAA >OMO60190 pep supercontig:CCACVL1_1.0:contig13764:2715:3218:1 gene:CCACVL1_24339 transcript:OMO60190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCYVGKATKIFIFIVTVLAVVGLVLGLGLFRHGLQKSHKCSGDSCPSPTINAFPNPISTPFAPPDSSSSSSSNPPPPPAAAGSNQPTPPTSVPSPPLPPTSIPTPNPPPSQPTETPTSPPPPPDTSTNPPPQQIPPPAPPPPASSAVLALPPNNPPVLAAPGPVHV >OMO70405 pep supercontig:CCACVL1_1.0:contig11832:6681:8759:-1 gene:CCACVL1_18938 transcript:OMO70405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRSHGIKPRLSTNFLFALNQSNFHFNTNSLDTQSPIPSSEPQSFVKTICSQVYESYHQQYHFRVSLPRLKLDLSPDSLTHEQAISIVASLSNEAGSMFALCFFYWLLDVSKFRLFMRLYIVTATSLIENGNFDKANEVMQCLVRSFAEVGRLKEAVGMVFEMQNHGLKLRTETLNCILGVCFDMGLLDYLEQVFDKMSDRAVCVDFSSYKLMVVGFCRNGRVSEVDKWLSQMIERGFIIDNATCTLVVSLFSDKGFVNRALWYFDKIVKMGFKPNLINYSCLINGLCKRGSIKQAFGKLEEMVREGWKPNVYVHTALIDGLCKKGWTEKAFRLFLKLVRSDNYKPNVHTYTCMISGYCKEQKLNRAEMLLSRMKEQGLVPNTNTYTTLIDGHCKEGNFDRACELMDLMGKEGFAPNIYTYNAILDGLFKKGRVEEGHALLRDGLLHGLQVDTVTYTILITEHCKQVDTERAMAFVCKGVKVGLQPDIHLYSTLIAAFSKQKKMKESEKLFEEALRFGLVPTKETYTSMISGFCRDGNIGLALKFFNKMNDHGCVPDNITYGTLISALCKESRLEEACQLYETMMDKGLSPCEVTRLTIAYEYCKKGEAAIAMVILERLEKKLWMRTVNTLIRKLCSEKKVGIAALFFHKLLDKDRNVDRVTLTAFMTACYDNDKFALVSDLTERISKGIG >OMO70410 pep supercontig:CCACVL1_1.0:contig11832:28296:31065:1 gene:CCACVL1_18943 transcript:OMO70410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSLTTFSPSGKLVQIEHSLTAVGLGQTSLGIKAANGVVIATEKKLPSIMVDETSVQKIQCMSPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELEDAVHTAILTLKEGFEGQISGENIEIGIIGADKNFRVLTPNEIEDYLAEVE >OMO70408 pep supercontig:CCACVL1_1.0:contig11832:18552:21878:-1 gene:CCACVL1_18941 transcript:OMO70408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDHEENGLPGSRPEYGAIFMSNKATKRECLRRRVFALPSSQSHFVKQVKAGMILFLFEYERRELHGVFQACSDGDMNILPHAFTQSGKQYPAQVKFTPLWYCHSLSESEFRDAIRENYYSKNKFKFGLSEDQVRRLLLLFSSKRLDDDQAPQRQLSSRSNVGKPSEYSTRKIRRRVDNDRSPMSNQVLNESDVDYNGGPVISTMHHRDSLYLDDRAADDGRFGSYTDVGYKHKASAFLDECFQDLMGKVGQNIDAGEYTTSDRVDIQWGAGIEPQALVSVGYSLGDYRSTSSDDRFAKSDRLETEFYNDDGFASTISTPFPSSFQSKVSPHAYTSKHVLETDSFVHELPRPSSTFLPSMELRDSRVSYPRTFEDSIFTSALPYDPDAPNMNYGGSSSMGFNRGHASLQEYANHDSFARNVFSSSTNQSFPSSLETRTTITPNVNSGSRDFMSLPYSDQYERPGRTSLPGHAYSDMDIASEYSKKEFHEDLPILKPSLAPFPSEMRNSVRMSEHPSSYRISPSKFPSPTFSDRAIEDGRWATYKDGEYKHTETKHQLHVHEPATMDHQEVASLSPAAYQNSKGYCPDRPKKRSSVFSRLALPTKACEKESYTAPGNAKSSRNASVNEVMDMLHHSNYNWVKSRSRQLAKNHDDGAHYRDKKQANRKEGSSMISKEMNPKPSPFSKDNSSEQIEDTTSADFKRHSAVRKNLEDGKTGNHCENLENCSHKTEDEYDISASENVRLPNVVSQNAVEGDNIDNGSGSNSEQAGMESLIPLKNVVEGDSFDNGSGSNSQQVSPESFIPLISTDESGKESSSTHGISIMTSVSCGDNHIGLEEGVRARDLGMDGLIQNPNNVSHSAHESSLNHYSDNDGNEVAKNEFFYNIDQMKNLGPVRAEISISNIN >OMO70406 pep supercontig:CCACVL1_1.0:contig11832:11413:12420:1 gene:CCACVL1_18939 transcript:OMO70406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced protein 1 MYKLMISCYVLFLCFLCFSLALSWRQDTQFNQAENYEGSSDLVNLEYHMGPVLASATNLYIIWYGHWNPTHQATIRDFIYSLSSSAPYPSVADWWKTVRLYTDQTGSNITGSISLSGEFYDTRYSHGSYLSRLSMQSIIKTAATSYPRQLPLNPHNGLYLVLTSSDVQVQDFCRAVCGFHYFTFPNIVGATVPYAWIGYSGTQCPGVCAYPFAWPKYSGKPPPSTNGGNNIMRAPNGDAGVDGMISVIAHELAEVSSNPLVNAWYAGDDPTAPTEIADLCLGLYGSGGGGGYVGKVYKDSWGNGYNVNGVKGRRFLVQWVWNPVKRRCFGPNAMD >OMO70403 pep supercontig:CCACVL1_1.0:contig11832:1991:2281:1 gene:CCACVL1_18936 transcript:OMO70403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase biogenesis protein Cmc1-like protein MEEAGGEPTARCERLHKALCECHKRFGPGATRAAACRHLNRALAECLITVICPEETEAVRTLCGSGGTRLKRSQCQEAQLSLSVCISSHQQQQQED >OMO70404 pep supercontig:CCACVL1_1.0:contig11832:3584:5115:-1 gene:CCACVL1_18937 transcript:OMO70404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSALDEMSDTGAFLRTASTFRNFISKDPNSQFPPESGRYHLYVSYACPWASRCLAYLKIKGLDKAIGFTSVKPIWERTKETDEHMGWVFPTSNTEEPDAELDPFNGAKSIRELYELASTNYNGKYTVPVLWDKKFKTIVSNESAEIIRMLNTEFNDIAENPALDLYPPHLRAQIDETNEWIYSGINNGVYRCGFARKQGPYDEAVKQLYETMDKCEEILSKQRYICGNILTEADIRLFVTLIRFDEVYAVHFKCNKKLLREYPNLFNYTKDIYQVPGMSSTVNMQHIKRHYYGSHPTINPFGIIPAGPNIDYSSPHDRARFSS >OMO70407 pep supercontig:CCACVL1_1.0:contig11832:13175:16987:-1 gene:CCACVL1_18940 transcript:OMO70407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNLRSRRRPRYGAQFCAVISALLLLFSVSLLYSRLSLSSKPHIYPQHSSVVNDVVFPSNPLLSDSDDDVTTSTATATTKDDKIDELDTLEDNDTVLIEEDNNNNEIELEEEQEMTSVNHKDKIFSSGHFYFDHLTGSIKRSFNKRSIEDWDYDGGFLNEGFLGEDVKIKAAFASDDIPLDEEVRRKMSEVESVEDALLVKKIGGKNVNPLREKWGDWFDKKGDFLRRDRMFKSNLEVLNPLSNPLLQDPDGVGVTGLTRGDRMVQKWILSEFKKVPFTVKKPLGILEMDLKDNQGGESKKNDNARNVLSKRENSGSNDLSSSTNANESNTRKKEVKSVDAAEQSKTEIRTRKNEVESVKVKADKSKTEFSDHIYADGRRWGYYPGLDPRLSFSDFMDAFFRKGKCNMRVFMVWNSPPWMYSVRHQRGLESLLAQHRDACVVVFSETLDLDFFKDSFVKDGYKVAVAMPNLDELLNGTPTHIFASVWFEWRKTKFYATHYSELVRLAALYKYGGIYLDSDIIVLKPLLGFNNSVGLEDQLARSSLNGAVMAFRKQSPFIKECLKEFYMTYDDTQLRSNGADLLTRVAKRFSNKNDILINQPELKVQPSFVFFPIGSQHITRYFVAPIRESEKAEQDALFKKILAESMTFHFWNSLTSSLIPEPESLVARVIDYSCIHCSDVL >OMO70409 pep supercontig:CCACVL1_1.0:contig11832:23753:28045:1 gene:CCACVL1_18942 transcript:OMO70409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQCMTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGADKNFRVLTPNEIEDYLAEVELALGNSLSYVYVELRRYRPSFRCIAKSSSSSEVAIEKWNREGVYIDRSGKLRTFHHKKLSRKRCGSLRGQGWKYGSGFVDGIFPVLSPIAQQILEFVQTEVDAKLSPEQPGPPS >OMO59225 pep supercontig:CCACVL1_1.0:contig14028:47088:47615:-1 gene:CCACVL1_24989 transcript:OMO59225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MEPSSPRKLPFSLPVDSDNKATEFHPLSFSPPHMRAFHLAWLSLFSCFFSTFSIPPLIPIIRDDLNLSATDVATAGTAAFVGSIFSRLAMGPICDLVGPRVATATLSFLTVPVVLATGLISSPSSFILIRFLLGFCLANFVASQFWMSSIFLALLLALLMESPPVGPTWVRGWLS >OMO59224 pep supercontig:CCACVL1_1.0:contig14028:45493:46698:-1 gene:CCACVL1_24988 transcript:OMO59224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVSDRMGKRFGIRGRLWGLWVVQTVAGLLSVLLGRIGSLGGSVVVMCIFSIFVQASSGLTFGVVPFVSKRSLGVISGMTGSGGTLGAVVTQMLLFSGDKFSTQTSISLMGLMMILCTLPVSLIYFPRSGGMFCGPYSFYEDSADQDYRLLV >OMO59221 pep supercontig:CCACVL1_1.0:contig14028:20191:22227:1 gene:CCACVL1_24985 transcript:OMO59221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formylmethionine deformylase MDDEIASLEDLRFQSPLKIVEYPDPILRKRNKQIDTFDENLKKLVHEMFDVMYKTNGIGLAAPQVGVNVQLMVFNPAGKRGEGQETVLVNPRVYSYSKETVLFHEGCLSLPRIYADVERPESVKIDARDVNGSRFSVNLSRLPARVFQHEFDHLQGILFFDRTTDEFLETIRVQLEALEKKYEDKTGLPSPEKVEKKEAAAGFGKINH >OMO59223 pep supercontig:CCACVL1_1.0:contig14028:35903:41274:1 gene:CCACVL1_24987 transcript:OMO59223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVPIGEIDRQRNGRRREIRRLKQDPRFDGGIKIRLRRKSEKRDRTEKRKTKHERFQILKRF >OMO59226 pep supercontig:CCACVL1_1.0:contig14028:49415:51649:-1 gene:CCACVL1_24990 transcript:OMO59226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid alkalinization factor (RALF) family protein MAALLKLVACLCVIVLYLTSSAVQARGINYSTIGKTTPPCSTNQPEKCVTHRPPANQQKRPCNPGDRCRNGKVRDAGRIRISLGHVLDTA >OMO59222 pep supercontig:CCACVL1_1.0:contig14028:32003:32458:1 gene:CCACVL1_24986 transcript:OMO59222 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MQKKRGSVKSLPNHSSKFGYWKGSGKDRHIKYKEEMLGVMKTLVFYCGKSPKGERTNWVIHEYSLGKGVQNYVICVVFQKDGFGRTKGFQYAASASVLDAFASVLDASSPVVPIDVPESMGAPQVPSQASQVEESLDSNSDSLVGYLNESL >OMO96285 pep supercontig:CCACVL1_1.0:contig07496:7746:22216:1 gene:CCACVL1_05010 transcript:OMO96285 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase, SpoU MSAMKEIQNSMAAAAIITSLSNSFRLLPLSAIPPMLDCILASTSLSPSSLFYSLLDDLANLIEGANADGKLDSDHHTNFASVVGALCHLLTKGETNHEGLQSFLWKGFIPLMKMGHEFDRELLNQIADSFFDVVQKTNAWEVLEATLVPFFLRSVGISAGIIQNEELDGAKWYRSSVFQVSNDLIEIVAKNKDYMLSPNRSFSVPLSCHILSIILDAALRAAQASPAADSFTAKLLWNLCNVTERLLLQSLENRSCTIGFLLPVIFKAFVSHSSFSITVNGETHILSRNHFFKGIWICCRRLFSLGSLERRDAYSILSLYLSYFSCTTTYENTDMSDGAEEFDIRSEKELWNEIKRGLVDEEGLVRKQSLHILKTVLCMSSANQCHSGISEKKSEGKHSGPHGVTKRDRWAYNEAKSLGVVKLCNSVDSGLNSWQQWEAFLLLFEMLEEYGTHLVEAAWNHQIALLLQFSVSCDNFVSAISRGVHQNQSETWDEVFSWLSILWKRGFSHDNPQVRCMIMQSFLGLEWTKYGSCSKSVPESFILGPLMDALNDPVHHNDFGVKGVYSSKTIQGAAQFLQQYSSYLDMRERIVFLTSLASLAKRKAFSRAGLMGLAECIAASAVGACKYKVKPSEDGFVDKVQLEHSLENFPHDDGTELLDVFKYVLDSSKQHFNPNYRFRVCEKVLKAAALLLPASHVPLEILLHFISTLPRELTDYGGSLRGRVQDWLLQNHHTAHCSVAEMQLLESIIDFPKRFIMHRYSDENFNDEDLDAWELEVTRWARVFFLVIKEEHQLVPLLTLIQNHGKNICKQINHSEWIPAKFLTLILGLIQEIQVMQSRTAKHGVIIQTKSENGVLETEMPANDTEALIIKMFTDPLLCVLEELVCFAELSCSIFSSSSEMENEVLPSSVRGKLGGPSQRRLSNSLTTAVLQATMSVKAVACVSAWCGQLRSDVLLNSAFTFVGKFFYNTIASPTCTSETEAEVHLAAYEALAPALKALVSSFSPQTLGVFRESCKLLLPAVQGEPWLDSLVLSFSRNINDLLAVRFLARTRRAVLLNWKWVCLESLLSIPYYAFAGKLQWEGDSVFFSYAAVRDIFADIVESLENAGEGSVLPMLRSIRLALELFASGRLFTDVSRCSGVDSQMIWRLVHSSWILNASCNKRRVAPIAALLSSVLHPSLFSDGDMHDTDNEPGPLKWFVEKLLEEGTKSPRTIRLAALHLTGLWLSHPRTIKYYIKELKLLTLYGSVAFDEDFEAELTENHDARTEVALLARIPDPELTEAFINTELYARVSVAVLFYKLSESNKMVGSSCRNEDYQAALEAGKLFLLELLDSVMNDKDLAKELYKKYSAIHRRKIRAWQMICVLSQFVDDDVVGEVSHCLHTALYRNNLPSVRQYLETFAISIYLKFPSLVAEQLVPILRDYDMRPQALSSYVFIAANVILHASEEFRFRHLDELLPPILPLLTSHHHSLRGFTQVLVHQVLCKFFPPMDPRSSEFIPLEKRCFEDLRLYLANNSDCMRLRASMEGYLDAYNPKISVTPAGIFVSRVEEVWFECVPTSHMEKVLNFLNKKITFAKHEKQDMNSSSLLSKGEVYKQLLEMEKEDNLVDQLLKSRSMAMEWIRGNRQHIILVASLIDRIPNLAGLARTCEVFKASGLAVADTKVIHDKQFQLISVTAEKWVPIIEVPVHSVKKFLEKKKREGFSILGLEQTANSIPLDQYIYPKKTVLVLGREKEGIPVDIIHILDACIEIPQLGVVRSLNVHVSGAIALWEYTRQQRS >OMO96287 pep supercontig:CCACVL1_1.0:contig07496:24854:26142:-1 gene:CCACVL1_05012 transcript:OMO96287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MSISMASFSPPPTSFSVKPHFTHKSTVFLPLKKPFLSLSSPKCLPENGAGVSASVTAVEDPKPKQKEPVLEKVIEESAGTNGAATTPEVEVLSKFEDPKWIGGTWDLKQFQKDGSTDWDAVIDAEVKRRKWLQDNPETTSNDDPVVFDTSIIPWWAWMKRFHLPEAELLNGRAAMVGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLIRKNEDLDTIKKLIEETTFYDKQWQATWQDDNSSNNN >OMO96288 pep supercontig:CCACVL1_1.0:contig07496:32821:33375:1 gene:CCACVL1_05013 transcript:OMO96288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYISCTLATPLVKTGKAARVIFPGGEIKQFRDSVKAAELMLECPNFFLTNSQSLHIGRRFSALGADEELEFGNVYVMFPMKRVNSVVTAADMATLFMAANSAARRISGGGKVGVFPESINGGQEISSKLECENGGSRLSLDLEGVDNINGFAVAEFKYRLSVCRSRKPSLETIKEEPVICSR >OMO96286 pep supercontig:CCACVL1_1.0:contig07496:23369:23957:-1 gene:CCACVL1_05011 transcript:OMO96286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYSKNKAVVVTVYVERQRRKVSSNHRNRHQHYLHHTIKQELVQHNHGGGAGKGYNRRAELLHYSRRLRESARLEALPKPLESKPVSSTEQPPSNNIVMNNHDRV >OMO59716 pep supercontig:CCACVL1_1.0:contig13897:3979:4041:-1 gene:CCACVL1_24645 transcript:OMO59716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETEQNVGLAFKVQHRLQIK >OMO75909 pep supercontig:CCACVL1_1.0:contig10952:27108:35712:-1 gene:CCACVL1_15991 transcript:OMO75909 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase, type IA MSPPPKVLMVAEKPSIALSIASVLSHGQMSTRKGSTEVHEFNGMFLGFHAHYKVTSVIGHVFSVDFPASYQDWNTTNPLDLFQAPVIKTESNPKAHIPRHLSQEARGCGYLVLWLDCDREGENICFEVIECTGFLVNEAKKRVYRARFSSVTEKDIMKAMDNLVDPNKHEALAVDARQEIDLKVGVAFTRFQTSYFQGKYGNLDARVISYGPCQTPTLGFCVQRNMQINTFKPEKFWALRPYIIHNGYELQLEWKRQKLFDMDVAMMFQKLVAEDGILEVIYVSEKQETKGRPSGLNTVNLLKVASSALGFGPQTAMQLAERLYTQGFISYPRTESTAYPSSFDFKGTLNILANNPVWGDYVRGLLAEGYHKPRSGTDVGDHPPITPMRSATEDILGNDAWRLYQYVCQHFIGTVSPDCKYVRKKVEFSVSGEYFHCIGHHVKVQGFTYIMPWLAINEKNLPQFTKGEKIEVSRVELYEGETSPPDYLSESELISLMERNGIGTDASIPVHINNICERNYVQVQAGRKLVPTALGITLIRGYQCIDPDLCLPDIRSFIEHQITLVAKGQANHSRVVQHVLQQFKQKFSYFVQQIENMDALFEAQFSPLADSGRPLSKCGKCLRYMKYISTQPPRLFCGICEEVYHLPSKGTIKLYKELTCPLDNFELLILSMPGPEGKSLPLCPYCYNSPPFEGIDTLFGSTKIGNTGKLGKGAGMPCFLCPHPTCRHSLVAQGVCACPECSGTLILDPVSAPKWRLYCNKCNCLVLLPEGAHRITTTRERCAECDSTIIEVDFNKKTTPLEDGATLHTGCILCDELLHSLVEVKHGRSFTRGRGRGRGRGRGRGGYKGRGRAGGKTVDPKMSFRDF >OMO75908 pep supercontig:CCACVL1_1.0:contig10952:25356:25583:1 gene:CCACVL1_15990 transcript:OMO75908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKPVFLCVCNDNSVRLYDLPSFLERGKIFAKQDIRAIEVGPDGSGLFFTGDGTGFKVCKWAKAEAEAEAIATS >OMO75905 pep supercontig:CCACVL1_1.0:contig10952:17807:19755:-1 gene:CCACVL1_15987 transcript:OMO75905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSLLVFFQIENKDALFEAQFSPLADSGRPLSKCGKCLRSQGAGPWLKKLSCQKP >OMO75912 pep supercontig:CCACVL1_1.0:contig10952:63101:69397:-1 gene:CCACVL1_15994 transcript:OMO75912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHFMLPRNTVLREPIENLSSSPSATPSKSKSLRKQKSSKENAPPPDPNCSPAAAATAMAKIKSPLPPRPPSSNPLKRKLYAETLPDNAAHGISDSGVKVVVRMRPLNKEEEEGEIIVQKVTSDSLSINGQTFTFDSVANTDATQLDIFQHVGAPLVENCLAGFNSSVFAYGQTGSGKTYTIWGPANALLEENLSSDQQGLTPRVFERLFARINEEQIKHADKQLKYQCRCSFLEIYNEQITDLLDPNQRNLQIREDVKSGVYVENLTEEYVNSMKDVTQLLIKGLSNRRTGATSINAESSRSHSVFTCVVESRCKSVSDGISSFKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSKLTFLLQESLGGNAKLAMVCAISPAQSCKSETFSTLRFAQRAKAIKNKAVVNEVMQDDVNFLREVIRQLKDELHRMKANGNNQTDPNGSYSTGWNARRSLNLLKFSLNHPRTLPHVDEDGDEEMEIDEEAVENLCAQVGLQPADVFGRSDEVTKLEMIGSDSRNTPSEGGFAGDPGPSISECVKAQDAEDTDVNMEEVISEQPKSSEIMIVDCVEPVTKTPNVMSDPEIDKENPGHLTVETTEGDSSVILKSPTPSVSPKVNESRKSLRTSSMLTASQKDLKDDGTLGPEAMRVSFRPTEHLAASLHRGLEIIESCQRRSLALRRSSFRFSLKPADLKPILSAQKVDIGVQTFPQDYEIQEEEPIERLCSNCKQRTDLESKADNDSSNLQLVPVDESESAEKTAVEKVLAGSIRREMALEEFCAKQASEIMHLNRLVQQYKHERECNAIIGQTREDKILRLESLMDGVLPTEEFMEEELASLTHEHKLLKEKYENHPEVLRTKIELKRAQDELDCYRNFHDLGEREVLLEEIQDLRNQLQYYIDSSSAPARRRNSQLQLTYSCEPIVPPPLSAIPETSEDSAEEKFEQERIRWTEAESKWISLTDELRTELDASRLLVEKTKQELDMEKKCAEELKEAMQMAMEGHARMLEQYADLEVKHIQLLARHRKIQEGIDDVKRAAARAGVRGAESRFINALAAEISALKVEREKERRYLRDENKGLQAQLRDTAEAVQAAGELLVRLKEADEAVAAALKRATEAEQEAEKAHKQIDKLKRKYEHEISTLNELLAESRLPKEAVQPSHDHFDDIAKYDVGENHDDSDQRWREEFEPFYNGEDSELSKLADNSSWFSGYDRCNI >OMO75913 pep supercontig:CCACVL1_1.0:contig10952:74066:75816:1 gene:CCACVL1_15995 transcript:OMO75913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKATDGPAEAVKTSFLVYRGSFNTLYRPSAIVPNNPNGLIKPAPIKSPVNSQAI >OMO75903 pep supercontig:CCACVL1_1.0:contig10952:780:1529:1 gene:CCACVL1_15985 transcript:OMO75903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MSDDEAVEEYLFKIVIIGDSAVGKSNLLSRYARNEFNAHSKATIGVEFQTQSVEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRATFENVSRWLDELNSHSDTTVARMLVGNKCDLENIREVSMEEGKSLAEAEGLFFMETSALDSTNVRTAFEIVIREIYNNVSRKVLNSDSYKAELTVNRVSLVNDNGSKQTQSKYSCCST >OMO75914 pep supercontig:CCACVL1_1.0:contig10952:76690:76827:1 gene:CCACVL1_15996 transcript:OMO75914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSKRRDPVGKWAKGQLSIYVYRCLCLTLYSPRRQGPPTQAPQ >OMO75915 pep supercontig:CCACVL1_1.0:contig10952:77313:77705:1 gene:CCACVL1_15997 transcript:OMO75915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystatin MARTEAMKILSLLLVITLSFVAVNGYGTLVGGMTEIKDVKSNKEVQDLGKFAVEEYNKNHQGGLRGGGGTAGLVFSQVVEAKQQVVTGIKYYLKIEATQNGLTKTFESEVVVAPWKHPSKQLISFSPSSQ >OMO75904 pep supercontig:CCACVL1_1.0:contig10952:1915:13716:-1 gene:CCACVL1_15986 transcript:OMO75904 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA-box binding protein MAEQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKQIALQARNAEYNPKFTLLRQVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSIYTLEWDLGSVVDSRIFLCNGCWELDLKCEYMKKGDWLLALGSMDVIWDCLLSFSHSTFSLGLLTLPVWGYLDNVISYLGGKSRLKETSGFPAVDILYILNNSYFIPILTLHIHFTSQLGWQWHVWGGECGEEAVDWAVEEKKQLDKAAELKTKRLKWDMIDGNFLVNVYNYKKMSLCGGVSAVHLNFQSNTNTISMGSVLAFRSGEFMGHALRIPIKKRSYKGACPLQVVCIDYPRPELENTVNFLEAASLSASFRSAPRPSKPLKVVIAGAGLAGLSTAKYLADAGHKPLLLEARDVLGGKVAAWKDDDGDWYETGLHIFFGAYPNVQNLFGELGIEDRLQWKEHSMIFAMPNKPGEFSRFDFPEVLPAPLNGIWAILKNNEMLTWPEKVKFAIGLLPAMLGGQPYVEAQDGLSVKEWMDKQGVPDRVTDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPVVNHIESRGGEVRLNSRLKKIELNDDGTVKSFLLSNGNKIEADAYVVAAPVDILKLLLPEEWKEIPYFKKLDKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSVTCKEYYDPNQSMLELVFAPAEEWIGRSDSEIIDATMKELAKLFPDEISADQSKAKIVKYHVVKTPRSVYKTVPNCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVQDYELIQKLGQRKLAGASIP >OMO75917 pep supercontig:CCACVL1_1.0:contig10952:95151:95582:-1 gene:CCACVL1_15999 transcript:OMO75917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGVAKTTLRIGVGYWPKEIFTYLTYGADIVKFGGTTSVFLEQLDRPPMGSGRYPEPRGWRTGYFSRIQYVNDESNTFACFDPEKMIKYLNTNSSCYSLMTYTHETVKGIVKFGGPGGTPSGCPRIPPHPTRNKKGLRKLKL >OMO75906 pep supercontig:CCACVL1_1.0:contig10952:21855:24161:-1 gene:CCACVL1_15988 transcript:OMO75906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVVSNRATKSEVRVSLGVLCLYNIWYPNKQPRALSNHATHFATKINNTHTLTRACIPSPHSTIFTPRIQRFQPRPTNSGHKFLVPIKMIQQGKTFP >OMO75910 pep supercontig:CCACVL1_1.0:contig10952:36617:38961:1 gene:CCACVL1_15992 transcript:OMO75910 gene_biotype:protein_coding transcript_biotype:protein_coding description:BCP1 family MPRKPTRHRRLLPVQPLTFSPFARSVARLASAYLVKHQMRDSEHQLRGNELNGKVEKIDRQSESSEDEEFNGVVQADFVFFDPKPNDFHSVKALLQSYLDNKEWDLSGFVDLILGQPTVGTVVKLENAEDDGVFSVISALNMGRYKDHKCITELKEFLLNRCQEKEKIGNLRSLLGDKAQDVGLLVSQRVVNLPPELLPHLYDALFDEVSWAIEDEPTEELRNSFRFNFYIIVSKIYKLKNENKKKSSSTEKDEAIIYVKPEDEIFHKLSMWSFLFPLQTEQVSTHELKNYQLKGIVMAVKAENISSFRQQLHALLQES >OMO75907 pep supercontig:CCACVL1_1.0:contig10952:24608:24721:-1 gene:CCACVL1_15989 transcript:OMO75907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANQDFNRRQYRCWEPNQDLNHKRYLSWKKQIRHSR >OMO75911 pep supercontig:CCACVL1_1.0:contig10952:55005:57359:1 gene:CCACVL1_15993 transcript:OMO75911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKVFMAEGVNRTAAQPSWNSCGFGMEMQTSELNCATEQVGNCFFNPNWENSMDQSDPFESALSSMVSSPAASNAGTNNLPASAGDNVMIRELIGRLGNICNSGDISPQYFIKPINNTNNTSTYSTPLNSPPKLNLSSMMDCQIRGNLNLPNHPSLAMAPFSADPGFAERAARFSCFSSSRNYGGLTESTELSQRLLPRIDSVKRVSSDQSIKVTGSQLLNAPENNKGSPQDGNDKKNSMLSRSSSPENAEFGDSKEESSVSEQIPCVDSSTKVQNDANSRKRKSIPRGKAKETPSPAAADAKVSADNGESTAKRSKQDENAKEKTEQNGNSKAGNDGNQKQNKENSKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRTDINMEALLSKEMFQSRGSLPQALYSMDSSAAAAAFPFGFQPQQQGLTLPSNIETQFSMNPLNAALRKTQGLQLPPLDGFNDANSQVASFWEDDLQSIVQMGFGQNQAQSYQGSIAAGQVKIEL >OMO75916 pep supercontig:CCACVL1_1.0:contig10952:78483:89497:-1 gene:CCACVL1_15998 transcript:OMO75916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sel1-like protein MLSLQSDPRLQQYHPSQLLQQQQQQQQQQQQEVQLLPYNDDLLSLHSDFGGAIAAAAASSSSALPNLKLSQGLNSHEVDDDTLMALAHQKYKAGNYKQALEHSSAIYERNPRRTDNLLLLGAIHYQLHNYDQCIAKNEEALRIDPQFAECYGNMANAWKLRPNFSDAWSNLASAYMRKGRLNEAAQCCRQALALNPRLVDAHSNLGNLMKIQGFVQEAYNCYLEALRIQPNFAIAWSNLAGLFMEAGDLNRALQYYKEAVRLKPTFFDAYLNLGNVYKALGMPQEAIVCYQRALQVRPDYAMAYGNLASIYYEQRNLDLAILNYRRAIAFDSGFLEAYNNLGNALKDAGRVDEAMQCYRQCLALQPNHPQALTNLGNIYMEWNMLSAAASCYKATLSVTTGLSAPFNNLAIIYKQQGNLSDAISCYNEVLRIDPTAADALVNRGNTYKESGRVNEAIQDYIRAINIRPSMAEAHANLASAYKDSGHVEAAIKSYKQALVLRPDFPEATCNLLHTLQCVCDWEDRENKFVEVEGILRRQIKVHTQSAIALLSLHCRKYAAHCSVIASRYSLPPFNYPVRLPVKSDGGSGRLRVGYVSSDFGNHPLSHLMGSVFGMHNREHVEVFCYALSPNDGTEWRLRIQAEVEHFIDVSSMSSDMIAKMINEDNIQILVNLNGYTKGARNEIFAMQPAPIQISYMGFPGTTGASYIHYLVTDEFVSPLRFSHIYSEKLVHLPHCYFVNDYKQKNRDVLDPNCLPKRSDYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKCVPNSALWLLRFPAAGEMRLRAYATQQGVRSDQIIFTDVAMKSEHIRRSALADLFLDTPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGVGEEMIVSSLKEYEEKAVSLALNRPKLQDLSNKLKEARMTCPLFDTIRWVRNLERAYYKMWNLHCSGQQPQPFKVTENDQEFPYDR >OMO58106 pep supercontig:CCACVL1_1.0:contig14273:9071:10812:1 gene:CCACVL1_25583 transcript:OMO58106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKAVATTALLLSFNLLFFTLVTSTSCPPEPKTPKHPPKSSPPSTKPPSTAYCPRDALKLGVCANVLKNLLNVVIGTPPKTPCCPLLDGLVDLEAAACLCTAIKANVLVLKGIRIAVAESLALIEVEQNSRKVGNLENMSWEKPDTNWCKVNCDAAFDDKNKEACLRVVIRNDAAELVGGKAVKHAAVSSLIAESLAVREGLREAQRMKIPKVIVESDSQTLVNNVLAKGESRWEIEPIVKDIRAFMGMFHGDV >OMO70202 pep supercontig:CCACVL1_1.0:contig11856:1627:1731:1 gene:CCACVL1_19059 transcript:OMO70202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNNTRNVEDSKVQGNIPASHNACADTGSSIDNSS >OMO70203 pep supercontig:CCACVL1_1.0:contig11856:5592:7848:-1 gene:CCACVL1_19060 transcript:OMO70203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNVGSSSNEAQSMPPVALGAAVSEIASVVIMTYSIAKSAPGNRSRAAIGADLVSMTKCHLRARKFITKDGMNGTRMRPWNFSVAEIIAVTLGFGRTHDELNQRTQI >OMO49884 pep supercontig:CCACVL1_1.0:contig16366:4807:4893:-1 gene:CCACVL1_30772 transcript:OMO49884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKSSSLTNSAFIPYGIRTKAAFNKTT >OMO66659 pep supercontig:CCACVL1_1.0:contig12526:38539:41227:1 gene:CCACVL1_21032 transcript:OMO66659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAIANGVAGAGGGIIAQIITYPLQTVNTRQQTERIAKSKRSTAASTNTLLQILHVVRTEGWGGLYSGLKPSLFGTAASMGIYYYFYQLFKNKAEAIAAARKLKGRGDGSVGMFSWLVVAALAGSLNVLLTNPIWVLVTRMQTQTQAERKIMESKREALMREATENGFTASILQEKLDELDSTKPHPYGTIHAAREIYSEAGIRGFWKGIIPTLIMVCNPSIQFMIYETSLKRLKEKRAANKQGVKNVTALEVFLLGALAKLGATVTTYPLLVVKSRLQAKQEIGGIGNTSLRYS >OMO66653 pep supercontig:CCACVL1_1.0:contig12526:1876:6426:1 gene:CCACVL1_21026 transcript:OMO66653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDAPLDYATIQILPSQNRYEAFTCRGDVVEKLAVGVLEKLLPHLPGLKDLYAKGSNANFNLQPPHNLPSPAAWFTKSSLTRFLDIVNSMDLLDTAKSLQGEMSQLEEARKFHLSLYAQGHPDLSKNSESDTSNSVDMAPTSKFEVQNSSSDTSKNELLRAMDSRLAALRSEFVAAFNQAVGETCSYKEISHLAKFSETFGANDVKNLLYTFLELSRKSEASSSQDDEKSSSTRASMNDNITKTDGNNQAPKAVSSETPVKYAVSPAKAAQVERQSSTESEESSDSSDDNQTSAERSRPLIRSASPRRSASPMRRVQIGRTGSRRATALTIKSLSYFPARVSHRDVASDDSEEERTGHHKKPENDVRRMSVQDAIHLFESKQRDQITDIPKKNSLTNVSLGASKAVLRRWSSGMGESSQCQSNNASEDHLPEPPDNVTDSDVMRRSAEVNLDSDAKPGVSNINETVDVKSERLEESSCSPIDVQEVKDIVQEGEANERSKCSAEWSRQKEEELNQMFKKMMENQAVSSRKPQTNVKKNLAPEQRGGFYDHYKEKRDQKLRGENAGKRAEKEAKFKAMQKILDERKAEMASKNGNNICKKDSPRNSQKSVKNPQKPLKSSPQPAIPRKETTQPSTVKKAPSRTSSLPATRKSWPSAPSPRTTGTSPAKTSGGISSAGTTPTRWKPQPSQSGPQPTPKVESSQPQRRNVKATPTDKRGLTGVNEKQQQKLTKSSKTTKTKAAGAPKDSSSMVMKPSSHNKVTKKSSVVPLEAKPFLRKGSGVTSSAGSVNKMKSPSKLEASLKNTENSIDSQKSDVIVNDSILVNEHQDQDVSSLDHSVDAVQSETQVNSHQKSDAIDNVVELAPDADDHSKSIAGPSEREEESTISPTAWVEIEEQHDLLHQCDTNTGELTSSVSVAPVGSASPRVRHSLSQMLQEESSEADTTEWGNAENPPALVYQKDAPKGLKRLLKFARKSKGDANITGWSSPSVFSEGEDDTEESKAINKRNADNLLRKAALHAKNYENHLDAHELPSAQSGFSTFDVNKLHKGSVSAAASTTKGTRSFFSLSAFKR >OMO66654 pep supercontig:CCACVL1_1.0:contig12526:8562:10769:-1 gene:CCACVL1_21027 transcript:OMO66654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYRLLILRSLNHHQRPNASRFLHNVAFNSTFQNPIQDSQFQNQNQTQTQTPQIQTPTLPPRSYAFTSAEEAAAERRRRKRRLRVEPPIHALRAPSAPPTRDPNAPRLPDSTSALVGHRLNLHNRVQSLIRASDLDAASLLARSSVYSSTRPTVFTCNAIIAAMYRNKRYNEAIALFYFFFKQSDLVPNVVSYNNLINTYCDRGEVDTAIQVYREILENAPFNPSPVTYRHLTKGLIDAGRIGEAMDMLREMLNKGHGADSLVFNNLISGFLNLGNLDKANELFDELKERCLVYDGVVNATFMEWWFNQGKVKEAMDSYKSLLDRKFKMPPPTCNTLLEVLLKHGRTKEAWALFDDMLDNHTPPNTQAVNSDTFNIMVNECFKLGNFEEAIKTFKKVGTKPGSKPFAMDVAGYNNIVTRFCEHGMLSEAEKYFQELCGKSLTPDVPMFRTMIDAYLKAQRVDDALQSFTKMVETGLRVVASFGTRVFTEFLNNGKYMESVSLLTKMGEKDPKPDASIYDVVVRGLCNANELDKSLAILDQMMKYAIGVTPSLEEFARAAFRIAGREEEIDRVLNVNRRFPGPQPRSWGQPPMSHSRPAEMAGQWSSGSPQMAGHQQSNYPQMARQQYSEPSQLARHQQSNYPQMARQQYSEPSQLAGHQQSNYPQMARQQYSEPSQLAGHQQSNYPQMARQQYSEPSQLAGHQQSNSPQMARQQYSEPSQLEGQRPFGSSQFSR >OMO66658 pep supercontig:CCACVL1_1.0:contig12526:36174:37759:1 gene:CCACVL1_21031 transcript:OMO66658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTIGLRGLSLSSYSLPFLRTWKTKPSYPTVFSATSRTSLKPLFLPCNNGVVLKRCRSFCVSPNVNTEAAAPSVKETDENDREPPSSINIKDAAHLLDIRVGRIIKAWRHEEADSLYVEEVDVGEPEPRIICSGLVKYIPLELLQDAKVVVLANLKPRNMRGVKSSGMLMAASDASHENVELLLPPDAAIPGDRIWFGTDEDKDNLPDPATPNQVQKKKIWESVQPHIKTDASCTVTIGEHFMRTSAGVVVCKSLKNANVS >OMO66656 pep supercontig:CCACVL1_1.0:contig12526:20624:23092:-1 gene:CCACVL1_21029 transcript:OMO66656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRSGYVPPPYIPLGQSDEEFPRPAGDGDGQPRQLPPQPQSQSEPQQWSSGICACCDDMQSCCIGLFFPCFLFGKNAEFLGSGTLVGSCITHCILWAFVNTLCCMLTQGLSLGLPGSFVSCYACGYRRALRARYNLQEAPCGDFATHFFCHLCAICQEYREIRERAADSEPPDLKIVVTAPPVQTMESSNPEQ >OMO66657 pep supercontig:CCACVL1_1.0:contig12526:26546:33749:-1 gene:CCACVL1_21030 transcript:OMO66657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Sec23/Sec24-type MAEFLELEAQDGVRMAWNVVPGTKQEAGNCVVPVSAIYTPIKPFPNMPLLPYAPLRCRNCRSVLNPFSIVDFAAKIWICPFCFQRNHFPPHYASISDENLPAELFPQYTTIEYQSPGEGSSLPPVFMFVLDTSIIEEEMTFLKSALSQAIGLLPENSLVGLITFGTLVHVHELGFGTMPKTYVFKGSKDVSKDQLLEQMSFFLNKPRPATGVIAGARDGLSSESISRFLLPASECEFALNSVLEELSKDPWPVPVEQRATRCTSTALSIAASLLGACVPGSGARIMAFIGGPSTEGPGAIVSKNLSEPIRSHKDLDKDSAPHYHKAVKFYEALAKQLVHQGHVLDLFACALDQVGVAELKVAVERTGGLVVLAESFGHSVFKDSLKHVFQSGDKDLGLSSNGIFEINCSKDIKVQGILGPCASLEKKGPLCSDTIVGQGSTSAWKMCGLDQSTSLCLIFEIVKKDIPDATVQSTGNQFYFQFLTYYQHSSGEMRLRVTTLSRRWVAGPGSVQDLIPGFDQEAAAVVMARLVSFKMEIEAEFDPIRWLDKALIHICSRFGDYQKESPSSFSLSPRFSIFPQFIFHLRRSQFVQVFNNSPDETAYFRMILNRENVTNSVVMIQPSLISYSFQSAPEPALLDVASIAADKILLLDSYFTIVIFHGSTIAQWRKAGYHNQPEHQAFAQLLQAPRDDADAIIKERFPVPRLVICDQHGSQARFLLAKLNPSATYNSDSHSGGDIIFTDDVSFEVFLDHLQRLAVQ >OMO66655 pep supercontig:CCACVL1_1.0:contig12526:11236:18568:1 gene:CCACVL1_21028 transcript:OMO66655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MEKLKGLVPESVKRRVAESSSDDLACLASSLVDLFLSLPEFHQLIADLVDSNQNHNLCGKNKESALNFKQKGNHFYSTGDHSQALRCYSQALRLAPLDADDNGKNLVATLYLNRASLFHKTGLLMESLRDCSRALQISPSYSKAWYRRGKVNASLGNYEDALHDLTVARDMEPSLGGKKQIENELDILAQPQEGKSTKPVDNNQKSVGIPDVPEHIKLHCVTTPDKGRGMASQSDIPQASLIHTEEPYAVVILKHCRETHCHYCLNELPADTIPCISCSMPLYCSQHCQVQAGGQIHINYSSNMNIHESRSDCIQEHIKDITAGRSFDQKIECVPEHKHECGGVHWPAILPSDVVLAGRVVVKAIEQKGQVMEVPNFLETLGFCQSYSKMPPESKLELNIYSIVLLFCLRHSYSSEISINGVSASQIVILLSQIRVNSMAIVRMRSDVYSQLDWFQKFFSGEAEAALTSSVEQVRVGQALYKVGSLFNHSCKPNIHVYFISRSLLIRTVEFVGAGYPLELSYGPQVGQWDCKDRLKLLEEEYFFQCQCCGCSEVNASDIVINGFCCVKANCSGVVLDHVVANCEKQKLKIPGPISVESHSQVNKVDDIDIQEVAQVLLDKKCTSLCIDSGYCLKCRSYCNLASLSEAVKNAWIPLRRLQDSVTLNDVHHTELSDAVKSLGLLRSILHAYNKGVAEAEDNLAQAFCFTGDLESARGHCKASIEISEKLYGPNHIVIGYELVKLSSIQLSSGDSTAVDSINRLNLIFSRYYGQHAGIIFPYLHFLERESSQYFPVKMRDV >OMO97034 pep supercontig:CCACVL1_1.0:contig07315:4641:7444:1 gene:CCACVL1_04694 transcript:OMO97034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTSNTFSLRSVLEKDKLDGLNFLDWYRNLRIVLKQERKLYVLEEPLSEEPLASAPRAERDAYKKHQDDALDVACLMLATMTPDLQKHHEEMDAFDMIKHLKQLYQGQARQERIDVSKPCLDAKWLRGILWERIQFIMNYNMNEIDKPLPKLLSMLRTAEANMKKAKPNTILMVKKGKKKAEGKFKPKVNDNKVAGKSKQIVAALKPKGGVIKEGSCFHCCETGHWKRNCKVYLEELKKKRKGSETSTSGIYVIEVNLSTSTSWVLDTRCGSHVCVIVQGLKRSRKLAKGDVDLRVGNGARVAALTCGIVSQLIAPATPQWNGVAKRRNRTLLDMVRSMMSHANLPVSFWGHALETAAFTLNRVPSRSVRTHHLPARYALYITHNGDVSLMDQDEPTTYQEAVAGPESEKWLEAMKSEMDSMYANQVWTLVDPPKGIKPIGCKWLFKKKTDMDGNVHTYKGRFVAKGFRQIHGVDYDETFSPVAMLKSIRILLAIAAFYDYEIWQMDVKTALMGIFMRMCT >OMP06195 pep supercontig:CCACVL1_1.0:contig05030:14216:15017:1 gene:CCACVL1_01684 transcript:OMP06195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paired amphipathic helix MKRIDQQPEMVVGGTGFPQKLTTNDALVYLKAIKDRFYDKRDKYDDFLEIMRDFRVKELFKGYPDLILGFNTFVPKEYEITLPPEDIEQLPPPRKRPVVEFDEAIFFLNKIKKRFDDGVYMSFMDILDMYRKQNMSITEVHHEGAALFKGHPDLLSEFMIHPQQPLTIMKI >OMP06194 pep supercontig:CCACVL1_1.0:contig05030:10388:10720:1 gene:CCACVL1_01683 transcript:OMP06194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNLEGTINFVKKKLELELELEELKAKAAALEAERADLAIKRIKEALEKLKHVEAEAKELIACQPEIEELDRERDYLELQMSLLESEFNSWKKYLPTAFPVPNKDEANS >OMO78741 pep supercontig:CCACVL1_1.0:contig10543:14470:17481:-1 gene:CCACVL1_14152 transcript:OMO78741 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSGYKLGVEVVSAHDLVAKDGQGSSNSFVELHFDNQRFRTTTKEKDLNPVWNESFYFNISDPNNLSNLPLEAYVYNYHKENNSRTCLGKVRLTGTSFVPHSDAVVLHYPLEKRSIFSRAKGELGLKVFVTNDPSIRTSNPLPAMESSLHTDLSSTYAQISNSVPNSFPKEKSDKRHTFRHLPNASHSQEKQNFPPVPPQQQMNYGAHEMKSEPQPPKVVQMFSGPSQPPDYSVKETSPFLGGGRIVGGRVIRGDRPTSTYDLVEQMRYLFVRVVKARDLPSKDVTGSLDPFVEVKVGNYKGITKHFEKKQNPEWNEVFAFSRDTQQSSVLEVVLKDKDLVSDGFVGIVRFDLHEVPTRVPPDSPLAPEWYRLEDKKGEKKKGELMLAVWYGTQADEAFPDAWHSDAFAPGDSTSIASAYIRSKVYHSPRLWYVRVSVIEAQDLLPADKNRFPEAYVKVQIGNQMLKTKLVQSQNLNPVWKEEFMFVASEPFEDHLIFSVEDRVGPNKDETIGKAVIPLNTVDRRADDRNIRTRWYNLEKSLSDAMDGDHSKKDKFHSRLHVCVCLDGGYHVLDESTQYSSDLRPTAKQLWKSSIGVLELGILNVDGLHPMKTREGKGTSDTYCVAKYGQKWVRTRTMVNSLNPKYNEQYTWEVYDPATVLTVGVFDNCQIGGSSGNRDLTVGKVRIRISTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFTYTSMLNMMFQYSKPLLPKMHYKRPLSVIQQDMLRHQAVNIVAARLGRAEPPLRREVVEYMSDANAHLWSMRRSKANFLRLTSVFSGFLAVGKWFGEVCLWKNPVTTVLVHILFVMLVCFPELILPTVFLYMFLIGLWNYRYRPRYPPHMDTRLSCADTVTPDELDEEFETFPASKSSDLVRLRYDRLRSVAGRIQTVVGDIATQGERLQALLSWRDPRATTIYLIFCLVAAIVLYVTPFQVLALIAGFYAMRHPRFRHKTPALPINFFRRLPARTDSML >OMO78738 pep supercontig:CCACVL1_1.0:contig10543:2102:6068:1 gene:CCACVL1_14149 transcript:OMO78738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase MGIRRIHEGTLENLFTELTGSRNKGWFIEEPNYTCYLRNMNTREKKTLPDLPDKYGYLRHIILTAPPPNAPQPCFVFFLIANRCFLLFCRPGDDEWKKWRYDQNQQEKHSLSDAVKCNDKVYVLYGPTSRLCEIEILGNGDGDGVFNLKRYDAILPVSSPFSTYRLVESCGELFVVWRKSIFDINVFKMDFSQNQNRWQQVFNLSPDRCFALRCGTSTSFSPPELGGGVDNNTIFLKKLELTIVDRTSIVTEEKDDDQSLYSFNLADMSLSVSRVRSGATPWTLHDQIMTIRNGKVICFKRNNLQDNWFVLGSSSISFPSDGRQFLACYQGELMSVWIDDQRRDSIHVFKLNSEGDGWVQTKSLDDKILYLSQTTTLTVEAMSLSPRVRNTVQLCMFSEIHGKDKNAGNISYSFKDQRFHVYKDSIQGYSPIGDLFDTKEIFLRGTWIQPLVQPHAIWNLRRGRIEGKNPPPGQCNQENDSDCCKDGKWYTIYTCSPPVSTKTKATLTLNSFEAGGDGGGPSECDNQYHSDDDPVVALSTGWFNHKKRCLKYINIYGNGKSVRAKVVDECDSTMGCDSDHDYQPPCPNNIVDASKAVWKALEVPESDWGDIDIYWSDTE >OMO78739 pep supercontig:CCACVL1_1.0:contig10543:7247:7807:1 gene:CCACVL1_14150 transcript:OMO78739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase MMKKQIISTALIFLFICVGNIEAQTCDPSGSIPGTTPPPGQCNQDYGADCCVEGESYITYSCSPPVSSNTPAVLTINSFQQGGDGGAPSRCDNQFHSDDEFVVALSTGWFSQSSRCNKFITINGNGNSVRAMVVDECDSQLGCDEEHAYQPPCRNNIVDASKAVWTALGVPESEQGELDITWSDAN >OMO78740 pep supercontig:CCACVL1_1.0:contig10543:8543:13291:1 gene:CCACVL1_14151 transcript:OMO78740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase MTKVFSIVFLLLCFLLIAEAGETCKPSGKIKGTKPPKGQCNQDHGSDCCKEGEYYNIYKCSPPVSGHTKAILTLNGFGPNDDGGGPSECDNQYHNDNDPIVALSTGWFNKKKRCHKYINIHGNGKSVKAKVVDECDSTMGCDKVHDDQPPCDNNIVDASKAVWEALGVPENDRDCFLVAEAGDTCKPSGKVKGKKPPGNQCNHENNSDCCQEGKYYNIYKCSPPVTSHTKATLTENSFEPGGDGGGPSECDNQYHNDNDPIVALSTGWFNNKKRCHKYINIHGNGKSVKAKVVDECDSTMGCDKVHAYQPPCDNNIVDASKAVWEALGIPKDDSRRECFLDVEARPNHTSTCKPSGKIKGKRPPNGCTKDSNCCKQGKLYDIYTCSPQVSNHTKATLTLNEFESNVDGGTPCECDGKFHLDKEHIVAISTGWYNKGSRCLNYINIHGNGKSVKAKVVDECDSTMGCDEEYNFQPPCANDVVAASKSVWAALGVPKDQRGKMEIQWSDA >OMO93786 pep supercontig:CCACVL1_1.0:contig08061:5926:7643:-1 gene:CCACVL1_06351 transcript:OMO93786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMIMFMKIAAVIESEEKYSIVCDGEEKKGTTFRNGSANQNNSRDNNQEGNKAPTFLSFHGRRAPGPSLIRALLTISIRGLLLCSAVPRGGDVASLRLGFEQGRGRRCSGLGFSRRYWVRWRK >OMO59489 pep supercontig:CCACVL1_1.0:contig13941:4184:4288:-1 gene:CCACVL1_24788 transcript:OMO59489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMSVMGKLQVSKGDWPTHQLENEEELTSRDSF >OMP09776 pep supercontig:CCACVL1_1.0:contig03015:557:979:-1 gene:CCACVL1_01040 transcript:OMP09776 gene_biotype:protein_coding transcript_biotype:protein_coding description:sterol 3-beta-glucosyltransferase MADHQAQASDGETKFRLGRFGNVNLDDDESSADDTKDPNRKQKNAEGEKRSFQIKC >OMO92581 pep supercontig:CCACVL1_1.0:contig08196:16121:19319:-1 gene:CCACVL1_06817 transcript:OMO92581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation Initiation factor eIF-4e MEITEKKEAEINNSSKDSNNNNNNNEFTDSDNNSINKEVEERQARELKAGLHPLKHKYVFWYTRRTPGVRTQTAYEDNIKKIVDFSTVEGFWVCYCHLARPSTLPSPTDLHLFKEGIRPLWEDSANCNGGKWIIRFKKVVSGRFWEDLVLALVGDQLDYGDNICGAVLSIRFNEDILSVWNRNASDHQAVMALRDSIKRHLKLPHSYVMEYKPHDASLRDNSSYRNTWLRG >OMO92582 pep supercontig:CCACVL1_1.0:contig08196:21505:25154:1 gene:CCACVL1_06818 transcript:OMO92582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MRSVFGSSICSLQADVFNKNLQFQCSPSISPIPPLEVDGNFLYRALTSRKWNGYTSVLFYASWCPFSNRLRPKFDILSSMFPQIEHLAVEQSSASPSMFSRYGIHSVPSILIVNETSRVRYRGSRDLKSIVQFYEKTTGSEAVQYVAENEPPIPGQEKDNMLESWNESSPMEIVKREPYLAFSVLFLCLRVLLSIFPEVLSRLKALWVSYAPHLNLEIFGETSQLFARALQMVDVRRVWTKLRLCKTRNFHHGAKSARVWASSLASVSLGESSSGRSSSAS >OMO92580 pep supercontig:CCACVL1_1.0:contig08196:8164:9737:-1 gene:CCACVL1_06816 transcript:OMO92580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MELDWNVSCRAMVQFLLVSHHCLSMELRVPDSIQRQAHSKTNEWRSIKILIDGRDSNAVDIEGKPLPTLVYLAREKRPQFHHNFKAGAMNALV >OMO60564 pep supercontig:CCACVL1_1.0:contig13710:19020:21990:-1 gene:CCACVL1_24041 transcript:OMO60564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MKQMFPMQGIVPFLLIFLALFGKLGAISQGKDSLVNTSKLEMFVDELPDMPRIHGYDMVDGSPKPKLLTIGMFSKKWKFHRDLPATTVFAYGATRNTATVPGPTIEALHGTATYVNWQNYLPSKHILPWDPTVPTAIPSTKKGVPTVVHVHGGIHEPASDGNSNAWFTARFEEKGPAWSRQTYHYDNNQHPGNLWYHDHALGLTRVNLLAGLIGAYIIRQPDVEGPLKFPCGDEFDRPLFVFDRSFSVDGSIYMNSTGNNPSIHPQWQPEYFGDAIVVNGKAWPKMVVRRRKYRFRIINASNARFFRFFFNNGLEFIHVASDSAYLGNPIVRNETLVGPSEIVDVVIDFSKSNTDEAILQNDAPYPYPSGDPVNESNGKVMKFIIKKEREVDTWQVPNELIKYPSPDLSSVSQTRYITMYEYTSDTNEPTHLLLNGKSYDAPVTETPKAGTSEIWNVINLTGDNHPFHIHLGLFQVLDQTELVDDKEVFKGCMLKENDAISCQISKYARGKKIEVAAHEKGWKNVYKMAPGFVTKILVKFSYIHSNTSYSFDPTGQPGYVYHCHILDHEDNAMMRPLKVIN >OMO60565 pep supercontig:CCACVL1_1.0:contig13710:29237:31984:-1 gene:CCACVL1_24042 transcript:OMO60565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MKEMFPILLFHLIFLALFGQLNASWAEDKLLNPSKLEMFVDELPDMPRIHGYGIVDGSPKPKLLTIGMFSKKWKFHRDLPATTVFAYGASRRTATVPGPTIEALQGVDTYVKWQNHLPSKHILPWDPTIPTAIPATKKGVPTVVHLHGGLHEPASDGNSNSWFTARFEEKGPTWSRRIYHYNNNQQPGNLWYHDHAMGLTRVNLLAGLIGAYIIRHPDVEGPLKLPYGDEFDRPLVVFDRSFRVDGSLYMNSTGNNPSIHPQWQPEYFGDAIIVNGKAWPKMVVRRRKYRFRIINASNARFFRFFFSNGLEFIHVAADSAYLGKPVVSNETLVAPSEIVDVVVDFSKSKTDEAILENDAAYPYPSGDPVNESNGKVMKFIIKKEREVDTWRVPKRLIKYPSPDLSSVSQTRYIAMYEYAIDDEPTHLYLNGKPYEAPATETPKAGTSEIWNVINLTEDNHPLHIHLGLFKVLDQTELEDDKEEFKDCMLKKNDAIKCQISKYARGKKIEVAAHERGWKNVYKMTNGSVTQILVKFSYIHSNTSYSFDPTGEPGYVYHCHILDHEDNAMMRPLKVIK >OMO60566 pep supercontig:CCACVL1_1.0:contig13710:36702:39679:-1 gene:CCACVL1_24043 transcript:OMO60566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAMAVEPKHAAVEQTAYEEDDLYSWQKSLERQMEFIDIQEEYVKDEQKNLKRERLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTIDRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPYVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >OMO60563 pep supercontig:CCACVL1_1.0:contig13710:4183:8616:-1 gene:CCACVL1_24040 transcript:OMO60563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAALHLGRTWPSLPNHNPLTTKSRRSIRPSISCYAPHSISKCKREYTSVMIVPTGVGASIGGFAGDALPVARALSSVVDCLISHPNVLNAAMLYWPMPNVMYVEGYALDRFAQGLWALRPVHQNKVGLVLDAGIEEHLRIRQLQVADATRASLGLPVVEYVVTDTPLEVEKWVNPTTGQSTGRIKHPDSLLRAVKNLIQRSEVDAVAVVGRFPDDEVDDLDDYRQGIGIDILAGVEAVISHLVVKEFQIPCAHAPALSPLPLTSSLSPKSAAEEIGYTFLPCVLAGLSNAPQYLVRNHDSSEKGCIFASDVDSVILPIDACAGDATLAFARSKRNKPLIICVEENETVLDDTADKLGIKVVKVSNYWEAIGVIAAHKAGIDPNSLRRNRIDNIRCPSTVPANGFAVSRATSMTLS >OMP02410 pep supercontig:CCACVL1_1.0:contig06259:710:835:1 gene:CCACVL1_02811 transcript:OMP02410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VCQIEADYKTALTGSERPEITSVLNERKTSQWTNLTKMIIR >OMO52901 pep supercontig:CCACVL1_1.0:contig15404:9508:14516:1 gene:CCACVL1_29021 transcript:OMO52901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclases/protein prenyltransferase alpha-alpha toroid MWRLNVGKGNENDPYIWSTNNYLGRQIWEFDPKAGTPEERAEVEEARQNFYKNRFKIHPDSDSDLLWQFQFQREKNFKQTIPQPKVEDGEEVTFEATSAAVRRSAQLFAALQSKDGHWPAINAGPMFFLPPLVFTMYITGTLNTVFPAEYRKEMLRYIYYHQNEDGGWGLYVGGHSMMFCTSLNYICMRMLGVEPDGGLDNAAERARKWIFDHGGITTSASWGKTWMAILGVYEWAGCHPMPPEFWLFPDWFPLHAGRCALSPYNTTTYALG >OMO66397 pep supercontig:CCACVL1_1.0:contig12550:41913:44157:1 gene:CCACVL1_21180 transcript:OMO66397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSRETMLDPLKSWKFMIWPTIIFKPIDLFFFLLKSILAIFIVASFSLFLYSVFSSQPQQWLPSSTCNNQKFITPNNISTQTQEKTDLSHIQFGIGGSAKTWNNRRRYCELWWRPNVTRGFVWLDEKPQNYEKLPPNSPPYKISGDTSSFKYTSWYGSRSAVRMARIVKESFELGLENVRWFVMGDDDTVFFLENLVTVLNKYDHNQMYYIGGNSESVEQDVIHSYNMAYGGGGFAISYPLATELVRVLDGCINRYHSFYGSDQKVQGCMSEIGIPVTKELGFHQVDIRGNPYGLLAGHPLAPLVSLHHLDYVESIFPRMTQIESLKKLISAYEKDPSRALQHSFCYDLKRNWSISVSWGYTLQLYPSLVTAKILETPLLTFKSWRSWHNEPFTFNTRPIGDDTCQKPIVFWFDRVERVKDDWTLTSYTRHFEESEKDCDRQDYAPALAVRFFNVSSSKLNPSIWNMAPRRQCCEVIHDGNGADGQIQVKIRGCKRFESVTPP >OMO66398 pep supercontig:CCACVL1_1.0:contig12550:44568:46228:-1 gene:CCACVL1_21182 transcript:OMO66398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLAASLHFVSVHGNSFVLCSKISATDKRGNEKLKTQRFSSSNRQKPNSNTEEKLAALEN >OMO66393 pep supercontig:CCACVL1_1.0:contig12550:10917:15209:-1 gene:CCACVL1_21176 transcript:OMO66393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation, RCC1 MNGNETEEVKMEECKERSVYMWGYLPGASPEKSPMLSPVPVRLPASVQGGVSTWKDVCGGGCGFAMAISGCGKLITWGSADDEGQSYLTSGKHGETPEPFLLPTEASIVKAAAGWAHCISVTDTGEVYTWGWKECVPSGKITRDLVTGGSFQKDTVGRQSALQTEQVSPTLRGTNGSAGIVPQIENRKAGEEITKRRRISTAKEENESVTSGDEFFTVSPCLVTLGPGVRITNVAAGGRHTLVLSDMGQVWGWGYGGEGQLGLGSRIKMVSSPHLIPCIEQTTSGKDRPLAAQGSLNSQAQLSKVVGSYVKEIACGGRHSAVITDAGVLLTFGWGMYGQCGQGNTNDLLRPTCVSSLSGIQVLGVAAGLWHTMCIAADGQVYAFGGNQFGQLGTGAEQAETTPRRLDATSLEGKHAKVVSCGARHSAILTDDGQVLSWGWNKYGQLGLGDTIDRNIPAQVPLDGCLPKDVACGWWHTLLLAEAPIIAPNS >OMO66392 pep supercontig:CCACVL1_1.0:contig12550:6168:10552:1 gene:CCACVL1_21175 transcript:OMO66392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTALRQLLKSFCSNSPWNYAVLWKLRLWGPMSLTWEDGYPRPGESVESISSDAFFNSEIISSHFETNIDDVCFGGYPVTLVVANMSHLEYAWGEGVVGEVAYAGKHCWVCSDDIFTGKANSKLVPECPAEWLPHFASGIKTIVLVPVLPHGVLQLGSLEMVSEDLSIISYIKERFACKDIHAQLPSSLMSGLLEKLEESSSASISPLNSEDSNDVDSIKHNELSIDQIVPLSSIQDAFQAPGIDLLEVVESENKISIQPVSLNEASSPLSQSINSNQLAIGERELMGLYSLKEESQTYPECNGYPVGECGEILDGLIYPYPASDFLDLPLGDYNFDDASFLDFHEDCELLNALGSGFQRQGNEYLWESSFLNEDVLRDLFDGIEPSLSTEGGDEYLLQAVVGQVYDESVDIANRSNHFMTSKGQVPLSSRPQSMRGNPISVSQVTSAFVGEPKHTSSSKTTASFQSTVSTITDNKKMGKDGYYTQSKKGLKQSSVTKKRARPGDNPKPRPRDRQMIQDRLKELRELVPNGPKYSIDALLDETVKHMMYLRSVTNQAEKLKQWVHREVSGRKNIRSSQTKDGHQIGTSWAYEIGDQLKLCPIVVEDLAVPGHLLIEMLCNDHGMFLEIAQVIRSFNLTILKGVMESCSNNTWAHFIVEASRGFHRLDIFWPLMQLLQRQRNPTSSKI >OMO66396 pep supercontig:CCACVL1_1.0:contig12550:36329:37226:1 gene:CCACVL1_21179 transcript:OMO66396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKHPKTEKYSSDQTAVWSLDQELSGQPKSYTCSFCQKGFSNAQALGGHMNIHRRDRAKLRESSEENNMLSLDDHNSQVDSEIIVLESSEDKSSSSNAKRSSPSKISREEYIYDGVSSSSASPARNKDAVKTLSVENYEDSSLLPMNKNIELDLELRLGPEPNQDNQTRTI >OMO66395 pep supercontig:CCACVL1_1.0:contig12550:28476:29417:1 gene:CCACVL1_21178 transcript:OMO66395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MEQARYWMWTKRRSHGLVEGSTNYDESWEEQAFAEDAAAAGSLGGCIWPPRSYSCSFCGREFRSAQALGGHMNVHRRDRARLKQSPTDDHHDHEILHHHHHQPSQNPFLPMGFQYQPDHQVCNFVYNPNPNSDHHHATLPSPSSTSKENICLDKTLVPPFSSPILQEHPNSTPKSLVADRYYHFSDLRSELGDNSSSIIESAGCKVKADYVQTDLSVSLNLVVRRARPTTSGSKEETSTVGLGCKRKRNIDDDIPLATLPFFLKPISIDQRHHFQPADDELTELISPSSSVDDIDLELRLGDRPKVKYQKTIL >OMO66400 pep supercontig:CCACVL1_1.0:contig12550:60238:62699:1 gene:CCACVL1_21184 transcript:OMO66400 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MATAVSTVGAVNRVPLNLNGSGAAGTSVPSSAFLGNGLKKVNSNKINQKKGISKNFKVVSEYDESKQTSKDKWKGLAFDESDDQQDITRGKGMVDTLFQAPMGTGTHHAIMSSYDYISQGLRMYNMDNTMDGFYIAPAFMDKVVVHITKNFLSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIRKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWSPTREDRIGVCAGIFKADNVPREDIVRLVDQFPGQSIDFFGALRARVYDDEVRKWISEIGVQNIGRRLVNSKEAPPTFEQPKMTLQKLLEYGNMLVQEQENVKRVQLSDKYLKEAALGDANEDAIKNGTFYGKAAQQVNLPVPEGCTDPSAENFDPTARSDDGSCVYN >OMO66394 pep supercontig:CCACVL1_1.0:contig12550:15764:24663:-1 gene:CCACVL1_21177 transcript:OMO66394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTVVGAAHVLQNIQKQTNKITPRNPDRA >OMO66399 pep supercontig:CCACVL1_1.0:contig12550:51002:52624:1 gene:CCACVL1_21183 transcript:OMO66399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLASMAWIPNGVHEKSVSSGSKKCPIFGSHEKKLHSSSVSSSSSSSSSNPKLEILSFETAKAMSRLLALLRSLSDDEFAKLRSGPLKSPGVVFLNSDDESYLLGLACKEKLEDLNQAATVVSRLGKKCSDEELNRFDIAYHNMKQGVIDVNKIDYNSRNVAKTIEKMEKFANATAVLFAALIGLNELEGAEKKMQRWKRNDFQCNKNKSEKSNFDYFNEKISYQRKQVKHLRQVSLWNQTFDKTVGLMARIVCVVFVRICNLFEPFVPSLPRITRNRHFQTRVYVSDPRPALHMKIYPEANYCLLVNKEKTKKASKSGPIMKSSRIKFGKTARFHSCELSPEEKSGLRFRVSTVTITDKLSIKNNDGNYINGRKNQRLIQSAPPNTVGAAGLAIRYANVIAMAESYFVTTTTIKDEARERMFEMLPVSLKQTLRGKLKSHWNKDAEERDGQQGLAEGWKEALEEIIGWLAPMAHDTLRWQQERNLEQQKLDAKSTVLLLQTLHFSDLEKTEAAIVEVLVGLSCIYRYENRRERGGYWLL >OMO61385 pep supercontig:CCACVL1_1.0:contig13497:1495:7762:1 gene:CCACVL1_23562 transcript:OMO61385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MASGSGVPMPPVFTGQNYHLWSVKMKTSLQAHELWEVVDTGRDPTPLRGNPTLAQMKHHGEQVAKQYKALSYIQNSVSEAIFTRILTCETPKEAWDMIREEFQGSDKSRQQQLVTLRRQFEVIRMKEDETIKQYVDKIIGLVNQIKILGDNDFNNKRIVEKIINTLPEKYETKLSALEVQINVSEMSLQELVNSLYSLEQRRASRQQQTTEEAFPAKGKEKAQSSSKKKSDWKKNKNKKEAGTSNNDDDKKKHPLCKHCRRPYHAEKDCWFNPNATCSKCNQKGHVEKVCKNKPQQQQQAQLAGDTELHDEQMFVATCFAGNSSRNRNEWLVDSGCTHHMVHNRELFRNLDLSFNSRVRIGNGDYLEVKGKGDIVVSTPKGNKVITDVFYVPEIDVNLISVGQLLERGYKKSDVAGIFWKFKAWIENQVGNKIKVLRSDNGTECTSDRFEEFLREAGIEHQLTATYTPQQNGVSERKNRTVMEMARRLLFEKQLPKKFWAEAVNTAVYLQNRLLTKAVEGKTPYEALFGKKPSVSHLKVFGCICYVWIPEAKRSKLDEKVEVCIFVGYSNNVKGYRAFNPKAGKVVVSRDVTFNESALLNWERNEAEVPDAVQPSYEQQQTEADLFDDENIDDQPVRGTRPLDDIYQRCSVAVLEPTCYFEASKTSEWVAAMKEELRMIEKNQTWELVDRPQNKNVIGVKWVYRTKLNPDGTTNRLKARLVVKGYAQLYGVDYTETFAPVARLDTIRLLLAIAAQKQWKIHQLDVKSAFLNGYLQEEIFVEQPEGFQVKGNEDKVYLLKKALYGLKQAPRAWYDRINTHLFQIGFCRSPHEPTLYVKQDGSKILIVSLYVDNLLVCGSDSSMVCSFKNEMEKVSEMTDLGEINYFLGMEISQSQNGIFIGQHKFSKEIMKKFHMENCKAVSTPLMSRVCFHPCSGVFAWKSKKQETIAQSTAEAEYIAAAEAVNQAIWLKRLCEDVKQGVKEPVKILVDNQSAIAIAKNPVFHSRTKHFKIKFHYVREMEHEGEISLQYCSSEEQLADILTKPLPRVRYDEEIGPLSPLAVSLAAGFSGSVAAAASHSFDTAKSRSQCTVLPKFIAMERKLLKWKIPGKRFEKLAGIHPADRNLLFRAIWLRMARSGIASFMIVGSYYLTLRAVELTWTESSTCKEALDRGGNRGGYGFNLLGACAAGHLKFISNETRSLLTALHAAINNNITIQWAK >OMO62749 pep supercontig:CCACVL1_1.0:contig13172:349:1659:1 gene:CCACVL1_22656 transcript:OMO62749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAATMPMAPVPKTNMGSPSEDESELRRGPWTLEEDTLLTHYIARHGEGRWNMLAKCAGLKRTGKSCRLRWLNYLKPDIKRGNLTPQEQLLILELHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARQLNIESNSKRFLEAVRCFWMPRLLQKVEQTSSTSYSPSSFKEMTSSQSSSAPYYQLSENCTSNNIPSMSTTFSPLPNNSNHYSFENSSPVTWQSLYPPDFMNISEQSEEIPQHLTSPNVYGHATFYNNNNNNNQNLYQSYNIDSSGYHDMEEGFSLASMSDVGSYEGSPSGCQMAQGNWVSNEITDALWNNMDDIWQFRELGGEMGNQKL >OMO62750 pep supercontig:CCACVL1_1.0:contig13172:3412:7457:1 gene:CCACVL1_22657 transcript:OMO62750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETQTPISINATNQLPLKLTSKNYSSWRAQFDALLYGFDLAGYVDGTIKAPAKKIQKDGITIANPKYSFWLRQDKLILHAIIASSSEAVLPYVASSATSHDAWQKLLKLFANKTRSRIMDLKSSLTSTKRNASSVAEYFQKMKQIIDELHLTGTVIEEDDQVLYILNGLGPEFREISTAIRARETPISLEELHDKLVSFESLLRQEADSYNVQISTANLARRGPNRNFQQSNRRFNKPSNGGNYQRGSVSSYPNKITCQICDKLGHSARQCRQGGSFFSSRPSANYASIASFGKAWCLDSGASDHVTADLKNLSISTEYDGTEAIQIGDGSGLNITHKGDTKIVTPDHSFKLQEVLCVPQMTQNLVSVSKFCKSNDVSVEFDADKFLVKDLQTRAPMLRRLNEDDLYYFPSKSLQIKPVVMSAVRVSAQNWHQRLGHSSKLILNRTIKDFSLPVLPNEFFPCNSCNCNKSHKKPFGISHLQTPPYTSEHNGFAERRHRHVIKTGLTLLHQASLPLEFWSYAFQTAVYLINRMPSPNLKFQSAFQGMEESFTPTPAPDLEVTRAPLNTSLEAQTNDTSAETIPEPVVQQESETNESGANNNHPMMTRLKNQISKPNPRYFLATKHPVIDSEPTCVSQAIKNPNWRLAMNDEINALIQNGTWCLVPPSPNQNIVGCKWIYRIKRNPDNSISKYKARLVAKGFHQRPGIDFSETFSPDVKPTTIRIVLSLAIQFNWELLQLDVNNAFLHGTLLEDVFMKQPPGFIDSTHPDYVCKLQKSIYGLKQAPRTWYTALSSFLIEVGFHQSKSDSSLFIYTANDVLAYFLVYVDDIILTGNSKFFLDEFIGALSKKFSLKDPISLSYFLGIETIQTAKGLLLSQKKYMKNLLERSKMLESKPVTTPMATSAASLTLEMGNKLSDASEYRSIIGALQYLSLTRPDISFSVNKLAQFMHQPTEVYWQTLKRILRYLKGTLDTGLLLSNSDSNSKHFMDLKSYADADWAGDTSDRKSTQHFLVYLNGNLISWNCNKQKSVARSSTEAEYKAIASAAAELAWIENLLSELKVKISTSPTIYSDNIGATYVSANPALHSKMKHIAIDFHFVRDKVQAGALQVQHVSTHDQLADLLTKPLSKQKFQLLSSKIGVSSSSTPS >OMO96977 pep supercontig:CCACVL1_1.0:contig07341:22137:22214:-1 gene:CCACVL1_04729 transcript:OMO96977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANLHIMGRWMPWESKLNKDAAKN >OMO83825 pep supercontig:CCACVL1_1.0:contig09847:7108:7254:-1 gene:CCACVL1_11152 transcript:OMO83825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAQYSSGPDVGSNKREYDDQTPEGGRQGSPSKIHSSFLQQRSATA >OMO83826 pep supercontig:CCACVL1_1.0:contig09847:8057:10379:-1 gene:CCACVL1_11153 transcript:OMO83826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MAVQHSLELEVDGGKSCDDDGHLARTGTLWSCVAHIITAVIGSGVLSLAWSTSQLGWIAGPVALLLFAIITFLSAFLLSDCYRTHDGTRNKSYMDAVYLYLGRKRTWMCALLQNLSLYGTAIAYVITTSTSMRAIQKSNCYHRQGHNAPCSYGDTSYMLLFGAVQVVMSQIPDFHNMEWLSVLAALMSFTYSFIGFGLGFAKVIENGSIKGSITGVPAPNIADKLWLAFQALGDIAFAYPYSIILLEIQDTLKSPPAESKTMKKASMIAVFVTTFFYLCCGCFGYAAFGNNTPGNLLTGFGFYEPYWLIDFANACIVLHLVGGYQIFSQPVFAFVERWFTVKYPSSGFVNNSYTIKLPLLPSFQMNLFKICFRTAYVASTTAIAMIFPYFNQVLGLLGALNFWPLAIYFPVEMYFVQKKIQPWTRIWILLRSFSFLCLLVTILGFIGSVQGLISAKFG >OMO50249 pep supercontig:CCACVL1_1.0:contig16242:6499:6669:1 gene:CCACVL1_30548 transcript:OMO50249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQEISNKSFCDRHVADPCKAFGRRCSRLVKEQRARFYILRRCVTMLVCWHECGDI >OMO53012 pep supercontig:CCACVL1_1.0:contig15362:40993:46211:-1 gene:CCACVL1_28950 transcript:OMO53012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEVMKTESCRLPLRLTSVDFKN >OMO53011 pep supercontig:CCACVL1_1.0:contig15362:39032:39100:-1 gene:CCACVL1_28949 transcript:OMO53011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIAAEKIDALPVSATNSEPV >OMO53008 pep supercontig:CCACVL1_1.0:contig15362:5530:7451:1 gene:CCACVL1_28946 transcript:OMO53008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDRPLSILLSPWVSLYNILTAVALVHQYLNYCIANYPPTRNDSFGISFTWPHPPWNHLCLLLHLPHHQKWPETSTGSPRPTNNWQPPYARHSTSPKSSPSSQKIWAHNVNKTRLRTSHRGFITARRELFLKNHDVVFASRPKVQASEYLSYGSKGMAFTQYGSYWRTTRKWCTLHLLSASKVEQFAPVRKAELGSLVETLKKAAAAGETVDLSQKAGKAIEDIMFRMIFGRSNFNIGTFSLKPEAEEILHLTGAFNVSDYVPFLAPLDLQGLAKRLKISSKVIDAILEKIIDEHVHQMTNLKEQQPHRDFVDVMLSLLNKPMDPHDEEHKYIIERTNIKAILVDMVSAAFDTSATAVEWTMAELLRHPRVMFNLQQELENVVGRNRMVEESDLPNLIYLDMVIKESLRLHPVAPLLVPRESTDDITINGHFIPKKSRILVNVWAMARDSNVWSDNAEEFFPERFKDNNIDLRGKHFQLIPFGSGRRGCPGMQLGLINVRLALAQLVHCFEWQLPDGMSPAELDMGEKFGLTMPRANHLLVKPTYRLLD >OMO53010 pep supercontig:CCACVL1_1.0:contig15362:30130:32056:1 gene:CCACVL1_28948 transcript:OMO53010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MASSKILAFLFLAIFCSIHPMAVAIEAITVTIHIIIDLPESSGITRTDCIGEEWKLKPGEHFEITRWPDRHYICKADQNGTKQGSWLAFDPLRDLLRSDVFCSIREDGFYFSYDQSSWLKLNGWDQADQARLGKQEDKKKQAIATIHVINATPNGSQPMLVRCSSRFTDHGMQGVQVGEDYQCGVEEKSLYYCEALWGRYIASWHAFQPRRDGTHKAVFWLVKSQGFFHSWDNSTWVKKSEWETE >OMO53016 pep supercontig:CCACVL1_1.0:contig15362:81337:82477:-1 gene:CCACVL1_28954 transcript:OMO53016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRKIPAKRARQDEGTSSSAPARQVFDRQKFNSLKNQIWYNGTSNKDLLVEKTVSDWIEGEYHISEKFQTLGWSPILTLKGAYYPELVRQFYANMKKPGITDCSTSPINSVVNGKEIVISVGAINKLLGLTCTGQTLYVHHSDVSLEGNWEVNQAAGRFRVLSSTTKNGKRSMKAKTLDISPRLVAYLLNFNVQSRKAKNILRVSDLYIMDKMFFGLGSNIQGIPLAPTIIAAMRDMFVRRDGVWINPFRVDEQELDDSDEEWVESEEEEEENQVIAPAAANAEELSDRELLMNLIASVNALGVKVDHMQGTLDQLVANQQQQPPSP >OMO53009 pep supercontig:CCACVL1_1.0:contig15362:23964:29594:1 gene:CCACVL1_28947 transcript:OMO53009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPSSKPASLCSIKGTKLASANGAPGSRAQQRKTLRETQTHPDEFHQNSDQTQISVAQSATQTQRPARLPIFPTPIMPIQWAAPLPVNQPMVPAGMRPPLLPTPIMAPPADDVVGQSHDLI >OMO53013 pep supercontig:CCACVL1_1.0:contig15362:48741:52476:-1 gene:CCACVL1_28951 transcript:OMO53013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDLYTLNLHYHGTFIEDGLNLRYIDGEDGYVDVDPDRFSYFELEGIALEPRFKIKKFKRLYFSVPGLPLYEGLQVVDNDDAANVMCEYMVRHGSIDWYLEHEVEEPVEAVQLLEGSVAEPTVTNDYSEEVRVEQVDKVKPTVDQVFEVNVEDVLVDPAEAEVDVEVEVMDDIDHPYFSPATQPPIDDGATIGEEVVAARANFDEVLAEAIGVAEGENVEGFQNVREENVDNDGRLYGEMGIGRTSILSKSKGTKFMTGKKFVRSSSIGASGSKGKKNQPPAGPNYKPIKRRMAGLGICPETGRFITSLGEPNQKSTSVGNTQKKAMTNADSLNDGASSRKDMGPKEGCDV >OMO53015 pep supercontig:CCACVL1_1.0:contig15362:71957:72415:1 gene:CCACVL1_28953 transcript:OMO53015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MANLRGIFIILHAAATVAICLFSNPLAALEEKDEDMLFIKYHIHITNDTPDDMPFRAPNVHLHCKSKNKDVGNRKIAWHEDYHWDTKINYFRTTLFFCYVWWKSKERYFEAFKATRDEERCRYYHNSCLWSVREDGIYFSNNNATWRNVYPW >OMO53014 pep supercontig:CCACVL1_1.0:contig15362:70540:70956:1 gene:CCACVL1_28952 transcript:OMO53014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MGNIRSLLSLFLVSVFVSTSAAAVGLAKQGDHKKKPLATIHVMNAIPNPIRLHCSSGQKDYGEEVVHAGAEYQIGVEENASSYSCDALWGGLWFANWNAFRPHKDGSRKAVFWLAKSDGVFHSWDNSTWVKEMVWLPF >OMP11790 pep supercontig:CCACVL1_1.0:contig00867:570:689:1 gene:CCACVL1_00262 transcript:OMP11790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFPSFPKKRAQENNENSVDRRHKRMMKNRESAARSRAGKQ >OMO84175 pep supercontig:CCACVL1_1.0:contig09779:11915:17621:1 gene:CCACVL1_10958 transcript:OMO84175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSARQEMLISSTFWAYEKAAVDDGDGHFEDITVEDKAVTLRISEAKENVGISEFQSEVVQLADVLNGDHFLSSFPDEMSKKMSVKEAHEYVKGAI >OMO84181 pep supercontig:CCACVL1_1.0:contig09779:33178:36723:-1 gene:CCACVL1_10964 transcript:OMO84181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIPEFKKFDKVFPVAWKQIMGESEPKSHQY >OMO84180 pep supercontig:CCACVL1_1.0:contig09779:29135:30012:-1 gene:CCACVL1_10963 transcript:OMO84180 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein MGRFWTLLSNLHSLAGYASVIAIESPAKDDDEQWLAYWILYSLLTLTEMVLQSVLEWIPIWYSVKLLFMAWLVLPQFRGAAFIYERFVREQIKKYGILKDHQNGNGSPNGKGKKKFVQFLVPKKGEQEAY >OMO84174 pep supercontig:CCACVL1_1.0:contig09779:9439:11400:-1 gene:CCACVL1_10957 transcript:OMO84174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQEPKSPMLLMLLSMNTTPTKFILDTDFPYPQVYFLAQKKSNLIVVLLIGESKFFASESSLSGDAYYDEYVDRSRILRNPSALEPKIEKKLIKPSYHEQNVKRACHVEDKVVSVTERLVEEIIEVKKERVNLGSGGHELVLPTEELKKRADDFIARVNRQRRLEARLLY >OMO84173 pep supercontig:CCACVL1_1.0:contig09779:6678:8288:-1 gene:CCACVL1_10956 transcript:OMO84173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MAVDLIHKFLNLSAPPFTFFSFLFFLPPYYLFKSFLSILRSIFSENVAGKVVIITGASSGIGEYLAYEYGRRGARLALTARREKSLHEVADRARDLGSPDVITIRADVSNIEDCRRLVNDTVNHFGRLDHLVNNAGMTSVVMFEDSPPDMTNFRALMDTNFWGSVYTTRFALPHLKNSRGKIVVMSSSSSWMNEPRASGYSASKAALSAFFESLRVEIGSDVGITIVTPGFIESEATKGKFLQEGGELEVQQALRDAQVSFTPVGSVSGCARAIVNSACRGDRYLTQPFWFGVTYLWKAFFPEVIELGYRIFALPSPETPHHEALSKRIMDFPGAKEVLYPSSIQSPDVKTK >OMO84177 pep supercontig:CCACVL1_1.0:contig09779:20676:20846:-1 gene:CCACVL1_10960 transcript:OMO84177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVYGINHAIPGVVSPLCCLCVLNNDEAPKEPEPRETVVLKLQISYSKEYESSFY >OMO84176 pep supercontig:CCACVL1_1.0:contig09779:19916:20038:-1 gene:CCACVL1_10959 transcript:OMO84176 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-alanine n-methyltransferase related protein MENCYKALPVGGKLIACEPVLPKESDDSHYLSLKVQLVVS >OMO84178 pep supercontig:CCACVL1_1.0:contig09779:21790:22631:-1 gene:CCACVL1_10961 transcript:OMO84178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPADEARVNIAKVRAALYEIFDEYVAEYISGGTEQGVETQVYDIGTKDANLKASSSGWGDWCHNLHGVKKKSKEVKSRAIGPSFFHIHAYGSC >OMO84179 pep supercontig:CCACVL1_1.0:contig09779:23449:25873:1 gene:CCACVL1_10962 transcript:OMO84179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAISTPRRGGDRKPKLKLKQQMKRQSLLGTNRVGAGENRRLGVETRE >OMP01300 pep supercontig:CCACVL1_1.0:contig06481:4567:4632:-1 gene:CCACVL1_03124 transcript:OMP01300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVVRKRRRVKEVVFDRPSC >OMP03948 pep supercontig:CCACVL1_1.0:contig05895:163:465:1 gene:CCACVL1_02223 transcript:OMP03948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSWRRGKEGFGEEAREEGTEERMEERDWMDDRATEEEEAEDDVDDDGGGASEVGAKVGDGCKSDTDVDGGVTEVLTTVTLVALGESGSEEEEEEPDIG >OMP03949 pep supercontig:CCACVL1_1.0:contig05895:15167:15509:1 gene:CCACVL1_02224 transcript:OMP03949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGLAPEATASSPSNGGSNGASNIVASATGVASLAALAIAFLPTLFGI >OMP08407 pep supercontig:CCACVL1_1.0:contig03792:118:725:1 gene:CCACVL1_01113 transcript:OMP08407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGQMRVSSAYVNEGSVRK >OMO88041 pep supercontig:CCACVL1_1.0:contig09116:39249:41000:-1 gene:CCACVL1_08574 transcript:OMO88041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase/archaeosine transglycosylase MSEVELSRSEKKKSKKKTMADSEPKEADQDFMIKPQSTVPSLDTSQWPILLKNYERLNVRTGHYTPLPCGFSPLKRPLPEYIRYGIMNLDKPSNPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSKVPDVAKVARALETLTGAVFQKPPLISAVKRQLRIRTIYESKLLEYDADRHLVVFWISCEAGTYVRTMCVHLGLILGVGGHMQELRRVRSGILGEKDNMVTMHDVMDAQWVYDNFRDESYLRRVIMPLEVILTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEVGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGIVAKIKRVVMDRDTYPRKWGLGPNASMKKKLIAEGKLDKHGKPNENTPKEWMRNVVLPTGGDAMVASLAASTQLDAKNGETAAVEKEIIDVEKVKEKKKKKDKNEEDGEGRKRKLDEDSPVPVVSKKAKVEEAEAEVEGDKKEKKKKKKDKENDDAEAGEKTEEKKKKKNKDKVEAGSPDTEKSEKKKKKSKDSEEAAAVVNGANDAEADKSEKKKKKKKSKDAVEE >OMO88039 pep supercontig:CCACVL1_1.0:contig09116:26345:28629:-1 gene:CCACVL1_08572 transcript:OMO88039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASAGRPPSTARYRFGIATPTGTVKTVKRSTSIAKSKSGQF >OMO88040 pep supercontig:CCACVL1_1.0:contig09116:31763:35804:1 gene:CCACVL1_08573 transcript:OMO88040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTESSVENQGGRNRGRTEPEKKMKKLRSIKLSRMPSARKGKSLPSQVHAGYAASSEKSTPMEMSDASPNHIKATSSSDGKEDDFPVLPLRLARRSSFKPVRTVTRKSSMKIRRSQLRKPSGGADLNKKLKKSRSIKHASSESSKSSRRGVNSQDDQHPIIPSSEVHSSPHLLKGTSSSESSSDSSDQAKKISTNKAQKVAYPGNKSIKVSRTSTLGPMRILTKMVSMKSKRPSMKKCSDVSSISDPSLDRATCSSTLKDSKFPDRLEVKPRGIDSDGTAAVLNVCRYSYCSLHGHQHGNNPPLKKFVSMRRRVAKTQKSLKPESQSSGKAKRSGNRKKGLQTEKRAFALQQTTDDIRETPSVLGEEGSGFVNLGEAVPGESSYPNPSHEENLHQSSNPQKEGNVEASGIGAEHFKEMLSTPSNEEQAHRDVNEDTASHLNLEENKGDSRKDVKELKTVSTERGCELPNSLLSSTGIMEKSISASQEKNGNSELEHGILQPEGTLVASTIDVAGKAEMENQKNFKFWKLIYRHMVTNLDSEFETQKPLSRVDSEEQVQNLHNAAHEKESSPDISQTDPVTSLEDYEASSRKLELTQSDAIKMVQQAFDKILSEIPDHSSDDQSSIASDVASDQDFLLEKQNEGKEVSISTSSISREDSMVQDQEEKHLPTENIIASGEVKAAEMKGKKSDKQMPNSWSNLKKIIILNRFVKSLEKVRNLKPRTTQYLPAHEDPEAEKIHLKHQDMKGRKSSEEWMLDHALRQVISKLAPSQKRKVAMLVQAFESVIPPSENRNDMRSNADASSPVTSLPAYDESSVHKVDNAQKETGSGFLPGKALNPETSSKNDEDQDNESHTAHQKSPSEPRETSLLCDCTEELLCIAASEMSGTDMKNEDTGAVHEDNENGATKFVMEDPQKLVDLPLSEPEEPGVSSDKSLNDEDADRISQEKVFPLNEEVVERITKEEIPILDSEVCSGVSEFNIKSMDLENHDLINSVDHHEKTESPTEVGERAQPNYKFLCSPLEQSESSFAADVSKSERQKYTRLWYLIYKHMVSSSSTEGGLQPLQNGADEEVQGDDASRFSGENNADCQGTFAAGQDMSSQNIEYHNNEIIKLVEEAIDEIPLPEIQDNASDNQSLNGDATLDQELPEKKYGKEEVQFISSSTGSANEDSKDANNVTAELRSTLNSEEENLKSEIISTQNEAIKGTEEGNKSKKRVLRNWSNLKKLILLRRFVKALEKVREFNPRGPRYLPLEPAPESEKVLLRPQNMEDRKNAEEWMLDYALQRVVAKLTPERKRRVELLVEAFETVIPTLS >OMO80671 pep supercontig:CCACVL1_1.0:contig10291:23327:25568:1 gene:CCACVL1_12821 transcript:OMO80671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFKPTRSRSFKSTFTFVRYNHESEMNRAIFKGDGLIIAGNRIKFCPPLNPKTKQGFHIHPKGHTWCSGSFNRKQESFSHHRKPPFTFRNFDAFVVKRDIESRHVHAVGDVKALKPPSGFKYRLPHVLSPEVSGHSKVNNEAFFMYRQIPKEEMKWIDRSVVGTLRFDIARVLVSIKRNVKVPSKIAISHNDSRFEVSLSIESARDFISFNFDRSLPVTGNKLVVDDSSSSGDFSDPNLGDELESCKEDDLNEATNIGNNSHQDLEDLVGILSHERVGSQKEVSLLSINEIIGRLSHNKVVVRNVINQTNCIKSSNQELDFCDGQYHVSKSCLGDVRPCHIIEPLNADVAYNNLEVENRESVPFNGLLGSNHRSDELSPNKELTNESDGDCLEVAEITRLIIEAKVKDCTRKFRGLKDIEEEIEEDWFNSLLEKNKKCSKKLDKEKAKKSKSKKPTQKLGEAANGSLFDGDFENKNHILMKEAKATWEVEFTPVMPRNRTAGCRRNFRAQACLEDCLWDVAMFIDSNCLKQRLPLRYGRPRVSNQFVA >OMO80674 pep supercontig:CCACVL1_1.0:contig10291:53948:58636:1 gene:CCACVL1_12824 transcript:OMO80674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNWLNKAEPPPPVVLVPPLFDFPPLAARTRMLESSYNMVFGKLALKCLFEDYFEAARHFNTIIMLKPIDDPHVDLMATVSGPLDHKPEESVAGNAMFRWQSDLDDPHTFMDLFVSNNDPVLQMRSSAYYPRYGVGAFGILPLLLKKRVSAEDYGIMGLRYGSEKLSAGVTIMPLAIKDELPKSAWLVSKLGRLTVGIQYEPQYGSKDDAKYKNLLNWSCAVGYGVGSSSPLSPSFNFGLELAKSSQFIASFYQHVVVQRKVKNPLEENEVVGITNYIDFGFELQTRMDDTKISNNIPDSSFQVAASWQANKNFLLKGKLGPLSSSIALALKSWWKPSFTFSISATRDRISGTTAYGFGLRVENLREASYERADPNFVMLTPNKEHLAEGIAWEIGKRPPMLQSDVNAGNFDCLPKELRPQGRIL >OMO80669 pep supercontig:CCACVL1_1.0:contig10291:9401:11679:1 gene:CCACVL1_12819 transcript:OMO80669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MPGLAMNAVNGESGMDEPNNGFYKDSYNNQERSPRSALSQQSQNSGDSIDLAIDGVVETSIEQLYHNVYEMQSSDQSPSETSYGSYGEESRIDSELRHLVGDFGVEITKEVVAEKKEEGGVGTLTPKKDNVSTDKKSVKKKNKNLSPGVKHRTRLQLDSETSAKSSPRSNKSSQDKTPVKNARKVINAGSPLRKQRNFASPNKDSVEAGLENPDLGPFLLKQTKDMLSSGENPHKALELALRAAKSFEKCADGKPSLDLVMSLHVLAALYCNLGQYDEAIPVLERSIEIPVLEDGQTHALAKFAGCMQLGDTYAMLGQIENSILCYTAGLEIQRQVLGETDPRVGETCRYVAEAHVQALQFDEAEKLCQMALDIHRENGAPASIEEAADRRLMGLICDSKGDYESALEHYVLASMAMAANGHEVDVASIDCSIGDAYLSLARFDEAIFAYQKALTVFKSAKGENHPTVASVFVRLADLYNKIGKLRDSRTYCENALRIYGKRNPGIPSEEIASGLIDIAAIYQSMNELDQSLKLLKKALSIFGEAPGQQSTIAGIEAQMGVMYYMMGSFADSYNTFKSAISKFRASGEKKSALFGIALNQMGLACVQRYAINEAADLFEEARSILEKEYGPYHPDTLGVYSNLAGTYDAMGRIDDAIEILDYVVDMREEKLGTANPDVIDEKRRLSELLKEAGRVRSRKSRSLVTLLDTSTQIIKDDGIKVS >OMO80673 pep supercontig:CCACVL1_1.0:contig10291:45173:48479:-1 gene:CCACVL1_12823 transcript:OMO80673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASIAYVGGQLQYGVNFCRGQSFRQADWLPGLTVNGCLQRELSWSYGVSKFLQIHRCKFSQSPASENWKSFRTLTTSAYLRDGSTKYFDFAVIGSGIAGLRYALEVAKQGTVAVITKAEPHESNTNYAQGGVSAVLCPSDSVESHMQDTIVAGAYLCDEETVKVVCTEGPDRIRELIAMGASFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVVNDPNIVVFKHHFAIDLLTSQDGSETVCHGVDALNTETQEVVRFISKVTLLASGGAGHIYPSTTNPLVATGDGMAMAHRAQAVISNMEFVQFHPTALADEGLPIKPKKARENAFLITEAVRGDGGILYNLSMERFMPLYDERAELAPRDVVARSIDDQLKKRNEKYVLLDISHKPREKILSHFPNIASECLRHGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVRGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMKGSSSDLSASNMWTRPVVPKSLGTDVTNKILRATKEVRKELQSIMWKYVGIVRSTTRLQAAEQKIGELEAKWETYLFEHGWQRTMVALDACELRNLFCCAKLVVSSALARHESRGLHYTTDFPHLEESKRLPTVIFPSAHMMSTWSSRQLHQQSMY >OMO80675 pep supercontig:CCACVL1_1.0:contig10291:60937:69315:1 gene:CCACVL1_12825 transcript:OMO80675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRNLKRTTEIARTLESPYNIFFGKQALKCLFQDYFEDARHFSTMFMLKPIDDPHVDIVATVAGPFDLKFDEEKIIYKALFRWQSDVGDPRTFMELFVSNSDPVLQMRSSAYCPIYRCGAFFILPLPLQKRETAEDYGTMGLRYDSKQLSAGVTVMPFAIKHELAKSAWLVSKLGSLTFGVQYEPQHCNLGDMKHNNLSNWSCAIGYGVESSSPLSPSFNFSFEIAKCSQFIASFYQHLIVQRKVQNPLEDDDKVITNYLDFGIELQTRMDDTKTVISNNNISDSTFQVAASWQLNKNFLFKGKVGPLSSSMALAFKSWWKPAFTFNISATRNHISGATGYGFGLRVENIREASNCVRPSALDSIFLLCASLALSFSFFLTDVGPASAFVVTTPRKLQSDELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKDGHIVTNYHVIRGASDLKVTLADQSTYDAKVVGFDQDKDVAVLHVDAPKDKLRPIPIGISADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGINTAIYSPSGASSGVGFSIPVDTVGGIVDQLVKYGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPANGPAGKAGLLPTKRDAYGRLILGDIITSVNGKKVTSGSDLYRILDQCKVGDEVTVEVLRGDHKEKISVILEPKPDES >OMO80672 pep supercontig:CCACVL1_1.0:contig10291:39125:44048:-1 gene:CCACVL1_12822 transcript:OMO80672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASNSMNGDFMSFSYHATSQNFKTVRKISLSSTQFKVEK >OMO80670 pep supercontig:CCACVL1_1.0:contig10291:13262:18008:-1 gene:CCACVL1_12820 transcript:OMO80670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase MGGIVKGVVVDHALLQFQNGNGDNNKNPIFLLLRKLRFSNIRAAISYELSLPAELVNLLQSTAELHSLECLPVSASSLDIAPRQISLAWPGVSGTILYLLPNCNASPSITCISFPVAPDVDITNSHHQSNMIYMDKLEELPLTICRINKQAISNNVVTVGYVMKPSREEDFAKRGAFPLCPTPNGLIFFPLTFELPISTQLEEADAILHKATDEIVSIELNSSSEPSYRITYTTGMQELQRYIESQSHCIEVDPLNSIYPVLDRLKILQILLGLEDLSKEGCCKIRAPHFLKVNDFNEPDLVQRLQDAKLSLPSIVKPQVACGVAESHSMAIVFRVEDFKGLSVPVPAIIQEYVDHSSTLFKFYVLGEEVFHAVKKSIPNADILMKSSERTDLRPLLFDSLKSLPTATGNQHSGCENLSLDLGLVKEAAEWLRRMLGLNIFGFDVVIQEGSSDHVIVDVNYLPSFKEVPDEIAIPTFWDAIKKKVKSNHKQ >OMO75697 pep supercontig:CCACVL1_1.0:contig11013:49402:49485:1 gene:CCACVL1_16079 transcript:OMO75697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASPAKAKARAAGGSVRPRILPPRVLK >OMO75696 pep supercontig:CCACVL1_1.0:contig11013:48832:48975:1 gene:CCACVL1_16078 transcript:OMO75696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDAYDWMPALEMGTVGPLAIDGVRIIVNARGDKGRELMMNKSEETM >OMO75692 pep supercontig:CCACVL1_1.0:contig11013:25866:25934:1 gene:CCACVL1_16074 transcript:OMO75692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHNPDDPETRMTRNPDDPKPE >OMO75694 pep supercontig:CCACVL1_1.0:contig11013:33521:36238:1 gene:CCACVL1_16076 transcript:OMO75694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MVGVGITFAATCTGALLGALLTLLTKPDGEAPKILKFFKNNDLKIEKLQELENSLLTVEKVLGDAEEKQVTNHSVQRWLDNLQDVAYDAGDLLDAIETEDRRGKQFPNVPTTEKQKRKFNEDLDAILKKLKSIGDQINVLGLKEGHGHGGRPFQRLPTTSLVDKSEACYRTDDRERILRLLWGDSEAGHKEISVIAIVGMGGMGKTTLTQFVYSAVKEEKEKKKKFDFTVWVSVSDEFDVFNVTKTIYESVIPSNSSIHDYMPLLNKDLNSLQVAVESRLRDQKILLVLDNIWNLSFGEWDLLRRPLQAADSGSKIIVTTRSRIVSSTMRSTHVHELNGLSDDDCQSLFEKHAFGNVDGDSTLKTIGVNISKKCKGLPLAAKTLGSLLRLERESEKWNNVLNSKIWDLPDDKSGILPALLLSYHHLPSHLKQCFAYCSLFPKGHKYQKGDLVRLWIAEGLVQQTRSKRRMEEIGESYFNELLSRSIFQSIKGKFEDETDFIMHDLVNDLAQHIAGEFCFKFEDDSLPQNPKRVRYLSCILEPKEMVLKLNAFEEVFKGLRLRTFLSLRSSSATCNPIFFQCPLFRESSSLRALSLSGYRICTLPDFFGNLKHLRYLDLSRNEIKTLPDGVVSLLNLETLKLSSCHELTDLPTNMKNLTKLEHLDIKGTRLREMPQQFGALKHLKLLTNFIVGSSSGSSRISEVKELSLLRGTLSITGLQNVTQTEDAKVANLEDKRYLRELIFEWDAVDPHNGKAPNAEDANRPNGNETNNFTDQNTQNAEDVLALLKPTENLECLTIKKFCGRNFPDWLGNSQFSKIERLFLIDCPNCSSVQSLEQLPSLKKLDIYGLEGVEQVGPEFYGPGLEAFKSLESLRFEKMINWSNRSPPQETGSVPFNIYFYEIVQE >OMO75695 pep supercontig:CCACVL1_1.0:contig11013:48055:48396:1 gene:CCACVL1_16077 transcript:OMO75695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSEKPVHLDFGNSPSVLKEQLKAYHQYWKAKFVVVGATESEFRAGGGNEAAYQYYICSSEAKLCSGENGFKAVNCHPDDRAFSLRKGGKLAFFYQKAFEYGFRLLAHPFII >OMO75693 pep supercontig:CCACVL1_1.0:contig11013:26896:32817:1 gene:CCACVL1_16075 transcript:OMO75693 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSNESSNDAIERTNEQRLQELEAAMAALKKKVERHTVSHSRGKEIEATQRELSEQVKTLGEETREAIQAFKTDLGEFKEKVSLLVLAMHTDSDEEKVSLAAMYLSGHAKLWWHSKFTEGECPIKIWRSVRDYVKTFSTLMLDIRDMSKKDKMFYFLEGLKPWARTELVRQKVQDLAMAMATAERLNDYNENSTKRKSTPSSNGGCSSLNGRRPAKMGKSSSGGADNRQNSRDLTTTTSSAPTFKAKQPLACFLCNRPHRLKKEPSRGLMYVDMVLNGKATKAMVDMGATDTFITPEEAKQCGLKVDNECGQMKAVNSPASTILWNGEGHPVPSASCLLFLGDRPCLVPATILPRSGKRIISALQFKKGTKRGEPSYIVMPVCKEDGPSGGVPSAVEVVLKEYEDMMPDQLPKMLPPRRGVDHEIELLPGVKPPAKAPYRMAPPELAELRKQLDELLKVGFIRPSKAPFGAPVLFQKKQDGSLQLCVDYRALNKVTVRKKYPIPLISNLFDQLSRAKYFSKLDLRSGYHQVRIIEGDEPKTTCVTRYGAFEFLVMPFGLTNAPTTFCTLMNQVFHDFLDKFMVIYLDDIVVYSSTLEEHLEQGRLRMDMKKVKAIEEWPTPKNVSELRSFLGLANYYRRFVEGYSKRIVVLTELLKKGQGWNWSSNCQEAFENLKKTMMTDPVLALPDIEKPLEVETDASDFALGGVLLQEGHPVAFESRKLNEAERKYTAQEKELLAVVHCLRVWRHYLLGSKFVVRTDNTAVIHFLTQPKLTAKQARWQEMLAEFDYAFEHKSEKTNQVADAFSRMAELAALRRIAPMSASKATSDIRALIKDRLKRDPQASTLMDLTREGKSKFFWVENGLLLTKGPRMYVSKSGDLRQKLMRECHDTPWAGHPRWRRTFDLLQQGYYWPHMREDVRDYTKTCLICQQDKVEKRKQAGLLEPLPIPSRPWESISLDFICSLPKVGDLGSILVVVDRFSKYATFIPAHKHCSAEETARLIFKHVVKYWGVPESIISDRDPRFTGSFWRELFKLLGSELNISRSYHPQTDGQTERFNGLLEEYLRHFVHANQRDWPPLLDVAQLCFNSQKSSSTKKSAFEIVTRQQPRLPHTYVELYRGKSPRAFTFAKEWKQNTEVARAYLEKASKQMKRWADKDRKPQQFQVGDLVLVKLVPEQLRFLRKRDRRLVRKYEGPVKIIARVGKSSYKIKPPSWMKVHPVFHVCNLKPFHADPNDPSHSKATRTAISMKPPSQCRVEEIVADRTSIVRRKPTLEYLVKWEGLGPEELFKGGEQLADCWVVSPVKVCINTPPRAAPLGPRLADLEIDPVPRSILGVSRELKNRERHAELHRTLFGRGVLALMPGQKSCDMENEIEVIDTCT >OMP07425 pep supercontig:CCACVL1_1.0:contig04449:178:285:-1 gene:CCACVL1_01305 transcript:OMP07425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGSLQILAWLIIAEIMVYFFTSTAQCTQLLIDRRIM >OMO68170 pep supercontig:CCACVL1_1.0:contig12256:63807:64346:1 gene:CCACVL1_20051 transcript:OMO68170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSFSSSLSNAKPFLSHGAKSHKPQRKPVFIAFSRSRVSEQENQSPNLYKVLSLNSNQASVEEIKKAYRTMARQYHPDVCDPSKKEESTRMFVRLHAAYKTLSDPVLREEYDCRLSSRNSQGAIRPRPDFAYQCSRNRWQEQIHELKRRSNCRMNMTQKEASWGSRMRAKNDSQTKDS >OMO68171 pep supercontig:CCACVL1_1.0:contig12256:64861:68039:-1 gene:CCACVL1_20052 transcript:OMO68171 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate anion transporter MSNRVSTNLGSNEMDVINNSSSRRSSESLPYVHKVGVPPKQNLLKEIAGTVKETFFADDPLRNFKDQSKSRKLVLGFQAIFPIFEWGRNYSLSKFKGDFIAGLTIASLCIPQDIGYASLANLAPQYGLYSSFVPPLVYAFMGSSRDIAIGPVAVVSLLLGSLLQDEIDPNKNPVDYLRLAFTATFFAGITQVTLGFLRLGFLIDFLSHAAIVGFMAGAAVTIALQQLKGLLGIKKFTKNTDIVSVMRSVWGSVHHGWNWQTILIGVSFLAFLLVAKYIGKKKKKLFWVPAIAPLISVFLSTFFVYITRADKHGVKIVNHIRKGINPPSVDEIFFSGQYLAKGFRIGLVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCNTAVSNIVMSTAVFLTLKFITPLFKYTPNAILSSIIISAVIGLIDFEAVCLIWKIDKFDFVACMGAFFGVVFSSVEIGLLIAVSISFAKILLQVTRPRTAILGKLPRTTVYRNILQYPDATKVPGILIVRVDSAIYFSNSNYVKERILRWLADEEENLKEHSRPRIQYLIVEMSPVTDIDTSGIHALEELFRSLEKRDIKLVLANPGPVVVDKLHASKFQELIGEDRIFLTVADAVMTCAPKLELDP >OMO68169 pep supercontig:CCACVL1_1.0:contig12256:61026:61373:1 gene:CCACVL1_20050 transcript:OMO68169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGNFKAGDKALDDGKRKSGRREASQEGSNVGPGYTHPVGNNNKLIVNGDHSALENSSQNPVGDNLEGEGGAAAGHHIDAVGTSSGDAGDGSIDGGSFGGGGGDCGSFSGGDCF >OMO68164 pep supercontig:CCACVL1_1.0:contig12256:510:1753:-1 gene:CCACVL1_20045 transcript:OMO68164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKETSKREKRRVVEGRREEFTHAENWPVEPRNAPFIGSRVGLVFSTLTWPAN >OMO68165 pep supercontig:CCACVL1_1.0:contig12256:7275:7508:1 gene:CCACVL1_20046 transcript:OMO68165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDLSIVSQELAYLFNDADLVILKTW >OMO68167 pep supercontig:CCACVL1_1.0:contig12256:24777:29397:1 gene:CCACVL1_20048 transcript:OMO68167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYACLSPIRRKRKMKKTEKLQTAEEEEEEHCERGREENGCTVEREK >OMO68168 pep supercontig:CCACVL1_1.0:contig12256:39779:41079:1 gene:CCACVL1_20049 transcript:OMO68168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MAPSKFSLALTLAILSIWAVDAAHHHAAAPNPSSSSVDCSSLILNMADCLSFVSSGSEVSKPEGSCCSGLKTVLQANADCLCEAFKSSASLGVTLNVTKALTLPSVCKVSAPSATNCAISLSPAGAPGVTPSATAGAPSAFSEGANEMAPAPAPGNSGSSVLSVSIGSLVVGLMVMLISGY >OMO68166 pep supercontig:CCACVL1_1.0:contig12256:9448:10742:1 gene:CCACVL1_20047 transcript:OMO68166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKFSLALILAILSIWAVDAADPPAAAPTPSSFSVECTSLILKMSDCFPFVASGSQVSEPKDSCCSGFQTVLKEDPKCLCEALKSSGSMAVSINVTKALTLPSACKVSAPSASNCGTAVSPAGAPGVQPSETAGASSASSEGAKEMTPAPGSSGTPSASSQGAKEVTPDLGSSGTPSASSETAKDLTTVPDSSGSPVLSVSIGSLVAGLMIMLISGY >OMO68509 pep supercontig:CCACVL1_1.0:contig12215:9811:10164:-1 gene:CCACVL1_19929 transcript:OMO68509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTVTNWRHKHLIEDIKKLAGSFGAIKFFKGQHPSKAIASRET >OMO71796 pep supercontig:CCACVL1_1.0:contig11550:1020:1154:1 gene:CCACVL1_18064 transcript:OMO71796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQDTKDPKASIKGMAQKQVQNEIKRNKGKVGPVSKLSKMKEE >OMO83247 pep supercontig:CCACVL1_1.0:contig09919:1873:6458:1 gene:CCACVL1_11482 transcript:OMO83247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMESCGDVNGGADSHCDAFVNGLVHNDDMENGSEELDVDLVNEFDSYFDGIADHLTVSRMVSDSVIRGIVNAVEQDAADRIAQKELELVRLKKMMNPYYFSSDENKSSIKCHEPDVKKGDAFSRLSDALHEHDKIQESLWSLQNSAKGQFKDLKIEIEKIRGHNSIKRINPGSECVGAACILQEDETRKWIGVGKTLDSLRITLETVYEQVEHIIYSSKTSLCQWQLEREYQDEVEHMVVTNCFRSLKEQFEERLWDQNAQCHGIENVNWNEKINAISNLRQELDAISKLLSIPESGMPNSHSSLEINGDLSNNRRADNLQRKASGSLWDGNGKHEESVISVPENLDPAQLMHMSKEELVNYFKLEMTKMKRNHDYKIHEMTDEYFSLKRDYLKLKERGSSLPSKKDKEFDVLRKKIPDIMVKLDSILVENEKLTISSNNGETLGSLKDRLESLVSENHQLRDSLSDKRKEVNRLSSQVSDAIVKMSQQSLTENNLLKRVENLESAVEDANIEAKITGDVYKCFIREKISQIKQISEDSELEQNIWKEIYDLIFRDAFYNMSHLSKGESEDFDLESLIMEELCGIVFREAFSEAREKLHDLSKDAFEKERLLKWEVAEKEKLHQEMLALESVIDEKENLLGETEAALAREKEKLISTSRELDIVRDQATQQQMTISKCNEESSNLKSNLHQALEKLELNKVETCELNQKLIKSMNDLRESDDEKRRLFVAVKEKENALSSMEENENEHRKQMESIIILVEGLSKAVAGFECRVAEHMERSNLRLEYLCSQSSSLRQMLNVLKGEGLQYKQNLKRMSSDLEKAETEVDLLGDEVEVLLGLLEKIYIALDHYSPILKHYPGIMEILKLVRRELSGESTR >OMO83249 pep supercontig:CCACVL1_1.0:contig09919:11412:22179:1 gene:CCACVL1_11484 transcript:OMO83249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps54-like protein MDPQPSPSGRSSSPIPRTASFSVPDATQSLSSILNNPHAGKLEASWVGGWWSVAPPEFAPLISTKATSDLSRSDFQPYVSSIADSYHRFEDIRNHSSKEQTPDVDNIGEALVACLREVPALYFKEDFALEDGATFRAACPFNDVSQNLSLQEKLSHYLDIVELHLVKEISLRSNSFFEAQGQLQDLNVKIVEGCSRIRELKETIRLIDADLVDSARHIQELNATRTNLFSLQHKLKLILSVNQALSALKLLVASAECAGALDVIDDLQHLLDGDELTGLHCFRHLRDHVMASIDSINSILSAEFMRASIHDAGDKDAVILLKAKARASISVNGKDVEVILDEEESTNFRDRLLPLIIGLLRTAKLPFVLRTYRDTLTADMKTAIKTAVAELLPVLLARPVESDLTAERAMDVDGGSSSLASKLRSLSSESFVQLLAAIFKIVQAHLGRAAEVKRAIEWIMCNLDGHYAADSVAAAIALGAMVSESSPEGNGQVGQLHPYAPLRSTSKVLSLPGKANDAISPSNLSKNFRADVLRENTEAVFAACDAAHGRWAKLLGVRALLHPRLRLQEFLSIYNITQEFITATEKVGGRLGYSIRGTLQSQAKSFVEFQHESRMTKIKAVLDQETWVEVDVPDEFQAIVSSLFHSEAMLSGNNDNAESNMTESHSDTIASNLGSQVADTGIHSALEKNEQSDSSGTTAQNAAQGKVEAIENKKSEAVTSQNSGNLKERGKSATQMLELGGVGYHMVNCGLILLKMLSEYIDMNHLLPALSLEVVHRVVEILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALASQVISFTYAIIPEIRQILFLKVPEPRKSLMLLEFDRVAQDYKVHRDEIHTKLVQIMRERLLVHLRGFPQIVESWNRPEDADPQPSQFARSLIKEVGYLQRVLSRTLHEVDVQAIFRQVVVIFHSQISEAFSRLEISTPQAKDRLHRDVTHILSCIRSLPSDNLNNSATPNWGQLDEFLAQRFGTEAG >OMO83250 pep supercontig:CCACVL1_1.0:contig09919:23974:28619:-1 gene:CCACVL1_11485 transcript:OMO83250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 31 MFGRGDNQTIGFGYKLVSSDENGKTLTASLSSLSLIQSSSVFGPDIQNLNLVASFETSNRLRIRVTDSDNERWEIPEEIIPRQSQPSSPSPNPSPFPSPSQSSSHRSLLETNSHLQLKQGILLSDPTSDLVFNLHNTTPFSFSVSRQSTGEVLFDTSPNASDTLVFKDQYIQLSSALPKDRSSLYGLGEHTKKTFKLQYNDQFTLWNADIASASLNNNLYGSHPFFLDVRPGGVSHGVLLMNSNGMDIVYSGDRITYKIIGGIIDLYVFAGPQPDAVTDQYTQLIGRPAPMPDWAFGFHQCRYGYKDVSDLETVVAGYANASIPLEVMWTDIDHMDAYKIFTLDPVNFPQDRMKTFVDNLHQNDQKFVVIVDPGVGINNSYGTYTRGLEADIFIKRDGVPYMGQVWPGNVHFPDFSNPKTQAYWTNEIKLFRDDLLEVDGLWLDMNEISNFITSQPTPNSSLDTPPYKINNQGGNLNARTVPATALHFGNRTEYNVHNLYGLLESKATHEALTQVIGERPFILTRSTFVSSGKYAAHWTGDNRASWEDLAYTIPAILNFGLFGIPMVGADICGFSGNTNEELCQRWIQLGAFYPFARDHSDKNSIRQELYLWDSVAKSARKVLGLRYRLLPYFYTLMMEANKNGTPIARPLFFSFPQDNQTYEINSQFLLGKGVLISPVLTQGAVSVEAYFPAGNWFDIFNQSNWVNGTTGRTVTLDAPRDHINVHVREGSIIPMQGEGNSTKAVRKTPFELLVAVSSNEPMTGQVFLDDGKGMEMGGKGGKWSLVRFYGSMSGDKHVSIRSEVENGGFALSQKSVINKVTLMGLERGYDYEVMTPFVNTSSNKKAAIRPIVKTRLDKNLNFHVLEISRLRLPMGQNFNLQLKAQKI >OMO83248 pep supercontig:CCACVL1_1.0:contig09919:7985:8506:1 gene:CCACVL1_11483 transcript:OMO83248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRTRKPFLDLQDAAATNNLTTNTITSRARSDIDGEKSARRGKGDDDIEADQVEVEDVSNGDQRKSLKQLINYRANDDDDEQEGKSKGGSGSGRSSLGQHFTQEQEEMKQQPESDQVHQLQVQVVKKHHSRKDGVRGMMSRYAKVLSHLVKAKREPCIATASRKSHLLRLKM >OMO66231 pep supercontig:CCACVL1_1.0:contig12568:78:626:1 gene:CCACVL1_21253 transcript:OMO66231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPQALWRLRLGSAFRTVLACTIVGCTTLYGPEHVRSLLTFPAFSYVTSVLIVSDATLGDALRGCWHVFCASIQVMLPSVLALWIIGPTRFSNVGLAAMAVALCSFLIALPGSTHLMAKRIAFGQTVIVYVGTVIQGDDTDVLMHPIHVASSCALGALASVLAMLFPYPHLAYREASISKS >OMO66234 pep supercontig:CCACVL1_1.0:contig12568:16251:19147:1 gene:CCACVL1_21256 transcript:OMO66234 gene_biotype:protein_coding transcript_biotype:protein_coding description:SANT associated MAPKTPGKNDDHDGQNDRNGGGSSYFQKTVCLYDWWLVKADKDFEGKTLAVAGSTSNELKVVRLFTSAPIVKRFDVFTLETADGICVCIKGFINKQRTTENGFSSEVFNHFTFGFPPYWEECAKKYFEEDVSAEIEVEPVPNSSKSATDTDPHFISTQSKHEKVVSQDEHVQMSSVENASKGSDVLNHAVKSSEVEERSKLDSAADMDCSHNVAEQTNAAVDDDSTNKHAANLPGSIQSVNHSPPNMETNKVKGRRSKNEVNVSQYFVGTKITRSNVRMTKFSQKENFKDGFSTTHKDRASGMEQKLNRKKPHNERLKVDSAVDMDSSHTVVEQTNAAADDGGTHKSAANLRGSFQSVNHSPSNTETNKENGRRNKNEVNVSPYDLRTRTSRNHVQITECSQKKNLKAGFSTKHKDRVSRMELQDEQSPNRSKPHNERWSPGKDIRRNLELDFDKVANPSSKGRNVMSPQSRSLKCSRSGRILLPPLEFWRNQIPVYDKGLDLSLRKGENLRHEFHEDLVFTATVQ >OMO66235 pep supercontig:CCACVL1_1.0:contig12568:19978:21721:-1 gene:CCACVL1_21257 transcript:OMO66235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex, subunit 2 MDFNEVDEEEFGFSRNYFLAKELGGSGKKSGRKLSDINVVDEQELRTAAANIKPKHQKDIAALINSYKSLYPKWAFELRCGFGLLMYGFGSKKALIEDFASTALPEHSVVVINGYLQSVNLKQVVVCLAEILWEQLKSKRRTPSGNLPKIQQPISSRSMDDLLAFLNEAQMEENDSFICVVVHNIDGPGLRDSETQQYLAQLASCSHIRVVASIDHVNAPLLWDKKMVHTQFNWYWYHVPTFAPYKVEGLFFPMILAHGNSNQSAKTAAIVLQSLTPNAQSVFRILAEYQLSHPDDEGMAIDNLYSMSRERFLVSSQVTLNAHLTEFKDHELVKIKRNSDGQDCLYIPLAAEAIEKLLSEIN >OMO66233 pep supercontig:CCACVL1_1.0:contig12568:8189:10778:-1 gene:CCACVL1_21255 transcript:OMO66233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligosaccaryltransferase MKEMFDDQDLGFFANFLGIFIFVLVIAYHYVMADPKYAGN >OMO66232 pep supercontig:CCACVL1_1.0:contig12568:6013:7671:1 gene:CCACVL1_21254 transcript:OMO66232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Para-hydroxybenzoic acid efflux pump subunit AaeB/fusaric acid resistance protein MLWEKPRFRFSKPKKLDPGEKLGEMEMPIRGMELALTTCTTFPIRMIDEELKRVLQVWKKQIGLKLEQAKCSMPFDVATAPETNKREHSDSSLWTKKPISTINEDLSPFFFLYCMELLQEPDHCIVNEEATEGSKGKSRVDVIKWLRGERMIFAIKCSLSLGLAVFFGLIYNKENGYWSGLTIAISFVTGRQATFTMANTRAQGTAMGSIYGIICCFIFQKLADLRVLLLLPWIIFTTFLRHSRMYGQAGGISAVIGALLILGRKNYGTPSEFAIARITEATIGLICFIAVEIALNPVRSATLAKRELSRTLTALQDCFEAIGLYKDEKGVLTEMREKQRNLKSHVSELENFIAEAEMEPNFWFLPFQSDCYNKLLRSISEMADILPFVIHQIEFLSQASQRLGIVWEEIQELINNDLEHLRKKIDCLMKCLDEVLLVKTLEELEKECDLESGKSANPSVSIPFALEQNSIADIIGPFLQRTMEVANKTEGDELDEKLKSQMVLFLSSLGFCINIFKTEAIETEKEIGELLKWENPARHVNLHELLYKFNAT >OMO66237 pep supercontig:CCACVL1_1.0:contig12568:22620:22931:1 gene:CCACVL1_21259 transcript:OMO66237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OMO66236 pep supercontig:CCACVL1_1.0:contig12568:21965:22108:1 gene:CCACVL1_21258 transcript:OMO66236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASAATASSCEAALRLRTSGGEKRRRGKMRKMARLTQHFGGTKRK >OMP03869 pep supercontig:CCACVL1_1.0:contig05931:1890:1985:1 gene:CCACVL1_02239 transcript:OMP03869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWEELERNQTQSLYTHPSRGGSNTTAVWRL >OMO94245 pep supercontig:CCACVL1_1.0:contig07934:58538:61655:-1 gene:CCACVL1_06097 transcript:OMO94245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MAATAASSLQIAAARPCISSSQRVVKAGASILGANSKSVSWTKLASACNISSMEPFRRSFTSSQVKFNKVVTKAMSESTENKPVSGLAIDLKGKRAFVAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRVLPDGSLMEIAKVYPLDAVFDNPDDVPEDIKANKRYAGSSNWTVQEVAECVKQDFGSIDILVHSLANGPEVKKPLLETSRSGYLAAISASSYSYVSLLKHFLPIMNPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTKVLAFEAGRKNKIRVNTISAGPLGSRAAKAIGFIETMIEYSLANAPLQKELSADEVGNTAAFLASPLASAITGAVIYVDNGLNAMGVGVDSPIFKDLNIPGEKH >OMO94242 pep supercontig:CCACVL1_1.0:contig07934:39512:41990:-1 gene:CCACVL1_06094 transcript:OMO94242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYTLESVTVETPDGVNLRTRVFKPKEEIKDNNLVIVLVHPYSVLGGCQGLLKGIASGLAEKGYKAITFDMRGVGRSTGRASLTGFAEVKDVISVCSWVSENLNSDKILLVGSSAGAPIAGSAVDQIEQVVGYVSLGYPFGMLASVLFGRHHKAILQSEKPKLFVMGTRDGFTSVKQLKNKLNSAAGRNETHLIEGVSHFQMEGPAYDSQMVNLILEFIASL >OMO94238 pep supercontig:CCACVL1_1.0:contig07934:4308:13249:-1 gene:CCACVL1_06090 transcript:OMO94238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRNSRTPFPPPSPPDPISRSNRLVRFGDCFLVEETNRQIGWSFNPLTISACQVTGEGSCDKLEKGISGEIKPVNCQDEEKGESDCMHEKLSQVFGRRYEAQGTEANKGNEPCVTTNKQKDGKASSSAVNFDVARPFASSELAELPLKMKKQTYQLGARDKEEVAILGKVTEPKKILENDVQDKNRRKRSRTIRVPITSKYSTTPITEGFDGHERPKGMGLEDTATELKEKPKRGRKRRESDQSGDEDWFIDLTPKLIEDSRDIMKGMMEAVQTSYIIAELVIFHSIARTNKSFSIQTVLCTVYITKMRIRKRFPSFPTVPTSVASSRDVATQKEEMEVSSFPAPAEATATKAAEKVETDWEVIKARAHKLLGCSSYKSNRTIQPHVVEEHSSSNPVCNGETDIDIQSTNGRNKENPLKLGHSSQTMVIEQGGGNAQKQISNLFCNSQMKGNCCDDLVNTKGTTISSSSSHQGDAKRIFLGNFKEEKSETNMRIGSGGSSQKKRTDSLKTGSSKCATATATAGCGLNHNVEDEEDEEDSSGCDNMRTGGGSQKKRTDSQKIESSQRATASAGRGLNHKVEDEENGEDSSSCSIEKKIKTRSLTSIYADIRSQMPSSSNSKVEQ >OMO94240 pep supercontig:CCACVL1_1.0:contig07934:21143:26004:-1 gene:CCACVL1_06092 transcript:OMO94240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLHCSPKSPLLSLSSSSLRLRSFLPSSSKFPLCPSLLRKPRFSFACHVSTGGNLRMDSPSPDPPSSLSVDSVADGLKNQSLSERDNDELNHENKSKLSLEDLNWDHSFVRELPGDPRSDSIPRQVYHACYTKVLPSAEVENPKLVAWSDSVANLLDLDPKEFERPDFPLKFSGASPLAGSVPYAQCYGGHQFGTWAGQLGDGRAITLGEVLNSKLERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHFLGIPTTRALCLVTTGKFVTRDMFYDGNPKEEPGAIVCRVSPSFLRFGSYQLHASRGEEDLGIVRALADYAIRHHFPHIENMSRSESLSFSTGDVDHSVVDLTSNKYAAWTVEVAERTASLVARWQGVGFTHGVLNTDNMSILGLTIDYGPFGFLDAFDPSYTPNTTDLPGRRYCFANQPDIGLWNIAQFASTLMAAHLINDTEANYAMERYGTKFMDEYQAIMSQKLGLPKYNKQLINKLLNNLAVDKVDYTNFFRSLSNIKADPGIPEDELLVPLKAVLLDIGKERKEAWAGWVQSYIQELVASGISDEERKASMDSVNPKYVLRNYLCQSAIDAAELGDFGEVRRLLKVMERPYDEQPGMEKYSRLPPAWAYRPGVCMLSCSS >OMO94243 pep supercontig:CCACVL1_1.0:contig07934:50828:53263:1 gene:CCACVL1_06095 transcript:OMO94243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-structural maintenance of chromosome element 4 MKRNVKKEKQGDKASEEAVPLRAKMPRNNEPADVESTQQSRVEDAAKRRVLRSKYLAVKNKINDSRDEISNVDSNQFNVIIDEVENLHQQVSKPREQVADAEALLDIANTLAISVKSISCEGISLAEYVNSLTREFGKSNRILDTQENEQISINWKDIGMAVSPFFRTFNGICTMLGPMKNELKQRKPTASRKRTVRPTDTARPEEVDDAGANEKTDTDKNLATMFDILRRKRQVKLESLILNRSSFAQTVENLFALSFLVKDGRAEIVVDESGSHLVSPKNAPGNESITSREVAYDHFVFRFDFKDWKVMKNMVPAGEELMPNREDSQSIPSQAEASPGGFSTTPIRKLSRNRGLVLQESIIEDSPEADTVKGPSIRRCRRKLN >OMO94244 pep supercontig:CCACVL1_1.0:contig07934:54157:56765:-1 gene:CCACVL1_06096 transcript:OMO94244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose/ribitol dehydrogenase MAAKAASSLQIAAARPCISSSRRVKAGATVLGVSSKCLPWTKLTSAHSISSLEPFKCSFTSLSVNFNKVVTRAMSESRENTPVSGLPIDLKGKRAFIAGVADDIGYGWAIAKSLAAAGAEILVGTWVPALSIFETSLQRGKFDESRILPDGSLMEITKIYPLDAVFDNPDDVPEDIKTNRRYAAYTNWTVQEAAESVKQDFGSIDILVHSLANGPEVSKPLLETSRKGYLAALSASTYSNVSLLKHFLPLMNPGGSAISLTHHASQRVFPGYGGGMSSAKAALESDTRVLAFEAGRKHKIRVNTVSAGPLKSRAAKAIGFIDTMVEYSKANAPLQKELSADEVGNTVAFLASPLASAITGAVIYVDNGLNAMGVGVDSPIFNDLNIPSDKH >OMO94239 pep supercontig:CCACVL1_1.0:contig07934:18499:19188:-1 gene:CCACVL1_06091 transcript:OMO94239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octanoyltransferase MRGPRNLEVWKMGVVSYLDALKLQQKLVADRKICKIPDTLLSLQHPPTYTLGKRQTDHNLLIPLSELKNIGAELHYTQRGGDITFHGPHQAILYPVISLREIGLGARNYVEKLESTMIELASLYGVKACAGQKGETGVWVGDRKIGAIGVQISYGVTSHGLAFNIDPDLKYFKHIVPCGIADKEVTSLRRETGTLLPADEVIHEQLISCFARLFGYSSITWKENPSILS >OMO94241 pep supercontig:CCACVL1_1.0:contig07934:35327:38980:1 gene:CCACVL1_06093 transcript:OMO94241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGLSDSSGTDDDLPPSHQNRFQRGGRTAAGNGRSAVVGSAPLPRIHGDMETQIHLIEQEAYSSVLRAFKAQSDALTWEKESLITELRKELRVSDEEHRELLLRVNSDDIIRRIREWRSASGIQPGMLSTSQPAHEPVPSPTVSGSRKKQKTSQSVASLSMGAPSPALHPSMQPSSSALRRGPPPAAKSKKSKSSTQFPSSGLPGRPQAPNRTSSGAFPTNEPAEAAPYDPLIGRKVWTRWPEDNHFYEAVITDYNPVEGRHALVYDINTADETWEWVNLKEISPEDIRWEGDDPGISRRGGRPGQGRGIKKQMARGGGVAGAGRGRGNIKGQPKKDFPLTQNGIGKKALGDIEILHTDTLIKEVEKVFGASHPDPVEIEKAKKVLKEHEQALVDAIARLEDASDGESDGEHRFSQGQSMDQERAWRKRQYDEMGEGRMMEGSDGNKLARDNQ >OMP05953 pep supercontig:CCACVL1_1.0:contig05115:779:4744:1 gene:CCACVL1_01776 transcript:OMP05953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLGEIPFGLDFHPTKELVAVSLITGDLHLYKYKNDDSSLERLLDVRAHSESCRTVRFINGGQAVATGSKDCTILATDVETGSVIARLENAHENAINSLINLTDSTVASGDDEGCIKVWDTRQRSCCGSFNAHEDYVSDMNFVPDSMKLLTTSGDGTLSVCNLRTYKVQTRSEFSEDELTSVVVMKNGRKVICGSQSGTLLLYSWGFFKDCSDRFVDLSPNSVEALLKLDEDRLITGSENGLISLVGILPNRIIQPIAEHSDYPVEGLAFSHDRRFLGSISHDQMLKLWDLEDILQGSANAVKGQSAMSDSDSDEMDVDDNPSQSKKGTKVKNSNKGAASNFFADL >OMO85821 pep supercontig:CCACVL1_1.0:contig09572:9596:12502:-1 gene:CCACVL1_09983 transcript:OMO85821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSCFDASDEKMTMSHMKMEADHWTELRQEAAVK >OMO85822 pep supercontig:CCACVL1_1.0:contig09572:16958:19774:-1 gene:CCACVL1_09984 transcript:OMO85822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVEWRSLLPVIFVSSLIVYEEWVSFPSCRLLPDTTANHPKDDSPQDLKVMIAANLLLLGSEAGFVNLHFRYYHMAKIFKKSFQSLKPDMLLVLGDISAKGSELSRTEWFSVLQQLNDMLGPFLELPLHIILGDRDVGECSSLDGKFVNWLAKRFPGLDSSGCGAFEISNISFVSLNDVALLCGNNKLRFGVEKVIERESMDLQVETKDTSEAKDKSDMFRELSYDFEWRENAVTSGSGPVLLLHFPLYRSRNTYLKEGSTLKSTIDLSEQGSTSVESRGFSETGPYDLSQTIPPNATEYIFHALKPRIIFSAHRHEFSDHTHPDGTREVTVPAMTWTVRDDPGFIVATLRENRSAW >OMO85820 pep supercontig:CCACVL1_1.0:contig09572:3462:9086:1 gene:CCACVL1_09982 transcript:OMO85820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDSVRYKLVSELGVEACEQRKFTVSVGVKNHGEIAVSEMGKELCDERKFPITVGVQNHGEMAGKHPVLLFVRQSKAGEGRPMKQLVGFQSVNLNAGERAEIEFELSPCEHLSRANEDGLMVTYQTTKGTM >OMO62973 pep supercontig:CCACVL1_1.0:contig13093:12560:15916:-1 gene:CCACVL1_22552 transcript:OMO62973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MYASKSRSRMMSLKDKLAQPRGSRSVSEYFQSIRTIADDLALIHSPVSEDDLVIYALNGIVQEYKEIAAGIRARKPGHSAKWCRKIKHEKAPMTANHVTAKPSSHTPVKNTPWIADTGASHHVTAKLENMDLNTLYDGTDELYVRDGTERKHRSIVETGFTILHNASMPLKFWPYAFQAAVYLHKLLPKSRPCVFLGFLFASCSNPTASQPPASQTSVFTDVPVTQTIIPQQPFSIHSSSAAANSSTPPPVASSMDNPALPTNEVTNSLPHSIHSSSHIQPAYSVSQPTRTHPMRTRSQNQIYKPKKMFTATKYPIELTEEPTCASKAVKIPHWKEAMYEELEAPHRNKTWELVPPPSDRNVIGCKWVVRVKKNSDGSISRYKARLVAKGFTQKVGLDYDETFSPIIKPVTVRMVLCITVSNGWPLHQMDVNNAFLQGELKEEVFMKQPPVFVDRARPNYVCKLQKALYGVKQALRACDEFLKKFSAALSSKFSLKDLGHLNYFLGVEVETRNNDVFLSQRNFRQILGSLQYLSLTRPDISFVVNKLSQLMHKPTEKHLQALKRVLRNLKGTLQHGFFIQKLANLMLTAYSDSDWAGNPDDKRSTSAYVIYFGNTPIS >OMO62974 pep supercontig:CCACVL1_1.0:contig13093:24960:26699:1 gene:CCACVL1_22553 transcript:OMO62974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELALSLGDPSKPFSFLDKTPKLTSKDLGFCMGLGNGFRSQEKGKDGFEVESKAGGGDQQQQQGFKDGDDQKRVSSDPPLQLDLLPFSPVPRTQPPQLRFPWLTDNQGPAGRGLDVNRLPVVAVADEAEEGAALSSPNSAVSSFQMDFGIRSSRGKRDLELEHERASSRASDDDENGSTRKKLRLSKEQSAFLEESFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELQELRALKTSQPFYMQLPATTLTMCPSCERVATTSTTAPPAAAATTNNATAAASGGSNTDAKSGVLALTKTRGGYPFSPLQTHVTQSQPPQSQPQAHQATS >OMO62977 pep supercontig:CCACVL1_1.0:contig13093:43534:43644:1 gene:CCACVL1_22556 transcript:OMO62977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNVKKPYIPRVPEWTWLDSSGPSGRATAYASTTP >OMO62976 pep supercontig:CCACVL1_1.0:contig13093:39098:39196:-1 gene:CCACVL1_22555 transcript:OMO62976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPHPPPLQMRHSSMFNQLSPSVTGALHFVGL >OMO62975 pep supercontig:CCACVL1_1.0:contig13093:34950:37628:-1 gene:CCACVL1_22554 transcript:OMO62975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTAKYMPINGGTATLVTDFKSLFSFVKTRRTMALFMFAFVGFTVFLAFSPSSNSSSPWFTNIFSSSSSTSAPASADSYRSQFSSLFDYFFFNSSSSPEQRQGLNVSSSFPNNTRSSNSFPQLNNPKEDKNTTKTQSLNKNEQVPSQKNTTFQSPNPNKNNTQTSVLHGNQTAVSPPSVKADKKENSDKSQVLKTNQTTIVTGKSPVAANQSTSSPAKSDSPNNGSSGKEEKKSVAQKEVVANYTASLTKKQGNEKNQSNGTSSELPAKQGIENLVESLMNCDLFDGVWVKDDSYPLYKPGSCSFIDEQFSCVINGRPDKEFQKLKWKPRGCTLPRLNGGHMLELLRGKRLVFVGDSLNRNMWESLVCILKNAAKNPKNVYEAHGRSYFRGEASYSFIFKDYNCTIEFFVSPFLVREWEMPDQNGKKKETLRLDLVGKSSDQYKSADILIFNTGHWWTHEKTSKGNDYYQEGSHVYKELNVLEAFRKALTTWSRWVDANVNPMKSMVFFRGYSASHFSGGQWNSGGACDSESEPIKNETYLTPYPPKMLVLEKVLKGMKTHVTYLNITRLTDFRKDGHPSIYRKHPKQKLSEDERIAPLKYQDCSHWCLPGVPDSWNELLYAELLVKENKMRQHQRRSR >OMO71661 pep supercontig:CCACVL1_1.0:contig11586:86:8781:-1 gene:CCACVL1_18113 transcript:OMO71661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MDLVSTCKNQLANFRIKELKDVLTQLGISKQGRKQDLVDRILGLISDEEVSNTHGSAKKKIIGKEGVAKLVDDAYRKMQIADASDLATTRQTSLDICDVKHKVETEDSSFLSVKICCPCGTSLHTDSMIQCIDPGCQVQQHVSCVIIPGKPMEGLPSIPAIFHCEMCRISRADPFCVTVEHLISPVKLIAPTLPSDGTSPVLKIEKTFQLTKLDSDLLQSAEYDIQAWCILLNDSVSFRMQWPQYSELHVNGYGVRTLNRPGSQLLGANGRDDGALITMYVGEGVNKISFSACDSRLFCFGVRLLNRRTVEQVLALIPKEADGEPLEDAVARVCRCIGGGVPRANEDSDSDSDLEVIADTVTVNLRCPMSGSRIKVAGRFKPCIHMGCFDLETFVELNQRSRKAMAVSNLSQELLPGRYHY >OMO99377 pep supercontig:CCACVL1_1.0:contig06906:73243:75360:1 gene:CCACVL1_03834 transcript:OMO99377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGSSPCESRPITSNSSQAAVVASDGVQNVWEPLPTYIQLKSFVSSESDDISCEQSYGFLPCTTTVLGNIFLILVYGYLMFRAAKLLCDGCEILLEILGPGLIGGLVLPVLSSLPDAIIILASGLSGSKETAQSQVSVGIGLVAGSTVMLLTLVWGSCLILGKCDLDSSLSKDPKDTKGFSLTGSGVSTDIWTSYAARIMAISIIPFLIVQLPAILHTASEDRIAILIALFVSIFLLVSYSIYQVFQPWIQNRRIAFAKHKHVIAGILKHLSVRAVGRLLTDDGVPNTTVIEKLFMMIDQNGDGRLSPAELRALVLGIRFDEIDMDIEDAVENVMKEFDTSQDSFVDMDEFVRGIRKWLNEARRLSEGQQGASIHLHHFHEETRKEHHLLGGQGGHFGETVKNPVWNAFKAVMMLLLGTIVAAVIADPLVDTVSEFSKASSIPSFFVSFVILPIACTSEAVSALSFARRKKLTTTSLTFSQIYCSVTMSNILSLSVFLGLVYFRDLTWDFAAEVLVILIVCIVMG >OMO99376 pep supercontig:CCACVL1_1.0:contig06906:72390:72533:1 gene:CCACVL1_03833 transcript:OMO99376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFILYLVPPWTLDTSLLKQSSPLKRYADIKRGSLYFTRFSIVGSA >OMO99374 pep supercontig:CCACVL1_1.0:contig06906:3111:3212:-1 gene:CCACVL1_03831 transcript:OMO99374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSLTPNCVILPSISHGFTRHIPNVFFTHHPD >OMO99375 pep supercontig:CCACVL1_1.0:contig06906:38552:40976:1 gene:CCACVL1_03832 transcript:OMO99375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCVEPMAMFHVDTVKTHMQAFGSCPIKSIGVRHVLSSMLKSKGIQATIEESRPWISGLVLPMPSTSLFSDGCRSNAGILP >OMO99373 pep supercontig:CCACVL1_1.0:contig06906:374:433:-1 gene:CCACVL1_03830 transcript:OMO99373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSLDGSTHLIKSPVPF >OMO71784 pep supercontig:CCACVL1_1.0:contig11554:217:2379:-1 gene:CCACVL1_18072 transcript:OMO71784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTWITSVSCSSSVIESSGETSISVVFQWLGFIFLSPCPQRALFSAVDLLFLFTLLCFAVYKLYSSSNSHGNPDINKPLIRVNRSRRPTTTTTTVWFKLSLIATLVLAFCYTIICILSFTRSSQEPWKKFDGIFWLVEAITHAVIAILIIHEKKFEAVNHPLTLRIYWVANFIIVSLFTVSAIIRMLAVENSRDQTLRLDDIVSLVSFPLSVVLLLVAIKGSTGVTVSREAEPTMDEEEEKLYEQLINKSNVSGFATASVVSKAFWIWMNPILKKGYKAPLKMEDVPFLSPEHRAERMSRLFEAKWPKPEEKCSHPVRTTLLRCFWKELAFTACLAIVRLCVMYVGPLLIQSFVDYTAGKRSSPNEGYYLILTLLCAKFVEVLSTHQFNFNTQKLGMLIRCTLITSLYKKGLRLTCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLTPLQVTVALVLLYTYLGAAMVTSVLGLLGVLIFVIFGTKRNNRFQFNVMRNRDLRMKATNEMLNYMRIIKFQAWEKHFNKRIQAFRETEFGWVSKFLYSISGNVIVMWSTPLLISTLTFGTALLLGMELDAGLVFTATTIFKILQEPIRNFPQSMISLSQAMISLERLDTFMMSKELVNASVERQEGCDGRIAVEVKNGVFSWDDVSGEETLKNINLEVKKGELTAIVGTVGSGKSSLLASVLGEMHKISGKVSSLRMNPCLSPLLIV >OMO99707 pep supercontig:CCACVL1_1.0:contig06828:12016:14991:-1 gene:CCACVL1_03669 transcript:OMO99707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFMSSKSLLFVIIFLEPFNALASATSRNETESYTLLRWKASLDNKSQSLLSSWAVDSSQYCSRWVGIACDEGGSIFNLSLPHLGLKGNLQSLNLSSLTSLLWLDLRNNSFYGSLPSQIGDLAKLTFLDLSHNSLSGNIPSEIGLLKSLGVISLARNHFGGSIPQEIGRLSNRLAGSIPQAVGNLSKLTNLVLSFNNFSGNIPYEVGNLTSLSQLYLHENDLTGPIPISLGNLQNLSRLILLNTRLNGSITEEVGMMRSLNMLDFARNRLTGPIPVAIGNLSSNLEWLYLYGNDLSGSIPSEIGLLQSLFTLQLQVNELTGVIPTSIGNLTNLVSLFLQKNRISGSIPASIGNLLSTLRALSLSGNKLSGPVPPTFNNLTHLVRLQLYDNHLQGQLPEDLCVSGSLSRFTAFNNNLLGQIPLSLRNCTSLTRVRLQGNQLTGNITEAFGIYPDLDYIELSDNNFFGELSPRWAQCRNLTSLKISNNNISGEIPVELGQAPQLQEIDLSGNRLSGEIPEELGSLTSLLHLLLNENKLSGKIPMEIGMLSNLRHLNLASNNLSGPIPEQIGGCFKLLKLNLSKNRIGESIPSTLSNINALEHLDLSHNLLVGEIPRPLGNLQSLELLNLSHNKLSGSIPSSIDDWRSLTLVDISDNLLEGPLPDRKAFQDAPFDAYKNNKGLCGNAAGLIPCVQITSNDSKQRSSNTLVILIALPILGTLVLLFIIVGSFCLLRRRKSCNGKAVSREEQLEAIFTVQGYDSDIMYQGIIEATEDFNPSYLIGSGGYGDVYKAVLPTGRVVAVKKLHRSEDDNKSINLKAFHSEIQALSNIRHRNIVKLHGCCSTARHSFLVYEFVEKGNLRMILSDEEKALVLDWEKRIHVVKGLANALSYMHHECTPPIVHRDISSNNVLLDSDFEAHVSDFGTARLLKPDSSNWTSIAGTFGYIAPGMLFISVSTS >OMO99706 pep supercontig:CCACVL1_1.0:contig06828:7967:10899:1 gene:CCACVL1_03668 transcript:OMO99706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGAGGGGVEWHVRPPNPKNPIVFFDITIGSIPAGRIKMELFADIAPKTAENFRKAGLPVGYKGCQFHRVIKDFMIQAGDFLKGDGSGCTSIYGHKFEDENFIAKHTGPGLLSMANSGPNTNGCQFFITCAKCEWLDNKHVVFGRVLGDSLLVVRKIENVATGPNNRPKLPCIIAECGEM >OMO99711 pep supercontig:CCACVL1_1.0:contig06828:47445:47867:1 gene:CCACVL1_03673 transcript:OMO99711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATLRFSSMLPTPSSSASFSWNPPRMISASSFHCINNKGTERVSRMRVKGMGGGGAKYKGTQMREKKLSEMIEKKVMEAKEVCEGDETSDECKVAWDEVEEENPETDECRVYED >OMO99710 pep supercontig:CCACVL1_1.0:contig06828:40664:44033:-1 gene:CCACVL1_03672 transcript:OMO99710 gene_biotype:protein_coding transcript_biotype:protein_coding description:BUB1-related protein MDPETEFLASKRETGVEWELFKENVRPLKRGRNIELLNHSLKAHVNDQVKKSLLQNRRRLIEAIDEYRGEDPLQPWLDCIKWVQEAFTSGGDYSGLVLIYEQCVRAFWHSERYKDDLRYLKIWLEYAEHCCDAEVVYKFLDANGIGKTHSAYYVAYALHMESKSKMKAANDIFNLGLSSNAQPFEKLRDAYKKFLARSIRRSNSIEEELKEDDLPVRNFGTVLARGESRKQTNKSFDLGKNKLKHDQVHRTPLSVFNDNVDVMTGHHSKPMTSSNSWQTLGSRAERNKENNQVPTKWTSYKIPQRPIPRTTAAVPSAPIEVFVDEECAEKPTVHQEKDGTSSLQLRELDGRNIKRETELLRENPLRNFPSNSLPR >OMO99712 pep supercontig:CCACVL1_1.0:contig06828:49474:56449:-1 gene:CCACVL1_03674 transcript:OMO99712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLGGGAEAHARFKQYEYRANSSLVLTTDNRPRDTHEPTGEPESLWGKIDPKSFGDRVYKGRPPELDEKLKKSKKKKERDPLSEPAPVRQSKRRRIREESVLNVTEEGVYQPKTKETRAAYEAMLSLIQQQLGGQPLNIVSGAADEILAVLKNEGIKNQDKKTEIEMLLNPIPIQVFDQLVSIGKLITDYQDGGDAGGGSTGNGDEGLDDDVGVAVEFEENEDEEEESDLDMVQEDDEDEDDGVENGAGGMQMGGGIDDDDMHEANEGMSLNVQDIDAYWLQRKISQAYDQQIDPQQCQKLAEEVLKILAEGDDREVENKLLLHLQFDKFSLIKYLLRNRLKVVWCTRLARAEDQEERKKIEEEMMSMGPDLAAIVEQLHATRATAKERQKNLEKSIREEARRLKDESGGDGDRDRRGLADRDTDGGWLKGQRQLLDLDSLAFEQGGLFMANKKCDLPLGSYRHLSKGYEEVHVPAQKPKPLEPNEKLVKISEMPEWAQPAFKGMQQLNRVQSKVYETALFSAENILLCAPTGAGKTNVAVLTILHQLGLNRDSDGSINHNNYKIVYVAPMKALVAEVVGNLSHRLEAYGVTVRELSGDQTLTRQQIDETQIIVTTPEKWDIVTRKSGDRTFTQLVKLLIIDEIHLLHDNRGPVLESIVARTLRQIETMKEYIRLVGLSATLPNYEDVALFLRVNFTKGLFHFDNSYRPVPLSQQYIGITVKKALPRFKLMNEICYEKVMAVAGKHQVLIFVHSRKETAKTARAVRDTALANDTLSRFLKEDAASREILQSHTDMVKSNDLKDLLPYGFAIHHAGLSRADREIVELLFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYSPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIIITGHSELQYYLSLMNQQLPIESQFISKLADQLNAEIVLGTVQNAREACNWMTYTYLYVRMIRNPTLYGLPADIIVRDEMLEERRADLIHSAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYISHGTISTYNEHLKPTMGIIELCRLFSLSEEFKYVTVRQDEKVELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVYITQSAGRLIRALFEIVLKRGWAQLAEKALNLGKMVTKRMWNVQTPLRQFKGIPNEILLKLEKKDLAWDRYYDLSSQEIGELIRNQKMGRPLHKFIHQFPKLNLAAHVQPITRTVLRVELTITPDFQWEDKVHGYVEPFWVLVEDNDGEYILHHEYFLLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQNFKHFNPVQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFAILRNHQKASDSIMRVVYIAPLEAIAKERYRDWENKFGKGLGMRVVELTGELTLDMKLLEKGQIVISTPERWDALSRRWKKRKHVQQVSLFIVDELHLIGGHGGPVLEVIVSRMRYISSQSDNKIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMLAMTKPTYTAIVQHAKNGKPAIVYVPTRKHVRLTAVDLMSYSKADNEDEAAFRLRSAEELKQFVDQISEETLKTTLEHGVGYLHEGLSSLDQEVVSHLFEAGWIQVCVMSSSLCWGVPLSAHLVVVMGTQYFDGRENAHTDYPVTDLLQMMGHASRPLLDNSGKCVILCHAPRKEYYKKFLYEAFPVESHLHHFLHDNFNAEIVAQVIENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSELVENTLNDLEASKCIAIEDDMDLSALNLGMIASFYYISYTTIERFSSSLTSKTKMKGLLEILASASEYAQLPIRPGEEDVLRRLINHQRFSFENPRCTDPHVKANALLQAHFSRQHVGGNLALDQREVLLFATRLLQAMVDVISSNGWLSLALLAMEVSQMVTQGMWERDSMLLQLPHFSKELAKRCQENPGKSIETIFDLVEMEDDERRELLQMSDLQLLDIAKFCNCFPNIDLSYEIESENVRAGEYVTLQVTLERDLEGRMEVGPVDAPRFPKAKEEGWWLVVGDTETNQLLAIKRVSLVRKSKVSLAFSAPSETGRKTCTLYFMCDSYLGCDQEYNFNVNVMEAAGPDEDSENE >OMO99709 pep supercontig:CCACVL1_1.0:contig06828:36276:39956:1 gene:CCACVL1_03671 transcript:OMO99709 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone dehydrogenase 1 alpha subcomplex subunit 6-like isoform 1 MAFTLRSVKVPPNSVSLEEARSRVFDFFRTACRSIPSIMDIYNLDDVVTKSQLRSTIAAEIRKNAHVTNPKVIDLLLFKAMEELNNITEHAKQRHHVIGQYVLGRQGLVQDLDAKDDSASDFLKNFYKSNYF >OMO99708 pep supercontig:CCACVL1_1.0:contig06828:29510:33742:1 gene:CCACVL1_03670 transcript:OMO99708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCRIPFEVGQLVEMKSFLSGYRGAWFRCKIMEFGVKNKVHMEYIDYPDDGIKKETLYEKEIGRNDRKNGNLTLMLRPRFPRVYRESEMPNMNNISEVVVIVNDVWKVGDLVDWFTTGCFWCGKITEILGDEKVKIELLPPPAGEGSSYEVLCKELRPSLDWSVDKGWCIPKGSKDHIFSARIVKPDNQGNSPNLINHTVSLGEKNVQAIGAASISQKGSDKAEHPKKIKIDQSIPLNSTSSDTTETAILDLEELICRVNWLKQILEFGMPLSETGEASWKFVEHGAPSNKPK >OMO55803 pep supercontig:CCACVL1_1.0:contig14587:806:2759:1 gene:CCACVL1_26989 transcript:OMO55803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNGILKGENFMFSMSDPMGRKAIQDGLDFDLRVCIEEKKQAAADVLFQYSKFAMACIGNQVRPCDLRLHLMKEISGMPTSLKRHSPQAAATAAAASPDAMGESSSSGNPELVQFEKVERTESSP >OMO55804 pep supercontig:CCACVL1_1.0:contig14587:7627:9444:1 gene:CCACVL1_26990 transcript:OMO55804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDNVLYAASNGERVLSKAGRSSNLK >OMP03237 pep supercontig:CCACVL1_1.0:contig06111:9161:9280:-1 gene:CCACVL1_02493 transcript:OMP03237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERERDRPFILFHLLQFPLLRRYYRLRRDNAILFHKLNK >OMO52092 pep supercontig:CCACVL1_1.0:contig15606:3168:3932:-1 gene:CCACVL1_29362 transcript:OMO52092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLVLEEVPLQLCHDSFFMEIGNLWGSFITLDESTHCKSRFDLARILISVKRSAKLPAKIVASHNNRKFEFSISVEVDKDFISMNFDRRLLATGNRNSGEGSSFSDDSSNFELAVEKDACMVDMVDGHVNGDLGNCSTFREEALMDECFIGRGTLNYQETCEDNSLEEDGVLNKAKDIGTSSIHGGDILM >OMP00020 pep supercontig:CCACVL1_1.0:contig06742:11281:11767:-1 gene:CCACVL1_03497 transcript:OMP00020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPIFTLCDPASCLNGEPLDYLGAGQPILSNRALGLR >OMO85534 pep supercontig:CCACVL1_1.0:contig09607:26928:27292:1 gene:CCACVL1_10126 transcript:OMO85534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTGSSTALMDWVESPTAHIFKLNVPGYGKEDIKVEIEDGNILHIKGEGKDESYANAKDLACSREGHGEIIG >OMO85533 pep supercontig:CCACVL1_1.0:contig09607:26088:26396:1 gene:CCACVL1_10125 transcript:OMO85533 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit 2 MTSMDLDDDDIFDEPFDMREIGEGYLKSFCRQTVVAFFNQYGLISHQIYSYNDFIRYGLQRTFDSFGEYEIQPGYDPSKKGDSDWRHAKVKFGKVSVERPTC >OMO85529 pep supercontig:CCACVL1_1.0:contig09607:4916:10736:-1 gene:CCACVL1_10121 transcript:OMO85529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin ClpA/B MWTSFKQKIKRWRRGEASANNAFNKKATTNRRKKGTKWCCPWSRSNNLPVAFSPIQPQLDDKSYNGLIFWSDEGKATNLFNLLPSDILFEEILARIVTDDEGPQNLCRLSCVCKFFYDASNEAETLKKVKLSFFKYVRVERMSYQNFVSKCANVGNMRAIAALKSLGTFIISVDGETYFLNERRYASYFVGMILFESPNTREEAVYCFAGEGLSPDSESLTYFRINARRQSYTEENMEKLEKQQSVKLDMLNKYATDLTKMAQEDKLDPLVGRSKQVERVTQILCKRRKNNPCLLGDPGVGKTVIVEGLAQNIIKSMVPSKLKGKKIFAMDMGRLIAGASNRGEFEERLTMVIDEVKQSEGSVILFIDELHTLIGAGSSGALDAANILKPALARGELKCIGATTLDEYRKYIEKDSALKRRFQRVEVPEPSVDEAVQILKGLSKKYETHHNVIYTEKALIAAAQLSHQYISEGFLPDKAIDLIDEASARVQLWEEQIPPKTQILTEDHIQAAVALWTGIPVEKVSSEDSLKLLNLESTLQKKIIGQNEAVEAISRAIRRARVGIRDPSRPIGSFLFTGPTGVGKTETAKALASEYYGSKEAMIRIDMSEYMEEHTVSKFLGAPPGYIGHDDGGQLTEAVRRRPYSVVLFDEIEKAHRDVFDALLQILDDGRLTDSKGHLIDFKNTIIIMTSNVGGNLINGKRQKQLGLDQINMRVGDELKKFFKPEFLNRIDEVIVFHKLTNQELKQIADVTLKEVSDRVMKSKNIKIVVTEGFKDKVVKEGHSLSYGARPLKRAIVRLLEDTMAENILNGHIKEGDEVTVDDIMGNVTFFKELK >OMO85532 pep supercontig:CCACVL1_1.0:contig09607:18819:23703:-1 gene:CCACVL1_10124 transcript:OMO85532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTSFKRWRRAEASANNVSNKKSTTNYRREKGTKLWCWPWSRPNNLPLAFRPIQPDLDDKSHKLGLLFWSDEGKAANLFNLLPSDILFEEILVRIVPTFMCDLGTFRIDVDGETHFLNERLYASYFLGMILFESRTTRGESKSCFVAKGHCPYSESFIYFRRNARRLFLKLGIDRPLRRVEIRCSFGFCECQRMKEGYALDQLASDLFVGMTCSSSYHYELLRIASVAAFSEENELALVMWRSFKQKLKCWRRGEGSANNVSNKKTSTNRRGKGSKGSIANNLLVASRPNDEPELDDKSHNLGLVYWSDEGKEASLFNLLPSDILFEEILARIVIEDELGPKTLCRLSCVCKFFYDASNEAETLKKVKISFSKNVKFEPICYENFVCKCATVGNLRAIAALEVLGNFHIDEDGESHYLNLVMILNVLYASTLIEIITCLWLPVFIIFTIFTVAIEGNGRSFLLKLGIDRPLRRAEIPCNFGFCECQRMKEGYALDQLEFDLFVGMTCSLSYHYELFRIASVAAFSGKNEE >OMO85530 pep supercontig:CCACVL1_1.0:contig09607:12275:12364:1 gene:CCACVL1_10122 transcript:OMO85530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVHVNMKSSTILVNMKSSKDLQMRPS >OMO85531 pep supercontig:CCACVL1_1.0:contig09607:13354:16564:-1 gene:CCACVL1_10123 transcript:OMO85531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTSFKRWRGAEASVSNKRSTTPNRRGKGTKAHFIGIWYWPWSRAKSRPIQFQPELDDKSCNCNLGLVFWSDEGKVANLFNLLPSDILFEEILARIVTEDEGPQNLCRLSCVCKFFYDASNDADTLKKVRISFSKDVRRQPICYKNFVSKCATAGNLHAIVALTQTYLLLVYSHVDILEALAAC >OMO50451 pep supercontig:CCACVL1_1.0:contig16178:702:3186:1 gene:CCACVL1_30430 transcript:OMO50451 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MDRYQKVEKPKPEAPINENEIRITSQGAIRSYINYAIALLQDKHVKEIVLKAMGQAISKTVAIAEIIKKRIPRLHQDTAISSVSITDVWEPMEEGLVPVEMTRHVSMISITLSTRELNKNSAGYQAPHNVEQPNPQNHYQQQQPPKKARIPYNAVYEDSYGRGRGLRRGRGQGWTRGGYGNYQDNGGYSNWGRGGRGRVWGYRGTGYERGRGGGGRGYSRARGRMGGSRSRGGGVGY >OMO50452 pep supercontig:CCACVL1_1.0:contig16178:4338:4415:-1 gene:CCACVL1_30431 transcript:OMO50452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMIEIQSTETAIRRSMVTGAGDS >OMO69665 pep supercontig:CCACVL1_1.0:contig12002:908:3475:-1 gene:CCACVL1_19353 transcript:OMO69665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKKSEVQIGKESSGVSSDFNPKPSTVHHHHHLPYHLQQHLNYHHRLQQQTDTTTAEAAAETTTTTATATKTTPIAVFPQIITQNPPENDTIAPPPSSASSSPTPYKRPLLTQTRYLTKSPTLYRFTTPQHFNSHPNTSFFSFSVAAKSSIYRILRRFKHLRRLRVHLRLILLLSLPFFYFLVSHPSHSFILDFLSAFAFSAALLFSLNLALPRLPSIRLFLARSFPIKLKSSSPLSRSHLPVFWSIGSRPKSEKRPNSGCWVQVYSNGDVYEGEFHKGKCSGSGVYYYYMSGRYEGDWVDGKYDGYGVETWARGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWSNGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYRFANGHRYEGAWHEGRRQGLGMYTFRNGETQAGHWQNGILDVPSTQNTTYPVSPVAVYHSKVLNAVQEARRAAEKAYDVAKVDERVNKAVAAANRAANAARVIAVKAVQKQMLHSNNNDNVPIPIV >OMO69668 pep supercontig:CCACVL1_1.0:contig12002:34389:43198:1 gene:CCACVL1_19357 transcript:OMO69668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRSVKRGAASAGPRRTARSTRGASKSQNQSLEPVEEPVKAGDVPAPREEDFIALRNVPAPREEEEEEVVEDGKADEKPIAEDKGFVAVEDTGIDLNSNGLVTTKKENESKESVEEYEKDERLELDDNEYEPEEYSGVDYDEKEMDPDEVGVEEDPEEQDPEQKEGDLSDDEDVQEVEVEGEEEDDEHAGEEVEHADLDDAVEHEERHDVVRERRKRKEFEVFVGGLDKDATEDDIRKVFSQIGEVVEVRLMMNPQTKKNKGFAFLRFATIEQARRAFTELKNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVDNVEDLTLVEDTNNEGMNRGFAFLEFASRSEAMDAFKRLQRRDVLFGVDRPAKVSFADSFIDPGDEIMAQVTTIFIDCLPPSWDEDRVRELLKKYGEIEKIELARNMPSADRKDYGFVTFDTHDAAVTCAKSINNTEIGDGDSKAKVRARLSRPHQRGRGKHIGRDSYRSGRGSGRVVRGSWSNSTPRGYPPRGVRGITSRVPPPSLKRPVGLRDRRPIMSAPSRSRPLAPPPRSYDRRAPPVPPYPKSSLKREYSRHEELPPPRSRAPVDYGSRVIPERRPSYREEYSSRSSGYSDLPRNTSRTAARRPYVDDAYGQRFERPPPSYREARARDYDSMSGSKRPYSAMDDVPPRYADASARHSRARLDYELSASAPPYGDAYGDRLGRSSLGYGGRSSMSSQDSHGLYGSRQGMGYGGGSYSGSDVGGMYSSSGYGGDYLPRGSDVGGSSYSSMYSSRVDRSMPSATASLCCRKKDLRLKYYVKEAVCWVKLFLVIFSCWMAYLSFSVAFKRNSDTISFSSSERLRSDEEEKQDESGCCRGIEHLELWGDAVKWGSEFKVNSSEECCKACKEMCNGDDGPCLCDSWVFCGNREACGSRFGECWLKKQKDALNPDRRDSGDRVIWTSGLIFGKGEGIVMLKTEYGFLHVKLLPDCAPRSVAYILELLPLHHCAGCQFYRAESRGNSWDPLGNHIEHATFGPPFALIQGTLEAHGIQFEEIPVEACHTNIRRGSVAWVGSGPEFFISLANHNEWRKTYTVFGYVLPEDMEILEKIVELPTIPEVWSNINVSVLERPIPLRFLRMKEKVLEK >OMO69669 pep supercontig:CCACVL1_1.0:contig12002:50778:52032:-1 gene:CCACVL1_19358 transcript:OMO69669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSNVDEASGQEAQHPNAQLNLPPSNLRNNGATPSSSTKKPKYRLPIEPRQRL >OMO69667 pep supercontig:CCACVL1_1.0:contig12002:21822:24015:1 gene:CCACVL1_19355 transcript:OMO69667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MSTATAAAAAAAAAATQTKFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVMVDGQTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISRPSYENVSKKWVPELRHYAPSVPIVLVGTKLDLREDRQFHLDYPGACTISTEQGNELKKQIGALAYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKHKKKSSICRIL >OMO69666 pep supercontig:CCACVL1_1.0:contig12002:9155:10958:-1 gene:CCACVL1_19354 transcript:OMO69666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II, regulatory subunit MYSHRDRGGGSSKSELVGGPLDRKRINDALDKHLEKSSPSTSRGLNSKDKERSSVPSTSTGKSQLDHRDSRSAALSKAKCSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMSAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNKLTL >OMO87477 pep supercontig:CCACVL1_1.0:contig09232:1336:4385:-1 gene:CCACVL1_09005 transcript:OMO87477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSEAAKIIEYSQVSFASWERTELTPSIPGP >OMO87479 pep supercontig:CCACVL1_1.0:contig09232:16926:18942:-1 gene:CCACVL1_09007 transcript:OMO87479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical PMDVRPHFRAGNCLYVLGKYREAKEEFLLALESAEAGGNQWGYLLPQIYVNLGIALEGEGEYRAAVKALEEAIFMKPDYADAHCDLASALHAMGEDERAIEVFQKAIDLKPGHVDALYNLGGLYMDLGRFQRASEMYARVLAVWPNHWRAQLNKAVSLLGAGETEEAKKALKEALKMTNRVELHDAIYHLKQLQKKKVKTNGGANGEGAFVIVEASKFKTVGEKTTLRQDLGNALQIRAFQRITRLSRCDVDLLKKEMSESDVPISYSGGGGPQKSIRKPNLEEVLRKLLNFLKPETFQGAVKAINEKILSVLDESGSGRVDLGMFYAVLAPICSGPPDKRKRIAFDALLWRPVNEGSSQIRKVDAIQYIKLLRAIYVPSQGNSEMLEVHGETDSSMVSFNEFLIMFDDPDWGFGIMSTLMKLETGDRNRHGRQVCSVCRYPIIGSRFKEVKSHFSLCNQCYSEGKAINSAQTILLE >OMO87478 pep supercontig:CCACVL1_1.0:contig09232:5021:13880:-1 gene:CCACVL1_09006 transcript:OMO87478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MHIVGVTMSMLLMAILAMMVVMIENIEPSPLSPLSSPPRSLLFQSIDNRNKKQLNEEMELSYGASLQQDDNEKALQEDYGVWDPTPESGGACASPVPHAEYSRRLISEFDLQPTADLCWLACLLEGLNMSSRSRSSSRSRSRGMSRSRSRSPRDRRTRSHRFPQRDAPFRRESRHGFSQGNLCNNCKRPGHFARECPHDLVCNNCRLPGHIASECTTQAQCWNCREPGHVASHCPNEGICRSCGKTGHLARDCPDPEKQSGDTRLCNNCYRPGHIAADCTNDKACKNCRKTGHIARECDNDPVCNLCNASGHLARQCSKGRILAERSGTGGRCNSGYDDVVHQKRPTDHLASLKEEPIGEGASTNKTISVSDNSQLWIHENSGLLLPVFRVMGVDDQAWDGLKETAGVSTQARHHVGSFLKLLSEETDGAASGRREKELALLKVVDATMLSIESSVTACNEDIDRSRDYEINSRERYGFSKELTGAGADPKVTTQGTTSHISNEDQEDIDGSGSRISEKRMEEAELLTEERKVTVLYELLSACVADHPGDHGPTGYDARHRMALRLVALWLNVKGIKMEAIETMVASSLMEMVELKNDDEKSTIGDWKREGIIGAAALTGGTLMAISGGLAAPAIAQGLGALAPTLGSIVPAVGAGGFAAAASATGSVTGSVAFAASFGAAGASLAGNKMAKRTAGLDEFEFQQIGKDHKRGSLAVGISVSGIISREEDFFRPFEDLNDNLERYILKWESKNLTALNNAIQQWLTSSIALNIMQGGAMLTVLSTLVVALTVPAALVTASNLIDNEWGIAVDRSNKAGKALAEVLLDGLQGNRPVTLVGFSLGARVIFKCLQCLAEAKGDNAGIVERVVLLGAPISINDEKWEDARKIVAGRFVNVYSTNDWTLGIIFRASVLSKGLAGIQPVYRQGIENFDATEFIEGHCSYLWMTKKLLRQLDMDYYYPIFRTTAAHAKPQEEKSDQT >OMP08385 pep supercontig:CCACVL1_1.0:contig03807:2541:3904:1 gene:CCACVL1_01114 transcript:OMP08385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSFNINELACENSPFIYSFLSHRTKLQDVRSSLIRQSNEALE >OMP08386 pep supercontig:CCACVL1_1.0:contig03807:6138:7857:-1 gene:CCACVL1_01115 transcript:OMP08386 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 METKEVAETTLLQGQAEIWKFMFGFADSMALKSAVELRIADIIHSHGGAATLSQIASTINNGGSPSPDINCLARIMRLLVRRDIFTVHHPSDSGDPLYNLTDSSKWLLHDSELTLAPMLLMENHPLLMAPWHCFSQCVKEGGIAFKKANGCEIWDFASANPEFNSLFNDGMACTSKVVTRGILLGYKEGLSRIGSLVDVGGGTGSLISEIVKANPHIKGINFDLPHVVSTAPEYRGVCHIGGDMFHAIPNADAVIMKWIMHDWGDEDCIKILRNCKKAIPRENGKVIIVECVVKPDGRGPFDDMAFVFDLLMIAHTSGGKERTELEWKKILEEGGFPRYKIITVPAFPSIIEAYPE >OMO85190 pep supercontig:CCACVL1_1.0:contig09655:758:2139:-1 gene:CCACVL1_10368 transcript:OMO85190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MAATVSRQPQVPKSIKALAAELDSQAPINPIYAFQQTPNIVNDEASKVEDGIIPIIDFGLLTSSNPEERAKTIQELGKACQEWGFFMVVNHGVPESLFQGILDVSAAFFDLPEDEKMQFKGKGILDPINYGSSMNVSVDNVLFWRDFLKVFLHPEFHAISKPEGFSKTAKEYGKKLREIAGEIIKGISKSLGLEEDYFNKALNLEKGLQFMAANLYPPCPQPELAIGLPAHTDHGLLTFLIQNGIGGLQVKHKGKWFNISPLPNAILANIGDHVEIVSNGKYKSIMHRAVVNNKDARISLPMLYGPNLDTVVSPAPPLLDPVTNPPLYKSLTYREYLELQHKSKIDGTSFADHIHIR >OMO85191 pep supercontig:CCACVL1_1.0:contig09655:9875:15513:-1 gene:CCACVL1_10369 transcript:OMO85191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMVPKSDQATKITSVKALAELPGLTSIPSVYNSIASHDDDQITVYPDIHDFSINIPLIDFSLLTSNNPDERFRIIQQLKEACQDWGVFMADPNSITIYLASLPDASTIPPSIPSLNADSASRVTSLPLLSSVNHSSPPKTFSSLQPFHFPPSIQPICSHERSTSTSALPHPWPHSPHYSAIGEYCGCKVSEQALPPIGQENGTNMQASDRKSEHARPRRGGELNEMDGDQGIHHAVGGDDGPASGYGSGGGAHCDGDHARGPKTVPPRRKQKENLHSGKQVSGSHSGWPRNSRSLLLRQVPSAARKRHSGAPNRNSGRVRQSAAFRREVELACKELRPRELQHFCAHEDNFSYNARGAASPQFRRTVRELRDKHLPDIFIVTETRMAGQRAQDIRDSFGFDGMAWVDPKGFSDGICLLWDTAELEAEFVSKSNQEITLVFKVTNERLLQLQIVPHLQGSESSC >OMO85193 pep supercontig:CCACVL1_1.0:contig09655:33078:35030:-1 gene:CCACVL1_10371 transcript:OMO85193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMAASQASVTFDTPKSVKALAAELPSHDNSINPIYAFQETPNIVNDEAISAEDGTLPTIDFSLLISTNPEERSKAIQDLGRACQDWGFFMLINHGVPESLFKAIMNGSAEFFDLPEEEKMQFKGKGILDPINYGSLRVGNVSFWRDFLKVFLHPQFHSINKPPGFRYGNKSCLLEYCGVNWREGILLIAQKICNGVWETGLLFYALNYIFQNYERIYCL >OMO85192 pep supercontig:CCACVL1_1.0:contig09655:25124:25351:1 gene:CCACVL1_10370 transcript:OMO85192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVAFYRGKLHRAPKPTPKISLKDFKSLLHIAPTKLSLVSAHLLPPLIITHPNPKPDSKPRLQPPKQNTPPIEP >OMO92148 pep supercontig:CCACVL1_1.0:contig08260:7480:7539:-1 gene:CCACVL1_06915 transcript:OMO92148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EHVDKKSRKSRGVGGDGRE >OMO62927 pep supercontig:CCACVL1_1.0:contig13103:465:1910:1 gene:CCACVL1_22575 transcript:OMO62927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCDRSWMNARLDNVGFLFTEFINGVNEFVDFAFSQHAFVSRNRIKCPCVKCDNRLLQTRNDVIFHLHSKGFTGDYTVWVAHGEDDDDDVVSESNRVDDVAAEPSNSANLVDNSYRRMVIDSCYPEFDSAGGGTSFSEELPNSSAAKFFGLLKDADEPLYSGCNKHTKLSAIAQFLNVKSEFNLSASCYDRIMTIEKDLLPTDEKLPANFYKAKQMVTTLGLSYVKIHACPNNCMLYYSETESMTECVVCGHPRYKPRTQSRDRSKKNNDKYADEMIKKYGSEDAAKNHEFDPEVWSKVVGGVGHEPCNEESSQSAHIGTQAELEEIVKQVLDGVLPQCLQDALRNLGFQLPNPPTSGSTPRDDGVDTDEGEMVMV >OMO51916 pep supercontig:CCACVL1_1.0:contig15678:4640:9442:1 gene:CCACVL1_29503 transcript:OMO51916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NTFAEVEAGAGARSLRLSLGLDLVRQLSHGGKRCRDSNDSENDYLKSLVARNGGNPDLIF >OMO68672 pep supercontig:CCACVL1_1.0:contig12197:5952:6242:1 gene:CCACVL1_19873 transcript:OMO68672 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LRR receptor-like serine/threonine-protein kinase MELATGRRAVDGGEECLVEWARRIMGNGRNGLGRTVIPVVLLGSGLADGAEEMCELLRIGVRCTAESPHARPNMKEVLAMLIKLTNSREDFNYYFS >OMO68675 pep supercontig:CCACVL1_1.0:contig12197:13546:14080:1 gene:CCACVL1_19876 transcript:OMO68675 gene_biotype:protein_coding transcript_biotype:protein_coding description:NTP pyrophosphohydrolase MazG, putative catalytic core MEKSYECPNDKSKDVSLKELRDRLAEFAEVRGWEKYHSPRNLLLALVGEVGELSEIFQWKGEVAKGLPNWSADDKEHLEDELSDVLLYLVQLADVCGLDLGRAALSKILKNARKYPVVVNQKTKSGYN >OMO68673 pep supercontig:CCACVL1_1.0:contig12197:7235:9035:1 gene:CCACVL1_19874 transcript:OMO68673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-type MGDERTISVELALQREMEYRRKINGKRLQLLPLDSGDEILPIQVKFPSPNLNISPRPCGREKLPPPSNPGCLPNISSAPPPPSSALSRNPFSRPNISSGPRILERKQQLGSSSNIPPPQQPQALKNLNHPSNYFCEDCQVPCSGFLNYQQHINSKMHKAKLQASKLGRKDGGGASDSMANEKPYCKLCNVWCSDLNLLRQHLLGQKHKKMQAKLGEIAVEQNRCQLCGIECSSKELLQAHYDGKKHQAELRKLEYAQKGSGEAQNRPKRCKVCDVQCADKDSLQRHLMERTFLT >OMO68674 pep supercontig:CCACVL1_1.0:contig12197:10084:11641:1 gene:CCACVL1_19875 transcript:OMO68674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-type MDEARKEDDIMPLELAIQREMGYRQKIAMLSMKSANDSGLQLKPLQPGLTSHLRLDSHVSEDQKDMFFCEVCQVSCSSAKTYKQHVKGQKHRTNLHFPFKGNDEAATTAVNSRKKCELCGVWCMNESSFNQHLEGQKHKERLQNLELTGNNARSMVKKQRFW >OMO58328 pep supercontig:CCACVL1_1.0:contig14248:20490:21215:1 gene:CCACVL1_25485 transcript:OMO58328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEELVHYKSGPKARTQQRKDERDDKVRSRSSILDSDNEAVEDEDPHPDEHSEDPYDEDEHPDPDNDVDDGWQHQCT >OMO58327 pep supercontig:CCACVL1_1.0:contig14248:2138:13374:-1 gene:CCACVL1_25484 transcript:OMO58327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MKICCCACGGELAGDEVARLNQCCHVRKLSTKIPIVLVFFYVVLALWLASPSSCVAPALTAMFAGQCAIVQVKLNVSASRNLKCKTHVHTCESEECSRKLATDHIPWYWYLALNFADLQGNFLDLNMLSDHSWMYHLLDNNGFPSNDFMRGVKEFLYFAFSQINNVFENTIRCPCFKCDNKELHTRDIIFYHLLSRGFTSGYYTWFAHGEFFNNDNHHRGESSQNVNNNLNEYQRMVMDAGFHDECSWNENDLNNDNCFEETPNPGAARFFSLLKDADEEVWEGCTTHSKLSAVSQLLNCKSESNMSDATYDRLMSIIKELLPKGDKLPSSFYRTKKMMSKLGLSYQKIHACVNNCMLFYKETDSLLECSVCGHPRYKSMKSIGQRQKGIPFKVLRYLPLTLRVRMLYMSSKTAEHMTWHAFNLSPNGELRHPVDGEAWKHFDRTYLSFANERIEGFGVTHNWVKKSIFWELGYWQTNLVRHNLDVMHVEKNVFDNVFNTVMDVKGKTKDNLKARMDLQLYCKRPELELQTHNGKVFKPIATYALSSEHKKLVCQWVKQIRFPDGYASNIGHCVDVEKSQIFGLKSHDCHVFMQRLLPIAFCDFLPRPIWDALTELSHFFRDLRSTELRVEDLEILEKNSVEILRKLEKIFPPSFFDSMKHLVIHLAYEAKICGPVHYRWMYPFERFLHHLKKKVRNRNCVEGSICEAYLIEEISTFCSHYFEINVQTRLNRVPRNDDGGDVDPKGRLSIFTHAGQSFGPTGCRRYLTDDEYNTAVIYVLMNCEEIAPFIEKFDEEVRSNDVDSRLYELASGPSHIATFHKGFNVNGFKFHTEQYGEHKKTMNSGVWINGSCYNDNERDFYGVLVDIVELEYLGEGNKLMLFKCRWFDVERGVQVHPRHGLVKVNHKSRLVSDEPFVLAAQAQQVCYSPYPLNTGPRKDWWVVFKVKARSRYDGVPNRDVNEVSEVESVYQVDRLVPSPIRPSKEIDREGILNSGYLEEVDVIQGHELEEGEESGEESKMAGGKLKFKSKKKKKQIQSGANDRPIVNETVVQQVPTNQESVELSNLLQPSTTISEPSNQAATNPNSSTQPSVEPSSTQIGCDGVVETDDRTREDGIPRTSAPKRKGRGPSRGTVTPSDPSMKTRLTILDGSSFAQEGVIANIGSKLREHYNQPWPTWSQFEKPTKDLLWERFKAIYIWDKDDESLVYKIWNMKCDERLRDGLGKARDQARKKVESNDWNLMKPFNPKWIPPPVWVKLIDDVWSKKEWEDQSEQASANRKSSKDGCISKHAGGSRPFSKHKQDLKEENNGQEPTEWEVFQRTHIRENGSFVDSKSKDANDKYKSLVVEKYGQEAAETKQFDANVWKSAGGVESVGNYMVWVVFRTPKDCMGRRVLRIQKLVLMHLRSKQCFQMKKSRSFSKKLLDEQLAQRLQTLGYRPIDPPISGGTEVPSPSIAANQSGGSENIEARTSTSPNDPIQHRASEGNRANVLDDNGGSHDDGDIGRHW >OMO56307 pep supercontig:CCACVL1_1.0:contig14542:83:8270:1 gene:CCACVL1_26642 transcript:OMO56307 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase, SpoU SNVGGKNYAIITPDASIDATLNALVASGFGAAGQRCMGLSTAVFVGGSVPWEELMERAKALKVNVGSDPDADVGPVISKEVKDRINRLVQSCIDGGARLLLDGRNIVVPGYENGNFIGPTIICDVVSNMECCKEEIFGPVLLCMQAESLEEAITIVNRNKSVNGASIFTTSGYAAKKFQNEIESGLVGINVPVPAPIPAPFSSFNGPKACFAGDLNYCGKSGMHFYTQIKMVAQQWRDLSSLGVSSGLHLSSETDMTSRGVSSALPPSSERDSEYQRVSPAMSPESEGNSPNHTMLLSVAATSERDLSNPGIISLPPTADSDLPNHGASLVIPPASEMDLENPDTSLTMPLGRERALSNQAVSSATSHHPERMYTPQSSQWNETPTLASQRSESIPPPSERIYIPITSQRNSNAAPTVQRSDTAIGLTHERAYLPTSHKNDGMVPVSQRNESMSPTSERMYMMATSHLSDSMGQTYQRTDAPLFPTSERIYIPHRADHMGSTSQRVDVALQPASERLYMPATSQRNDNIASASHRADSMPQNSESMYMQPIVHRNAGSFSYPPQLKLVNQKSNLSVTQTKTQIRRKSPDSRFDDVEDDDIDDGGGGGGYGSSYRHSHASALVVGTTPIREVYRYQESSKEQVVGMDCLLVLDKAEIPEGLDKHSVQVVRVNSMVMRKLSGVQSAESIEAIALMRFPTTFVNLDADLNNSDCHSRFPSTHRILVLDGIQDPGNLGTLLRSAMAFRWGGVFLLPGCCDPFNEKALRASRGASFQLPIVSGHWYHLQTLKDTLNMKMLAGHPDSDGKSKTVSSLSQEFVQSLGHVPLCLVLGSEGRGLSEKSQQECELVSIPMAGEFESLNVSVAGGIFLYMLQPMSLRTRD >OMO56308 pep supercontig:CCACVL1_1.0:contig14542:9020:11845:1 gene:CCACVL1_26643 transcript:OMO56308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MLAGKQQLQQSQLFSLKGSILTLAILTFLSFSYFSFKSLRPSLPVSSPTPQLTLLPSDPTSHVHIAADRKESNVDEGEDDNDDSDLFTDIYHSPKLFKLNFEEMERKFKIYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTDDPDQAHLFFIPISCHKMRGKGTSYENMTIIVQDYLDGLIAKYPYWNRTLGADHFFVTCHDVGVRATEGVPFLVKNAIRVVCSPSYDVGFIPHKDVALPQVLQPFALPAGGNDVENRTTLGFWAGHRNSKIRVILARVWENDTELDISNNRISRATGPLVYQKRFYRTKFCICPGGSQVNSARIADSIHYGCVPVILSNYYDLPFNDILDWRKFAVVLRENDVYQLKKILKNITDEEFVILHKNLVKVQKHFQWNSPPVKYDAFHMVICASSVPNTKG >OMO56309 pep supercontig:CCACVL1_1.0:contig14542:12372:16790:-1 gene:CCACVL1_26644 transcript:OMO56309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Natural resistance-associated macrophage protein MAALVQIHETPKWKKLLSFVGPGFLVSVAYLDPGNLETDLQAGADHKYELLWIVLLGLAFAFIIQSRSANLGVVTGRHLSEHCKMEYPKPVNYCLWILAEVAVIAADIPQVIGTAFALQILFKVPMWIGVLLAGLNTLLLLGLQRYGIRKLEIVIGVLVLVVGGCFFSVMVRARPDPKEIMTGMFAPKLSSVEATKNAIALLGALIMPHNLFLHSALVISRKIPHSFEGIRNASKYFLLESGIALFFAFLINVAVVSVTGSVCFHPNLSPENRTHCKNITLDSAVSLLKNALGEWSSKLYAISLLAAGQSSTVTGTYAGQYIMQGFLEMKLELWLRNLVTRFIAITPSLLVCIIGGSSGAARLIIIASMILSFELPFALIPLVRITSSSAKMGCHKNSIPVTLITWLLGLGVTGINIYFLSTSFMGWIKNNRTGNKGIRSIVAGLCVFPIMMLYIAVLAYLTFKKERDLSGIADLRMNSSHGSSAISSIAGSENGSFPMTLQRADENEDVIVSA >OMP04721 pep supercontig:CCACVL1_1.0:contig05679:1061:7950:-1 gene:CCACVL1_02141 transcript:OMP04721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin/Phospholipase A2-related protein MATDGELITILSIDGGGVRGIIPGTILAFLESQLQKLDGENARIADYFDFIAGTSTGGLVTAMLTSPNENNRPLFAAKDINKFYLQASPQIFPQESEESQELVAMHSLNWYTTITKWVKLVWNKYSDQVYHSVEAFIRWIERAALRPKYDGFYLHKMIREMLGDKKLSETLTNVIIPSFDIKLLQPIVFSTLKARRDDLHNAPLGDVCISTSAAPYFLPPYYFQINSSKGTKKFNLVDGGVAANNPTLLAISEVTKEMSLNRKDPCLNNMDYSKLLVLSLGTGSSKRDEKLEVGDGQTWGLFSWFMGPNGTNPLFDVVLTAMDDMVDIYLSVFFQNSRFKDNYLRIQIDSLKYTQAATDNSSIENLENLEKIANELLKKPVAAVNLETGLPCDCQMKGGVDKLKALASCLVNFHVPIVSKPDSNSSSDTKGKVDVKKAITRITISIVCLEGKLTTILSIDGGGVRGLIPAAILAFLEAQLQKLDGEDARIADYFDFIAGTSTGGLVTTLLSSPDPNDPKNRPFSGENIIKFYHEESPIIFPQKASKLQPPGAESKVDSAAKELVKAIMEEEGTSSARLPSSVDWLKWIGKIVKFFKFLMYSKYDDIALKQVIEAKVKERKLRETLTNVLITSFNVQLQQPKVFSSLKASRDDLEDASLVDVCLSTSAAPLYLPLHIFETTSGDTTENFYMTDGGVAANNPTLLAITEASKERNLDIMDCSNLLVLSLGTGSTKRNTHIQVTDPDWGPIEWLLQGLGDGSIPLVTDLMDASDSMVD >OMO77598 pep supercontig:CCACVL1_1.0:contig10725:42557:42703:1 gene:CCACVL1_14940 transcript:OMO77598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADFRSSSKKVVLGSNVVEPRHQRDSNCCNEYTKAGQKEENKKLKRM >OMO77595 pep supercontig:CCACVL1_1.0:contig10725:5474:5924:1 gene:CCACVL1_14936 transcript:OMO77595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FCCMVMRIHIDCNGCYRKVRRALIDIQELDTHLIEKKQGRVSVWGRFIPQDIAIKIRKKTNRRVEILEIQEFSINNNEQQQMIMSHEDQKPLISSWNLEANQNHMITSSCVTCT >OMO77606 pep supercontig:CCACVL1_1.0:contig10725:108420:111346:-1 gene:CCACVL1_14948 transcript:OMO77606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAQSFKFKINTLLNKLGDRDTFSLAAAELESVSRNLDATSLSTFLSCILSIDSSDKIGVRKQCVKLISDLAVTYPNSLPHFLPKILSSLIRRLRDPDSTVRSACVAAISALSTNLTKCNFSSSFLKPLSDALFTEQEPKAQIGAALCLAAAIDGSPDPDPARLGRMLLKLEKLLKCDGCKAKAAVLVVIGSVIRSGGASNMGQGLMKELLGCLIGFLNSGDWATRKGAAEALGTLAMVERDSLAEFKADCIKVFEARRFDKVKAAREVMGKMLDAWKQVPDVSEEASPPPRSHTSSKEDASDGRYPLDSKISSNANVVVPEMRKKPTLTTRSTSLGSSFATTARKRNTSKETIQEVNGKKPLDQKLEIAVPHAASLSGTHEYSCKEKSGNASKGRNNGNIKSAKSEIRRALFNNKNSDDKMHNFGCSKSGSRVAPCHEETPESTVVVGNSFENLHVNHKDCENLSVIRSQLVEIEKQQSSLLDLLQRFICSSQTGMHSLESRVHGLELALDDISNDLAVSNRRISTFHRTTCCLLPAAGFWSSKFWRKREGRYSSSRFSVSRSSPVVGTRFRADRNWNAEAFKLENHRLRLHGRGGGLIMNPLAEIDSDVHGHFSQIACQ >OMO77605 pep supercontig:CCACVL1_1.0:contig10725:104769:106412:1 gene:CCACVL1_14947 transcript:OMO77605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHQALTRSNIIRNVLPRHEQGGVFAAEGYARSSGLPGVCIATSGPGATNLVSGLADALLDSIPIVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDIDDIPRIVSEAFFLATSGRPGPVLIDIPKDIQQQLAVPNWNQPIRLPGYLSRLPKAPGEAHLEQIVRLVSESKKPVLYVGGGCLNSSEELRRFVELTGIPVASTLMGLGAFPTSDDLSLQMLGMHGTVYANYAVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHLSICSDVKLALKGINRILESKGAKLNLDYSAWRQELNEQKLKYPLNYKTFGEAIPPQHAIQVLDELTNGNAIVSTGVGQHQMWAAQFYKYKRPRQWLTSGGLGAMGFGLPAAIGAAVANPGAVVVDIDGDGSFIMNVQELATIRVENLPIKILLLNNQHLGMVVQWEDRFYKANRAHTYLGDPSNESEIFPNMLKFAEACGIPAARVTRKEHLRAAIQKMLDTPGPYLLDVIVPHQEHVLPMIPSGGAFKDVITEGDGRTKY >OMO77600 pep supercontig:CCACVL1_1.0:contig10725:70489:74225:1 gene:CCACVL1_14942 transcript:OMO77600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MSGSGGKLSSSSSELDLDRPNIEDYLPSGSSIHEPRGKLRLCDLLDISPTLTEAAGAIVDDSFTRCFKSNPPEPWNWNVYLFPLWCFGVAVRYLILFPARVIVLIIGWIIFLSSFIPVHFLLKGHDKLRKKMERVLVELICSFFVASWTGVVKYHGPRPSIRPKQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVTKKLREHVQGVDNNPLLIFPEGTCVNNQYSVMFKKGAFELGCTVCPVAIKYNKIFVDAFLNSRKQSFTMHLLQLMTSWAVVCDVWYLEPQNLKPVETPIEFAERVRDIISVRAGLKKVPWDGYLKYSRPSPKHRERKQQSFAECVLQRLEEK >OMO77602 pep supercontig:CCACVL1_1.0:contig10725:92170:92316:-1 gene:CCACVL1_14944 transcript:OMO77602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVGLSSVRRRLGGALEFSRCPYSLVNRHPRSPSPSTAKSLFRFLDD >OMO77601 pep supercontig:CCACVL1_1.0:contig10725:75095:91148:-1 gene:CCACVL1_14943 transcript:OMO77601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 31 MADSEVKAAASDSTSGKMIFEPILEDGIFRFDCSENARDAAYPSLSFVNSKDRDVGIKSNKVPLYVPSFECLSGQQIVKIELPEGTSFYGTGEVSGQLERTGKRIDLRIECRIQFIASPSFPVITFGPFPSPSAVLISLSHAIGTIFMPPKWSLGYHQCRWSYESEERVLQITRQFREKDIPCDVIWMDIDYMDGFRCFTFDKERFPDPKSLVKSLHHIGFKAIWMLDPGIKHEDGYFVYDSGSEHDAWIEKADGTHFVGDVWPGACVFPDFTQSKIRSWWANLVKDFISNGVDGIWNDMNEPAIFKAVTKTMPESNIHQGDNELGGCQSHAYYHNVYGMLMARSTYEGMKLADKRKRPFVLTRAGYIGSQRYAATWTGDNLSNWEHLHMSISMVLQLGLSGQPLAGPDIGGFAGNATPKLFGRWMGIGAMFPFCRGHSEADTINHEPWSFGEECEEVCRLALKRRYRLIPHIYTLFYMAHTRGTPVATPAFFADPKDPNLRELENCFLLGPLLVYASTMPDLGSDKLQVSLPKGIWLSFDFDDSHPDLPALYLQGGSIIPLGPPLQHVGEYNRSDDVTLVVALDEHGKAKGILFEDDGDGYGFTEGEYLLTHYIAELKSSTVTVRISETEGLWKRPDRRLHVQLLIGEGAMLDKWGIDGEAMQIEMPSEIEVSEMISARKVQQRIRLASIKLIPDVEDVSGPKGGELSKTPIVLENGCWSLQIVPWIGGRIISMVHLPSGRQWLHSRVDINGYEEYSGMDYRSAGCSEEYHVIQRDLEHAGEDESLLLEGDIGGGLILQRQIAIPKDNSKVFEVDSRILARKVGAGSGGFSRLVCLRVHPTFSLLHPTESFVAFTSIDGSKHEVWPESGEQHYEGDLLPNGEWVLIDKCVGLGLINRFNISEVHKCFIHWGTGTVNLELWSEDRPVSKESPLRICHEYEVVEIS >OMO77604 pep supercontig:CCACVL1_1.0:contig10725:94210:103667:-1 gene:CCACVL1_14946 transcript:OMO77604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPMRIGHALLTDTVRQSQPSWAASSDWTQVEGAWVLRPKRSEPKSVVHFIGGIFVGAAPQLAYRLFLERLSEKGILVIATPYASGFDYFYIADEVQLKFDRCLRFLQDTVHDLPTFGIGHSLGSVIHLLIGSRYAVQSSGNILMAFNNKEASLAVPLFSPVLVPMAQSIGPFLSQIASSPTIRMGAEMTLKQIENLSPPIMKQVLPLVEQLPPLYMDLVKGREEFIPKPEETRRLIKSYYGISRNLLIKFKDDTIDETPKLAQVLSSESAISSLLDMSIRLLPGDHGLPLQQALPDVPPAMADAVNRGGELLANLTVGTPWETVAKEVSNTLGVDSTVLRADTSKDMDLLVDVITSWMASNSGPKLLRP >OMO77599 pep supercontig:CCACVL1_1.0:contig10725:53125:58466:1 gene:CCACVL1_14941 transcript:OMO77599 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase I MAVEAPPAKNVYDFDGEDEGPLVFKRSNTSSSKQNQLNSELKKASSQRSEGQSGRQASDVRSPNGQSSNVQKNKTISSSKSPPVKSPIMSPKASSSSSKASLVKSPVANSKASTSSSDQSEQALKRNMATAVKDERSPTKDTAEPKIEEDDDDNKPLSSRLKGTSNQGNKGASTSASAQSQQLVPKTESKDSDEDPDDEVPLATRFNRKSNPGTSSSKPYESDEKKPLAPKTQQNGFVIKDKQQKSSLLSGKRPLDKGNPSDQSSAKKPKISTTPTTFKSKQGTVKAEKADEDDDHIPIAQRMRKSLSDNKPSFKPTKVVSSSFKKINKKSKKDMKSSKYIKSTKVPPSSGDGQKKWSTLVHNGVIFPPSYKPHGVKMLYDGQQVDLTPEQEEVATMYAIMKDTDYMNKEQFKKNFWEDWSNLLGKTHIIKDLKKCNFDPIYEWHLQEKEKKKQMSSEEKKALKEEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPSDITINIGKDAPIPECPIPGERWKEIKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARMLKGYIKNIRAAYTKDFTTKDVMKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVGNVECIPPNKLKFDFLGKDSIQYVNTVEVELPVYKAIGQFQTGKSKSDDLFDKLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEMLNKETKEGDVAEKIAVYQRANKEVAIICNHQRSVSKSHGAQISRLADKIKELKDVLKELKLDLDRAKKGKAPLKDADGKQKRNLTPEALERKIAQTNAKIEKSERDMQTKEDLKTVALGTSKINYLDPRISVAWCKRHEVPIEKIFNKSLLAKFAWAMDVDPGFRF >OMO77607 pep supercontig:CCACVL1_1.0:contig10725:112187:116403:-1 gene:CCACVL1_14949 transcript:OMO77607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLLSLKQLSSTFNKVLTETSSSSSLLHSLPYKTFSSIAKPTTQKQFLNSPFHAHTFPWSLKGEIQGFVSYPLVAKRFWLNFSNAHLKISGRTLLDCRVWFLRPQIPKGGFDLGFQKSRWRSWFHRLTASDMVLGLIVTNVAVFLLWRIADRKFMVNNFMVSLDNFKSGRLHTLITSAFSHIDTEHIVSNMIGLYFFGSSIGRNLGPEYLLKLYLAGAIGGSVFYLVHHAILALSSKGQAIWRMDPSKTPGLGASGAVNAIMLLDIFLNPKATLYFDFIIPVPAILLGIFLIGKDILRIIEGNSQISGSAHLGGAAVAAIAWARLRRGRF >OMO77596 pep supercontig:CCACVL1_1.0:contig10725:25863:29263:1 gene:CCACVL1_14937 transcript:OMO77596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MADGDFGSQKDCFSAKMALALVLISLLIFLKQPIQELSQHSVWAILTVVVVFEFSIGATLSKGFNRGLGTLSAGGLALAMAELSQLAGEWEEIVIIISIFVIGFFATYAKLYPTLKPYEYGFRVFLLTYCFITVSGYRTGEFIHTAVTRFLLIALGAGVSLVVNICIYPIWAGEDLHNLVAKNFMNVATSLEGCVKGYLNCVEYERVPSKILNYQASDDPVYSGYRSAVESTSQEEALMGFAIWEPPHGPYKSLNYPWKNYAKVNGALRHCAFMVMALHGCILSEIQAPPERRQVFRQELQRVGAEGARVLRELGSKVKKMEKLGHVDILYEIHDAAEELQNKIDRKSYLLVNAESWEIGNRPHTVAEPQDLINFDNEEHNVLAHKSLSQPVLDLRSVNISSSWDVPKTIVGVNPAVAPSPASKDFLNKQISWNARGSFIADPIPQLEESKTYENASALSLATFTSLLIEFVARLQNVVDAFEELSEKANFKEPDELLAAARDPVAVGIWSRLFRCLKF >OMO77603 pep supercontig:CCACVL1_1.0:contig10725:92748:94028:1 gene:CCACVL1_14945 transcript:OMO77603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide repeat-containing protein MTITTSSPLARFHVTSLKSNPCSQIFKNKRRLWKRKRDDKECLSLEKEKENFVDCASTKGKLKPQLLREMNNSQGLVCFADNELVWEEMLTATNTTFTPWIQVVMDSYGKMGHFHKVNQIVDQVILHRLDLLPEVYPVAISCFGKHGRLDLMENAVKDMVLRGLPVDSATANAFVRYYSVFGSLIEMETAYGRLKRSRLVIEEEGIRAMSFAYIKQAKFYKLGQFLTDVGLGRRNVGNLLWNLLLLSYAANFKMKTMQRQFLKMLDSGFLPDVTTFNIRALAFSRMSMFWDLHLTIQHMKHLSVVPDLVTYGCVVDAYLDRRLGRNLDFALNNMKNVDDDSPLVLTDPLVFEALGKGDFHSSAEAFLEFKTQKKWTYRHLIAVYLKKHFRRDQIFWNY >OMO77597 pep supercontig:CCACVL1_1.0:contig10725:31686:32096:-1 gene:CCACVL1_14938 transcript:OMO77597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPVFRNVIGRAFSLGLWKDLGL >OMO50600 pep supercontig:CCACVL1_1.0:contig16112:28081:28170:1 gene:CCACVL1_30356 transcript:OMO50600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNMKTHSPPHLPPYSAPPATTNTPSSD >OMO50598 pep supercontig:CCACVL1_1.0:contig16112:99:861:-1 gene:CCACVL1_30354 transcript:OMO50598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTLLSGFSWKCHHQQVGPYCSFAAENRSSRAKAVAVDKQKKGRRSILVSGVSVAVLGFPPGEGLAVVKQGLLAGRIPGLSEPDEQAIANNIVLRQVGGHIADPMISPEGMASDGVLSFLMPSQCLKIGK >OMO50599 pep supercontig:CCACVL1_1.0:contig16112:17133:20651:-1 gene:CCACVL1_30355 transcript:OMO50599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MSNKTRSFSAHTHNTSCTRTHQIGALLLLTATFFFTRLFDQSFPPSPCNLDQERPSSNVNLRVAKTDDGGHLLWPERGYGSHLSLKIYVYSENEIDGLKHLLYGRDGTVSTNACLKGQWGSQVKIHKLLLESRFRTRKKEEADLFFVPSYVKCVRMLGGLNDKEINQTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFKSWATYINRSIILTPEGDRTDKRDTSAFNTWKDIIIPGNVDDGMTKAGATVVQPLPLSKRKYLANYLGRAQNKVGRLKLIELAKKYGDKLECPELQFSGSNKLGRVEYFQHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDQVELPFQNVIDYTQISIKLPSTLIGPELLEYLASIPDEVIEGMIARGRQLRCLWVYAPDSEPCSTMRAIMWELQRKVRQFHHSAETFWLHNGSIVNRDLVEFTNWKPPMPLP >OMO75773 pep supercontig:CCACVL1_1.0:contig10983:17872:24239:-1 gene:CCACVL1_16047 transcript:OMO75773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARFLQDRDKKEIPELLQQIQPYPLAL >OMO75771 pep supercontig:CCACVL1_1.0:contig10983:8467:11614:1 gene:CCACVL1_16045 transcript:OMO75771 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ubiquitin-protein ligase E3 component (UBR1) MARPKAEDAKSPKFQIPKFPKFKSIRFLLFLNHLVFFPFCLFQATRTSELTLTPLLDLDSARRPVEILRTPDTFIDQIEFIVRLPMIFHYY >OMO75770 pep supercontig:CCACVL1_1.0:contig10983:1286:6607:1 gene:CCACVL1_16044 transcript:OMO75770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MDSKSPLENLHSIEPAISSSSRRSNFSIQSKASGGNSIREVNFSDLGSRPVRYGSRGADSETYSMSQKEINDEDARLVYINDSEKTNERFEFAGNSIRTGKYSILTFLPRNLFEQFHRVAYVYFLVIAILNQLPQLAVFGRGASIMPLAFVLLITAVKDAYEDYRRHRSDKIENNRLASVLVNDQFQQKKWKNIQVGEVIKIHANETIPCDMVLLSTSDSTGVAYVQTINLDGESNLKTRYAKQETLLKIPEKEKVTGLIKCEKPNRNIYGFQANMEIDGKRLSLGPSNIILRGCELKNTAWVVGVAVYAGRETKAMLNSSGAPSKRSRLESQMNLEIIFLSLFLIALCTVVSVCAAVWLRRHRDELDYLPFYRRKDFSDPDEDEKDYNYYGWGMEIFFTFLMAVIVFQIMIPISLYISMELVRVGQAYFMIRDTEMYDESSNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIWGVDYSGGKVTSQEQLDGYFVQEDGKVLRPKMKVKTDPELLQFARRGKETKESSHVHDFFLALAACNTIVPLIVETSDPTVKLIDYQGESPDEQALVYAAAAYGFMLIERTSGHIVIDIQGERQRFNVLGMHEFDSDRKRMSVILGFPDKSVKIFVKGADTSMFSVIDRSYNMNVLRTTEAHLYSYSSLGLRTLVVGMRELSTSEFEEWHSAFDAASTALMGRAALLRKVASNIENNLYVLGASAIEDKLQRGVPEAIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTSKMTQIIVNSNSKDSCRKSLEDAIIMSKKLTTISGATNSTGGTSGVDLTPVALIIDGTSLVYILDSELEEMLFRLACNCSVVLCCRVAPLQKAGIVALVKNRTSDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVLVLFWYVLFTCFTLTTAINEWSSVLYSVIYTSVPTIVVGILDKDLSRRTLLNYPQLYGAGHRQECYNRRLFWITMIDTLWQSAVVFFIPLLAYWGSTIDAPSIGDLWTLAVVILVNLHLAMDVIRWNWITHAAIWGSIIATCICVIVIDALPSLVGYWAIFEIAKTGLFWLCLLAIIVAALIPRFVVKVLYQLYTPCDVQIAREAEKFPSGMTSGAVELEMNAILDPPRR >OMO75772 pep supercontig:CCACVL1_1.0:contig10983:14155:16731:1 gene:CCACVL1_16046 transcript:OMO75772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTPAKEDKVVAGGAGEKDENLLTIHENFDDSELCGKTLAVEKPEAASIGWGSGADNSGSSSVHAPLIEADKETNEENYLQWRLEKGVAEGSTEIPKGEAIPLEYNFAGLNAISFDKGCYVGQELIARTHHRGVIRKRLLPMKFLDNNGKELEGRVAPGSEVINTTSSKKAGIVTTTLASHGMGVLRLDEAFKGSGSLTLEGQEDVKVEAIRPDWWLTEWFQEHQQHSDAA >OMO96604 pep supercontig:CCACVL1_1.0:contig07426:10837:15756:-1 gene:CCACVL1_04866 transcript:OMO96604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVGNNLLKRSKLAADSKLRHPPLRYGGEILYSRTTDEVEKAAGQLLKMVEIKKKEMGHVAVPVGFDIEWKPTFQRGASPGKAAVMQICLDTNYCYVMHIFHSGIPPSLRFLLEDSTIIKYPQVVLMNQQVGVAIGGDASKVFTDYNVSVKALEDLRYLANQKLNRDSYSIALNVRLNISLGKYIKFSVLLLLKPKKIMVGNWELYPLSKEQLQYAATDAFASWHLHQFNSLPSPKSIRHCHLAIFNGRSLKMQSEENNKLDWDQNFTEQVIQSMDAIEASLQPSSSSPSPSSIVKKRKFTEEPKTRRRLPDSIVSQRSSPPFSLSRCRDSKLRYPPLRFGGEILYSRTTDEVEKAARQLLKILEIKKKEMGQVAVGFDIEWKPTFRRGALPSKAAVMQICFDTNYCYVMHIFHSGIPPSLRFLLEDSTIIKVVLTNEQVGVAIGGDAVKVFSDYNVSVKAVEDLSDLANQKLNRDHRHWGLASLTETLVCKEVFIKFSTLKA >OMO96606 pep supercontig:CCACVL1_1.0:contig07426:17645:17953:1 gene:CCACVL1_04868 transcript:OMO96606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6 MEAKFFRFLKIVGVGYKARAEAEGRLLFLKLGYSHEVELTVPPAVRVFCFKNNVVCCTGLDKNRVHQFAATVRSCKPPEVYKGKGIMYIDEVIKKKQGKKSK >OMO96610 pep supercontig:CCACVL1_1.0:contig07426:55662:57683:1 gene:CCACVL1_04872 transcript:OMO96610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosylhomocysteinase MSLLVEKTSSGREYKVKDMSQADFGRLEIELAEVEMPGLMACRTEFGPSQPFKGAKITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEVYEKSGTLPDPASTDNAEFQIVLTIIRDGLKSDPKKYTRMKERLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMAGLENYPGVKRITIKPQTDRWVFPETKSGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKATGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLTKDQADYISVPVEGPYKPAHYRY >OMO96607 pep supercontig:CCACVL1_1.0:contig07426:19250:33380:1 gene:CCACVL1_04869 transcript:OMO96607 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Rad50 MALAKPVRIFVGVLQTIIECLKLSCTGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEYKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKAYKLKLEHLQTLKDAAYKLRESIAQDQEKTESLKSQIEDLEKSIENLDAKIHDVEKSLKDLRQLEDQKSAKTAVRNVLFKEQQKQYAALAEENEDTDEELMEWKTKFDERIMLLQNKIQQMERSLQDLTDEGSACRRKLETYIKEIGKLQRDAENLVVLKDKRDSAIRKLYARLNLGSIQSSPFSDEVALNLTNQIEVRIEEYKDKIRAVLKGRLPPDKDLKREISQALRTLQNEYDELSTKSSEAEKEVNLWQMKIDEINNNISKHRKDMDAKKRYLEARLNSLDQQPLPIDSYPKVLETAKEKKDFHKSKYNMADGMKQMFDPFERVARAHHFCPCCERAFSAEEEDEFVKKQRVKAASSAEHLKALGVESSSAESYYQQLERLHITYEEYIKIRKETIPLAEKTLSELTEELDQKTQAHYDVLGVLAQIKTDKDSVEILVEPVETADRLFQQIQSGQKLVEDLEYKLDLRGQGGKSLEEIQLELNSLQSTRDVLYDELEKLRGEQRYMDKDLHSIQTRWHHIREKKVTMANTLDNFRKAEEELEHLAEEKSQLDLEEKHLAESLSSLCKEKENLLNDYNCLKGKLSQEYEQLNERRSSYKSDAVALSEINSTIKGYYDLNKAEKLNEMLEQQSVMESQLRSCETRKEEILAELKKSGDLMRNQDQLRRNIEDNLNYRKTKAQVDALTREVDSFQEKIMEIGDISKLEAELKKLLEERMGLLSEINRYRGTMSVYQSNISKNKAELKQAQYKDIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHSMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVLMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLAAALLRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAERYYRVTKDDHQHSIIEAQEIFD >OMO96609 pep supercontig:CCACVL1_1.0:contig07426:42559:53180:-1 gene:CCACVL1_04871 transcript:OMO96609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQCVVSNGTGNVLTKAFEAFSRVSFDEHVAVLEEILFALTIMFPLETEADAFLGGGGVRCAGVGGVEMTELPLNNRICHHHQL >OMO96608 pep supercontig:CCACVL1_1.0:contig07426:35967:38520:-1 gene:CCACVL1_04870 transcript:OMO96608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase MDPVNTWGNSSLETVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEFIDEIENLCRARALQAFHLDPTKWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVDSKTGYIDYDKLEEKAMDFRPKLIICGGSAYPRDWDYARFRAVADKCGALLLCDMAHISGLVAAQEAANPFEFCDIVTTTTHKSLRGPRAGMIFYRKGRKPPKKGQPEDAVYDFEDKINFSVFPSLQGGPHNHQIGALAVALKQAQSPGFKAYAKQVKANAVALGNYLMSKGYQLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLANITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLHRAVTITLSIQKQYGKLLKDFNKGLVDNKEIEQLKADVEKFSSSFDMPGFKMSEMKYKD >OMO96605 pep supercontig:CCACVL1_1.0:contig07426:16137:16265:-1 gene:CCACVL1_04867 transcript:OMO96605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLRPVSFASNRKKRKEYARLQSEQRSGLGWVSTFELRREE >OMO96611 pep supercontig:CCACVL1_1.0:contig07426:61871:68168:-1 gene:CCACVL1_04873 transcript:OMO96611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding protein MDPTAASSGGGGGGGGPAPFLLKTYDMVDDSSTDEIVSWSSNKKSFVVWNPPEFARLLLPTYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEDFVKDQKHLLKNIHRRKPIHSHSHPQGSLIDPERAGFEEEIEKLSREKATLEANVLRFRQERSAAKHLFEELTQQADQMERRQEILCNFLQKAVNDPTFVEHLVRKIESMDVAAYNKKRRLPQVDQIKPVGENSLLDNNGSSRPEFGNIFHQDFSNKLRLELSPAVSDINLVSNSTQSSSEDGASPQRRISEGEPKDVQPRPDGLLFAPEALDLSDTGTSFTFKMDSSFNQRVSINDSPTVHSMQQRLSSNEETDSHISCQLNLSLASSSLQVNRSPSLTRMSQLGQEIGKVSDSRSYANNKDSDTRRFENSKNMVDEEAALSSPKEGPNTNQAPAAPPVRVNDVFWEQFLTERPGSSDNEEASSSYRANPYEEQEDKRCKATQCNSSIGVSILELGHALKASEVDVMVRRVCSKKLEDCLKEQEMESESSRRVLVMQRRYISYETLRRDMVPCAKPGASYYDCNAGQANPYNRGCEVITRCARDIKDNKS >OMO96612 pep supercontig:CCACVL1_1.0:contig07426:70086:72411:-1 gene:CCACVL1_04874 transcript:OMO96612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILFNKGTKAVEGLSLDVSAENDVILIRTEAFAKLINLRLLKINSLRFSTGSYEKLSKDLRWLCWHRCPLQFLPPNLSLDNLVVLDLRFSNVKKVWKETKFLDKLKVLDLSHSIYLATTPDFARLTSLERLQLEGCTGLTKLHQSIGNLGRLIVLNLAECNNLRELPDNICNLTSLETLNLKGCSRLSKFPAHLGKLEALKRLVADGSTITELPTSFGLLKNLELLSLAGCKEELPANKFFFSFFSSWISPKSVGSRTFLPGTLSNFPILYHLCLKENKFYSLPVGVANHPRIMALALDDCTNLQSIPELPPNLLALKAERCTSLVTFPKLTDIGTKLCFFITTNCPNKDFNEGLDLRYWKPRWHLSWKDGNLSPPFVLDDEVPLKEGVSSPKSKYLEAYFPATEVPDWFEYKEMGSSRLMFCMPLVPNGGRLDMILWVVHGVNEDYDGRSATSLTTFFKNKTKLCRTVDWSFVNTDDKGICQDHAWVSYYMGNHIFGDLEADQGDEIEVSVYGQGRNLVKKYGIYLKPVDIMSNDGNIDD >OMO60318 pep supercontig:CCACVL1_1.0:contig13740:9549:11742:-1 gene:CCACVL1_24251 transcript:OMO60318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRQLIWEIVRMGQGCLHQVADNYDRPDLKKAGLKALVLRIPYRISYNIKKQPVQRSRWVQRIDHNLEFQLVQRII >OMO60315 pep supercontig:CCACVL1_1.0:contig13740:4605:4760:1 gene:CCACVL1_24248 transcript:OMO60315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVVDLRPALLFELRPGIRAYVTARVARKWKSILRGASDPVTADLIFPLLLF >OMO60316 pep supercontig:CCACVL1_1.0:contig13740:5313:5381:1 gene:CCACVL1_24249 transcript:OMO60316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLDLNRLFKPIVVVAGTIVN >OMO60317 pep supercontig:CCACVL1_1.0:contig13740:6433:6516:-1 gene:CCACVL1_24250 transcript:OMO60317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLKVSKGPRDKKVAVTMAKGEKVID >OMP00304 pep supercontig:CCACVL1_1.0:contig06690:18672:24471:1 gene:CCACVL1_03387 transcript:OMP00304 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MDASGSSTPSVETATSTPSTNTPMTDSSTQNSSPKRSSKRGKSDPAWAHCRLMESTTSKGKLMCMYCQKAFAGGGINRFKQHLAGIKGEMEALASIGPGYKGPNFYDVRGFLLAKNVEATKKFVDSFRETWKSPGCTIMADGWTDQCRSTLINFLVYCPKFIVFLKSVDASDASKTADMLYKLFIEVVLFVGPENVVHMVTDNASNYVAAGRLLEHEFPKLYWSPCTTHCLNLMLHDIGKLNEVGDIVSHASKITKYIYNHCYALHLMRKHTNGREILCPASTRFATNFIALQSILAQKDALRAMVTSREWTLSAYAKDINGRKFVDLVLDSTFWKQCATIVKVTEPLVRVLRIVDSEDRLAIGYMQLHKNLHTAGYWLNPRNQYSSVEMEQNQHTVSGLIDVIEGPFGSPKPDITMDHVTLETLRPSTILSRPSISRLNIQSIWITMNQTAPERYSHGDSDLRSHLTSEIKIFTTAQGDFGRKSAIADRDSMLPGRNYDPISFEDFMETGDWMLEEEPGSLSIDELEDFDQLSSSHIGAQIEDLNLGDILADDDDDANDVEVAIGDRNQSYMDTPLIRSQNLGDEVSPRSNAHGIDTNLSPWPLVNFD >OMO57374 pep supercontig:CCACVL1_1.0:contig14387:4657:4824:1 gene:CCACVL1_25800 transcript:OMO57374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YKEITETTPVFSAIRCYTVMSQSLCTAMHVIRILHRYLDDIGFGLRRYHEIAPAIA >OMO96487 pep supercontig:CCACVL1_1.0:contig07465:9934:10911:-1 gene:CCACVL1_04934 transcript:OMO96487 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein MGYCKRIVLGFLKDKGSLIKTSLSTKRHKSAVHRVILRATTHKSSAPPSDHRLATVIALGEGSTYAASAGVQALMDRLNRTSDAWVALKCLFLIHNILVKGSVLLKDQLSVYPCFGGRNFLNLSMFRDDSDSETLELSTWVRWYAGILEQNLIVSRVLGYHLNSSRAANKNKIWSLSNSDLLTQIDSLVDFADHVGNPPESLYLQRKNLVYEVVRSVSENYRLVQREISVRVTELRGRMMSMSFSESTAFLNSLKRFEDCKESISLLLVNRNRNDDLRDLMKETKANLVVMMKDKNMLLITMGDEDDDSSKLFRLASGRKWVVGF >OMO96490 pep supercontig:CCACVL1_1.0:contig07465:34020:34178:1 gene:CCACVL1_04937 transcript:OMO96490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERELREEGSEGVEEEEDRASMEELGRTGKNKKKSEMKETVRIKRRSIIEI >OMO96486 pep supercontig:CCACVL1_1.0:contig07465:3755:3853:1 gene:CCACVL1_04933 transcript:OMO96486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNNDAVVIDLNAPAAHGFDLNKLPEKEEEP >OMO96488 pep supercontig:CCACVL1_1.0:contig07465:14774:16943:-1 gene:CCACVL1_04935 transcript:OMO96488 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 2-oxoglutarate/Fe(II)-dependent dioxygenase-like protein MLFVKELVESAGRLTRVPSKYVFETTKSDDYVVVDPVSEPEAIKIPIIDYSLLTSGNPDQRSQVINELRNACLEWGFFMMINHGVEETLRDEMMRATESFFDLSMEEKLKYSGKDLFDPIRCGTSFNPNVEKSFLWRDYLKLLVHPHFNAPHKPSHFRFCKNTAKKTREMASELLKGISESLGLDENYINEKMGVESEKWNQVWVANMYPPCPQPELSMGLPPHSDHGLLTILMQNDPSIVGLQILHNAKWVPIHTLPNCFFVNTGDHLEILTNGKYKSMVHRAVVNNKATRISIGSAHGPPLDTIVSPAPELLTNGVGTQLSLPAYRGIKYRDFMELQQSNSLNGKSCLDRLRL >OMO96489 pep supercontig:CCACVL1_1.0:contig07465:33231:33353:1 gene:CCACVL1_04936 transcript:OMO96489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLGQEPTRGGLEPTVDRLGRFLGRVSYKAPCTTPHLQN >OMP06873 pep supercontig:CCACVL1_1.0:contig04772:7091:8844:-1 gene:CCACVL1_01417 transcript:OMP06873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMKWGVKNGSRTFHSRNAVRNV >OMO98035 pep supercontig:CCACVL1_1.0:contig07171:6695:10128:-1 gene:CCACVL1_04362 transcript:OMO98035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MANPFFLITRSFLKLSSFSILLLFLLSFSSFTATEAYDPLDPNGNITIKWDIISWTADGYVAVVTMYNFQQYRHIQAPGWTLGWKWAKKEVIWSMMGGQTTEQGDCSKFKGNIPHCCKRDPTVVDLLPGTPYNQQIANCCKGGVLNSWVQDQATAASSFQVSVGQAGTTNKTVRVPKNFTLKAPGPGYTCGPAKIVKPSRFITPDKRRVTQALMTWNVTCTYSQFLAQKTPTCCVSLSSFYNNTIVPCPQCACGCQNTSQTGSCVDPKAPHLASVVPSSGKNNYAPLVQCTSHMCPVRIHWHVKLNYKEYWRVKVTITNFNYNMNYTQWNLVVQHPNFDNLTQIFSFNYKGLTPYTAINDTAMLWGVKFYNDLLSQAGPLGNVQSELLFRKDQATFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNASSHQVISTLSLLMSLLAAMAFLFGYA >OMO98034 pep supercontig:CCACVL1_1.0:contig07171:3731:5962:1 gene:CCACVL1_04361 transcript:OMO98034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MIFVEKTCQAAYDPLDPNGNVTIKWDIVSWTPDGYVAVVTINNFQMYRHIMTPGWTLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNIPHCCKKTPTVVDLLPGVPYNQQFSNCCKGGVLPAWGEDPQAAVSAFQVSIGQAGTSNKTVKLPKNFTLLGPGPGYTCGPAKVVPSTTFLTPDRRRKTQALMTWNVTCTYSQFLARKNPNCCVSFSSFYNETITPCPTCACGCQNKNNCVKSGSKILKMVGINTPRKDNAPLLQCTHHMCPIRVHWHVKQNYKDYWRVKIAITNFNYRLNYTLWSLVVQHPNLNNVTQVFSFDYKPLVPYESINDTGMFYGMKYYNDLLMEAGPFGNVQSEVLLQKDKNTFTFKEGWAFPRKVYFNGDECMLPPPDTYPFLPNSANQSLFSISTVIAAIFFLLVTFW >OMO92002 pep supercontig:CCACVL1_1.0:contig08280:42171:46051:-1 gene:CCACVL1_06936 transcript:OMO92002 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like protein MQNVMKSTNMNGSSPNVSESTRRTLVIGSSGFIGRFVTEASLDSGRPTYILVRSSLNSPSKASNIKFLQDKGATILYGSITDKEFMEKVLREYKIEVVISAVGGESILDQFNLIQAIKNVESVKRFLPSEFGHDIDRADPVEPGLTMYNQKRKIRRHIEESGIPYTYICCNSIAAWPYHDNTHPADVLPPLDRFQIYGDGSVKAYFVAGTDIGKFTMMSIEDDRTLNKTVHFQPPSNLLNMNEMAALWEEKIGRILPRVTITEEDLLQRAQEMRIPQSVVAALTHDIFINGCQINFSLDKPGDVEVCSLYPDTPFRTIHECFEDFAKKIKENAKAVSKPASNNAIFVPAAKPEALAITAICT >OMO92001 pep supercontig:CCACVL1_1.0:contig08280:19112:40571:1 gene:CCACVL1_06935 transcript:OMO92001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENFDEQNKLPELKLDAKQAQGFLSFFKTLPKDARAVRFFDRRDYYTAHGENATFIAKTYYRTTTALRQLGGGSDGLSSVSVSKNMFETIARDLLLERTDHTLELYEGSGSNWRLVKSGSPGNLGSFEDVLFANNEMQDTPVVVALLPNFRENGCTVGFSYVDLTKRILGLAEFLDDSHFTNLESALVALGCKECLLPMESGKSSEFRTLYDALTKCGVMVTERKKTDFKARDLVQDLGRLVKGSIEPVRDLVSAFEVAPAALGALLSYAELLADEGNYGNYSIRRYDLGSYMRLDSAAMRALNVLESKTDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLLDVTEINSRLDLVQAFVEDTELRQELRQHLKRISDIERLMRNIEKTRAGLQHIVKLYQSSIRIPYIKSALEKYDGQFASLMKERYLDPFEFLTDDEHLNKFIALVETSVDLDQLENGEYMISPSYDDGLTALKNEQESLERQIHNLHKQTASDLDLPIDRSLKLDKGTQFGHVFRITKKEEPKVRKKLSTQFIVLETRKDGIKFTNTKLKKLGDQYHKVLEEYKSCQKELVNRVVQTTATFSEVFEPLAGLLSELDVLLSFADLASSCPTPYTRPEITPMDVGDIILEGSRHPCVEAQDWVTFIPNDCRLVRGKSWFQIITGPNMGGKSTFIRQVGVNILMAQVGSFVPCDKASISVRDCIFARVGAGDCQLRGVSTFMQEMLETASILKGATDKSLIIIDELGRGTSTYDGFGLAWAICEHIVEVMKAPTLFATHFHELTALAHENAKHEPQTKQIFGVANYHVSAHIDSSSRKLTMLYKVEPGACDQSFGIHVAEFANFPESVVALAREKAAELEDFSPTSIISNDATEEESSKRKRECDPDDMSKGAAKAHKFLKNFADLPLESMDLKQALQHRNRSIPFNHIKFICREIKLFHQTVEDNIFPALDVSWSCCTRKVLVTCSFSSPQPSIHGFAAVNLKIVFLPLLAFEIIILIDNFRMCRALMPGDDESISDEAIWETLPHFWVAISMVFFVAATVFTLLKLCGDVGSLGWWDLFINFGIAQSFAFLVCTKWSNPVIHREPPAREAGPSTTTIRYLDWNSGLVVTPEEDQNQDTICGLQDIGGHIMKIPIIGFQVLLCMRLEGTPAGARYIPLPILFSPLFLLQGAGVLFAASRLIEKLVLLLRSGAGTGLYFRFSSRAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYHDGASGYNTFSGYPPEIVKKMPKKDLAEEVWRLQAALGEQTEITKYSQQEFERLQNEKVLCRVCFEGEISIVLLPCRHRILCSTCCEKCRKCPICRVSIEERLPVYDV >OMO62752 pep supercontig:CCACVL1_1.0:contig13170:29638:39522:1 gene:CCACVL1_22654 transcript:OMO62752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMNTTNGSVTATVLDSQQMVHNSFTLSQAYLESKEVTETKSLVAELCRQFYPLGWFLGTGGSITIKVHDDSIPRSHQLVVMSPSGAQKERMVAEDIYVLSPDGLILSTPPLKPHPYQPPKCTDCAPLFMKAYEICNVGVVIHSHALEACLVTMINPLSKEFRITHMEMIKGIKGHGYHDELVVPIIENTAMNESSQNLLVKRLFLSSIVVRPMRAYPRTTAILVRNHGVFVWGDSWITAKTQAEYYHYLFDAAIKLHQLGLDWSSPTHGPLRNVNELWGLSGNHSTGEKVGTLGLEYMIEPSQRYLLLTVEGTMTPMSFVTETLYPYAHDNFGKHLAMTYDTEEAKHDIHLLCTQIQEDLEQGVAGAVPVPPHYAGKEPVIASLAANVEAMMKTDRKLICLQQLQYHIWRTGLQSNELVGLVFEDVPEALERWQTSGIKAYIYSSGSRELQQLLLANSNYGDLRKYLCGYFDTTVGNKHVAHSYFEILQTVGVDRPADMLFVTDNFQEAVAARAAVSAAAHFELKSHGIYFAQQMKQISFKHFVLFSIYIYIYIYSTINSNMGSVADYKKAMNLELNVEERNDDEYSEPVSPTGQYFNSSALSICVLGILDSEVPIDDSPTMALLKDVFLPINPRFSSVMVKDENGTKQWKKVEVKLENHVNIPVFPSGLSPESYDKYLSDYLTNIAMEQLPQSRPLWNIHIIKYPTSNAAGTLIFKLHHALGDGYSLMGALLSCLQRAEDPSVPLTFPSLTFAPINPDQKSNSIFRSLQTGLSSAFNTVSDFGWSLLKSSVLEDGRTLIRSGDPGVEFKPIVISTITFSLDHIKQIKTKLGVTINDVITGIIFLGTRIYMQGTSSDKLSNEHSTALVLLNTRIIGGYKSVKEMVKADAESPWGNQFGFLHVSIPELNTNFESCNPLEFVWKAKKLIQRKRNSRAVFLTGQLLEGLRKYRGPEVTAKYIHSTLKNSSMTISNLIGPVERMALADHPVKSLYFMVVGVPQSLTITMVSYMGKLKVAIGTEKDFVDPQKFKSCIDDAFQMMLKAAHEIS >OMO62753 pep supercontig:CCACVL1_1.0:contig13170:46260:48644:1 gene:CCACVL1_22655 transcript:OMO62753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEKIPWWMLPLFLLCFSLESQVSIAADTISFNQSLSGNGTIVSKGGVFELGFFKPGNSSNYYIGIWYKKASQPNTVWVANREKPVRDINSSVLKIVDGNLVLFNESQIPIWSTNVGSTNSSSVVAVLLDDGNLVLRDGPNSSTILWQSFDHPVDTWLPGMKLSFDKRTNQSRGFISWRNQDDPAPGLFSIEVDPSQTDQIIFVWNRSRQYWSTGTWDEQTKSFTLLPELRAISTLNMSYRYVSNENESYFTYLFDNSFALSRSGMDASGQFKAMLMLANSDKWSLFFSQPRQQCEVYGYCGVFSSCNEKSTQFCNCLTGFQPASQKEWDQQLYSGGCVRQTKLQCENASVGNGRGDQFLKIHTTTLPTNPQNVVAHSNKECKSTCLQNCSCSAYAYNDNECSIWIGDLLNMKQLGEDDDVGETLHIRLAYSEFSSPTNKKKLIIVAVAVSSGLFLLGLSMFITKRWRRTMIATNPTEGSLVPFGYKDLQKATNNFSEKLGKGGFGSVFKGTLPDGSLIAVKKLEGISQGEKQFRAEVSIVGVINHVNLIRLRGFCSEGSKKLLVYDYLPNGSLDKHLFLANDSELLDWKSRYQIALGAARGLTYLHDKCRDNIIHCDIKPENILLDADFCPKVADFGLAKLIGRDFSRVLTTLRGTMGYLAPEWISGQAITPKADVYSYGMMLLEIVSGRRNFQVQVQHSEDEGTTFFPATVAIHVSIEGGDVLNLLDSRLNGNVNVEELSRICTVACWCIQDDEFQRPTMSQVVQILEGVLEVSQPPIPRLLQACIKEHLG >OMO62751 pep supercontig:CCACVL1_1.0:contig13170:18810:19211:1 gene:CCACVL1_22653 transcript:OMO62751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MNIRLSFALLIFSLALSAESSSYGGVHWSRSLFSTMVVDVRDGSVDSTGQTTSFCKRGPFGDCIENEEEVAMESDISRRVLGGKRFISYDALKQNQIPCNRRGNSYYNCAAPGRANPYNRGCSVITHCYRFTS >OMP07665 pep supercontig:CCACVL1_1.0:contig04281:794:871:-1 gene:CCACVL1_01254 transcript:OMP07665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHPGQGRHYGPEVWVVTTIYVAILI >OMO72891 pep supercontig:CCACVL1_1.0:contig11341:10243:12768:1 gene:CCACVL1_17539 transcript:OMO72891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTAPTKLEYYDQMLKLQSKATLVSYFKGGDGRKALILDSTIFHPQGGGQPADTGFITLMDSSFKFWVRDVRSKDGVVFHYGVAEDCSREGEFEQGKGKRVFLHVDEPRRKLNSRLHSAGHLLDLCMRNVGLGHLEPGKGYNFPDGPFVEYGGTVPPNELQNKQSEIQIEVNALISKGGKVYVAVLPYEEAAELCGGSLPDYIPQGSNPRIIKLGDNPGCPCGGTHVSDISEIISMKVSQIRTKKGTTKVFYTVET >OMO94928 pep supercontig:CCACVL1_1.0:contig07777:27791:29069:-1 gene:CCACVL1_05687 transcript:OMO94928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALTKVGSFWISKKAKEELSNISEDISSLSNTVEEKAKWIFNKLKGKPAKALTDLLREHNLPPGLFPKNITCYEFDESKYKLIVHLSSPCEVSFKDASVVRYATRVKATLSRGKLSGIEGMKTKVIVWVKVTSVSVESYKSDKVWFMAGVKKSRPKDAYEMPRDGVKIEEF >OMO94929 pep supercontig:CCACVL1_1.0:contig07777:31993:33963:1 gene:CCACVL1_05688 transcript:OMO94929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIDTKPIESVQVALSLFGERSEQLKHRSSSSDLDGEKEKDTEGLVKELANYRLQLEAKDSAYMQALLQLEHYKKTTEEISVLLKKSELERDRYVEECNEVKNQIDELECKMKGMVDQLSETAKLREQLSHVLNELKATQADLLDMETELADAKDSELKALTQAELMETSANMEKEKSEELLDRISELQNAVQVSKLAATEVEERKCRIVSEKDAKIESLKATAFQAQEQVETLRKQVETIEDLKNQLFSKSAYIDSLQAKLEQVTNVLGSMENAISDGEIDLNQIKQDLEFKERKISDQAFYIEALETELNRLKLELENANEEIRHLNCNVKALKSDLKKLQIELDEVRERENDAQVDIAMLKAELHKGRSKIAAADAAEARAQSVKSGLYLAVQQLAVEAEEVKKENQMLKQEVDEAEECDISTLNHHAKSVDSITISIEEYNSLIRKAEKADQVSMFVAEDSYELTTDSENKNEVEVLKKKLEVAMVKIGLFRTRAEQAATRAEAAEKAKAKLKDQLRMWQEQKQRRKAALAALREESAPKQFSTPTIEKFPANFQPLGEVLNIKF >OMO51826 pep supercontig:CCACVL1_1.0:contig15702:77:151:1 gene:CCACVL1_29567 transcript:OMO51826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQIWQKAPPKVVLLSNRKNLPP >OMO99911 pep supercontig:CCACVL1_1.0:contig06773:1215:3985:-1 gene:CCACVL1_03551 transcript:OMO99911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHFIIGFSEDRRRRRNQTVNSDADSQRKNSDAHRTDDLDSRMDSRMAHHP >OMO52348 pep supercontig:CCACVL1_1.0:contig15547:10287:11907:-1 gene:CCACVL1_29256 transcript:OMO52348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue MKPGVSSLNPYAASYIPLAKREANDNLTAKDSNRGNEAAWFESSSHFAHHQHHSSASLDSVTHATGKHQTTEASSLKSHPAHGSLTQNPGEMTEKQVMDEEFDMDLEYLRMNFPGLSNESLMDVYLANHGDLEAAVDMLNQLEMYTVESSETLPDTLDIGDVSESGSSATCSSLKLKNVAGEASSSSGSAESAVAS >OMO52349 pep supercontig:CCACVL1_1.0:contig15547:13301:16887:1 gene:CCACVL1_29257 transcript:OMO52349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbP, oxygen evolving complex MVVLSPSILSPSIFHFFRNDTVSNRMLVSHKKNNKSRRFLEKFTACYSVPLKPNSQNVLWRRDLLLLTLSSSLITLSPSSGCLAEEDVKWASLVDDINAYTYSYPVEVPSKKFLFKWVESRKPERYSSAAPLSPDARLRIVSERVDILDNLIISVTIGPPNIQFLKSKDKKTWAAKDVADSVLSDKSALRVTSSQRMSESSVLDAHTSEIDGEQYYYYEYLVRKSPTRSAQEANLYRHYVASTAEREGYLYSLSASTLSKQWTKMGPLLEQTVASFRLLPPTENYVPPYKDPWRFW >OMO52347 pep supercontig:CCACVL1_1.0:contig15547:9313:9402:1 gene:CCACVL1_29255 transcript:OMO52347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGEEEEEEKHKSAAEFIAEVGRRELGK >OMO52346 pep supercontig:CCACVL1_1.0:contig15547:206:5388:-1 gene:CCACVL1_29254 transcript:OMO52346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMPLELVNLYAVTEPSANALVRCNADLKDGQWSAPNPSWFNINCDGAFDDKSGQAAIDVIAHISKHQEWQEIVKAVKLKDVLTAETLTLKERKSQTLELEDCPYYFRAQAFPERKVLSDPQKRAIYDQYGEEGLKDVPPPGSSGASFGSGTGGPNGFNPRNAEDIFTEFFGSSPFGFGSSGPGRSSRFQSDGGMFGAFGSTDNIFRNYSEGTAPRKPPPVESKLPCSLEELCTGSTRKMKISRTVVNASGRQVQESEILTIDVKPGWKKGTKITFPDKGNELQNQLPADLVFVIDEKPHELYKRDGNDLVVNQRVSLAEALGGTTVNLVTLDGRNLSLPVTDIISPGYELVIAKEGMPIAKEPGNRGDLRIKFDVKFPTRLTPEQRAGLKRALGG >OMO52350 pep supercontig:CCACVL1_1.0:contig15547:17656:34672:-1 gene:CCACVL1_29258 transcript:OMO52350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLNSPFLGIPLGNSLNRKSSNGKCFDFDRRKLHRRAIRKRVYAEKQNDWIAQAIRFSNFCGKNIELFRKNISLRNGFVTKSVKEPFAGSKALVRSLSPLWNEGLLLFRCSVLIAVISGVCLLVWYGQKKAKSFVEANLLPSVCSVLSEYIQREVDFGKVRRVSPLSVTLEACSFGPHHEEFSCAEVPTMKIRVLPFASLRRGKIVIDAVLSHPSLLVAQKKDYTWLGIPFFEEDGLQRHLSTEEGIDYRTKTRRIAREEAATRWDRERDDDARKAAEMGYIVSEGSSDRSEDDTIKEIGPSPEMTSSKTFSCMDEKMHWRDHHCVDNGVNYEMKHAELEKSFGVKIPGSSITLWPKVIKGSKKNKFKKKFNRCDTYTAGVAAKRRILERSASAALAYFQDLSQEDSGDHSEASGSYDLSDLNSLLVKNQDESNVETSIDITCGEGSILTYNQSGEQCEERENQNITMYGNDNDTFGNVNFMRDPFLMTIERLSRVRRIGKKIPGDGNAAEFVKTESSKVDAQNLNDVAHGDMGENISEAERSHASQNITYIKSDPTPSAYHLVTFWPLGLKFRLPSFPDSLGEQFYNLLARSLQSLKFSVAPKVEDIVAELVDGVDVVQPEGIEKMLPVTVDSVHFKGGTLMLLAFGDREPREMKNVNGYVEFQNHYGRVHVQLSGNCKTWRSDLESEDGGWLFTDVFVDTLDQKWHANLNISNLFVPLFERILEIPITWLKGRATGEVHLCMSRGETFPNLHGQLDVTGLAFQIYDAPSKFSDISASLCFRGQRIFLHNTSGWFGSVPLEASGDFGIHPEEGEFHLMCQVPCVEVNALMKTFKMKPLLFPLAGSVTAVFNCQGPLDAPTFVGSGMVTRKISYSVSDVPVSSASEAMLKNKEAGAVAAFDRVPFSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEAEEDDTAMDVNFSGNLSFDNIMQRYIPGYLHLMPLKLGDLSGETKLSGSLLKPRFDIKWTAPKAEGSFSDARGDIMISHDCITVNSSSFAFDLFAKVQTSYPEEYWLNRKEFNEKSTVPFIIEGVELDLRMRGFEFFSLVSSYTFDSPRPTHLKASGKIKFHGKVLKPITSEQDFGPERQRDDMTDNRSKQSLVGDLSVSGLRLNQLMLAPQLVGQLSIARDSVKLDATGRPDESLAVEIVQPLQPGSEENLQNGKLFSFSLQKGQLRTNICLRPLHSATLEIRHLPLDELELASLRGTIQRAEIQLNFQKRRGHGVLSVLRPKFSGVLGEALDVAARWSGDVITLEKTVLEQINSRYEVQGEYVLPGTRDRNISDKGRGGLFKRAMTGHLGSVISSMGRWRMRLEVPRAEVAEMLPLARLLSRSTDPAVRSRSKDLFIQSLQSVGVYTESLHDLLEVIRGHYTASNEVILEDLSLPGLAELKGRWHGSLDASGGGNGDTIAEFDFHGEDWEWGSYSTQRVVAVGAYSNDDGLRLEKIFIQKDDATIHADGTLLGPKTNLHFAVLNFPVSLVPTLVQIIESSATEAVHSLRQLVAPIKGILYMEGDLRGSLAKPECDVQVRLLDGAIGGIDLGRAEVVASLTSSSRFLFNAKFEPTIQNGHVHIQGSVPVSFVQNSVSEEEETETERRGTTLVPGWVKERGMESTDKVSEKKTFRERTEEGWDTQLAESLKGLNWNILDVGEVRIDADIKDGGMMLLTALSPYANWLHGNADVMLQVRGTVEQPVLDGSASFHRASISSPVLRTPLTNIGGTVRVKSNKLCIALLESRVSRRGKLFVKGNLPLRTSEASLGDKIDLKCEVLEVRAKNILSGQVDTQLQITGSILQPTISGNIKLSHGEAYLPHDKGSGAAPFNRLASNQSRPPVAGVNQAVASRYVSRFLSSEPASSRTKLFIPSVKSTEAEKEMELVNIKPSVDVRLSDLKLVLGPELRIVYPLILNFAVSGELEVNGMAHPKWIKPKGILTFENGDVNLVATQVRLKREHLNIAKFEPEHGLDPMLDLALVGSEWQFRIQSRASNWQDKLVVTSTRSVEQDVLSPTEAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTADPLKSLASNISFGTEVEVQLGKRLQASIVRQMKDSEMAMQWTLIYQLTSRLRVLLQSAPSKRLLFEYSATSQD >OMO52352 pep supercontig:CCACVL1_1.0:contig15547:55353:62724:1 gene:CCACVL1_29260 transcript:OMO52352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRIVNSHGAEIPKKSRSLDLKSLYESGDSKESSKNKSLKRKESSQEGDREKRNSDDNKRKKSRKALPLSSFRTVNDSNTSKSLTEVYNGGFSSRLPDSESLKKSGLSQKLKNGCSAGLSLSLGDSGAKIPRRKRGFVGRNKFEGGQVLKVAGQSSSKLVGVNEGVKLTSEVSATQNESPKVKQKKLIDDLKENRKSEPSSVQHVKEEDDVASYSAVNDGDSSVKKSRRNPRKRKDAVKGGKSVAKKAESFVDPSANSCDDFHEDDEENLEENAARMLSSRFDPSCTGFSSNNQVPQSPSENGLSVLLSSGQNASSASKTLSGSESTSFDASGRVLRPRKRHKEKGNSRKRRHFYEIFSGDLDASWVLNRRIKVFWPLDKSWYYGLVNDYDRERKLHHVKYDDRDEEWINLKNERFKLLLFPSEVPSKSERKRSRRDRGPDNGIRKLKVNNRDNERINVVTEDDSGNGNYMDSEPIISWLARSSHRVKSLPSRAVKRQKTSASSLSSLGQPLLYDDEAVDENGSQHGFSLKGDKLKLSRASALSDRPVDGRRIKNSSLGSTSCPKDSKHPIVYFRRRFRRTDKAPYQVSVGNCFASRVSEFFTSHASVDEFQDLGELGVCLGISDPEGDLLFSDNLGQLQLNVSLLHSSKFRLGLSFPLPSVSDNLFGTNNFWPVHTLLLLQCGTVMTIWPMVHLEILFVDNEVGLRFLLFEGSLKQAVAFVFQVLTVFYRPTEQGKYADLQLPVTSIRFKISCCQDFKKQILFAFYNFHEVKPSKWMFLDSKLNRHCLLSRQLPLSECTYDNINALQNGTNQLLSSPTCRDSSSLEGLRRRRCRQGISVMGASKESSFLEVGQFSSESEKKNRNLPLFALSFGAAPTFFLSLHLKLLMEHSVARISFGDHDSIEQPGSSGNLMLDESFSREERVNKSSETIVEKILDASSKGDASGTELRTLGISVSGDECGKNSLQKFGNGDQIGDGISACSHEPEEVAATAMVPLQKQRHEHSESEHGLLPKSPVIGDKKNADGKADFFHSNLGNGPKKPRTQVSYSMPFGGLDYSSKNKGHHQRSLPHKRIRRANEKRSSDVSRGSERNLESLSCDANVLITIGDRGWRESGAQIVLELFDHNEWKLAVKVSGSTRFSYKAHQFLQPGSTNRFTHAMMWKGGKEWILEFIDRSQWALFKEMHEECYNRNIRAASVKNIPIPGVRVIEEYDDNGADGTFFRSSSKYLRQAETDVEMALDPSRVLYDMDSDDEQWISRIRNSSVNDANSSSLEISDEMFEKTMDTFEKAAYTQQCDQFESDEIQELISGVASMKVVRAIYEHWRQKRQRVGMPLIRHLQPPLWERYQQQLREWELAMSKVNPILPNGCSDKVPQIEKPPMFAFCLKPRGLEVPNKGSKQRSQRKISVSGQSNPALGDHEGCHSFGRRSNGFLFGDERGSDGFDKNHHQKLQRSKSKKFGTFLSSNDTQMMASYSPRLMGKRNGIHRWNMGFSEWPSQRHYFSDGFQRHGPEQLDNSDVDEYRVRDATSAAQHALKMAKFKREKAQRMLFRADLAIHKAVVALMTAEAIKEASEDFNGDG >OMO52351 pep supercontig:CCACVL1_1.0:contig15547:36147:38662:-1 gene:CCACVL1_29259 transcript:OMO52351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGSRREDGSLSQQQQQQQKESEQFWAPTPLNVKSWADVDDDDDYYATTAPPQSIWGSSEPSQSHEDKTANVELLAGSQLDFSLGPSKAQDSESEEDILDEGDYDMEEEHDHEPEVPVHPEPVQTKAPEVPAPPKEAERQLSKKERKKKELAELEALLADFGVTQKESNDQDESRDVSQEKKDEEGEKKENPPGESKSAKKKKKKDKSKEGKEPQDQPTNADATNGPDEAAMTATEQTEEDTSTVDVKERLKKMASMKKKKSSKEMDAAAKAAAQEAAARSAKLAAAKKKEKSHYNQHPVR >OMO86985 pep supercontig:CCACVL1_1.0:contig09370:5447:7650:1 gene:CCACVL1_09342 transcript:OMO86985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MGFSRKSNVIETLISALFLLGILSSCVAQCRDDRHAETIQFPALNCRKHSAVLTDFGGVGDGKTSNTAAFKAAIANLSQLAKDGGAQLIVPPGKWLTGSFNLTSHFTLFVHKDAVILGIQDESEWPLLPVLPSYGRGRDAPGGRFSSLIFGTNLTDVVITGNNGTLDGQGAYWWKKFKEEKLNETRPYMIEIMYSKQIQISNLTLVNSPSWNVHPIYSSDIIIQHLTILAPIDSPNTDGINPDSCTNTRIEDSFVVSGDDCIAIKSGWDQYGIRVNMPTKKVVIRRFTCISPDSATIALGSEMSGGIQDVRAENITAINTQSGIRIKTAVGRGAYVKDIYVRGMTMSTMKYVFWMTGSYSSHPDPGFDPKALPVIKGINYKDMVATNVTYSARLDGIKNDPFTDICISNVNIKLTEDPKELQWNCTDIQGVTNRVTPTPCASLPQKEIDCPFPEDSLPIEDVKLKTCSLY >OMO86986 pep supercontig:CCACVL1_1.0:contig09370:8147:14313:-1 gene:CCACVL1_09343 transcript:OMO86986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSYRKKTYGNRSSSRSASSMASAKHLYLRKVFILITIILLVCVILILGISSSTWNKLSSVDHSLQLYGYQVVNEFPHDPSAFTQGLVYAGNDTLFESTGLYKRSSVRKVALRTGKVEILQKMADSYFGEGLTLLGERLFQVTWLTNVGFIYDRKNLKKLQQFSHQMEDGWGLATDGKILYGSDGTSTLYLIDPQTLKVTKKHVIQFNGHNVRYLNELEYIDGEIWANVWQTDCIVRISPNNGTILGWILLKDLREELIAAGNNGIDFLNGIAWDSDHNRIFGKLSMSTVTQPSILKEKLTKQRFFGLQLWILVAAFVTLFILLVFFIISLCVIYYRRHRRKSCKQQVPFCIPNPIAPRNNYHSAYSNSSSSLNRRLLPLNISNMTKPELQVMLPADQWSARASTLTTQESNSLADLEHFAKFWPALPEFWTGNQFSLREVEVVTNGFAYENLIGHGDFGVVYRGVLFDNTRVAVKRLLSNSCQTEEFIAEAEAIGHVQHKNLVKLLGYCMEEGCRMLVYEYVNNGNLHLWLHGSLGQTRPLTWTMRLNIIHGIAKGLAYLHEDIEPPIIHQNVKASNILLDHQWNPKISDVAISRLLGPEHTHVTTHSMVKLGYAAQEHSSPRQWDKKSDVYSFGVLILEIITGRIPVDHNQPQVYLVDWLKSMVGQKKIAHVVDPKMPEFPSLKELKRITLIALRCVDPDLDHRPKMGQVIHMLEPHDLLLNDERRVRREASIPSHSQLKDSQTSKQDKDVPELYRGKRSSKH >OMO86987 pep supercontig:CCACVL1_1.0:contig09370:19856:24275:-1 gene:CCACVL1_09344 transcript:OMO86987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESYYWQQYHHGNLEVKVEKSNGKEENGADKSNTKGKEIVLKVYMHCEGCTLKIFNCLKAFEGVEEVKTEWKGNRVIVKGEKADPLKVLERVKKTYSRNAELLYPKPKANEKMVPVPQKKQEDVSNSNLGMGGVGFGIWEGYMLLCIPF >OMO86988 pep supercontig:CCACVL1_1.0:contig09370:42363:42866:1 gene:CCACVL1_09345 transcript:OMO86988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSLPLPRVVFSADVSYGGIRRSTGMQTLHMNRHFRPLSCASEPTKITNSVKYDLLKNENEDENTQRQQFIWVLWGEVKNDFVLSVVGPFILRWLIEKALEGSYGEFILQLLKNPRNPKTTFSAAFGDYLPQLVCTAHQKLKVGGFEYTPRGYLGAIFFLSQFLCF >OMO90320 pep supercontig:CCACVL1_1.0:contig08495:24308:24915:-1 gene:CCACVL1_07409 transcript:OMO90320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mycothione reductase TAKNGEVPEPDLLFLTTFGKDGVLPKGRAQDIVDEYRKALAAAISAAGDDLEV >OMO90321 pep supercontig:CCACVL1_1.0:contig08495:26041:26184:-1 gene:CCACVL1_07410 transcript:OMO90321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQRLPKASSDELLFFDKKFFLFAATTEIGDLNPYEEARQKRIQENQK >OMO90318 pep supercontig:CCACVL1_1.0:contig08495:154:5861:1 gene:CCACVL1_07407 transcript:OMO90318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPPGNHQQEPNHASSSFNAANLSNSNPIPETSVSGMKHNPGISVDWTLEEQAILEDGLKTFGSEPNITRYAKIAMQLQNKTVRDVALRCRWMNKKENSKRRKEEHNSARKSKDKKERVADPSSNLAQFASRPNISPYAPPMISMDYEDGIPYKAIGGPTGELLEQNVQAFTQISANLASFQIQENLGLLCQTRDNILRIMKNLNDMPDIMRQMPPLPVKVNEELANTILPPPTHHHHHHHHHHHPMQMQSHQIS >OMO90319 pep supercontig:CCACVL1_1.0:contig08495:11380:14440:1 gene:CCACVL1_07408 transcript:OMO90319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTNGTRLLVPLFFTLAILAISFFEISTAQFDSSIASIPDTNSSNVSQPMKESNNTVRVDPLDNFNKYRGGFDITNKHYWSSVIFTGVYGYTIGLLWLLCGIVYGGYLLATAHCFKRNGKPMKKSVCHKQCYLWPILLAIIFTILAIAASGLALGGTAKFHSEAKSVVNIIINTANEASETIYNTTGAMKEMRNNLGETNATGEASTFLSSTSQKLDVEAADIERQARKNRRLIDKGLKIVFVITTVTICLNLVAVIALSVTGILRVRRAINWLIAICWLLTILCWLFFGIYFFLGKFSGDTCTALENFQENPYNNSLSSILPCDELLSAKPVLFDVSAGIYNLVNEVNSNISILQATTYPNLAYVCNPFSAPPDYIYQPDNCPANTIRIGDIPKILAIFTCSDSNNGTCKEGEFISTSDYKTVEAYTSSIQNLLNAYPGMESLVECQSVKDAFSEILGGHCKPLKSSARMAWGAMVFLSIIMVILVLIWTAQARHQQQLHSLDGSVKPHHQSPTATTDSMQARDDEVIKDHSNPNSV >OMP06361 pep supercontig:CCACVL1_1.0:contig04959:1330:1698:-1 gene:CCACVL1_01608 transcript:OMP06361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVSMNGDKCRSKALKIAVSLVGVESASLKGDDKCQIEIVGVDVDPVKLTSRLRKGVGHTELLSVAEKKEEKKEEKKEDPKPPVVVAAPSVWPYNQSYVVYEHDPYGYDQCHQQPGCSIM >OMP06363 pep supercontig:CCACVL1_1.0:contig04959:6588:6653:1 gene:CCACVL1_01610 transcript:OMP06363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPLVLIADSSMRISSSRKPE >OMP06362 pep supercontig:CCACVL1_1.0:contig04959:3552:3623:-1 gene:CCACVL1_01609 transcript:OMP06362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRNNITSGSTVIRPQYVNIA >OMO71133 pep supercontig:CCACVL1_1.0:contig11721:26171:33214:1 gene:CCACVL1_18422 transcript:OMO71133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVDEALQIVLSVAHQLPPVTVPLHQALGKVLAQDIHAPDPLPPYPASIKDGYAVVASDGPGEYPVITESRAGNDGIGVTVTPGTVAYVTTGGPIPDGADAVVQVEDTEQVKAPSVESKRVRILVQTNKGVDIRPVGCDIQKGEVVLKSGERIGASEVGLLATVGVTMVKVQPTPTIAVLSTGDELVEPTTGSLSRGQIRDSNRAMILAAATQEKCTVLDLGIVGDDKEELERILDSAFSSNINILLTSGGVSMGDKDFVKPLLEKKGTVHFNKVCMKPGKPLTFAEIYSNRPENAQVKKVLAFGLPGNPVSCLVCFHLFVVPTIRHLAGWANSHPLRVQARLKQPIKTDPVRAEFHRATIRWEVNDGSGNPGFVAESTGHQMSSRLLSMKSANALLELPATGRVITAGSSVAAIVISDLSCTKLSKAALPSDLGSTTSLHESTSNETAADGSQDAKYRVAILTVSDTVASGAGPDRSGPRAVSVVNSSSEKLGGAKVVTTGVVSDDVGKIKDVLQRWSDIDKMDLILTLGGTGFTPRDVTPEATKELIEKETPGLLYVMMQESLKVTPFAMLSRSAAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHVPHEQANPVDTWERSYKSASAGTVENAFSGSH >OMO71134 pep supercontig:CCACVL1_1.0:contig11721:33812:36175:-1 gene:CCACVL1_18423 transcript:OMO71134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRQYDDPSGGFDMQLIGNFLSFASRGDRVGLNHMLRGGISPDVQDYDRRTALHLAASEGHAPIVELLLYYKANVNLIDRWKRTPLTDARLYGHRDICRILEVNGGKDMDDKEFINDQPTMIRHEQDSNEVIDISELITEQSSTIEQGVFGESQKVKWRGTWVVKTVIKSQIHYPVKMVLSSKDNTLLQELRHPNILQFLGSIVLGEEMILITEYLPKDNLAEMLSKKVGLDFPTAMRYAFDIARGMNYLHEHKPNPIVHNNLDPRNLLQDESGHLKIGEYWVQMLYEQIQPNQDDGQRNGNSSITSTLSTDTKKDVRAFGFIFYQMLEGTQSTHALNDMSFDYMRNFNSVDFELKFPISRCPKRIQQLIQQCTDNDPLKRPTFLAVIGVLEEVSTSTSRVCIGQYCA >OMO71135 pep supercontig:CCACVL1_1.0:contig11721:48520:51843:-1 gene:CCACVL1_18424 transcript:OMO71135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MLTRNSVKTYHVVRHQRAQRVLEVIVDDEECMIKCSCKKFETEGIPCRHAVNIMKVENMTRIPEKMVLARWRTDCGKSLSRIFPFHFDVEETKIFRQASLCVLCNKICDDANFSNMAYDEAKAVLGNLHETLLRMKLEPNGGSSGASGRNEHQENAFNRGHALVGREGSSRRAGPRGTWRRFRHQNDGIEGEVNNDTARSHKEKMSVAGNGKDDSIVNISSGSSDDTSLDMPPSDGEDMTSSDNVYTFSYDYNSLNSFYPMYDPTPNNSECVALVLPPSVLLFFDGDMPVDTFRSEEKSIMRSKSNRWVK >OMO56082 pep supercontig:CCACVL1_1.0:contig14555:48608:49081:-1 gene:CCACVL1_26777 transcript:OMO56082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKMPRKKKSGVVADKALNLLRLALLWARKVGRLKVKRINGDHKEQIRYWERQLSFDRTPDHRALKKDRSMGSMRFLFPCVGAKEGEFKYDFDADGVYGNGSRRESYLTEEEEETDEEESNRDREGFEKKSQFEEEGIDSRAEKFIAEFYDQMKFRN >OMO56074 pep supercontig:CCACVL1_1.0:contig14555:7975:11257:-1 gene:CCACVL1_26769 transcript:OMO56074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MYAPDRCLFIDSIGASSKQLNLDRPFLSGGLFLNDQTLPSSFVSFISLKTQNCFVDKNENLCLVSCYLGGKTLRSVKSRRGGGGRGGWFLSVSLSREEGYVGESGESWGQNGDKNLVEEEVVEEEKEKVEVKKGSGALNTTKHLWAGAVAAMVSRTFIAPLERLKLEYIVRGEQKNLIELIKSIAALEGLKGFWKGNFINILRTAPFKAINFYAYDTYRSQLLKFSGNEEATNFERFIAGAAAGITATLLCLPMDTIRTVMVAPGGEALGGLFGAFRHMIQTEGFFSLYKGLVPSIISMAPSGAVFYGVYDILKSAYLHSPEGRKRIEDMKQGSKELNVFEQLELGPIRTLLYGAIAGACSEAATYPFEVVRRHLQMQVRATKLSTLATCIKIVEQGGIPALYAGLIPSLLQVLPSAAISYFVYEFMKIVLKVESA >OMO56081 pep supercontig:CCACVL1_1.0:contig14555:42608:45897:-1 gene:CCACVL1_26776 transcript:OMO56081 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLDc MGKKKSLKSKAVHFVADLTTGLLNPISDKPSKPPHPPAPEDVSESKRSQPESTNGENDEDIADGPDTSSFTAFLYSFLSSSESVHNLNSDEQNDNQEETGGASSDTTMKESGRRKSLLSRGKQSLKALYHATRISGYKNQDPKGDSDMKSDDEADAKFDGLEMKDMQSDMQSAKEPLALGDLPETSEPSLLLTEKARNVLYASLPALVQGRKWLLLYSTWRNGISLSTLYRRSMLWPGLSLLVVGDRKGAVFGGLVEAPLKPTNKKYQGTNSTFVFTNRPGDPVIFRPTGANRYFTLCSSEFLAIGGGGHFALYLDGDLLNGSSSFSETYGNPCLACSEDFEVKEVELWGFVYGSKYDEILALSKTEMPGICSNIDLIDNAVAFYCGFEALW >OMO56076 pep supercontig:CCACVL1_1.0:contig14555:22012:24065:-1 gene:CCACVL1_26771 transcript:OMO56076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGDNKAREFGVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKPTVNSSQKTEQQGGGCAC >OMO56077 pep supercontig:CCACVL1_1.0:contig14555:25927:29257:1 gene:CCACVL1_26772 transcript:OMO56077 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MSKSSQMSQPQSPGGSEAGCSDHHAYDRSIVIPNKLDAAESDNFHSKELSWFGTSQIPTDLTIQVQEVIFKVHKYPLVSKSGYIGRLELQPSISNFGHEIKLENFPGGSESFEMILKFCYGLPVDFDPDNIAALRCASEYLEMTEEFEDGNLIAKTEAFLTFVVLASWKDTITVLKSCETLSPWAENLQIVRRCCDSIAYKASREESSTGETWWFNDVATLRIDHFMRIMTAIRAKGTQAEIIGKCIMNYADKWLPDMDEEVEGLRGYGFGKNELQFSILRRKEEESSAQHKEQRTIIESLVSILPSQHGAVSCRFLLQMLKTAMVYSASPALISDLEKRVGMMLEDANVNDLLIPNFKNEDHGIMVNSPEQRTMHNIDVVQRILEYFLMHEQQQQQQKIGKTNVSKLMDNYLAEIARDPNLSITKFHVLAESLPEYARSCDDGLYRAIDTYLKTHPSLSEHDRRRLCKIMNCEKLSFDACMHAAQNDRLPLRTVVQVLFSEQAKMRMAMQGKEPSTRGDNSEQEEHEHRPSTSTEIKNLKEELENVKTKMAELQNDYSDLQREYEKICNKHKNAMGWSLNWRKIKNSFHVKAENDETGEEQQRPNPAGFRLSFRRRQSVS >OMO56079 pep supercontig:CCACVL1_1.0:contig14555:31322:33675:-1 gene:CCACVL1_26774 transcript:OMO56079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter MAATKPKASTQSQSSGNMSPRVWLYSILLTLQYGAQPLISKRFTRREVIVTSSVLICEGAKVKKHSSYLMTVEMSIVGSLCLLASISKSPDGEAIRRHGFFYGWTPLTLIPVVANALGGILVGLVTSLAGGVRKGFVIVSALLVTAMLQFLFEGKPPSIYCLMALPLVISSISIYQKYPYRYSTKKKEA >OMO56080 pep supercontig:CCACVL1_1.0:contig14555:36179:41234:1 gene:CCACVL1_26775 transcript:OMO56080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVFGCVDNSHAKRSRIIELSRRLRHRGPDWSGIHCHQDCYLAHQRLAIVDPTSGDQPLYNEDKTVIVTVNGEIYNHKQLREEKLKSHKFRTGSDCEVIAHLYEEYGEDFVDMLDGMFSFVLLDTRDKSFIAARDAIGITPLYMGWGLDGSVWFSSEMKALSDDCERFMSFLPGHIYSSKQGALRRWYNPPWFSEQIPSTPYDPKVLREAFEKAVLKRLMTDVPFGVLLSGGLDSSLVAAVAARFLANSEVASQWGSQLHTFCIGLKGSPDLKAAREVADYIGTRHHEFHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEELCRKIKALHLYDCLRANKSTSAWGLEARVPFLDKDFINIAMSIDPEWKMIRPDLGRIEKWVIRNAFDDDQKPYLPKHILYRQKEQFSDGVGYSWIDGLKEHAEKQVTDGMLMNANFIYPENTPTTKEGYYYRTIFEKFFPKNAARSTVPGGPSVACSTAKAVEWDASWSKNLDPSGRAALGVHAAAYEEATDIKPDDLTNGPPQKIQKGMVEQTTTVV >OMO56075 pep supercontig:CCACVL1_1.0:contig14555:17187:20824:1 gene:CCACVL1_26770 transcript:OMO56075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSIEEGQDVYSISVLPDEVNPSPKCTPQLTFLSLLEVPFPSKKQMCLDAQLSCQNCIDLNVDSEDAYSSCILDINIEKENSDMLKSSEETVASTKSEGVVTHLQKVLQRQASLNVGESPLNLEFNSLSLLLEVKCGDIDIDLSNAES >OMO56073 pep supercontig:CCACVL1_1.0:contig14555:1269:1379:1 gene:CCACVL1_26768 transcript:OMO56073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKISAAAAHVACCCFFFVECRTISDTKLMGGIM >OMO56078 pep supercontig:CCACVL1_1.0:contig14555:30128:30691:-1 gene:CCACVL1_26773 transcript:OMO56078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MGSRLGRRVVHFANLPIKLLMPNTFTNIQEIALKTIPSASKIEIKRVLESLYGFDVEKVRTLNMEGKKKKRGGLLIAKPDYKKAYVTLRTPLSISENLFPIKVIQEEKESINKNSKNKTVVEEGEKKKHWLDEKMKDGGADKGKGKGSYASGRGGNGSGKGSYAPVRGGNGSGGEVKFPWSSMRRAS >OMO81360 pep supercontig:CCACVL1_1.0:contig10194:23038:25202:1 gene:CCACVL1_12452 transcript:OMO81360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKSFPEYTSSFSGEFDDFENQSTAYNFNGPCNKSNGFAASNDPELKRKKRIASYNVFTMEGKLKSSVRSSFKWIKSKFSESRYAPAR >OMO81361 pep supercontig:CCACVL1_1.0:contig10194:27246:27341:1 gene:CCACVL1_12453 transcript:OMO81361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSSGGADNRQNSRDSTPTTSSAPTFKAK >OMP04851 pep supercontig:CCACVL1_1.0:contig05608:7885:14250:1 gene:CCACVL1_02123 transcript:OMP04851 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor MGKSKGKQRKQIILPPDLPPEINEDEIEVSDEDIQFVDENKDYAGFVSRLDTQSINKQVTRVEGLSEDALEALYEKRRKKTLEQKENEKPVVQVDPVDALPVKSLDGQIYYRTFSQTAEVAENEEENEDKSVVKLTKPERRAKLKKSKKEAKKQAKELPKTEEVQPNPQEAALAEVKEDLTAEEAFESKKCKLAELGMALLADPQSNIKSLKEMLQFAKDADPSVVKLGLLSLLAVFKDIIPGYRIRLPTEKELEMKVSKEVKKMRFYESTLLSAYKGYLQKLLALEKQPMFHHIVVRCICTLLDAVPHFNFSQSLLGAVVRNIGSSDDVVRRLCCTTIKSLFTYEGKHGGEATVEAVRLIADHVKAHDCQLHPDSVEVLMSLSFDEDLGKPEVAEVNNKGKNKKDRKRKNVEESNQVQGNDRKKSKKEMIAKMKEEVAADYKAVAYTPDIEERKRMQSETLSALFETYFRILRHTTQSSVPSSEANGIITPGASGTHPLLAPCLSGLGKFSHLIDLDYIGDLMNYLKRLASSGSNSAQKVQNLTVSERLRCCIVAFKVMRSNLDALNVDLQDFFVQLYNLVLEYRPGRDQGEVLAEALKIMLCDDRQHDMQKAAAFVKRLATFSLCFGSAESMAALVTLRHLLQKNVKCRNLLENDAGGGSVSGSIASLELNLLSNTIIQSISTLASSISSMNTAQNQVYLSISPQQAFKNLSLELESFNPKGSGTQKSNNKRKRGTAPSTLGSTEPTSIDENEVSKKLTEHFMLLRDIKENERLRGELNRTTSSLELYEEYKKQRKSAKHKTKKSKKLLPVN >OMP01039 pep supercontig:CCACVL1_1.0:contig06554:906:3233:1 gene:CCACVL1_03188 transcript:OMP01039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSSTKDVQDFCDIQNMEVREQIELANESVSLEVVNLENTIVSPNVVEEFAPYIGKLFESLGEAEQFYKTFAKEQGFGIRIRSSIMASRSDEVISRIYVCCNEGQYKENSKNECVDDVKKTKRKCSVARSGCGALFKVSKVKNSEKWVVKSFLNEHNHHMVSPKSVFYLRCHKKMAAAAKSLVQQFNEEGIPTGKVASLFGNVDKQFSDRDCWNHLRDLRRKNLDVGDAQAVLCYFRRKQAENPNFFYAIQCDGEDRLVNLFWVDAQSRLAYDKFGDAITFDTTYKTNKYSMPFAPFVGINHHCQSILFGCGLLQDESEQTFIWLFETWLEAMHQKAPVSIITDQDLAIGAAVRKVFPDSRHRLCLWHIKKKFPEKLSHIYHKKSDFKRELKKCIRESATVEEFEKTWHELMVKNGLKQHEWLQGLYQIRTSWIPVYNQETFFAGMNTTQRSESINSFFDSFVDSTTTLKQFVVRYEKALASRHEKERKEDFESRHKSRILRIGSKMEYHGALVYTKKVFTLFQDELVKSNQFIKEKISKNGSCYEYKVSSCFDSRDSFLVSMDLSSKVGTCKCNLFEFKGILCRHILAIFHLKNVVEIPSHFILKRWTKEANKGNVIFENTPSFEDDLEKSAAARCLHVCRLINQLSSFAEKSKEQYKVIVGDLDQIFKKVLTMEEDNISSEKPVDDLIHDAMESQQNQSNHIPLVNIGDPHISQTKGRKKSGHESQNNRFKSGLEIALANQTVKKRTCHSCGGYGHNKRTCKGKQNSTDIPT >OMO89591 pep supercontig:CCACVL1_1.0:contig08666:160:2300:-1 gene:CCACVL1_07747 transcript:OMO89591 gene_biotype:protein_coding transcript_biotype:protein_coding description:high mobility group B protein 13-like protein MKVFIIARVACMWETVYASSDTAARTDLLLVDADITAAIFIIRSSWDVTPVEPIRGDKFISHKGIKLLTLDEARDRTPITKNMMAKKNKEKYMEEMEAYNLQTEKEEEAESQRKEVF >OMO89596 pep supercontig:CCACVL1_1.0:contig08666:66528:66695:-1 gene:CCACVL1_07752 transcript:OMO89596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WIRTEFHSQLNHQADSNEIPLIPDGFIEGGCMQFSTPSSPPSPHFRKHHGCFNLG >OMO89594 pep supercontig:CCACVL1_1.0:contig08666:34608:41102:-1 gene:CCACVL1_07750 transcript:OMO89594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEKKKKSSPISLEQFISTITPLIDLEKDAEISASISSGASRNLDTAQKRGSTILNLKCVDAQTGLMGKTLLEFQSNKGDVLPAHKFGTHDVVVLKPNKADLGSPALGQGVVYRLKDSSITVAFDDVPEDGLNSPLRLEKVANEVTYRRMKDAMIQLSKGVVKGPAADLVPVLFGERLPTVAKKGVTFTPFNSNLDHSQKDAILKALSSKNVFLLHGPPGTGKTTTVIEIILQEVKRGSKVLACAASNIAVDNIVERLAPHRVKLVRLGHPACLLPQVLDSALDAQVLRGDNSSLANDIRKEMKALNGKLLKTKDRNTRRDIQKELRTLSKEERKRQQLAVTDVIKNADVILTTLTGAFTRKLDNTTFDLVIIDEAAQALEIACWMALLKGSRCILAGDHLQLPPTIQSVEAERKGLGRTLFERLADLYGDEVMSMLTVQYRMHEHIMNWSSKELYNSKIKAHPSVAAHMLFDLENVKRSSSTEPTLVLIDIAGCDMEEKKDEEESTLNEGEAEVAISHAKRLVQSGVHASDIGIITPYAAQVVLLKMLKSNEDKLKDLEISTVDGFQGREKEAIIISMVRSNSKKEVGFLKDRRRMNVAVTRARRQCCLVCDTETVSSDGFLKRLVEYFEEHGEYLSASEYCNE >OMO89595 pep supercontig:CCACVL1_1.0:contig08666:44100:63531:-1 gene:CCACVL1_07751 transcript:OMO89595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGANVVQDYNQSWRGFSIKSRREIRGVDWKKEERRWILVFSSRLYFEHLCSDLLCSVLPEVTQLSENGDED >OMO89593 pep supercontig:CCACVL1_1.0:contig08666:32716:33603:1 gene:CCACVL1_07749 transcript:OMO89593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYRKSPLKPWKKGPTRGKGGPQNASCQYRGVRQRTWGKWVAEIREPKKRTRLWLGSFATAEEAAMAYDEAARRLYGPDAYLNLPHLQQPNSSNSNSNKLLHHKFKWVPSKNFISMFPSSCGLLNLNAQPSVHVIHQRLQELKKNGVFNPMTTSSSESKTDDSIQIITNDELTPAAEDNQMKEKDVEVSSDKMAAGAYEDKPQIDLHEFLQQQGILREEKQSDKGVETTEQSLTATDSSIKDYDEISAFAENNFNWDAMIEMHGVSDYQFGAESSFQVHDFQEDPTFPTSIWNF >OMO89592 pep supercontig:CCACVL1_1.0:contig08666:23896:31000:1 gene:CCACVL1_07748 transcript:OMO89592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGFGLSNNGERVFLDLLGSVNSLSTAHIVLLARPRNPTLLVDSEDSYYGDYLTIYLRNHFNLVELQAYYGVAGVIIIASAFQAILGCSGLMSLFLRVFYYFSLLSDLIQEAPVISRNIRGNTKEMLALRCLEELFGANNSLTNVAPPDSRVTFDLSLSCNDVLQQILREVSIPKLKRACPELLRWDVHPFIMHKRASLPKCALEQLKDTILNEIHVLDGDKNGPKWWPDDSDDENSNQEGNLIPQVHENDNEVLQDRLLEKTLTPSKRCGSDLIAANLVGAVNGNKDSICNDLLVNAKKFKQEATCTVQSFEQIPITLNGDEQLEDETERSTKGTEMEGDNMRKDSQAGEGEQISNAQNADIMVEQNVVMVVPSHVENAVLQKSPSGDANDFQVNAEKFKKDATCTVQSFEQIPISLNGDEQLDDETERSTKVTEMEGDNTRKYSQAGEGNQMENAQNVDMMVEQTCGDRPFQNVVMVVPSHVENAALQKNPGSDAKENFNQGFPLSCPISSSVDGLQQNHVPVKANADMEHPRAEQMSEHEDERIDIALEKSLFLSSQCTPSQDPLGKSGMTEQNFCVKCNKKGKVLYLEAKHMTSLARKEVAAFLELCSKKLTLEPWKSTSHPRLNADEDLVGTYESGHLGQRECNFICQDRVVNHGSSAACLNGDKLCLEKETFMVGVVHAQGEKNEEEEKMEPGQTGHEHQSMREHEHQQDQLPANPKCSNDNLTGENTVPVPANEVEVEEIAKEVVQPQDSDPPQVPASAVNSDGEESSTAANDKFIISAYPIRAKKHEPKYTSPPIPQLRRKKLPWTKTEEEMLKKGVKKFGSYGRSMPWTKILDFGTSVFLKGRTAIDLKDKWRNMSKVSPRCK >OMP02192 pep supercontig:CCACVL1_1.0:contig06298:15544:21917:-1 gene:CCACVL1_02876 transcript:OMP02192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C13, legumain MNGVSSSLRAAFSYCVQQVRSYDYHHYLCLLELPPNMRKAAFALRAFNVETARAMDVASDPKVGLMRLLWWQEAIDKIYANKLIEHPTAQALSSVISESKISKAWLKRIVEARINDASREVTDLPESIEELEKYAEDTASLILYMTLQAGGIKSTAVDHAASHVGKASGLLLLLKSLPYHASRNRHFSYIPTKVAAKHGLLVKEGGRSEIRLDSREGLCDAVFDMASVANAHLLKARELTDTVPLEARKALLPAVPAQVLLDSLSQVQFDVFDSRLARGVLGGAARLNHWESGIRLPSEKDEPQEVDVDDQQLGTRWAVLVAGSSGYGNYRHQADICHAYQVLRKGGLKEENIIVFMYDDIAMHELNPRQGVIINHPQGDDVYAGVPKDYTGAHVTAANLYAVLLGDKSKLSGGTGKVVDSKPDDRIFIYYSDHGGPGVLGMPNMPYLYAMDFIDVLKKKHAAGTYKEMVIYVEACESGSIFEGIMPKDLNIYVTTASNAQESSWGTYCPGMEPPPPPEYITCLGDLYSVAWMEDSETHNLKRETVKQQYESVKERTSNFNSYAMGGSHVMEYGNTSIKPEKLYLYQGYDPATVNFPPNELSPHTRMEAVNQRDADILFLWHMYKNSEDASKKTEILKQITETMRHRSHLDGSIDLIGTLLYGPAKGSSILNSIREPGLPLVDDWQCLKSMVRVFETYCGSLTQYGMKHMRAFANICNSGVSKSSMEEACVAACSSVDPRQWQWHPSNLGFSA >OMP02200 pep supercontig:CCACVL1_1.0:contig06298:74664:77830:1 gene:CCACVL1_02884 transcript:OMP02200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock chaperonin-binding protein MDAAKLNELKKFIDQCKSNPSVLGDPSLSFFRDYLESLGAKIPSSAYGHGASDSKDKSFVEEESDEEVGDEGEKINVEEEEEEDEIMESDIELEGETVEPDNDPPQKMGDPSVEVTDENRDASQAAKAKALDALSEGKFEEAIEHLTEAILLNPKSAIMYGTRASVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGIARAMLGQWEDAAKDLHLASKLDFDEEINNVLKKVEPNAHRIEEHRRKYDRLRKEREDRKIERERRRRRAEAQAAYEKAKKQEQSSSSRRPGGMPGGFPGGMPGGMPGGFPGGMPGGMPGGFPGGMPGGMPGNVDFSKILNDPELMAAFSDPEVMAALQDVMKNPANLAKHQANPKVAPIIAKMMSKFAGPSK >OMP02195 pep supercontig:CCACVL1_1.0:contig06298:26984:30598:-1 gene:CCACVL1_02879 transcript:OMP02195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAERVLRISSSSSAVCNLNGSQRRPTPLSSSTRFLGLPPRASSSSLSSSLSQFLGSVRIGSRLPITRHQQGKRRNFSVFAMAAEEGKRAVPLKDYRNIGIMAHIDAGKTTTTERVLYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWKNHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVIQLPVGAEDNFQGVVDLVTMKAVIWSGEELGAKFSYEDIPADLQELAEEYRAQMIETLVELDDQAMENYLEGIEPDEATIKKLIRKGTIGSSFVPVLCGSAFKNKGVQPLLDAVMDYLPSPLDLPAMKGTDPENPEVTIERTASDDEPFSGLAFKIMNDSFVGSLTFVRIYAGKLSAGSYVLNANKGKKERIGRLLEMHANSREDVKVALAGDIVALAGLKDTITGETLCDPDHPIVLERMDFPDPVIKVAIEPKTKADVDKMAAGLIKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISKVSEVKYVHKKQSGGSGQFADITVRFEPLEAGGGYEFKSEIKGGAVPKEYIPGVMKGLEECMNNGVLAGYPVVDLRAVLVDGSYHDVDSSVLAFQLAARGAFREGVRKAGPRMLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDALVPLAEMFQYVSTLRGMTKGRASYSMQLAKFDVVPQHIQNELASKAQEVAA >OMP02190 pep supercontig:CCACVL1_1.0:contig06298:3202:3267:1 gene:CCACVL1_02874 transcript:OMP02190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMKLVETGEWGLGILRVN >OMP02204 pep supercontig:CCACVL1_1.0:contig06298:96972:98988:1 gene:CCACVL1_02888 transcript:OMP02204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MTLFSTSEIGSWLINNTTRLYDFPRVFFTLVGLFAVLWYSWTFIKSSKGKPPSPPGPRGLPLVGNLPFLQPELHSYFADLARTYGPVVKLQLGSKIGILVTSPSAAREVLKDQDIIFANRDVPVAGMIATGGRDIVWNPYGPEWRMLRKVCVLKMLSNSTLDKVYSLRRKEVRDTVSYLNSKAGSAVNISEQMFLTILNVVTNMLWGGTFEGDARASIGAEFRHAISEVTEILGLPNVSDFFPVLAPLDLQGLMKRMGKPVEKLNGIIEKIIDQRLKLEQSESGSTSSTGEFKDFLQFLIQLKDEEDSNPPMTMNHIKALLLDMVVGGSDTSSNSIEFTMAEVINKPEVMRKAQQELDEVVGKDNIVEESHIHKLPYLLAIMKESLRLHPVLPLLVPHRPTEACTVGGYAIPKDCRVFVNAWAIHRDPSVWENPQEFNPERFLNSKWDFSGSDFNYFPFGSGRRICAGIAMAERMVLYSVATLLHSFDWEVPEGKKLDLTEKFGIVLKLENPLVAIPTPRLSNPTLYE >OMP02191 pep supercontig:CCACVL1_1.0:contig06298:9663:10154:1 gene:CCACVL1_02875 transcript:OMP02191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MKKIRGFRLGRKLVKVFKWIIRPRRKNFRNGFLSGPTRSYNPLSRIRSFASFLRRGTKRLCNSKSDPGFIQLGEEGVKRVGVPKGHLAVYVGDAEGATRRVVVPVIYFNHPLFGELLKESERVYGFNHSGGITLPCGISEFEKVKMRIADWDHCRRKHHRRYL >OMP02201 pep supercontig:CCACVL1_1.0:contig06298:86762:86854:1 gene:CCACVL1_02885 transcript:OMP02201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQACHPGRKTALGYWIVRILVFMTSDLIV >OMP02193 pep supercontig:CCACVL1_1.0:contig06298:22656:22769:1 gene:CCACVL1_02877 transcript:OMP02193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELASRSKERVEQAIVRVEGTRRTEEANNLPLRVHCF >OMP02202 pep supercontig:CCACVL1_1.0:contig06298:91601:92143:1 gene:CCACVL1_02886 transcript:OMP02202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVSIQISRNLIDRLTADDEKLKKRTKKSKPRVPREPQQPKRKVDQKQISDDSENQKGTTGTGWPVPPPLYLPINQSPYPATAELDAIRSVVKESESVVEKLRKQEENMVQEVTQKAKDLHDKEFKIPDPKPMPCSVENNAWMTCYKENANDLTKCASLAQSFADCARRVRQLAKSADK >OMP02194 pep supercontig:CCACVL1_1.0:contig06298:23426:26273:-1 gene:CCACVL1_02878 transcript:OMP02194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MAEEAKAKGNAAFSSGDFNTAIKHFTEAINLAPTNHVLYSNRSAAYASLHQYDAALSDAKKTVELKPDWSKGYSRLGAAHIGLHQYQDAISAYKKGLEIDPNNEPLKSGLADAQAAATASSSRARAGPPPSPFGDAFQGPEMWAKLTADPSTRIYLQQPDFVKAMQEIQRNPSKLNDYLQDQRVMQALGVLLNVKFKTQGDDMDIPEADSPPPQQSTQPAKEEVKKPEPVPEPEPEPMEISEEEKEKKEKKEMSLKEKEAGNAAYKKKDFETAIQHYTKALELDDEDISYLTNRAAVYLEMGKYEECIKDCDKAVERGRELRSDFKMIARALTRKGTALVKMAKTSKDYEPAIETFQKALTEHRNPDTLKKLNEAEKAKKDLEQQEYFDPKKADEEREKGNECFKQMKYPEAVKHYTESLRRNPKDPKAYSNRAACYTKLGALPEGLKDAEKCIELDPTFSKGYTRKGAVQFFMKEYDKALETYQEGLKHDPNNQELLDGVRRCVEQINKASRGDLSPEELKERQAKAMQDPEIQNILSDPVMRQVLIDFQENPKAAQDHMKNPMVMNKIQKLVTAGIVQIR >OMP02203 pep supercontig:CCACVL1_1.0:contig06298:94003:96143:1 gene:CCACVL1_02887 transcript:OMP02203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRIVPLLVVVSILAMDLVGSMAAPVHLCCFLVHHLVSSQQLMMLKGKSRIMLTKELLMRNGFQEAMLKLATTDKDKIEITKEHPMYINAQGALGTEFAIMGRTLNAPGDWWASYGYEIPTLQRVAIRILSQPCSSYWCRWNWSTFESVHNKKRNKGELEKFNDLVFVHCNLWLQAISQNRDAKCKPITFDEIDVSSEWPTELELSAPLLDDSWLDNLPLECRGSP >OMP02199 pep supercontig:CCACVL1_1.0:contig06298:72126:73548:1 gene:CCACVL1_02883 transcript:OMP02199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLLIIAVLAILGTMATVTDAQPACASKLTPCFPFLNNATAKPTKDCCDPIKDAVANDLTCLCNIYNDPNLLQALNITVAAALRISSECGITQGLSSCNLTATSPTSAPPPPGQQAGGADKIALTGFSTLLLFLVSALFY >OMP02196 pep supercontig:CCACVL1_1.0:contig06298:45833:46462:-1 gene:CCACVL1_02880 transcript:OMP02196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MAASSYFSIIALIFLTINLNLCSAATTGPIHRPNGRNTRFIRTSCRTTLFPNLCFTTFSKYSTRIRGNPRFLATTGLSLALNTTRSATKTLATLSKRHGLNRKDAAALRDCVQQLGNAVDELKDSISEMSSTRRGNNKDFRFRMSNIQTWVSAALTNEDTCMDGFSSAGKHKINERGFKIIVRGRVRRVGRLTSIVLAFVNRYVAATTH >OMP02198 pep supercontig:CCACVL1_1.0:contig06298:66037:68031:-1 gene:CCACVL1_02882 transcript:OMP02198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSIGIATSAAAAAFRSTTIKRTHFRLKISCVQWDPEGIFKGPPQTGHLARLEFKRRLEKDSEAREAFEQHLREEKERRRALRESREIPDTPAELIEYFLDTEAQEIEFEIARMRQRLDEEFFSHLKFEIGQIRFAVSKTEDMEDRLIELEALQKALEEGTEAYDRMQADLITAKKSLTKIFTSKNIKETLLEMVEANELNRSLLALLDENIASANMGNQKEAAAFMEKIRSAVLKYLTV >OMP02197 pep supercontig:CCACVL1_1.0:contig06298:49020:50820:-1 gene:CCACVL1_02881 transcript:OMP02197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MKETHKNSATIASSLRQKQQEAEKHKSKDEEHKKKVEAKNALENSYNMRNIVKDEKIGAKLPPADKKKIEDAIEAAIQWLDINQLAEADDFEDKMKELEIICNPIITKMYQGCVLDEAVPAGGNGAGPKIEEVD >OMP10476 pep supercontig:CCACVL1_1.0:contig02448:796:1038:-1 gene:CCACVL1_00951 transcript:OMP10476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVQKDPPFFHQIFCFFLDTRVQIPMDLLFAYRLHIIRKTLVFSKPLVHISHQTVLFDNVYLVDSLLTQASIQISVLHSFVK >OMP06412 pep supercontig:CCACVL1_1.0:contig04943:1256:6728:-1 gene:CCACVL1_01590 transcript:OMP06412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein MCHPSIDDSSSEAMTEAEGYETEFRSYQDDAWYSVKLLLEGERDDQLRVKFDNFPDDHDNVFPAEQFKSVDELKEFVSRFRKVSAQLQDSDCSMVIKGTHVCASDSFGIDEFRFYDAIVDEVMHCDHSYANGEEVCHCSFLLEWNHGPHAGCLSDKGVADICIVQNAELEPNLTRFRAIVMKKIEKSITNVLACIPIPQPEEIGNPIVKPEPIFYGSEEQGKHVQRSLGLVWPSEAVTKEAKVYCESRQDTDLGSHKKHYYMIVVHNLEKKLSSSALLDFIHKQTSTAAQVYIFPSLPCQPYKNGIIVLDCEKDVEKLFGFLQNPNHFVVSSNGRPLVATEKMLLDNQWTSAFERPTMLLNGSCGEFSNELRIVSIGTREFERAKKLRDLFLEFLNHQREEMAGKGWTWKRSIEIAWISMVLFRLKEAEGVRIVIEREECLSHDVKYKGDTLHLSFVVIKADSLWGEDGVDLVIKGPSGNQIHDFRDKTSGKYEFVVHQKGVYRFCFYNKSPYHETIDFDVHVGHFKHHKEHAKDEHFAPLLEHISKLEEALYDIHFEQHWLEAQIDRQQIVNESMGRRAIHKAMLESAALIGASVLQVYLLKRLVERKLETSKV >OMO94502 pep supercontig:CCACVL1_1.0:contig07857:20392:27353:1 gene:CCACVL1_05964 transcript:OMO94502 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MWCGIKYSPCHTCIRSNLFSIIKSETEDTIPELDDYPEVTPVGIAGIEYTLDPVLSLHAIKHQKAIILIDSGSSHNFMDKITTKRIGWQTHQITGIGVKVANGHQLWARDICYDVPWEAQGLAQCTTFMLLPLSGCDVVLGVEWLVTLGPILWDFSKLTMEFTMAQVQYCMKGLQAGSIQLVTQKHAAKFSVTTLGTCAMLLHNSYEPSLCQVDITALPVDLQNLLTSYEFIFETPTTLPPSREHDHRIPLIDEQQTVKLKPYRYPAFQKDEIERLISEMLQTGVIRNSTSAFASPVELVKKKDGSWRLCIDYRQLNKLTMKDRFPIPLVEELLDELSQACCFSNLDLRSGYHQIRMHEDDHLQQVFDVLAHNQLYLKHSKCEFGAATVVYLGHVISKGVVAMDVNKVQAVSDWPVQKSIMELRGFLGLTRKPEILLASFSVVSSELLDQIKHAWLQDPHTVHLMHRAQASSDSKYTWQAGLLRRNGKLVIANDAALRQALITHFHSSSLGVHSGVNATMQRISSAVYWKGLKKQSKGKDTIMVVVDRLSKYAHFIPMSHPFSALTVAQDFWDYIYKLHGTPKSITDGQSEVVNHCLETYLRCMSGGRPQDWAFWLPLVEFGMKNQADKHRSDRSFAIGNLVYVKLQTYCQKSMVNRCCLKLSARFFGPYKVVQKIGVVAYKLELPPGAKIHPVFHVSQLKKHVGLATTQSQLPALDDAGLIAMEPITILDRRINKRRGRMITEVLVQWSNCFPEDATWECLYEGEGAMPIFPSTTLGGATKESDLGPTSLIQVAEPISIEGNLVAHWNSQEISYRNGIFSDECNCISWNLDQETSDPCTSGCSMSDLDLEILGVENACAAPGEDSRCSCAQDYLYQVCSVSDVIEPYILSRVVRQLGYVQVIPPPILIPTKYVAHSHPRLLSDGEPSAAMPLRSNSGYWVNHVLHRWRDTISDLGSTDAQLAEQHQMTLE >OMO99734 pep supercontig:CCACVL1_1.0:contig06822:1738:1839:-1 gene:CCACVL1_03657 transcript:OMO99734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWSKSKTIQNSTNGPRKARKAFLVIVRS >OMP12134 pep supercontig:CCACVL1_1.0:contig00386:862:4064:-1 gene:CCACVL1_00109 transcript:OMP12134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVIAVSRLQLSYRRPLTLRPFMGLRAILVKEAQ >OMP10760 pep supercontig:CCACVL1_1.0:contig01963:7:126:-1 gene:CCACVL1_00798 transcript:OMP10760 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP GLPPDIHTISVGLSASMGSFILVGGEITKRVAFPYARRQ >OMP02325 pep supercontig:CCACVL1_1.0:contig06274:3263:4048:-1 gene:CCACVL1_02831 transcript:OMP02325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKVNQADLANALQSIVQRMDNMNTRLDAMIDNVQRVKKSYTSSSGSWKTPYKEGDFTLDSSGDSDDERDDDGAAIDDGDELASLSLVASRTLGAYVKTNVQRENLFHTRMYANGKPSSVIIDGESCTNIVSDYLVKELQLPTSKHPKPYSLGWFNDGEEIRVNKQVLVSLSLGRYKEDILCDVLPMRACHVLLGKPWQYENKVKHDKETNKYSFCRNVRVRGVRTLPFNDLKGGKTIEILWESPLIFFNLGVISHLLDY >OMP02326 pep supercontig:CCACVL1_1.0:contig06274:5809:7200:1 gene:CCACVL1_02832 transcript:OMP02326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDISMVSMHLHASFQPMHILSILSMLVFKSMISPLGRYKGDVLCDVLPMQACHVLLGRPFQFDNKVHHDGETNRYCFMCGKKPISLIPLSLQEALKDEIKVKDDFAKLDAEFRIKEKSKSEPKIDDCFDDKTTLVEKSVLDVICDDTKPNLSVVCDDTKSVLDANCDENKSVLVDHSIENNFVLVDHSIENNSVLVDHNIENRTVLGEKKEISKEVVKECMLATESEIKSALQDNSALILLLFRNTLMGTNNLAGDIQSNIMSLLSDFVEIGVVLMQGEKPVAYFCEKIPSGLPSIREVEHHPYGVRYEFNESFPYVVQYNQDLRTNLLQGGGNDAPKAYHGRNDAPRTYYGLEDKHGEHGKDDQGLQGGVDKLKGEDGIAIHQEDAIKMLFDPLKMPLGLMTRARAKRFKDALMGLVRTHLDDMKTIQVQLKSFDDDLSKKTPTNYKFITLFAIDSKWPD >OMO55270 pep supercontig:CCACVL1_1.0:contig14745:2211:3595:1 gene:CCACVL1_27321 transcript:OMO55270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MGKRIGNVKFEVGAIICTILLLAPVVLSQTIPASKSQLNSWFSSTIKPLSARKDSLDPELATADAEPQIIKVKKDGSGDFDTITKAIASVPPGNTKRVIISIGLGVYKEKVKIDRMKPFITLLGDAQAIPNITFDGTAKKYGTVDSATLIVESDYFVASNINIVNSAPKPDGVMEGAQAAALRISGSMAAFYNCKFIGYQDTLCDDRGYHFFKDCYIYGTVDFIFGSGTSIYLNSELYVEGDSGLTVITAQARESASEKTGYIFVHCSITGTGNGTYLGRAWKTHPRVVLAYTDISEIVNPEGWSNKFHPERESTVFFGEYKCMGKGASSSGRAKFTKALTEDQAKPFLDLSFIDAMKWLLPPPNKV >OMO55271 pep supercontig:CCACVL1_1.0:contig14745:7633:12801:1 gene:CCACVL1_27322 transcript:OMO55271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MPDSVNWKHHITQLACCYEPEVAAEPDGVMMKIIGPAFEAPENNNQKFEVGAVICTILLLAPVVLSQTIPASKSQLNSSFSFTIKPLYARKDSLDPKLATAEAEPQIVKVKKDGSGDFDFDTITKAIASVPPGNTKRVILSIGLGVYKEKISAPKPNGVMEGAQAAALRISGSMAAFYKCKFIGYRDTLCDDRGYHFFKDCYIYGTVDFIFGSGTSIYLNSELYVEGDSGLTVITAQARESALEKTGYIFVHCSITGMAYHGLEEHNGAIGDHVLDKLGDVLEIQEDATDDPGLNTSLAEQGVEDRPPSHGATSHDRPTNGTSHRTSHEPSGHNRPSHGPSGHNRPFDPLAIPQGPMTRARAKRFKETLLGFVRSHLGGLESIEDHLEGIKVDITKNIPIDSKLFTLLEIDEH >OMO51337 pep supercontig:CCACVL1_1.0:contig15881:58814:59557:-1 gene:CCACVL1_29848 transcript:OMO51337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEGWLKGGLCLYQGCWYPARILRNVIAFHRHFQPQDHDIILASRPKSGTTWLKALIFSIANRSRFTNSSNPSPLLTKSPHELIPFIEFTLYGQKELPNLTSIQSPRLFSTHIPYLSLPVSIKERDYNIRIVYICRNPFDVVVSSWHFAAQARNSLERPMEDLVNNFCKGIESFGSFWDHVLGYWKASLENPKKVLFLKYEDLKEDTNFHHLKKLAKFIGFPFSMEEEKEGVIEEISKLCSLSSLRELE >OMO51334 pep supercontig:CCACVL1_1.0:contig15881:23291:26566:-1 gene:CCACVL1_29845 transcript:OMO51334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGSLKSASLLHFELEVRKSQQPATTRPSACLQTAMTAPPPTFLVYFEWQS >OMO51335 pep supercontig:CCACVL1_1.0:contig15881:27773:35045:1 gene:CCACVL1_29846 transcript:OMO51335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKECGRMERRKGSGRDAAPQGRPAVAKPPHGWFAVAKPPYGQFAVAKPPQWDGLPMVKPPQWGDFAVAEPS >OMO51336 pep supercontig:CCACVL1_1.0:contig15881:43465:43581:1 gene:CCACVL1_29847 transcript:OMO51336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLAHAMPDGVSWFEEPPDQLLPLLVEDRLGTGILRL >OMP07304 pep supercontig:CCACVL1_1.0:contig04537:901:963:1 gene:CCACVL1_01334 transcript:OMP07304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGSGRVSYVYRRGIESTKVK >OMO71214 pep supercontig:CCACVL1_1.0:contig11704:1392:4892:1 gene:CCACVL1_18360 transcript:OMO71214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease V MSESESPPAQSTPPNPEEELTQPNIPIEFVSEEEMALIEAAYAATRSSISSSICSPSRFQSNTRSIQSITLFSKRSFSDSTQPDIEDSGNFLSTQKKTRSGVAEPFLHRFRRERGLSVTDITATEWCEKQMEFSLLFGKRKISKAMKVGQARHVKLEQEVVKKVKVGVESAEDIWAIKFNNFICGANQLLFEGLTRELPLIGFVEGVWLVGVIDEIRMPQSQSDKNPILVDTKTRQRDFLPAEPQRRNGRLQLMCYKHLWDTLVTGAFPTREFFDVFSLNRNSILSEDIREKTANSGFPAKREASYTPEEERWKCRHCQFATVCPGNPKPDTTSGSRNSDPSSPLS >OMP06121 pep supercontig:CCACVL1_1.0:contig05068:14481:16700:1 gene:CCACVL1_01706 transcript:OMP06121 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein MAPSKLKRALGHVKDQTSISLAKVGGSTSISDLEVAIVKATRHEEYPAEERYIREIISLTSYSRAYISACVNTLSRRLNKTQNWTVALKTLILIQRLLAEGDPAYEQEIFFSTRRGTRILNMSDFRDTSRSNSWDYSAFHLQQLLDRFLACRPTGTAKCNRVVTVALYPIVKESFQIYYDITEILGILIDRFMQLDVSESVKVYEIFSREAKQFDELDNFYSWCKSVGICRSSEYPEIEKITQKKLELIDEFIRDKSALQQCKMIEDQEELIQEVNEPEAEPEIDMNAIKALPAPEEPSLLGEEEPEEVKEEDNSKALVVQQEADLLNLGEYAMSSQDHANQLALALFDGGAPAAAGPSAPGPNWEAFKDEADWESALVQSASNITNQRATLGGGFDMLMLDGMYQQGQTVAAMAQSGFAATGSASSVAFGSAGRPAMLALPAPPSSNGMGGNGGDPFAASLQVAPPPYVQMSDMEKKQRLLMEEQAMWEQYKRDGRQGHIATSAMQQTYPNPNNYNQGRQGGYMHGYNY >OMP06120 pep supercontig:CCACVL1_1.0:contig05068:6251:13745:1 gene:CCACVL1_01705 transcript:OMP06120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGHGSSKEDDSSSSSSSDADTKPSRLSRLKRRLHLHRRHHHRKPSSSSHQKLQDVDDFAGIALLTLIKAEMKFKDKWLACVSFGEQTFRTKTSDQTDKPSWNCERKLLLERNGPRVARISVFETNRISKNNLIGYCDIDLIDYLARDSDSDFDAFDLLDPVSSDVVVGRICISCDVEDPIETEKNFAKRILSIVDYNEDGMLSFSEFSDLINAFGNNAAASKKEELFKAADKNGDGVVSMDELAELLALQQEKEPIMNCCPVCGEKIEVSDKLNTVIHLSLCFDEGTGNQVMTGGFLTEKQASYGWMFKLSEWAHFSSYDVGLNSGSSASHIVVFDRKTKRLVEELIDAKIVLSMRAIYQSKIGLGLMDKGAKEILQSISERQGRQMNSVESAKDIPKFLEFFKDQINMAEVKYPLEHFKTFNEFFVRELKPGARPIASMERDDVAVCAADSRLMAFKSVQDSLRLWIKGRKFSVQGLLGKEICSSAFVDGTLVILRLAPQDYHRFHVPVSGTIEKFIDIPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTAEFGKVAFVAIGATMVGSITFLKKEGDIVKKGDEFGYFSFGGSTVICVFEKDAIKIDDDLLANSGRSLETLVSVGTTLGVSTKKPAGTGLPNLERCVLGD >OMP06122 pep supercontig:CCACVL1_1.0:contig05068:19698:20405:-1 gene:CCACVL1_01707 transcript:OMP06122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPQPCHQLSSLDNVNEISLHVNDSTTIEKTQFEELSADNNEFDYTERGQWLRAAVLGANDGLVSVASLLMGVGSVKQDIKAMIVAGFAGLVAGACSMAIGEFVSVYTQRDVEIAQRKREKKRKSECGTGNVDEEEKEEELPSPGQAAAASALAFSVGAVLPLLAAAFISDHKVRMAVVAAVATMALMVFGVVGALLGGTPVVKSSARVLVGGWMAMAITFGLTKLIGSDGMQI >OMP06767 pep supercontig:CCACVL1_1.0:contig04805:542:741:-1 gene:CCACVL1_01440 transcript:OMP06767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLAYTGIHGYAMAGVWTFCGACFGIFLIFKNICCRNNDSSSSSLADQLDRYSLLLFLLFVLLTLLA >OMO62589 pep supercontig:CCACVL1_1.0:contig13237:3078:4232:1 gene:CCACVL1_22734 transcript:OMO62589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVSSAFPKNERKKRTTGRSGSRRINAASTEGAECLIAFLLDFKRFTTASVQKALERRCNFRGKVTVMGKDDHKYLVYLEEAAASRINYGTVEVYDLQNNLYSNRIRAFAYRRSRGTSRISYRGTPNENPQPEDPDAAINPQENINIQTRDDNVEMEDMEQQIHAGAQHIQNDGNQQIQTVPLELEDDEIVPINVNDGYEADSEWESDSHENQIVPSLPKSPSFGLEPIMGLEETRERLLSN >OMO62590 pep supercontig:CCACVL1_1.0:contig13237:9365:12182:-1 gene:CCACVL1_22735 transcript:OMO62590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Camphor resistance CrcB protein MDPTPNYEQTRTGSFSVTSSRVSSLTRRSISSAMSGQVDEDVDSETVSEAGDIGDRALQSRRYSASSSFRLSVDHATESIILPLTEDVVSPLPIDPVKSPGVEKQEKQGLPLSLEYISCLIHLAVFGILGVLTRYLLERLSGPSVAGVTSNETIVFPNLPSNMVGSFLMGWFGVVFKGDISQVSDILAIGLTTGYLGSLTTFSGWNQKMLDLSVNGHWVQAVLGFLVGLFLAAYSIIFGIETAKGFRWILRRLYSSKKEVPSTCRSWTLNSYRRQLAAMLVLLLVLVVLLSVSGALLKEEFGSGSSGAQLWLACLVGSLGVWMRWFLARLNGRGLGKSGILRWLPFGTLIANVSAACIMAALSTLVKSVNSKNFDTVASGIQLGFLGCLSTVSTFIAEFNAMRESKYPWRAYGYALITTAVSFGLGILIYNVPVWTKNFT >OMO89789 pep supercontig:CCACVL1_1.0:contig08609:9407:12361:1 gene:CCACVL1_07627 transcript:OMO89789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MTKYSVGEELGCGVGGLFQRWSRKSSSLPALAAKKPSTGDSRKKRNNVLMDSSNMAPKQDQKPSRKPDMSLPPRNSTSTPHQRKDHNRRVSDAGRSSTSSSSSSSQARDQSQTPDSKGDRKLQKESNNGKALIRATSSNIMLAGQLGNLRQFGNGSAMANNSLNATIKAVDDFYQNVQEGGSNSIAKPARKSSLGKHGGTVMGNIVRQPSDEFKLFHGQMSKTDPEALKNMGNVAYKQGRFEEALTLYEKAISLDSKQATYRCNKSAALLGLGRLLEAVVECKAAIQLDPTYARAHHRLATIYLRLGEAEQALYHYKHAGNIADSNDVSEAEALKQRLKRCNDARKSNEWNALLKETQCAISSGVDSAPEVYALQTEAFLKLQRHQEAYTAYMKGPNFAIESCINFFGLTVSAYLLMIRALVYMVSGRLEDAESAAQHAARLDPGNKEISVVVKRTRAVSSARTSGNLLFKASKFLEACNVYGEGLEYDPYNSVLLCNRAACRSKLGQFEKAIEDCTAALNVQPSYSKARLRRADCNAKLERWEAAIQDYEMLIRETPGDEEVARALFEAQVQIKKQHGEDVKDMKFGSNLVLISSNERFRHFVTSPGMTVVLFCNKANHKQVLQLMEQVCKRFPSVNFLKVEVEDHPYLTKSEAVSSIPAFKIYKNGSRVKEVPGNNAELLEKSVKLYSS >OMO89788 pep supercontig:CCACVL1_1.0:contig08609:4271:6462:1 gene:CCACVL1_07626 transcript:OMO89788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCRHGFPILHMAPYNGYGRTNPKLALLDKMQRPNKG >OMO50158 pep supercontig:CCACVL1_1.0:contig16289:21354:26143:-1 gene:CCACVL1_30596 transcript:OMO50158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 2 MICTLAARLVSAEETLNRSVILPSSRIVQNWQPPPIGSVKLNTDVSFCRGKGEAGLGAVLRDASGQVLISGVARISNVSDSLFAEVYAIRFGLLVAYTYGHLSCHVESDSLLAIRELNRRDLVLWEGYSFTEFIEFEFLVGCFQDIYELFNCFAFHLLRVREVNNLKKPYLPPREVHVQVSHSMPPEKIEIFKSLNDWAEKNLLVLLKPVESCWQPNDFLPAPESEGFYDQVKELRERCKELSDEYFVVLVGDMITEEALPTYQTMLNTLDGVRDETGASLASWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMKQIEKTIQYLIGSGMDPKTENNPYLGFIYTSFQERATFISHGNTARLAKEHGDLKLAQICGIIASDEKRHETAYTKIVEKLFEIDPDGTVLALADMMKKKISMPAHLMFDGQDDNLFEHYSAVAQRIGVYTAKDYADILEFLVGRWNIEKLTGLSGEGRSAQDFVCGLPARIRRLEERAQARAKQLQASSVPFSWIFDRKLMV >OMO50156 pep supercontig:CCACVL1_1.0:contig16289:4745:15624:1 gene:CCACVL1_30594 transcript:OMO50156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MMEESASHKEPLQLTLALLPNLFPGIKITYNEDLLNLLSTIIRPSKFVFALFMLYYILMLASTSDMAKACYTVKQELEALRIENVLMKRAVDDLRQEISAGKFGDLNSGKYREMDKNGISKSKTERRNNEKKSIEGDVNEELKKALKGVAVGDTLAEKFADRGEWTRWDKSLIDLKSGYHQIRMKEGDEWKTAFKTKLRLYECHSLEEHAEHLRCVLDVLRVEKLYANLKKCTFCTNKLVFLGFVVSSQGIEVAEEKIKAIKDWPTPTNVGQVRSFHGLAGFYRRFVKDFISTLSAPITSVMKKNASFKWGREQQEAFETLKDKLTNSPLLVLPNFNNIFDIECDASGVGIGAVLMQGDKPVAYFSEKVNVTALNYPTYDKELYALQGKENVMADALSRRYVLISMLDSKFLGFEFIKELYASDAYFGDIFKMRYDVGKHVSSCIVCLQAKSTSKPHGLYTHLPIPHEPWTHISMGFVLGLPHSRRGQDPVFVVVDRFSKMAHFIACTKTDDAINVANLFFKEIVRLYGMPRTIVSDRDTKFLSHFWRTLWAKLGTKLLFSTTCHLQTDGQTEVVNSENLRRTLIKKNVRTLIKNNLKTWEDCLPHVEFAYNRSIHSTIGYSPFEIVYGFNPLTPLDLLSLPLSVQVDMDGKRKAEYVKGLHAKVRAQIEKKTQHYMKIADKGRKERTNPFQGRGDDVTSTYHGLEEHNGDHGEDVYGLKGSKDKLEDNGEIASHVPNTKEMPKMPFGPMTRARAKRFKDALMGLVRIHFEDLKTIDEVMSKNIPIDSNLLKIGFVSLCAEDNLAYHITIAERPLFSKFITNHAKPDHAPLVKKELTAFMLCLICKFIVGTSSRACISEYIRLAKLMASRKRLALGQFVLGMLYRGLYNFSQDISGVAHGPLCDAPRAYHGLEDDNGDHGKDVQGLQGSMEIHEDHGDIDKHVPSTKKMPFDPLKMPIGPMTRARSKRFKDALMGLVRTHLDDMKTMKVQLKSFGDDLGKKTSIDSKLITLLAIDVSLEERSNSYKLFLLGLLKLKGVGKGKNCVRSSRVIRGPPTHLGLVQTREHKNGTMGDRQDGENQEVNMTTLLQTLVQRMDTMSIQLIQRMDTEKESVKKEFVSPKTESKGSSSSSSKTYIECSKEEGDFNLGSSGDDNEERDNEEAAIDDGDEIPPLKSLVARRTLSAYVKGDVHNQRENLFHTKCYVNGKPSSVIIDDVSCTNIASVYLVRELQLPTSKHPKPYNLGWFNDREEIRVNKQVLVTLSLGRYKEDVLCDVLLMQACHVLLGRPWQYDNKVQHDGDTNKYSFMCDVFPEEIPSGLPPVRGIEHQIDFIPGTQIPNRPAYRTNPDETKELEKQVGKLLKKGYVRYKKGKENIVADALSRRRV >OMO50157 pep supercontig:CCACVL1_1.0:contig16289:19390:19968:1 gene:CCACVL1_30595 transcript:OMO50157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGLDLDAIPQTTITQTHYHTHKMFLLCNYILLGAASSCVFLTLSLRLFPSLCGAFLILLHVVTIAGAVSGCAAASSGTNRWYAAHMVATVLTAIFQGSVAVLMFTRTSDFLGNLKSYVREKDGAVILKLAGGLCIVIFCLEWVALTLAFFLKYYAYVEAAHHNSSTPNPIKRTAKVHQEDLKDWPWPFQV >OMO50155 pep supercontig:CCACVL1_1.0:contig16289:6:530:-1 gene:CCACVL1_30593 transcript:OMO50155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAQEKADPKYCDYHRRVNHSFAECRIMRRLLDRKVRNGEVVVDNRGVRNNPLPVHGGDVAAVIHSVDDEPHTEEEDDTRVAAYVTATIATSVLKTPNVRSFFDQLGFSEDARKEAAEALVHIADKYHGEGGLVDSSMKRMSRAYRNAIVFTEADMCTPNPNHNKPLYVESTY >OMO50159 pep supercontig:CCACVL1_1.0:contig16289:41106:42528:1 gene:CCACVL1_30597 transcript:OMO50159 gene_biotype:protein_coding transcript_biotype:protein_coding description:e3 ubiquitin/ISG15 ligase TRIM25-like protein MRHFDKQIAGKERHSRKVNKKSSGEDHCYSSSKVESSVPVNQGLDSSSGGDGDHFWRIRGLTIGEWSSGAADLGGPMMNPIGSGGA >OMO89220 pep supercontig:CCACVL1_1.0:contig08824:616:2027:-1 gene:CCACVL1_07979 transcript:OMO89220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATVEEIRKAQRADGVATILSIATANPPNCISQSDYPDFYFRVTNSSHLTYLKNKFIRMCDRTMIKKRYFHMTEEMLKANPSMCTYMAPSLDARQDITVEAVPKLGQEAAAKAIKEWLLDLSPSVKRFMVYLQGCFAGGTALRLAKDLAENNKGARVLVVCSEILALFFRGVSETETNLDYLVGSAIFSDGAAAVIVGADPDPVLELIEEFTRFDRKQCRENYYREFSSIGSIKDWNSIFYVVHPGGRLILDLLEAKLGLEKHKLRATRHVLSEFGNMSSASVLFVLDEMCKKCMEEGKATTGEGLEWGVLLGLGPGLTAETVVLHSIPIKSK >OMO87188 pep supercontig:CCACVL1_1.0:contig09287:1689:1748:-1 gene:CCACVL1_09212 transcript:OMO87188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKPRPGHEHTSREVVRK >OMO53777 pep supercontig:CCACVL1_1.0:contig15156:8745:8834:-1 gene:CCACVL1_28354 transcript:OMO53777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LESFDDFRLRPSTILSSKAKQEGVVQNTVE >OMO74147 pep supercontig:CCACVL1_1.0:contig11157:4962:7971:1 gene:CCACVL1_16935 transcript:OMO74147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MANKEWGSGFNQSAWGWGRGPYNPQNQTQGPNKINVGGSENWHFGFNYSEWAFKNGPFYFNDTLVFKYDPPSNTTFPHSVYLLPNLWSYLNCDLRRAKMIANVTQGGGEGFHFVLNRWRPYYFACGERNGLHCRDGRMKFMAILNRNRKSEHEREGGSWKMSVFGGDSWGREAQYRKRRIDEVLIEGVDVSSYKKLSSGKYACLVCPHNPILDTPLMLSTHCKGSRHRAAESRLKEKELRKRDELNKRIALSDCPTSSSVNSCTAKQNVHLASKPLIQTAQKAVSEILNDKSPKHNSTNEDHDMMLRQNDVKTEMPPFCQNYPFPTNETSNKSIETQLDFRERRERELKFTSAGWKRDCNGKWYKDENVEFDSDEEDPNTSFG >OMO74146 pep supercontig:CCACVL1_1.0:contig11157:2125:2797:1 gene:CCACVL1_16934 transcript:OMO74146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MGLRYAHGLILIVTLASILGVSMANKDWGSKSNNTGWGWGWGWGYNHPINETKEGPNKIIVGGSENWHFGFNYSDWAFQNAPFYFNDTLVFKYDPPSNTTFPHSVYLFPDFWSYLNCDIKRAKMVANPTQGGGDGFEFVLKRWKPHYFACGERNGFHCKNGGMRFMVVPLFRWHY >OMO77003 pep supercontig:CCACVL1_1.0:contig10849:1729:1791:-1 gene:CCACVL1_15237 transcript:OMO77003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIELCRIILDSKCPTVQLA >OMO82952 pep supercontig:CCACVL1_1.0:contig09957:9348:11197:-1 gene:CCACVL1_11646 transcript:OMO82952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MTPIALQWLSLVGIIWLQSINGTNSNFPAYSSQLKLLLSISQLQLNNLAFASDAGKLFGWLSGIAADYLPLWLVLIIGSSLGLIGKKYYMISRTAFVVALMAPTGGAFFLLVNTNNLWLYMSTAIIGVCTGAMTSISATLTAELFGTKHFGVNHNVVVVNIPIGSCLFGYLAALVYRKQGAGGSAADGKCFGVDCYRSTFILWGSLCFFGTFLALMLYARTRKFYLYNSQSS >OMO82956 pep supercontig:CCACVL1_1.0:contig09957:23405:24814:1 gene:CCACVL1_11650 transcript:OMO82956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MGSLGNIPFTMEMKSIFLVKPSTHTPSGVLSLSTLDNNPNLERIGHIIFSYQANHESYVANGNSNDPACIIEEALSKLLVYYYPLAGKLKRGSTDGKLLINCNAHGVPFSVATAHCNLSSLNYLDGIDLSIARQFVLDLPSDFNNGCHPLMFQVTKFSCGGFTVAMGMAHSVLDGFGAAQVFRALTEIASKKALGAYIWRSKCRALKLDPNGKTVLRLVVGIRQFLNPPLPNGYYGNAFINVNVIMTCRDLIDQGSFSEVVKRIKESKKLASTMDYMRKAMSIMEKRRQLHSQPDGATGAFMVLSDWRQLGVKGETDFGWKSFVNMISLPWNIYGDVDLCLLMSPSKLDHSMKGGVKVLVSLPRASMAKFKAEMNVLNHGPHFTSLL >OMO82958 pep supercontig:CCACVL1_1.0:contig09957:28392:29216:1 gene:CCACVL1_11652 transcript:OMO82958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSAPVHPANLPIPVDLFVSKKHPGLPRGVLGFADSSGNIVFRVNRPSDDKTVLLDSTGIPLISIYHHQDGSWQGFKGDDGEKDPLFKVKRIMNKFSNTELEVFLVAENENQGELTCDFKVKGCHFQRSCTIYKGDSIAAQDILVLSLL >OMO82953 pep supercontig:CCACVL1_1.0:contig09957:13030:15895:1 gene:CCACVL1_11647 transcript:OMO82953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGEITSATLQPTADKPLIVRVKRKVSQSPLGALWLEINERPSKRAFSDFQKLSLSESSLKEELKNKKVFLHHVDTVISPEATVDIVQSFIKNPADATANGDAKTRQGLHTLKRNNRQDQLSKSIQNQEEVAKNARFEQISRSRKDKKEAVDELCHFYDVVRVDAEESSSRMQVEEEISLEDQKLLASFLPLLKEHIPIAAAQIQSDMRAYVSEQDEYVYDYYTVNDDEMDLDVNMASNPFPLVQVDDEDFYDVPYESEYDSEDSNAEDNPRNEYPDETSEDEEGEEDEEEDASDESEEGSEQASRDSSDLYSEDEIYCDDDDDGTNHLNHGSSDDDNNKGENWI >OMO82954 pep supercontig:CCACVL1_1.0:contig09957:18140:19552:1 gene:CCACVL1_11648 transcript:OMO82954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MATTQTKISFAVDRKEVVLVKPFKPTPSEVLSCSTIDNDPNLELICHSVFVYQANGDHSPDKDPACIIEEALSKALVYYYPLAGKLKRQSDGRLKITCNNNADDADGVPFLVATAHCQLSSLNYLDGIDVETAKQFAFDFVDSESNDDLGYHPLVLQVTKFSCGGFTIAMSLSHSICDGFGASQFFRALVEIASGKSEPSVKPVWKRELLVAKPVDEIPPFFVDMNSAAIAKFKEEMDALKHSDECAGA >OMO82955 pep supercontig:CCACVL1_1.0:contig09957:20873:22231:1 gene:CCACVL1_11649 transcript:OMO82955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MEALGNIPFTMERKSIVLVKPSISTPSEVLSLSTLDNDPNMERIGHIIFTYQANESYILANGNSSNDPACIIEEALSKLLVYYYPLAGKLKRGSDGKLLINCNANGVPFSVTTADCKLSSLNYLDGIDFAIARQFVLDLRPSDFDHGCHPLMFQVTKFSCGGFTVAMGMAHSVFDGFGAAQIYRALTEIASKKISEPSVRPVWQRERLMAKPAQEIVPETLLLDRNIALATSPYMLTMDIVHKYFNITAESIKRLKMMSEDQNASSFEVLGAYIWRSKCRALKLDPNGKTVLRLVVGIRQFLPNGYYGNAFIYVNVIMTCRDLDEGPFPDVVKRIKESKRLASTEDYMRKAMSIMEKRRQLNSQPDGATGASMVLTDWRQTDFGWKSFVNMISLPWKMYGDVDLCILKPPSKLDHSMKGGVKALVSLPRASMAKFKEEMNVLNHGAHFTSML >OMO82957 pep supercontig:CCACVL1_1.0:contig09957:25514:27263:1 gene:CCACVL1_11651 transcript:OMO82957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYNFKEITKIPNSKDFIDIVLSSTQRQTPTVIHKGSGIVHIRTFYRRKDHFKLALGEINKARNQISKISKGYERLLNFGDSLHQCNSLKVAALGRMRTLIEKIGPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFMNKITKADVDVQPYAFTTKSLFVGHTEYKYLRYQVVDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDLSGSCGYSIAQQAALFHSIKSLFMNKPLIIVCDKADLQSLDGLSEEEMKLVKEMKAEAMKAVMGQEEVLMTMSTLTEDGVMDVKNAACERLLNQRVELKMKSKRINDCLNRFHVAIPKPRDQKERPHCIPQAVLEEKLKRKTAEKDLEEENGGAGVYSASLRKKYILANDEWKEDIFPEILDGHNVYDFVDPDNLSRLEELDREEGFNFRQAEEEDDDDGNYELSQEEQEALAAIRKKKSLLIQKHRIKKSTAGSRPTVPRKFDKDRKFTAKRMGRQLSIMGLDPSLAMNRACGGSRGRKREKSLDNSAADDAMDMDVDRPNKML >OMO86227 pep supercontig:CCACVL1_1.0:contig09515:2036:2116:-1 gene:CCACVL1_09711 transcript:OMO86227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILAMDINRETITSQLKWASSALKFCW >OMO83102 pep supercontig:CCACVL1_1.0:contig09941:27706:33907:-1 gene:CCACVL1_11556 transcript:OMO83102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVPDAPETSSATITSVEDVQVSSCCQVWKSKYSKALEGRKCLKQAVGLLQKGFDDAQAQNVALKKAYEEEKVRAKSEKEGRENESALRVSLENELSALKFEISNLKQNGVSDTEDKTEEIKLLKASVSDREKEISWLKELVEKEKNKAELEKKNAAAEKKEAAEASKHAEIEKGKACEERRLAEIERKKAEDYRIQLEALRKEFNKAKSKLVSEKSQFDEATKQLQEEKKKAVELRQRADLEMAKAEEQRKIAEETKKKADEERKCADMEMANAKKLRKIAEETKKKAVEERKRADSQMAKAEEQLKLAEETKKKAVEEIKRADSQMAKAEEQLKLAEETKKKAVEEGKRAELEMGKVEEQRKISEAAKKEADEEKLHAKNLLEQLEEARQRNEELEKKLQELSGTRNLREGFYDKPDKIRSAEAAKTKKTSQVDVLKEDAYKSKEVSNDPQSEVEKGKAICERNRVDSEMRKAEKKRKFVEVDAKKAMEEKCRDDHLLQQLEDARLKIDELQKQIHQLSSSRKTVDALVVSSDKGIGAEVAKVKLLKEQLKFEKKRVKHAKDVAKLERVRSNLLQQEVGHMKLELVQFMNRLDALDKCFSAPAEGIDDMEKDGGFASMQWSKLKEKLDSVKFRNTCLQTNNQLLARRMDTTAYNPLGDQHYADLLPLGENCTESITGINSELESLHGGSDPKILQSSAINSSTASFSDRQLVGSQERGAFSVTTSAKLGEENVQPTIVSMSDEVTRNRCNENLAVVAENSVRSPLPVDHLGRFNGRVKKRKRVLDAVESIELLCIESQKLHLQLEDKLSVLHGMVKGQTDKSTKEAKLVRSNLLDDMPYAVHDRSCKKRKIFNEETGAIQQSREDLRMEQMQHCPQPLEEANEFRPACQPANHLMDSVNIFGETPCDPDKIDPKIMDGFEEIVKGNYMKLLDLDDAAEEERYRIAAEMPVSPTLPEIEFPGTETYEVDQLRTIQYDNCGRSHEVENVASSTSFDVINVEKNPDKLHCNREGTSPRSLLRENEGSPGSLDILRSNENGFSSSIESIPEYCVVHSSIKDHGSVSKIFNATRSCMSQCSLSAQTQFVVHRILHALKLEEKLSAKEKVCVFFSLVLLNFCRIASVKCSLIRDFSPYLNLFVEHINTVMSDAESRSLLVELCLDELLSGIEDFLVEGKVFLNTNLSSETETPVEYDSRRHIIIDGSDVISLHADASADLLVAASIVLGSICTAADRTGFMCEAVYNIVRMRRYDSSVVLIILHVFASVGCDKFFNLRKYSLTMTVLKSIVMFLERERAAVASVTNSSVGDVQPQFLPCVECPFSKDMLSVDIVVSLLFEELQKFGQSGMVHQDLTANSSNSSVVSTQDKNEQNLSLALDMNCDVSCCLDQFNVPGKQSGPVVTQSLCHISDVLSLIELLACNMSWNWTCEKIIAQLLVMLDSSVLESFTLAIIILLGQLGRLGVDAVGYEDKEVDNLKVKLSAFLWKESTIRAGLPIQLATVAALLGLLSLDFEKVIQKNANLSVAS >OMO83104 pep supercontig:CCACVL1_1.0:contig09941:40098:40202:-1 gene:CCACVL1_11558 transcript:OMO83104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRTMGNHPMSLFGLSFPIASHRVKNEAKTETL >OMO83107 pep supercontig:CCACVL1_1.0:contig09941:59194:59367:-1 gene:CCACVL1_11562 transcript:OMO83107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKAMQRAKYMPSKEGPSPQIFRGTRGLTKVEKRRLRARRKAIEAQASDWKKCYVQ >OMO83103 pep supercontig:CCACVL1_1.0:contig09941:34337:39760:-1 gene:CCACVL1_11557 transcript:OMO83103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMDEICSSPKYWAWLDPLKDELKKQSRFGSKSASGRPAHIFSPISLSSSGRLI >OMO83100 pep supercontig:CCACVL1_1.0:contig09941:720:3893:1 gene:CCACVL1_11553 transcript:OMO83100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGAIATLKKSPNYYGADFGSVCAVTGAIKWSLLGRTKCAQMLGEVYTTLEVWAILSQMGRSP >OMO83106 pep supercontig:CCACVL1_1.0:contig09941:58348:58728:1 gene:CCACVL1_11561 transcript:OMO83106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSKASAPIALLLALNLIFSTLVSSSSPPPPPPPSTCIPILSSTACIALLGVLNVDASISIGSNPSNLCCTSILGLIGVSADACICPLLTTVRALLYPAVPLANIDVVVGRFNSFCNSKISACI >OMO83101 pep supercontig:CCACVL1_1.0:contig09941:10086:10247:-1 gene:CCACVL1_11554 transcript:OMO83101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPRQVSPKQRKMKNTMLLDLRERICFYLSDHAADRPKREIYKKERKEKIKT >OMO83105 pep supercontig:CCACVL1_1.0:contig09941:47606:47995:1 gene:CCACVL1_11559 transcript:OMO83105 gene_biotype:protein_coding transcript_biotype:protein_coding description:14 kDa proline-rich protein DC2.15-like protein MAYSNSKASAAIALLLALNLLFSTMASASLALPPPLPPPPSTCTSILSLNVCTALASVVSVNGTLALGSNPSNPCCRSILGLVGANANVCPCILLKFVRHLLPFLPLVDLKVAVSAFNNYCNTHITACI >OMP06219 pep supercontig:CCACVL1_1.0:contig05022:12098:17163:-1 gene:CCACVL1_01673 transcript:OMP06219 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MENSEGATFNTPISEILDVSGYSSSFVKTYGTYGIVVAVIVSIIIPMLLSTLIMGKKKRKKRGVPVEVGGEAGYAVRNARFSELVQVPLAGATTMAALFEQCCKKYSRDRFTGTRKLIKKDFVTASDGRKFEKLHLGDYEWQTYGQVYRRVCNFASGLVNFGHNVDTRAAIFAETRAEWQIAFQGCFRQSITVVTIYASLGEEALIHSLNETQVSTLICDSKQLKKLAAISSRLTTITNVIYFEDDEAANVSSLSNWNVCSFNEVEKLGERAPVPASLPSKDSVAVIMYTSGSTGLPKGVMITHGNIVATAAAVMTVIPRLGRNDVYLAYLPLAHVFELAAESVMLTAGVAVGYGSPLTLTDTSNKIMKGTKGDASALKPTLMAAVPAILDRVRDGVLKKVEEKGGVAKKLFDIAYKRRLAAIEGSWFGAWGLERWLWDIIVFERVRAVLGGHIRFMLCGGAPLSGNSQRFINICMGAPIGQGYGLTETFAGAAFSEWDDTNVGRVGPPLPCCYIKLVSWEEGGYTTSDKPMPRGEVVVGGFSVTSGYFNNPGKTDEVYKVDEKGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEAALMSSDYVDNMMVYADPFHNYCVALLVPSQKVLEKWAKEAGIQYNDFPELCGKAETISEVQRSLSKVGKDAKLDKFEIPAKIKLLPDPWTPESGLVTAALKIKREQLKTKFKDELQKLYE >OMP06217 pep supercontig:CCACVL1_1.0:contig05022:3415:7912:-1 gene:CCACVL1_01671 transcript:OMP06217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASRILQTSLFPAFSPHLHHHKTRYFHSHSQSQTYPSLSLKKLRSVVQCSVSTSETKTPIQEVPWGCDIDSLENAEALQKWLSDSGLPSQKMAIDKVDVGERGLVALKNIRKGEKILFVPPSLFITADSEWSSPEAGKVLKQYSVPDWPLLATYLISEASFGNSSRWGNYISALPRQPYSLLYWTRAELDRYLEASQIRQRAIERVTNVIGTYNDLRLRIFSKYPDLFPEEVFNMETFRWSFGILFSRLVRLPSMDEKVALVPWADMLNHSCEVETFLDYDKSSQGVVFTTDRAYQPGEQVFISYGRKSNGELLLSYGFVPKEGTNPSDSAELPLSLKKSDKCYKEKLEALRKHGLSASQCYPIQITGWPLELMAYAYLVVSPPSMSKQFEEMAAAASNKSTTKKDLRYPEIEEKALQFILDSCESSISKYSKFLQASGSMDLDVTSPKQLNRSVFLKQLAVDLCTNTEEETAGYEEW >OMP06218 pep supercontig:CCACVL1_1.0:contig05022:9065:11173:1 gene:CCACVL1_01672 transcript:OMP06218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWPLVYSMLLLPTGRSSKSSIPTWPFLVLSCFGGAYALLPYFVLWSPPPPPVEEDELKKWPLNFLESKITAAISLAAGIGLIIYAGLANGDVWTEFYQYFRESKFV >OMO70611 pep supercontig:CCACVL1_1.0:contig11805:35619:37721:-1 gene:CCACVL1_18770 transcript:OMO70611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRPNNIAEQLPCFSNIERRTYEDIMFQLYEAALNGSVPTLTRLIHSDPQILHRVSLSPNPETPLHISALAGHLDFTKALLTIKPELASRFDSFKCSPLHLASAEGHGEIVKALLQVNKDVCLVADAEGRIPLHLAAMRGKVEVIQQLVDAQPKSIHQKVNGNYTVFNICIQYNQIEALRQLVSLVNEDQLMDFKDHRGNSILHLAVMLRQSETIRYLVSIPTIKTEVDTALNNIGMSALDMLEYSARDLKCLEIQDILMRAVAVGKNINTSSSKSHQSQAILPLANGKSYENQVNQGAIRLSKLKGIIKSGYRKFFTHQDNWVEKMQKTLMVVATLTGAMSFQVITNPPGGVWQQDYNETTMPVCRNGFNQTCHAGTAVLAYIYPDDYRYLIIFATISFAASFSIVILAISGLPIKNKFCTWLLILAMVGDIIYTVNTYALALGMVVPERVIDDSGKALNYTLLYGNGLMILVLIFTIISLLLWILIGLFTITTRILRWWFTISNSPNRPIRI >OMO70610 pep supercontig:CCACVL1_1.0:contig11805:30087:31976:-1 gene:CCACVL1_18769 transcript:OMO70610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRPNNIAERLPCFCDIERRPYEDIMFQLYEAALNGSVSTLTRLIQNDPQILHRVSLSPNPETPLHISALAGHLDFTKALLTIKPELASRFDSFKCSPLHLASAEGHTEIVKALLQVNKDVCLAPDAEGRIPLHLAAMRGKVEVIQQLIDAQPKSIHQKVNGNYTVMHICIQYNQIEALRQLISLVNEDQLMDFLDHRGNSILHLAVMLRQSEIIRYLVSIPTIKTEVNALNNIGMSALDMLEYSARDLKCLEIQDILMRAVAVGKNINTSSSKSHQSQAMLPLANGKSHENQVNQGAIRLTKVKGIIKSGYRKFFTHRDGWVEKMQKTLMVVATLTAAMSFQVATNPPGGVWQQDYNNTTMPVCNNGIDQICQAGTAVLAYTYPLYYRYLIINATMSFAASLTAVLLAISGLPLKNTLSTWLLIIAMIADIMFTINTYYFAISMVVPDHVLDDAAEALNYALLYGNGLISLVLMFVIIRLLLWLLSFTRKLLGWFRTSCNIPGRRPFGI >OMO70604 pep supercontig:CCACVL1_1.0:contig11805:104:898:1 gene:CCACVL1_18763 transcript:OMO70604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type PDILGFSSFHSTSGCPFGESCHFLHYVPGGFKAVSQMLGNSPALPAAAARNSVVPPSFPDGSSPPAVKTRLCNKFSTQEGCKFGDKCHFAHGEWELGKPTGPAYEDPRAMGPMSGRMGGRMEPPSQGVGAAASFGASATAKISIDASLAGAIIGKNGVNSKHICRVTGAKLSIRENESDPSSRNIELEGTFDQIKQASAMVRELILNVGSGSGAGMKNPSMGGGSGPANNFKTKLCENFTKGSCTFGDRCHFAHGMDELRKPAM >OMO70609 pep supercontig:CCACVL1_1.0:contig11805:26177:27214:-1 gene:CCACVL1_18768 transcript:OMO70609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MNLSAAEEESAQEIHIPADIDWEMLDKSKFFLLGAALFSGVSATLYPVVLVKTRQQVAQAQLSGIRTAFSIVKHEGFRALYRGFGTSLTGTIPARALYMAALEVTKSNVGSATLKFGVPEPTAAAIANAVAGLSAAMAAQLVWTPIDVVSQRLMVQGSHPSCSSPCRYVNGIDAFRKILNTDGPKGLYRGFGISILTYAPSNAVWWASYSVAQRLVWGGIGCYYYKKDDESNENGNGSNMIRPDSKTVMAVQGVSAAMAGGVSALITMPLDTIKTRLQVLDGEENGRRGPTIGQTVRNLVKEGGWLACYRGLGPRWASMSMSATTMITTYEFLKRLSAKNQESLM >OMO70613 pep supercontig:CCACVL1_1.0:contig11805:46298:48178:-1 gene:CCACVL1_18772 transcript:OMO70613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex protein Exo70 MEPPENSNKDSNGFEMAEKIILRWDSTASEDARERMIFETDRQEADLYLQAVDEIQRSLSNASISSSSNNNTVSTGGDDQSKVNTTIQIAMARLEDEFRNILLTHTSALETDSLFDPTSSAASTPHAQEFEEDDNSLSHHSTSSNSLLNLQHCESSDSSSYRSTSSIREVDLMPAEAIADLQAIAMRMISSGYLRECIQVYGSVRKSAVDSSFRKLGIEKLSIGDIQRLEWEALESKIRRWIRAAKVCIRILFASEKRLCEQIFECVGTDIDDACFMETVKGPAIQLFNFAEAISISRRSPEKLFKILDLHDALMDLLPDIEAVFDSKSSDSIRVQAAEILSRLAEAARGILSEFENAVLREPSRVPVPGGTIHPLTRYVMNYISLISDYKQTLIELIMSKPSTGSRYSGDPSTPDMEFAELEGKTPLALHLIWIIVILQFNLDGKSKHYRDASLAHLFMMNNVHYIVQKVKGSPELREMIGDDYLRKLTGKFRQSATSYQRATWVNVLYCLRDEGLHVSGGFSSGVSKSALRERFKTFNAMFEDVHRTQATWLIPDAQLREELRISISEKLLPAYRSFLGRFRSHIESGKHPENYIKYSVEDLETAVLDFFEGYPVSQHLRRRSQ >OMO70605 pep supercontig:CCACVL1_1.0:contig11805:4498:8921:1 gene:CCACVL1_18764 transcript:OMO70605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMQQVVLPKSSANGFGRRRGDREVGARVDNKVQSGKSNQGRIQNTVPGGKTGAYESSSRDRLVYLTTCLIGHLVEVHVKNGSIYTGIFHATDAEKDFGIILKMARLIKDGTSRGQKAITDFVSKAPSKILIIPAKELVQVIAKDVAVTRDGFASELQREKHQEILIDSAISQSRHVEAERELEPWVPDEDDPQCPELENVFDGPLNRNWDQFETNQKLFGVKSTFNEELYTTKLERGPQMRDLEREAMRIAREIEGEDTRDLHLAEERGFHLHDNFDLDEEMRYSSVYRGSRVDDSGYEEEEDIMLDSQNMETFGESSDSLGNRPADLTSLQSIDGAQMMSSSSLVGEAPPFQANVGADWNRAGSNDQARQLASELPSKSFSISDDGNRIQDNLLGEYGGSSSAKDLAEKRPASDDLQLPNSADPQSLPNDKIDGSEKGGPSGIPTTHAPSSSSSKASEKPSSSGEVSEAQASSKVAIETQSLNSRGRPGSSASSNSDRLGVVSASSGPGLSPSSSVGSLSSEKSTLNPHAKEFKLNPNAKSFTPSQGPVRPPSPVSDGSFYYPTQMSPVPHMHMPFGIGPSFPGHQPVIFNPQVAPVQSPQAYFHPNGPQYGQQMLVGQRQVVYYQPEMQYKGREY >OMO70612 pep supercontig:CCACVL1_1.0:contig11805:41396:44476:1 gene:CCACVL1_18771 transcript:OMO70612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MLKFQFSVFLLSHLFLCSVFITNASVHEYNGEKFVSKGNAFVVHGGSEGIYSSFSDPNNLSAYAADSYIRFEKITFRRPKESANFSSLPIHALVFEVEDREIIGGSAYGGQRAVCCTADLAKLGVCLEGGIIHRPSTMDPSWPKVFGISFSGDDEIATIRSKSIRVTKTGMYNLYFIHCDLNLKDLTVEGKTIWKNPTGYLPGRMAPLMNFYGFMSLAFVILGIFWFSQYARFWREVLPLQNCITLVITLGMLEMALWYFDYAEFNESGIRPVGITMWAVTFGTIKRTIARIIILMVSMGYGVVRPTLGGLTSKVVMLGATFFLASEVLELVENVGAVSDLSGKARLFLVLPVAILDAFFILWIFTSLSSTLSKLQARRMVVKLDIYRKFTNALAVAVIVSVGWICYELYFKSNDVYNERWQNAWIIPAFWQVLSFSLLCVICVLWAPSQNSMRYAYSDEGNEDFDKDDTNLTLIKPSTTPSKDFRSAPEARPLQSSNGASNGDLEEDKTE >OMO70607 pep supercontig:CCACVL1_1.0:contig11805:16732:19109:1 gene:CCACVL1_18766 transcript:OMO70607 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding transcription factor, subunit B MGVLPQHFHNTKQLSFQFQDQDSSSTQSTGQSYPEVASAGDNSLYGKSLLSASSGGNESHGKLVGDHAKLASSMGTQDYVFPPSQAHIPLHYAEPYFGGVMAAAYGPQAMIHHPQMMAMLPARVPLPLDLTEDEPIYVNAKQYRAILRRRQYRAKLEAQNKLIKVRKPYLHESRHLHALKRARGNGGRFLNSKKLQESNCVPTSHELDTSRSPQLHLSSTNPRSGVHRLENYKDAASTTSCSDVTSASNSDEMYQQPDFRFSGYPSQIGGTMPLHGGGNLHHLSGLC >OMO70608 pep supercontig:CCACVL1_1.0:contig11805:20702:24445:1 gene:CCACVL1_18767 transcript:OMO70608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MEGAGAQYNPRTVEEVFRDFKGRRAGMIKALTTDVEEFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMAEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKADRKRLFNMINDLPTIFEVVTGAAKKQTKEKSSVSNHSSTKSKSNSKPRESQPKYSKASQPKDEDEGLDEEDEEEHGETLCGACGENYASDEFWICCDVCEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >OMO70606 pep supercontig:CCACVL1_1.0:contig11805:11753:12898:1 gene:CCACVL1_18765 transcript:OMO70606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKFPNIITSIQSTRTKTLIPCISISRYCHFKTKLDSDSLNHTSALASKPAQTTVAVFWDLDNKPPNSFPPYEAAVKLKTAASSFGVVGSMVAYANHHAFSYVPKVVREQRRERKYLNQLERKGVIKSVEPYLCGVCGRKFYTNEKLVNHFKQIHEREHQKRLNQIESARGGRRVKLVGRYAMKMEKYKSAARVVLTPKVGYGLADELKRAGFWVGAVSNKPQAADVALRYHMVDVMDKRKAECLVLVSDDSDFVDVLKEAKLRCLKTVVVGDVDNGALKRVADAGFSWMEILRGKAKKEAVSVVGKWKDRDILKRLEWTYNPEVERKSCADEDMFDDESEDLDFDSTDDGNTADCMHKEDTGEWWELESDSDVISSHSR >OMO95124 pep supercontig:CCACVL1_1.0:contig07734:29400:29564:1 gene:CCACVL1_05565 transcript:OMO95124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELLGECTVALARATERLLAPRPTLHFRRLNFSSSSSAAAATLNQDSSFLVYF >OMO95121 pep supercontig:CCACVL1_1.0:contig07734:21020:21079:1 gene:CCACVL1_05562 transcript:OMO95121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLQFPPINGINYHCKWL >OMO95127 pep supercontig:CCACVL1_1.0:contig07734:41789:43160:-1 gene:CCACVL1_05568 transcript:OMO95127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAPVVEAANPETEEVPSTEEQLLKKIQNEEAVVEDVKEDEKEDDDEDDDDDEDDDDKEDGSPGANGSSKQSRSEKKSRKAMLKLGMKPVSGVSRVTIKRTKNILFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRMPDMGSVMAKSDASTAAAGAPADEDEEEVDETGVEPRDIDLVMTQAGVSRGKAVKALKTHGGDIVSAIMELTT >OMO95122 pep supercontig:CCACVL1_1.0:contig07734:21877:23493:1 gene:CCACVL1_05563 transcript:OMO95122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVKQEQPPAEEKKEEKTEEKKEEKAEEKPAEEKKEEAPPPPPPPFVLFVDLHCVGCAKKIEKSIMKIRGVEGVMIDMASNQVTIKGIIEPQAICAKIMKKTKRRAKVLSPLPAAEGEPIPEVVSSQVSGLTTVELNVDMHCQACAEQLKRKILKMRGVQSATTEHSTGKVTVTGTMDANKLVDYVYRRTKKQARIVPQPEPEPQPEPEKQEEKKEGEEKPAEEAAKPEENNNAEKKEEEKPAEEAKKEEGSEEGEKKEEEKKEGAEEIINNEEESMKKMIYYYQPLYVIERMPPPPQLFSDENPNACCIS >OMO95130 pep supercontig:CCACVL1_1.0:contig07734:69790:70821:1 gene:CCACVL1_05571 transcript:OMO95130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSTQESHLRSDNSITYESPYPLYAMALSSMPSRSNLNHQRIAVGSFIEDYTNRVDIFSFDPETVSIKTQPTLSFDHPYPPTKLMFQPNRKSPSSSSSDLLATSGDYLRLWEVRESSVEPLSVLNNSKTSEFCAPLTSFDWNDIEPKRIGTSSIDTTCTIWDIEKGVVETQLIAHDKEVYDIAWGEARVFASVSADGSVRIFDLRDKEHSTIIYESPQPDTPLLRLAWNKQDLRYMATILMDSNKIVILDIRSPTMPVAELERHRASVNAIAWAPQSSRHICSAGDDAQALIWELPTVAGPNGIDPLSMYSAGSEINQLQWSASQPDWIAIAFSNKMQLLKV >OMO95128 pep supercontig:CCACVL1_1.0:contig07734:44164:45214:-1 gene:CCACVL1_05569 transcript:OMO95128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKKRQRDQALKSCSRRKKATKVRFMKKIEVLEVSSAEKDSVGPKNPTCKYEGNEDEVEESPLRSIFCLKRNINMEDIEEKEDCFILDFDPSDSIDIAKLSVINDGGDDEIAVVAEKGQVACRDYAHSRHLCLQFPFDKTSHEKHCQLCYCYVCDKAAPCEKWELHCHAAEHIGDWKFQRNVRKLQAQPRKS >OMO95125 pep supercontig:CCACVL1_1.0:contig07734:32332:39257:1 gene:CCACVL1_05566 transcript:OMO95125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MGFGSYVGNGHGGGGSSNLSALAPPFTVDRSIPKPAATPLVDLGEGLNWVDTNPYAFNSPPSAQFPQLDLDPIPSPSYNQSSDLFEPKTYFPSYVSTPVHVSTFNEQSLSGLDHAAPWGGGLWGWEKGKPAQLDGSFYANETNVAPSSIYTDHMNLGAHPSKSLNTPEETSHNIYSLGREKQAGPANIENLDYNPVLGQNPSFTPGDYLKTSVIGSSSVFTETNLQAPPLNVVNCKKSQVPFSTPYEKPLRQHGTTPSDSIPAMKSSPGIVIRPPAVGTGSSGSNTVSLKNVNPGNNASDANLTGTNLPIPKEAHSLLNFGGKSKYDPSQLSFHLNGSGYLSGESSSTSAEKLATTNMATMDTSDHLFRAKSGNTFSRIGTDNFSLALDNNEAFAAVESSLENLDHYNPPVDSPCWKGAPASHNSPFGSSQPVALHLAKKHEASDSLSQALKFIPMNTANMVKHPSGKHSETLISDKNGNVEDGSISSLKLPSVTIPYFTMHQPDNAGQAGSYQKKANCTHEIKFSDDATEVKKDYVLFDKSVDEVEKASHTSQFISSATGVADIKMKNNNDPGWGNSHSFLSAPKIFSLSPSFVEDVSSKHTILLGRDSVSNSSISVLVDTMHNLSELLLHHCSSEACELKDQDLKSLEQVINNLGTCMSKSIGQESLLSGLHKGTTIGKPQVAAIDVLSQHIPEKKKHSGKKDEKCAESVSVKSGTDIKAKNDKMTQAIKKVLIENFHEKEESDPQVLLYKNLWLEAEAALCSINYMARYNNMKIEIEKRTLDLEKDLSEDTPGEAKISKSISSAEINVDKKLTTGAESASTSDVSNQSCPIASSGNHADDVTARFHVLKCRLDNSNSAYGSDVDELSSSKPSLDSDEVDKLATEVKDSTTPGPRKHDSPALGTACHTDDFEASVLARFAILKNRGTDDVDSNDTEQKRFPEAVGLGLTGKIKQISIDEDTAEDGISGVTLGSFSQRQVSNHAGEEAVMKEFHPCVKHDCRIQSPRSTRLGNQLSAGWYDSCSSDWEHSVHSQLQIGFYRNKCNLVEFIVKEEVRKAFIKDRGVAAGLMRMHFHDCFIRGCDASVLLDSTPSNTAEKDSFANNPSLRGYEVIDNAKARLEAVCKGVVSCADIIAFAARDSIEMTGGLGYDVPAGRRDGRVSIASEIIGNLPPPTFNVDQLTQMFANKGFTQEEMVTLAGGHTIGRTHCTSLTDRLYNFSGTNMQDPNLDPKYAEMLKRQCPQGSTNPNLVVPLNPSSPTITDSGYYIDVLANKGMFASDHALLTNSATANQVSENARNPMLWKAKFAAAMVKMGHMDVKTGTAGEIRANCRVING >OMO95129 pep supercontig:CCACVL1_1.0:contig07734:57061:59017:-1 gene:CCACVL1_05570 transcript:OMO95129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKVLSSGIRYSNLPESYIRPESERPRLSEVSECENVPIIDLGCEDRSHVVQQIAFACMYYGFFQVAIGAIGELI >OMO95120 pep supercontig:CCACVL1_1.0:contig07734:15513:17806:1 gene:CCACVL1_05561 transcript:OMO95120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20 MAVVITSMIDHVLLIVIFSIAYLSSVHGGLNQDYRDQLLSSAQQDKDWLFFIRRQIHENPKLRFQEHKTSALVRQQLDQLGILYSYPFARTGIVAQIGSGFKPVVALRADMDALPIQELVEWEHKSKIDGKMHACGHDAHTAMLLGAAKLLHQRKDRLKGTVRLLFQPAEEGGAGASHMIKEGALGDAEAILGMHVNDENPTGSIAVAAGPLLAATCSFEAKIEGSGGHAAAPHSTVDPILAASFAILALQQLTSREADPLHSQVLSVTFIRSGSASAYNVIPQYVEFGGTLRSLTTEGLHKLQQRLKEVIKGQAAVHRCNASIDMKEEEHPPYPALVNDDSLYQHVTKVGRFLLGPENVKMDKKCMAGEDFAFYQELIPGFMLSIGIRNEEVGSIHPPHSPFFTIDEDVLPIGAALLTALAELYLNDHLL >OMO95123 pep supercontig:CCACVL1_1.0:contig07734:26530:27562:1 gene:CCACVL1_05564 transcript:OMO95123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMQIVVACNKQVEPQYVEMMVPLYSYGCERKVKKTLSHLKGIYSVTVDYNQQKVTVWGICNKHDVLSTMRSKRKEARFWKPEDNIEVEEEAAAESLPAKNNKGSNYKPSLALMKARSLSLKAWKKVFTRSYSF >OMO95126 pep supercontig:CCACVL1_1.0:contig07734:40067:41190:1 gene:CCACVL1_05567 transcript:OMO95126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLMKCNSKEKWGKWDDLNHEILALILVRIPAEQRVSTASLVCKSWMSCVLGPFCWVDIDIQQWCRHRNLPVHDVNSAVRKLVQRSNGTFRRLSAFRLGDAGFAFAANCGKYLKVLEIPMSGVNDKIVEKSAESLANLTVLDISYCLKITHLGIEALGKSCKSLIELKRNMPPPELVSSAKVKASKLDDREAIAIADTMPLLQQLQLGFGDFGDNGLDAILAKCKALTRLDIQGCWNVKLDGDLEDRCLQLLSFKSPWIYEISRESDDQDDDEEYPSSDSDSE >OMO70275 pep supercontig:CCACVL1_1.0:contig11847:48868:50954:1 gene:CCACVL1_19029 transcript:OMO70275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18a MSEEGENRRVTFNPESQYGTFQGVANYYPPFILRSPQPFVGLPQPVPPPGFANPYVHGYQTVTGYPVEESTPLRQRRLPVCGLGMGWLLFFLGFFFGGIPWYIGTFILLCVRVDYREKAGYLACAIASVIAMFAVTFGMTKGAHGWLGRYGL >OMO70274 pep supercontig:CCACVL1_1.0:contig11847:31052:42502:1 gene:CCACVL1_19028 transcript:OMO70274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 4 MRSHSSSSNLHHFSSPGPSRSPKRRSFVPVLSRIRFLSSSRLHPAASSSYSPLKLQFKRSKHEQKRRRRFRYDVVKIKAADDDSVEISSFDDWAVDDSVATYMFASSSEGEDSDGEIVLNPLTEVDLPPVKVSTEEAITRTGHRLALVGRGQRRHRVYVGLFINLGLIIFLTLVLLLVDWWGWKIVRLPLAPFYLTSPFFISLILAACAGYVCVPLLKSLKFHQVIRKEGPARHSRKRRTPTLGGLFFIPVGISVAKFVTGFSSIEVSAAALATLGFGTIGLLDDVLSFIKQHNSGLSPRLRLVLEVAVGIWFSCWLDATNLSSPYGMKMLVPLPAPVGLVCLGKFYLLLTSFCFVSMGNGVNLTDGLDGLAGGTAALAFIGMSIAVLPVCPELAIFGASMAGACVGFLLHNRYKASVFMGDTGSLAIGGALAAMAACTGMFFPLFISSGFFVLEALSVIMQSRRKTREPKEDNVTLGPAVREGEYVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGLGTWDWAECKVLGIITFLARSWCVGALYKISYLRRKQNAPGPNLVLPFIGNAISLVRQPTRFWELQAELAASVGFSANYVVGKFILFIRSSELSHLIFANVRPDAFFLVGHPFGKKLFGEHNLIYMFGQDHKDLRRQIAPNFTPRALSTYTSLQQIIILEHLKSWERLSSESPAEPISLRLLVREMNLETSQTVFLGRYLPHESRGKFRDDYNLFNTGLMKLPFDLPGFAFRNARLGVERLLETLTDCAAQSRDRMSQGDEPTCLIDFWIQETVRELRESKTAPPHSSDREIGSYIFDFLFAAQDASTSSLLWAVTLLDSHPDVLQKVRDEVSRIWSPESDGLISADQLREMKYTQAVAREVVRYRAPATLVPHIAMQDFPLTESYTIRKGTIVMPSVYESSFQGFTEADRFDPDRFSEERQEEVIFKRNFLAFGAGAHQCVGQRYALNHLVLFIAMFVTLLDFKRHRTDGCDEIMYCPTICPKDGCKVFLARRCPRYPNLSLD >OMO70271 pep supercontig:CCACVL1_1.0:contig11847:5081:10398:1 gene:CCACVL1_19025 transcript:OMO70271 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, eukaryotic-type MAESHTVIFSGFGSEYKDTHDILEKLLGGVVDTKLVRAIFAVEDENQDTYAIVQFDDEIRVKKLLTHSYPSKEISVRASEDILDRIKPCKEILSKVDFKFGNLLPNGVFEYLWEGVADFVRKDKFIEIIYHDAKRNWWYKLEMPQHIIKRTELSKAPRIFKECKLKRVKDAYRAKSWDRPWEWALDFTDSSLIGQSNCICLGLSSEEKNDSNIAKMEMKEGNPYSYPGSLVPMVWPAMKSSLDTGGSLNTGVLFGINELMHKGILSGPDLDEEFFNKIAAEEVGYIDMALKELSWSDVCVSRDRITEKCCYIQQHYSIPQLPEHPALKIWSVTVTPSRLYMMQQTRMPNRIFCQFGERLGEFLHVKFADEDHNKLKGSMLTCKPKAFSINQYTEVHEKVRSLLKEGLQIGGKKYDFLAYSASQVRKSSLWMFVCNGGESSSHIRDWMGDFSKMKNASKAAARMGQCFSSSLGSIQLDFHEVEGIDDVEDKEFNFSDGIGRISMRFGEDLAKACSLDNSPSAFQIRYAGVKGVVSVHPTSTKKMSIRPSMVKFHSTYQTVDVLSWSKAKPFFLNQQLIILLSALGIRDNVFLAKLREAKGLLELIQTEPLKVLDDPFVCFIGPIINKTRDLLKAGFSREDMFMSMVLEELHYLRWTKLQKKMHIFIPKGKVLMGCLDELGVLRQGQIYIQLTKSDKKDPIVIEEEEVVVARCPSLHPGDVRLLQAINVPALSHMKDCIVFPKHGKRPHPNECSGGDLDGDNYYVCWDRKLIPLMSKPPLSYDSSAVDPNDPSSSIPVSVENIKENFLNFVVSDQVGKISNYHMAFADSEIDGANSPQCKKLAELFAVDIDCAKTGKSVKIPEDLCAAKYPDFMGLKPSYPAKGILGKLYRELEDENVTKRSPVKFYDKKMKVEGMDVYIDNATKVKKEYDDHLRSLMVENEIKNEGRLFLYNKGDDNSFLVQRRLQALVDDVIVKFKESPCENELTKASAWYHITYDAHHKNELISFPWCIHDKLISIIENKK >OMO70272 pep supercontig:CCACVL1_1.0:contig11847:11400:11932:-1 gene:CCACVL1_19026 transcript:OMO70272 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose 3,5-epimerase 1-like protein MAEIVLSFENKKLQILHIPGPQGVCGRNSDNTLIKEKLGWAPRMRLKDGLRSTYFWIKEQIEKEKSQVIDLSVYRSSKVVGTQAPVQLDSVRAVDGKE >OMO70273 pep supercontig:CCACVL1_1.0:contig11847:23169:23950:1 gene:CCACVL1_19027 transcript:OMO70273 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, eukaryotic-type MVWPMESRLETSVLFGINGLMHKGLLSGPTLDKEFFTMVEKEDKKYIAMALEELSWKEDCVSPSWITGKCHDIQNDYYAPQLELELDARLGSKIWALTITPSKFTDEDHNKLKDSMLRCKFKSLSAYQHTEVYEKVKVLLDQGVRIGGKSYEFLAYSVNQVRKKSSLWMVADGGISTGDFSAIKNVSKYAARMGQCFSQIENHCTGPSLQHILMHFLSPKNLPSIQEFHSGI >OMP05173 pep supercontig:CCACVL1_1.0:contig05500:7727:7813:-1 gene:CCACVL1_02031 transcript:OMP05173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHISLWKSSFYSSEVIDVRDNFELTC >OMO88753 pep supercontig:CCACVL1_1.0:contig08941:42970:52802:1 gene:CCACVL1_08228 transcript:OMO88753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPLIEPAALAIVGLKRDIETRHQWLGHLNSNILHSFITLLAIKVEVSSGLWYISNALVPTRRIWAFTSMFVAIIATRPNLEMGHDASSCPSTSSSQPAETNDRSESAPTNR >OMO88748 pep supercontig:CCACVL1_1.0:contig08941:9710:10981:1 gene:CCACVL1_08223 transcript:OMO88748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MAKFSYHMKSTILLIGLLMASLGTTSAEIGVCYGLDGNNLPSKPDTVALFKSKNIRRIRLYRADHDALEALRGSNIEVTLGILNPDLQSLATNQAAAKNWVQNNVVNYANDVRFLYIVVGNEVEPSSPFAQYVVPAMQNIQNEINAAGFGNQIKVSTALSMNIIGVPFPPSAGAFKSEYRPLLDAVLGFLDTNQAPLHVNVYPYFAYNDPASHISLDYAIFRATSPVVTDGPYQYYNLFDAMVDTVYAALDKVGHGSRDIIASESGWSTGRRGPHRKGPHQSCSCNVVARIYNQNLINHVKAGRGTPMRPGKPIQAYIFSMFNENLKPDQDIEGYWGLFLPNGQPKYPIHF >OMO88749 pep supercontig:CCACVL1_1.0:contig08941:12476:14691:1 gene:CCACVL1_08224 transcript:OMO88749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGEEEMGENGFRSVFLLGHVYGVALKEGSESSFCGGCIAPPKETLLLFA >OMO88751 pep supercontig:CCACVL1_1.0:contig08941:20485:21411:-1 gene:CCACVL1_08226 transcript:OMO88751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MLGNLPSKPDVIALFNQKIIKRVRLYDPDKVALEALRGSNIEVMLGVPNTDLERMATNQANANTWVQNNVQNFANVKFRYIAVGNEVQPSDPAAKFVFPAMQNIHNAVAAAGLGNQIKVSTAIDTITLAESSPPSKGSFKTETRQQLLDSIIVFLVNNKAPLLVNLYPFFSFDDNSEISLEYALFTAPNPIVSDPPLEYSNLFDAILDAVYAALEKAGGGSLDIVVSESGWPSAGGRKRGATNIDNARTYNQNLINHVNKGTPKRPGKPIETYIFAMFDESNKDGEEIERHWGLFLPNGQPKYTINFS >OMO88752 pep supercontig:CCACVL1_1.0:contig08941:27872:42216:-1 gene:CCACVL1_08227 transcript:OMO88752 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxidase 64 MEAKQSIIASSASCSEDRPPSLSLHSPSPPALCRKELNDIITNVFKKAISNDKTVPAALLRMHFHDCFIRIGAFEMHPTWNVGVTKAPLSNISPTIAPPIPTKQMIEKAAKKSQGQVARIFLFMYLYDQVKIVAQTLLQPMAC >OMO88750 pep supercontig:CCACVL1_1.0:contig08941:19279:19359:1 gene:CCACVL1_08225 transcript:OMO88750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEHNIGEKEDGMSGGLTGQRREQY >OMO58803 pep supercontig:CCACVL1_1.0:contig14144:23935:25238:1 gene:CCACVL1_25347 transcript:OMO58803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTLSGIPYAHATCAIRDRRRKVEDYVSSWNAKNVYMQAYAKPIKPMVGMKDWPASSQEQVQPPHYEKKGPDPIVSYFASFTVFFFQQTVSAKKPSPQVQSTVETQVPEPTTQSTVSEMNQTRSAEHSTATPTLVNEEVQSRSAPKLRKKKTATASSSASMSVRINIAANPIEVSADANNQAKTVVSGDAGASASTVIPSHQSAAVVPTTVNSSSTAGVSSKRKKTKTDVGKKKTRFSYVDSNNRMHNVSGERSSPLSQQKYSNQRRLTAGNLRRAAENKFRKRQAALKINEVVE >OMO58804 pep supercontig:CCACVL1_1.0:contig14144:42907:43694:-1 gene:CCACVL1_25348 transcript:OMO58804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGGSASLSPESAFRGSVQQSVGSQRRFANHVDSLLLRGRAVCRAFDNEDVVGEVSSRNPGNEVVAADRDSLPKDQNQVAHSQALLRTNGWGGSQCRSGTAAQGAGILGTAGQYRLGNLPARSRDPRRYYPGRYWKLDPNIGDEVSSASIGNVGGKIQLLEQKEALVEADMRRKKGKAILEASENCGTKQKFALEGMGESYVNPTQKLLGNGLQGKEGVSAQFIPGK >OMO58802 pep supercontig:CCACVL1_1.0:contig14144:15909:17515:1 gene:CCACVL1_25346 transcript:OMO58802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant MAFDTATMRFHYEGSFVGEGETFRYEGGNIDDLTFDLDKISLFDLNEICQDAGYRNVHKIWYLRPGFPLHQGRRQITNDSIVLDMNQEFENEEGFENVVAEVNEPQVPGVDVANEEGNQGLGADTEGQQGWNDQVNEEVWDGVDNLHDVNIDNVVGGLDGLVHEPIDVREINIDASNVWLDDDGIEGDVSDAEERDAEDSDNSEVGVQEEGDVGEGQFENDFFFNFGTGEPEVEAEAIPREYYNTDDPWELESDEEEMVDDATRRRGRAPLYDPSSVHSYIEKGMLFQNSEQFKHIRWIKNCKKWVRGICAAENCPWYIYGAINNTLDTFEVRKFVDNHECCSDIYENPRLSTKILSELLMERIKANPFMKNDEIRLLVKADYVLEVFMSMVRRAKKKIMDSIIVNYEEQFAKLWSYSKVLMETNPGTTVKLDTMRPSLESVPVFERIYICLGALKYGFIYGCRKYLGLDGCWLKTLTGGQLFCVVGLDGNNQMFPLA >OMP02720 pep supercontig:CCACVL1_1.0:contig06194:10464:23656:-1 gene:CCACVL1_02717 transcript:OMP02720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSAPSRSNNEVNFIDNGELGLTGIQLEGDCLIVMNKLTENAEQHSTSFGNVLSSSMWIEIEETLIFSMT >OMO52177 pep supercontig:CCACVL1_1.0:contig15571:103:5290:-1 gene:CCACVL1_29322 transcript:OMO52177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTGVWVSSKAELKRKQKEKKKAKSGGFESLNLSPNVYRGIKRKGYRVPTPIQRKTMPLILAGNDVVAMARTGSGKTAAFLVPMLEKLNQHLPQGGFRALILSPTRDLALQTLKFTKELGKFTDLRISLLVGGDSMENQFEELAQNPDIIIATPGRLMHHLTEVDDMSLRTVEYVVFDEADSLFGMGFAEQLNKILTQLSENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLDTKISPDLKVMFFTLRQEEKHAALLYLVRDHISSDQQTLIFVSTKHHVEFLNILFREEGIEPSVCYGDMDQDARKINISKFRSRKTMLLVVTDVAARGIDIPLLDNVINWDFPPKPKIFVHRVGRAARAGRTGTAFSFVTSEDMPYLLDLHLFLSRPVRAAFTEDEVLQDMDGVMNKIDQAIANGETVYGRFPQNIIDLVSDRVREIIDSSAELSNLQKTCTNAFRLYMKTKPLPARESIKRAKDLPREGLHPIFKNVLEGGELVALAFSERLKAFRPKQTILEAEGEAAKSKHLQGNSSQWVDVMKKKRAIHEEIINSVHKQKTSSYAEKEDQPKVTSTKKKEIKEARGSKRKATNFRDEEYYISSVPTNHHTEAGLSVRSNEGFESNRLDSAVLDLVADDSGGLQKQKSRYHWDKRGKKYVKLNNGERVTASGKVKTENGAKVKAQKTGIYKKWKERSHKKVSLKGTSYGENAEGTANSSGDYQFRGNGRNFRGNKKSQHYVPNAHVRSEIKDLDQVRKERQQKANKISYMKNKGNKSKGKKPGKGGKRGKSR >OMO52178 pep supercontig:CCACVL1_1.0:contig15571:6251:10100:-1 gene:CCACVL1_29323 transcript:OMO52178 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MIFSRDPDALFSGGGISFLTGSRAAKFSYGYSSFKGKRSSMEDFFETRISEVDGQMVAFFGVYDGHGGSRTAEYLKHNLFKNLSAHPDFTKDTKAAIFEAFKQTDENYLNEEKGQQKDAGSTASTAVLLGDRLLVANVGDSRVVASIAGSAVPLSDDHKPDRSDERQRIEEAGGFIIWAGTWRVGGVLAVSRAFGDKLLKPYVVAEPEIQEEEIDGVDFIIIASDGLWNVISNKDAVALVQDVTDAEAAARKLIKEAYSRGSSDNITCVVVRFDSS >OMO62738 pep supercontig:CCACVL1_1.0:contig13181:7327:7578:1 gene:CCACVL1_22660 transcript:OMO62738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NITNLFNCFSSPENIYQSLLASFVIGIIEQGFLRILHNLIRKLIPSCSQWLGFGEGGADGGEIGAEGVVAAATGLKREEKSQFR >OMO74682 pep supercontig:CCACVL1_1.0:contig11100:59614:59823:-1 gene:CCACVL1_16534 transcript:OMO74682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNAKENVSRKDYDGFCNICKKNFGSLDAVMEHQKVALNKKETKYKCDKCNRGFETKEAPTEHRNNGH >OMO74690 pep supercontig:CCACVL1_1.0:contig11100:123526:124815:1 gene:CCACVL1_16542 transcript:OMO74690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMKKRRNPKKDQLFVEVPESRSFLDTAPLPMILAVAGIALFAKLLMMHDDSKSQELIERKIKNAPPDQGTVRMLTREEWEEIREVRPRTPFESKLARPSARIRTGEPLHAEDVKNWTIDVLTDALTRAEDCAKHKQP >OMO74694 pep supercontig:CCACVL1_1.0:contig11100:157045:158827:-1 gene:CCACVL1_16546 transcript:OMO74694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRNRGPPPLPMKGHPHGVLLPPVHEPPYARGLGPMPPHPALLDELREPQFSLGPRGLPPHPAIFEERLAAQLQEIQGLLADNQRLAATHVALKQELEAAQHELQRMAHYADSFRMEKDVQMRDMYEKSVRLEVDLRGVEAMRAEVAKVKADIKQLNAVRQELTGQVQVMSQDLVRFTGELQQAPALKADIENVKQELQRARAAIEYEKKGYAENYEHGQVMEKKLISMARELEKLRAEIANAEKRNRAAGGTGGNPAVAGYNANYGNPEAGYTANMYPVNYGMNPVQSGVDGYPQYGSAAGSWGAYDMQRAQGHR >OMO74688 pep supercontig:CCACVL1_1.0:contig11100:89861:92647:1 gene:CCACVL1_16540 transcript:OMO74688 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MYFGSSEAYAMEVANESATKKPKRLTSVVWNHFERVRKADICYAVCVHCNKKLSGSSNSGTTHLRNHLMRCLKRSNYDVSQLLAAKRRKKDAPLTIANISYDEGQRKEEFIKPSIVKYEQEQRKDEVFNLGSSRFDQERSQLDLARMIILHGYPLGMVEHVGFKVFVKNLQPLFDVVPNNTVELSCMEIYGKEKQKVYDMLSKLQGRINLAVEMWRSPENMSYLCLTAHYIDDDWRLQKKILNFVTLDSSHTEDLLSEVIMKCLMDWDIESKLFAMTFDECSTNDDIVSRIKEQISENRPRLSNGQLLDVRSAAHVLNSLVQDAMEALQVVIQKIRGCVRYVKSSQSIQGKFNEIAQQTGINSQKSLVLDCPVRWNSTYAMLETAVEYKNAFCHLPELDPDLALSDEEWECASSITGYLKLFIEIINVFSGNKCPTANIYFPEICHVHIQLIEWCKSPDAFLSSLAAKMKAKFDKYWSKCSLALAVSAILDPRFKMKLVEYYYSQIYGSTALERIKEVSDGIKELFNAYSICSTLIDQGSALSGSSLPSSSNDSRDRLKGFDKFLHETSQSQSAVSDLEKYLEEPVFPRNCDFNILNWWRVHTPRYPILSMMARDVLGTPMSTVAKESSFNAGGRVLDSCRSSLTPDTRQALICTRDWLRTQSDGAWISLKLMRLCLIRLTT >OMO74678 pep supercontig:CCACVL1_1.0:contig11100:29552:31534:-1 gene:CCACVL1_16530 transcript:OMO74678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13 MAILCASTSAIFSSCSSSERPCFASFKKTVPCNQSSFLGYFPVAALSKPSSVRTTISKRDFRVCCQDLSTVPDNQRWMFDESEAGGPDIWNNTWYPKAKDHINTEKPWYIVDATDKILGRLASTIAVHIRGKNLATYTPSVDMGAFVIVVNAEKVAVSGKKRTQKLYRRHSGRPGGMKVETFNQLQQRIPERIIEHAVRGMLPKGRLGRALFNHLKVYKGPDHPHEAQMPVELPIRDKRIQKQT >OMO74681 pep supercontig:CCACVL1_1.0:contig11100:56415:57596:1 gene:CCACVL1_16533 transcript:OMO74681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLEASWANLPKDCLSIVLDRKSHRKKKLYSLQNKREVSDIKLRRRYTKRCCGSCYGWIASVDKEFVITLSNPFKDVPNIDLPKLEFPEKKPMGHQYDIQKVVLSADPCSSPTSFVVGVIYSVYCRLAFHRYGDKDKDNWIHIDNNLTLITDLIFHRNLVYVIGHRNNVLSFDINGPEPPKLKTLLEEDHLHDGEAYSDRAYLVESSMGNLFSIHRKLKSGDNGYSNDYLTKRFRVMKMVLDENGELLEREEVKSIDGDLAFVGDNGSLTVAAKYFPQGQPNSIYYTDDYFDIGPYVPFGPRDNGIFHLEDGSFEQHYQFQSSHKRLPPYIWICPPIHYVLQLGS >OMO74680 pep supercontig:CCACVL1_1.0:contig11100:52822:54027:1 gene:CCACVL1_16532 transcript:OMO74680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSHPTIILTSSPDGPITAFDATAGVALCHFSGSRSPCHGLALVGNSFIAASHISPETPSSGSIHLYNWWSSTALHNFLVPEPVAPLEASPDGLYIFAGGVSGYVYTLSVPSGDIIRSYSAHNKPVSCLKISEDGSLLISGSDDGTIAFVPIFQIVENSSSLMLQRFVAHGGTVTAIEQYDSTIISCSMDSTVKFWGMFEGRKLRQVTSFPCAVMGVALDQMKTEFFAAGYDGFIYNGSISLNVGTKKHSNRGGECIRWPQKHEGGIVSLIIMSDGKNLVSASEDGKVFIWEIETGKIIMAFGTDMGYISDMVVTNGMGQGLRLGKRDIDSWDGYGGFYKEELSRTLKETLDLEEVLKVASKDRTRAIDMLESAISMYERLLELILKEAMKLGLGSSKK >OMO74685 pep supercontig:CCACVL1_1.0:contig11100:70365:73338:-1 gene:CCACVL1_16537 transcript:OMO74685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MKIQCNVCEAAEAKVLCCADEAALCWACDEKVHAANKLASKHQRVPLSSSSSQMPKCDICQETSGFFFCLQDRALLCRKCDVAIHTANAYVSGHQRFLLTGVKVGPETNGAGASSSDVKSPSSEKTSETKSNSTSRRGTPMPFTSGYNEALPENVGVGNSGPTKVCYGGGSTAGSMQSWQMDDLFGLTDFNQNYGYMDNGSSKADSGKRGDSDSSSILRSAEEEVDDDECLGQVPESSWAVPQVPSPPTASGLYWPKASHNQSDGVVFVPDICLKNTFHSRHSGSIPKRRRQI >OMO74683 pep supercontig:CCACVL1_1.0:contig11100:60528:63419:1 gene:CCACVL1_16535 transcript:OMO74683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRGEERARLASRAIRRQVEVWVYRK >OMO74693 pep supercontig:CCACVL1_1.0:contig11100:145640:148796:-1 gene:CCACVL1_16545 transcript:OMO74693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bile acid:sodium symporter MQFSISYPHPTTKFRFQQKSKPISTYPLRHRQLFTSLPKCSNASSFNLTLRSQFQNQIPPKRNLSSLTLKERFQLHCGISSDGYSAADNKKSFRDWIVWVGEVVSTAFPIWVSLGCLCGLVKPSSFSWVTPRWSIIGLTVTMLGMGMTLTLDDLRGALAMPKELISGFVLQYSVMPLSGFFVSKLLNLPSYYAAGLILVGCCPGGTASNIVTYIARGNVALSVIMTAASTVSAVIMTPFLTAKLAGQYVAVDATGLLMSTLQVVLLPVLAGAFLNQYFQGLVKFISPLMPPIAVGTVGILCGNAISQSASAILASGQQVVLASALLHASGFFFGYILSRVLGLDVASSRTVSIEVGMQNSVLGVVLASQHFQNPLTAVPCAVSSVCHSIFGSILAGIWRRSVPKLEEQE >OMO74679 pep supercontig:CCACVL1_1.0:contig11100:48624:51588:1 gene:CCACVL1_16531 transcript:OMO74679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSTTLLQLHIKSPPVSSLHFLHSLPKKSPIFANPNHIIPFSRPPKMQFLHRTNAVPVHSVVSRAMDIMQSSPPTWQSAILSNLVIFVLGSPLLVTGLSLSGICAAFLLGTLTWRAFGSPGFLLVASYFVIGTAVTKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCICAFLMIYGIGGEAFTQLWRLGFVASYCTKLSDTASSEIGKAYGKTTYLVTNFQIVPRGTEGAVSVEGTLAGLVASILLASIGCFMGEINVPEAVICVIASQIANLGESIIGAEFQGKEGFRWLNNDAVNIINISIGSILAVLMQQILQNWYM >OMO74687 pep supercontig:CCACVL1_1.0:contig11100:82592:84974:-1 gene:CCACVL1_16539 transcript:OMO74687 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Inorganic polyphosphate/ATP-NAD kinase MARRKLLLLLKPFDVFQITHSNAVAPFTNPRVFHHLDNRRKVHKDAINFCQKILQKKSVDWEPVFRNNLSQPIRNVDLVVTVGGDGTLLQASHLMDDSIPVLGVNSDPTQAEEVEEFGNEFDATRSAGYLCAATVKNFEQVLDSFLEGQTVPSELSRISVSINSEVQSTYALNDLLIAHPCPATVSRFSFKIKGDDQTCSPLVNCRSSGLRVSTAAGSTAAMLSAGGFAMPILSRDLQYMIREPISPGAAISGLMHGLIKSDQSMNAAWFSKEGLIYVDGSHVFYTIQNGDTIEISSKAPVLQVILPPHLSS >OMO74686 pep supercontig:CCACVL1_1.0:contig11100:79000:80267:1 gene:CCACVL1_16538 transcript:OMO74686 gene_biotype:protein_coding transcript_biotype:protein_coding description:tumor suppressor protein, LOH1CR12 MAVMKSAYRSVMFYVGQKDLEWQYKMNRVVAQCRQKCEAMQEKFTEKLEQVHTAYQKMAKRCQMMEQEIESLSKDKQELQEKFSEKSRQKRKLDEMYDQLRSEYESMKRSAIQPANNFFARNEPDLFSNPAANMMDNRDSIRKDWSIFSPGTPGPREDVWPARQNSSNSGPFDISSGSPAKQAALPVDVGNRRAGAHPAFGAGGANPSMTLRNLIISPIKRPQLSRNRNHLFT >OMO74677 pep supercontig:CCACVL1_1.0:contig11100:11019:27333:1 gene:CCACVL1_16529 transcript:OMO74677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRVHSITFILPSLFLVLALVFHQGNAKGKAAFVSGSGCDLFTGKWVFDPSYPLYSASAACPFIEREFACQKNGRQDLLYTHYRWHPLDCTLTSKGFDCQANGRPDKDYLNYRWKPIACSLPREVGLKMKHSLFTILRNVPLSAKASIAKQMDDPTKIISTTDGNQLLVHCQDGSLLAVSSPG >OMO74684 pep supercontig:CCACVL1_1.0:contig11100:64821:68330:1 gene:CCACVL1_16536 transcript:OMO74684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel MscS MAIDSAARKDIVLNVDDRSNDSNVPVAAAPSAAAATSGDGDRERESFDFRHSKQGDMEDPPSKLIGQFLHKQQASGEISLDMDLEMDELQHEPLHYGRGGVLPPVAETPSPSPSAAAMPRVSFENNPVRRRQSKGSPSQGKEESRDSKESDGVVKCSSNSSFKRSEGGSFQRKSSLLVTKTKSRLMDPPTPEKGEPRSAKAGVGKSGQMMRSGFLGKGNEEEEDDPLLEEDLPDEYKKDKLSVWVLLEWLSLILIIAALVCSLTIPYLRQKRLWKLMLWKWEVLVLVLICGRLVSGWIIRIIVFFIERNFLLRKRVLYFVYGVRKAVQNCLWLGLVLIAWHYLFDKKVQRETKSEFLRYVTKVLVCLVVGVMLWLVKTLLVKVLASSFHVSTYFDRIQDSLFNQYIIETLSGPPLVEIQRAEEEEERIANEVMNLQKAGAKIPPGLKTSTLSSPHSAKLIGSGRIQKSPRGKSPMLSRVLSSEKGEKDDKGITIDHLHKLNHKNISAWNMKRLMNIIRHGALSTLDEQIQDSTHEDESATQIRSEYEAKVAARKIFQNVAQPGSRYIYLEDIERFLPEDEAMKTMSLFEGASESRRISKKALKNWVVNAFRERRALALTLNDTKTAVNRLHRMVNVLVGIVILVIWLLILEIASSKVLVVISSQLLLVAFIFGNTCKTVFEAIIFLFVMHPFDVGDRCEIDGVQMVVEEMNILTTVFLRFDNQKIIIPNSVLATKAINNFYRSPDMGDAVEFCIHVKTPAEKIGLMKQRILSYIEHKSDHWYPAPMIVLKEFEELNRVRIAIWLTQRMNFQDMGERWARRALLVEELVKIFNDLDIKYRLYPIDVNVCGLPPVGSDRLPPNWTGTAS >OMO74691 pep supercontig:CCACVL1_1.0:contig11100:126466:128560:-1 gene:CCACVL1_16543 transcript:OMO74691 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MATYTPKNILITGAAGFIASHVANRLIRNYPDYKIVVLDKLDYCSNLKNLLPSNSSPNFKFVKGDIGSADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKTLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDICKLFSMDPETSIKFVENRPFNDQRYFLDDEKLKNLGWSERTVWEEGLKKTIEWYTQNPDWWGDVSGALLPHPRMLMMPGGRQFESEEGKDASFVSGPNQTRMVVPTSKSSTGSPRQPSLKFLIYGRTGWIGGLLGQLCEKQGIPFEYGRGRLEDRASLQADIQNIKPTHVFNAAGVTGRPNVDWCESHKTETIRANVAGTLTLADVCREHGLLVMNFATGCIFEYDAAHPQGSGIGFKEEDKPNFIGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKTYIDPSFKWANFTLEEQAKVIVAPRSNNEMDATKLKTEFPELLPIKEALIKYVFEPNKRT >OMO74676 pep supercontig:CCACVL1_1.0:contig11100:7293:7920:1 gene:CCACVL1_16528 transcript:OMO74676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase MINVALLCTNPSAAARPSMSSVVSVLEGKADVHEFVRSSSICEGELNAERMRKYYEQIDEENDADKSQTKSILADGPWTSSSTSAADLRYFRREWWWLDWDSDGGGAKG >OMO74689 pep supercontig:CCACVL1_1.0:contig11100:109014:116712:1 gene:CCACVL1_16541 transcript:OMO74689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MPANKYNGNSNHIPSRVERLLKERELREQRKNSRASYSNEVIDNHRGAESENGPRFRQGENSGVAFVEQYLEEAAAARALGEGCERQDGRPFRQRLLVVANRLPVSAVRRGEDSWSLEMSAGGLVTALLGVKEFEARWIGWAGVNVPDEIGQKALSKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNAHYEEGAVVWCHDYHLMYLPECLKKYNSKMKVGWFLHTPFPSSEIHRMLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSERFIHALKLPQVQEHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEDNPSWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQEAKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGQALNMPAEEREKRHHHNFKHVTTHTAQEWAETFVSELNDTVVEAQLRTSKVPPELPQNDAMERYLQSSNRLLILGFNATLTEPVDGSRGDQIKEMELKLHPEIKEPLTALCNDPKTTVVVLSGSDRNVLDNNFGEYDMWLAAENGMFLRHTKGEWMTTMPEHLNMEWVDSVKHVFEYFTERTPRSHSDFRETSVVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRAVGVTKGAAIDRILGEIVHSKSMITPIDYVLCIGHFLGKDEDVYTFFEPELPSDATSITRSKPTEGPRSPAERRAPMKLPSSRSGAKSSQTKAQRPLSASDRRTGNNHSSGSSRRPSPENISWSVLDLKGDNYFSCAVGRTRTSARYLLGSSDEVVSFLNRLANASLSCTLISDLQV >OMO74692 pep supercontig:CCACVL1_1.0:contig11100:135550:135843:1 gene:CCACVL1_16544 transcript:OMO74692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCKASKDDLEMRWIRRNKSSNDEELRSTICNYARDGWLSKRALADAFDVLNIINPDYAASKAIEQANGGYGINTKDDKELGKVVKYASTAPRSTN >OMO52078 pep supercontig:CCACVL1_1.0:contig15622:10678:10761:1 gene:CCACVL1_29370 transcript:OMO52078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSRQRPCKIFTNSNGVGARNSDEAK >OMO85373 pep supercontig:CCACVL1_1.0:contig09627:19905:24846:-1 gene:CCACVL1_10232 transcript:OMO85373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLIRKKKERNNRKRRERKEKHRRDEFVWKNIIRVDDDKDPSKRRRWEDMDHDILINIMKQLLQVEEEGIYTNYYYVSICKSWFAALLDVYFPYGNHVFDLRPSNSELDSEYLLRGFRLSLMLVLRFRPTTHYSKMLLGKVGLNHKRGILMPHLPFYDIYERLAPFLRELELPYADVFCTGSESIGVEGGGRECSRCPRVKSLEWKVEEESVQGVKFETGEEKKMKRREREMMIWDEKERRLRDAFVWKDIITVDGRRQGSEGISSLSEAGIKLSSNHPLLQIGGGKRWIVPQRQSGHLRVLIKVVSFVSDHVSVFLKRMVCAGFDGFAISFQIFIDIHIHIVFNFIFFLYKMVEIQEEEKKKMAEIQRKAAELREEKKSKAAELREEKKRKAAEIREEKKRRTAEIREEKKRKAAEIREENKWKEMVEIRKEKKRKNREKHERSEREWKRYRDEFVWKDIIRVDYDKDPSKRRRWEDMDHDILANIMKRLLQGFLLSMKLVLCLGPTTHYSRLVVGKDRLLVNNKNKLRDLSPPPLDTEMFAKLAPNLRELELLTFDNNYTWSYFDKLESLTCASNLLYIVQYAERYEKWIHIHIHTLRLFGTINDGIAEIICTSFPLLKHLEIPSCILSVNALPIILDGLKNLLTLNTRHCFVASHEYTKAPLYFDSIVPDTHPHPRVPKAFEWELEEERVQALECELEEERVQGVKWESDFHIGVLGCLGKLVSVNPIPLESGVM >OMO85370 pep supercontig:CCACVL1_1.0:contig09627:56:6949:-1 gene:CCACVL1_10229 transcript:OMO85370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMKLYGSDSTHLLSFRHSVSTAPPRLASYPSRVRFDSAKLGRLRVLSMAAKRNPKRLKYSAPRFAKEDELVYVEVDPSGTDSWKLEPVVELLKQGAVGVIPTDTVYAIVCHLRSHSAIERLRRIKNIEPSKPLSILCRSLRDIDTYTTGFPCGDGRGHANIFRAVKHCLPGPYTFILTASKELPKQCVRYGTTTAKYAARKNVGVRMPDDAICQAILEKMDAPLISTSVKWPKENEWMIDPVVIADIYGPEGLDFVVDGGIRVADPSTVVDMTGSSPKIVRLGKVINEYSIENYVMVVGALNFTESAVYIHFDQTPPTWSRFSSAVFRYSVERPDGSNACRNNSCSVYCELDGKRLLKPCQFDTIVLRNLTANHYHNFLLNVTTLNGENNSSAYSWFIDTIPPTANVSSEQNYTSAEKITIDITFSEACNGHGGFKCVNSSNCDVIVDGPAHVQPSSLRIIKPNIKYSLKLVLSLKNTYGRVVVRMAENFCKDRAGNNFTRSNASTAVIHFDRRPVLVDLWSSVPSYELAINGVPRTVFATNRTENLEVYLDFSIPIINSTEQILNALDVNSGSLMPVHDRTHGNRRFVFNLKNIASETEIITVKLHAGLLSGRTGTPISPVASLQFLYDCTKPGVGLSSNSPNVTKVSNINVIVEFTKPVFGFEASMIEVNGGSLIRFKELSRALYSLTVMAVTNNVVSISIPEGKVNDISGNMNVASNKIEMIHYSTPAISMHYTRL >OMO85371 pep supercontig:CCACVL1_1.0:contig09627:7892:10701:-1 gene:CCACVL1_10230 transcript:OMO85371 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17L21.18 isoform 1 MEMSNELDRLLFFEQARKISEATYAANPLDADNLTRWAGALLELSQFQSVADSQKMIQDAISKLEEALAINPKKHDALWCLGNAQTSFAFLTNKEEDAKPYFEKAAQYFQQAVDEEPTNEVYLKSLEISSKAPELHQEIIKHGLNQQTIGGAGPSTSTTSSTSTKTAAKNKKSSDLKYDIFGWIILAVGIVAWVGFAKSHMPPAPPPPPR >OMO85372 pep supercontig:CCACVL1_1.0:contig09627:17506:19240:1 gene:CCACVL1_10231 transcript:OMO85372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMQSFWQLGDDLRGQSKVSEDHKWLMAASKLADQTRIKGERMNNLDLSKGPAEIRTRDKFGFQEDNKFENLNFNMLNLDSKIGDNAIKSSFRNGVYNMNAVYQKNNINNLGNLPGNKYSGNNLSTKDVNINSNTNNNNENSNANNAVDKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKALEEDSFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSS >OMO50628 pep supercontig:CCACVL1_1.0:contig16108:11530:11619:1 gene:CCACVL1_30339 transcript:OMO50628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKTVLVPSFKPMFPFGQLSKGHVRRKW >OMO50629 pep supercontig:CCACVL1_1.0:contig16108:17950:18716:1 gene:CCACVL1_30340 transcript:OMO50629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANEEGNDNINGSPVEETQEHGNDGINGDMDEAQEQEQGSDGMNDSDMDEAQEQGNDGIRRPKTLKNEEREVIYRILLQNYVYRKVRRSVKKMLVFAYSTSTKTIDHIWKQCMSVGDVRYRKTQNCDRKRVQIDVAQFQSIPLSKRSTFRCMATALNTSKYVCQRLHKKGLFRCYSNAIKPKLTPENKIARLLFYIKMLEDVSIPEDPTFNGMYDIVYIDEK >OMO50627 pep supercontig:CCACVL1_1.0:contig16108:10137:10253:1 gene:CCACVL1_30338 transcript:OMO50627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASEMLRTHLLIEPSISKRMGCDAAKTKIQIEEDGV >OMO50630 pep supercontig:CCACVL1_1.0:contig16108:19199:21813:-1 gene:CCACVL1_30341 transcript:OMO50630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKIQDSSFPKSRAIADAEKKKELDLLKGQVTRPNSKIRSENERVLA >OMO50631 pep supercontig:CCACVL1_1.0:contig16108:48945:49801:1 gene:CCACVL1_30342 transcript:OMO50631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISYNSSKKLESKVSFLDRNSEPAEDRSHGLAVLVCVPDDADAGEVDDNALVSVGEGYFDDFPPGLEPSPFRSKCNNLAISARKRRTGATTRADPGIVCSGGTLWYQIYTAMMSYGKVLYF >OMO82278 pep supercontig:CCACVL1_1.0:contig10055:19871:21722:1 gene:CCACVL1_11991 transcript:OMO82278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQPLNSSQVRSVGGTEHSWCKAVLGGTGIAVLAILTTQNPDISRLRNALHKLQNSHPIIRSRLHYNPSGNTFSFVTSPSPFIHVKSFNQLTTSNILENLYNKQENQNISPLHLILEHELNKNAWTTSGNSSNTKTDVFFASVYALPGAKWVVVLRLHASACDRTTAVSLLRELLTLTGINEEGKEMTNKTEVSLAIEDLVPKGKAKKTLWARGVNMLSYSVNSFRLTNLKFKDTKSPRSTQVVRLLINPEDTRKILSGCEARGIKLCGALSAAGLIAAHSSKWRCSSDYQKKKYGVVTLTDCRSILEPQLSNQHFGFYHSAILNTHVIKGGEKLWELAKKMYTSFTNSKNCNRHLSDMADLNFLMCRAMENPGLTSSSSLRTSFISVFEDTVFDESNDQQKQVGLGDYMACASTHGIGPSIAIFDTIRDGRLDCICVYPSPLHSREQIQELVDSMKAILVDAGKNADEN >OMO82277 pep supercontig:CCACVL1_1.0:contig10055:9597:15971:-1 gene:CCACVL1_11990 transcript:OMO82277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRHEKEKGVNVQVLLRCRPFSEDELRSNAPQVVTCNEFQREVSVSQNIAGKHIDRVFTFDKVFGPSAEQKDLYEQAVVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKKAKMGPNGELPPEAGVIARSVKQIFDTLESQNAEYSVKVTFLELYNEEITDLLAPEEISKVALEDKQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSTLIKDLYGEIERLKGEVYAAREKNGVYIPKERYYQEESERKAMADQIEQMGTLIETHQKQLEELQDKYVAQVRQCSDLSGKLETTEKNLNETRKLLANSEEELKKCRYVLKEKEFIISEQKKAENALAHQACVLRSDLEKALKDNASLFLKIGREDKLSSDNRVVVNNFQVELAQQIGSLCNLVASSVSQQNEHLHSVEKLCRSFTDIHDKAILDMKKKVTAARALHVSHMEAVQNVVRLHKASSNATLEEISTLATSNAHSVEEFLSSEASKAASMFDGLQSTLATHQGEMALFARELRQRFHDSIEQTKDISDYTNGILDKLSEESARVQNHAAQVDEVQIKSIASFQKAYEEQSKSDAEKLLADMTNLVYGHVRRQKELVDARLVDIRESAVTNKTFLDGHISSMEGITSDAKRKWQAFALQAENDAKDGADYSAAKHCRMEALLQQCVSTAESAFNHYKHTQESANGMGSKHLSDITSLIRNASDANEQHDAEIDSSRVAAEQDGLKNSEDTLQYIDSMSEQERGITTGILDSVTAHGKSLETFQDDHSSQATSVKQKAEETFQQRYMDYEPTGTTPVRSEQDVPSKGTIESLRAMPMEALVEEFRENNSYESFEQKELKPSLIPRSPLTQIN >OMO82276 pep supercontig:CCACVL1_1.0:contig10055:3513:5353:1 gene:CCACVL1_11989 transcript:OMO82276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 32 MVNWEPLEPAIFPSEPFDIKGTWSGSATILPGDKPVILYTGIDPQENQVQVYAVPENASDPYLRKWKKPADLNPIIFPGKGINASAFRDPTTAWKVNGYWNILVGGKNDRIGIAHLFKSKHFKKWKEAKHPLHSVPDTGMWECPDFFPVSTKSGFKEGLDTSVIGDDVKHVLKVSLDLTRFEYYTLGTYYPNDDKYVPDKGLVDGWAGLRYDYGNFYASKTFFDPVKNRRILWGWANESDSKMDDVKKGWAGIQLIPRTVLLDPSGKQLVQWPIEEIETLRENKVQMGNQQLKPGEHIEIKGINGAQADVDITFTIPNLDKAEPFDPSWKDPQDLCYKKKSKVGGSIGPFGLLTLASENLDEFTPVFFRVFKADNNKHVVLMCSDASHSTLTMRVYKPTFGGFVDVDLTKNNNQISLRSLIDHSVVESFAAGGKTCISSRVYPTQAIFDQAHVFVFNNGTEPITLTNVNAWSMKRPEQMN >OMO82279 pep supercontig:CCACVL1_1.0:contig10055:24379:27081:1 gene:CCACVL1_11992 transcript:OMO82279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESDQNPAPKTPEPKVRPLGGTEYSWCRAVPSGTGITVLSLLLSNPPHISLLEAALFKLQVSHPILRSNLHFDTPQNTFSFLTHRNPRVKIQSFDLQSTSDILKTLSGDSDIDSHQLLLEHELNRNSWNRTGDDDSDESCADDDYEVCFVSLYNLSETRWVVVFRLHTAACDRAAAVALLRELLELVGGGKTQGENNEEKLLKVELSLGIEDLIPSGKANKPFWVRGVDMLGYSLNSFRLANLDFVDANSPRRSQVVRLQMNQADTDKILAGCKAIGIKLCGALAAAGLLAARSTKANIPDHQRERYAVVTLIDCRSILDPVLSNNHLGFYHSAILNTHDVTAQDDVWELAKRSYTAFANAKNNNKHFTDMNDLNYLMCKAIENPGLTPSSSLRTAFISVFEDSVSDETNKLHGEIGLVDYVGCSSVHGVGPTVALFDTIRDGCLDCACVYPAPLHSREQMQELIDSMKRILSDSSFNNVEINS >OMO82280 pep supercontig:CCACVL1_1.0:contig10055:28665:29054:-1 gene:CCACVL1_11993 transcript:OMO82280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MLGKKSFSLKKLAKKVKGKGGSDREQPQYEYLLSEYEQMSPTSAETSPTPSGFFAVYVGEEEERFVVPTSFLSHPLFKMLLEKSYNEYGFEQRDKLIVPCSVSTFQEVVNAVECCNGKFDFGKLVEEFL >OMP00500 pep supercontig:CCACVL1_1.0:contig06666:79:174:-1 gene:CCACVL1_03339 transcript:OMP00500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPERPEITSVLNELKTSQWTNLTKMIIVRP >OMO59776 pep supercontig:CCACVL1_1.0:contig13886:9666:9899:-1 gene:CCACVL1_24618 transcript:OMO59776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein STR-52 MSSIIRIYAVYQQIMVSIRMQCVFLFSAKHNFLGERKKEENPNKRKLTRNAKPLYCIFTFMPHRNFRWKVEITTSAR >OMO51164 pep supercontig:CCACVL1_1.0:contig15950:10009:19367:1 gene:CCACVL1_29965 transcript:OMO51164 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSKAYDRLEWNLLEAIMLKMGYVAQWVDMIMQCVRLVSFSVVVNGDVTDEFRPERGLRQGDLLSPYLFLMCTEGLSALLSKGKVDGLLSGVSASQRGPWVSHLFFVDDSLLFGKANSDESSLRMMDSTLLEVAIEFFTKKHTEASIDLDDFDADQRMLRQIWRAIVPPKIRFFSWCLFHALLPAMDNLFLQGRMRHVLDAALAKTHAVLRALSWVKEMGFHTVMIEGDALYIIQKLNSPHVDFSQIGVLLDDAKLLKESLDCYFFHYLMGSSVAMADSINLDQIKEADTQSRRAWNSILAEVFQLRSVSPIDPGKPVLRVLAEVYELTLGRDGLVKIIKIDSNLYVIQFPNEITRTWVLENEPWHIQNKPLFIKRWEPGGVGLDFDMRKFPLWITLKHVPLELYTKLGLSFIASGLGNPLYMDRATALKQKLSIAKVCVEVDLEVVIELKDGTSVSIEIEVPWRPPKCCTCNVFGHANCSVVPKQPGRQRWTPKKNLDIAQQTVSIDTTGDIADAGNISNTGGLAYTPIIADISDIAKVDNGMSLKPTVKMEVQVGAFTILTSPATVQKSLMVRNIEQNTPIGCSTAQQSDVQKGTGRKFTATEKGKANASKQSSNRYATLQTKANIIDEEHIDDVDRLTDIVNEIDKATFTRKPRAALARVKEVVMQCITCHITYNSKKFYFSAVYGANNEGDRRNLWSYLLQTATTIGADPWLVVGDFNVISRIKESSEFNGTQIAGCDISDFNSCISQLDLVDHAYSGPLFTWWNKRDEGSISKKLDRALVNFSWLEAYQNSIVEFLAPEVSDHCAILVKLDSQNFSPPKPFRFFNFWTKHDNFMATVKQSWPQPVYGRDPMLRLFMKLKRLKPVLKQFNRDNFRQLSDKVNQKRGEVAQLQTSLLQSASSQLVDELKQISDKAIPFFQSLLGKKDGAIGGCSIDLLTDILQDTVPVNLRQSLSAPVTTAEIKSAIFSISGDKAPGPNGFNSYFFKSTWSIVQKDVEEAILYFFQTGHLLQAFNSTAITLVPKYSGLQLNCEKSELFTAGVSSDIVQKMVQLSHFKVGSLWIAWIYAYILKGRSIMEIPSLQRYSWNIKKLLHLRNKVDQFIQVGGDWTLPGYVYKTSLVYDHLRSKNPRVPWQRDHIFFTCEYSKKLCVKILRACNLTKAAGDWQSELQWKNHCFVWVFALCLMENQADQNLEKESVSMETSSDLAASKRSKVFLKLKISNKPLKHCGQHICPVCSRGFSSGKALGGHIRIHTKGIKNSRHRKLSKLQPRNYIHRAKAKKRISKKTGLPPKAAADDDDLNNKDDDEDEKFSCAVCNKEFRSKKSLFGHMRNHPDRKWRGIKPPPNSEKNSCCSSVSENEEAVQVVDQISATETDEASADLFKSLPKWSYATKPISDEIPEAAYCLMKLARGQSFDLAQLMLHKGPSEVKKRCHFDGKGKGKLKIEQDIQERLPCKRADSYRSGEEKKMGKPINYENSIENKRTKLNCAEDATEVLKKNRKFDQFHKYPMMKADELEEGNSSGRQRGGKIFPSGFCPNKNPSPRGLFGAETAEGKHLYSKKQIPGTSHQAEAAEASHDHVQVCSPKLLDFDLNEPYVALDAEPTPTPTPTPSQYSD >OMO77283 pep supercontig:CCACVL1_1.0:contig10788:1171:8157:-1 gene:CCACVL1_15115 transcript:OMO77283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MRLLLHSTSNKIPPCGKDLKDDAMCNFPVPVTLLLKGSSCLGGFADEADSAGPYNMGSLNGEKGFSGGHSSSSELGLEEESGTCNACAPSCSPCVHFEQVASLVTKTNGFSGEACEKKDCNRCSFNDADLSSPRVNCTCNDRHHTSSETSHPLSACLSHESFSENAESEETLRDSNTSEGINMINNPNLCHNSRCNPGSVKAPIFHDEVVSNKLVKQKKSECLGDNIAFICGSDYVKTRASSLNSDADKKNMSYTPGSLDNFSEVEKAAKAHPGSSCLAGSPSDEVNDNNPRRSNRSVNESSQENLCSNKSDLSEISSLRDSCAGAISAKGERSESSEEQVQSSFARADALQIGCQIGDEHNSAESMQADAGISEREKTAEVKTTMVAKEVNLEETTIGSHLAACSDGSQDTEYDVKVCDICGDIGREELLAVCSNCNDGAEHIYCMRVKMDSVPKGDWMCEECMLSKETLKQKQDKIEERVGIYKQQNSLEQGTKGLEVEGVKAYKVGTTSLFTSRRPVGSLQAVRKRPFETALKSPGVSSSSSKTSMLSGGYNASSTRKIVCLPTESSSKSPKLSSQSHVSRGSLSKSKSFSDRSLKKDAHLFEEGDYRSLGFGKGSAANECKRGVRMMSKSMSLQNMRSHGANNGNGNPDIKLLPKFSRGEDLKRSRHAKGQYPIKVEKKPRLANSDLSASMLDKRIASPGKNSLQHSSSSSSHDIKAVKGHKISSDSSFKISGQQGGCVNEEKSKNVNMRQHVEYPAELVPATSTNHSNANVLQDRLPSLRDSSLNASSVQMPSWISSVPQLDYIWRGKFEIQRTGGLTFTSDGIQAHLSTSASNKVVEVVQKLPRKLLLEEVPRLSMWPTQFMNDNPTEENIALYFFAKDLDSYEKSYEKLLERMVKNDFSLKGTFGGIDLLIFTSQILPEKSQRWNNLLFLWGVFRGKRVDCLGQIPAMSPVNLPPPRKSSESISASLVSHNTQQTLNSITLLDKQAVTSGGMVQDCETKAPSLEEKPPDLPTSCSQQVGRVDGQMKNEQFSTADSSQIEERESKRRPVIDLNHSPQESEDYTTDGMDIDKNGVKDINDSVMVKSSFSEMDIGNNNSAKDRNERCSTDINGKGPSSSGEVKYDGAYDMSIVSPELDSVGNKNRWKSLQQQVLSNDSGNRLHSSVSSPEQVGVARSSSDRGNMPPFFMLMGSKVLGGNSERETSNDTTPSLALSLALPNPKTVQVSNLDLEMKLPNRPEVNTTLSLFGSSSDS >OMO70089 pep supercontig:CCACVL1_1.0:contig11875:13088:16496:1 gene:CCACVL1_19110 transcript:OMO70089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclases/protein prenyltransferase alpha-alpha toroid MSRSVIALEDMGHKANHMGRQAYHMGPQLESRHATSKHMDAGDMGAEANDLGAQAYHMGAKGESELRLRRMSNRWKANSESYNFHVWSKLRYGQYGDISSKVDVYALRGTAAWQLTLTCIISVPKDMKVQEETDVEMSFQNEMVMVLRFQELSYESFMGLGKLITLICMLKKEVEEIVAEKMEPQQLYDVVNVLLSLQVLNPTEFFANIVIAHEYVESTSSTIHALVLFKKYHGHRTREIEDFITDAVRYLEDVQRLDGSWYVSQFLRNMLE >OMO51882 pep supercontig:CCACVL1_1.0:contig15685:4122:15638:1 gene:CCACVL1_29527 transcript:OMO51882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGIGVGNDVVLGNLRCGVIGEGKTTIRVLGMRQRQRPSSVHWHSRNKTSQNYNHKPVVNHNPTIKLKSIIVQGAVSTAAEPSKKVVDEAKHEV >OMO51883 pep supercontig:CCACVL1_1.0:contig15685:18229:18306:-1 gene:CCACVL1_29528 transcript:OMO51883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAEKSVQSTKGADKSEAEPPLSLFR >OMO51881 pep supercontig:CCACVL1_1.0:contig15685:1433:1996:-1 gene:CCACVL1_29526 transcript:OMO51881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQHVCSLQISIFLLMLLNPVFSLDKNGADSHDRIGSLVRESCKNWTEFPNICVSTLEADSRSIVNAIPAFDEKDFEESYNDVALSRQLVAECDDSSVTKLFDGRQTELIQFITDVVNLADRQRRIPN >OMO80161 pep supercontig:CCACVL1_1.0:contig10345:5126:5713:1 gene:CCACVL1_13141 transcript:OMO80161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPVSPPLKKVALNDEMMNNCLLEVLDWVNYVFAFCIASFVFGSLWCDMIASKLEKQHNNEDFGKSSIICYGIFFVCYLIGTVAIFIMKSPKDVIRKIASDDSHRFEGSQNNFIVQSTWFTASVSWCSLFLAGMLLWLLNDVADMIVKYKSGVLFSRLIYTAPYSLAVTSFLSLAVVFGFKTYYLRIHQMPISG >OMO80163 pep supercontig:CCACVL1_1.0:contig10345:11934:13144:-1 gene:CCACVL1_13143 transcript:OMO80163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMRTVVSWNTMISGYSKWGKLNESLDLLSSMHRSDIKFNESTYSTALSVCGRLQSLTDGKQIHCIVIKSGCESFELVGSALLYFYATCFEIEEGKRVFDELHEKNELLWNLMIAGYVECNMMREALDVFMKMPKQDVVAWTTLISGYAKSEEGCEKALELFWWMRGGSEVKPNEFTLDSLIRACSRLGDLCEGRLVHGILIKYGFEFDQPIAGALVEFYCSCEALDDAKKVYYGVKDPCLNTSNSIISGLMSLGRVEDAELIFNRLDESTNRAYKINILGSLSCVFMPEISSTRTITTRALDENPISV >OMO80162 pep supercontig:CCACVL1_1.0:contig10345:10364:10984:1 gene:CCACVL1_13142 transcript:OMO80162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVVSSPVQEKLRADSNDKTKKKSLEQEWIVCVITFCGQSVMFGLSWFFLKGKELEKQQKNEDFGTLSRIGYGMGYAAYLSCIIGALIMKSPNNVIRKIASGADRAEDIEKDYAVHSTWFSAFLCCFSLFWAGSSFWLLNDVTEIVVKYNTKADLDRLVGVYFYTAPYSLGFTTFLSMAIVCVFRTYNLILINSRQMLPVSNSD >OMO67919 pep supercontig:CCACVL1_1.0:contig12349:5848:6413:1 gene:CCACVL1_20202 transcript:OMO67919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTYEDFEPMCKWQREQNIDTLEVHLPGFKRQQLKVQLSSTGMLTITGERQLDETKGKKSRFRKEFPVSKDYQPNQIRAKFSGGILYLVMPKEVSTVSAAGENVTSSGSFLSSIKNMNKKIALEILIAISLAVAIGVYVKKNCQCSNNIWN >OMO67920 pep supercontig:CCACVL1_1.0:contig12349:9446:10067:1 gene:CCACVL1_20203 transcript:OMO67920 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperone METSSEEYVEPHCSWKRGKEFDTIEIDLDGFTREDVKLTIQPIGEEILVISIMAETPRRIQKKFEIPNRDYDAGKLRATMCSGNLSIEIPKKAASNKLEISKPKSEFMKVIQESGGKMQRKFSSGVECCSKPIVAVSAFTSLAIVLLAYKYYVKC >OMO67925 pep supercontig:CCACVL1_1.0:contig12349:102017:102076:-1 gene:CCACVL1_20209 transcript:OMO67925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEKNLVKQRAAAKGIVA >OMO67926 pep supercontig:CCACVL1_1.0:contig12349:112193:113478:-1 gene:CCACVL1_20210 transcript:OMO67926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MAAATTDVNPNYDRVKDIREFDETKQGVKGLRDSGLASIPKIFIHPPEVLSTLKPPSQTNTANIPVIDLQNINSQTHRPEIVKKIKEAAKEWGFFQVINHGIPISVMEETIQAVKSFHEQPDEVKAKYYDPDRTPSKSRGVLYTSNNDMHRAKAATWHDYLQLLMAPEEIAVNVENIPGIVRKEAVAWDGCATKLADDVMELLCEGIGLESSRFKELSCSGQRYLVGTYYPPCPQPDLTLGLAPHSDPGTITVLISNQISGLQIKHGEEWVDVKPLPGAVIINIADLLQIISNDEFNSVGHRVRANCCEEPRISVVEFFLLSKWKEYGTFGPLPELVSAEKPALYRQFTEDDYFSNMYVKGLEFKSLVEKFKLQKS >OMO67917 pep supercontig:CCACVL1_1.0:contig12349:1013:2303:1 gene:CCACVL1_20200 transcript:OMO67917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin MATKILASSSHGFENECKEIHDSWGRLNQLIRALASRTQLECRRIRETYKEMYGEDLISLLQKTNSQRNEPGGVSPKTCAALSLWMLDPHERDATVAREAIQQDDTNYKALVEIFLGRKSSHIALIKQAYQLKYKRQLDQDIVNIEPPHPYQKILVALATSHKAHQADVSQHIAKCDAIRLFETGEGSPGAIDEGVVLEIFTKRSIPQLKLTFSCYKHIYGHDYTKSITKGNSGEFEDALKMVIKCICNPPNYHAKTLFTSIKGMTADRGAVTRVMVSRAEMDMDEIQRVFKIKYGLELREVISDSIPSGDYRDFLLALANKTANAPSKSM >OMO67921 pep supercontig:CCACVL1_1.0:contig12349:61635:62371:-1 gene:CCACVL1_20204 transcript:OMO67921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MASNKILMIAIVTVFLPTIAMATTYIVGDSSGWTINFDYQAWAKDKVFYVGDKLVFQYPQGVHNVFKVNGTAFQNCTIPPANQALTSGNDTIVLATPGRKWYICGVANHCSDYGQKLAITVQYSYGWAPAPTPSSPWAPAPSVPTTPPTEPWAPAPAPSSPWAPAPAPSSPWAPTPSSPSVPATPGTPTEPWAPAPSPYPWI >OMO67918 pep supercontig:CCACVL1_1.0:contig12349:4071:4770:1 gene:CCACVL1_20201 transcript:OMO67918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSYQDFEPDHHYRKGEVHDIIELQVKDFRKEHLKVNFGSNGVLTITGERPVEGHRWIRFRKEFNPPKDCKSNEIRARLSSGILYLTIPKKVVQQDQVTPVQQGSQVQDKGKLKQESSKSNKEGADQGFTAKQQSDVAMPTENGALQPTAGPKSFVSRLKMGRKTAMKVGASVIVLALLIAVMFYVLKYYATMIIVDQ >OMO67923 pep supercontig:CCACVL1_1.0:contig12349:67197:67517:1 gene:CCACVL1_20206 transcript:OMO67923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESRDTRCEANPPTLGARPRDPARVPLAQLLAWNAGKPGISHLNQTRWFKPNVPSPYCPHLSHKLALPSSAINHRTCALYKEHIFLHTYDVGFGGNDFNQIHNSA >OMO67922 pep supercontig:CCACVL1_1.0:contig12349:65526:66428:-1 gene:CCACVL1_20205 transcript:OMO67922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MAYNKFLMIAIVALFLPTISMATTYMVGDSSGWTINFDYQAWAKDKVFYVGDKLVFQYPQGVHNVFKVNGTAFQNCTIPPANQALTSGNDTILLATPGRKWYICGVANHCSAYGQKLAITVQYSYGWAPAPAPSSIPTTPATPTEPWAPAPAPSSRWAPAPYPPSVPTTPATPAEPWAPAPAPSAPWEPPTEPWAPAPTPSAPWEPPTEPWAPAPAPSSPWAPAPSSPSVPSTPTKPWAPVPSPYPWY >OMO67924 pep supercontig:CCACVL1_1.0:contig12349:68438:69033:-1 gene:CCACVL1_20208 transcript:OMO67924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MGVYRPLVIFAIVVAIAPVISFATEIVVGDEAGWKLNFDYQNWASGKKFTVGDTLVFKYKQGDHNVYKVNGTDFQNCNVPKNNSLGLFTGNDTIKLAAAGDKWYICGVGDHCSQGMRLKISVSNSAPALYAKVPIFQIFSGIIFAMVAMITVN >OMO67927 pep supercontig:CCACVL1_1.0:contig12349:120424:120537:1 gene:CCACVL1_20211 transcript:OMO67927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERSKEPQRQKQYSMKIAAVMMTPTMKRVAKVAEDE >OMO59686 pep supercontig:CCACVL1_1.0:contig13905:30203:31337:-1 gene:CCACVL1_24666 transcript:OMO59686 gene_biotype:protein_coding transcript_biotype:protein_coding description:prephenate dehydrogenase MEKDALRAKIKADMNKKKPESQDKGQGKKRLAEQLPSKDPKDNVSKKSKTTPETHSVASESLAVAPSSKPDPTAGGSGGTSKATSPKDTPSRGDRPLVGRDGVHTKHSAIPPKGRGRGTLDFLCDSMAHLKLGEVREFDAIEKLEQDECADCLVTHAHKTAMFANQLLHFFRPSLVKKDRALVESLDDGCLLMTRRECHGLQNKVDREKKVKEDALKLHGISQKKFNDLEAKFGKLEKDNSALTSQFATLKRSKDEEAGTFEVFKIQLQREIDDLKVFYSPFLWCFRL >OMO59682 pep supercontig:CCACVL1_1.0:contig13905:12464:12526:-1 gene:CCACVL1_24662 transcript:OMO59682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGRHPNYGPDKKIDGDDN >OMO59687 pep supercontig:CCACVL1_1.0:contig13905:38216:40995:1 gene:CCACVL1_24667 transcript:OMO59687 gene_biotype:protein_coding transcript_biotype:protein_coding description:14 kDa proline-rich protein DC2.15-like protein MASSSKASAPIAFLLALNLLFFTLVSSQTPSPPPPPPSACPDGLLSGGLCVDLFGLAIANATLGVGSNSATPCCRALGLVGVNVRACICLALEARVRLLLSLLGINGTLGLEVNLTVPVNAVFIFLVWNFYQLSSKWILGEFDFTLHAASIGNQSWMTTGGVSNSPLDGDQGISVLQQKNDALQRWEQHNKQMDLQFRRFDKLSDEIVDRLDNLIKIYARRRQVDNRKPRVEMAHGDPIEKPVSVCRNPVDNRKPGVEITRGGPIETPEHVRRNPIYDKGFEENRQRQPFRTRCTINDMEHSKIILGKPWQFDEGAQYSYDDNVYKIRKEGKEEKETEVTEKDKEIEVTQRKDESVTIDKVAKDGESVESKTPDQYVTVEDEQKKLVVEEFMDFSVFMGVNNTNKFFAAVDKREAETQVEEHSGLVQQFLQEFRGLRPDDIPDDLPLIHGICHFIDFIPDVSLSNFSHFRINLKEHEILMGKVKQKLEATTFSVAVVQTQGRV >OMO59683 pep supercontig:CCACVL1_1.0:contig13905:16002:18978:1 gene:CCACVL1_24663 transcript:OMO59683 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MGCCVSTSSRSSCSNRSHSEAASPSCFCGQKRTKRTFSDHVIALQHLPSVPNRIFTNGKSRTSCIFTQQGRKGINQDAMIVWEDFMPEDVTFCGVFDGHGPHGHLVARKVRDALPLKLLSSMHSHQSRQNGSGRTCFKGNSKKSDCGDSEKDGSAEDRLNSLWREAFMKSYKAMDKQLRSHPNLDCFCSGSTAVTIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAIQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRVLTERDQFIVLASDGVWDVLSNEEVVDIVSSAPSRSSAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYEEQGFSSATLQSNHSGNAVESDDGQKSEPSLQRNFTVRSAEESDSYGRLPPEFEGNVDMVSGEDQNWSGLEGVTRVNSLVQLPRFSEERPNP >OMO59684 pep supercontig:CCACVL1_1.0:contig13905:20574:21005:1 gene:CCACVL1_24664 transcript:OMO59684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKTCARLFLISILLAIAGEAIAARDIPKTDDQMKQPEWLLKHDRSVLIPGLGRVLLPPHLKSPHIIPHYPYTGSTGHGSLGGGSSTGSGSIGGGSTGGSIGGSPGGARQIPGGDDTFVPNPGFEVPVPGTGAVGAGTNPRP >OMO59685 pep supercontig:CCACVL1_1.0:contig13905:22723:22878:-1 gene:CCACVL1_24665 transcript:OMO59685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLESLDESKKKKPKRNHGSTELFVLVDYIFFIVFFGFLVYILVRLFNVF >OMO81646 pep supercontig:CCACVL1_1.0:contig10135:30548:30922:1 gene:CCACVL1_12334 transcript:OMO81646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIWLSRLIFINLVLCLVINNVAADNYHKPSKAAPPSYRKKPETPSQRPYHSYKSQPPPPPPRRPYVYKSPPTPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPWPPPPYYSKSPSPHN >OMO81647 pep supercontig:CCACVL1_1.0:contig10135:38919:39159:1 gene:CCACVL1_12335 transcript:OMO81647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYGYKRKLAFAKAGDDTWNTVETTNGVPCQYCDDLICYDNKFYGLTSLGEVIACDVEDPQQVKLISIVPKELTLAMRS >OMO81643 pep supercontig:CCACVL1_1.0:contig10135:4537:7603:1 gene:CCACVL1_12331 transcript:OMO81643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANINWRSRLVFIAIALCLVINNVNVAADNYDHEPNYHAAPPAFREKPETPEYKSPPPPYVYKSPPPPSPSPPPPYVYKYPPPPSPSPPPPYVYKSPPPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPPPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPRLTSTKRSVNRRAVIMIPRIQWPEAEVLMIDKIEQNLFSITFSSVKLLYDAMFVMGFRFNLNEWQLFEVPVIVSTVFSEGSGGCPAKATQYPCSALVGIVKVLGRP >OMO81644 pep supercontig:CCACVL1_1.0:contig10135:15267:16372:1 gene:CCACVL1_12332 transcript:OMO81644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIWPRLAYALSLCLIINNVAASAYGVDEPYNNPPPYYGQKPETPSKEPPYYKAPLPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPTSPSPPPPYIYKSPPPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPYVYKSPPPPSPSPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPASPLAPSPLRLQVSTSTFSLAPPPYSPPPPSPSPPPPYMYKSPPPPSPSPPPPYVYKSPPPPAYY >OMO81645 pep supercontig:CCACVL1_1.0:contig10135:21120:21596:1 gene:CCACVL1_12333 transcript:OMO81645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITISLIYYHAAPPDFREKPETPEPHKYKSPPPPYAYKSPPPPSHSLPPPYIYKSPPPPSKSPPPPYVYKSPPPPSPSPPYVYKSPPPPSRSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPKPPPPYVYKSPPPPTQSPPPPYYYKSPPPPPRSNY >OMO69376 pep supercontig:CCACVL1_1.0:contig12054:8276:8531:-1 gene:CCACVL1_19538 transcript:OMO69376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGREGFSEEEQQMGTKADAADDSNSKRLDSDSSAIAAAAAINIEKEHMFLFFELLTGEIG >OMO69377 pep supercontig:CCACVL1_1.0:contig12054:36790:54611:-1 gene:CCACVL1_19539 transcript:OMO69377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKTRLCSFLNSTAEDRVRTAVVAIQSV >OMO57685 pep supercontig:CCACVL1_1.0:contig14336:18858:31471:-1 gene:CCACVL1_25699 transcript:OMO57685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDHDIESEFEGDEEEGVPKTTVFETSLEAKLKELLDKINSIEIKLFSAATKEFVKLLKTDAGAELLHLYVQTSPSSSELLQAWKLRQGKPGMSYILSLISAILSHPEGRRYNDKLGMSRVLDKLARLIVDEKLEDVYKELNSKDGKRQNAALLLMGSLVRRGSGVASEVAKKFDFKLQGFSRLSEFKKGKLIDKKKHSTRKSFVGFAMSFLEMGKPGLLRWVLQQREMYSGVLRGLGNDDDETVVYILSTLRDRVLTEESLVPPGLRSALFGSVTLEQLVNISGRENSGIAAELAYRVLLIVCTDPSNGLMPDLERQPNPLKGNPKRLLGVMKKLKATEIGYHKDLLLAIVRGRPSLAAAYMDEFPYSVEDHASSTWFSTVSLAASLISSVAMGNPFGFLDNESHDPLSFDSIDVQNIVNCICPRPLSRSVVTKGLLHSDVLVKHRALRLLLEALKLLDSFISSLNHISRVRNQMVQSCELLKQHIQNEVRTLLPDTQVLLTLLSSLGTNSRTLKSSAKRKPGLEKFPDNSSSKKLKAGVSEDSDIIIGGISSVPDIGLPEDHDVVADAHETDELAGERDFLNVISEIWGLDLHSSSLMELKDVEMYFYSKLFDALKIYLRAVPTVLEGSFDFFMNLVNSPLALPIDLQHSLLSLLTEYIGWSPGNEKSKRTPLLMYKHLQTFINLLTLSPNNDIKNQAYNLARAAMLSTGAFDRNPYEIGAWLLFLPGYSGKSSSIEVQEAGVLQSLSQVVVSFLGDAISTIGNNLFKHWDTVRRYMSLKKGFKGSWINGSIVTEAATHVLQVDAGLLSDLIQSVLSEGLGGCCSMVYDSGEFLCEWRPFKSLLYFLQSAVYQPPSNLLSIDKNAIPDDSPFANTLKEVKKIIRNEHHGELTGMVKAFYSAMLCATPEDILMNFPSVMTISMKLGVAVPLLSSVIFSEQDFLVGVSNLWPEVFFPGLEMALLKIHQKHMDDDGGMTSNINFVTPESAVTAFSLFLKQVPFHVLFPATIDALYLSKPSKIQDLILAKSSGWASDCPISGLRLVLFWFYHVQLIYRNKQLTELKQLLDICLILVKNMFSHMLALKPDFEGSMNSGVPLLAETIKEVAESILCHPEVMPSLTCPLSCNKEVTTEISGDGLEGILSLFGQRVINCDHHVLDLLTATLDCCMSLAKSHYCVIEDGARRKIRRAFSSLVQRLFLDLRDKFDACSGGGDLHPLLSSFCAIHYLIRFISPFDLLELVHSLFSRIDLNIQTIENSQILPALKVCFSLTAGAFEVLSIYLQQPLIKRTPFDVLWEVEEKNLDVNILEGIYVKVCNFACNLKLDFADTCLLRAINAIYRQKNMQHGELHPSRAALSRVIMGTPVEMVSHCIYRTSIAKAKLLHLLIEMSPLHLSIFGKLFLSVLDKDPSEGILVSGHSLSYQDFMMLLPAALSLLNSAFLKFGRHFYRHFKSIPTLYSRILLNGLVHWKSFVFGDIFQEEYSEFLPASAEGLFNLVDESLLAKATHMLSYYFLLSGDTLISKTRLELFSSVFKRSDTHEELLDCNVTEIDFNSVNKSMNHINKVVAKLSLCRMLLFPKDDKGLFLPKETDGSLKEISLSRMHFMNALVGAWHGMVKRLPLVSEYSTSIIAKNGDCLCLYRCLEVFILRNILQLTSEMNSYLIHLESIPFVEQLLRSTLLHRFEDSNTLGILRSILELLSEGKFSRLLCLQMLLGHSQFAPMIHSISKSSISGSDSFFRNMSSILRLLVIPHTTSNENDGKDDQEAAEMCIKQLEILKLLRTLLQSGTARCEFESRNDNGINLKELHVLLLSSYGATLSEVDLEIYSLLNEIESIDSSESEYFAEVDYLWGNAATKVRKEHEPERCASSNIMTDTEVVQESRRIKYRENLPVDPKVCAATVLHFPYERTANGIASSLNKLQTDNHKDMIKRYYPASGNMQRYDPVFIMRFSIHSLSAGYIEPVEFAGLGLLAVAFISMSSPDLGMRKLAYEVLSRFKISLERCQKRKDVMRLRLLLTYMQNGIEEPWQRIPSVIALFAAETSLILLDSVHEYNSTLNKFLMDSSRVNMKEIPLFHDFFHSTAVNFKAQRLWILRLAYAGLNLEDDAWLYIKSSVLETLMSFYTSPLSDHESKKLILQIVKKSVQLHKMALYLVEQCSLFSWLSSILSTYSLVFLKYEKGSFFTELVVVVEVVNEVISSKDITQWLQRCALEQLMELASHLYKLLVSGKKLINEHGTFVNHTLQIIISTLELSQKRQINQPHFTLSLEGLFQIYQAVDEHEIRRHSANAEHGLEAILMSAPPVDIFCMNREKLSSFLMWASSTALKSESKKMFQSKESGLYLPVISEKASQKELLTLKLLRWLTASIIHGKLSLYFNEWTAKFSDRSNLKTLQSLLECVANGDGKGNKSSFDCKKVVAGQVFYLQHCLGTNCGALPSVVSALCILLICDDSKFAGSEFMLEFRSSILTLASRICCPPESNPAWRWSFDQPWKDHSTELTDSERIDELHACQKLLVMISNVLWRKSSDFQGLSLQDVENSGVFTWERSIIETE >OMP10435 pep supercontig:CCACVL1_1.0:contig02519:958:1050:1 gene:CCACVL1_00969 transcript:OMP10435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATPGFELGNKGFAVPRLTARPCRRKTTNKK >OMP06889 pep supercontig:CCACVL1_1.0:contig04761:229:944:-1 gene:CCACVL1_01414 transcript:OMP06889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HKSGCAYQLARVDIAKASRIPKRICTHHHRVTFIEGVEHELATAMRDADTGIRAKQDPERDAAPRGFEQRRRAGRQERGTRCHWLDQVQLPCECCCESIARNLACALRQLPFHHRDARARIQDPAVIALAIPERGDQRGQPIPRCRVRGTACSAP >OMP00276 pep supercontig:CCACVL1_1.0:contig06700:10595:20196:1 gene:CCACVL1_03410 transcript:OMP00276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEKHVKKCVQDLPINKEAGGLSRDQFGNLLAGFRRKLGTSTSLAAELWAIRDRLQLATNLNLHGKLIVECDSEVAREKIGQ >OMP00277 pep supercontig:CCACVL1_1.0:contig06700:20604:21293:-1 gene:CCACVL1_03411 transcript:OMP00277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGNPILVRLGLNRSSDSSWFKFLIFLGLTYFFVFWGNFELTWKLTPLILLLEESLGFLSSWYMEGETFDVFSSGASSSGPQTDITLPQGPGAEPVLPDLNETSKEQRSEELKIKVQELDLKALAETKEDLRMWMNKLFRSEIERQTGRTPPDDLPIENLGEQVDALRSMYGGARKSTPKGELHVFRQILGRLYGMDLALQEKVKRAVEKAVRESVEEGTINPNEWD >OMP00275 pep supercontig:CCACVL1_1.0:contig06700:3234:9250:1 gene:CCACVL1_03409 transcript:OMP00275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MESDDDMIDGSVSTEEDFYSGDYYDDPNDNDNDYYSEDDDDYGLVEDDDHDDQDAAVSRRSQIVYTVLKEADINRRQEDDISEVSTVLSISRVDATILLRNYNWNVSKVHDEWFADEEGVRKSVGLLDRPVIRVYEARELTCGICFESHPRDNITSAACGHPFCRACWRGYICTTINDGPGCLSLRCPDPSCNAAVGEDMIDKLATSEEKQKYSRYLLRSYVEDNKKTKWCPAPGCEYAVEFIIGSGNFDVSCICSHSFCWNCTEEAHRPVNCATVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGSWQEHGERTGGFYACNRYETAKQEGAYDESERRREMAKNSLEKYTHYYERWASNQLSRQKALADLNQMQTVQLQKLSDLQCTPESQLKFITDAWLQIVECRRVLKWTYAYGYYLPEHEEAKRQFFEYLQGEAESGLERLHQCVEKELNNKFLTGDGPSKEFDDFRANLAGLTSVTKNYFENLVRALENGLADVDSQAAAACSKTNSKSMGGTSKGRGGRGKGSVKTSSSSRNVDDTGCIICCMYKYIYKLYLYIYIYIYVCICEV >OMP00274 pep supercontig:CCACVL1_1.0:contig06700:1615:1707:1 gene:CCACVL1_03408 transcript:OMP00274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRRMRGESERRLEEKSMALRVSGRETES >OMP10295 pep supercontig:CCACVL1_1.0:contig02646:383:556:-1 gene:CCACVL1_01000 transcript:OMP10295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KNSSCETMPFELAHLSSGFHFLLIFLFHNPHSNARIRFQLTFFSEDDFSAFEIDGDT >OMP08870 pep supercontig:CCACVL1_1.0:contig03554:894:1052:1 gene:CCACVL1_01083 transcript:OMP08870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVDQQVNIKGLLYAHVTIYALLENAAHPRGHSGKRSGQPSTTTSRNMQQNGK >OMO68141 pep supercontig:CCACVL1_1.0:contig12265:5751:5828:-1 gene:CCACVL1_20062 transcript:OMO68141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMTDFFELPPEEKAPFYSTDRTKQ >OMO68142 pep supercontig:CCACVL1_1.0:contig12265:6703:9970:1 gene:CCACVL1_20063 transcript:OMO68142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLFSESEPSIISTELKFSPSIHISPEVMDRLQGCQAVWNPSGGRAGDLRCGGEPGPVAGTRRLVGAADYPARSGVPRYNFPGRYWKPMHVDGEE >OMO63731 pep supercontig:CCACVL1_1.0:contig12907:64932:69388:1 gene:CCACVL1_22326 transcript:OMO63731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein FLRRRKRKSLNRGLEKIRTEMMKMGFISFLLAISSEAPISKICLTQPIANSFLPCKDPPELSSPLAPSTVNQLTTGAESNTTLSVDYEDEESYCKSKGMVSLMSSEGVMQLNIFISVLAVFHILYCVLTMCLGQVKMKRWRAWEEETRTLEYQIANDPMRFRLTRQTSFGKRHLKLWSNHSLLLWPVCFIRQFSGSASRADYFTLRNAFIMANVAEGSNFNFQKFLGRAFDHDFEQVVGIRFWIWIFCILFIFFNAHGFYNHYWLPFLPLMIILLVGTKLQVIITKMCVESYKNSSVVRGSFVVKPSNELFWFGRPKWLLHLLQLVLIQYEYGLRSCFNQGTEDFAIRIVMGVVVQLLCGYQTLPLYALVTQMGSGMNSAVFTERVARGLKNWRHKAKVSVSRGESTSPKNLPNSIIHDNINASVKDEVAISHCCNEIREDDVEPAMPITSSPSAPENEMSKEKAKRAKSYDGEISFGSSWRKSEGGNSNGIREIIPVIEEDSSNFTANKP >OMO63730 pep supercontig:CCACVL1_1.0:contig12907:15198:15593:1 gene:CCACVL1_22325 transcript:OMO63730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPPLGLAPVLASEFDKDSHYSSKGKGRVNFYPAFLIAEPRISGFNAEKVIRRLRFDDHYRVEVRGFERGILVLLRKSIGKVQVFEKAGQFISIIIEENDCFRWALSAIYAKSLMRRGAWDHDWSNYALN >OMO63729 pep supercontig:CCACVL1_1.0:contig12907:3453:3587:-1 gene:CCACVL1_22324 transcript:OMO63729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNMGCSEPLKKTREIRSPRCHEQVAKREKLQTAPGMEKWKRE >OMP11486 pep supercontig:CCACVL1_1.0:contig01241:5745:5897:1 gene:CCACVL1_00495 transcript:OMP11486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGNKDGNAQEVDGDSFGPWIFIPYLKEKLLYSLVLVRWLGVFPCLKNLNKL >OMO81329 pep supercontig:CCACVL1_1.0:contig10205:9086:9379:1 gene:CCACVL1_12478 transcript:OMO81329 gene_biotype:protein_coding transcript_biotype:protein_coding description:heart-and neural crest derivatives-expressed protein 2-like protein MKRKALREANAAKISNSKRAIQQSCRVQPQAPTQQPPRPLEQPPPPPERRNEAPGLARTGSQQPKRGQFAAANSVPNVSGILFLPVQPQGTQQVPLL >OMO54887 pep supercontig:CCACVL1_1.0:contig14873:10029:11679:1 gene:CCACVL1_27490 transcript:OMO54887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAKVRASSKTRGEKELRDARKSLNVNEEYFSALRTDSYVEYFSKAQSLANELVPSSPSYFHHKFFQLLEPCQDTIPSILESAILSKIPQLKTLILDYFDISAEASKICGHLLTKINQIQHNYQFIQRALDSFDDYHSPEKVKLIISELNSFTILNNPFSNPNKHDFKMIHDKYSSVLNHLKSKRKKVARKLKLFKFFHKTSVVCLTATCGLVVIIAVVIAAHTLAALLMAPVIFGFPVKLFKKKLFKFPCLKCGFLKKIGEQLDVAAKGTYILNRDFDTMSRLVSRLHDEVEDNKAMIQVCLERKEEKFCLQVVKELKKSIVGFKKQVEELEEHVYLCLVTINRARALVIKEMTTSFTQKFSK >OMO51240 pep supercontig:CCACVL1_1.0:contig15923:57603:60155:1 gene:CCACVL1_29915 transcript:OMO51240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAEAILDIPGIPKINEVESVNQRRHSTGKIFLPNGGATVFSRYLKARQSSCHDLCKYGARGNLEMESESLRIPVRKLVPKLVIPKRSAGHSQENAESNFRERRKKTEVSLKLSPYIKVLKPDVPIVIKTAQKRGLCEENPERKLKDGKTSEVSLKPSGDNKTQKPDECPSVSLNPSPDDLIDTKTISTDSEAQDKQNAETKSSVDPDCIGREVSSRSDKEIVSPEHVSLAIKDSNVAVAHAKNAKLKTQSKPSSLLKQSCSIGKESIGSKSKEINVTSMNALAVSNCRNKDEVTISEGMKSSAIGEKKNVMPLSVSLSPEETNTSVLKLNAKNKSSGSHSKKQENAKEIKPAKSFVKDARGSKNLNRVSHLMDQQNKKSKSDQASFADTTKKTLYKIKSNPENNPSETIESSSHVSYVPTIPSSSSKGKSIRITQNRNSMGRSHQKKNMIIYRPKGIQIQGLPQSLSSFPGNKGLRSTPYGLKVTQPSSTSLSSSAPSKSSYSQASSQHDEVAAKLMQSSTSKMMSNGRPKRALTTTSKDNNVLQSEKLNFQRRKVIHVPVEDSTPRKLVFKRRAMTDNRNDDNQKGNVEDFSPRRLNFRKRLLVDNKKDGDQSGGAEKFTPRRLEFRQRVLVGKRNADSSNGKGDDDHTPRRLKFKPRVLVGNRIADNPNGKGDNDHTPRRLKFKPMVIVGNRNADSPNGKGDDDHTPRRLKFKPRVLVGNRNADSPNGKCDDDHTPRRLTFKRRVIDDNKSGKIQNSEGDTSKNNSEGKEAIFFQDNATQNELNKISLRQSDVSEKTDSMILYNNVIEQTASRFVRSNSSKVKALVSAFESVISLLDTNVSATSSTC >OMO51239 pep supercontig:CCACVL1_1.0:contig15923:37559:39060:1 gene:CCACVL1_29914 transcript:OMO51239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIELEEQDLDYVIEDDETQSPSDYSTEGDNDNDIQAEDSILVTSSERVVGTNPRDVANFIGYVVRTYASIELGSWKPAFEAKGEKILDEIKDKFKYPGNDERFESFYVATMRRMFRLWKNRLHKHYKSFSNDKERLKNTPDDLSPEQWKACIALFGSEKYKVDPVTGEKPIADIIWFQQHARKNADGQMEYNNEDFKRIGVIGQDSVMARKDSPKLHLQLRFCSNNIVRKFLSLWASTLNFKKRLRKCKHSKMNARQMKQNARKDC >OMO71297 pep supercontig:CCACVL1_1.0:contig11675:13602:13673:1 gene:CCACVL1_18304 transcript:OMO71297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATKIGKLFNALGGSKGVTLPIPL >OMO51127 pep supercontig:CCACVL1_1.0:contig15967:9686:12724:-1 gene:CCACVL1_29989 transcript:OMO51127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MSMEESCPEKITPAQSAAVEGNLEDDGYGPWMMVQARKKKLDKSQPKGINAMGTFQGNKKAFGVEENRDKVAGTQNNDIIREQVGAHKSNVANLVNGPANQGKLKASVLQPCSPKAKWGKSGPPELPKEQNGAPTNGRTCEPLAERTTSGTLYLTTGETSEPTKEADLAVGVDQSTVCVSSPATTEYPGTGDGRPTRDSTASLGGGGASDLQPPRNPDHDLLDGNSLGRTSVGGPPLDTNDASQLHVSAPVRVSDERRRAGGCSSINSSNDIHPREIDQGWELRNPPPGRPGMASNPPKSSMTILLCNCRGNRNPDCFRTILDLVCLDDPDILVLTETRTRIRNAQRILSRLPFDGVIDADVIGYQGGIWMLWRTDRVLIQSVALLSKKSILW >OMO73725 pep supercontig:CCACVL1_1.0:contig11186:4405:9725:1 gene:CCACVL1_17165 transcript:OMO73725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTDSSRSKALKIAVSLSGVESASLRGVEKNQIEIVGSGIDPVKLISLIRKGVGHAELVSHGEIEEKKQEKKLEVMPYEVAVGWPWPAPYAMYDYEIIKKNENPRLDEITPSKSEVLPVPLEIGEKQINQNTELVSSSALDGESGKKEEKKEVKHTVYDRPYNSPNFVSEEFLTENNVNPREDEIIPSRSEASLELGDTKVDQPGDTSPNSSSPCIFRTSLPELLGFKEPELVSFGPYHRNKNLPLDKYKYVVLEKFLSRTRNQDKDLYFYVQQMIKLERHARRCYSEDLSGLPSSEFVEMMLVDGCFIMEVLCHFGLNEESENGVFPIEPWQVPILVRDLLLLENQIPFIVLDKLFDLWQSDDQEGTATVSVSTMALKVFDLAFPPSMDLSCKLNPSEVVPKHLLDLLLETIRPSNSNPSNDSPSSLFLKTIQYTNSKAMILLNPVFLLLFPNHRKHQMRVDSKKEDGALLKSPVTSSKQKEYEASVQSKPSTSSNKAKTIKKVRLLESAWELQRSGIELRPRRDDRFTDIHFKDGVLEIPPITINDHFIAILVNCVAFEHRSTGCSKDLTAYVSFLSDLIRYPTDVECLYSDGILSRFSYDNERVASSFNHLQSMVSDLEILQDSYLYKTIMEIQGYYSTGGGITCPPALYSVSVCTTKSLVSTLRGYMDSAVAITIEEAPATPTMDPQGPDDNIVLQRVLANVESKLTQSKAQRHHHNYSICPFPDFLHGLDDGIQLVPKLASFGPHHRGKHHLLPFEEQKNHFLSVFIDRGRALGFYAQKLMGLREETKKCYSEKIVMPPNYGELVEMILLDGSFMVELFCEYEEGCVNWPWHVQTLIADLLNLENQIPFCILEELFNGSNFAQRRSSQTLPVLALKFLSKAFCSPSDMIINKLQIQQHPKHLLDLFRLSLLPSPMTDPNKLERTMTWFLQYKV >OMO73726 pep supercontig:CCACVL1_1.0:contig11186:23867:35433:-1 gene:CCACVL1_17166 transcript:OMO73726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFSGSRQVVPVDYEAEVSQRLLEASLSGDLKSALECIADPFVDINFVGAVCLKTRKAEVVLREESPSEVRVEYEEFKTDVTALFLAVHVGNVALVKKLLSIGADVNQKLFKGYATTVAVREGHLEILEILLKAGASQPACEEALLEASCHGQARFAELLMGSDFIRPHVAVHALVSACCRGYVEVVDTLMKCGVDATASHRQLLLSSKPALHTNIDCTALVASVVSRQVSVVHLLLQAGIPTNIKVSLGAWSWDTTTGEEYRVGAGLAEPYAISWCAVEYFEDSGAILRMLLRHLNLETPHYGRTLLHHAILCGNAGAVKVLLSCGADVECPVKTMKTEFRPIHMAARLGLSAAIQSLIDSGCDINSRTDSGDTALMVCAEYKHEECLKVLTGAGADFGLVNVSGQSASSIAKSNRWSLGFQQTVLDVIKVGKIPESSNVSVFSPLMFVAQAGDVEALKALIGRGEVDLDYQDDNGFSAVMIAALKGHVEAFRMLVYAGADVKLSNKSGETAITLSELNPSRDLFEKVMLEFALEKGNRNAGGFYALHCAARHGDLDAARLLTSRGYDVNVPDGDGYTPLMLAAKEGHGSMCELLISHGANCDLKNAKGETALSLARKSARLKNEAESVILDELARKLVTRGARVTKHTKRGKGNPHEKDVKMDGTVGVLQWGKSSRRNVICREAELGPSPVFERNRQSKGDAKEPGVFRVVTTKNKELHFVCEGGFEMAELWVKVQWIRKSKNGSAVCPDLRWKNGIVQSILEQSNWPQNNSFLGPYSELESSYCSICGHEETPRNDFWQHDSSHVWLFSFVHEVCMGGKATKSSPSCMSCHQRDCAALSAFSLASSSRKALAGLRRINLEGLRWRVFDAKGQVLGRLASQISTVIQGKDKPTYAPNRDDGDMCIVINAKDDRDRKLRIFVGNEHPFEPSVMPPRNVREMRPRARRALIRAQKKAEEQEQTAEYSRKSGNNSGHRRKKTIQLRTEDLETSVLLPQVILSDVLRRS >OMO73728 pep supercontig:CCACVL1_1.0:contig11186:55552:55656:1 gene:CCACVL1_17168 transcript:OMO73728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEKNVSEMLVRRGNRARYAPPWHVDFIRQRPS >OMO73727 pep supercontig:CCACVL1_1.0:contig11186:36411:54661:1 gene:CCACVL1_17167 transcript:OMO73727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPQPSNPTSSEPQIPPDPESTTASDDMTISPTASPSTAIISVGPSYKYPKPANNLQTNHIPTPSLQTSHHVFNPTHPPNSPSSTTTIFPNNPTRCPPSTTFLYEPISLTLSSILRNLFPDSSKPHLASNTPSPTFVLQPIQPLGNRPNSAFTNFTRPPYRRLPYPLRPIPPPSAFQPFAHIHVTFPAIHTHHSNLSRPLPQTRDQFIERGFNNFIFQSLHYSPHLFLVPADMLKFEVHLAEEQFLHLEGRDAIYTLKLYAEPNVVRQENQTTLMTNPNGSVNPPISEIPSVSSGRRQAIVMHEKEEPYVEAAQALALVQVINGHSEVRSSEGEDLNTFSFISFDEGMAATIAIITGLCGFGRKKSPPLDPTADNIAATTAAETAAQPKSTPVETEENIEELMKELPPPPAMQRTLMGTCSSNNLIGKSASTRKLSLSTLSVKHSRSISMNKFREKVGEKLGDKLVKPKAPPDQDSLWRKTIILGEKCKISDRTEDVVAAGGYYPMTRTRTMSTISLSRANSLKEQETVPPDDQNDEKAAETRKDEGEDKKTKEGEDKS >OMO79113 pep supercontig:CCACVL1_1.0:contig10488:14602:15780:1 gene:CCACVL1_13911 transcript:OMO79113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSIMVPSTKDFKHWEFVAQDTDNFHLNYVSKRRVPNGPDPIHNRRAAKSRQPPGRA >OMO79112 pep supercontig:CCACVL1_1.0:contig10488:8411:11351:1 gene:CCACVL1_13910 transcript:OMO79112 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA methyltransferase, RsmD MALSSSPILSPLHFNFNSNRKNLSCLSPNPLLFSIFTKPANTNRGCSTIIFSYKPTSGLTAEDKKVLLERYGFEHNEYIPEAKTKRRKELQRKGKGKEVVTEEEVKPPRTTHKLLQVLGGKAKRKKLLSPKGMDVRPMMEVVKGAAFDILQVVGGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEVHFVEMDPWVISNVLRPNLEWTGFLDVSVIHPVRVESFLDQAERFVGSDGPFDYISVTPPYTQVDYGTLMAQISNSAIVGEDTFIVVEYPLRTDMLDSCGCLVKIKDRRFGRTHLAIYGPKWAQKKRKSEKTLQNTE >OMO79111 pep supercontig:CCACVL1_1.0:contig10488:1596:5331:-1 gene:CCACVL1_13909 transcript:OMO79111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCVSKQGSFGRFAKKKKRKILRRRISKRKVSSRKLENGEICGGSKEFSRASSAIQELAWRDCFSVFESELDDDFHSLHDDMVSVTGSENVSVLSVSSPRDLSLPSNTDAVPSVLSSRQQQKSREKLSDTNYKLKASDNPTEGSSDTSCETTRGDEKQALHHAGLLPNACLPCIPSTAPSLEKKGSFSSGTVSSRRKAPLKLSFKLRDGHANQTLVSPRVSFKRPIAGTSVPYCPKEKDMSDCWSPVEPSSFKVRGKNYLSDKKKEFASNSAAFYPFGVDLFLSQRKIDHIARFVELPVFSLSEEVPTILVVNIQIPLYPVAFFQGENDGEGMNLVLYFKLSESYSRDLPLQFRENIIRLINDEVERVKGFPVDTIAPFRERLKMMGRVANLQDLHLSTTEKKLMNAYNEKPVLSRPQHEFYLGENYFEIDLDLHRFSYISRKGFEAFQDRYKLCILDFGLTIQGNKAEDLPENILCCIRLNKISYSNYHQLGL >OMO79110 pep supercontig:CCACVL1_1.0:contig10488:113:466:1 gene:CCACVL1_13908 transcript:OMO79110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLQRSSVSFRRQGSSGRIWSDRQIIDPKTGLPNANAEAGETGHEDNRLPSFRSPAPDEFQPSSPPREEEIPRKPTTNFTTPSYKPDKNEPRNHSPPHKVQRCGISGLFRCIGPAP >OMO73795 pep supercontig:CCACVL1_1.0:contig11182:3879:4818:1 gene:CCACVL1_17141 transcript:OMO73795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYANRPVSIIGPQYCSPHSVELAVFKKVKSITNGDFVVTNINGNILFKVIGPGFFSMHDRQVLTDAAGNPIVSLRPKIMSAHDRWEVFRAGSNGLICTVKRPSIFSFKFKVDVFLANNTRQDVCDFKIKGDWSDKSCVVYAGEPSTIVAQMHNNHTVQSAFLGKDKFMVTVKPNIDYAFIAALFVILDKINSGSGGGADADEEGGGDGGDGGDGEAEAMGAAGAEAMGAAAGAEDSRV >OMO73796 pep supercontig:CCACVL1_1.0:contig11182:6778:7107:1 gene:CCACVL1_17142 transcript:OMO73796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYAYAKLVVAAMVLGLAIGSKPIAADGQKVLCGMSKDGFKACEPCVSSANPNPPPPSQACCMALNDADLQCFCVFKNSRWLNAYGVDFDRALALPVQCGLVKSFHCN >OMO73798 pep supercontig:CCACVL1_1.0:contig11182:14857:16473:1 gene:CCACVL1_17144 transcript:OMO73798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MIKLFKVKEKQRENAGNADGKAPVKKQSAGELRLHRDISELNLPKTCSISFPNGKDDLMNFEVTIRPDEGYYLGGTFVFSFQVSPVYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLFHLFTQPNHEDPLNHDAAAVLRDNPKLFESNVRRAMAGGYVGQTLFTRCM >OMO73797 pep supercontig:CCACVL1_1.0:contig11182:10080:13401:-1 gene:CCACVL1_17143 transcript:OMO73797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit WBP1 MGKFWVIFVALISILLPFLCNSFSPETPTDRRVLVLLDDFAIKSSHSLYFNSLKSRGFDLDFKLADDPNIALQRYGQYLYDALILFCPSVERFGGSVDLAGILSFVDSGHDLIIAADANASDVIREVATECGVDFDEDHSAMVIDHTSYAVSETEGDHTLIAGDDFIKSDVILGSKKINAPVLFKGIGHSLNPANSLVLKVLSASPAAYSANPKSKLSTPPSLTGSAISLVSVVQARNNARVLITGSISMFSNRFFRSGVQKAGSPTKHEKSGNEQFSTEISKWVFHERGHLKAVNVKHHKVGETEEPALYRINDDLEYSVEIYEWSGTSWEPYVAGDVQVQFYMMSPYVLKTLSNNKKGLYSTSFKVPDVYGVFQFKVEYQKLGYTSLSLSKQIPVRPYRHNEYERFIPAAYPYYGAAFSMMAGFFIFSFVHLYSK >OMO73799 pep supercontig:CCACVL1_1.0:contig11182:17327:22348:-1 gene:CCACVL1_17145 transcript:OMO73799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavoprotein WrbA MQPKHVWQSILPLRFRGNSATRFCMFPKVKSASCSPGNAPVYLNVYDLTNANGYVYWAGLGIFHTGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFMGTTCLDPFQLREFMERQSANFHGDTYHLIFKNCNHFCEDICYKMTGNRIPKWVNRLARIGSLCNCILPEALKASAAHHDPKFQERFLDSDSVIKLKQMGKGGGCIFSKKRSTLEASSDQDPPNPDKDANPDPPVDSQNQNLTTAEISSAQEVRKLRIFIIFYSMYGHVECLAKRIKKGVDSIDGVEGFLYRVPETLSPDVLEEMRVPQKEDELPVISVDELVEADGLLFGFPTRFGSMASQMKAFFDSTGRLWEQQKLAGVPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLYVPIGYTFGAGMFTLDSLRGGSPYGAGVYSGDGTRIPSETELALAEHQGKYMAAMVKKFAPRSSKW >OMO64616 pep supercontig:CCACVL1_1.0:contig12774:21067:21144:-1 gene:CCACVL1_21640 transcript:OMO64616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQQPRPKKASTKSKMLDINKKAQ >OMO64617 pep supercontig:CCACVL1_1.0:contig12774:35922:36005:1 gene:CCACVL1_21641 transcript:OMO64617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPPLPALALPSPSTPSASTSTKQAA >OMO64614 pep supercontig:CCACVL1_1.0:contig12774:6378:6602:1 gene:CCACVL1_21638 transcript:OMO64614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNEKSGVEEGAKKGVVNANTFPPKRTEVKKRIFNKMVDCIASCCDQGCSASSSSQSTNSASGNTSVEAPPSK >OMO64615 pep supercontig:CCACVL1_1.0:contig12774:15630:18793:1 gene:CCACVL1_21639 transcript:OMO64615 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteasome subunit beta type-7-B isoform 1 MSKLVIETPPRGSFNFDLCKRNDMLTAKGNDPLLFRGTSLSLVPSHSYTSNLTNEGLDQVVSGLFQLKQVLGRDLAYAAAPVGRLTHDNQAEILPLQIINGATTTTSPCLATTVDSLSLHFDEASRLKPKGPSLPSTIFY >OMO60031 pep supercontig:CCACVL1_1.0:contig13810:4176:6940:1 gene:CCACVL1_24449 transcript:OMO60031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class II (D/K/N) MESQEPKVVTGGSTLMPLNYSSNRVMLKTILEGREEDGEIGFVGHKVVIGGWVKSSKEVKKESQPSPPPQPAAADAIPSSPGPKDVSCVEIIQSRIPFFRTIIRVLGGSASSPAVREKLESLIPKPPPSSTFFLQINDGSCVSNLQVVIDSAVTPVPAGQILPTGTCILAQGVLEKPSAQGKQTTIELKVEKILHVGTVEQDKYPLSRKRLPLDSLRDYSHIRPRTTTVASVTRIRSALDFATHTFFQKHGFLHVQVPIITTTDSEGFSERFQVTTLLGKPSKKEETIGVTDADAVSLETVKAAIKEKSSLVEQLKRSDSNREALVAALQDLRKTNELAKQLEAREKSKPKTAVKPDVVNFNEDLFGRQTYLTVSGRLHLESYACALGHVYSFGPRFRADKTVCPKHVAEMWTVEVEMAFSELEDAMNCAEDSFKFLCRWVLDNCSEDMKFVSKRIDRNLTHRLEYLITSSYEKISYREAVEILRKVTDKAFETQLQWGVPLTAEHLSYLADEHYKRPIIIRDYPKAVKPFYVRLNDDGITVAAFEMVIPKIGTVIKGSQNEERIDMLNTRIKEFDLSKDDYEWYMDLRRHGTVKHSGFSLEFDLIVLLATGLTDVRDAIPFPRSHGKANN >OMO60032 pep supercontig:CCACVL1_1.0:contig13810:7414:9508:-1 gene:CCACVL1_24450 transcript:OMO60032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMLYILLLSLYLSSCRGDDPLGNFCNENTNINSTSQVSLNIDRLLAEVVSKTSLTGYIATSSGKGPDKVYGLAQCRGDVSSKDCSTCIQDAAKQIRQSCPNQADARIWYDYCFLRYSTENFIGEVDTSNGILYGNVANVTDPKSFKKELGALMDNIRSEAVMPNTQGLGKGKIKLSPFVTLYALVQCTRDLSKINCAQCLAIAVENFENFCDSRKVCRALYSSCYVRCTAADELGDYCDDDTNTNSSSQISINIDRLLAEVVSKTSLTGYIATSSGRGPNKVYGLAQCRGDVSSKDCSSCIRDAAKQSRLSCPIHTDARIWYDYCFRRYSKQNFIGDVDTLSGLLFAVRPNCRHL >OMO49512 pep supercontig:CCACVL1_1.0:contig16486:38318:45834:-1 gene:CCACVL1_30968 transcript:OMO49512 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperone MEMISSEARRKQLRGCSFSAVYEIGNANLEWNVRCRVLGQGSETGRKSKSSSAKWGRESKSSSCNRGEETYLDRAAARRGLRVIIPDKSRYEFMSAKIEIQLAKVEPIQWTSLVVVPQRVNLPSALPPSFYFIRLIASASHRPNAHRSRFSSSFLLPFTFANS >OMO49511 pep supercontig:CCACVL1_1.0:contig16486:31712:35681:-1 gene:CCACVL1_30967 transcript:OMO49511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRIRFRSSLDSKYVDFSPWDSMSVRDLRSRIAERKRLHSSRDYLHLFDSTTGKEYLEEEFLIPSGTSLILKRAPLMSSKEEDENGGKEEVDDVKKKNLVIISEKSATLPLNLNLAAAI >OMO69885 pep supercontig:CCACVL1_1.0:contig11939:129:1097:-1 gene:CCACVL1_19213 transcript:OMO69885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MESSIADLLLGKIVSIFENEVVLLSGFRDEVKEIKLELISMRSLLEDADKRRVHSRTESAWISSVRDMVFEVEDIIDEFTYHVNKQKQMGFFPKVIRYPGNLLLRRKVAVKLQDINQRIKSIAERNQRYGGANQLEGADKNLGGTSSNYDPNWLRNYNESLLFLKDDNLVGIEKAQKKLLGWLLDEEPRRTVVSVVGMGGLGKTTLVANTFNKQIVKQHFDCCAWITVSQQYMIQEILKSMVKEVCGKANEDAPDNLSFMSYRDLVKTLVEILQTKRYLIVLDDMWHINFWHDITIALPTNITGSRILLTTRMEDIASFEFDV >OMO69886 pep supercontig:CCACVL1_1.0:contig11939:10167:12938:-1 gene:CCACVL1_19214 transcript:OMO69886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MESSIADLLLGKIVSILENEVALLSGLRDEINEIKLELTSMRSFLEDADKGGVHGKTEGSWISSVRDMVYEVEDIIDEFMYHVNKQKQLGFFSKAIQCPGTLLLKRKVAVKLQDINKRIKSIAERSQRYGGVNRLGGLGGIPSNSDPNWLRNYSESSLFLKDDDLVGIEKTQKKLLGWLLNGEPRRTFVSVVGMGGLGKTTLVANTFKKQIVKQHFECCAWITVSQQYGISEILKSMVKEVYKNANDHEQTPKNLSSMSYIDLVETLVKMLQTKRYLIVLDDVWHINFWNDIKVALPTNVTGSRILLTTRMEDIASFEFDVVNFVLPLNPLTVKASWNLFCKKAFASKQAQCPPYLDPFARDLVAKCEGLPLAIVALGGLMASKNSIVEWDGICKNLNWELSENDKFERLKYISLLGYHDLPYRLKQCFLYCCIFPEDYLIKRKRLIRLWMAEGFVQPTKESTPEVVAQGYLTELICRGLLQVVTRNESRRPRRCKMHDVLRELALSISKVENFVAISDGKGVEDCGIRRLSIEATEHGIKAGKGIGKLRSLLAFIVDDYISQSSFNRLPPGFKLLTVLDLEDAPIDKLSDELVNLFNLRYLNLTRTKVKELPKSIGKLYNLQSLIAKRTQITELPPGIVKLKNLRYLIIYRYNASCLQFDYAVGIGVPANICSLERLQLLSFVEARGNLIKQLSKMAQLTRLSISKVKEADGENLCVSIGNMRLLRYLLVMSCNEDEMLKMDALEVAPPLLEKLFLAGKLEKLPHWFNSLHSVTNLYLHWSRLREDFLPHIQALPNLGKLTLLNAYDGERLCFIEGFQKLKILMIWKCPHLKEIVINKGVMPGLEELNIDHCQELITLPHGWESELPDLKQVYLGSVSSKIIQQFCAAANRDYQSEIHAIYASRVEVEESKFEWHYKFWYKF >OMO91010 pep supercontig:CCACVL1_1.0:contig08394:10055:10159:-1 gene:CCACVL1_07236 transcript:OMO91010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFTSSPKLQRRPRSLLLSRSPANQVPTNPTPKSYT >OMO50716 pep supercontig:CCACVL1_1.0:contig16068:15015:15989:1 gene:CCACVL1_30304 transcript:OMO50716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKGFVEGGTAAVIAGCSTHPLDLIKVRMQLQGEIPTPTFSHHYRPAFAFYIYSSPYTAATASTSSLHLPAPRLGPISLAFRIVQSEGLLALFFGVSATVLRQALYSTTSMGLYDLFKQRWTDPETKTMHLTKKIAAGLMSGGIGAAVGNPADVAMVRMQADGRLPQAQRRNYKSVFDAIARISKQEGVASLWRGSSLTVNRAMIVAASQLATYDQIKETILEKGVMQDGMRTHVAASIAAGIVAAIASNPVDVIKTRVINMKVEVGKPPLYNGAIDCLMKTVRREGFKALYKGFLPTVSRQGPFTVVLFVTLEQVRKLLKEF >OMO50715 pep supercontig:CCACVL1_1.0:contig16068:2005:9654:-1 gene:CCACVL1_30303 transcript:OMO50715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQNIVDGLPQTILSHNNKHKSFKLLGNLVMVLGLFIVLLPWLGLENKNFASLASVSNIKSTDDGSIDSINLELLPLLFHDLNMEGIMKMGDKGLLLSNDDAEAIQSSLILRKSDMEFVSLTDLERQSSIPDESFDLTFTLNFKAASEFIDRTLKVGGIVAVQLSGSPSLSFQKPSNYRIVYFRKFQSTVMVMRKVEYANSNSLAAQRRLFGYTAEAKKAALRQLEDVLLEPPRATSRTSKTYQKRTKYLPDLLGDTLESYSRRVFIDVGLPEKDGGSGTSWFANNYPTRNLKFDMYKIETLSKKEVATNDHVAEIGMPDWLRKNVKEGEFVVMKAEAEVVEDLVRNKVIGLVDELFLECKPQAQGLVGRKNMSKRAYWECLALYGKLRDEDTRKNFTDHLYSALTRKGIKTFRDDESLERGGDFAPELLRAIGESWGSIIVLSEGYAFSNWCLDELVEIMKQREECGHRVYPIFFYVEPSDPRHQRKTVEQAFKQHEMRYNKDTNLATCFKTIFIEEIVNVISETLDTEILDEQEVVKMKSTFQTIQVVLEDAEDKQYMEKGEAVKVWFDKLKHIAYDIDDVMDEWNTSILKSKIESDHQAQTPSILSSVSTKVHNIPSTSFCSGGIAYRIKELNRKLEAIARQKDLFSLAVDLNSCKDLRLERPKKRLKLEWPKTTSFIAESIVYGRDPDKNALICLLLSDNNGKGGSGNDIPLIAIVGMGGLGKTTLAQLAYNHDKVKKHFPLRIWVCVSDPFDELRIAKLIIEALKGTPSVSSDLERLSQEICQNIEGKKFLLVLDDVWAEDGQKWEQLKLPLSFGSKGSKILVTTRKEKVARIMGCTRPFSLEMLSDKDSWSLFSKIAFFGMSIDDCENLESIGRKIVKKCAGLPLAIKPIASLLRFKKYREQWHRILNSYMWELNEVEKGLFSPLLLSYYDLPQALRQCFSYYAIFPKDHKIEKDLLIKLWMAQGYLGETQGDEMEIVGEEYFDNLIARSFFQEFEMDEADSIIECKMHDIVHDFAQLLRKGECSVVPASNSIEEPRVDFYDNNNVRHLTLISDEHLGILHPMSNVKKLRSLPVGSIVSTWEPVLPKLFNHTCLRMLNLSYPRNSIKRLPHQIGNLIHLRYLNLEENVELLELPEALCDLHNLQTLNIRRCDNLRKLPSGMGKLINLRHLLNAITYRVEFMPKGMERLTYLQTLEEYVLKRREKGSNESESSLADLGKLIHLRGNLRIKGLGKVGEASEARKARLSTNTGLRSLSLSFLGEDDKDPQRKIEDDKLLLQALHPPPHLQILRILSYNGPVFASPNWMTSLTSLKSVTLYWCENWEGLPSMGKLASLESLTIEWMRKEEQASLPIINMYKIETLSKKEVATDDHDVAEIGMSDWLRKNVKEGEFVVMKAEAEVVEDLVRNKVIRLVDELFLECKQQAQGLVGRKNMSKRAYWKRLALYGKLRDEGVAVHQWWG >OMO50717 pep supercontig:CCACVL1_1.0:contig16068:16685:29277:-1 gene:CCACVL1_30305 transcript:OMO50717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATLVQRLVDRLIMSDQKNMTTKKDEPSPTPNQKMPSKKEEPPQAQQRIPSKKEEPSQAQQRVPSKKEEPSQAQQQKMPSKKEEPPQGQQQKMPSKKEEPPQGQQGDAATAAPGVPGAQGAAGPPVPSPGPQELHSRSGLKERATFFIIQRSDPSAVSQKGNEVNLTLGGIDLNNSGSVVVKADKKLLTVLFPDGRDGRAFTLKAETMEDLYEWKAALENALSLAPPSSAHVMGQNGIFGNDQADAVDGSKEPVNDKQPMRSTVIGRPILLALEDVDGSPTFLEKALRFVEEHGVKVEGILRQAADVEDVERRIREYEQGKIEFSSEEDAHVIGDCVKYVLRELPSSPVPASCCKALLEAVRTERSARVNAMRMAVLDTFPEPNRRLLQRILIMMQIVASNKAKNWMSSSAVAACMAPLLLRPLLAGDCEIENDFDVGGDGSLQLLQAAAAANHAQAIVITLLEEYDKIFGEGFVAPDLYSDSEESGSESEEATDDDESYEDDEDYEDDECDDATEESDAYNDDDDEDVVSGTGSESGHSVNNDLDDDKDSDHSSSVSESSRAGQDLKVTTKFSSSSSTSVSENDDPERGEDSQSSNSSATETNKLAELSKGVHGETKVEAKSTSQIKTSGIPKSTTIGNGPGHHSRRPTVWGRTSAKKNLSMESIDFPCDEEAEIEILEAEKAELQDRLTEEIEGNAILEARLAKRKKTLYERRLALEEEVARLEEELQRERDRRRALEAGLDPSQRPISLPETIDEKTKADLKDIVQAEADIINLNKKVDDLGTQLNQQLEQNSVPMKDSYNKDQAKMKDKKPKGSESAFERSRSKDRHPKEAECKNEKKQESSLGNKNPTENQQSDNSSVNKSTDLNAAETSAKKPLAKSASKKSTKSEKANAVIKGASSKTQALTKLTNRLNFLKQRRSQMANEVQATDKGRSSSQSKAVSSSDKGRSSSQAQPAPSSDKGKGPEPIPSVQNPEEDRGPDISQ >OMP08313 pep supercontig:CCACVL1_1.0:contig03875:16334:17638:1 gene:CCACVL1_01128 transcript:OMP08313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myristoyl-CoA:protein N-myristoyltransferase MVDSNASSGSPEETPNPNPDGNEPSESDLAIDKLTRRVQDSLGLERRHKFWESQPVGQFKDLGNSSLPEGPIEAPTPLSEVKQEPYNLPNMYEWVTCDMDSDEMCNEVYNLLANNYVEDDENMFRFNYSKEFLRWALRPPGYFKNWHIGVRVKSSKKLVAFITGVPARIRVRDDIINMAEVNFLCVHKKLRSKRLAPVMIKEVTRRIHLENIWQAAYTAGIVIPTPITSCQYWHRSLNPKKLIEVGFSRLGARMTMSRTIKLFKLPESTVTPGFRQMGLHDVPAVTRLVRKYLRQFAVAPDFNEHDVEHWLLPTEGVVDSYVVESPATHEITDFCSFYTLPSSILGNQNHSTLKAAYSFYNVFTKTPLLQLMNDALIVAKQKDFDVFNALDVMHNESFLKELKFGPGDGSLHYYLYNYRIRNALKPSELGLVLL >OMP08311 pep supercontig:CCACVL1_1.0:contig03875:17:997:-1 gene:CCACVL1_01125 transcript:OMP08311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGKNSVYSKEVKDVGYSRKVLKSALGKLEGKSTSAAIAQEFKKKYGKKPPLYEVVKLNTDGAARGNPGVAGAGGVIRNSSGDWLVGFTAHLGVCSNVAAELHALRLGLLLAWQEGYRAIVCEVDVKVILDLLKSNTMQYHPLRALLMDIREMFSWEWQCECRHTLREGNFCADKLSKMGCDLDVEYEIFCVPPQQVIEVFQADGRGIAFPRGFTSA >OMP08312 pep supercontig:CCACVL1_1.0:contig03875:11434:14654:1 gene:CCACVL1_01127 transcript:OMP08312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQKQKWTADEEEALLNGVTKHGPGKWKNILKDPEFAPFLTHRSNIDLKDKWRNLSVSTSAQGSRSDKSRLPKVKAIVASLPNATNSAPAAARAQTVTTDTAVADPSNSNLDGKNGPRYNAMIFEALSAIKDTNGSDVPSIFSYIAQRHEVAENFRRLLSSRLRRLVSQGKLEKVANNYRIRKDTVVGTKTPSPKAKDNKLRQNSGTLSSSETVEDAASTAAYKVADAENKSFLAAEAVKESERVSKMAEDTESMLEFVKEIYEQCSRGEFFVLGCN >OMO92476 pep supercontig:CCACVL1_1.0:contig08215:2623:8961:-1 gene:CCACVL1_06836 transcript:OMO92476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNREDGRTQNRLRPLACSRNILHRVHGSASWSQGDTKVLAVVYEPKAGTIKNENPEKACIEVIWKPKTGQIVWPMSTVNLVGRTPGACDCGVFVACTTPGVKSWIAGQIIVILSCEMLLSSAINAACVALVDAGIPMKHLAVAICCCVAKSGYVILDPTKPEERQKSTTSIEDQEHLEMAAKNVVADLNKGEKLDGDNYDIWRRKIHYLLDEQEVLETLDQVMAEPEEGNTAQHRRDLAAYQEWRKKDRCARFTMLSSMHNDLIGSGCDFLPSDSWEHMKANMTHNDSVRTFEDIARHLELEDERLKAAKPATDGVANVAESNSRKASGPKRKWTGKFVGKRDADEPAPKRARTTKRHKGKRGGKKDKAKMACYNCGKQGHFARDCTEPKKKVFSKSMSCSDCFVTYDNSVAHPFPMWTVDSGATDHLARTRVGFVEFRRIPAGSRSMKMGNDSSVNVLGIGTYKLEMRGGRTLLLHDVLYAPEIRRNLFSVITMLGLGFRFAFEGNKVDIFLGTTYYGCSFVQNGLMVLDLDYSSYNKVDDSISLVVTHDDVCNDSVEWHARLGHIGQDRMNRLVREGLLGPLAKVSLPTCEHCLAGKATRKPFGKAKRASIPLQLIHSDVCGPMNVRARHGANYFIIFIDDFTRFGHVYLISHKSEALNCFRLYVALVENQLGQSIKVLRTDRGREYLSEKFKELCDEKGILRQLTILGTPQQNGVAERRNRTLLDMVRSMMAQANLPISYWGDALLTATYVLNSVPSKSVSSTPYELWTGEKPDLGNLRPWGSAAYVHTTSHKDGKLGPRGKKCIFIRYSEHSKGYVFIGEHGDGTVTEIESRDVDFLEKDFPRRGEVEKEIDLYEKEDPDMEAPSSPIENEGAIPQTLGDNGGDLPSSSSMPIDEDSQAQLRRSKRGGVPRRRFEVEGEAFMIAPQDEAEPKTFKEALSSPAV >OMO92477 pep supercontig:CCACVL1_1.0:contig08215:17751:18344:1 gene:CCACVL1_06837 transcript:OMO92477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMGRKMESDELAVVKAAAWAWYQHGSGCEGKPMREFDVTTRLQYSDSASASASTRPSRYKLEAMRMRNNIMSPTINSNESDSLLLDSYEIQSISKRLNHLIEFSGIKVYDEFMQMQDHIIGTDHDEYDYQKKNQNNMSSEMGGGSGSGSRKKKKKSKYRQFLKGFLQRHAVVCGRSQDVDDRSSPIFRLRPQINN >OMO95452 pep supercontig:CCACVL1_1.0:contig07676:5492:6522:-1 gene:CCACVL1_05409 transcript:OMO95452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRFYPPPLFHLLKIRLLSNENREGRQIVKSGNMKAWITSIGNDPMTSTKAVDGLGDSECKMSWSFCPPTLVHLLKVRLDSNEKRKERQFVKSGNMKAWLANIGNDTVIIKAAHEAILRAKIHICNGDDQTCKVPCVVYWEKPIKGFKVNSDGSYGQKRGSYGGVVIRDTSGNVIWQWQNVLNHKLLSPPKNPLELEADYEKRKTKFFIDHGAFLSEMLALGAAYAQFVNYFKMNTRSSQYSLDLKKKMSSHNIVYEARELNQAVDFLAKHKLPASAKTRHDKKTLNSFAKIIQDDRAGVPYIRFPKGFFVG >OMO95451 pep supercontig:CCACVL1_1.0:contig07676:55:1656:-1 gene:CCACVL1_05408 transcript:OMO95451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNRTLRKHRDALKSVRIPTSSSAAAASTAEAATSSGGGPVIDMVSTSLLHPNRSYVPLNEDPGNSSMGATTVGLPPAWVDVSEEIAANVQHARTKMGELAKALMPSFGDGMEDQRNIEALTHEITNLVKKSEKRLENLSAAGPSEDSNVRKNVQRSLATDLQNLSMELERKQSTYLKRLRQQKE >OMP11491 pep supercontig:CCACVL1_1.0:contig01235:1832:1897:1 gene:CCACVL1_00491 transcript:OMP11491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSVIGQAQQKFGLDIYVDSQ >OMO51807 pep supercontig:CCACVL1_1.0:contig15713:2443:7423:1 gene:CCACVL1_29577 transcript:OMO51807 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MEFRGRSGEEDDQLELSTKKVKAAAGPADGQAQPMLSFKDAMMGGRGIPSPGAGYDEFGSLESDEGLIHIAERDGWPLISLSENFKAQIRRQWEDCLIVKPLGRNISYNVLCDRLHKLWCPKGDWDLIDVGHGFCIAKFSSLEDLRYVMDEGPWTVFGHYLTMRRWQPDFTRFLQLSSPLQFGFDFRVCLLNILNQDSLWQWVILLARLSKWIQLQSMPPEGGLLGFASKWSSVSLWCRRVGLRGPCAFCPQVDESNVNPVENGVKQQGVTNDGSLQQGNIVGGGAQREPTVNPPTGDFGPWMIAQSRKPRRNVNHIPKSRVPLNSGKDDREVEAWAQGVYKASGTSAGPKAGVNKAQKLPRKTINVGPSNVNKKAVDSNKAVGFKEVEAIRSSVHQSSLRVNAVIEKVVRTSPARVGENSDFAELTHDDAMEDTFHSPELDVGRGAGNKKFRRHVIDMINIYKSSIMAVVEPRVSGATARRVLRELRMPKFHIADPEGFAGGIWLCWEESILSLEVVFSSSQMVHAFVQKPGMSKFLLTIVYASPILEIRRRLWSSMVDFSESVDVPWVVMGDFNDVANSSEKLCGQAPSIGRCLSFNGMILSCGLIDLGFNGPSFTWYNKRKGLARVQERLDRVLANANWRLLFPDAMVQHLPRLHSDHCPILLYSWANFDGDICGKGEKLAELLQAWNKDEFGNIFERKKQLRARIAGLQCSLSLHYSHQLQMLETDLLREYNQILQQEETFWAQNSRVQWLQQGEKNTRFFHLSTICRRRRKRISMLRNNDGKWVTENAPLQTLVLNFYRGLYANEEGERVALESLLQPTISPIDVGKLTHDIAPSEVRAALFQMKPWKAPGVDGFQAGFFQNCWESVGQDLLDLVQDAFRTGSFNVNLNQTLIVLIPNVGNPEYVKQFRPISLCTVAYKLIIKVLVNRLRPLLGDLIGPLQSSFIHGRQAANNIFIAQEMIHTIKKSKSKNGLMAIKIDLENAYDRLIMFCVENASMSVLWNGEKTEFFQPGRGLRQGDPISPYLFVLCMERLGHLILHEVQNGSWKPIVMGRGGPSISHLFFADDLFLFGRATEQQANVIRQVLDAFCDASGAKVSLDKFKLYIPPQGLGGMLKKVVKGTFRELVDKVSNRLNGWKCKFLSLAGRATLVSSVTSSIPTYSMLTTKIPQGVIAKLDCLNRRFLWGGSEDRRTINLVRWEEVCKPKIFGGLGLRSMEFHNRVLLQKTAWRFLMEPDSLWVRLLKSKYAIPDDVVSFVKTNVSKPLWSYSWRGLFGALSELIRGLKWRIDSGSNVCFWTDVWLEKPIVTSFAVVPPYVNNEARVSDFIDGDGQWNSDLIFAQLPLDTAMQVIGYPLPRVMALEDSHVWASTANGKFTTPSAYMNLLQEVGINLSGYLTWLWKLNIPSRWIFFLWLAWRGRLVTNGLRFNWGMAASASCVLCGAPVEGEGSSTGG >OMO51808 pep supercontig:CCACVL1_1.0:contig15713:14825:24125:-1 gene:CCACVL1_29578 transcript:OMO51808 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MATMLSLASSFDYCNSSVQFLFSRSKLHCSKTLVTKRPGVRFRFSPGSKFRVFCESKTQELQIRRCSPLLEKASLSSNGALVSDEWKAVPDIWRSSAEKYGDRVAVVDPYHDPPSTMTYKQLEQEILDFAEGLRVIGVKPEEKIALFADNSSRWLTADQGIMAMGAINVVRGSRSAVEELLQIYNHSESVGLVVDNPEFFNRLAGRFCSTATMKVVVLLWGEKSCLASGETQNVPIFTYKEIMELGRGSRVALTDSHDARQGYTYEAISSDDVATLVYTSGTTGNPKGVMLTHKNLLHQIRNLWDIVPAIPGDRFLSMLPSWHAYERACEYFIFTYGIEQVYTTVRNLKDDLRHYQPQYLISVPLVYETLYSGIQKQFNSSSTVRKFIALTFIRVSMAYMELKRIYEGLSLTREQKQPSYVVSMLDWLWARILAAILWPLHVLAKKLVYEKIYSAIGISKAGISGGGSLPMHIDKFYEAIGVTVQNGYGLTESSPVIAARRPNCNVLGSVGHPIQHTEFKIVDSETNEVLPPGSKGIVMARGPQVMKGYYKNPMATKQAIDEDGWLNTGDIGWIAPHHSVGRSRRCGGVIVLEGRAKDTIVLSTGENVEPLEIEEAAMRSSLIHQIVVIGQDQRRLAAIIVPNKDEVLQAAKNSSIVDADASDVGKDIITRLLYEELRKWTSECSFQIGPILVVDEPFTIDSGLMTPTMKIRRDRVVDQFKEEIANLYK >OMO75987 pep supercontig:CCACVL1_1.0:contig10940:4629:7667:1 gene:CCACVL1_15958 transcript:OMO75987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEEIIQEPKIGMTFKSSEEAYDYYLNYGKQKGFGVVKRTSKRDDDGNVKYIASACSKTRKRQLESNSFTSTRVTKTGCKAKIRLILCDDGQYQISGVELDHDHVLSPGKARHFRCNRKLSENVKRRLEINDEAGIGASKNYHSLVVEAGGYENVTFNEKDCRNYIEKARQTRLGAGDAEAVCKYFAKMQEADPNFFHMVDVDENSRIRNLFWADGRSRAAYEVFGDVITFDATYLTNKYDMPFAPFVGVNHHGQSVLFGCGLLTKENTDSFVWLFQSWLNCMSRLHPKAIITDQCKAMQNAIEIVFPNVRHRLCLWHIMNKLPEKLRGYTDYEEIKQVLQTVVYDSSTESEFENGWSLMIESHNLSGNEWLGGLYRERQRWVPAYVKSSFWAGMSTTQRSESINSFFKGYVSHKTTLKQFVELYDTALKSKVEKENLADYQSFNSWYECLSDYEIEKQFQRVYTNAKFKEFQDELKGKFYCNPSLVKTENLIYEYKVVEDVKVGEKREYIAFLVHFNEVDCEMNSDFEDKYELVLKGIEELKAKVANDELIDNRTIQRSASPSQSCADNRVPSRTNKVLSPLVTRRRGRPSTKRKIPRIEEVIRKLKNKKKVQQVKENIGSKKSRTKKNHQQRKEVEKCEDTCLSSQNYNEMQSLESFNLMESRTAGEYCMFTPLNLGATSINTSFEVNQAPLFRGYYPPTPFAPNIYHSSQENVMGFQGYINQSRSGVYGGTQQTIPSINFPQENPYTFESSKDHYPKM >OMO75988 pep supercontig:CCACVL1_1.0:contig10940:8375:9918:-1 gene:CCACVL1_15959 transcript:OMO75988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQISESIPDSTIPVEIAAKSSKGWVSLIGPPDYSLDFISPSKQVEKIRRALEDIYDDVKREWQNCIALQFLGKVPNLSQMQKNLLTDAVFQLPTRHFLQSWKDKVSAHWETFIISLTSFRLLLTMKDLLSWVSTNLIKERLEMFMTGESVGPGVLVLVNDCDWELSGQLDTTLEEKDVVVFISTLHGG >OMO73038 pep supercontig:CCACVL1_1.0:contig11316:4967:5119:-1 gene:CCACVL1_17488 transcript:OMO73038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCEIKSRRVIDSTFNENDEANKALQADESNQASTVINTERGSQLKESI >OMO88587 pep supercontig:CCACVL1_1.0:contig08987:104:220:1 gene:CCACVL1_08303 transcript:OMO88587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETIYSGRTFTKYALLGDDIVIADEKAALIRTPLTTH >OMO88588 pep supercontig:CCACVL1_1.0:contig08987:7657:8229:-1 gene:CCACVL1_08304 transcript:OMO88588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKSGSSRGGLSSSYRGVRKRKWGKWVSEIREPGKKTRIWLGSFETPEMAAAAYDVAALHFRGREARLNFPELINCLPKPASSNADDIRMAAHEAALRIRTNAAAGSESGGGGGSCSNAGSSSGLGPITVRLSPTQIQAINESPLDSPKMWMQMSETLMMDESSMMFCNENEEENLGWDDMQNDPLWDP >OMO88149 pep supercontig:CCACVL1_1.0:contig09098:5090:5879:-1 gene:CCACVL1_08547 transcript:OMO88149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSQGEMPSIGPHPSSQNSVFEEKPEAGKQNPPSEKGGEVNFGGTLIRRAVSDSHALSKALLEDSIPGLAADYDDEENSVGLTSLESAFVYGPNSPRKKGASISDYSLVSALSESVSQDYQQSVEEPARAKTAKRNSWQHSRDRKAQYIAELERTINILQVIAPGYLLVL >OMO88148 pep supercontig:CCACVL1_1.0:contig09098:3371:4555:-1 gene:CCACVL1_08546 transcript:OMO88148 gene_biotype:protein_coding transcript_biotype:protein_coding description:bZIP protein MENNNLMQRLATLEDQIFIKEGQYQLLKKENERLKIAYANGSAVAAGSSNSLTEVKKLVLCIRMNVNGYAPEIAQPAKTEPSYSLDADFIYSSSSSSSSCCVVPIRLEKL >OMP00946 pep supercontig:CCACVL1_1.0:contig06580:11010:13055:1 gene:CCACVL1_03221 transcript:OMP00946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLFPRKHKPFSYTKYPSNLTRIASFSSPSYPPNLTKAILNSKTPHQAINVFNSNIKLVDPSKILEPYSAIIHVLAGAKLYTDARCLIKFLIQTLRSSLKPHRPCYLIFNALDTLQTSKFTPNVFGSLIIAFSEMGLIEEALWVYRQTRTFPQMQACNALLDGLVKMGRFDSMWDLYKDLLSRGFLPNMITYGVLINCCCCQGDVSKAREFFHELLMKGIRPNVVVYTTVLKVLCNEGRMLEAECMFRLIKDWYFLPNLYTYNVLMNGFFKTDNVERAFEIYRMMIADWLKPNVVTFGIFVDGLCKVGELVVARNYFVCMVKYGVLPNIFVYNCLIDGYFRAGNVSEALELSSEMEKFKIFPDVFTYSILIKGLCSVGKVEEGSILLQKMNTDGVLANSVTYNSLIDGYCKAGNIAKALEICSQMTVKGVAPNVITFSTLINGYCKVGNMQAAMGLYSEMVIKGLVPDVVAYTALINGYCKNGYMKEALRLHKEMLEVGLTPNAFTLSCLVDGLCKDGRVSEAFSFFLEKTRAGITNNGIDKMDGLVCSPNHVMYTISTTLIQALCKDGEIFKACKIFSDMRCSGLIADVPSYIVMLEGLIQAKRINDVMMLHADLIKNCIMPSVSINTVLARGYQDIGDMRSALRCAEDLADQNLGSLNQEAHKQLQITVNEDNRSACV >OMP00952 pep supercontig:CCACVL1_1.0:contig06580:54534:54800:1 gene:CCACVL1_03227 transcript:OMP00952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSGGNANPDGENRPKSRPFNSGGNGARGEGSTQRAPPTCSTCRGVCYTKRTCQMPKVPSFVNDDEQDTKGDESDEELVNKKFPYCE >OMP00949 pep supercontig:CCACVL1_1.0:contig06580:39424:41854:-1 gene:CCACVL1_03224 transcript:OMP00949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAVIPNCVLDLPLLIVFRLDYWQLRKRKVVPISSPQPKTRQRKGPISHVPPLSAAESAHNRCVRKTKQMRGMPELTHTFGHDLLIVESESLSCDILPAKPPTTHEVKAHSLLHHALANSSGSNARKVSVPQLDFDVLHSDFVKDTRSRYNGDHSMGCAESLCPNLSAGCGSKITALTAADSDTNMTDLHADIGIPDTICRDDSDDRFWEGALAQYQQILAHNGDLINRIALGIDHILSGGFQQPVVGRAEAASNRFRRKLYLADKAHTIYRQHHCMARFGQELHSRSKIFNHVVVRSIVTCEGDLKTVKTTRSWSNDVRLSILSRFSDSTYGFICDHTAGTSNQSLRAHHFVSTGNLLINEAGT >OMP00948 pep supercontig:CCACVL1_1.0:contig06580:29830:32142:-1 gene:CCACVL1_03223 transcript:OMP00948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MSVNGMPRKKTAVLLLEDNEFQQKKGSYFASAQQSSIINLLRMNPLQIGITKFKIRARITGIDLSSGWFYTACLTCGSGLQSLPTGHYCTVHLLQQPALIVKLPLDVKDDRARMKLIIFRSLAEELATISVADVPVLKDSSSIKIPNKAFDIINKEYYFVVGLPKHSLQKEELNFKIFYYKPVEKGDPTTTDIKGKAIELTSAPLLLTGTATDLALQIPHKSSPPIRSQHQQHLPPKHGEIPVQASQTKTQKDQKPSKKRVKPSSPKESANAANPEDSSSPSNSPDNRSPSTDAGNQAVEKKTKSSSSASTTEA >OMP00947 pep supercontig:CCACVL1_1.0:contig06580:14145:21482:-1 gene:CCACVL1_03222 transcript:OMP00947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MVSSLRLPTLTSLFSPSSFARRSTVTLRRRLHLRPPLAAGFSAQSAASSPTGTEDRVEGKKSSRVQERANTDRVITPRSQDFNAWYLDVIANAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLMINQWANVTRWEMRTKPFVRTLEFLWQEGHTAHATPEEAEEEALQMIDVYTKFAYEQAAIPVIPGRKSKVETFAGADKTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFTDENGQRQHVWQTSWAISTRFVGGIIMTHGDDTGLMLPPRIAPVQVVIVPIWKKADEKTGVLNAASSVAEILKTAGLKVKLDDTEQRTPGWKFNFWEMKGVPLRIEIGPRDVSSGSVVISRRDIPGKQGKVFGISMEPSILEAYVKDRLDEIQSSLLERAISFRDSNIVDVSSYEELKEVISLGKWARGPWSASDADELRVKEETGATIRCYPFEQPQVVIRASAPKLNVDDVFEQKNEIAKAVKEELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAAKEKAEAEKILQIKRAEGEAESKYLSGVGIARQRQAIVDGLTLRDSVVGFSVNVPVMDMVLVTHYFDTMKEIGAASKSSAVFIPHGPVAVRDVATQIRDGLLQADSTVATI >OMP00950 pep supercontig:CCACVL1_1.0:contig06580:47322:47804:-1 gene:CCACVL1_03225 transcript:OMP00950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNRRVTKSKSIQVVRSRKPVILPVFLILSPSESAANRMNRKSLILAKHVLVHEHGDIQCSSPPPMDSPVSRVSLFCVNSSELEFDRVNRIARMPLDALRSGSVCSSVRDCCGGKSGAPSRLCVLHIPRVLPDKARLRRAERKRKLLSRLCRGRFGSCK >OMP00951 pep supercontig:CCACVL1_1.0:contig06580:51654:52182:1 gene:CCACVL1_03226 transcript:OMP00951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFLLCEYLDGNLFVVGKRKQSRREGFDLNEALACEEDKYVADAEEDDAQNAGGDNLFGLNVLREDERVPHPFFNEVDARSLRPEDVVGKMVFDSVVEATQFYITYGTHMGFSVKKETNHYNRRTCQLIGKLFVCTNEGSRKEKWFNYPN >OMO91667 pep supercontig:CCACVL1_1.0:contig08316:5463:6714:1 gene:CCACVL1_07057 transcript:OMO91667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAVQAQYPSNVLLLNRGGQEGHEFSLQQQAGGVYLDQSHMIFNNGSNMNNNSNNNISNNPRKRGREVTGALGTPINSFSLQTQPSQLIDLSQLHQPNVVSTGLRLSFGGDQHQNLNQNQNQSFHQHHQQQQQQQNLVSNSAFLSIVSDDLATQIKRQREEVDQFLQAQGEELRRTLAEKRHRHYRALLGAAEESVARRLREKEAEVEKATRRNAELEARAAQLSVEAQVWQAKARAQEATAASLQAQLQQAIMSGGAAAVQDSRRGDEAIGAGGVEGQAEDAESAYVDPERVSASGPACKACRTRVASVVLLPCRHLCLCTECDRVAQACPLCLTVRNSSVEVFLS >OMO89059 pep supercontig:CCACVL1_1.0:contig08854:1121:2102:1 gene:CCACVL1_08027 transcript:OMO89059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATLTLSSSLSSTLLNSNKYSKLPYSAATPAFRPKLKSFRIKAIKEKTEEIKTPSPSSSSSSSSSSSSSVDEVTKKYGLEVGLWKIFSSKEEGEGKKSKGDQAKELLAKYGGAYLATSITLSLISFSLCYALISAGIDVQSLLQKVGISTDATGEKVGAFALAYAAHKAASPIRFPPTVALTPIVASWIGKKVDNDK >OMO89064 pep supercontig:CCACVL1_1.0:contig08854:17648:23484:-1 gene:CCACVL1_08032 transcript:OMO89064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5-3 exonuclease protein MGVPSFYRWLINKYPNIRVQAIQDKGDDPVDTTSPNPNAMEFDNLYLDMNGIIHPCFHPEDQALAPTAHEDVFKSIYAYIDRLFSIVRPKKLLYMAIDGVAPRAKMNQQRSRRFRTAKDAEIAEAEEDRLRMEFEMEGKPVLPRVECEVSDSNVITPGTEFMDKLSKKLITYIDTRLCSDPAWKEIQVVLSDANVPGEGEHKIVSFIRHQRSLPGYQVDTRHCIYGLDADLIMLALATHEVHFSILREDVLMQEQQPAFQYSAPNTSNQVAEPLYSVKSRGWFKDVKTEGWALNEDANAVNRYAPKAKTPYEVAKAPENFQFDLERIIDDFIFMCFFAGNDFLPHMPTLSIHEGGIDLLMTVYKKNFKNLGGYLVNMEKIQDSKGAYIKLKRVEKFILLVSRYEEDIFKKRSELLEHRLKKLCRYSDAQEEDIIETNESSTSSSPRERVVSLSAENTSKGMFKNSPADKSALLRNTKELKAQLKENLRKKSDLFKNGDLGTDKVKLGTPGWKQRYYKLKFSAETSEKIETTRKEIVEKYTEGLLWVLLYYFSGVPSWTWYYPYHYGPFASDLKGLSHVRVKFQKGHPFKPFYELMSVLPRRSADALPKPYAKLITDEGSKISEFYPEDFEIDTDGKRYAWQGICKLPFIDEERLMAETRRVEEELTKEEAERNEEKCDQLFVTRSSDIGTKILTLYPEVSGNEKLMMTPNLSGGIGGLMWLNDYDHLTSTSTILSLLFNLPDGKLHIPRPLEGVEFLAKKITAEDIRETFLWHEYHGSRPPYNNRSQSRTTFSKANDSSKPLPSRSTTEIHKGAGTGWGAGRGRAASNNSIAKGVANLKMSESESNQNMGSYRRGQTATNTFWPSRNQATAQSSNYTWRHSSHANTYTSPASHSFGRGQGQGRGRFNPSNSAWDWHSRDPSRRS >OMO89063 pep supercontig:CCACVL1_1.0:contig08854:15505:16911:1 gene:CCACVL1_08031 transcript:OMO89063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MSPACFALCFLCFLLCIFPNIFFSSALHLPLTQFHTTPSRDPYQTLNHLVSSSLRRAHHLKNPRPTATKAGRATPATSTPLFSHSYGGYSISLSFGTPPQTLPFVMDTGSDIVWFPCTHRYLCKNCSFSSSSPSKNDIPSFIPKQSSSSRIIGCKNPKCSWIHHTNRTQCGDDCQNSPVPQNCTQACPPYIIFYGSGTTGGIALSENLNLGGDKTVRDFLVGCSVFSSRQPAGIAGFGRGLPSLPSQLKLDKFSYCLISHRFDDSASSSALILDSNSDLDKKTSGLTYTPFLQNPIAHEAFKVYYYIGLRKISVGGRRVKVPYKYLSPGEDGNGGAIVDSGTTFSFMARQIFEPVAAEFVKQVKNYTRAREVENLTGLRPCYDVKGRDNVEFPEMRLHLKGGAEVALPLENYLAAVDGGAACLTFVTDDGVGGQTGPAVILGNFQMQNYYLEYDLRNERLGFKQQLCI >OMO89062 pep supercontig:CCACVL1_1.0:contig08854:12471:14361:1 gene:CCACVL1_08030 transcript:OMO89062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKYGLQLRVPPSQQKKPATRSPLPPPAGFRDDDDDDVEREISRQASKNKALKDVEEQHKKALEEDPSVFDYDGVYDEMKQKIVLPRAQDREERKPKYIQNLIKKADQRKFEQEIVYEKKIAKERSKEDHLYADKDKFVTSAYKKKLAEQAKWLEEARIRELKEEKDDVTKKSDLSDFYFNLRKNVAFGANDAGPRKSELHTDLVKPEKKDEKEMDVIKRDRSLPSSNAPESSGATDRNRDETNSGQNFESKDSRPIDNIHSDTTVQETSSTKQPAVDEPKPDHHKRGQDAVAAARERFLARKRAKVQL >OMO89060 pep supercontig:CCACVL1_1.0:contig08854:2934:9326:-1 gene:CCACVL1_08028 transcript:OMO89060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPFMAPGGDYHRFTSTGPRHIADQEAEAIVVKSPLKRKSDTADRDVESSEWTMHPAFTEVASSPLQTPVSGKGGKAQKTSRATKSNKSGPQTPASNLGSPGNNLTPAGPCRYDSSLGLLTKKFINLIKQAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPGEVDDNVATLQAEVENLTIEERRLDEQIRWLFVTEDDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSSMGPIDVYLVSQFEEKFEEVQGLDPPPNNPSTVGLNENPTTSVVTEESRGKEIEMPVEDNNRMCSDLSTSQDFVSGIMKIVPSDVDSDADYWLLSDPTVSITDIWRTEPGVEWNDFGTLHEDYSMAAVSTPQPQTPPANTTEVPSTNSTGR >OMO89065 pep supercontig:CCACVL1_1.0:contig08854:27376:28002:-1 gene:CCACVL1_08033 transcript:OMO89065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MSKDCGEHDDKKLAKRVSAAVLGLLIVIAIVVFIVWAVLRPSKPRFILQDVTIYGFNVTEPNFLTSNMQVTLASRNPNDKIGIYYQKLDIFATYRNQQITPPTLLPRTYQGHQDVTIWSPFLYGNAVPVAPFLEEGLSQDMSAGMMLLNFHVFGQLKWKVGTWMSGRYQINANCPAYISFSDRIKVTQVGPAMKYQLVQRCAVEISLT >OMO89061 pep supercontig:CCACVL1_1.0:contig08854:10613:10861:-1 gene:CCACVL1_08029 transcript:OMO89061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKPAAAKQEHVKQEEILLKVVPPLDRAYVRWLARDIERIHGFTPQNPRAVKPPDHYIEYMRLNGWLDVDLDDPDLAHLFK >OMO89066 pep supercontig:CCACVL1_1.0:contig08854:49495:52511:1 gene:CCACVL1_08034 transcript:OMO89066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSGSSEQTPEAAIAMGVSTTRGRPALGQALAGNNDEVGEISAAGAVSTQGERISGSDESASSPEEPVRLDFGSRPNILEEQLGVYHSYCGAKFILVGATASEFRADGGNEAAYQYYVCASEAGLCSGENGFKAVNCGSDDRAFSLRKGGRLAFFYQKAFEYGFRLPAHPFIAELCDYYGGWTPHVSVIRHLFQLQRRNGGWYAFQVRRGYKNKFPGPENNQKWHPKFFGVEALNGTEWGTSVQWWEINTTERNGSKGWVLSAEEEHVDYLERVRHSPEELCHRTRLYLCGLAPVPDAYEQMPALELGTSVPTPGAVVPKASPGLIEEELDPERQMVSKDELRAQIQEGMALRGQGGTTNKGKGRGRGRKRLAEDLPPRGPKGSVAKKPRSGAHAQASDSAVKGASSSSVPVADKEGASVAAIPRSTPSVSGDRELASRVGLKGKHEAVPPREKSKGNLDFLCTLMTRSLKLGEPGEIETVETISDDECAAVIVACSRQLSIYFQRMLRPYRSSLLKKDKNLIELMDENLPVYSKKEFKSLQESVEKFKRAKEDIEKQRGLMERKVGDLQEKFSQLEKANANLTSEFAAMKRAKDEDAATHALFRAQAEIDIAELKTALENKTTALKDCEEAKAGLLANAIEIASDLSKEAKKGLLKSIQEAHPEWDLSAFEPVEVVEEDEEVEDEEEEEAGSSPVADTVGDTSAARPPNEVVPFPLVIPSSKLVFTTDDLFDGIQGVDMAQSKAIAEKVFGVEEASNADVGEPSVTANVEGEEPSSKTDAKGLPDAA >OMO93615 pep supercontig:CCACVL1_1.0:contig08088:6444:17187:-1 gene:CCACVL1_06430 transcript:OMO93615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYDNEQVLCHSNIGYNSDSKPVSFIADTKTYENKEKPLDSTALNADGIVKEKQNGVMRDIKGNDGDSDPLCLENTRDGWPASKLDSSMHVNEFGNGNEKEFRDFVTSNSHSSKKMDSLQGSVFYLDKSVMECDLPELVVCYKENTYHVVKDICIDEGVPTQDKFLFESDMNEKNNCNFLPSCKLVEEKQDVPISSPEDQSGKNIDNGCDFNEKLDADTCRQDESNKGNQCDFEDFMMKRKVKDEEMKTIPDDLSKELFTLGELLSMTELSTVTSKTMSSEGKSDAIEQQSIQSSSEKEVNVNPPSVLVAEESNNNTEAMLDAPGLISAAGESDNGKEDAIPISTSQVSLSEESTKNTLSNEVSDDNRLETESITFNFDSSAPTNSKDECHPNLNCELPETGTTPKLEDTADQPIPNILQRGTGETSFSASGPVTGLISYSGPIAYSGSLSLRSDSSTTSTRSFAFPVLQSEWNSSPVRMAKADRRHYRKHREAKGVHLSDLPTLPRKLRVTEELVTFKPNGAQHSIPNTKLQKEDVSGGKANEKEDHEGGRKGTRAQQWVEGPDISQYFTMDYSHGFALTNPDLTRVVHLVMVNESSNRDSCVDFVISEKLGCEEEQRIKDPSDLRKMEDFWKRAKSFAEEAAKKSQTLTVPNKFSDLVAETAKKSKELALEASKKADELKTAALKQADQIHIQNLSKSITDIIPPQLSSKSISDIIPPQLSSLSITSPASSSSDPPPISESELRKFGLTDDLRDFVRGFTSNTFRNFPSPTEDEPEPSDATTIPSNVRKDLSEWQERHATLVLTTVKEIKKLRYELCPRVMKERKFWRIYFTLVSTHVAPYEKQYMEEVKQRAEEAKEDNSKQTPVKTEAPESDLKRKTSSAEQDLDTFLLGDFEDSDGGGDDNDADGSFGDDFDKIENSDVEDEKKNASGTKD >OMO86684 pep supercontig:CCACVL1_1.0:contig09437:39356:48170:1 gene:CCACVL1_09531 transcript:OMO86684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPAIEESDVHVNGIDSSYGCEPASELIDNNCEGHNKNNNNQEYSLPFTGMEFQSLEEAFEYYTKFAKREGFGIRKSKSASLLRVHRKRTTAQRNLIDLIDDSGIRPSKIMFVLATESGGIDTVGLTSCDIQNYLSNRRQKGLEKGNAQLMLNYFQECQSKNPGFFYSLQMDSEGQLANHFWADSRSRMAYEYFGDVVAFDPTYLTNKYQIPFVPFTDVNHHHQSILFGCALLWDETEETFVWLLNTWLEEMCGQHPRTVIADQDAAIANAIERVLPNTVHHYCMWHIEKKAPEYLSHVFHQFDDFKDNFFQCIHYALVPDEFESKWAKIIEKYGLQENSLLKKLQIIEKYGLQENSWLKKLHSIREKWIPAYVRNNFWVGMSTTQRSEKHEQVFQRLCKFKHTNDGNWWFEKLFDELDALKLEDDKPDNTNVLTNNNDLADDVSKDHGNHLDSNREGHKYVNTSARKLTGVYEPPELFILKFRFVIFYLVLTLRDILDTCDARHVVQGDAMVVHSRLSGRGVTNTLSSAHTDQPNITSDAPKAYHGGNDAPRTYHGLEDDNGEQRKDVHGLQGSMEMHEDHGDIDEHVPSTKKMPFDPLKMSLGPMTRARAKRFKGALMGLVRTHLDDMKTIQVQLKRFDDDLSKKTPINYKFITLLAIDSRWPD >OMO86683 pep supercontig:CCACVL1_1.0:contig09437:12514:17172:1 gene:CCACVL1_09530 transcript:OMO86683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVFIRGLLSVAKAQVGQHVANPCSSQLPAQAAACGVPSARQFQRLANMPTLRKANCHHRW >OMO63263 pep supercontig:CCACVL1_1.0:contig12999:3387:3521:1 gene:CCACVL1_22423 transcript:OMO63263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTRAMKKASEMLHTHLLIEPSISKRMGCDAAKTKIQIEEDGV >OMO83924 pep supercontig:CCACVL1_1.0:contig09824:33304:35604:-1 gene:CCACVL1_11086 transcript:OMO83924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEALKLNVPRKKSMEELGQLEKNKSLLKSLKLMARRGGNQLQEKQDACKSPAHGEARAES >OMO86627 pep supercontig:CCACVL1_1.0:contig09449:9846:16019:-1 gene:CCACVL1_09542 transcript:OMO86627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRARPPSEATLKQVMGQMGSKLWDRWDKGKLFLRKSM >OMO86628 pep supercontig:CCACVL1_1.0:contig09449:19755:21130:-1 gene:CCACVL1_09543 transcript:OMO86628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASSKTSITVVPTSDSTLPPIVNAMEIYSLSDPLTSGTNSKDGLVALQKAFEILQEWSGDPCLPSPYSWDWVECSSDPIPRVTALYLSGFDLYGSLPYFSSMDALQLIDMHNNSIEGPIPTFLGDLPDLTLL >OMO55136 pep supercontig:CCACVL1_1.0:contig14782:8111:8644:1 gene:CCACVL1_27373 transcript:OMO55136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKTSAPIAFLIALNILFFTLVSSQTLSPPPPPRSPPPPPPSPPPPPRSPPPPPPSPSPPPPPSPSPPPPPSFCPAGLLSGALCLPNLIIPAILNITLGPPNSQCCRNIAGLVGINNLNLCLCDVIAGVVRIVPPLLGGIIGIGAQVNLTVAVGNVLSACNITNTAVRVCVIRI >OMO55317 pep supercontig:CCACVL1_1.0:contig14727:24540:24791:1 gene:CCACVL1_27311 transcript:OMO55317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSLSRCSPFTSSISSTSITSTPTRRASLASKANLYLVFEHLDIDLMKFIDSHPKGPNPRPLSPSHIQSFFSSFTKRCPLP >OMO55318 pep supercontig:CCACVL1_1.0:contig14727:38067:40935:1 gene:CCACVL1_27312 transcript:OMO55318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAANRQKNLYNDSSKQTRTKDKSKPDSKPKLHMFIDHNNSKPPKKPRYFFFGKSPQILGKLYSQQSGPYARHYHKRHR >OMO55316 pep supercontig:CCACVL1_1.0:contig14727:3242:20943:1 gene:CCACVL1_27310 transcript:OMO55316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFDVNKTMETLEPEVSNGGGGGLFVPKDRPKYIAPAGKKSLLGLDARANEKRGDSKVDGGFKVPKEKIASIAASIDEDEGVELSGVEDTGSIGTIGVRSHTSRRYRDKAASEATNAESTVTVERRGNDTIGTPRSSEHRNSNVPTPSSRSSRSVSSKSPRRDRDERSSERGGFSDDSRSENRNARKRHYYDDRRDTRSGYEDSYGRSRSRYESRRTPGRSDWDDGRWEWEDTPRRDSSSGSSRRHQPSPSPMFLGASPDARLVSPWTGDRTPRSTASGASPWDYASPSPVPIRASGASVKSSSSRYGRTSHQVSFSKDSSQSFEDEEDKRGSAGEHNYEITESMRLEMEYNSDRSWYDREEGNTMFDADSSSFFLGDEASFQKKEAELAKRLVRRDGTKMSLAQSKKLSQLTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRIVFTKQAEPVMPIKDPTSDMAIISRKGSTLVREIHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQIDADTAEVGEHGEVDFKEEAKFAQHMKKGEAVSEFAKSKSIAEQRQYLPIYSVRDELLQVIRENQIVVVVGETGSGKTTQLTQYLHEDGYTTNGVVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPDTIIKYMTDGVLLRETLKDADLDKYRVPIFHIPGRTFPVNILYSKTPCEDYVEGAVKQAMTIHITSPPGDILIFMTGQDEIEAACYALAERMEQLISTTKKGVSKLLILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPKMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYLNEMLPAPVPEIQRTNLGNVVLLLKSLKIENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTDIGWKMVEFPLDPPLAKMLLMGEQLECLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKANQYRGDWCNDHFLHVKGLKKAREVRSQLLDILKTLKIPLTSCGYDWDVIRKAICSAYFHNAARLKGVGEYVNSRNGMPCHLHPSSALYGLGYTPEYVVYHELILTTKEYMQCVTAVEPQWLAELGPMFFSVKESDTTLLEHKKKQKEEKTAMEEEMENLRKEQEQAEKERKEKERRKRAKEQQQVSMPGLRQGSSTYLRPKKFVAGFALLRSPSNIQTFFSFLFPSFVFFLSFFSFLLYSFFCFFSGIVVMEGGFLRIAGRSTEARREEKELEEEEERSKLFLQLKPYCLELLELAQNPKKHSSAIPALLHLLRSSPPDSLQPFFDYILFPLLLLLDAAVDCRSSQKKSKTNKKVSDKVAEGVVQCLEELLKKCQLGSVDQMVVILKKLTYAALLSPSEASEEFREGVVKCFRALLLNLYPCSNQSCLCKQSLDLPMLETRGILHPVGTLKHDLEQGECLLAFLQSEAASAAVGHWLSLLLKAADTEATRGHRGSANLRIEAFMTLRVLVAKVGTADALAFFLPGVVSQFAKVLHISKAMISGAAGSVEAIDQAIRGLAEYLMIVLQDDANLSSLDMCLDASDGTNANNYRSTTSFLEELRQLPLKAQSETLAESKNDEAVSTISRKTEVGEKSSPVIGKEIGSLHVDRTKEWLEKTSAHVNKLLCATFPHICVHQAKRVRRGLLAAVEGLLLKCNYTLKKSKMMFLECLCVLVVDDSEEISAAAQEFMEYLFSGSQKLHVEHDVAAIFSRLIEKLPKSILRSDESLALSHAQQLLTVIYYTGPQFLLDHLQSPVTAARFLDVFALCLSQNSAFTGPLNMLVSTRQSSIGYLPSVAELKGLHVVGDHKVLRSAASSNSSKLVDIHEIEKQHKAEDRKRYFELPRMPPWFVYVGSHKLYQALAGTLRLVGLSLTADYRNEGHLSIIADIPLGHLRNLISEVRQKEYSKESWHSWYNRTGSGKLLRQASTAVCILNEMIFGISDQAFDAFKRIFHKTQIKGVNLGESGEDSPGVQPRKLNSAVSDESVWETALQKGARSHLIDCIGKILHEFLSSEVWNLPVDHQSVLMQSDAEVEDIASYFFRDVIIEGLGIFALCLGSDFASNGFLHSSLYLLLENLICSNFEVRSASDAILHLLSTKSGHSTVGQLVLANADYVIDSICRQLRHLDLNPHVPNVLASMLSYVGAGHKILPLLEEPMRSVSQELEILGRHKHPDLTIPFLKAVSEIVKASKREAVPLPSQAQCNLMHIKSKISYRENNIQQELGQGSISGFKDEIDMSLTQSDQWENILFKFNDSKRYRQTVGSIAGSCLIAAAPLLASMTQAACLVALDIIEDGIAALAKVEEAYRHEKEAVEVIEEELQSCSLYQLKDTMSAADDNTVENRLLPAMNKIWPLLVVCVRQKNPVVVRRCLSVVSNVVQICGGDFFSRRFHTDGAHFWKLLSTTTFQKKRNFKEQAPLQLPYRSSNVSSEDSVAETSNLKVQVALLNMIAELSQNKRSASALEVVMKKVSGLVVGVACSGVIGLRDASVNALKGLASIDPDLIWLLLADVYYSLKKKDLPSPPSSDFPEMSQTLPPPTSYKEFLYVQYGGQIYGFDLDFSSVESVFLKIASSGVL >OMO55320 pep supercontig:CCACVL1_1.0:contig14727:44445:49142:1 gene:CCACVL1_27314 transcript:OMO55320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEGAQGNEVIQRRSGGHLPTVWTAEVFNSVTSPYSYESHGTRLEELKQDVRKLLVSMKEPREQLDLINNMQRLGVAYHFEKEIKNILANLVDPNNFATDLYTVALQFRLLRQNGFSITTDVFNKFTESDGKFMDSLQEDANGLLSLYEASYLAFPDEEVLDEAQNFSTKHLLVLKEKVESNLAEEIQQSLEFPLHWRFPWTDLRDLMHIYQKMETMNIDLLEFAKLNYNLLQSVYLKEVQDLVGWWNDFNFFEKLPFGRDRLLENYFWAMGCVSPPLQCYSKLRRDIAKFAFLATFLDDIHDLYGTLDELEKYRIAVDSWDPKAAEELPEYMKVCYSAIYDHVNEMVHDALQDYGIDILPYVKDQWVWFTETHLREARWVSNGYNPTSDEYLKNAWISIGTPMSMVYDILGVLLENSINEDYLSEFVQNWSDCELVYLPSCLTRLIDDLGGAKVEMERGDNMNVIYFYMIEKGASEEEARDYVRSLIRNLWKKLNKSAAENSLRVPGIVEAALTTTRCCHRVYNYGGDWFGIQSEGIKDCIYKSYLEPIPM >OMO55319 pep supercontig:CCACVL1_1.0:contig14727:43083:43142:1 gene:CCACVL1_27313 transcript:OMO55319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLLVEDDFEHKETNKP >OMO55321 pep supercontig:CCACVL1_1.0:contig14727:64530:66391:1 gene:CCACVL1_27315 transcript:OMO55321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGAQGNEVIERRSAGHLPTVWDAQLVNSFTSPYSYEIHGTRLEELKQDVRKLLVSMKEPREQLDLINNMQRLGVSYHFEKEIKNILANLVDPNNFATDLYTVALQFRLLRQNGFSITTDVINKFMDSDGKFMDTLQEDVNGLLSLYEASYLAFPDEESVYLKEVQELVRWSKDLNLKEKLPFGRDRLLEGYFWAVGCVSPPLQSFSKLRRDIAKFTYLATILDDVHDVYGSLDELEKYRIATSW >OMO94953 pep supercontig:CCACVL1_1.0:contig07766:25301:28206:-1 gene:CCACVL1_05678 transcript:OMO94953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MVLGFISLLLTFGQSYISRICIPTKVADTMLFCAKRVDHPNIGHGPQNEPKPEPAHHGGGQGGHRRLLLFDRRFLAGGGGGPGCKPGYVPLISVNALHQLHIFIFFLAIFHVLYSACVMMLGRLKTRGWKEWERQCVAGNVPSRFRLTHETSFVRDHTSSWTKTKLSFYFVCFFRQFFRSVRQADYLTMRHGFVSVHLAPGSKFDFQKYIRRSLEDDFKVVVGITPFLWGSAVFFLLMDVNGWHAMFILSIIPLVVILAVGTKLQAIIAQMAIEIVEKHAVIQGMPLVQVSDAHFWFAWPRLVLHLIHFVLFQNAFEITYFIWICYEFGLRSCFHKDMVLILVRVILGVIVQIMCSYITLPLYALVTQMGSTMKRSIFDEQTSKALKQWHKNAVKKKGDGKTDAPRTRTLGGSPGDSPDNSPLHRRSAAGNMNRSDNQEVAIDTEASSPNRTANITATVDLNGQPDLLSGP >OMO94952 pep supercontig:CCACVL1_1.0:contig07766:8512:22147:-1 gene:CCACVL1_05677 transcript:OMO94952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRPDSIRSRVTGSNSRTARSVRV >OMO68048 pep supercontig:CCACVL1_1.0:contig12294:12030:12891:-1 gene:CCACVL1_20105 transcript:OMO68048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPSVSRGKRKERVVSGGKLVGGTQAEIKGSD >OMO54761 pep supercontig:CCACVL1_1.0:contig14904:7390:7758:-1 gene:CCACVL1_27583 transcript:OMO54761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPKAVTLSMIFAIVFIISFAPQFGGAIRPWHDKEQLLRKVVPNWESVLTRGPVPPSAGTPCSNVPHGSGKCKLDEMNVAGRRLIRPPLPPFSGIDIVDDQKFAAEASGTYMENKISYNYN >OMO54764 pep supercontig:CCACVL1_1.0:contig14904:15001:19426:1 gene:CCACVL1_27586 transcript:OMO54764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGVKFIPRDQIDEEYNEKSDVKKKKSSSSKKDKSKRKKKSSGYGSSSDDDLERIEKGSRRSKKWYSSEEYSSESGSEGSSEEDEKRHRKRKKKKRGKKDSSGDESVGRSKKGSKKSSRKRYSSSEDYSSEDEDRKGSARGGKKKDDGRESPALKEMEIERREMGLEWMLRPANKPDNKPSVPVEEPDELPAEEIKVNPRELNPYLKDNGTGYPEEADEKRAGADRLFSSSVVGDGGASWRLKALKRAEEQAAREGRALEEVVLERWGSLDILAEYGASRRAAPPRAHLHAIRNRKQGQEEDKPKVADNESGRDSKKNAPRDYLRDVSLRHSDMKAPKARDSLSWGKRKSQYNPTKDAGIASVPNKFANDGNFMQEFLRKQGNDTGISGSNANHDGNVESEVVTSEMNKPSESATMLKEPLSTNQLAAKALQLRMKGKHEEAEKLLLEVESLKAKQSTGDHASKQQTIDSRSRYVAHNVSMRKKNDDDDSDKHLAKRIMHNKQYSLSGWADDEYDYEDGPSRKSRKKGGNNDQKVSGNNHLGRRILTQQERCLFCFENPNRPKHLVIAIANFTYLALPQWQPVVPGHCCILPMQHESATRTIDNNVWDEIRNFKKCLIMMFAKQDKELVFLETVMNLAQQRRHCMIECIPLPREIASEAPSYFRKAIDEAEDEWSQHNAKKLIDTSEKGLRSSIPKNFPYFHVEFGLNKGFVHVIDDETQFKASLGHNVIRGMMQLPEEDMYRRRRHQSVEEQKQAVASFACDWEPFDWTKQLE >OMO54773 pep supercontig:CCACVL1_1.0:contig14904:69145:73959:1 gene:CCACVL1_27598 transcript:OMO54773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVTYYRRRRKTRQLGFADANECPELCKLAQNYLQKPKDCEVRIFEYFTNEAEAETLYVKLTEEFERCILSYFAFHWHQASHMVSQVLSVESDHKKAKLKNFVMAATRQKRFEKVTKDLKVARVFSTLVEEMKAMGRVDDESKYTDVMVPVAHSQRSPVLLFMGGGMGAGKSTVLKDILNESFWAGAAANAVVVEADAFKETDVIYRALSSRGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIAMARNVHKHRYRMGVGYKVDEDGTINENYWERIEEEEGEENNANETHRKPYKIVLVGVVCDAYLAVVRGIRRAIMVKRAVRVNSQLKSHKMFASAFPRYCELVDNARLYCTNAVGGPPKLIAWKDGENKLLIDPEDIKCLSNVSKLNPAAESVYELYEDPNPIDEPGSVWKDIVLSPSRPAIQAELKACIERIEKANIEIKE >OMO54769 pep supercontig:CCACVL1_1.0:contig14904:51381:54713:1 gene:CCACVL1_27594 transcript:OMO54769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESFFDLMEFLKKPTITETFVDILLCAVPIWLAVMIGLVIGWSWRPRWTSLVFIGLRSKFRFIWTAPPGFGARRLWLAFTALSALPVCRTIISNFIRGRTKKSTAPPSNSAVQSPPSSPTARVSSEGAAGAVSNKAEEREQDIITENDLAHLLHLLEGKDGEMEWQSLMERTTPNMSYQAWRHEPETGPAIYRSRTVFEDATPELVRDFFWDDEFRPKWDPMLAYVKILEECPHTGTSIVHWIKKFPFFCSDREYIIGRRIWESGKTYYCITKGVPYPNLPKRDKPRRVELYFSSWVIRAVESRKGDGQQSACEVTLVHYEDMGIPKDVAKLGVRHGMWGAVKKLHSGMRAYQNARKTDTSLSRSAQLARITTKMSSNESMDTLAPISGEDERDQSMVIRRQNDNGLDWKWIVVGGTVALVFGLHSGAVGRALLLGAGQRIARR >OMO54760 pep supercontig:CCACVL1_1.0:contig14904:4278:4415:1 gene:CCACVL1_27582 transcript:OMO54760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRHALARHSSPRLTPPFRAPKPIYPPWLVSKNFPSPQGKDTIG >OMO54766 pep supercontig:CCACVL1_1.0:contig14904:28613:32999:1 gene:CCACVL1_27588 transcript:OMO54766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20 MQDFSGYPIDEPPHSFISNSLSVDAQTLQKQIDELSTFSDTPAPSVTRILYSDKDVLARRYIKNLMGLAGLSVREDAVGNIFGRWEGYEPELAAVATGSHIDAIPYSGKYDGVVGVLGAIEAINALKRSGFKPKRSLEIISFTSEEPTRFGISCLGSRLLAGGEELAKALKTTADGQNMSFLDAARYAGYAKDQDDLSSVFLKKDHYFGFIELHIEQGPILEEEGVSIGIVTAIAAPASIKVDFEGNGGHAGAVLMPNRNDAGLAAAELALAVEKHVLESGSIDTVGTVGILELHPGAINSIPSKSHLEIDTRDIDEKRRNVVIEKIHESAIAIARKRKAILSEFKIINQDPPALSEKSIIEAIEAASKELNLTHKFMISRAYHDSLFMARISPMGMIFIPCYKGYSHRPEEYASTQDMANGVKVLALTMAKLSLQ >OMO54765 pep supercontig:CCACVL1_1.0:contig14904:26386:28128:-1 gene:CCACVL1_27587 transcript:OMO54765 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein XRCC4 METSSTTRHTCLKLEIPGTEPIFVKGTWFDTHFDLSVTDGLHAWVCDATEEEVKDRAAQWDQPVTEYIELAERYLGFQQPGSVYRFVDAGDDHKRLSWTFEREGTKLEWRWKLRPSPDSRKVTAGILDFLMDANIRLSEEVVRKTQSFDKLKMEAEKCLEQSERFSNDKIEFESEIYAKFLGVLNSKKAKLRELRDQLSKRETTGRAPMEEEEESTDKTQSYHSGSDAEESEEEPAKNLTSTSRNAPAGRGRGRKTARHE >OMO54772 pep supercontig:CCACVL1_1.0:contig14904:64788:67193:-1 gene:CCACVL1_27597 transcript:OMO54772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDSEVEKSNVKAREEGTSMQMDSQESLETKGGNDEKEKLADSMDKLNIESSSSDFKRKPVIIIVVGMAGSGKTTFLHRLVCHTQASNIRGYVMNLDPAVMTLPFGANIDIRDTVKYKEVMKQFNLGPNGGILTSLNLFATKFDEVISMIEKRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVTYVVDTPRSASPVTFMSNMLYACSILYKTRLPLVLAFNKTDVAQHQFALEWMEDFEAFQAAISSDTSYTSTLTQSLSLSLDEFYKNLRSVGVSAISGAGMNEFFKAIEASAEEYMENYKADLDKRRAEKQRLEEERRKENMDKLRKDMEQSRGETVVLSTGLKDKDGRRKTMMDPEDEIEEEEDDDLVSFSEEEEDYIDEDEDEEVGKFSF >OMO54768 pep supercontig:CCACVL1_1.0:contig14904:41422:43819:1 gene:CCACVL1_27591 transcript:OMO54768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDPIDVCSSMGVEVTDICMDKESDSAIVYSNGVSEDSNSETFPSHHDANGDSVLQGTEEGMEAKDYEVKECTTENSIENSEPSHIENNKEEHAVQSSNLEAGMPEEKVKQETAKTKNNKSKLSKPVSKVAAVNVRIKHTIPQPFALATEKRASNGTRPAVAESDAVSGVKKSSKANGALHPNTTKENQQAQLRKPLQPNNKKHPDDDDTCSVTSTYPFSTRNLSSKATVASAPTFRCSARAEKRKEFYSKLEEKHQALEAEKSQSEARTKEEREAAIKQFRKTLTFKASPMPSFYHEGPPPKAELKKMPPTRAKSPKLGRRKSTSDAVNSSQAEKVKGALHHRNRQSMGSQREDSIATTLGSENKKHQIQTDVQNGYAPLKFEEESAKVQEISESVPPIIANGHIDSDISFLS >OMO54762 pep supercontig:CCACVL1_1.0:contig14904:10446:10754:-1 gene:CCACVL1_27584 transcript:OMO54762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFPKTKIMTMILGVVLIISLFTPKFGAAVRPLHEKEQTVKKIVLDWESKIRGPVTPSGGSSCSNTPQDSGVKCELNEMNFAGRRLIRSPPPPPFPTTIDIV >OMO54771 pep supercontig:CCACVL1_1.0:contig14904:59646:64087:1 gene:CCACVL1_27596 transcript:OMO54771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MNLENENIEPTTDLQLALGYPNNNIQRRLSNDLGAGANAASRIDMTFVTTDPLSELVWSPQKGPSLRCTDCSFTDKKHSLGWGAGPSNAVLSPQPLNTSMRSSSDKPIDEENFNTCVAAFDSMDTKVANIDDSDKSARDIDGISQVAEQAVATEKKFPISPDEIKPDVPHIESLFNDPADEARDGNSGTPMSKMEMVLPSEVHSENKGDAHAPRENNLTSAGGRPQESASSVEKRGKRKMKGVISLSLWPLEKLEATAENDFQTPIGDNVHVATSKISGSESSSEVEKCCKHQKEILPEKMSADKHSPTNSGIRRYRRKGKEKALSDGDVKGMMSKEEDDSHESVESCNSAGLFSTGKKRGGFEQQLIVGSKRVKKQIGENPSSSLVKQDSSFMNWISNMMKGFLNSKDENPSLALTVANPNQSHEIPDKSLDADDTNQDPGCRNIGFQSFFQSIYSPKSKVQGTTQNENFQAGLENKICDTDATPISCHGENFSFHKMFLLSNERFKEPTSCARAGASNEPKISLMNLSPSKRSSEGEYNSAENKNSCNLAVGVEKDRASSSSSLGKRKAINNEHIDSDPPSEGKTVHNSGYKSNLLGSLWITRFTPKVSCSLLNQDTSGPVESSSDCMKLIPCSKNNVNLAQQSAEEPLTSSGKELPNSATDIEASISFNKVTVQDDQKAKFKMSPFFSSPRLKDSEAMASLFSRRLDALKHIMRSGVSDTPASSALCFFCGRKGHHLQYCPEVTDNEIEDLLRNMKSSNRLEELPCVCIRCFELDHWAVSCPKTSSRGQHQSSLRASSANLGDVIDTGKGSSNDYGVTADKVRSNIDLNKKHVASSSKENELKENQITPWGNFFAQKFSDMPKAIFNAVRMLRFSRTDILKWMNSKTPLSHLEGFFLRLRLGKWEEGLGGTGYYVACITGAHRQSTQQTSKSSISVNVGGVKCLVESQYISNHDFLEDELIAWWRATTRSGGKIPSEQELSMKVKERRMLGF >OMO54770 pep supercontig:CCACVL1_1.0:contig14904:55495:55572:-1 gene:CCACVL1_27595 transcript:OMO54770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGPRLCVRKNKAASATMVQPSCI >OMO54763 pep supercontig:CCACVL1_1.0:contig14904:12752:13508:-1 gene:CCACVL1_27585 transcript:OMO54763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAKLLPTLELAARTGRAEGVARERSNIVSIGM >OMO54767 pep supercontig:CCACVL1_1.0:contig14904:33596:35034:-1 gene:CCACVL1_27590 transcript:OMO54767 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MESNVAVVSEPPRAKKEAVKKDITTVVSGGAGDMKKPVVGRAVTVDLHGRVASITSSPAKTGSPQETKKMKNKNRVHISNTKKPFLFYLNLAKRYIKNNEEVELCGLGMAIPTVITLAEILKQNGIAIQKGVRTSTAISQELDRMGRQVMKARIEIVLAKAEILDTTNVAVTPNKAAHKKA >OMO68995 pep supercontig:CCACVL1_1.0:contig12141:10105:10644:1 gene:CCACVL1_19715 transcript:OMO68995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAYYAHLPQSRKDEAIEYFESLDIDGDGEIDVNEFMGWVKQRGLITSMRDDSFISLFKALDKDKNGSLDYGEFLTFFYLMESGRIMEFCGGCGAFLKGVFFTCLECFNSGIASSDVCCSCYRNNNFKHHHYDATFVDSHALLLAIWRQKHRSASASEPPRSHPPGTRQVEIIDGQERLR >OMO68997 pep supercontig:CCACVL1_1.0:contig12141:16384:16608:-1 gene:CCACVL1_19717 transcript:OMO68997 gene_biotype:protein_coding transcript_biotype:protein_coding description:elongation factor 1-gamma-like protein MMIITVVEVVFAVARAAEVTAFGGDAGVEAFKTSKVNSVKKSSAAIAKRKAFAFVQVKQRLGLVEINGAIVVLV >OMO68994 pep supercontig:CCACVL1_1.0:contig12141:6114:6608:1 gene:CCACVL1_19714 transcript:OMO68994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MKEIREAAEAYHANLPQNEKTEATKILKSMDSDGDGKINLKEFIDFVNKKKSGPTLRYDFESLFKELDKDEDGTLEFEDVLTLFYLYKTGRFLICGGCEAFIKGIYFTCVDCFYDADNSFDLCCSCYRNSFFLHNDDHTTFVDNYAFVIRCIRMMEKNNKIIHH >OMO68996 pep supercontig:CCACVL1_1.0:contig12141:15287:15580:1 gene:CCACVL1_19716 transcript:OMO68996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MNEIREAAKAYHANLSQDEKTEATKCFKSMDSDGDGKINLREFMGVVVSKEKSRFDYDVEGFFKELDKDEDGNLEFEDFLIFIYLSKYRTAFVLRWL >OMP11584 pep supercontig:CCACVL1_1.0:contig01132:845:1198:-1 gene:CCACVL1_00422 transcript:OMP11584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNGDPDDNLIVELEVDRDITREATKFGLIGKIVSDRVLNKKGVTNSLKSIWSEKVLLKVCDLGPNLYGFAFADRKSMDYALFNGPWTVMGHCLCLRRWDSALAVNEICFEEILLM >OMO94360 pep supercontig:CCACVL1_1.0:contig07909:39132:42251:-1 gene:CCACVL1_06044 transcript:OMO94360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPLYLLLNKILKIDEDEGGGNGDQINVADGYCGYELSTDSRIGREAVLPRSNFVEVPHINQLYSWDCGLACVLMALTTIGFNDYNIQSLAELCCTNSIWTVDLAYLLQKFSVRFSYYTVTFGANPNYSGETYYKEQLPTDLVRVDTLFQKAVEAGINIRCRSISGEEMSRWMLSGKYIVIALVDQYKLSHSWVGDVIVPGLYGSDVEYTGHYVVICGYDADADEFEIRDPASSRKHSRVSSKCLEQARKSFGTDEDLLLISLEGSQKPNYSVL >OMO94358 pep supercontig:CCACVL1_1.0:contig07909:5708:17052:-1 gene:CCACVL1_06042 transcript:OMO94358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSSSKIQISMKQNLFFPLLSGLVIFIEYLVIPVTGDSHVTPFNPIEIITIDCGSSRNGRAYDARPWTGDGNGKFSPIEKQSKSMLSTAPQPLPFGVDKFPFSTARLSHSEFTYSIPLADGPKFVRFYFFPTSYQGFNDASTKAFFSVKAGHYTLLGNFSALLHAQGQPTLIKEFCLNVDETERLNLTFTPSPDIFDSYAFINGIEIVSMPLDLYYNSPANGTGGNFLGNDTALEKLYRVNVGGRQISEGEDTGMYRYWENDVDYLTVGESSFLPVSTNIDLNFSKIPSYSAPREVYTTARSMGPNGSNYYLTWEFSVDLGFKYFVRLHFCEFQSVIREKGNRVFEISLDYQTVETEADVIAWSGGRGIPVYRDYMVTSIAKGDLNQQNLSIALYNALGYGRTNLSPDVILNGIEIFKISDGFNLAGPNPDPKPNRLQDMKPPIGQSGSTIVAIKRLNPCSKQGVLEFRTEIEMLSQLRHQNLVSLIGYCQDKKEMILVYDYMVHGNLRDHLYNTDNPPLPWNLRLKMCIGAAHGLNYLHKGPNHTIIHRDVKTVNILLSEKWVAKVSDFGLSKMNDMSNTHISTAVKGSFGYLDPEYFRLQQLSEKSDVYSFGVVLCEVLCARAPIDRTRDHMQISLADWAKHCYGNGTLDQIIDPYLEGKISTPSLLKFGEVAINCLAAEGSKRPAMSEVVCGLELALQLQEISEVNPSDESHLHDSTVNDYHVLFMSGSGSMNVEEPIACLISDAMLHFTQDVASELKLPRLVLRTGGASSFCVFNAFPLLKEKGYLPIQGFRLDDPVLELPPLRIKDLPVINTRNPEDLHQLLDDVIKQSKASSGIIWNTFEELEQSALETLHQQLGVPMFPIGPFHKCINPISSSNLAPQDENNCISWLDKQEIKSVIYVSFGSLAAIDETEFLEIAWGLANSKHPFLWVVRPGMIRGSEWVEPLSNGFMENLGGKGYIVKWAPQEEVLAHQAVGAFWTHNGWNSTLESICEGVPMICMPCFTDQKVNARYVSEIWKVGLQLENGMERGEIERTIKRLMEEKDGEKIRERALNLKEKAISCLSQDGLSQSTCRADNIVALVSLLNANCAAPFRDCLANLLSADVSDHEEPVACLISDAAWNFTGEIAEKMKLPRLLLRTNNVSSFLAVASLPLLQEKGYLPLQESRLEEPVIELPPLKFMDIPVFKTQDQESVLQSIAELVKQTKSCSGVIWNTIEEMEREPLTAFRVDFPVPIFPIGPLHKYFHAPSSSLMSQDQTSILWLDKQKPKSVIYVSFGSVASIEESELLEIAWGLANSKQPFLWVVRPGSVSGLEWLESLPNEFMEEINGRGHIVKWAPQQEVLAHSSIGGFWSHNGWNSTLESICEAVPMICHPCFGDQKVNARYVSDVWKIGVHLENRLDRLEIAKAVRKLLVEVEGQEIRERIVHLQKLANQCIQKGEDQAVPSDVNNIIALLEILNLNCLTPFRDCLSELICSSNEDQIACLVTDALWYFTQAVANGLKVPRIVLRTSNASAFLAFNSKFGHLVQDSQAENHDLPPQKSESLTHGVSDVESLNRFLAHIVQETKGSSGVIFNTYEELEQEAITKCSMVFSVPLFPIGPFHKYFSASSSSLLPQDQNCISWLDKQKPNSVIYVSIGSVATITETEFLEIAWGLANSKQPFLWVVRPGSVLGSEWLEPLPEGFLEMVGERGNIVEWAPQQEVLAHPATGGFWTHCGWNSTLESLCEGVPMICQPFFGDQGIDARLITDVWKVGVHLEDKIERGEIEKAIRRLMVEENGQEMRDKIKLLKENMNLCLQPGGSSYKSLDKLVKYILSL >OMO94359 pep supercontig:CCACVL1_1.0:contig07909:22984:24649:-1 gene:CCACVL1_06043 transcript:OMO94359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELIDDAEFWLPAKFLTDDDIIMEKKENFKNQNGAKNNSELLITSHGFPTEFPYEFDSLDSPALSSPVESVVGSTETEESSDEDEFLAGLTRRLAHSTSQKFTLPNLSMDKNEKSGVLASSPQSTLSGLGSWSASSNGSPNGPSQVPSPPTTPFGAQNDTWDLIYAAAGQVARLKMSNEAPKYTNFNYGRSLPKPQNHAFMKNNSTPGLYSSHNLSYNLPQTNQFHGRQEQMVKPHCGGVATALGRQVKANSNWQAQLQQQQHQQIQNRTRNNNVVGVRPLGLPQSSWPPLQQQQNSGSGMRAMFLGGSGNNVKRECAGTGVFLPRRYGNNNNNPPEPRKKSGCSTVLLPAKVVQALNLNFDDSNNHVQPHFNASFASNYDALVARRNAVLTQARRSYRQEGGLNHEIRLPQEWTY >OMO94361 pep supercontig:CCACVL1_1.0:contig07909:48764:51701:1 gene:CCACVL1_06045 transcript:OMO94361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MMEALLKKKDDLTESVSELSSCNGESKESSSSSSCCSGSSSGNLVENKVKVSNNKVKGSASPLLGWPIRKATTTPSTVNAAVSKKLDVSNGNGDKEKICDEDDKFKRMGSKINEIDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPISEEKKAMWKREMEWLICVSDHIVELIPSWQNFPDGSKLEVMTCRPRSDIFINLPALRKLDNMLLDILDSFTNTEFWYVDQGIIAPDADGSSSFRKTLQRQEEKWWLPVPRLPTGGLSDNSRKQLNHTRECTNQILKAAMAINSITLSEMEVPDSYLDTLPKNGRACLGDLIYRYITSDQFSAECLLDFLDLSSEHVALEIANRVEASIYVWRRRSHSKPPINPNRSTAKSSWEMVKDLMVDGDKREFLAERAESLLLCLKQRFPGLTQTTLDTCKIQCNKDVGKSILESYSRVLESLAYNIVARIDDLLYVDDLTKHSEKLSSVPTVSVIAHKKVSIPYSVPVSSTPYKTAIPTPSFSPAAAPLISPARGERTPFLKENKENHIAAATTTTNNISKPHRRGFGVRRVLTNYLGVDSKAKICGNATDGSSLLNSNNITENSGNQKDQVTSKQSSAYQNGEKNRTRQNPPRYTVT >OMO94362 pep supercontig:CCACVL1_1.0:contig07909:55048:64716:1 gene:CCACVL1_06046 transcript:OMO94362 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dolichyl pyrophosphate Man9GlcNAc2 alpha-1,3-glucosyltransferase-like protein MEHVIGGDDQRVPCTVDDPENANLLMNPENRNQLIQGMQGVRENVNGGRPLTFTLCKLGVVHRLESGLRISRAKGSQIFKVLQQSLRTMLRLRMVAMVVVEIKYYGFGARNCCTNPYAVGRLIALCAFSGISLISVCIAVNHHKLPESQLRIWMGFSTGLCAVSLGFDLVDFFCSRRVDAMGVTSTLFSLVSLIVGIVQLSTHNKGIPPEVYPFLFSTMVCLASVTDVVFRQWDVQIKQW >OMO94363 pep supercontig:CCACVL1_1.0:contig07909:66182:68634:-1 gene:CCACVL1_06047 transcript:OMO94363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSDLMSNSGHKYKKQQLQTVELKVRMDCDGCEHKVKKAPLFNEWGEIVEHKHEQKAHQPL >OMP06283 pep supercontig:CCACVL1_1.0:contig04987:7101:12637:1 gene:CCACVL1_01638 transcript:OMP06283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNRWKDIQRKAVSTIRLSLTTEIKYDVLDVKTPKELMDKLESIYMSKSITNMLCLKRELFGLKMKVGTSLRAHLNEFNILVTQLASVDEVMKEVDKAVLLINSLTERYDPVIRALMVGRKTLSLQDVTSAIFEYDRLKETEKKDEENGALMVERGRTNGRDYKDEARSKAYCKKLKEDLGDLMKSKEKNNGGVNVAAADNEEYSDEDVVLMVQEEKKDTRDIVKMLGNVRYVPNFTRNLISFGKLDSLGYGYSCRCGGLKITKGSMIVMKGVKNSKNLYELIGSTIRGDGSAIKILDDEMQCDIIKIGNLVHKKAARKSAATTGGVKKPHSYRPGTVALRGLSVKLPQDFKDKYKSLVVEKYGQEAAETAQFDANVWKSAGGVRKRGQLYGLGYLQNFKRLHGSSSSTNTEAPTNASSQQTVLSNEAIQELFKKNLDEQLPRHLQALGYKPIDPPTSGGTEVPSSTVAVNQGGGSENIEAGTSSPNDPIQHRVSEGNEANVLDDNEGSRDDGDIGRRW >OMO89310 pep supercontig:CCACVL1_1.0:contig08780:24233:31517:-1 gene:CCACVL1_07924 transcript:OMO89310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MPFFAALSAIREIVVSKLFDAFLDKLSSYEFLQFATEKQICQAMEKLRKELQEIRAVLADTEERQLKDQCVKIWLSNLQNLAYDIDDILDEFATEMLRQNLMMERRGSSSKKPKLMISDSFNAVMFNRGMMSKINDVTARLKDLEPQKNQLQLRMFGDKKSRRMEPRILRPTSSVEIETHVYGRDQDKEAVLQALLQNDGEANFVIPIVGMGGIGKTTLAQLVYNDARVKNHFDLQAWVCVSDDFDVTRISKQMLESITSDTCNNHNSLTSLQEDLKKTLSEKKFLIVLNDVWNDDYHKWTVLQSPFLKRTPGSKVLVTTRNRTVSATMGATQAHFLEVLSGDDCLAILAQHALGASDFGGHPCLKEVAEEIVRKCNGLPLAAKTLGGLLRTDVDVDAWKDILESDIWKLSESHQCGIIPALQLSYHHLPSQLKRCFGYLSIFPKDYELEEEEIILLWCAEGFLQQAGDKLYIENLGHKYFRDLLSRSLLQISNKDNSDRFVMHDLIHDLAQSVAGEICFRIEGDKQISKHTRHLSYMDVGYESEMDSEQDYTKNFDSICEVKHLRTFLIYHLPINNVLIANLLSNLKCLRVLALREYRITTLPDFIGDLNKHLRYLDLSWTHIQSLPESICTLYNLETLLLRGCSNLKKLPSEMDNLVNLAHLNLIGSHRLEGMPSNFNVLTDLQSLSNFVLGKGKGCQIRELKDLSNLKGQLCISGLENVVEIGDALKAKIRDKSGIDNLQLVWSESFESRNGEAEEKVLDFLQPSERVKELAIMNYGGSVFANWVGNSSFTSLLSLCMKNCRNCLSLPSLGQLPLLGKLWIKGMHSITKVGVEFSGENMRNISFPSLEILEFKDMPVWEDWNFSEVCTEAKKFPRLRNLVIKDCPKLLGGFTTHLPSNLEILAVVNCKNLVISIQSLPRLSYLMIRGCVEVVYKGFEGHSALQVISFSSISKLTCVAECGRLEKASTTLHELTWLKDLQLIYCHNLISLSKSNLLLNVKKLLIGYCYNLRYLLEEGESSNMISNACVIEELRIFNCPSLVLLSSRGELSINLKELRIGNCPRLESIAQEIEHNSSLELIEIHRCVSIKYLCQSLPTTNLKVLCLQDCSQLQALPDGMHNLKHLERLEIKECSSIAYLPEEGLPTSLRELTIEGSNIYKPLIQWGLHRLTCLRSLDIDGGDADAVSFPQEEIGMILPSSLTTLHIRNFTKLQILSSNGFRNLNSLEDLRVYNCQNLKYLPEKNSISSLLFLDIWWCPVLRERCEEDKGAEWPKIAHVPHVRRLSQGVELIKGIIEIHQLGSSVTRNVCRKLTMMHETVIPIGDELLHFSFNADCGDALGLENVDDSHHVEEAEIHDKSNLTSLKLEWTTTDQNQVMNRDKAVQVMNFLRPHSNLKELTVDGYTGAIFPAWEWNHNEVDEQAGNLRCLNMLSMVWVTMYWVGFGF >OMO89311 pep supercontig:CCACVL1_1.0:contig08780:34247:35747:-1 gene:CCACVL1_07925 transcript:OMO89311 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative disease resistance RPP13-like protein 1-like protein MEGSDTRFVRENRGRRKTATWSVIQGSAYFAIPYKEKLPSNLEKSLSSHGNLPAKLQCLEIRDCQNLARLSSKNKLPHGLQRLQIDNCPKLTCLSSSRNLPVGLKELTISRCGNLLSITQGPSLLNNICLESLIISDCKKLHSLPEGLNGQENLKRVWIIYCPSLASVAESWSQAVRLKEFRLIGCHKLQASFYFKGLEELEISDCPGVTVSLDDRTRSLTSLYIADLNVYKPMAESGFHLFINLKRLTIERCCPDTISFPKYELRSIALTHLTLRDFPKLRFLSSNGFEYIGSSLKYLSIIECPKLRFLPRKDAIPNLVQLQIDDCPLLKKQLRHANSFERLKIAHVSYVQIDDLMLDR >OMO87145 pep supercontig:CCACVL1_1.0:contig09311:16339:17190:-1 gene:CCACVL1_09241 transcript:OMO87145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSVHSPGHWPRLIFAMAFCLAAITSAVAYEPYVYSSPPPPKHLKHDKPYHHHKSPPPPTYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPMKSPPPPAYYYKSPPPPPKGY >OMO87143 pep supercontig:CCACVL1_1.0:contig09311:5373:11953:1 gene:CCACVL1_09239 transcript:OMO87143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MGAGRKTQTIIVSEKAEQSYTVNCSTSARNLRKTDIAGVIFGCKHSTYAECLSKQLFGLPGQHYSYVKNIRAGLPLFLFNYSDRKLHGIFEAASSGQWAINPSAWTDDDSEETPYPAQVKIRIRRQCQPLLEDQYQPLIADNYYCEPKLFWFELDQAQTNKLISMFSSSLVTIRPSASLSKKTEKMNAQFKALPAPNSKQEPEDRSASQPGGSNMNLSSTGGSTLDPSVRPSYSSVVRSVSSADAHTTESNVGRFTSEDPTSREVNQPFTCSIQNEMVSNDRQKKWSSLFKKEDICSDVTKEGEKFYSPAADSADLSDGDWESLCLPHCWDEDTEVVKSSFHLEDCGKYGEGASLKPNHEDFHSSMVTGPSTASLHNCSLQTLPIARLGQDNGCFQLAASEVNLPLTENFHDELTSSCISLLEEEKHHLEVPLQENALKLPGEDMLFKSDQRSSMLSFVPQDILPTHNQLKDTEVQFNNLPFSGAALTSKINSSSIESIVAKLLIEVEELRLSQFEQAQKINYLEQKLLESRSEIPQLHDQSGRPGIGFTTNCVEADDLAEKFYTADSCHYPAFDAKICLVGGFDGCKWTPALDIYSSSEDLMRTWTSMSFVRSYASSAKFNNQLYILGGVVDGNLWYDTAEVNGTLYVAGGYNGKEYLKSIERLDPRGHSWEQLGSMSTRRGCHSMVVLNEKLYAIGGFDGTRMVPTVEVFDPRAGSWIMESPMNYSRGYFGTVVIEDEIHAIGGLESDKQVLDNAESYKIGQGWQVNNWNSIGKRCFFSAVLI >OMO87144 pep supercontig:CCACVL1_1.0:contig09311:12821:13912:-1 gene:CCACVL1_09240 transcript:OMO87144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGEPVYWPHLVYALAFCLVATTVVANYPEHHFGYPPYKYTSPPPNHPWFPPYHNSPSPPKHSWHPPYFYKSPPPPPSYSYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYLYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPTKLPPTPYYHKSPPQPSPVLPPSPQHKHPYLPPFHHEHPPFHYKSPAPPAKTHRYYYKSPPPPKGY >OMO87146 pep supercontig:CCACVL1_1.0:contig09311:25193:27354:1 gene:CCACVL1_09242 transcript:OMO87146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFFNTTLFPTSKSLTCCRSSPETQISKTPQKPQETPIFKIKGPSTLSGHITISGSKNSSLALLAATLCCSGSSLLHNVPNVSDIKAMASILSSLGAEIEAFDGKMMVNSDGIRKVEVDLEEMKKIRGGFFVLGPLLARFGEAVVDLPGGCNIGKRPVDLYLRGLSALGAVVELREGKVWAHAANGRGLVGGRFRLDYPSVGATETLLMAASLADGVTVLSNVAKEPEVVDLARFLRDSGASIEGAGTETIVIRGKSRLHGSECVITPDRIEAGTFILAAAITRSCISMSPVSPSHISCLIHKLMKAGCRISQSDQQTLEVSAVPTYIGGNLSGFDIRTSPFPGFPTDLQPQTMALLTTCSGSSLVEECVFDKRMSHVRELQKLGAKIQVCESTALVLGKQNGSSLRGSHLVASDLRGGASLILAGLAAEGTTEINDIAHIDRGYENIDWKLRRIGADIERLAPAGHPL >OMO59938 pep supercontig:CCACVL1_1.0:contig13839:52280:52447:-1 gene:CCACVL1_24524 transcript:OMO59938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVSKKCAALRYSIETESNSRQGKTYQDNKVRAKNFAAKSKQSIISISVQLDQS >OMO95023 pep supercontig:CCACVL1_1.0:contig07751:8703:10335:1 gene:CCACVL1_05637 transcript:OMO95023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSGAASSDDVKTCPRGHWRPAEDEKLRQLVQQYGAQNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEERLLAAHRIHGNKWALIARLFPGRTDNAVKNHWHVIMARKQREQSKLCGKRSFQDALNNCDSNKLTNSAAFYPRKSRLGFGVLGDSRCTFEFQQNPSNNNNKDRIFSVSSSSTTTSSPSWTFASSTIMASSNNSSSRRSDHGKDYLWASGSSCYNYSMETSRFLDQSLYNKYHHHSNSNSNASAYNCSSFRNSSSALIGLQNYRRVVPSPFGYLKLAGDNYQSNNGMMNKELMSFTDNNAPKSTLANIRVSNSQQEQDQDDQSIKHKKDVPFIDFLGVGISS >OMP11450 pep supercontig:CCACVL1_1.0:contig01276:692:775:-1 gene:CCACVL1_00510 transcript:OMP11450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATALQIEFIRGKTSRAREVVPFGHVGP >OMO86350 pep supercontig:CCACVL1_1.0:contig09500:13019:16763:1 gene:CCACVL1_09624 transcript:OMO86350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor P/YeiP, central MKAFGVGKRLCGSLSFLLKSNASNPTLPALSPSFLHPLLSSPWSASQQRGAKVNAIHLRPGNVIEKSGRIYQVVESEHKQRGRGGAMMQLELRDVDNGNKVSLRFGTEEPVERVFVEQKSFQCLYTENKTAFLIEPETFDQLQVPLDLFGKSAAYLKEEMKVTLQLFDGRPLSGSVPKHVTCIIKETQTPMKGVSATPRYKKALLDNGLTVQGPTVSDWSPDRIGLKFMDFKVFLFLVEFAHYHCYRVPPYLDVGEEIIISTEDDSYISRVKFIYVMSVIKLGSSSFECQNHLD >OMO86349 pep supercontig:CCACVL1_1.0:contig09500:78:2344:-1 gene:CCACVL1_09622 transcript:OMO86349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLKRKSGEETSSESSQPQKQQKGEGLVTAEEAVGCVHDVSYPEGYVPSTSSTVPAASADSKPAKEFPFTLDPFQSEAISCLDKGESVMVSAHTSAGKTVIALYAIAMSLRNKQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIDPNASCLVMTTEIWRSMQYKGSEVVREVAWIVFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHQQPCHIVYTDYRPTPLQHYIFPAGGDGLFLVVDEKGKFREDSFQKALNALVPASESDKKRDNGKSQKGLVIGKVSEQSDIFKLVKMIILRQYDPVIIFSFSKRECEFLAMQ >OMO59018 pep supercontig:CCACVL1_1.0:contig14066:646:3983:-1 gene:CCACVL1_25156 transcript:OMO59018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MAYNIAIDQENVGTNNNLNHNDPMDVSNHNDEEGLLPDSIATNQDYLAWISVVTNFILAIPSLVFDQLSSKEHHQYALASMNCIGAIDGTHISASVSVDKQIPYCGKKIETTQNVMCACSFDMRFTYVMAGWEGTANDTRVFLECISNSQNNFPMPPIGKYYLVDSGYTNMPGFLSPYRGERYHLRDYRGRGRQPTGPEEIFNHRHSFLRNCIERCFGVLKARFPILKVMPPYSSRMQRYIVIACCTVHNFIRTHRIRDLMFEEFGRDDLIIDEDETLRAKTSQNHVEVNVTASQLQQMARVRDEIATQLWKNSQNT >OMO59019 pep supercontig:CCACVL1_1.0:contig14066:5926:7236:-1 gene:CCACVL1_25157 transcript:OMO59019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNSRYYEILGVPKKATQEDLKKAYKKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKRQVYDEYGEDALKEGMGAHDPFDIFGPGPGRGRRGEDEVHPLKVSLEDLYLGTSKKLSVSRNVLCSKCNGKGSKSGAASMTCPSCQGCGIKVSIRHLGPCMIQQMQHPCNACKGTGEAIHDKDRCTRCKGDKVVQEKKVLQVFVDKGMHNGQKITFPGQADEAPDTITGDRVFVLEQKDHHPRFKRKGEDLFVEHTLSLTEALCGFQFVLTHLDGRKLLIKSQPGEVVKPDSFKAINDEGMPVYQRPFIKGKLYIHFTVEFPNSLSPHQIKTLEEAILPQKPTSQLTDMELDECEETTLYDVNIEEEMRRKQQQAAQEAYEDMPGGAQRAQCAQQ >OMP11783 pep supercontig:CCACVL1_1.0:contig00882:7846:9190:-1 gene:CCACVL1_00268 transcript:OMP11783 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase, SpoU MAIESYVVVHNIAKRHNVGTLARSATAFGVSEIILVGRRDFNAFGSHGSTSHLRFRHFHSLTDACRYLKEKDCDICGVEITEGAVSVTDHPFKRSTAFLLGNEGTGLSAKECEICDFFIYIPQYGGGTASLNVTVAASIVLHHFGDARVYVLLRSLSCRSSYTWDCAVWAGFSERIREGNKFVVAERPLNQGSRKYCKETDDSIIEERKSRRENASNGFFEDGQNGVPSTNLLDSLFPDE >OMP11782 pep supercontig:CCACVL1_1.0:contig00882:4876:6677:-1 gene:CCACVL1_00267 transcript:OMP11782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MQSRKIQGMFCSNQASYMLSIVRRKLLQLCSRIRLLIRKRPRSKVIIRRLGRLSSKNHRKGDLGPKNSDIDLYGNGKMGFTNPKRPIRIATFNVAMFSLAPAISEAEEAGLFSFGEENYMGLRSPFEFNIHNKSPNCYPKGILKQSPLHNAHLSPESLAKQKKVSRSKLKVSINLPDNEISLAQSKLLSFMEDGSSIASRINRNNVIMRSPVCLPSTMLNFWNEGSLKSSRSIAEVLREVDADIIALQDVKAQEEKGMKPLSDLAAALGMRYVFAESWAPEYGNAILSKWPIKRWTVQKIADDDDFRNVLKATIEVPWAGEVNFYCTQLDHLDENWRMKQIKAITEIKNSAPHLLLGGLNSLNGSDYSSERWTDIVKYYEDIGKPRPRTEVMKLLRGKEYTDAKDYAGECEPVVIIAKGQNVQGTCKYGTRVDYILASSNSPYNFVPGSYSVISSKGTSDHHIVKADIVKGSEKSQQNVIKRHRKPLQKFVQVTNSSCSAGIWKINA >OMP11781 pep supercontig:CCACVL1_1.0:contig00882:2620:2979:-1 gene:CCACVL1_00266 transcript:OMP11781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVGEKHEHQRKQQRQWRQHDKQEKSLGIRRKRRVKTLNSVWFQQDQNSKHNQGEESMDEVAKIKLISGQIQGFGGSRRPDTINSRHPIVSCAVAAGVTVGEATETAEETAKGNESAG >OMO50869 pep supercontig:CCACVL1_1.0:contig16037:66501:66623:-1 gene:CCACVL1_30193 transcript:OMO50869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGKTQLMAMVGVKAMVMVANKAMEEDRVEMFYLFWQNY >OMO50864 pep supercontig:CCACVL1_1.0:contig16037:23274:26615:-1 gene:CCACVL1_30188 transcript:OMO50864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSKKVANYLKREVLGEGTYGVVYKAIDTKTGKTVAIKKIRLGKQKEGVNFTALREIKLLKELKDPNIIELTDAFPHKGNLHLVFEFMETDLEAVIRDRNIFLSPADIKSYIQMTLKGLAFCHKKWVLHRDMKPNNLLIGSNGQLKLADFGLARIFGSPDRKFTHQVFARWYRAPELLFGTKQYGAGVDVWAAACIFAELLLRRPFLQGTSDIDQLGKIFAAFGTPTASQWPDMVYLPDYVEYQYVPAPPLRTFFPMASDDALDLLSKMFTYDPKARCSVQQALEHRYFSSAPLPTDPAKLPRPTPKSRASDSNPQEGPTVLSPPRKSKRVMPDRERFGGDSDQVEKRQA >OMO50863 pep supercontig:CCACVL1_1.0:contig16037:1388:14189:-1 gene:CCACVL1_30187 transcript:OMO50863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVESCNDQVTLGLHEEANHYKGSKCCEARIYYAYAYNRRLSYGGRRLSMKADTFGY >OMO50865 pep supercontig:CCACVL1_1.0:contig16037:27195:27482:1 gene:CCACVL1_30189 transcript:OMO50865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQANSPIQSSPSLLTRSLGNPGETTTILDYPLSLLSTVTNLRNMESLKTLSLLFHHVPVRDEDCCFPTGYSSQPSSKLQQHSILLDLSRTGLCL >OMO50866 pep supercontig:CCACVL1_1.0:contig16037:30193:33414:-1 gene:CCACVL1_30190 transcript:OMO50866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAASVFLFLVLFSFVLCSCHQALGHDDDQSEFFNLMKGSVSGKPLSDWEGTSFCNFTGITCNDKGYVDSINLSGWSLSGNFPDDICSYLPELRVLDISRNKFHGNFLHGIFNCSRLEEFNMSSVYLRATVPDFSRMTSLRVLDLSYNLFRGDFPMSITNLTNLEVLVSNENGELNPWQLPENISRLTKLKVMVFSTCMLYGRIPASIGNMTSLVDLELSGNFLSGQIPKELGMLKNLQGLELYYNQHLSGIIPEELGNLTELRDLDMSVNQLRGSIPESICRLPKLRVLQIYNNSLTGEIPGVIAESTTLTMLSLYGNFLSGQVPQNLGHASPMIVLDLSENNLTGLLPTEVCRGGKLLYFLVLDNMFSGKLPGSYANCKSLLRFRVSKNHLEGPIPEGLLGLPHVSIIDLAYNNFSGPFPNSVGNARNLSELFVQNNKLSGVIPPEISRARNLVKIDLSNNVLSGPIPSEMGNLKYLNLLMLQGNQLSSSIPSSLSLLKLLNVLDLSNNLLTGNIPESLSALLPNSINFSNNKLSGPIPLSLIKGGLVESFSGNPGLCVPVHVQNFPICSHTYNQKKLNSMWAIIISIIVITIGALLFLKRRFSKDRAIMEHDETLSSSFFSYDVKSFHRVCFDQHEILEAMVDKNIVGHGGSGTVYRIELGSGEVVAVKKLWGRTEKDSASADQLVLDKGLKTEVETLGCIRHKNIVKLYSYFSNFDCNLLVYEYMPNGNLWDALHKGWIILDWPTRHQIALGVAQGLAYLHHDLLPPIIHRDIKSTNILLDVNYRPKVADFGIAKVLQARGGKDSTTTVIAGTYGYLAPEYAFSSKATTKCDVYSFGVVLMELITGKKPVESDFGENKNIVYWISTKLDTKEGVMEVLDKQLSGSFRDEMIQVLRIAMRCTCKNPSQRPTMNEVVQLLIEADPCRLDSCKLTSNKTKEASNVTKVKNNQSEV >OMO50867 pep supercontig:CCACVL1_1.0:contig16037:39753:42962:-1 gene:CCACVL1_30191 transcript:OMO50867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTACSSRNIFVPLLCLFLFCFALPCVKSDELQILQNLKSALNKSSTPNVLDSWEPSANSVCNFNGITCNAQGLVKEIELSNQKLTGVLPLDSICQLQSLEKLSLGFNLLYGAITEDLNNCSKLQYLDLGNNLFTGPFPDISSLTELQYLYLNSSGFSGTFPWNSLKKMSGLSVLSLGDNPFDRSPFPDQILQLKNLTWLYLANCSLEGKIPPGIGDLTELTDLELQYNYLSGEIPVEIGKLHKLWQLELYSNGLTGKLPVGLRNLTKLELFDASSNNLEGDLSEVRFLTNLISLQLFENLLTGEIPPELGEFRKLVNLSLYTNMLTGPIPQKLGSWANFDYIDVSENSLTGPIPPDMCKKGTMRGILMLQNNLTGEIPATYANCTSLLRFRVSNNSLSGIVPSGIWGLPKVNIIDISFNRFEGPITSDIKNARQMGTLSAEHNLLSRELPEEISEATSLVRIELNNNQISGKIPGGIGELKKLNNLNLQNNKLFGSIPDSLGSCSSLSSINMAYNSLSGKIPSSLGSLPTLNSLNLSWNELSGRIPESLSSLRLSLFDLSFNRLTGPVPQSLSIEAYNNSLAGNSGLCSATIKSLKQCPKDSGMSKDVRTLIICFALGAVILLTSLGCFLHLRRTEKDQDRSLKEESWDVKSFHVLTFTEDEILDSIKQENLIGKGGSGNVYKVMLSNGVELAVKHIWNNTDSNGRKKSRSTTPILGKRGEYGYTYKVNEKSDVYSFGVVLMELVSGKRPIEPEFGDNKDIVSWVSSKIKTKETVLSIVDPRIPEALKEEAIKVLRIAILCTTTLPALRPTMRTVVHMLEEAEPCKLVGILITKDGDHKKKESMDSAEDHKFNNLKL >OMO50868 pep supercontig:CCACVL1_1.0:contig16037:49500:51192:-1 gene:CCACVL1_30192 transcript:OMO50868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MHNATAEVVSEAEEVLVVADSIPRSNPGDEGFPILSSELPQQFATLSLVEKQDDAWYLDTGAASDMTSDLGELDTASPYHGLEKVMGKGIQRSDCRRTRLDDMYVLQPKHVNNLTSHAQAFFSNSFRVVSSSVLHMRLGHPQASVVQFLEKNKVIQSSNKSSMPKIYSSCQMGKACRLPFLPSSDFSNTPFEVIHCDLWGPSPVHSVQKNRHYVIFIDECTSFTRFFPLKFKSDFLCCFIKFHKFILTQFEQQIKVFQSDGGGEFDCTDFHAYVVDHGIYFQISCPGTHEQNGLVERKHRNITEFGLTMMIHAAVPKRFWVEAFSTALWLINRLPSKVLNMQSPFQKLFGKHPNYASLRVFGCLCFPYLRDYSNSKLDPKSLPYVFLGNSHQYKGYRCFCPSQNKVHIFRRVVFYEETFPFQQPGNLYRVTNNNLDLAVFNDWFPGSLPAPA >OMO55841 pep supercontig:CCACVL1_1.0:contig14581:12645:13240:1 gene:CCACVL1_26957 transcript:OMO55841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MFYVAGANPQTKEHVQKTWVQNMVGKNWPSLKNCLVRGKVCEAMNNSITTNLDDFMMEKTNPIEDGCCRPPRDCGFEFMNATFWKVPKSGLVKNDGDCKLWNNQPDALCFDCNRCKEAFVGDLRKDAKYIGIGLIVEVVIVLFILSIGCCAKKNNDKNSSYP >OMO55842 pep supercontig:CCACVL1_1.0:contig14581:13648:18431:-1 gene:CCACVL1_26958 transcript:OMO55842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 19, catalytic MGCAAANGGGVAPHLITAELAARASVVAHPHPHPPPTGGSPPPPPPSGGGDDVSSIITQALFDEMLKYRNDPRCPNNGFYTYDAFIAAAGSFKGFGTTGDLTTRKRELAAFLGQTSHETTGGWPTAPDGPYAWGYCFIREVGNPGDYCVASQQWPCAPGQKYFGRGPIQISYNYNYGPAGNAIGEDLLNNPDLVATNPIISFKTAMWFWMTPQYNKPSCHDVIIGKWMPSATDTAAGRVPGYGVITNIINGGLECGHGPDARVASRIGFYQRYCDILGVSYGDNLDCYNQSSFYTGVSAQQRCGNQGGGALCANGLCCSQFGYCGNTPDYCGTGCQSQCGGGSTPSGGSDVGSIITRPLFDQMLKYRNDPRCPSNGFYTYDGFIAAARSFNGFGTTGDLTTRKRELAAFFAQTSHETTGGWPTAPDGPYAWGYCFKQEQGNPGPYCVASQQWPCAPGKKYYGRGPIQISYNYNYGPAGNAIGANLLNNPDLVATDPTISFKTAIWFWMTAQGNKPSCHRVIIGQWTPSDADRAAGRVPGYGVITNIINGGLECGHGPDARVADRIGFYKRYCDILGVSYGSNLDCYNQRPFA >OMO55843 pep supercontig:CCACVL1_1.0:contig14581:24547:25413:1 gene:CCACVL1_26959 transcript:OMO55843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MVRCSNIVLGFMNFLTMVVGIGMLIGLLSIHSHEHWQKECMKLLMHPLLIIAIIILVFSLVGLIGACCESNFCLWIYMFLLTIYLLGLLVAAGFMFYVAGANLQTKELVQKTWVQNNMVGKNWHSLKNCLVRGKVCQDMNSTATNLVEFMMEKRTPIEDNCCRPPQDCGFEFMNATVWKVPKSGLVKNDGDCKIWSNQPDAQCFDCDRCKEAFVGDLRKDAKYIGIGLIFEIVFVLFILSIGCCVKKNNDRNSSYP >OMO55844 pep supercontig:CCACVL1_1.0:contig14581:28743:29369:-1 gene:CCACVL1_26960 transcript:OMO55844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEQNEMIISSSLRSPPPNFVLVKDECLHTSAETKQEVLYVQMVCTAADGGGVAPHPITAELAASVVAAVHINAEAKQEVFYVQMGCAMVGVAPHPITAELPARANVVAHPPAAVDHPLVAAAHPWRRWLTP >OMO55848 pep supercontig:CCACVL1_1.0:contig14581:54982:55644:-1 gene:CCACVL1_26964 transcript:OMO55848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSISVSTQLLQHFLGEFHSRRLLLHTPPLQTPTTAAPPSPENNHNSSDPYTGNNSFDANVVMVLSVLLCALICSLGLNSIIRCALRCSSLVSSESGASNSARLANTGVKRKALKTFPTINYSADLKLPGLDTECVICLAEFNQGDRLRLLPKCNHGFHVRCIDKWLSSHSSCPKCRHCLIETCQKIVGCGQASSSGPPPVQETIVTIAPVAPEGLIHSYR >OMO55846 pep supercontig:CCACVL1_1.0:contig14581:32660:35119:1 gene:CCACVL1_26962 transcript:OMO55846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKAMDFSLINNPSICFPQNPPKLSSKPRLFPVRCSLNLSSDGAATGLAERPWKVADARLVLEDGSIWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEEARECFLAGLVIRSLSITTSNWRCAQTLGDYLAERNIMGIYDVDTRAITRRLRQDGSLIGVLSTEQSKRDEELLEMSRSWDIVGVDLISGVTCNAPYEWVDKTKPDWDFNSDERDRETYRVVAYDFGIKHNILRRLASYGCKITVVPSTWPAAETLKMNPDGVLFSNGPGDPSAVPYAVETVKEILGKAPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNLRNGRVEISAQNHNYAVDPASLPEGVEVTHINLNDGSCAGLAYPALNIMSLQYHPEASPGPHDSDGSFKEFIELMKSSKQAA >OMO55850 pep supercontig:CCACVL1_1.0:contig14581:68294:70909:1 gene:CCACVL1_26966 transcript:OMO55850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein 13 MASSHGNTHTEAAKLEQIITEFFYKSLLIILESRSPYVSSRNYSGEQLLSSPSSSSSSSSSVRPRDKWFNLALRECPSALENIDLCRQSSFEPLVVDVILEQKPLDWEPATFSPKRDLVRNLLSKDKNSFFWNSDQEESGSETKSEKIIERWFVQHESRKGRDCNSGSRRSSSNTLCALYKKLILLLRSLYVTVRLLPAYKIFRDLNSSGQIRTLKLVPRVSSLVDSLTRKEEAEMQRFWFTPVETSCGRLCLSVLYRSSISDISSESSTPMSPQFIPDYVGSPLADPLRRFPSLPVSHSSPSSLPFSRRHSWSYDQYKASPPLVSFSPSPTHSESHALVTNPISRRLPPMPLPPEVAIAHKKNTNFDEYCPSPNFSGSPSPSPSPPIHIPGSHLSKALLRCESAPVNIPAPRLANSPALSSKQNVPPSPPLKITKAGTSQTVSNMGAVQAGATIEKLFSFGKEDCRKYSGSGVKVSSNSSQQISFSRSSSRSLQDEFDDSEFPCPFDVEDDDVTDPGSRPESYDRRGHLCDPHEAGFFMTRKSQDAAVGALVRMLKKAPPLRQDSSSSMNFSEASRPETWSNSVQDQNKISEAVTVEHAASSSIASSKFIASKTTADALEELRGYKEIKNLLLSEGSKSFTSANYASGAGYSSKGI >OMO55840 pep supercontig:CCACVL1_1.0:contig14581:7148:9472:-1 gene:CCACVL1_26956 transcript:OMO55840 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate synthase beta chain protein 2 MAIAENGEFKTETSGTNKRRFEDDSYAYIVMEKFYNVYLLFCLFIQCLVAEINNYPSKVIDSSARTLPRRQPRNQGFQKLFFGQEEIVIPVHSIPAASSMAALKQPVFRVVAILAGGDPESDTKQLIAYARSNNKVSKMMRAELANESDLCII >OMO55849 pep supercontig:CCACVL1_1.0:contig14581:59856:60280:1 gene:CCACVL1_26965 transcript:OMO55849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRLFQQSFGQFQIRRRSPAANSDKHITQAVSKPGSFNSVVYLTVGNAFNAFCSTPAFSNLAAATTPERFRAHLTIQNNPKADIKLHMRTNSKMTTFESKPVFMARVFC >OMO55851 pep supercontig:CCACVL1_1.0:contig14581:76033:78405:1 gene:CCACVL1_26967 transcript:OMO55851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYKSLITITIALAMLCNHGVSTRGLSGMNETEFMAESKSINRHATIKTIKTQFGDIFDCVDIYKQPSLLHPLLKNHKIQMRPSYLKRNSTEDSISTESNSLPSNLAKMFGLGKGCPKGTVPIRRKVVKEGITRSINAYAGIVIHPDEGKRFKGAAAGLNVYQPSPVVSGQFSGALIEVFAGYVSNVAYIHTGWMVNPSFYGDAQTRFFIEWQEETDGEISGCFDMECPGFVQVDTNLPVGAAFSKVSKINGEQIVSDMRLTMVKLIKPLLIYVFY >OMO55845 pep supercontig:CCACVL1_1.0:contig14581:31504:32238:-1 gene:CCACVL1_26961 transcript:OMO55845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIVKIPKPLATLSHQLLQRCSVSGTAKGKAKIKGGQTLKRSRIATKKGKGAAADDFPKGGRVVDEKQKLYEQCLNAPTPVRYLPPKERAREAEREKMGLISKERQRELDILKKGGRKAMGVPDEPVIMGTPGLDFITLGIVDADKIPKYELTVEDGRRLAKEYSRVLMRKHRARQAAETNLLNMKKAAIEALPEKLKQAALVPDLTPFPPNRFMATLTPPIEGYMEKVAEAARKSTGKQKLR >OMO55847 pep supercontig:CCACVL1_1.0:contig14581:39003:45483:-1 gene:CCACVL1_26963 transcript:OMO55847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKHLWGIGCKGIKIRVVNESELYWQTFTGTALQLEPSMLGRSLRKIRPTLWAFPSYSPAAVKQMHYALDQLTSSSSDEQEIVSVPDKIQYGDQFFELDRVEVVQTLNSFIKQPDKALSFFNQLKESGFSHDVCTYTGIVKILCHWELNRKLDSVLLEIIREEKCLGFDVMDLFEAFEKGLEGEGSKLLVRLSDALVKAYASNGMFDEAIDILFKSGRHGCVPNIFSFNFLMNRLIQYGKVDMAIAIYQQLKRLGLEPNDYTYVILIKALCKKGNLEEAVDVFREMDEAEVIPNTFAYTTYIEGLCMHGRTDLGYEVLKAWREANVPLDPFAYSVVIEGFCKEMKLNIAEDVLIDAENHRVVPDVFSYGALIRGYCQCGNIVKALAVHDQMLSKGIKTNCVIVTTILQSLCQMGLDFEAVNQFKEFRDVGIFLDEVCHNVVVDALCKAGKVEEAVELLDEMKRKQISPDLINYTTLINGYCLQGKLEDALDLFEKMKENGHEPDIVSYSVLAGGLARNGHAREAVSLLNYMEGQGLKRTTLIHNVIIKGLCVGGKVKEAEAFLDSLPEKCVENYAALVDGYCEACLTKEAFKLFVKLSKQGFLVKKATCSKLLSSLCMEGDCGKALKLLKIMFSLNAEPTQRMYSKLIGSFCQEGNLRDAQLLFNVMIEKGLIPDLVIYTIMINGYCKMNLLQEALDLFNDMKERGIEPDVITYTVLLNSHTKVNSRSRSTPDMTQNKDVKIIDASTFLSEMKHMGVKPDVVCYTVLIDQYCKTNNLQDAIRIFDEMIDSGLQPDNMTYTALISGYCKRGYVEKAVTLVNEMSSRGIEPDTCTMLTLHRGVLKAKRVVRR >OMP03156 pep supercontig:CCACVL1_1.0:contig06138:11964:12395:-1 gene:CCACVL1_02540 transcript:OMP03156 gene_biotype:protein_coding transcript_biotype:protein_coding description:UspA MVTPPARKVMVVADPTPHSAAALQYALSHALLEHDELILFHVENPNSWKNTLTTFLRKPSFSSGATPPPATVPDGASSNDVDFLDQMRRACEIAQPKIPVRVEKSDTDGKDKATAILSKTKTLGIDLLIIGQRRSLSSAILGG >OMP03155 pep supercontig:CCACVL1_1.0:contig06138:9314:10994:1 gene:CCACVL1_02539 transcript:OMP03155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec20 MDKVVEEVEKTKKEWEEAYAKTQEHVKEIQEYGKSTVEESKNKNSLPRLNGLAQDGLALLNSLVFNLDLLAPQLPTDEEVQSAKALLESWKSQYQSLRLSLRKANLQAKDNMRKTAQRERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRTRQLMVQEVERSTSTLMTFEDSTGVLRKAESEYKGHRSLLMRTRNLLSTMQRQDVLDRIILIVGCILFTCAVLYVVSKRMGIL >OMP03154 pep supercontig:CCACVL1_1.0:contig06138:908:8670:1 gene:CCACVL1_02538 transcript:OMP03154 gene_biotype:protein_coding transcript_biotype:protein_coding description:GIY-YIG nuclease superfamily MYWLATRNAVVSIPRWRSLALLLRSPLPKYTSFNLSPLLLDREFRQIYCFKNDKILRGTSKVTRKLKATNKGLDEKNISHIVWWKERLQLCRKHSTLNLIQRLVYSNLLGVDDNLKNGSLKEGSLNSEILQFKSKFPREVLLCRVGDFYEAIGIDACILVEYAGLNPFGGLRSDSIPRAGCPVVNLRQTLDDLTRNGFSVCIVEEVQGPTPARSRKGRFISGHAHPGSPYVFGLVGVDHDLDFPEPMPVVGISHSARGYCLTLVFETMKTYSSEDGLTEEALVTKLRTCRYHHLFLHSSLRDNASGTRRWGEFGAGGLLWGECTARHFEWFEDPMTNLLYKVKELYGLEDEVTFRNVTVPSENRPRSLHLGTATQIGAIPTEGIPCLLKVLLPSNCTGLPALYIRDLLLNPPAYEIASTIQATCKLMSSIKCSIPEFTCVSPAKLVKLLELRETNRIEFCRLKNVVDEILHMHQNTDLKEILKLLMDPTWVATGLKIEYETLVNECEWVSERIGQMISLDGENDQPISSYANVPDEFFEDMESSWKSRVKKIHIEEEVAEVERAAEALSLAVTEDFFPIILRIKATSAPLGGPKGEISYAREHDAVWFKGKRFTPSVWGGTPGEEQIKQLKPALDSKGRKVGEEWFTTMKVEGALTSYHDAGAKAKARVLELLRGLSTELQSKINILVFASMLLVIAKALFAHVGEGRRRKWVFPTLTGFNSSKGVESMDETKGMKIIGLTPYWFDVSEGCAVQNTVDMQSLFLLTGPNGGGKSSLLRSICAAALLGICGFMVPAESAFIPPFDSIMLHMKSYDSPADGKSSFQVEMSELRSIINGTTSRSLVLVDEICRGTETEKGTCIAGSIVERLDEIGCLGIISTHLHGIFALPLNTKNTIYKAMGTEFIDGQTKPTWKLVDGICRESLAFETAKKEGVAVAVIQRAEELYSSVYAKGVSLEGFNKRVDQVGSERDKLSSSRAQPVSLSNKSKPTNKMEVLRKEVESIVTLICQKKLMELYKQRNTSELPVLNFVAIAAREQPPPSTIGASCVYVMFRPDKKLYIGETDDLDGRVRAHRSKDGMQNASFLYFIVPGKSIARQLETLIINQLLSQGFSLTNLADGKHQNFGTSNLSLGGVTVP >OMP03503 pep supercontig:CCACVL1_1.0:contig06058:168:806:-1 gene:CCACVL1_02394 transcript:OMP03503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MESIVIERRAKWKNLKQKLGFKAMGCCAASWSPRARISTISSILDEDEDEDEDEDDQAITRRINNNRRIQNQITENIINNSASTPLLLGQQQSTAGSGSGMNLAMALAAERNLRGMNVGPSPPTEKEKLQVKTLMRLIEETDGVEWNKKKDDNNKIVGGCDWMCCVCMERKKGGALIPCGHAFCRVCSRQVWLNRGSCPVCNRSILDVLDLF >OMP03504 pep supercontig:CCACVL1_1.0:contig06058:3835:8887:-1 gene:CCACVL1_02395 transcript:OMP03504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKAWKIIPRPLLETILSNHAQHHRVPQPLILHGPRGVGKTTLILDRLLGEWNKGPHLTGYVDFAQSIKDHHPNFDGSFPWTSWSACDLPSVSNCQTQLETCLESMAYKGIKLGTISSNQIFSTLNKWHGLSTALRRILNQNSSKTAVSEKVSSSGLWDRAVFALSARSNAEEIDGVLGFDEKGKSLSIDEASYFREAIVALRLAKEVIKMQQRWRANAIADLNRSGQFSRSLANSCTDWPCLLIELLSQAAEIDHFQPKLVINNIEILLNAILTDDSTVCGSMYHDSLIWRIIALGANERCLPVVLVTSNSYYSYQAFMDFGFPDIFISRETFGWTPQEAKMHMVTDYFTHAEWMVIDDVLGPNPRHLFELYALKQTNHFRKLMDEEASTFEDIVDAYLAYLQVTVVNPSMEKALTLLQKFAIDARSGKIVEDRLRFGAPWRHPPSSDDPTACMDWAKIQLIDFVQSLANTEFGINYLADCSLEILDDPAAVALVEVGLLYAQRDPSFIRPITKGIQRCLARWLVQERMQLSHRNWQRFIWQRVIRGRSYRHLMLQEGYNK >OMO97900 pep supercontig:CCACVL1_1.0:contig07188:54:673:1 gene:CCACVL1_04409 transcript:OMO97900 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate receptor 2.7-like protein MRRVKTEFAKKKRKKKKKGELYEGPANKVTTLRISGLWDWRIPSFGEEACLLFPMVGELCLGNASATKIFVNLDIPETQEFKIRQADDEGPVELLAEMESSQFQLNSTGDHQFGRAR >OMO97902 pep supercontig:CCACVL1_1.0:contig07188:30354:33163:1 gene:CCACVL1_04411 transcript:OMO97902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCGYGLAAIPGSDARVFTPGGNSVLRFQKPINPTRIQFCSFKIKAKIDEARKEMSFYELLGIPESGTLPEIKQAYKQLARKYHPDVSPPGFVEEYTERFIRVQEAYETLSDPRRRALYDRDLASGLHLAFSARRRYQYDEDLDERGEWKNRWQSQLSELKRRSMNKDARGNMSWGARMRRQRDGLSNE >OMO97903 pep supercontig:CCACVL1_1.0:contig07188:37039:37509:1 gene:CCACVL1_04412 transcript:OMO97903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALMNILITMISTLFIAGAIIPAKSVTEPDVVYYASAPIPNEDDHGNDQTKSSPQFFVLWKRCKNSVTPTCKKQIDEAIINDDRTILKVTNHCCQRLILSGKSCLDVFVKSIIDVTSCNEEGDSYELWKRSQKLWNHCASEAADGPVSSPLPSQE >OMO97904 pep supercontig:CCACVL1_1.0:contig07188:38165:39058:-1 gene:CCACVL1_04413 transcript:OMO97904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MSWAHLLGDAFSASDFITSWGQFMAKLKNHGPFKFPKPLEKPADPTQYAKEEPLSAKQVNPVGDLWVTANNCKMETFSFCLTKPQLSNLQAKISSGAEDRRSRLISPPFESVCAVIWQCIAKVRQGLFEPQIVTVCRKNPKHDKNGVPSNSQIISAVKADFSVMGADLKKLAMLLSESNNQGMDERNKIEAALEKDDGVSDYIMYGTNLTFVNLEDASLYELELKGQKPKFVYYSIHGVGDEGAVLFLPGPPKDSDSTENNVEERLISITLPEDQVLKLKIELKKSGLLVENDHELE >OMO97901 pep supercontig:CCACVL1_1.0:contig07188:16075:20085:1 gene:CCACVL1_04410 transcript:OMO97901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRNSTASKPIWMKQAEEAKLKSEAEKAAAAKAAFEATFKDVDKNRTKDVAAASSDSESEDTSDLVNKPIGPVDPAKCTAAGPGIAGGTACAASTFMVVTKDADGRKVQTGGAQIKVKVSPGVGVGGSEQEGIVKDMGDGTYTVTYVVPKRGNYMVNIECNGKPIMGSPFPVFFSAGTSTGGVPGVTPASTYPNLVNQTMPNMPNYTGSVSGAFPGLLGMIPGVVSGASGGAILPGMGASLGEVCREYLNGRCAKTDCKLNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQAIVAAQALQAHAAQVQAQAQSTKDSSDSPDKAAKADALKKTLQVSNLSPLLTAEQLKQLFSFYGTVVECTITDSKHFAYIEYSKPEEAAAALALNNMEIGGRPLNVEMAKTLPQKPAISSLASSSLPMMMQQAVAMQQLQFQQALLMQQNLTAQQAANRAASMKSATELAAARAAEISKKLKADGFVTEEKETKSKSRSPISYRRRLRSKSRSPINYRRRRRSRSYSPPPRFYRDRRSKSPVRSHHRSRYDSERRSYRDRDDSDRSRRRDMDRSRGRHSSVSRRNISRSTSPRTRKSPPADSDSPKHSRDSSPRARRSSRPGSRSPRPHRRSRSSPKNDDETKLKYRKRSRSKSVDSDEKRDGKSKHRSRRRSRSLSSDGKHRGRSRSSARSSEENKSKYKDETRKDESRHNDRRRSRSASAEGRRYTKERSNRSRDKKSKHRDRRWSRSRSAEGKHRKGSKLSPRNSDENRSKHRSRSRSMSTEGKHRSSDKLDERSKRHERKHLSSAEGRHHRGSRSSPRSSEVNDSRSRRCSRSKSAEGKYCLNGDDGIYVSKEEAYDSKELVNDDQESKRKYLQKVCSDEGLSPDSRLDADEISKLERSSSKHQPDSNGSDLSISP >OMO51869 pep supercontig:CCACVL1_1.0:contig15689:79684:81507:1 gene:CCACVL1_29543 transcript:OMO51869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSYSYSPSPPRGYGRRRRSPSPRGRYGGRGRDLPTSLLVRNLPLDCRPEDLRGPFGQFGRLKDIYLPRDYYTGEPRGFGFVQYLDPADAADAKYHMDGYVLLGRELAVVYAEENRKKPSEMRARERVRDRAYRRSPHRYSRSYSRSPDYYSPPRRRHYSRSISPRDRRYRERSYSRSPYGSRSPSRSFSRSRSRSLDYSR >OMO51870 pep supercontig:CCACVL1_1.0:contig15689:82341:83372:-1 gene:CCACVL1_29544 transcript:OMO51870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVELLPDLLPGLPEEIGLECLTRFHYSTHRVAARVCRQWQELFQSRQFYYHRKQTGHTQKAACMVQLSKTGSDPDGCKPVGPPRYGITVFEPATGNWNRVDPVPKYPDGLPLFCQITSSEGKLILMGGWDPTSYDPVRDVFVYDFTSQRWRQGKQMPETRSFFAAGEFDGRVIVAGGHDENKNALRTAWAYDVSKDEWIELTGMSQERDECQGMVIGSEFWVVSGYRTDNQGGFEGSAEVLDLGSGLWRLVEEAWKTSQCPRSCVGVGKEKKLFSWAECDAAVRVGACAVPMGEWTFVSGSAYQGGPQGFFLVDGQTGKFKSIDVPVEFSGFIQSGCCVDI >OMO51865 pep supercontig:CCACVL1_1.0:contig15689:37918:39568:1 gene:CCACVL1_29539 transcript:OMO51865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med7 MATATYPPPPPYYRLYKDYLQNPKSAPEPPPPIEGTYVCFGGNYTTDDVLPSLEDQGVRQLYPKGPNIDFKKELRSLNRELQLHILELADVLVERPSQYARRVEEISLIFKNLHHLLNSLRPHQARATLIHILELQIQRRKQALEDIKRQLGGALLFVFDFRSVLVLAYLVPCFFLIHFFPVKNANSRRREEAQRLLKDHLGP >OMO51858 pep supercontig:CCACVL1_1.0:contig15689:3463:5475:-1 gene:CCACVL1_29532 transcript:OMO51858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGQWSWVFKNVKTHLDFKSVMGISWYSTSFRAATLKAITASPIDELFRRISPLGNQSISIVPILDQWVAEGKPVDKKRHLQLCIKLLRQYKRYSQTLEMSLWMTDKRYFPLVASDVAVRLDLIGKTHGLKEAENYFNSVPKQLKGLEVYSSLLHCYGCFRSVEKAEAVMQRMRDLGLDRCIVTSNSLLTLYYKTGNYKKVDTFRHEMEEKGIAYNVNTYCICLSAYAAQCNVDGINNILQKVESDWALTLPWNFYAVVTYAYIKVGHMDKALAMLKKFEALCKGNCGAYNQLLRQYAKLGKKDDVLRVWELYKTNMKVYNKGYLAMISSLLKCDDIESAEKIFDEWESHIPATLTYDIRIPNLLLGAYARKGPLGKFERIVNQIVLKEGKPDASTWYFFAKILLMNNEMENAVNAMKEAILISQPWWKPCDESLAACLKYLKEEGEIDEAEKLVNLLVDRDIISSEVQVKLLSYVKDGNVDSTLDGLVILDGSALHQSGEEADDDGID >OMO51863 pep supercontig:CCACVL1_1.0:contig15689:31085:31525:-1 gene:CCACVL1_29537 transcript:OMO51863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGVVIIDGSTVKAFVSDEEQFNKSVDERFAALDLNNDGVLSKSELRKAFESLRLLETHFGVDVATPPEQLTQLYDSIFEKFDCDHNGTVDLPEFRSEMKNILLAIADGLGSCPIQMVLEDDDESFLKKAADLEAAKLDCGSQAEPN >OMO51866 pep supercontig:CCACVL1_1.0:contig15689:40361:52119:1 gene:CCACVL1_29540 transcript:OMO51866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSEEECSTAKASSSSSSSSSSAAGSSSQGVNYLAKCVLRGSVVLQVAYGHLRSPSSSDVVFGKETSIELVIIGEDGIATSVCEQTVFGTIKDLAILPWNEKLGAQNSQMHGKDLLIVASDSGKLAFLSFCNEMHRFFPVANVQLSDPGNSRHQLGKMLAVDSTGSFIATSAHEDRLALFSLSMSAEGDIIDEKIFYPPENEGSGSSTRSVQRTSIRGTIWSMCFVSKDSVQPHEENNPVVAVVLTRKGNTLNELVLLRWNIRERAVYVLSQYLEAGPLAHSIVEVPHSCGFAFLLRAGDALLMDLRDAHNPHCVYRTNLNFSAHTLEEQNFAEESSRAHDVDDEGLFNVAACALLQLSDYDPMCIDGDSGNCKLDCKYVCSFSWETKSDRSMRMIFCLDTGEFFMFDISFDSGSPKVNISDCLYRSQPCKSLLWVDGGFLVAIVEMGDGMVLKVENERLICTSPIQNIAPILDMSIVDYHGEKRDQMFACCGVAPEGSLRIIRSGISVEKLLRTDSIYEGITGTWTVRMKVKDSYHSFLVLSFVEETRVLSVGLSFTDITDSVGFQPNVCTLSCGLVGDGQLVQIHRNAIRLCLPTNAAHSEGIPLSSPVCTSWSPNNTSISLGAVGQDLIVVSTSNPYFLYVLGVRSLSAYHYEIYELQHVRLQYELSCISIPQRHFELRHSGTPLSPVDNIRTTVLPVGVGMGITFVIGTHRPSVEVLSFTPQGLRVLGTGTISLTNTMETAVSGCIPQDVRLVLVDQFYVLSGLRNGMLLRFEWPSAFAASSSESKILLNTKTAILFGSEMCAFNVSGKDDLPVNLQLIATRRIGVTPVFLVPLGDSFDADIIALSDRPWLLHTARHSLSYTSISFQPSTHATPVCSAECPKGILFVAENCLHLVEMVHSKRLNVQKFHLGGTPRKVLYHSESKLLVVMRTELSNDTSSSDICCVDPISGSVVASFNLDPGETGKCMELVRAGNEQVLVVGTSQSPGPAIMPSGEAESTKGRLIVLRIEQVQNSDSGSITLFSTAGSSSQRNSPFREMFCHATEQLSSSSICSSPDDISYEGIKVEETEVWRLRSTYSNNWPGMVLAICPYLDHYFLVSAGNSFYVCAFPSDNPQRVRRYAIARTRFMITSLTANFTRIAVGDCRDGILFYSFHEETKKLEQTFCDPSQRLVADCVLTDVDTAVVSDRKGSIAVLSCSDRAQDNASPERNLTLTCAYYMGEIAMSIKKGSFIYKLPADDMLNSCEGLTTSVDPSHSTIMASTLLGSIMIFIPISREEYELLEAVQARLIVHPLTAPVLGNDHNEYRSRENQVGVPKILDGDMLAQFLELTSLQQEAVLSSPISSPDTHKLSPKPPAPPIPVNKVVQLLERIHYALN >OMO51868 pep supercontig:CCACVL1_1.0:contig15689:58351:78503:-1 gene:CCACVL1_29542 transcript:OMO51868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSKKPQKKKSNEGKPKFNKSSKKQFKTKKNPNDAVKSDAVALQLEDDVPDFPRGGGSSLSKQERDEIRAEVDAEFEAEERASKKNRRNKLQKKSQVMPDDFGSLFGDGITGKLPKYANKITLKNISPGMKLWGVVAEVNEKDLVISLPGGLRGLVRAADALDSVLADEVENNEGNFLANIFYTGQLVSCIVLQLDDDKKETGKRRIWLSLRLSLLHKGVSLDAVQEGMVLTGNVKSIEDHGYILHFGLSSFTGFLPKDESRDIEVRAGQLVQGVVRRIDKTRKVVYFSSDSDTVSKCVTKDLKGISIDLLIPGMLVNASVRSTLENGILLSFLTYFTGTVDMFHLQNKFPTKDWKDDYNQNRKVNARILFIDPSTRAVGLTLNPHLVHNKAPPSHVNIGEIYDQSKVIRVDRGLGLLLDIPSKPVSTPAYVNFKEGSQVRVRILGFRQLEGLATGILKASAFEGPVFTHADVKPGMVVRAKVIALDSFGAIVQFPGGVKALCPTRHMSEFEIAKPGKKFKVGAELVFRVLGCKSKRITVTHKKTLVKSKLGIISSYADATEGLIMHGWITKIEKHGCFVRFYSGVQGFAPRFELGLGPGDDPSSMYHVGQVVKCRVIGSSAASRRINLNDMVKLGSVVSGVVDGITPSAVVIQVNSKAHLKGTISNEHLADHHESAALLKSVLKPGYKFDQLLVLDIEGNNILLSAKYSLINSAEQLPSDISQIRPNSVVHGYVCNLIETGCFVRFLGRLTGFSPRSKATDDNRADLSEAFYVGQSVRSNILDVNSETARITLSLKQSSCSSTDASFIQEYFLLEEKIAKLQSSESDGSELKWVEAFTVGSVIEGKIGEAKDIGVVVSFDKYNDVLGFITHHQLGEHTLETGTIVQAAVLDVAKAERLVDLSLKPEFVDKSREEGSKGKTHKKRKREASNDLEVHQTVNAVVEIVKENYLVLAIPEHNHSIGYASIADYNTQKFPQKHFVNGQRVIATVMALPSHATSGRLLLLLNSISEVTDTSSSKRAKKKSSYNVGSLVSAEVTEIMPLELRLKFGIGFRGRVHITEVNDDNVLENPFANFKIGQTITAKIVGKANQKGHLWDLSVKPTMLAGDSTMDEFHFSTGQLVTGYVYKVDAEWAWLTISRNVKAQLYILDSACEPDELQHFQEHFKIGKAVSGHVLNINKDKKLLRLVRHPLGVLSTDGESKKTGDSDNNISDESVTGHIHEGDILGGRISKILPGVGGLVVQIGPHTYGRVHFAELKDKWESDPLSGYSEGQFVKCKVLEISHSCKGTIHIDLSLRSSLDGMLPKNPSEICSDLDSSTNRAERIEDLYPNMAVQGYVKNITPKGCFILLSRKVDAKILLSNLSDGYIDDPIKEFPIGKLVVGRVLAVEPLSKRVEVTLKQSNSKGASKSEVNDFSSLHVGDIVSGRIRRVESYGVFIALDHTNMVGLCHVSELSDDRVENIQTKYGVGEKVTAKILKLDEERHRISLGMKSSYLTEDIDNQLPSEEESEDDTEENGVMDETRSLLLTDSTLGMDIEYENGPSSVLAQAESRASIPPLEVTLDDIEHSDLDILASQNQASNDAVDMDEKSTRRAKKKAKEDREREIRAAEERQLEKDVPRTADEFEKLVRSSPNSSFVWIKYMAFMLNSADIEKARAIAERALRTINIREENEKLNIWVAYFNLENQYGNPPEEAVQKVFQRALQYCDPKKVHLALLGMYERTEQHKLADELLDKMTKKFKHSCKIWLRRVQMLLMQQQDGIQSVVNRALICLPRHKHIKFISQTAILEFKSGVPDRARSMFEGILREYPKRTDLWSIYLDQEIRLGDEDVIRALFERAISLSLPPKKMKFLFKKYLDYEKSLGDEERIESVKQKAMNYVESTLT >OMO51861 pep supercontig:CCACVL1_1.0:contig15689:18028:20758:-1 gene:CCACVL1_29535 transcript:OMO51861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calmodulin binding protein MGAAVWCPLSDIDDLGGRLEALIVKSISFKDDDEVKKVLRSVSFNGRVLEPKIYGSRKLILRESLSFNKRETETLLSYRTHHSSDKEAKVSVKSESCKSIENNDDMLHRSNSSLKSPTPLGGKRHEAALKLQKVYKSFRTRRQLADCAVVVEQRWWKLLDFAELKRSSISFFEIEKPESAFSRWCRARTRVAKVGKGLSKDEKARKLALQHWLEAIDPRHRYGHNLQFYYAKWLHCESKQPFFYWLDIGEGKEVHSEKCPRQKLQQQCIKYLGPTEREAYEVIIKDGKFYYKQSGELLDSTRGPKDAKWIFVLSAFKVLYVGLKNKGTFQHSSFLAGGATLSAGRLVLEEGLLKAVWPHSGHYLPTEENFLEFMFFLQEHNVDLTNVKKSPSEEEETIITKNSSIRSNEFEAEVSLQTEETNDGTSAEENSDSRKQGSDAAENENKSMSRLSRGLFSKISRLEIPRHDVFDIFKPETLPRSCRQKQPEPPEEEEDGYETAEECLPEEDFMCTKITLFGEDDDQEDENSIPKEKIMKRIDSHKGMKSYQLAQQLSSKWSTGAGPRISCMRDYPPELQFRVLEQANLSPRPTNANLISPRTASRFTPRMNFPTNLPSPSLHKGKVSARSPLAS >OMO51859 pep supercontig:CCACVL1_1.0:contig15689:7385:9035:-1 gene:CCACVL1_29533 transcript:OMO51859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5/S7 MAVAAPPAAADPSQPSFEVKLFNRWSFDEVSVADISLSDYIGVQSAKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIVRHAMEIIHLLTDANPIQIIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >OMO51860 pep supercontig:CCACVL1_1.0:contig15689:11003:15833:1 gene:CCACVL1_29534 transcript:OMO51860 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family MEFSLPHSSSLGISAVNPHCKTSSCQPKAISSRLNGNLCKLPLKFSKNVVPFGLCNRRSCSSHVPTRQFKRNSIWACSQVGAAGSDPMLNKISTFKDACWRFLRPHTIRGTALGSCALVARALIENSDLIKWSLVLKAFSGLIALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWFLVIFFAVTGLLIVGFNFGPFITSLYSLGLFLGTIYSVPPLRMKRFPVAAFLIIATVRGFLLNFGVYYATRAALGLPFQWSAPVAFITTFVTLFALVIAITKDLPDVEGDRKFQISTLATKLGVRKIAFLGSGLLLMNYIAAVLAAIYMPQAFRRNLMIPAHVFLALGLVFQTWVLEQANYTKEAISGFYRFIWNLFYAEYAIFPFV >OMO51867 pep supercontig:CCACVL1_1.0:contig15689:57311:57634:1 gene:CCACVL1_29541 transcript:OMO51867 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sigma factor sigb regulation protein rsbq MAVGVNNTEAIAKFENTLGRMKPEIALSAARSVFLSDLRAKLPQVKVPCTIIQSKKDSIVPESVAFYMKSKLGGDANVTILNTQGHFPHLTAPNLLLKVLKKALDIE >OMO51864 pep supercontig:CCACVL1_1.0:contig15689:33843:37395:-1 gene:CCACVL1_29538 transcript:OMO51864 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like protein MMEESSSTEPPAGDVELVPEKIKDAKEGGPTFHCDLCDVELVYKIAQALLPGLASACVDNTTGDVFKSPGSVAADIRKEMVDYLTQRSETFVAESVILEGGPEAEASDHPFDIIGYLVDEFASSKRNLLGRVSGWLLSDKREDKIDDFGQEMEINGFWPIEKREAIVQTLVKNVDFKNAFHCDIKFNSADELTLHASTCSYRSMNCENEGCNARFSANQAENHDSVCPFKIIQCEQNCSDSIMRREMDRHCITVCPMKLVNCPFYAVGCKSAVPQCKIDEHRSENLDSHILYVLQGIHKEASVEDLKGRVEQILKTAAGRLAESRQVRSFTSKVKDLDAQLGPLQITTKKEADEEVTKTEAKLESLDVSDTNKDTERAAESEVKDSNAKSQSLEVTPTIKNSDEAAKAELRGSDAKLQSLEVAPTNEDSKEATKTETNDTQAKPQSLEVAPTDKDSEEATKTETNDIQAKPQSLEVTPTSKNSDEAAKAELKGSDAKLQSLEVAPTNEDSKEATKTETNDTQAKPQSLEVAPTDKDSEEATKTETNDTQAKPQSLEDAAKDKDSERAPKTIMNDSETRPPSVEVTLTDKVSEEATKNSVNDIEGKLQTSEVGTISKNNDGKGTPNHVPNIVENQGP >OMO51862 pep supercontig:CCACVL1_1.0:contig15689:27257:30672:1 gene:CCACVL1_29536 transcript:OMO51862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFWTQGGSDSEEEESDFEDENENVVGGEAATATAGSRYLRDNASDSDDSDGQKRVVRSAKDKRFEEMNATVDQMKNAMKINDWVSLVESFDKINKQLEKVMRVTESDRPPNLYIKCLIMLEDFLANALANKEAKKKMSSSNSKALNSMRQKLKKNNKQYEELINKYRENPESEEEKDEDDDVDEESDETGSEFEDPSQIDESTDEEDDGEDQEDAAADGAWEKKLSKKDKLMDREFKKDPSEITWDTVNKKFKEVVAARGRKGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVISAQFDVNPGLSGHMPINVWKKCVQNMLVILDILVQYPNIVVDDMFEPDENETQKGADYNGTIRVWGNLVAFLERIDNEFFKSLQCIDPHTREYVERLRDEPMFLVLAQNVQEYFERKGDLKSAAKVALRRVELIYYKPQEVYDAMRKLAEQSEDADGHRDGDEPKVEETRGPSAFVATPELVSRKPTFPENSRALMDILVSLIYKSGDERTKARAMLCDIYHRALFDEFAIARDLLLMSHLQDNIQHMDVSTQILFNRAMAQVGLCAFRVGLITEGHGCLAELYSGGRVKELLAQGVSQSRYHEKTPEQERMERRRQMPYHMHINLELLEAVHLICAMLLEVPNMAANTLDAKRKVISKNFRRLLEMSERQPFTGPPENVRDHVMAATRALCKGDFQKAFDVINSLDVWKLLRNRENVLEMLKAKIKEEALRTYLLTFCSSYASLSLDQLTKMFDLSEAQTHSLVSKMMINEELQASWDQPTRCIVFHDVEHSRLQALAFQLTEKLSILAESNERAVEARIGGGGLDLTHRRRDNQDYAAAAAGGGGGGRWQELSFQGRQGSGRSGYGGRTVVLGQAAGGGYSRDRSGQSRGTGGYSGRTGSGMRGSQMDASARMVNLNRGIRG >OMO98499 pep supercontig:CCACVL1_1.0:contig07102:30120:30536:1 gene:CCACVL1_04214 transcript:OMO98499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQGGTGDHPTMVSSSIALLQERFRQLQKVRERREEKELLKLYSESERVVVSSSSTPTMRYDQPNRLPFQSDQLIQPYRQPLQDSLSLGLNSSQSWQTDYRAMAVPDSTSLWPNSAATSSTTSKNFDYSDVDTSLHL >OMO98495 pep supercontig:CCACVL1_1.0:contig07102:7899:9058:1 gene:CCACVL1_04210 transcript:OMO98495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein, plant MATSAIQQSAFAGQTALKQSNELVRKVGAFGGGRVTMRRTVKSAPTSIWYGPDRPKYLGPFSDQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLIHAQSILAIWACQVVLMGFVEGYRVGGGPLGEGLDPIYPGGAFDPLGLADDPDAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLSDHLADPVANNAWAYATNFVPGK >OMO98497 pep supercontig:CCACVL1_1.0:contig07102:18860:22400:1 gene:CCACVL1_04212 transcript:OMO98497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELEGETDPLELGIMVLA >OMO98494 pep supercontig:CCACVL1_1.0:contig07102:3605:3883:-1 gene:CCACVL1_04209 transcript:OMO98494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNVYVALAVLFIVASGTVMAREVDVIKANNCEDKRKMSLHCVNEVFTSVFKTGNVCDDCCHELAKLGDVCHQALVERTLNNPIYKKNDTS >OMO98496 pep supercontig:CCACVL1_1.0:contig07102:9470:11518:-1 gene:CCACVL1_04211 transcript:OMO98496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALAPSDTLHQHFPQGLHFSLLKSSKTHQEIQQFHAFSLKTGIFTHLSVSSRLLSLYADPKINNLRYARSVFDRIEHPTLVLWNIIIKCYVENQRSHEGISLFTELLAHFLPDEFTFPCVIKGCAKLNALKEGKQIHGLVLKLGFGLDKFVQSSLVNLYSKCGEIGSAQKVFDEIDEKDLILWNSLLDGYARCGEVKVAMKVFEEMPEKDSYSWTVLIDGLAKSGEVETAREIFEMMPKRNIISWNTMINGYMKAGDVNSARKLFSQMSTRDLITWNSMIGGFELNLRFVEALEMFERMLKEEFRPSQATLVSVISAVSGLASLAKGRSIHSYIVKNGIELDGVVGTVLIEMYSKCGSIDSALAVFRTINHKKLGHWTAIIVGLSIHGMAEHALKLFLEMRKIGVKPNAITFVGVLNACSHAGLIDDGRGYFKMMINEYGIKPTIEHYGCLVDMLCRAGYLEEAKGTIEEMPMRPNKVIWMTLLSGARIHGNTKIGEYAAYNLIEVAPETIGGYVVLSNMYAVAGEWDKVSKVREMMKKRGLRKEPGCSLIEHRGMHHEFIVGDKSHPQTKEIYSKLSEMREKLKLAGHIPDTSQVLLYIEEEEEKEAELEHHSERLAIAFGLINVEAGCPIRIMKNLRVCNDCHSVTKLLSKIYSREIIVRDNSRFHHFKNGLCSCKDFW >OMO98498 pep supercontig:CCACVL1_1.0:contig07102:23544:24517:1 gene:CCACVL1_04213 transcript:OMO98498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGFYHKMVKNGLVPSTVTYNVLIDELCAGKRFAIAFEIFDWTVRHDMANTRTYNAIINGFCSVGDTEKAMVLFHKMVSVGPSPTLITYNTLIGGYLKKGNLNNALRLFEMMKESKHVPDEWTYSELISGFCKWGKMDSASSLFREMLECGLSPNQVESCILASASDD >OMO61179 pep supercontig:CCACVL1_1.0:contig13570:2442:2567:-1 gene:CCACVL1_23708 transcript:OMO61179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRKCKAAAYLNPQPPLSKDFEKRGSPHSLFEKEDILKRN >OMO86516 pep supercontig:CCACVL1_1.0:contig09468:195:461:-1 gene:CCACVL1_09584 transcript:OMO86516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKKNEAEKCSKGTNFDNCRDSEIDECGGRQFQVNKQSQISPRLPIQVVSPEKGRKPLHHLSSSQRKISSPQPDPKPEKKVARQCLAQK >OMO63932 pep supercontig:CCACVL1_1.0:contig12879:7482:8318:1 gene:CCACVL1_22172 transcript:OMO63932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRLATTAPRSYLALYAAAQNKGLFRRIASGAAVKGGTADPTIHSGELETGPEVHRGEPQGIENSGEHHRLDHESQTETEPRPGFEKEPLYKAKLPHGSSQKLESSPVNHPLEPNFQQRRSISAEGLKDVSCAGLDGSPWPESKENSRQSDRKKQEMDDKEYFSHHKASPLSEIEVADTRKPITRATDGTTAKSGKDVVIGWLPEQLDTAEDSLLRANRIWKENAARGVPELPHSRRLRELRGEWF >OMO63931 pep supercontig:CCACVL1_1.0:contig12879:2084:6572:1 gene:CCACVL1_22171 transcript:OMO63931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MKSQASCVDEDDGESLAYFWWKTAAKSDECAKLNKLDFPDVSILTPRLRLFRELERLAVIAPNGLNELRHKLIGYRSGDFWVPTGGINKEDMNIPPVNTILLVGFSGSGKSSLINLMYSILGRSGLIPFAQTSSGSRSNYTTMYMEEHNVLRSLRSGFCVFDSRGFDYGNTREALEELSSWMSEGIHHNQPCLRYGDCIPMSDDVDNDVMRPSSKFVQRRVNCVMFVANIAEIYKALKAGDIKPLEATRQLFCSPALRKSNENPLLILTHGDLLTAEERIDGRLKICEYLDISETNGVYDIVCLTEYGFLVEDFDPVSAYALTEAVYRALLISDRGHFPKRKFQDWALLILLWIMRFMGLCFAVLADIFSKLGHKHKLKINMDILQQSGFKEVNRRCVDCNTTRTPLWRGGPAGPRSLCNACGIRYRKKKKALLGLNRESRIEKSKSEPKVLGREMGLHRIVGKQEWKSKLREEEQAAFLLMALSCGSVYA >OMO68540 pep supercontig:CCACVL1_1.0:contig12211:13058:14317:-1 gene:CCACVL1_19911 transcript:OMO68540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPSSSPPFPPELDLDNLKAIKTLGKGAMGTVFLVHDTLIDPTARSPFALKVVQRSKHDADRRARWEIGVLSRLSPSDTSLQHPFLPRLLGRLETPEFIAWAVPYCPGSDLNVLRDDCDLTSEKVTPGKIDIREYFESLKAPTSMPPSPLPSPDHRRNVSFAEY >OMO71001 pep supercontig:CCACVL1_1.0:contig11754:1420:5211:1 gene:CCACVL1_18523 transcript:OMO71001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPMEISSSSEPLDLQSIRSRVNELIEIHSSNKDEHESESMLNPDSEKLLQDCTLPFESKVKEIIEEYSDVGFLGIEDLDKYLAHLKEELNQVEAESAKISNEIEDLSRNQIEESNILEGNLEVLKCALDSIVPQGSEGVEEDPCLDSFMNDGDQLNLKDANQEHKFEILELESQIEQNNAILKSLQDLDSMHKRLDVLEQIEDALTGLKVIGFDGNCIRLSLQTYIPKLEGVLCQKTIEDISEPSEMNHELLVEIMDGTMEVKNVEMFPNDVYIGDIVDAAKSFRQLSSNLMGHETRSSLEWIVGTVQDRIILSTLRRYVVKSTNKSRHSFEYLEIDETIIAHLVGGIDAFIKVPQGWPLSKSPLKLLSVKSSDHHSRGISLSLLCKVEEIANSLDMHIRQNLSAFVDEVEKLLLEQMRLELRSDAAADK >OMO55511 pep supercontig:CCACVL1_1.0:contig14674:2824:5632:-1 gene:CCACVL1_27210 transcript:OMO55511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMCLNRCRKSCRLRWLNYLKPNIKRGDFEDDEVDLIIRLHKLLGNRWSLIAGRIPGRTANDVKNYWNTHLLKKYNPSSSTKDYSKPKNAHHDQIPFNPKDNNHNVTINSNNIVIKPLPRTLPKKT >OMO55512 pep supercontig:CCACVL1_1.0:contig14674:15769:17969:-1 gene:CCACVL1_27211 transcript:OMO55512 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-L-galactose phosphorylase 1-like protein MLTIKRVATVLSNYQDDGYEIQEVGCGRNCLGNCCLPASTLPLYTFKKNQENSGEPDEISPFKEEQPHQLSFLNLLLGEWEERMRQGLFRYDVTNCVTKIIPGKYGFIAQLNEGRHLKKRPTEFRVDQVLQPFDDSKFNFTKVGQEEVLFRFEQSNNYKSHYFLATALAVTADGNNSPNVVAINVSPIEYGHVLLIPHVVHCLPQRIDHSSFLLAINFAKEAANPFFRVGYNILGAFATINHLYFQAYFLEVPFPIEEAPIQRILFTKKRVQDRGVIISKLLDYPVKGFVFEGGNSVQDLSHFVASACICLQNTNIPFNVLISDSGKRIFLLPQCYAERQALGEVRQELLESQVNPAVWEIGGHIVLKRHKDYDNSTEESAWRLLAEVSLSEERFQEVKAYVREAAGLQEKPNMKENKVNLNQVKHEANQKEDSLCKQPAAAKKGYKFLLSVVQACILQRKCLCL >OMO60423 pep supercontig:CCACVL1_1.0:contig13721:22264:24378:-1 gene:CCACVL1_24157 transcript:OMO60423 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MANSVDPKTGFCSKTMTFHSLRPPVPLPSESAPVSITDFILSCLNSSPPSSTAVALIDATTRRRILYPDLISQIKNLTASLRTHYGLSKGDSAFIFAHNNINTPILHLSLFSIGVVISSVNPAATTPEINHQIGLSKPVIAFASSDSVHKLPLLKYGTVIIDSVLFESLMETQSEKKEVIKVNQSDVATILYSSGTTGKVKGVAQSHRNWTASIASGYSKRPVGKPPTVAFCTVPLFHAYGMTYTLRFLATGDCIVIMGGGGRFDLRKMCGVIEDYRVSHMALAPPTIVTMVRHGEVMNGYDLSSLEGISCGGAHLSKSMIERFRKRLPKAQLSQAYGLTETTGRVFATLGPEESRVEGATGKLMANCEAKIVDPETGAALPPGKPGELWVRGAFIMKGYVDNEEATAATLDSEGWLKTGDLCYINDEGFLFFVDRIKELIKYKGYQVAPAELEHLLNSHPDVVECAVVPFPDEEAGQVPAAFVVRQSGCNISESEIKDFVARQVSHYKRIRRVTFVGALPKNASGKVLRKELVKLLSAPPSKL >OMO60424 pep supercontig:CCACVL1_1.0:contig13721:26889:28215:1 gene:CCACVL1_24158 transcript:OMO60424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor eRF1/aRF1 MAESSETHDDATIEAWKLKKMIKSLDEARGNGTSMISLIIPPGDQISNVTKRLAEEYATSSNIKSRVNRQSVQAAITSALQRLKRYKNVPPNGLVIYAGTAMTEEAGKGKKKFVYDLMPFKPVTSYLYKCDNKFHTDALKQALESDDKFGFIIIDGHGTLYGTLSGNSREIVHKFNVQLPNKHGRGGQSQNRLSRIGAEKRQNYLRKAAELATKFFIDPHILADLKFVQQKRLIEKFFEEINQDTKKYVFGVEDTIKVLEMGAIQTLMVWENLEINRYVLKNNATNEIVIKHSSKEQEADERNLRDPATEAQLEVEEQMVLLEWLADNYKRFGCSLEIVTDGSQEGSQFCKGFGGIGGILQYKLDLRLFDEDEDDQGVYEASD >OMO60427 pep supercontig:CCACVL1_1.0:contig13721:41802:44220:-1 gene:CCACVL1_24161 transcript:OMO60427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIEDKGCYNLGQAQEISNSSSISFEFHKGNGTNRASSHHRTALGKPTPSKWDDAQKWLVGLSRSRDKSNSKTAPRNSNADDRRLIAPVPQKEQDYSSSEDEEVSAAAAAQVNGFGASIMSSKFEGETKKVDCDESIWRINRPTVENSTSAVRSICVRDMGTEMTPIASQEPSRTATPIRATTPAARSPISSGSSTPVRCQHGLPCAADQGYQAGLPEARGETTAAAARGNGANGMYGQDSRIHDNNNSDQARKQNTLESRAMAWDEAERAKYMARYKREEVKIQAWENHEKRKAEMEMKKMEVKAERLKARAQEKCANKLAATRRIAEEKRANAESKLNEKAMRTSERADYIRRTGHLPSAFSIKLPSLCW >OMO60425 pep supercontig:CCACVL1_1.0:contig13721:30170:33112:1 gene:CCACVL1_24159 transcript:OMO60425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQIQTEADQLPPAQIPLLNSNSVQNDTAQTRQQQRRRQGQEIRASDDLDDCLEKLESFLSFLGFNQSSWLSCSLSWAAFSVVGVLVPVLVLELSKCDRCEMYQIKNFELDIVASQACLAAVSLFCIAFNLRKYGVKRFLFVDRYSGQMARFSDLYVKQIWGSMRLLIWWVLPCFLLKTAREIIRVLYVHRDSWWISVAILFALILSWTYVSTISLSASILFHLLCNLQVIHFEDYAKLLERESDVLVFIEEHIRLRHHLSKISHRFRMFLLSQFLVVTASQFITLFQTTGYRGMINFINGGDFAVSSIVQVVGIIICLHAATKISHRAQGIASLASRWHAVATCTSTDATLRGSNSAGNMEAFNQSNNQTNSIHLCFSESDLESVDYAASAIPTNTQLASYMTSYHRRQAFVMYLQGNPGGITIFGWTVDRGLINTIFFIQLTLITFVLGKTIVFSSP >OMO60429 pep supercontig:CCACVL1_1.0:contig13721:51953:54007:-1 gene:CCACVL1_24163 transcript:OMO60429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALLVLSPKSAMAVTLKPLNCRLSLNRYMSQAIFEMADSPFPSFRLLGPPEIYQTPAPGKQESVINDQNQNQTQSEAATATSADPFMNSMMANFNNVASAPSNPPMGFTENYSPTFLSSGNPCLDFFFHVVPDTPSDQVQQRLKLAWEQNPLTALKLVCNLRGVRGTGKSDGEGFYASAFWLFENHPKTLASNAASVAKFGYFKDLPEILHRLHHGFDVRKISKEERRIRLQSRRCGRGRRDKQQPRTPSSRRQKALAAAKKAVDMYNNDPAYRFLHDKISDVFATHLMSDLEKLSSGILNNFSLAAKWCPSLYSSYDMSTLLCESIARRIFPRDPEYQDIEDAHYAYRVRDRLRKQVLVPLRKALKLPEIYMSAKQWNILPYNRVASVAMKNYKDLFLKHDRERFEEYLGNVKKGKAKIAAGALLPHMIIKSLKEGTGGEVAVLQWQRMVNDLSAIGKLKNCLAISDVSSSMYGTPMEVSVALGLLVSDLSEEPWKGKVITFSRNPQLQIIEGDDLRAKISCIEELDWDMNTDFQKVFDKILEVAKEHNLTEDQMIKRLFVFSDMEFDQASGVVLPPNYGYHNRGSSPEDFSPANWETDYQAITRKFHDSGYSSVPEIVFWNLRNSAATPVPSHQKGVAMVSGFSKNLLKLFLEEGGVMDPVSTMESAIKGEEYNSLVVVD >OMO60422 pep supercontig:CCACVL1_1.0:contig13721:15599:17719:1 gene:CCACVL1_24156 transcript:OMO60422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MLALKPVSQFKSSFSGNALRRPSPPNGVPRLVPFSWTVRAKPLVRAVISDDKAVDSAKKSSSFNDGPLVSGSSSSVKEVRAVVTIRKKIKEKITDKLENQWELFMNGIGRGILIQLISEEIDPVTNSGKSVETSVRGWLPKPSEHTHILEYAADFTVPSDFGKPGAILITNLHGKEFHLLEIVIHGFDEGPIFFPANTWIHSRNDNPESRIIFRNQACLPSQTPPGLKDLRREDLLSVRGSGKGKRKAHERIYDYDVYNELGNPDKDEDLARPVLGGEERPYPRRCRTGRPPAKTDPRSETRIEKPHPVYVPRDEAFEEIKQDTFSAGRLKALLHNLVPLIAATLSSSDKPFTCFSDIDKLYNDGFIVKDDEQGELGDNLFTGNMMKQVLSVGQKLLKYEIPAVIRRDRFSWLRDNEFARQALAGVNPVNIEILKVIYTDILPSFVD >OMO60434 pep supercontig:CCACVL1_1.0:contig13721:71847:74752:1 gene:CCACVL1_24168 transcript:OMO60434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQRTFMALFPVSFLFSGSLKFPASNDQILKQYKHFPHLYTNMPQVKSDDGSRRVYLELSLGSLEEIWVAVLNITGPLSSWSFADNKLPVPETAEGGPPSYILRLTGTSHENWTFWLEASNSGDLRVDVAVLDQVLVDEAKKLRRLFPAWADVVAYSRRGTWALLESLVEVARIESEKLRQQKKIKKSSRREGFKAGFVFHEKDVGVAVGDGQKPKFKLTFKTTKKHDDDVSVLPKIRKLDVVQEYDQELEDLIIKPSAKKIKKDHNPNPNPNYKGKAKMIQEDDQQKPAKRSKKGHPAPVCPNPNSNPSLPEHVKEYIINKGGSDIALVIQKRLYFTDINPTASRLSIPISQIKTSTSSFLTESETVDLERGIAKDVSLLEPSMKESVVTFSRWNYSGTNSSYVLKGQWNAVVQHNGLKAYDMVQLWSFRVASNLCFALVKLDYVPSSS >OMO60431 pep supercontig:CCACVL1_1.0:contig13721:59044:61157:-1 gene:CCACVL1_24165 transcript:OMO60431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein MSSSSSSSSSNIFTNYPLLCALVAFTMAQSIKFFTSWYKERRWDIKQLVGSGGMPSSHSATVAALATAIGFQEGFGGALFAIALILACVVMYDATGVRLQAGRQAEVLNQIVYELPAEHPLAESRPLHELLGHTPPQVVAGGLLGIVTALVGHLIILSAS >OMO60420 pep supercontig:CCACVL1_1.0:contig13721:1333:2253:-1 gene:CCACVL1_24154 transcript:OMO60420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKPCCSKEEGLNRGAWTAIEDQILIDYINLHGEGKWRTLPKAAGLKRCGKSCRLRWLNYLRPDIKRGNITLDEEDLIIRLHNLLGNRWSLIAGRLPGRTDNEIKNYWHSVLSKRLLSSSQVKEANRNDDKINVIDAKLEHETALESESSDGSFTLPSSDEEINASFNFRMDFEIGEISNGSEGFASDFTQLCGYDFTDMNAEICEYGKYGKNDCCEAMVENDYVELEANNFNLDSDFGFLDAFS >OMO60435 pep supercontig:CCACVL1_1.0:contig13721:77951:90621:-1 gene:CCACVL1_24169 transcript:OMO60435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPALRYSPGRELRGDKHKRGRSLEGGLGVRDKEDDLALFNEMQTREREDFLLQSSDDFEDSFSTKLKHFSEFKLGISIPARGESSELLNADEEKNDYEWLLTPPDTPLFPSLDDEPLVANVAHRGRPRSQAISISRSSTMDKSYRSSRGSASPNRLSPSPRSGNSALQSRGRPSSAPQSSPVRPATPTRRPSPPQSKISTPARRSSTPTPRRTSTGSSGTVASSGVRGTSPVRTSRGNSASPKIRAWQSNIPGFSLEAPPNLRTSLADRPASYVRGSSPASRNGRDAKFGRQSMSPTPSRSASSSRSHDRDQFSSYSRGSIASSGDDDLDSLQSIPISGSSHSVSRRLGPLPNNKAPTFNKKSARVMSPSSAPKRSFDSALRQMDHRKSPPNMFRPLLSSVPSTTFYTGKGSSAHRSLISRNSSVTSSSNASSDQVSAVLDSEVNDQQHDDMASESGKGPYADVQEEVFAFDKMDVLNQDASYERRDGSLNILMEDADRDSAIQCDPEQSEELSRHGLEVEISSTSDALCDRGDLSEVDSFDNTKICSRCGCRYHVIEPVEEEISLCTDCSRQQDIAAFDLSERTIVTEEISPGLSIKICEEDKQVKELEASLPSSDSLLQVTDAVEPLISQQEENIKQSENFSQENSLGRSLAEGGEQRLDNHQEIDQPAVGYSLPDRDTGSQHLQNSGEHSGLKIHTSEVAGISVLLKRSSSNKGAVVQGRTFATIPYEDLSYARDSSNSFRSSIGHGSISASSSVDFSSSRQTDTRVQRQFSGRKSDLENYRYDMNSKPQSLALSLSRSSSNNYQALSLAASTNEDNFEGSVRSLKFDEVDEIAVVSQAKVVASENFEADLTDSSFTGAAIPEKDGIEWNEISRTMDSLTSELLEATSAAPFPPSEDCVYENGDDLPSNARIVSNVEASASTLDPTIEEHSLLNDTLEGVDVAEPPGLSSLATISEIEMDNSCQSSSDSETDGLSPNHERKKGSVDLTIANPPDMNTTASIQEHNTSNHADGILEESTVLVESHGGSKARSLTLEEATDTILFCSSIVHDLAYQAATIAIEKENSVPLEGSRPTVTILGKSTADRKDLRGRTVGRRNSKSNKVRQRRVETDAKSPSPKTENDENADESSYRNVGIPNKVEIFSEKAVGAMDSDSDSDGSHISATPPRDPLPPPSRPTPPPPPPKPPTSFHKSTTKIKPSSDSNTISKPKKPSKKHSKSKTETKLEPKPDSKPVQQAEPPPFPSPIGNFPFQIRRPSEQSQPISTVHSLETLPAGFFSTHRTSFSKFQKQSLTFEPEITPEIATERKANDSGCSGSTNTSNKKLPNLIRGDVPLPPANLQKRSVEGNFVKLNFSYKRKFATKGKKTNSYSSKSRYYRKSKRRVKSEVETESICDEEGLVVEIKHQPNVEKKIKFEHIEEAVLAVRKEASDENLVSLLKVMYGYDTFRDGQMEAIKMVLAGKSTMLVLPTGAGKSLCYQIPAVVLPGITLVVSPLVALMIDQLKQLPPMIRGSFLSSSQGPEEAAETLRLIQEGSIKVLFISPERFLNAEFLSIFSASTSVSLVVVDEAHCVSEWSHNFRPSYMRLRASLLRANLNVDCILAMTATATKATLHSVMSALEIPSTNLIQKAQIRDNLRLSVSLSGNRLKNLLKLLKSSPFSEAQSIIVYCKFQSETDLISRYLYDNNINAKSYHSGMPAKDRSRVQELFCANKIKVVVATVAFGMGLDKRDVGAVIHYSLPESLEEYVQEIGRGGRDGRLSYCHLFFDDVTYYKLRSLMHSDGVDEYAVNKFLCEVFADDTNSRGKVCSLVKESASRKFDMKEEVMLTLLTQLELGETQFLHLLPQLNVTCTVHFHKTSPMSLADKDTAVTAILKKSEIKQGQYVFDIPTVANSIGVGPSDLLNHLQNLKVKGEITYELKDPAYCYKILEVPSDFCSLSELLTRWLMDIENCKVWKLDAMYSAAAFAADACDKADGCNFAQQTSCLQKRILDYFKGDDNPDVLDKMSDNSPFLRADIKVFLQSNSQIKFTPRAVARIMHGIRSPAYPSSMWSKTHFW >OMO60421 pep supercontig:CCACVL1_1.0:contig13721:5894:11752:1 gene:CCACVL1_24155 transcript:OMO60421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease, beta subunit MRLTPREVEKLGLHNAGYLAQKRLARGLRLNYTEAVALIATQILEFVRDGDKSVAELMDLGQQLLGRRQVLPAVPYLLDSVQVEGTFPDGTKLITIHDAIASENGNLELALHGSFLPVPSPEKFLEIEDKMIPGDMIFKGGSIILNYGRRAVSLKVTNTGDRPIQPGECKTVVLVSIAGNKVIRGGNGIVDGPVDDANVEMVMETVNREGYGNLEDANASEGVSGENSAFSTIMSHEAYANMYGPTTGDKIRLGDTNLYAEIERDFAVYGDECVFGGGKVIRDGMGQSCGHPSAESLDIVITNALIIDYTGIFKADIGIKNGLIVALGKAGNPDTMDGVHPDMIIGINTEVIAGEGSIVTAGAIDCHVHFICPQLVHEAISSGITTLIGGGTGPAEGTRATTCTPAPLQMKMMLQSTDDFPLNFGFTGKGNGSKPDELHEIIKAGAMGLKLHEDWGTTPAAIDNCLAVAELYDIQVNIHTDTLNESGFVEHTIAAFKGRTIHTYHRFSSLIFMTDLILSSEGAGGGHAPDIIRVCGVKNVLPSSTNPTRPYTSNTIDEHLDMLMVCHHLDKDIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVICRTWQTAHKMKAQRGPIGPGGSENDNLRIKRYVAKYTINPAIANGIAEFVGSVEVGKLADLVLWKPSFFGAKPEMVIKGGQVTWANMGDPNASIPTPQPAAMDCGIKELYGLKKKVAAVRNTRSLTKLDMKLNDALPNITVDPETYTVTADNEVLTCAAATTIPLSRNYFLF >OMO60428 pep supercontig:CCACVL1_1.0:contig13721:47245:51522:1 gene:CCACVL1_24162 transcript:OMO60428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-ring hydroxylase-like protein MAVSGFIRGFNFLHRTKPRSRVYPSFYLQNRAFLDSNILSNNDSVLPVLIVGAGPVGLVLSILLTKFGVKCAVLEKNKGFSKHPQAHFINNRTMEVFRKLDGLAEEIQRSQPPIDSWRKFIYCTSLTGAILGSVDHMHPQDFEKVVSPISVAHYSQYKLTRLLVKLLEENLGFHVCTSGGHEGLDHEPFRGREILMGHDCVSIDTTDQCVTATVSFSKEGKSMTRKIHSKILVGADGAGSTVRKLVGIDLKGEKDLQKLVSVHFLSKDLGQYLLNERPGMLFFIFNTEAIGVLVAHDLKQGEFVLQVPFYPPQQNLEDFRPEICKKLIFNLVGRELSDIDVIDIKPWVMHAEVAEKYLCANNRIILAGDAAHRFPPAGGFGMNTGIQDAHNLAWKIAILLKGVAPSSILATYETERKPIAIFNTALSVQNFRAAMAIPATLGLNPTVANSVHQVINKAVGSILPSGMQKAILDGIFSIGRSQLSEFILNENNPLGSSRLAKLRDIFEEGKSLQLQFPAEDLGFRYIEGALVPDSKDAVPAPEVPTGRRRDYVPCADPGSRLPHMNVRVLSNSANEEIISTLDLVSGDKVEFLLMIAPVEESYNLARAAFKVSEEYKVSMKVCVLWAADTVTGVHPESKATLAPWKNYLDVIEVKRSSDSLSWWRTCQMTEKGALLVRPDEHIAWRTKSSIVGDPYSEMKMVLSTILGIEST >OMO60433 pep supercontig:CCACVL1_1.0:contig13721:67745:67867:1 gene:CCACVL1_24167 transcript:OMO60433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESRSKSEGFKVGCEIGFEGEDRGGSRVQKWITGFQIRS >OMO60426 pep supercontig:CCACVL1_1.0:contig13721:34074:39970:-1 gene:CCACVL1_24160 transcript:OMO60426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRHGGYRDNEIRGRDSEFEVTRRQFANSKEYEPSRNGSRDNERDRVREARDRGRVRQKDIKEREVINGGYRSSSSRSDSGGSSGGGGSRGPRRCEFSHRVVDKEPGELSSESGSEDAMESESVVKNGEVAKVMENGAQSPVVRKRKFSPIVWDRDDKDLRNFSKGRNSPAITSLPPPPPLPKTYCKSPISIPGGVVQINPVRESKSQKVQSPSPVAAAESTEDSAPQSPVNLDLSPPKDQGNYQDAEHIEEEDYVPTRHISSSRWAAGDNSPGDEGEILEDEEMPKRRKKMPPSEALQNRVRNKSATPELGELKRESSEGIRARSSESDERSARSKSGSGDDYPGDDSEKDDYMGIDNQHDRDDSSVSHSDTDSDNENDSRGTPEPPVPPVRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMETMKQPFSQSEVKCLMLQLLEGTKYLHDNWVLHRDLKTSNLLLNNQGDLKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLSKEPLFNGKTEFDQLDKIFRILGTPNETIWPGFSKLPGVKVNFVKHQYNMLRKKFPATSFTGTPVLSDAGFDLLNKLLTYDPEKRITAEAALNHEWFREVPLPKTKAFMPTFPAQHAQDRRMRRMLKSPDPLEEQRRKELQQGELGTGDVLQVNLFSSFGHHIEMHLTLVASQVDIFQLSVPSFLILTLCLQETVGVKWRRPSLLFIDEEIDSNHYTSKSQSKQVEEVTCILWILSNFQLIVPSGSVEMHIFILLKCVYGNTEMLKSVSDKLRLRESPESASILF >OMO60430 pep supercontig:CCACVL1_1.0:contig13721:55789:58346:-1 gene:CCACVL1_24164 transcript:OMO60430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MVNWILFWSIITLPLATVIFFASKVLNIRRNKRRAVGFFHPYTNDGGGGERVLWCAVKAIQEENPDLDSVIFTGDHDASSQSLMSRATDRFGVHLLYPPKVVHLNKRKWIEEKTYPHFTMIGQSLGSVYLSWEALTKFTPLYYFDTAGYAFTYPIARLFGCKVICYTHYPTISLDMISRVHQRNSMYNNDASIARSTWLSQCKIIYYTVFSRMYGMVGSCAHLVMVNSSWTQSHIQKLWRIPERTKRVYPPCDTSGLQALPLEREVDTPKIISVAQFRPEKGHGAQLEAFSVAISKLDEDSPRPKLQLVGSCRNKSDEERLQNLKDKAVQLNINGDVEFHQNVMYRDLVRLLGGAAAGIHSMIDEHFGICVVEYMAAGAIPIAHNSAGPKMDIVLDEDGQQTGFLAQTVDEYADAILKIVKMPESDRLKIATAARRRASRFSEQRFYDDLKAAIRPIICHSS >OMO60432 pep supercontig:CCACVL1_1.0:contig13721:65034:65120:-1 gene:CCACVL1_24166 transcript:OMO60432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVVEEIIALDPTFFAQNPAFLFQHK >OMP11097 pep supercontig:CCACVL1_1.0:contig01555:141:674:1 gene:CCACVL1_00670 transcript:OMP11097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWPAYLAPTDPARPSAAQAQAFSPTRPHEKDFPLRCAPASARQAPCPFPQAGCHPGKNVRIGSRNRQQTGKQRPCRPDLTDSACQRPSRTTTHMPGTAPRIDSGRGASALLVPRRENPSAEYRSCFGGSNFAQKKLHKARPIVSQVDRRQLVGNRFDLQQLIERSGRIVEIFGILK >OMO77293 pep supercontig:CCACVL1_1.0:contig10786:11348:12477:-1 gene:CCACVL1_15112 transcript:OMO77293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVAKDLTSGTIGGAAQLIVGHPFDTIKVKLQSQPAPLPGQPPKYAGAMDAVKQTIAAEGPRGLYKGMGAPLATVAAFNAVLFTVRGQMEALLRSEPGVPLTVSQQIVCGAGAGVAVSFLACPTELIKCRLQAQSALASSGSAAVAVKYGGPMDVAKHVLRSEGGMRGLFKGLVPTLAREVPGNAAMFGMYEAVKQYMAGGTDTSKLGRGSLIVAGGVAGATFWFSVYPTDVVKSVLQVDDYKNPKYTGSINAFRTILASEGVKGLYKGFGPAMARSVPANAACFLAYEVTRSSLG >OMO77291 pep supercontig:CCACVL1_1.0:contig10786:1761:2399:-1 gene:CCACVL1_15110 transcript:OMO77291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MADPTPGPSSSMPDPPPDSEPTTHHLTIPPGLTHDEFCELIPSISQYHTYSVGPDKCSSLLAQRINAPPHIVWSIVRRFDKPQTYKHFIKSCAVQEGFQMVVGCTRDVNVISGLPAATSTERLDILDDERHVTGFSIIGGEHRLRNYRSVTTVHGLERDGIVSTIVLESYVVDVPEGNTEEDTRLFADTVVKLNLQKLASVTEALARDGDGK >OMO77292 pep supercontig:CCACVL1_1.0:contig10786:5576:10751:-1 gene:CCACVL1_15111 transcript:OMO77292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGTKSQSSMPLVSRLDHLDFVLCLELESSSTSSTSTSISAGCASSSLRSRGQVPISRSLPTFINSNQVQRQGVASHQFLFTRSEIQEESEAMLQKKKDSNPLKKQVGNKRSSKKEKISKMKTPSGKVKVMKEIAKDYNVEWDTTESEKECRQLSCETCNKSFSLPYRQEEAERRTTVSGWLQLQPIFYFFSVIRF >OMO75810 pep supercontig:CCACVL1_1.0:contig10972:199:498:-1 gene:CCACVL1_16031 transcript:OMO75810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRLLHHNHHGPALKASTNVELVFLPSSLAIASSKTPCKVPQIFETRNPSYPKTKTSNSRRLNAQKKPSFSSNDWKLDLKPLETRLNRHYPRKQGLKSGE >OMO54915 pep supercontig:CCACVL1_1.0:contig14859:13996:15096:-1 gene:CCACVL1_27468 transcript:OMO54915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKQTLEGQLAPSSRSSIKELEALDHWNNFEEPQSD >OMO95214 pep supercontig:CCACVL1_1.0:contig07716:5510:5569:1 gene:CCACVL1_05490 transcript:OMO95214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASPPPFPPPLSTTANG >OMP01540 pep supercontig:CCACVL1_1.0:contig06416:50:178:-1 gene:CCACVL1_03059 transcript:OMP01540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVFQYAKGRSKGEGLKGKGSGRIRQKETCALESAIGVADK >OMP01541 pep supercontig:CCACVL1_1.0:contig06416:7710:7914:1 gene:CCACVL1_03060 transcript:OMP01541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSFYAVYRVNCIRKITSLRCLTNYSSSLRDHACKTFPFRKRLSPNRASRCRSPLGYRGMGRKRRD >OMP01542 pep supercontig:CCACVL1_1.0:contig06416:9223:9324:-1 gene:CCACVL1_03061 transcript:OMP01542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVARPPRWLSWITPDVESAFEVIPEYLILKS >OMP01543 pep supercontig:CCACVL1_1.0:contig06416:10607:10919:-1 gene:CCACVL1_03062 transcript:OMP01543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKRLVYEIVEEATLLSVRYGRWSVGRYSSGCPALQPHFEALL >OMP02627 pep supercontig:CCACVL1_1.0:contig06215:4943:6897:1 gene:CCACVL1_02741 transcript:OMP02627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MAMKESEANKNPKMESKKSIPPYMKAVSGSFGGIVEACCLQPIDVVKTRLQLDRTGNYKGILHCGATVSRTEGVRALWKGLTPFATHLTLKYALRMGSNAVLQSAFKDSATGTLSNQARLLSGFGAGVLEALVIVTPFEVVKIRLQQQRGLSPELLRYKGPIHCAHTIIREEGLFGLWAGAAPTVMRNGTNQAAMFTAKNAFDVVLWKKHEGDGKVLLPWQSMISGFLAGTAGPICTGPFDVVKTRLMAQSRDGGELKYKGMIHAIRTIYAEEGIRALWKGLLPRLMRIPPGQAIVWAVADQIIGLYERRHIYSPAL >OMP08371 pep supercontig:CCACVL1_1.0:contig03827:2533:2607:-1 gene:CCACVL1_01117 transcript:OMP08371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVKVFGAIPNYKRKQTKDVSLGS >OMO74584 pep supercontig:CCACVL1_1.0:contig11111:33315:34754:-1 gene:CCACVL1_16583 transcript:OMO74584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRGSEMPQRQSPRGPHPLRSSSSDSDPLHHRPVNDRNSPKLGDRRSPRGAPQTDPLNQKKLGTRIADLESQLGQAQEELKNLKDQLVSAEAAKKQAQEELEKKSKKPKAPVPVDIREKNSPAKSQDSKKSESSLREEVPEDNERETDVFEVPVEKVAIEPKVEPDQVDQLEKETKAIEISTEPPAVLEPEKPSLHDLALKDDEINLLKSKLEEKEKELGVFAEENEGLKKQLNEAASNISTAKAKEEEMTLKLKQVEEELDASKANACQLKEKLQSVEGQKEALEEEMKKLRVQTEQWRKAADAAAAILSGGVEMNGRISNRCSSMDKHFGGMFESPAGGYANYVGSPGMGDDMDDGYGSGKRKGSGIRMFGDLWKKKSQK >OMO74591 pep supercontig:CCACVL1_1.0:contig11111:91826:93638:1 gene:CCACVL1_16590 transcript:OMO74591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MALALLFTMLLLLSLPFILILKHSKSTKVAPLPPGPKPWPILGNLLQTMGKKPHLVLAQLAKVHGPLISLRLGTQLLIVGSSSVAATEIIKSHDRQLSGRHIPDVLTTTFGTHPELNRLAWTDLTDEWRSFRALMRANLFSPKMVDNQSCIREKKVGEMLEYLGTKEGEVIQIRDAIFVFVFNSLGNYYFSRDFVTFDGEETENVSKLIKETMKLWSSPNISDFYPLLSFLDLQGLRKKSDECVRKLRLLWDGYIRDRRATELGAPVSDFLDVLIQSGFSKEQISYIFVEIFGAVSDSSTSTIEWAMAELIKSPEQMKKLLKELEEAIPAGQMVKESDLANLPYLHACVKETLRLHPPAPLLIPRRATESCQVMNYTIPKDAQLLVNVWAIARDPSVWEEPLSFKPERFIVNSELDYKGNNFEYLPFGGGRRVCAGMAVATRQVALALASLFLNFEWSLPNNVLPHEVDMDESFSLTMMKEQPLELIPKQRK >OMO74582 pep supercontig:CCACVL1_1.0:contig11111:5040:10954:1 gene:CCACVL1_16581 transcript:OMO74582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MEQDTSTLAIIRNWKHGYRAFLHMRWASAFGFHVSVVGLVGIVGFVLAIIRDGKLGEKRRKQSEKLCLVPGLQNLGNNCFLNVILQALASCSHFQSFLQKILDKCESSPVLEQGENLPLTITLSALLEELGVAGERKVALSPHKVMHALTLYIQNFDLASQQDAAEAFLHLLSSLREEFSDTYLPSQTTLADVFASQTIRILTPQKKEDQSEQERWQQHFLGPFDGILGSILSCQSCSSQISLDFQFFHSLPLLPVVYGGSTIISGCSLEDCLRQFVAAEQIENYNCSHCWHIAAIKYLSSTGANEMEIERLKRCSAEDSCDCRSSLCLEKLPRSNNFSRTLKQLSIARCPKILCIHLQRASINAFGELIKLQGHISFPLILDLSPFMTTDLEIKNWERVHKRPVKLQNLNPSPHLNLFNVQFDTILNHINKPMEEKVSSEILAANEIQYSFCGESFSEKVNLPQADGCSKASKTDMHEQLDDKVSLTRKLHPSETKLYQLVSVVEHFGRYGGGHYTVYRSMRTKSDEDTEPAGMQWFCISDSQISLTNQNSLLSLPSTLVSQSLTFQTSISMTMDEGQATSSSTRRSYWRWSKKDFFPESSFETISSYKAALSQTCPRLTDRLLARSSSTNELVTLQKVSENPMQKCLTWWDLIWLSFGSVVGSGIFVITGQEAHENAGPAIVLSYAISGFSALLSVFCYTEFAAEIPVAGGSFSFLRIELGDFIAFIAAGNILLEALVGAAGLGRSWSSYFASMIKSDSDFLRIKVDSLPQGFNLLDPIAVVVLLVANGIAMSGTKRTSWLNWISSLASGTVIVFIIIFGFIHAKTSNLEPFFPYGAAGVFRAAAVVYWSYTGFDMVANMAEETKKPSRDIPIGLVGSMSGITVVYCVMALVLTMMVKYSEIDVNAAYSVAFEQIGMKWAKYLVSICALKGMTTSLLVGSLGQARYTTQIARAHMIPPFFALVHPKTGTPVNATLLVTMISAIVAFFSSLDVLSSVLSFSTLFIFMLIAVALLVRRYYVKDVTPKNDFMKFLACLFVIFGSSIALSALWNSNKRGWIGYSVAGLIWFLGTLGMAFLSKQRAPKLWGVPLVPWLPCLSIVMNLFLIGSLGYVAFMRFIICSGVMIVYYLLVGLHATYDVAHQNEEVSKVEEGK >OMO74590 pep supercontig:CCACVL1_1.0:contig11111:78896:80311:1 gene:CCACVL1_16589 transcript:OMO74590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MGTKPHLALAQLAKVHGPLISLRLGTQLFIVGSSPAAATEILKTHDRQLSGRHIPDVLTIFAKKPELNRLAWTDLSDEWKSFRALMKANLFSPNMVDNQSCIREKIVGEMLEYLGTKEGDLIRIRDAIFVFIFNSLGNYYFSRDFITFDGEDSKKVSKLVREMIKLSIAPNISDLYPLLSFLDLQGLRNKSDECVRKLRLLWDSYIRDRRATKLFGDVSDFLDVLIQSGFSDEQISYIFVEMLGAVSDSSTSTIEWAMAELIKNPEQMKKLRMELEEAIPAGKMMVKEKDLAKLPYLHACVKETLRLHPPAPLLIPRRATESCQVMNYTIPKDAHVLVNVWAIGRDPSVWEDPLSFKPERFIINSELDFKGNNFEYLPFGGGRRVCAGIPTATRQVELALASLLLNFEWSLPNNLLPHELDMGESFSLTMMKEQPLELIPKQRK >OMO74592 pep supercontig:CCACVL1_1.0:contig11111:96780:98355:-1 gene:CCACVL1_16591 transcript:OMO74592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MALALLFTALLLLSLPFILILKHSKSTKVNPPLPPGPKPWPILGNLLETMGKKPHLVLAHLAKVHGPLISLRLGTQLLIVGSSSAAATEIVKTHDRELSGRHIPDVLMTTFGTHPELNRLAWADLTDEWRSFRALMKANLFSPKMVDNQSCIREKKVGEMLEYLGTEQGEVIQIRDAIFVFIFNSLANSYFSRDLVTFDGEETENVSKLIKETMKLWSSPNISDLYPLLSFLDLQGLRNKSNECVRKLRLIWDGYIRDRRSTKLGAPVSDFLDVLIQSGFSDEQISYVFVEILGGVSDSSISTIEWGMAELIKNPEQMKKLRKELEEAIPAGKMVKESDLTNLPYLHACVKETLRLHPPAPLIQRRATESCQVMNYTIPKDSQLLVNVWAIARDPSVWEDPLNFKPERFIVNSELDYKGNNFEYLPFGGGRRICAGMAVATRQVELALASLFLNFEWSLPNNVPPRDLDMDESFSLTMMKEQPLELIPKQRK >OMO74593 pep supercontig:CCACVL1_1.0:contig11111:105204:108366:-1 gene:CCACVL1_16592 transcript:OMO74593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNCNCIEPHLPPDDLLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFTIHSRTVAMVMTTAKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIHLPVINQVFSSSRAVKISPNCPLARLRPAGKYMPGEVVAVRVPLLHLTNFQINDWPELSTKRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLDVATFNLYAVFREVLNLIKPIPSVKKLHVSLNLAPDLPEFAIGDDKRLMQTILNVVGNAVKFSKEGSISITAFVAKPESLRDPRAPEFFPVPSDNHFYLRVQVKDSGSGISPQDIPKLFTKFAQNQAPTRNSGGSGLGLAICKRFVNLMDGHIWIESEGLGKGCTAIFIVKLGIPERWNESKIPFMPKASTNHGQTAFPGLKVLVMDENGVSRMVTKGLLVHLGCDVTMVSSIEECLRVVSHEHKVVLMDVCVPGMDGYEIAVRIHEKFPKRHERPLIVALTGNTDKVTKENCMRVGMDGVILKPVSLDKMRSVLSDLLEHRVLFEAI >OMO74586 pep supercontig:CCACVL1_1.0:contig11111:49876:50190:-1 gene:CCACVL1_16585 transcript:OMO74586 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MASRREPMKVVIINTKYVETDATSFKSVVQELTGKDSVVTSNSSTKPKRSRFYEEQIKRNNEQAVIGGGGGGGGSILMKNLSFKEFDRLLKDMPSVDDLLWNMN >OMO74589 pep supercontig:CCACVL1_1.0:contig11111:69112:70692:1 gene:CCACVL1_16588 transcript:OMO74589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MALALLFAVLLLLSLPFLILKHSKSTKVAPLPPGPKPWPILGNLLETMGTKPHLALAQLAKLHGPLISLRLGTQLFIVGSSPAAATEILKTHDRQLSGRHIPDVLTIFAKKPELNRLAWTDLSDEWKSFRALMKANLFSPNMVDNQSCIREKIVGEMLEYLGTKEGDLIRIRDAIFVFIFNSLGNYYFSRDFITFDGEDSKKVSKLVREMIKLSIAPNISDLYPLLSFLDLQGLRNKSDECVRKLRLLWDSYIRDRRATKLFGDVSDFLDVLIQSGFSDEQISYIFVEMLGGVSDSSTSTIEWAMAELIKNPEQMKKLRMELEEAIPAGKMVKESDLADLAYLHACVKETLRLHPPAPLLIPRRATESCRVMNYMIPKDAHVLVNVWAIARDPSVWEDPLSFKPERFIINSELDYKGNNFEYLPFGGGRRVCAGIPTATRQVELALASLLLNFEWSLPNNVPPHELDMGESFSLTMMKEQPLELLAKQIK >OMO74594 pep supercontig:CCACVL1_1.0:contig11111:127100:128617:1 gene:CCACVL1_16593 transcript:OMO74594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MALDMVENALVSHERQHPLPKTADPGVQISGNFAPVPEQPVKHRLPVTGTIPECIQGVYVRNGANPLHEPVAGHHFFDGDGMVHAVQFKNGSASYACRFTETNRLVQEKSLGRPVFPKAIGELHGHSGIARLLLFYARGMFGLVDPSHGTGVANAGLVYFNGHLLAMSEDDLPYHVRVTPSGDLKTVGRYDFDGQLKSTMIAHPKVDPETGEFFALSYDVIQKPYLKYFHFSPDGKKSPDVEIPVDSPTMMHDFAITEKFVVIPDQQVVFKLPEMIHGGSPVVYDKNKMARFGILDKNATDASGIRWVEAPDCFCFHLWNAWEEPETDEVVVIGSCMTPPDSIFNECDESLKSVLSEIRLNLKTGKSTRRPIIAESEQVNLEAGMVNRNMLGRKTRFAYLALAEPWPKVSGFAKVDLSTGEVKKYIYGDQRYGGEPLFFPRNPNSENQDDGYILAFVHDEKTWKSELQIVNAMNLQLEATVKLPSRVPYGFHGTFISSKDLERQA >OMO74583 pep supercontig:CCACVL1_1.0:contig11111:28108:29752:1 gene:CCACVL1_16582 transcript:OMO74583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MVVLSKPAIEQFSYIRNSKPPSTLMFPQIPLVDLSKPDSKHQIIKACEEFGFFKVINHGVPLEFISRLEAEATKFFSLPLSEKEKTGQPQPYGYGNKRIGPNGDVGWVEYLLLTTNQDSNMLRFLSGENPESFRFALNNYMTAVKKMACDLLEMMADGLKIQPRNVLSKLLMDEQSDSVFRLNHYPPCPDAHALNGNSNVIGFGEHTDPQIISVLRSNNTSGLQISLRDGSWISVPPDQFSFFINVGDSLQVMTNGRFKSVKHRVLTNSVKSRLSMIYFGGPPLSEKIAPLPSLMGGGQSLYKEFTWFEYKKSAYSSRLADNRLMHFERIAAS >OMO74585 pep supercontig:CCACVL1_1.0:contig11111:40460:44066:-1 gene:CCACVL1_16584 transcript:OMO74585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKLGRRRQVVDERYTRPQGLYVHKDVDVKKLRKLILESKLAPCYPGDEECCCDLEECPICFLYYPSLNRSRCCMKSICTECFLQMKNPNSTRPTQCPFCKTSNYAVEYRGVKTKEEKGIEQIEEQRVIEAQIRMRQQELQDDEERMQKRQELSSSSTAVAPGEVQYSSVAARSSGEEEIVSSQDLQTASIIRQPSHPRANRDDEFDIDLEEIMVMEAIWQSIQENSKQKNLYYGDAGSSVQYVSSDRYISPAMATVAGSSSSPSGGLACAIAALAERQQMGGESSVNHSGEMPAYNMLPSSSRFYNRAGQIAENYPASESPVDMPAEGGMTPARDEGEWGVDHGSEVAEAGTSYASSDVAEDAGGISAIPQQDDTRGSFHNVPGPIVPENYEEQMMLAMAVSLAEARAMTSGPGVSWQ >OMO74587 pep supercontig:CCACVL1_1.0:contig11111:56666:58364:1 gene:CCACVL1_16586 transcript:OMO74587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MARQLGFARVIFFAIFLLVSLANAQKEKIFDVRNYGAKGDGRTDNRKAFLRAWSDACNLKGTSRVYIPRGVFMLGSVEFVGPCKGPIVFLMTGTLRAPAGPSLNTQQWITFRYVNKLIVKGGGTLDGQGASAWPYNTCSKNNNCPTLPISMGFAFVTNARIKQIKSINSKNGHLSFFACKNVNVTNVELIAPAESPNTDGIKIGSSSDFRISRSKISTGDDCVAILSGSKNIDISYVYCGPGHGISVGSLGGSPGDDNVSGLVVKHCTFSGTDNGVRIKTWESPYKSIASNFTFEDIVMDKVRNPIIVDQTYCPHPPCSQQTSSHVQIRDVTYRNIRGTSSSEIAVSMDCSKSVPCKNIVMSDINLSHLGQGPLKSSCSYVDGRFYGRNNPPPCF >OMO74588 pep supercontig:CCACVL1_1.0:contig11111:59297:63208:-1 gene:CCACVL1_16587 transcript:OMO74588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVADKSGEAVAAAANNNNLVVETAKSESKEFNVQKLVDMFTKLNPLAKEFFPSSYHHNQTKGSNDFNQVPFNKQSVGNENYSNRRRRNNYNQGRRRLNGRSFRAQREDSIRRTVYVSDIDQTITEERLAGLFSNCGQVVDCRVCGDPHSVLRFAFVEFADEEGARAALNLGGTMLGFYPVRVLPSKTAILPVNPTFLPRSEDEREMCTRTVYCTNIDKKVSQAEVKNFFESACGEVTRLRLLGDHVHSTRIAFVEFAMAESAIVALNCSGMVLGTQPIRVSPSKTPVRPRVTRPALH >OMO54251 pep supercontig:CCACVL1_1.0:contig15022:8897:12116:-1 gene:CCACVL1_27939 transcript:OMO54251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bicarbonate transporter, eukaryotic MENFKAPFKGIAKDVRGRAACYRQDWFNGLRSGLGILAPTAYIFFASALPVIAFGEQLSRDTDGSLSTVETLASTALCGIIHSIVGGQPLLILGVAEPTIIMYTYLYNFAKGRKDLGQELYLAWAGWVCVWTALMLFLLAIFNACAIINRFTRIAGELFGMLITVLFIQEAIKGMVSEFKVPKDQDAKQEKYEFQWLYTNGLLGIIFTIGLLYTALKSRRARSWKYGTGWFRSFIADYGVPLMVVVWTALSFSVPSKVPSGVPRRLFSPLPWESASLEHWTVIKDMGNVPPVYIFAAFIPALMIAGLYFFDHSVASQLAQQKEFNLKNPSAYHYDILLLGFMTLLCGLIGLPPSNGVLPQSPMHTKSLAILKRQLIKRKMVESAKESIKKKASNSEIYGNMEAVFIELDRSPETKVVKELEDLKKIVMKGENKGENEKDKFDPEKHIDAYLPVRVNEQRVSNLLQSLLVAASVCAMPAIKLIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFITPGRRYKVLEGVHASFVESVPYRYILMFTLFQFVYLLICFGVTWIPIAGILFPLPFFLLISIRQYILPKLFQPNYLRELDAAEYEEITGAPRLSLNRSFRENDTPHVGNEDEVEVLDAELLDELTTSRGELKVRTVSFSEERKGQVYPEMYLE >OMO54250 pep supercontig:CCACVL1_1.0:contig15022:6283:6663:-1 gene:CCACVL1_27938 transcript:OMO54250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLACSLSTPIRASSGSLKKPDPSRKKPASTSSSWWSPLFGWSSNPEYLNDSNAGDHSERKPDTAESESDPGRSRSRYTLGCFTEEKAKQLRRKTMENAAFHDIMYHSAIASRLASDFPGWPEK >OMO95976 pep supercontig:CCACVL1_1.0:contig07563:18672:19445:-1 gene:CCACVL1_05140 transcript:OMO95976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYPFVCGAFQHHQEEEDDDLPWSIPVSSSPRKCSRRKKDSSKKNPYSTRGLEQFSALLAELEEKRQQIYSQMGTRGLVRFVYKNSNDCVPIVVKLKDNKKEEKGKLSNTKNQQQQPAVSEVINYKPPTPKSQSESEKKLLASPKSPSESDKKLEKKQSFSWNVKSGKLGRPSYYIPAVIILILVLLTCFGRSVAILFTCVGWYIVPAISGEQAGSNFRTSMKKKDFGRKLSGNKLVSGNLSSPNSKKFEVTRDKFP >OMO95975 pep supercontig:CCACVL1_1.0:contig07563:13285:15311:1 gene:CCACVL1_05139 transcript:OMO95975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A22A, presenilin MESSILESIGQEIIGVMSPVSICMLLVVLLVYSLSSSNPFSSAPIRTAANLVYLENPSDSAGQKLEGALLNALVFVILIAIVTFVLVVLYYYNFTNFLKNYMRFSAFFVLGTMGGSIFLSIIQQFSIPIDSITCFLLLFNFTVVGVLSMFSGGMPIVLRQAYMVSLGIIVATWFTKLPEWTTWALLVALALYDLVAVLAPGGPLKLLVELASSRDEELPALVYEARPTLSRNEGNRRSNLGLLVGGVSDSRSVELQAVSNGNVHGDGSQNGRTAVEVRSLENVEGERNRDEGERSPLVVHSRERYSSDSNSSDYSTVIRTRESEIVVDEEMSPLVDMLGMDNEREQVRRDDTGNAVIASRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVCRRALPALPISITLGVIFYFLTRLLMEPFVVGTATNLMMF >OMO95974 pep supercontig:CCACVL1_1.0:contig07563:10075:11634:-1 gene:CCACVL1_05138 transcript:OMO95974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 METWFLILFTASVSLLLLHQAFLNLFFASRKQLILHRLPPGPPTFPIIGNILWLRNSVFQNLEQILRHLHKKLGPMVTIHTGTRPNIIINDRFLAQQALVQSGSLFADRPKPLPANKIMKTQHNISSSTYGPTWRLLRRNLTSEILHPSRVQSHSHARKWVLEILFDSLELQSKAKTGDPVHVLDHFRHAMFCLLSLMCFGDKLTQDEIKGIETVLRRLLTGFIGQFTILNFWPRITKILLRKKWEKLYQLRKEQEDVLVPFIRARKKAKEEKIDDYVLAYVDTLFDLELPDEKRKLDEGEIVSLASEFLNSGTDTTSTALQWIMANLVKYPNVQDKLFLEIKGVMGKTGEEEIKEEDLQKIPYLKAVILEGLRRHPPGHFLLPHRATDDTNLGGFLVPKDGTINFMVADIGRDPKVWEDPMEFKPERFLRNYNDDCSSMEVFDITGRREIKMMPFGAGRRICPALGLAVFHLEYFVANLVWKFEWKAMEGDEISLEEKQEFTTVMKTPLQAYISPRKR >OMO95973 pep supercontig:CCACVL1_1.0:contig07563:7225:8763:1 gene:CCACVL1_05137 transcript:OMO95973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 METWFLILLTISISLLLKAFLNLFSSSKNPTLPPGPPIFPIIGNTLWLRRSIIQKFEPILRDLHSKLGPIITIHVGRNPYIFISDGSLAHQVLVQNGSLSSDRPKARAANKIINSNQHNISSAAYGPTWRLLRRNLTLEILHPSRIKCYSHARKRVLEILFDGLKSKAQSGEPVQISQKQIKEIETVLRRVLLGFLGKFTILNFWPRVTKILLPKKWEQLYKLRKDQEDVLIPFIRARKKAKEENLGKKESDDYVLAYVDTLFNLELPEEKRKLDEGEIVTLAAEFLNAGTDTTSTALQWVMANLVKYPNVQEKLLIEIKGVMEKTGEEEIKEEDLQKMPYLKAVILEGLRRHPPGHFLLPHRTTEDIVLGGFLVPKNGIVNFMVADIGRDPKVWENPMEFKPERFLKNDPMEVFDITGSREIKMIPFGAGRRICPGLGLASLHLEYFVANLVWKFEWKAMEGDEISLEEKQEFTTVMKTPLQAHISPRKR >OMO66849 pep supercontig:CCACVL1_1.0:contig12506:17398:17514:1 gene:CCACVL1_20964 transcript:OMO66849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNTTKALTFKSLAFTVDPHRQSSKTITEANPSPLIR >OMP10642 pep supercontig:CCACVL1_1.0:contig02082:634:816:1 gene:CCACVL1_00838 transcript:OMP10642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LANTCQTVRLLATSRSKSRPPLTDPEPGGPLFPRAMVRTPDSALYITLDASMRLDLCLLL >OMO64809 pep supercontig:CCACVL1_1.0:contig12731:69035:70588:1 gene:CCACVL1_21610 transcript:OMO64809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retroviral aspartyl protease MSDGVQTRIQKEVTTLQKEVQRLDTTIEHTATVLRTEFRSGIDAMNTEMKKLFEPVMLKLDSSAAIRVDSPTSHGSSSATLGVVETGKLTLGSSHNFMDRATAKKIGWPIHHIAGVGVTIANGDKLWAQSICYGVQWEAQGLSQTTSFMLLPLSGCDVVLGVEWLVSLGPIL >OMO64810 pep supercontig:CCACVL1_1.0:contig12731:96928:108616:-1 gene:CCACVL1_21611 transcript:OMO64810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIFVAELAGLKFICANQSSPHWFTVSLFDEFVDMEMVIRLIMQ >OMO64808 pep supercontig:CCACVL1_1.0:contig12731:66263:68351:-1 gene:CCACVL1_21609 transcript:OMO64808 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein SMG9 MAGSTGGSGSSNPLTNPSAPKILLAKPSAGPVPGKFGHGGAEDETAAHRARLPPVGSLNLLSDSWEFHIDRFLPFLTENTDFTVVGIIGPPGVGKSTIMNELYGFDGISPGMLPPFAIQSEDTRAMARHCTVGIEPRISAERLILLDTQSYP >OMO79091 pep supercontig:CCACVL1_1.0:contig10495:16652:18408:-1 gene:CCACVL1_13920 transcript:OMO79091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DMSLESQSCFLIFGAGSWLLWNDNNVEVERLCKGRYEMHADIEPVVEDSNDE >OMO90810 pep supercontig:CCACVL1_1.0:contig08423:215:742:1 gene:CCACVL1_07277 transcript:OMO90810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EELRLELASTGHITISGERIVNENKSIYFEETLRLPENSDTDNIKGKLDGDFLHVTVPKKSAVVENKQDDESKNDNANKMGENNTSVSVHEDPKDNIDENQRKHEGGQGDNEQHDYDDNEVKGGISKDITCVAAAFPLEMAMKFLKKNKGVVLSAVIAFSIGMLVCRTFESSAGE >OMO90811 pep supercontig:CCACVL1_1.0:contig08423:6321:9506:1 gene:CCACVL1_07279 transcript:OMO90811 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MTTSSQHHPPQPPKTVRKVIVEVVDARDLLPKDGQGSSSPYVIADFDGQKKRTSTKYRELNPIWNEALEFTVSDPENMDVEELEIEVFNDKKFGNGSGRKNHFLGRVKLYGSQFAKRGEEGLVYFPLEKKSVFSWIRGEIGLRIYYYDEIVEDQPPPPPEEPPPQHLQQLPPEEPKPTPGLVVVEEAGRVFEVPTAHVEFPHGGVHGPIPCYPSPPVVVVEESPPHVVHVHEEHPPPAEAMAMPPPMASGIPVPEVHFAVPEVRRMQSSRGERVRVLKRPNGDYSPREIGGNKTQGDNAATAGAAAAERIHPYDLVEPMQYLFVKIVKARGLAPNECPYVKIRTSSHYLKSKPTIYRPGEPTDSPEWQQVFALGHSKQDSASATLEISVWDSQTENFLGGVCFDLSDVPVRESPDSPLAPQWYRLETGAIDQNSSRVSGDIQLAVWIGTQNDDAFPEAWTSDAPSVAHTRSKVYQSPKLWYLRLTIIEAQDLQIAPNLPPLTVPEIRVKAQLGFQSVRSRRGNMNNHSMSVHWNEDLIFVAGEPLEDSLILLVEDRTNKEASLLGLVMIPLVSIEQRIDERHVASKWFGLEGGGGGGGGPYCGRIHLRLCLEGGYHVLDEAAHVCSDFRPTAKQLWKPAIGILELGILGARGLLPMKSKGGGKGSTDAYCVAKYGKKWVRTRTVPDSFDPRWNEQYTWQVYDPCTVLTVGVFDNWRMFGLGNAEVSVSVSEDKPDSRIGKIRIRISTLESNKVYTNSYPLLVLTRMGLKKMGEIELAVRFACPSLLPDTCSAYGQPLLPRMHYLRPLGVAQQEALRGAATKMVAQWLARSEPPLGQEVVRYMLDADSHAWSMRKSKANWFRIVAVVAWAVGLAKWLDDIRRWRNPVTTVLVHVLYLVLVWYPDLIVPTGFLYVVLIGVWYYRFRPKIPAGMDIRLSQAETVDPDELDEEFDTIPSSKPAEIIRARYDRLRILAGRVQTVLGDFATQGERLQALVSWRDPRATKLFIGVCLAITLILYVVPPKMVAVALGFYYLRHPMFRDPMPPASLNFFRRLPSLSDRLM >OMO90812 pep supercontig:CCACVL1_1.0:contig08423:12789:14291:1 gene:CCACVL1_07280 transcript:OMO90812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic porin/Tom40 MNPGLYFAIGKNARDLLYKDYAQQKQPFQLGYHSLDWSLDLSCQIEEILPRLNSVFRVVIPDSGKAEVQYLRDYLGFSASVGLRANPATATATGLDPIANISGVIGTSLISLGADLGFNITTRTLQDLSAGLSFSTTFLIASMTWSDNFDTVKASFYHALNAPTRTALAAELKHRFSMDSTSTLTIGAQHALFPCTMIKARLNTDGKVSALLRHQVLNKFHVSIAGETDFKDSINTPRIGLSLALNP >OMO90814 pep supercontig:CCACVL1_1.0:contig08423:18286:18366:-1 gene:CCACVL1_07282 transcript:OMO90814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMQETHSAAVVVKTANKRLAVTVQ >OMO90816 pep supercontig:CCACVL1_1.0:contig08423:50963:51121:-1 gene:CCACVL1_07284 transcript:OMO90816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILPFSKPLLPLSPPPFSLALLPHSISPLLNDLNPKPEALFASSQKFCCIRRI >OMO90815 pep supercontig:CCACVL1_1.0:contig08423:38046:40194:-1 gene:CCACVL1_07283 transcript:OMO90815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MVESTIGVDAGNSKIQRRVETINGVGARNVVIQRGRAESTNEVLDGNSEIQRRVDSTNGDGAVNAEIQGGRSQSTNGVAPRNFEFQNGAESVDGVAANVNSNNTSLTNPQNGKRGRGLNKGRPTPSNPSEKIELHLLDNNDFMEDGVPQDITSTEKYCWDKDISETVYNIWYDKADRGFRDSMSRARKAPCIAAVIDPNDYNADFTPLKDYPAKWLAAQIWNDFVDNYWSSDVWRKRAEKASKNRNSLKEGSITKHTAGSRSFSKWRHSMKKPSGEYTTHAEVFQKTHTRRTGGYVDPKSELVIKRYNSALKEKHGEGSAEKIDFDPAAWKFAVGETSRGHLYGFGSFQNPRAILEGSSRQNPTNHSNMPAEIPEAMKKLFQKWLSEKLPGMLNSWGYHPSANASANNSTISASSRGNEVLQSSQNDSEKTASDGDNDDYPEDLC >OMO90813 pep supercontig:CCACVL1_1.0:contig08423:14915:17112:-1 gene:CCACVL1_07281 transcript:OMO90813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MGNLFCCVQVDQSTVAIKERFGRFEDVLEPGCHCLPWFLGSQLAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKANDAFYKLSNTRTQIQAYVFDVIRASVPKLNLDDVFEQKNEIAKAVEEELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAVRDVATQIRDGLLQAAQSHQ >OMO88740 pep supercontig:CCACVL1_1.0:contig08948:3258:3326:1 gene:CCACVL1_08234 transcript:OMO88740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NEISQLQNVHPVLQENPENLEL >OMO54099 pep supercontig:CCACVL1_1.0:contig15055:31844:32761:-1 gene:CCACVL1_28056 transcript:OMO54099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vitamin B6 biosynthesis protein MAEDGVVTLYNNTAITDAKKNPFSIKAGMAQMLRGGAIVEVSNLRQAKIAEEAGACCLVLTEPNRQGISRMPDPSLIKEIKRAVSVPVMARSRVGHFVEAQILERVGVDYIDESEVLAVADEDNFINKHNFGSPFVCGCRNLGEALRRVREGAAMIRTQGDLLGTGNIAETVKNVRSVMGEIRILNNMDEDEVFAFSKKIAAPYDLVAQTKQMGRLPVVHFAAGGIVTPADAALMMQLGCDGVFIGSEVFDNCSDPYKRVRGIVEAVRHYNDPHVLVENSCGLEEGMAGLNVSEERIEQFGEGHA >OMO54098 pep supercontig:CCACVL1_1.0:contig15055:28129:30204:1 gene:CCACVL1_28055 transcript:OMO54098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MDFWPEFLASSWGKEFVAGGFGGIAGIISGYPLDTLRIRQQSLNSGSALGILRRVVATEGPGALYRGMAAPLASVTFQNAMVFQTYAILSRAFDSSVSTTDPPSYKGVALGGVGTGALGSILLSPVELVKIRLQLQNTSYTTLPSPAAHTGPVNLARNILKTEGLRGLYRGFTITALRDAPSHGFYFWTYEYMREQLHPGCRKSGQESLRTMLVAGGLAGVASWVCCYPLDVVKTRLQAQSPSSPKYSGIIDCLQKSVKQDGFGVLWRGLGTAVARAFVVNGAIFSAYEIALRCLFNNGTIQTENTI >OMO54103 pep supercontig:CCACVL1_1.0:contig15055:45650:52434:-1 gene:CCACVL1_28061 transcript:OMO54103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGEPKFKAFPYKPYSIQMNFMEALYQSLDKGGISMLESPTDDEPDWVKNFVVNKDHQVDDKKSNKKKYGFGSVKFEKRKNKDSYKDLFSRDADEECLNQKKECKRSVKKDDAEELGDEEFLLEEYESEEEGGLNSKRKASKFSISSSSDEEEDESEEEEEVKLKVYFCSRTHSQLSQFIKELRKTVFANEMNVVSLGSRKNFCINEEVLRLGNSSRINERCLELQKNKKKQVSKIKNLGAEGRIRRTKASSGCPMLRNHKLQKQFRSEMSEQGALDIEDLVQLGRNIGTCPYYGSRSMITTADLVVLPYQSLLSKSSREALGLNLKDSVVIIDEAHNLADSLISMYDAKITLSQLENVHSYIEKYFGRFRSVLGPGNRRYIQTLLVLTRAFLQVLLHEKDASCLNTCPDAERVVEKQTFDSSMAINDFLFSLNIDNINLIKLLQYIKESNIMHKVSGYGDKMTTLEKVSAVKENGECCDNGSTLSSFQALADMLFSLTNSDGDGRIIISRKRPTCSGQEAHLKFVMLTGEKIFSEIAHEAHAVVLAGGTLQPIEETRERLFPWLPSDQLHFFSCSHIVPPESILPLVVSRGPSGRSFDFSYSLRSSSAMIEELGLLLCNLAKVVPEGIVVFFSSFEYEGQVYAAWKTSGTLERIMKKKHVFREPRKNTEIETILKEYKEAIDSPAPKSGAILLAVVGGKISEGINFSDGMGRCIVMVGLPYPSPSDIVLLERVKHIEGLGDSSYMKSLQLSSSEEYNGGDVQAGFSILRSCRRRGKEYYENLCMKAVNQSIGYQKLSKPPLLEVPFSCTSCSRRKHPKDQFYYEDWELGLDEARQRKDSMTLKEKIAEEDDCSESFMNFADKSPVKEKKQVGGKEERKRVGSSKLRKGEEQFYYKRNGGSNALAQKMKELEMMDVGDMEHVLDVEEALHYYSRLKSPVYLSIVDRFFTDMYSEFSVPQASASINTSKRRFGSIRL >OMO54100 pep supercontig:CCACVL1_1.0:contig15055:36128:36256:1 gene:CCACVL1_28057 transcript:OMO54100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNVSGSERDPSKVGVLNEKTQKRAANKFYDRAHHVGDGGC >OMO54102 pep supercontig:CCACVL1_1.0:contig15055:42796:45015:1 gene:CCACVL1_28060 transcript:OMO54102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MGKLSFIVFVLCIWISFKSTSHSSNGSNSSPSSVNSDSAWVFQDILLDGEAFEDPQASARLLEYDFYRDICPEAEKIIRTRVHQLFKIKAAVAPALVRLAFHDCFVETGGPFYPLNTGRRDSTAAFADSATNELPSPHADLSETLASFSSKGFDERETVSLLGAHSIGVIHCNFFQNRLYNFRGTHQPDPSLDSEALNQMRTTCPKKDLSASPAPSPDFEGSPLPTSRSPSLYNELLAAPPASFDNLLLLPSKTQKLAMAYDGTGPNFGTVYYRSLLQGKGILYADQQLMAGEETGLWVRAYASDSSLYQRDFALAMMKLSNRNVLTAPRGQIRLNCSRVA >OMO54105 pep supercontig:CCACVL1_1.0:contig15055:55102:62319:-1 gene:CCACVL1_28063 transcript:OMO54105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRQVTVRDLVEEAKKRLVILAICVVGLSYLMSLTSSSVLVNLPAAASLIILLRYFSLDYEMRKKAAIYSSKPASTNTSTAKQPPESLKVVQRSDWRRKVKSPVVEDAIDHFTRHLISEWVTDLWYSRLTPDKEGPEELVQIMNGVLGEFSDRMRNINLIELLTRDLINLICSHLELFRLNLAKIEKQKSEPLTIEYRDTEIRRVLAAEGKLHPALFSAEAEHKVLQHLMDGLISITFRPEDLQCSFFRYIVRELLACAVMRPVLNLVNPRFVNERIESAVISMTKAKGGFSAVQDASECKPNGSPRISSDHFSKFVDPSVTGVELVQLKTDQSKALGGSAAMDNLNGTHLSKEPLLSMDTRSSRSWSSVPLNSQTGAERVIERHRSGGEWGDMLDLISRRKTEALAPENFDNMWTKGINYKKKEGEKRLIEQVPQHTSAVDQSKAISKTREKYPTKLNSSESRGAQSASIDPRKIEKSFPHEPRNASYCSSVVSYQEDDEHSLVDLEEVESESSDSFTEEETGNVTGLGSPGTKVWDGKSNRNLTVSQIHHPLENPEGYAKKAGGKHVQYRRLTRTPSSRKRSRLTSQKLPVWQEVERTSFLSGDGQDILNLPSGHGKADDSSDDSETEFFGRIYSGESASSSTASMSLSESCSLTANSLQNSLGVDSFFKLRCEVLGANIVKSGSKTFAVYSISVTDVNNNHSWSIKRRFRHFEELHQRLKQFPEYKLHLPPKHFLSTGLDIHVIRERCRLLDGYLKKLLQIPTISGSIDVWDFLIVDSQTYVFSNSFSIVETLSVDLDEKPSEKNKKASNVMGPLSSRREHLDNGIKESTLQMKPNLAIDGFRNAKDMSSPSKIPGKERGKSFEDSGSDSETRVQNNLVMRNTGKNIKGRENEGMEDTSELLLDAATYSTLPIEWVPPNLSAPILDLVDVIFQLQDGGWIRRKAFWVAKQILQLGMGDAFDDWLIEKIQLLRKGSVVASGIKRVEQILWPDGIFLTKHPSRQRPPTSSNTSQASPHSSQAPEVSSPRLSDEQQQLEAERRAKFVYTLMIENAPAAIVGLVGRKEYEQCAKDLYFFIQSSVCLKLLSYDLLELLLLSAFPEMESVFKQLHEEKHKFGEFKVNY >OMO54096 pep supercontig:CCACVL1_1.0:contig15055:15790:16678:1 gene:CCACVL1_28052 transcript:OMO54096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation machinery associated TMA7 MSSKQGGKLKPLKQPKAEKKELDEVLQLINLNYIIIFKSICYVETREIDSALLAYLDYHLYDLFCGSFILHGPGVIMPNILELILFCILSSNCIFPKNSVLGENDKALLQKKKEEEKALKELRAKAQQKGSFGGAGLKKSGKK >OMO54104 pep supercontig:CCACVL1_1.0:contig15055:52827:53918:1 gene:CCACVL1_28062 transcript:OMO54104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37e MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCAACGFPAARKRKYNWSVKAIRRKTTGTGRMRYLRNVPRRFKTGFREGTEAAPRKKVAAASA >OMO54097 pep supercontig:CCACVL1_1.0:contig15055:18070:19558:-1 gene:CCACVL1_28053 transcript:OMO54097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTTATVTKPSLILPSSFPSCNSTSSSTSTSNSSSCIRFQHQTHNISCLYLGASSRGFRRNPITIVPGTRYKVWKRHVVCMAPEEERITRRSPLDFPITVLANI >OMO54101 pep supercontig:CCACVL1_1.0:contig15055:36766:41949:1 gene:CCACVL1_28059 transcript:OMO54101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQGQRSGTTGVQVHHQRQYSDNFLDTTSNGRWLQSAGLQHLHSSNNSIPPLQDYAFYGGGGGGGGGGGQGSRMYRNAQRGFNGGNDFFTEPTTPPVSSRPSSQRKNGDDSPNEFSPGLLDLHSFDTELLPEMPVPNLYDAPPLYNPVRGRSFDDSEPYIANNKQAGRARNASENNLLKSFAVDKEKINSVAKIKVVVRKRPLNKKELAKNEEDIIETASNSLVVHETKLKVDLTEYMEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFQRTKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHHTYRNQGFHLFVSFFEIYGGKLFDLLSDRKKLCMREDGKQQVCIVGLQEYRVSDVETIKELIEKGSATRSTGTTGANEESSRSHAILQLAIKRSVDGNESKPPRLIGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDQGHIPFRGSKLTEVLRDSFMGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNSKKEVISSTLNLKESTALPLPSVPTASSFEDDFNDTWPDQNERDDFDASEDTYEQEKPMWRKNGKPDQYGFSTSEDKLRKPNGQTKWKEPLRSDFKPSKSDDDLNALLQEEEDLVNAHRKQVEETMNIVKEEMNLLVEADQPGNQLDDYVSRLNAILSQKAAGIMQLQNRLAHFQRRLKEHNVLVSSSGY >OMO54106 pep supercontig:CCACVL1_1.0:contig15055:73775:76174:1 gene:CCACVL1_28065 transcript:OMO54106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MASRRRMLLKERFQSLGVAFYRGADCCVLVYDVNVMKSFDNLNNWREEFLIQAAPSDPENFPFVVLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGFNVDAAFECIAKNALKNEPEEEIYLPETIDVAGGGRSQRSTGCEC >OMO54107 pep supercontig:CCACVL1_1.0:contig15055:77117:77425:1 gene:CCACVL1_28066 transcript:OMO54107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATRGQESTGLLDKILPPRLEDAGLEDCALPPDSIHEAFLKAASAVKSRAASFFHSDDEDEDEPSCLDDPFPDNAKCSSDILASPPLPDMSDLVVVDPASDS >OMO54095 pep supercontig:CCACVL1_1.0:contig15055:8892:9518:-1 gene:CCACVL1_28051 transcript:OMO54095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRGRRGRKKKMARDQDYGAVIRMIAKLWVEMKGHRLLKAKLIVVLLISGEDVEVPLLAANVD >OMO66515 pep supercontig:CCACVL1_1.0:contig12545:1904:3583:-1 gene:CCACVL1_21111 transcript:OMO66515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSKSIFTSSFSETKWVEEITAVMKNNKQAKEVLVSVYEVPEALRSVNPEAYTPQLLAFGPYHHFKQKLYQMQRFKVAAATKAQTEWLAVEFEKLVAQIRLLALNVQTCYQTHLDIQKDTLALLMAIDGLALLKVLHSSLSYYKNPDKSLTNNPFSDFYGRSPDLDMILRDMLMLENQIPMIVLAAIAENCHEPNNNLQYFVEFIEPISPLQLATKVVPASEIVNYKHLLDLFYHLLCPKEDTTSTGHIQIEIPSSIEMMMMMEDSDSKSPNSNFLRKLLLALSAKLRFRKSGEKAEENSSNRKDHQPPDCGVFKKFLASLSNFQFRFAALPEMLVNLLLTSLELLGISAQDFFDKDRAWIPTASQLAKTGVKFNICQGIRNIEFDKKNLVLQLPVITLNDKRDQDEILSSKRTTEVILRNLIAFESLSKDQSQSLTFTRYTQLMNGLIDNDNDADVLKGAHIIKGDLASVEIANLFNGLSETIEPKDRDINIDEAINNINRYYDNTLRVKINKLLKKYIYSSWRFLTVLTTFLLLAMMALETFCGFYECKTVRFKSS >OMO87125 pep supercontig:CCACVL1_1.0:contig09320:11076:11156:1 gene:CCACVL1_09253 transcript:OMO87125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVNNGTLSPKRPGTPKRLATPTIPQ >OMO87124 pep supercontig:CCACVL1_1.0:contig09320:3712:4071:-1 gene:CCACVL1_09252 transcript:OMO87124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein MLGSGGMSSSHSATVIALAVAISLQEGIGASAFVVSLVLAFVVMYDATRVRLHDGRQAEVTVFYVIGVYCFLHMDCDLLSRLLGNLPMFG >OMO50646 pep supercontig:CCACVL1_1.0:contig16098:7047:13954:-1 gene:CCACVL1_30336 transcript:OMO50646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MAGLHGEEFEELKQDMDEIKEQLRQVLAAVNRLSVAIENPVREGAAQEKTNNLDEMVKKPKDNGKLQAKTTNDAPPRYVYYPTAPYYTRGEGSYENQVHYHPGTLAVSQEKPLGAIGKKEDDSAYENKRMLNLIEERLRGLEGWSSYYGLINAAELSLVDGLVIPPKFKAPEFEKFDGTKNYPNNHLKSYTRKMGPYSANEKLMIYCFQDSLTGTTSAWYNQLDKHRIRSWDDLAKAFLDQYKYLIELAPNRETLKALERKPTESYTEFAQRWRDMLLSYQQPTQSLMNYYRSPTPAPYSYQPVNNVGGTSYRAPAPNQQSPVLTPQPNLVRRVQYQRPLQPPFPAWYDVNPYCDFHCGAQGHSTENCLRLKQEVQALVKSGKLSFPKIEQPNTTGNPLPNHNGGQVNAICGGEIVIRDVHKDCKVFKAFVQKLMDESRIQFYIEVPMEDVHVVIEEEKLKPFIPTLPTSNHCVPWRYDVNVSPQTTKFQTDSANITGVGEMTRSGRCYSQPMKEAIRREEGFEKGCPVDKSPVMEQGQSSAQGEIGKPCFTEKEACEFLKIIKHSEYSVVDQLNKMPARIFILSLLLNSEAHRKTLLRVLSQAYVEQEITVENLDHIVGSITAGHITFTDEDIPDGGRNNLKALYVSAGCNGFHVGRILIDNGSALNIMPYHSLEKLSLDLSYIKPNNMMVRAFDGTTRSVRGDIELQMTIGGVEFMMIFQVMDISPSYSCLLGRPWIHMAGAVQSTLHQAVKFRSVGWENSSEKIDALHLATEVSAVRGKFMELLVNAVEDESEDRPTGNECKDEPESPANIFERPVCNNDMSEEYVEDPVLPSDLMRLIEQEDRQIQPHKESTKSIIWDMSGLNPEVAVHRLPIRPECKLVQQKLRRMKPDMLLKIKEEIKKQFDAGFLEEIRYPEWQANIAPVPKKDGKVRMCVDYRDLNKASPKDNFLLPHIDILVDNTARHSLFSFMDGFFGYNQIKMAPEDKEKTAFVTAWGTFCYKVMLFGLKNARATYQRAMVTLFHDMMHKEIELKLNPSKCTFGATSGKLLGFVVSQNGIEVDPDKVKAIQNLPPPRTQKEVRGFLRRLNYIARFISQLTAKCDPIFKLLRKNNPGEWDDECQAAFEKVKEYLLNPPFLMPPERGRPLILYLTVFEKSMGCVMAQKEKSGRKEHVVYYLSKKFTEYESNNSPLEKMCCALAWAAQRLRQYMLYHTTMLVAKLDPLRYIFEKPGLSGRIARWQVLLSEYDIVYVSQKAIKGSAMADFLADKVSNDYEPVKFEFPDEDLMAVMNVENEVSEEPSKWKVYFDGASNMLGHGIGVVFVSPDGDHFPATTRLDFPCTNNIAEYEACVFEILMAIEKKVKVLEVYGDSALVVYQMKGEWETRDSKLIQSMVLTMIRGRLGECHWDIFWMGRFIKKEIICRYGLPERIITDNAMNLNGKLIAAACAQFIITHSNSAPRRPKMNGAVEAANKNIKNIIRKVTETYRDWHEKLPFALYAYRTCARTSTGATPYSLVYRMEAVVLVEVEIPSLRVYREVKLEEAEWEQERYDQLNLLGEKRMIALCHGQAYQKRMIRAFNKKVHPRQFKEGELVLKKILYEQRDPRGKLAPNWEGLYVVKKAFSRGALILQEMDGDELPSPINSDAVKKYYA >OMO57268 pep supercontig:CCACVL1_1.0:contig14417:6002:7840:1 gene:CCACVL1_25867 transcript:OMO57268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEQRRKRKRIYRPYSRAQFLSVRSLILCLSFLVFLLFLSSDRFPIRTASFHPVLSVPSLSILSKSSLEDSFLGKLRLPVKIEDRVLFPDHLMLLVSTKIDQADKFDCVYYKVLIDSEENKDKEMVHQPVLSIDEYDGFRWIVRCPLPPLNHSAVSVELRRRDGMVYNWSSRINNQTVHSWDRLVYEAAFDGKTAVVFAKGLNLRPHRESDATQFRCQFGFGNYWDKDEGFMLTTEAVAAAQEVVRCSLPRSIRNNPEKAHGIRVTIVHAGESDSAREPMSSSVRMQNSRGYEHKRNHRRHKEDVPLPSVAKLYNSKSYQKKRMGGKYELCACTMLWNQAPALREWIMYHAWLGVERWFIYDNNSDDGIQEIVEELDFQDYNVSRHTWPWIKTQEAGFSHCALRARDECKWVGFFDVDEFYYFPRHHRRGSLGQNLLRSLVANYSSSKTIAEIRTACHSFGPSGLSLPPAQGVTVGYTCRLQSPERHKSIIRPDLLNDTLLNVVHHFQLRKGYRYLNVPESSIIVNHYKYQVWETFRAKFFRRVATYVVDWQENQNQGSKDRAPGLGTEAIEPPNWRLQFCEVWDTGLRDFVLANFADPATGALPWEKALL >OMO57269 pep supercontig:CCACVL1_1.0:contig14417:9221:13126:-1 gene:CCACVL1_25868 transcript:OMO57269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRILHIAIPWSPRPRPRRPRSSPLPNFPRFIRASSIQRGSYKGPKPGRDWIADWVSKNDDTVRSLPIYVGGASLLAVLFNRAVSGIAPVADASSSQSRADLLTLGLAVTNILAGLVWLSIRPKTITPVDPQGVECKVIYPHLSQSVVSEMFWAWESLSAVTCCRSLVIVYDCKCIVQIGVAAKLQNDDNPVALDAAKLMQGSLYQGVLKSGAQSYLANLSLYPGRSELPFLPSNTQAVILQPLGDKGIAILGGDIIRGFTTSDQAWITYVGDKLDATLAKYMSNKPAVVQE >OMO77081 pep supercontig:CCACVL1_1.0:contig10827:50139:60174:-1 gene:CCACVL1_15211 transcript:OMO77081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQRKQAEKGDSDIYSASSFQVGKVPKKNNVTRISNIRPPVGIIIREPGACSNPITGNVMDSNGRSGRINLDQNMLPQMDRGYKVFDFSMFSGEDGQSTSEHIGRFSIRCGDVSDYVKLRMYGAAFNWFINLPANSILNWHQMKTAFHAQVYRTEPEVTMADLAKVKQKPNEKVEDFIARYRQVKSRLLVQLPEREHVSIARSGLVLELRKKFEDREFVDLIQMASCVSKYERLLEKDDGKRRVPRATYYAQNEVNVGLGYYFDDDVDINVAELNLSKPNFCAERVNSSQNREKKSFKPPPDTSIDRWFSKLYVDLQEMGLPPYRDIPRTQRRKWQKFNQEAKQNYMKMAKDWGLTDRTYVVPTEELDDDEMQVNAVQMEEKAGGELEDKNPRFDRKKEESKGESSHQGINGTEEVSTESMASLTLEDEEDWIPKANVVEEESNSISVESSQPNEIMVESKQWKVSFVKPPTELTQHLRPLYIFAVMNGLPVKKILVDNGAAVNVIPHRMLSKLNAESIELLPSDIVISGFDGGATRAKGRDWIHSNSAIPSSLHQIVLLWKENGEIEIVQADNRPFLVSAGCLDPQLYSNTYVKETLKKVQAYSIEKSLFHEEDAKSVCIVDSQVSLSEEREKEEVHVTVQDPLTEVNLGADSGESKPTFVSSFLLESQQKQLVSLLIKFKDCFAWDYIDMPGLSRDLVEHRLPIKANVKPHKQPARRVALDIYPKIKEKIERLFKTGFIRPARHVECLSNIVPVMKKNGKLRVCIDFRNLNNASTKDEYHMPIADMLIDSAAGNGVMSFLDGYSGYNLIFIVEEDISKTAFRCPEKSLGALLAQDSREWKEQVVYYLSRNMIDAELGYSAIEKLCLTVYFACMKLRHYLLNERVDLICKYDVVKYMLNKPVMRNRIGKWVLALSEFSLNFVPQKAVKGQALADFLADHPCQMTLPELDEVMLVEPVPWILEFDGSKTELGAGAGIVLTSPSGKVFKFSFSLLFKCSNNQAEYEALIAGLELLIELKTYSVLIKGDSQLVIGQLSVNGELFKRGADGVLLKCIDDKESMRVMGEVHEGMCGSHQVGRKMMWLVKRTSKRTATGTTPYALSYGHDDVLPVEINVRSLRVQIQNELLLDEYVELMHLEKMDLDETRIQAFEMLPLGVNDPKYGKWSRSWEGPFQVNKVKSIRIRMLWRTSNFQDEVCHRDNILVQGSVASANRVAVGHSEIQKERVDSAIGSSENHGERVESTHGVAAGNLKFKEEWLNQLMELVLNVEIQRGRAEPTNGVGAGNPEIQRIRAKSNNGVAPRNFEIQSGVESVDGVAVNVNSNNTSSTTQKQRMGRGLNRGRPTPSDPSEKIKLHVLDATYV >OMO77078 pep supercontig:CCACVL1_1.0:contig10827:19438:21810:1 gene:CCACVL1_15208 transcript:OMO77078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAGVKWKHSVLYLAFIYSILYFLHTNFLLLDNRPPRPKKWPQLPLRFRHDGTFKILQVADMHFGTGLLTRCRDVLPSHFPYCSDLNTTRFLQNMIQLENPDFIAFTGDNIFGSSTGDAAESLLQAFGPVIHSGIPWAAVLGNHDQESTMTREELMSFISLMDYSVSQINPPSEDLVDVNGGMMHIDGFGNYNLSVYGAPGSPLANTSIFNLFFLDSGDREIVQGIRTYGWIKESQLHWLRSISQGLQGENEDVNHITETLPVAPLPALAFFHIPIPEVRELYYQNIIGQFREGVACSSVNSGVLKTMISIKDIKAVFIGHDHTNDFCGNLEGIWFCYGGGFGYHGYGRAGLPRRARVISAELRKGDKAWMGVERIKTWKRLDDENLSKIDEQVLWELQP >OMO77080 pep supercontig:CCACVL1_1.0:contig10827:38114:49017:-1 gene:CCACVL1_15210 transcript:OMO77080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSDICTDSLLSNVDQVYEDGKSNLAPTIAMLNTGCNPLPTSSLHTKAMELLKQDYEQKLGNFDAKVSRRQTSTSLAQPIIPFLLTLTGMSLRPLMPAIKPHMPFSFSSMFLRLPISRAPETPRKPNLPPRP >OMO77079 pep supercontig:CCACVL1_1.0:contig10827:35394:37139:-1 gene:CCACVL1_15209 transcript:OMO77079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLQAYANNSDDDDQNHQPSDLSPDSSPPRLIPPKSAAPKVDDTMLALTAAKAHQSHSKPIDPTQHVVAINPTYDQLWAPIYGPAHPYAKDGIAQGMRNHKLGFVEDASIDSFVFDEQYNTFHKYGYAADPSGGNYIGDLDALQKNDAISVYNIPQHEQKKRKIEKKQEMEEDEAAGGEGEVDPTEVDNPASDVWLMKNKKSPWAGKKQGLQTELTEEQKKYAEEYAKKKEEKGHPGEKGEHVVDKTTFHGKEERDYQGRSWIAPPKDAKATNDHCYIPKRLVHTWSGHTKGVSAIRFFPKYGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGTKFLTAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDEDKQNVLLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNTNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGLIKYW >OMO61524 pep supercontig:CCACVL1_1.0:contig13452:38098:40879:1 gene:CCACVL1_23451 transcript:OMO61524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MLTRTRNKALWLLFVFLLEFLLGIAVAADEPKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDTERLIGEAAKNQAALNAERTIFDVKRLIGRNFNDPEVQRDMKFLPFKIVDKDGKPYIQVKVKDEIKVFSPEEISAMILTKMKETAEAYLGKKIKDAVITVPAYFNDAQRQATKDAGTIAGLNVARIINEPTAAAIAYGLDEKGEEKNILVYDLGGGTFDVSILTIDNGVFEVLATSGDTHLGGEDFDHRVMDYFIKLIKKKYNKDISKDNKALGKLRRECERAKRALSSQHQVRIEIESLFDGVDFSESLTRARFEELNMDLFKKTLGPVKRALEDANLKKSDIHEIVLVGGSTRIPKVQQLLKDLFDGKEPSKGINPDEAVAYGAAVQGGILSGEGGEGTSDILLLDVAPLSLGIETAGGVMTKLIPRNNVIPTKKSQVFTTYQDQQTTVTIRVFEGERSLTKDCRELGKFDLTGIPPAPRGVPQIEVTFEVDANGILHVTAEDKAAKKSKSITITNDKGRLSQEEIDRMVKEAEEFAEEDRKVREKIDSRNKLESYIYSMRSTIDDKDKLADKIDSDDKEKIETTLKEALDWLDDNQNGEKEDFDEKLKEVEAVCNPVIKQVYEKSGGSSAGSQEEDEPTDEL >OMO61525 pep supercontig:CCACVL1_1.0:contig13452:54016:54818:-1 gene:CCACVL1_23452 transcript:OMO61525 gene_biotype:protein_coding transcript_biotype:protein_coding description:xylogen-like protein 10 MALITRSSLFIVVIVISSCLVSKGDSKTEGLRHVWENCVGYLSGRVSEVSPECCGAYVKTYETDATSLCPLFRSRCKTSLSTKTRVLSLDPICGGYNGTYPLLPLCPRAPEDVDCIGELTKFFENEKFRYGGGEVPETFPRPFQDL >OMO61519 pep supercontig:CCACVL1_1.0:contig13452:4190:6245:1 gene:CCACVL1_23446 transcript:OMO61519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MEEIDSITLPTKKGIAVGTGNYLVTVRFGTPLKKYALIFDTGSHFTWTQCEPCAGFCHDQVEPIFNPSKSKSYSNISCRAQTCNQVSDEGYLAKEKLTITRSDVFPGYLFGCGQRDRFLTGNRVAGLIGLGRGNFSFVSQTAKKYNKIFSHCLPSDDSSVGYLSFGKSRLPKSIQFTPMSKSFQKTPFYGLDIIGINVAGKRVPIKSSVFTTAGAIIDSGTVITRLPPTAYAQLKSSFQKWMTSYPRAPALSILDTCYDFSNLSVITTPAVGIVFNGGVEVYIDFSGIFYMRNISQACLAFAANKDARDVAIFGNVQQKTYEVVYDDVQGRVGFAADGCS >OMO61523 pep supercontig:CCACVL1_1.0:contig13452:29405:31640:1 gene:CCACVL1_23450 transcript:OMO61523 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MFPGNSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKQNLFSNLISHPKFISDTKSAIADAYNHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDSSLEFLILASDGLWDVVTNEEAVAMIKQIQDPEQAAKRLMQEAYQRGSADNITCVVVRFLANQGGSSHSVSA >OMO61522 pep supercontig:CCACVL1_1.0:contig13452:20871:28086:1 gene:CCACVL1_23449 transcript:OMO61522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPMRNVFATLKRHRHLSLLSTVQHDRPFGTILRHSLASQTETGIARRQDTWHLSNLLQHYRFSTGFVSVHGESPSAEYAKLRKESLETEFGHIVGTHSSKSVSLVRFGPFLAVYRAAIISYHVLKLTVWQFFFRDIKKRAVKFRETLIRLGPFYIKLGQALSTRADILPPVYCQELAKLQDQIPPFSTRVAIKSIETELGIPVSEIFADISPEPIAAASLGQVYKAHLHSGELVAVKVQRPGMSLMLTLDALLFHMIGGQLKRFAKARKDLLVAVNEMVRHMFDEIDYILEARNAVRFASLYSDYPSDDQTFNQNAKDGNTIKSKNAKGIKVPKIYWDLTRKAVLTMEWIDGIKLTDEAGLKTACLNRRELIDQGVYCSLRQLLEVGFFHADPHPGNLVATSSGSLAYFDFGMMGDIPRHYRVGLIQVLVHFVNRDSLGLANDFLSLGFLPEGVDIQAVAGALQASFGDGTRQSQDFQSIMNQLYDVMYDFNFSLPPDYALVVRALGSLEGTAKALDPDFKVIESAYPFVIGRLLADPNPDMRKILRELLICNNGSIRWNRLERLVAAISEQASVSSEEHPNSEESGSHPFQWKSFDIRAVVAATEDLLLFILSEKGLMVRVFLLRDIIKAADVFLQDEGSGCSLDAKSNATETSKSKDKNANITRIVNGFRSLNQAVKLAPEV >OMO61520 pep supercontig:CCACVL1_1.0:contig13452:6984:12263:-1 gene:CCACVL1_23447 transcript:OMO61520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MGGTCAPSSQKKSSANVFLQDELRVTGIGNGAKDSILYQSMSAGLYTVTVGFGSPVKNYKLILDTGSSITWEGDPTIIGNHQLQNLNVLYDIQNQRIGIGPGNC >OMO61521 pep supercontig:CCACVL1_1.0:contig13452:12659:13600:-1 gene:CCACVL1_23448 transcript:OMO61521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSTKQKHRGSASGSASKESRSGSTATTTSSPDWIADSINGGSLKQVELDKGTNGWASPPGDLFSLRSANYLTKRQKSPAGDYLLAPLGMDWLKSTSKLDNVLARPDNRVSNALKIAQSQGKSMKSFIFAFNIQVPGKDQYSAVFYFGTEDPIPPGSLLYRFINGDDAFRNQRFKIVNRIVKGPWLVQKAVGNYAACLLGKALTCNYHRGPNYLEIDVDIASSKIATAILHLALGYVTKVTIDMGFVVEAQTENELPEKLIGAVRVCQMEMSSATVIDEHTPLTQTAAARGMGCSKVNHHKSSSEEEDDDNEK >OMO51420 pep supercontig:CCACVL1_1.0:contig15836:2552:2694:1 gene:CCACVL1_29804 transcript:OMO51420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSAPADTNRLDCRDKNLSFLKLAA >OMO51422 pep supercontig:CCACVL1_1.0:contig15836:20199:20369:1 gene:CCACVL1_29806 transcript:OMO51422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFVPTNIHNHANTINRQRTNCLHFNIIMRIRRRRKPTQVKASPVAPPFGWMPHPL >OMO51421 pep supercontig:CCACVL1_1.0:contig15836:10554:16990:1 gene:CCACVL1_29805 transcript:OMO51421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYPPLPEVIFADELVEVIRILESIVGVKHPQRPSPPLDRVTQKICLLYVGRINEGDSEAANHLMTWLGLEPPKVMDTQQQTGVVNELMVQGLMHSVPRELPLSRDQLPNPRPIRRSAWLAENLGFGRAFDTHVPIAVLCYNARGAANPAFDQTIENLVTQYEPDLLIITETRVSGDKARDIGGKSWIELEGFSEGIWILWDPANVEGDFIHGSRHEMTLKFKVSLNRLLKLQDD >OMO72352 pep supercontig:CCACVL1_1.0:contig11462:22952:37418:-1 gene:CCACVL1_17848 transcript:OMO72352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLSNLSRLSIQDGIAEDSIMGDLDSRISGKAGDKIGF >OMO72353 pep supercontig:CCACVL1_1.0:contig11462:38641:38868:-1 gene:CCACVL1_17849 transcript:OMO72353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFNALGYGGKRAAFFQKFWKIVGPLTIEATLSLRSGYLLKEMNKTLIALIPEKKDPSDVFDFRLSFSSYKMAK >OMO87740 pep supercontig:CCACVL1_1.0:contig09187:33909:34593:1 gene:CCACVL1_08795 transcript:OMO87740 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative receptor-like protein kinase MAMTIALRCVDPDVDKRPKMSQVVRMLESDEYPSPQEDRRRRRNQTVNSDADSQRKNSDVGRTDDLDSRMDSRMAHHP >OMO87739 pep supercontig:CCACVL1_1.0:contig09187:24280:32463:1 gene:CCACVL1_08794 transcript:OMO87739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYTDGYQGRRPIDREEMVRLAALNFG >OMO87738 pep supercontig:CCACVL1_1.0:contig09187:20640:22881:-1 gene:CCACVL1_08793 transcript:OMO87738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIASSASLPQSLTLSAGRCSTRPPFQTRFGPMASSLSVPQQQQSPLIDRRKVLVIATVGLVAGAFSKQDNGMEMAMAASTGFTDMPALRGKDYGKTKMRFPDYIETESGLQYKDLRVGDGPTPKIGETVVVDWDGYTIGYYGRIFEARNKTKGGSFVGDDKDFFKFQVGSQEVIPAFEEAVSGMALGGIRRIIVPPELGYPENDYNKSGPRPTTFSGQRALDFVLKNQGLIDKTLLFDIELLKIIPN >OMO87737 pep supercontig:CCACVL1_1.0:contig09187:16764:18925:1 gene:CCACVL1_08792 transcript:OMO87737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEVEGKFLNVGILIVATIVVAKLISFLIMPRSKKRLPPVVKTWPVIGGLVRFMKGPIVMLREEYPKLGNVFTLNLLNKNITFLIGPEVSAHFFKAPETDLSQQEVYQFNVPTFGPGVVFDVDYSIRQEQFRFFTEALRVNKLKGYVDQMVTEAEDYFSKWGDSGEVDLKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPISVIFPYLPIPAHRRRDRARKKLAEIFTNIINSRKSAGKSENDMLQCFIDSKYKDGRPTTEAEVTGLLIAALFAGQHTSSITSTWTGAYLLRNKQFLSAVVEEQKQLMQKHGSKVDHDILSEMDTLYRSIKEALRLHPPLIMLLRSSHSDFSVTTRDGKEYDIPKGHIVATSPAFANRLPYIYKDPDTYDPDRYAVGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELVSPFPEIDWNAMVVGVKGKVMVRYKRRQLSVN >OMO70743 pep supercontig:CCACVL1_1.0:contig11789:25650:26015:-1 gene:CCACVL1_18684 transcript:OMO70743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFRSKSYRDGGMQIENYYGRGQGQGPSNMQDLRCYSASYANSVVQVQPNQLGKEIKIKKSKSSFGSSSKSWSFNDPELQRKKRVASYKVYAVEGKMKGSFRKSFRWIKDTYTQVVYGWR >OMO70742 pep supercontig:CCACVL1_1.0:contig11789:19880:23939:1 gene:CCACVL1_18683 transcript:OMO70742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKYRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYKILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIANPPPRTPGPGAGPSSGIPPTGAIGDRQSGGEEGRPSGWSSADPTRRRHSGPIISSGSLAKQKSPVANDQPLAKEPMLSGSNFLRSSGSSRRAAVSSSRDAAIAGSDTEPSRLRTIDGSAVTLNKIASGQRSSPVVSSENKRSSSGRNTSNVKNLESALRGIEGLHFNNDERVHY >OMO70739 pep supercontig:CCACVL1_1.0:contig11789:1861:3723:-1 gene:CCACVL1_18680 transcript:OMO70739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MDKESSDIHSSIEDDDSYENEQVQIVHSFPYYIMETIAAGAYSALAKTTVAPLERIKILLQTRAEGFHSVGAYGSFKKIVKHEGVRGLYKANGVTILRMLPHSAFHHMTYEQYRSILHYKCPSVGTGPLLDILAGSAAGGTAFLCTYPLDLARTKYAYQVVDRRKNCSDGLRCSCIHSGHPAHEAHQGIRDVFRTIYRRGGMLALYRGVGPTLGGILPYNVLKFYSYEQFKTMVPTEHQSSVALNLSCGALAGLFGQTVMYPLDVVRRQMQVDILDQNGAKYKNTIQGLKAIIYNQGWRQLYAGLCINYMKVVPSVAIGLASFDAIKRRLQIPS >OMO70741 pep supercontig:CCACVL1_1.0:contig11789:13547:18479:1 gene:CCACVL1_18682 transcript:OMO70741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MTRGRIRARLRRSHLYTFSCLRPSATEEGAHPIEGPGYSRIVHCNQPLMHKKKPLNYRSNNISTTKYNFLTFLPKALYEQFHRVANLYFLGAAIISLTPLSPFSAVSMIAPLAFVVGLSMAKEALEDWRRFMQDMKVNSRKVKVHQGEGIFDNRPWQKIQVGDVVKVEKDQFFPSDLLLLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLDEDEAFKNFSGTIKCEDPNPSLYTFIGNLEYDRQVYPLDPSQILLRDSKLRNTAFIYGVVIFTGHDSKVMQNATKSPSKRSTIERKMDYIIYVLFSILLVISLISSIGFAVKTKFYMPDWWYLRPDDTTDYYNPKKPVISGVSHLVTALILYGYLIPISLYVSIEVVKVLQASFINQDIQMYDEETGNCAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTPYGVRSSEVELAAAQQMANDLEDQAVERSSVSRQKGKQEIELETVVTSKDEKDQKTPIKGFSFEDDRMTEGNWLKEPNADVVMLFCRILAICHTAIPELNEETGSYTYEAESPDEGSFLVAAREFGFEFFKRTQSSVYIHEKYSSSGQAIEREYKILNMLEFTSKRKRMTVIVRDEDGQILLLCKGADSIIFDRLSKNGRLYEPDTTKHLNEYGEAGLRTLALAYRKLEESEYSAWNTEFQKAKTSIGADRETMLEKAADMMERELILVGATAVEDKLQKGVPQCIDKLAQAGLKLWVLTGDKMETAINIGFACSLLRQGMKQICITGINSDAKEVIKENVMMQITNASQMIKLEKDPHAAFALIIDGKTLAYALEDDLKMQFLGLAVDCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSVAQFRFLERLLVVHGHWCYKRIAQMVCYFFYKNIAFGLTLFYFEAFTGFSGQSVYDDWYMILFNVVLTSLPVISLGVFEQDVSSEVCLQFPALYQQGPRNLFFDWYRILGWMGNGLYSSLIIFFLNIIIFYDQAFRAGGQTADMAALGTTMFTCIIWALNCQIALTMSHFTWIQHLLIWGSIATWYLFLLVYGMFSPTISGNAYKILVEALAPAPIYWTATLLVTVACNLPYLAHISFQRCFHPMDHHIIQEIKYYKKDVEDQRMWSRERSKARQKTKIGFTARVDAKIRQLRGRLQRKQPSSLDANSPMSPPS >OMO70740 pep supercontig:CCACVL1_1.0:contig11789:4149:5502:1 gene:CCACVL1_18681 transcript:OMO70740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFFSSMDSCPAIKNILILDSEGKRVAVKYYSDEWPTNSSRLAFEKSLFTKSLKSNARNEAEISMFDSNIVIYKFVQDLHFFVTGGDEENELILASMLQGLYDAVALLLRGTVDKREALENLDLILLCIDEIVDQGMILETDANVLAGKVAIQNMDVSAPLSEQTISQALASAREHLTRTLLK >OMO70738 pep supercontig:CCACVL1_1.0:contig11789:1048:1350:1 gene:CCACVL1_18679 transcript:OMO70738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSLESLQVSTSSGSKEISLQSFEGMELGKAAINEEEEESKLGIGSTPPSCEHKCYGCSPCEAIQVPAITSKRSHLGLQYANYEPESWKCKCGPSFYSP >OMP06897 pep supercontig:CCACVL1_1.0:contig04757:78:698:1 gene:CCACVL1_01411 transcript:OMP06897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTRGHSRVPERLQCKAIGLISPEFRDGRCDRQLDEHVTKTVRQAVKTNRSIGANQLRKFGIGWNELGRDCSEPPYFQRGRSNLPLGCFPRRLSASTSWLGAIRDRAIPSFHLVDVSGIGLSLRKPGPGEFTDGGSSGSATSKVKIFVGGPSLSNPKISVISKLP >OMO96683 pep supercontig:CCACVL1_1.0:contig07400:9633:10011:-1 gene:CCACVL1_04835 transcript:OMO96683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D family VSCGNRSINLGVTGNGKAKEWVAAAINDAPQRGLNEDGSQAQWFIDGKSAFASIEKANSETFITGWWLCPELYMRRP >OMO96682 pep supercontig:CCACVL1_1.0:contig07400:2485:7270:-1 gene:CCACVL1_04834 transcript:OMO96682 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MSLSSLSFKYRTQEDDDDFRPEPPRVIPDNRRDFNEASRLIESYSRVEKMVQDGGKQLMYAAGGVAVATATTFKLTAPLICSAPQHREADRDFFWRILTSFIIGYGIFIWWYVSRVVNLYLDLLDFQPRLATATTNLARMSDELDESGALIYLKLRTKRGDFLRSYFKTRLEPTTLCMATRTVPCENEVLSTSSLSFIIGIIGNVVSILVFASPMTTFWKVIKKKSTDRYKVVPYITTLLCTSLWTFYGLLKPGGLLIMTVNGAGAIFQFLYVTLFLLYCPQDKKVKTAKLVAVLDIGFLGSVIAVTLLLLNKNLQLTFTGILCAALTIGMYASPLAAMRTVTKTKTVEYMPFLLSFFLFLNAGVWSVYSVLIEDFFIGIPNAIGFLLGSAQLILYSMYKNKSKFKKQVKISTNDGAIIEVSAYKEADGDEEKSLKQGKVMSKNKSLPRPPVNRLYSLEKLMRTLSWGPYGIHSTLPQDQDDIEEDLP >OMO59561 pep supercontig:CCACVL1_1.0:contig13922:2367:3136:1 gene:CCACVL1_24751 transcript:OMO59561 gene_biotype:protein_coding transcript_biotype:protein_coding description:ethylene-responsive transcription factor 1A-like protein MEMYPSNYDSDLAMLDSIRRHLLGESLTIPTDCTTAAAAAPPMFCRSSSFSRLYPCLTDNWGELPLKENDSEDMVVFGFLRDALTVGWAPSDYSSANFAPVKPEPQEIMTETRVNTVATTTVASTAVPAVSNIKEEFTGAVFVVVVRFREWVAEKEEKSGCLGSRRGSSRIEHGFRSGS >OMO59568 pep supercontig:CCACVL1_1.0:contig13922:36072:43112:1 gene:CCACVL1_24758 transcript:OMO59568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MECEIRRRLIHAVTIGISATLPAQNLHILQARRASFQFQKSPLNPLARSSSSCQKPVCSSAASTTELTRKHLSNLEKLLQKSTQSDPEPVIKAPSKNINGSTENKGRTLLEGLNLARVWPEMKAAEEMSPRHLNKLQRLLSKTIEYSPRNSLGSRWREYHGCNDWAGMLDPLDENLRREVVRYGEFVQAAYHGFHSNPAMSADEAPLPRHVALPDRSYRVTKSLYATSSVGLPKWVDDVAPNLGWMTQRSSWIGFVAVCDDRREIQRMGRRDIVIALRGTSTCLEWAENFRAQLVSIPESDDPTQKVECGFLSLHKTPGAHVPSLAESVVEEVKRLIEKYKGENLSITITGHSLGAALSLLVADEISSCAPQVPPVAVFSFGGPRVGNKGFVDRLNEKNVKVLRIVNNQDLITRVPGVFTGEGSSEQQEQEQKSESFAKVFDVIDNNNPWAYTHVGTELRVDTKMSPYLKPNADVACCHDLEAYLHLVDGFLSSNCPFRSNAKRSLVRLLHDQKSNVKQLYTHKALSLNLDRDMRSIPMPSCLPSPSR >OMO59566 pep supercontig:CCACVL1_1.0:contig13922:21015:24816:1 gene:CCACVL1_24756 transcript:OMO59566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MAYNLRDRKSLNVTKGSYVESDFDEDEIELIERPPAARRPTQNNNAGTSSSGKRSIEEIGIKKRRGKKKVVYQEEVAKKSILSWLINLFIVQKSELVWFVNKTDRLDQVNAQDAMRSGRIAANGMISCGCCSSLFTVEGFAVHAGSKTKTPYKHMVLADDHNNPIQTLFQCQIEAWEDREEKKRRAYKNIMPAEYGTDRHDDACIICDDGGNLICCDNCPSTYHPMCLYLKNIPQNEWHCPYCVCKHCARWNGALSKCTQCEKHYHSKCGGEELDMNAPTTLFCGNSCRMIYEGLKGMLGVKTDLQDGVSFTLLQCADKPLESGSEDGGYTRVQGNSKIAVAPMVRLHISTRKVWGSSLANGSSTSLVGNLSNLTRINYSGFYIAVLEKNDEVVCAATVRVHDKNLAEMPYIATSEEYRGQRMAHNLVNHIESVLRRLQVEKLVIPAVEELAGVWINKFGFSPVGDEQSKQELTRYNTVTFYTSVTVSQLGSGKKAGGTTAYMGRAEHLMQCSCDVQSAEDWLNSSVIMEAFEARALRMSVACAQSLSKFSNPEEVVRFIEKLQQDIPGKGVKRQLEILCNVYALYLVHKHLGDFVSTGCITPKQGALANEQLRSLYSQVRPNAIALVDAFNYTDHFSFMMKTTQEPHPQINTDLQYFYSTPLFYCLPFKSPYSKMH >OMO59563 pep supercontig:CCACVL1_1.0:contig13922:10161:11039:-1 gene:CCACVL1_24753 transcript:OMO59563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKSSSLSKAISTPKNSPYGIRSRCTGGKVSYSSRYTGVIPLKNHKWGARISLNYRPYWLGTYQLEEDAALAYDRAALKLQRSESPINFPPHVYRNEEKSFQSWYSNEEILRMIKDKTYSFKFTNFLRRQTLVNARGIPYQVLFHRKLTQTDVTGNEGFNIPKEHALRYFPLLGNNSDGVQAGKGSIDLTFCDKVHRSWTFQYSYGSSTRTFLFTRGWRQFVAMNELKPGDIVIFYGCAYVEAGQRRNSYMIDIYRHGAENYIADKIGAPVEASKGAEKKHEVKLFGVVIG >OMO59564 pep supercontig:CCACVL1_1.0:contig13922:13026:15178:-1 gene:CCACVL1_24754 transcript:OMO59564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MAMFTVLTRSLGQRCAERLKPSIFPHRFPSNNSQLQTLRTLILEPSLSESVKLNRLSDTDSGIIEVNLHRPEAKNSISVDMARGLRHAFEDIDRDSSARVVMISSSVPKVFCAGADLKERKKMTASEVHSYVNFLRSTFTMIEELPIPTIAVIEGAALGGGLEMALACDLRICGENALLGLPETGLAIIPGGGGTQRLPRLVGISIAKELIFTARRVGGKDALSMGLVNDCVPAGEALPKALEIAREINQKGPIAIRMAKRAINEGLERDLASALELEEECYEQTISTKDRLEGLAAFAEKRKPIYTGE >OMO59565 pep supercontig:CCACVL1_1.0:contig13922:15844:18119:-1 gene:CCACVL1_24755 transcript:OMO59565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFNSEILGSSCSFPSEPPDIRNWFSSYKYESFVLDTCENLGGIVSEETEINRDEFEIGEINREKIDNFDGSGGIRKADEHGKLNSNEEFSHSSPILSEPPDIRNWFSSYEYESPLLDTNDGLQSCVPRESECEKDELAIEEKIKFTVELANLGQFKETNNGCKQELVKCSSSSAERKNESSTLFSEPPDIGKWFPDYVYESPVLDTSDELGDALSKDRESNDDKYVVEESKREEQENSNTTRKTSHSYEVVVGKKLCSNELGKCTSFLINDEQENSKDLCSEKILDSRLEVKQVQGSSINTEEGVENSVFNGEEHISRNPGKNDRKSSKASIDKKGGMEKSSETKVQTDKVDLESHHHNWHDQVSPASQSIHGSNNKENEAKDIAENGFITTRKNKFTNTNDENSLIGRQQSLLQCSTNKGGEKDGVIKRKVLAESTNVQHNEAMEITGKWRCPQKSKPSRGPPLKQLRLEQWIQRA >OMO59567 pep supercontig:CCACVL1_1.0:contig13922:25854:33021:-1 gene:CCACVL1_24757 transcript:OMO59567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polycomb protein, VEFS-Box MCRQNSCLYMSTEESDTAIENLLIYCKPVELLRAGIVIFNYKDYGNMLQKTEVTEDFSCPFCLMQCASFKGLRYHLCSSHDLFNFDFWVTEEYQAVNVSVKIDSLISETVASGVDPRVETFCFCSKPRRRRPKNPLQSEKNVSVQFLEMDSPKMASESWQEKNDGERASKSIPIEKDLQNGWHGGENFGPDYTSATECLARVATSCNGPGVSIAMAHSPVDPDCIKSFSGSDTAVPPAKTRKIMAERSEPRNRMLLVKRQFFHSHRVQPMAIDQVMSDRDSEDEVDDDIADLEDRRMLDDFVDVSKDEKQLMHLWNSFVRKQRVLADGHVAWACEAFSKLHGQELIKSPALFCFLTAISKVPSSNLRDRKSLNVTRDSYVEPDRLFVVQKSELVWFVNKTDRLDQVNAQDAMRSGRIAANGMISCGCCSRLFTVEGFAVHAGSKTKTPYKHMVLADDHNNPMSMV >OMO59562 pep supercontig:CCACVL1_1.0:contig13922:7001:9713:-1 gene:CCACVL1_24752 transcript:OMO59562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C12, ubiquitin carboxyl-terminal hydrolase 1 MATPDESPAAKRWLPLEANPEVMNQFLWGLGLPVDEAECCDVYGLDDELLAMVPQPVLAVLFLFPITSQTEEERRQQENEKRDISSKVYFLKQTVGNACGTIGLLHAVGNVTSEIKLQEDSFLDRFYKSTASMDPLERAVFLEKDREMEVAHSVAATAGETEASDNVDTHFICFACVDGQLYELDGRKSGPISHGASSPSSLLQDAAKVIKGMIEKNPNSLNFNVIALTKKVGGAF >OMO95185 pep supercontig:CCACVL1_1.0:contig07726:7424:9696:-1 gene:CCACVL1_05511 transcript:OMO95185 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI inositol-deacylase PGAP1-like protein MALALPPHNFKPHCSSTSSSSSTTNYRPAVILPGLGNNTGDYKKLELTLQEYGVPTVVAKVSRIDWLRNAAGLVDPNYWKGTLQPRPVLDWYLKRVDDAVQEAKRLAEGGTLSLIGHSAGGWLARVYMEEFGLSHISLLLTLGTPHLPPPKGLPGVIDQTRGLLYYVEENCKKAVYTPELKYVCIAGRYLQGARLFNDSNVSVDSAVSIDDDQPISDVALAKSKTDSSFRARFVGQGYKQVCGQADVWGDGVVPEVSAHLEGALNISLDGIYHSPVGSDDESRPWYGSPAVVEQWINHLLN >OMO95186 pep supercontig:CCACVL1_1.0:contig07726:9983:12421:1 gene:CCACVL1_05512 transcript:OMO95186 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N-7) methyltransferase MASSLCLLNSWAVPRAAKQALSSLVLLHKFPSSRNSRAFASASVSIAADNDIRSSDLVALEYADLNLTDKISKELGHVRIRQHVNPLSSSFSVPAPVPDWSEVFRDPTLPLIVDIGCGSGRFLIWLAKQNPDSQNYLGLEIRAKLVKRAEFWAKELALNNIHFIFANATVSFKHLISTYPGPLMLVSILCPDPHFKKRHHKRRVVQKPLVDSILSSLMPGGKVFIQSDVLEVAVDMRNQFDSEDLLQHVNTVNPSMLCDDEGWLLNNPMGIRTEREIHAEFE >OMO95187 pep supercontig:CCACVL1_1.0:contig07726:12531:13186:-1 gene:CCACVL1_05513 transcript:OMO95187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQLNLFQPQIRETQRIRFASANVPSAYFLANHASIEIQVSMSRTFWPVRWKGIKGILRPANMRKVSNLTKWKKANPMTSVFFAGMKLQLSYKWHMHDHSYF >OMO95184 pep supercontig:CCACVL1_1.0:contig07726:4679:5973:1 gene:CCACVL1_05510 transcript:OMO95184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVFKGFKALLASPAAATPSSGMAAVKFYSASAAAAAAAKSTTSKVASKTPKKAATPKPKASKPAAPRTTGINKVTPVSPALGQFLGTQQASRTEAVKQIWTYIKSHNLQVLSLFRIYVSFICLEEVNELKGEMLISGAIVYGVRVVFGV >OMO72258 pep supercontig:CCACVL1_1.0:contig11480:29598:29837:1 gene:CCACVL1_17874 transcript:OMO72258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLNSNLYLQNCYIMNENERLRKKAQLLNEENQALLSELKQKLSKANSKGKGGNSGNAIPDLNLSSTSNPNPSNSSKP >OMO72259 pep supercontig:CCACVL1_1.0:contig11480:31274:31390:1 gene:CCACVL1_17875 transcript:OMO72259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKWKAKWRYGEHKWRNGVSGNGGETEMGLAAIFALAPK >OMO72257 pep supercontig:CCACVL1_1.0:contig11480:21508:21867:-1 gene:CCACVL1_17873 transcript:OMO72257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MLEDYQELLGGFSTGDFFPSMEFIHSFTSMKSRLQHTFQRFDRFFDQVIDEHLNPDRQKEKRSKDLLDYLLDVQRNGTNEITLTMDNVKAIMLVSKIKTLLLIELHVMSTVADFIDVLM >OMO72256 pep supercontig:CCACVL1_1.0:contig11480:16643:19974:1 gene:CCACVL1_17872 transcript:OMO72256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTLPLNFLTISRRNNPKAPYLNSSISMMSTFRHQPALNARHFQCGVIRMQTGEEDFELKQMRDMAAAKKRWDAMIREGKVKILAPREAGYAIQLSNKPLLDVRPSIEREKAWVKGSTWVPIFDVDNKFDVGTLSRKVTSFVMGGWWSGAPTLSYDRQFLSKVEEKFPKDTELIVACQKGLRSLAACELLYNAGYSNLFWVQGGLEAAEEEDLAREGSQPLKFAGIGGVSEFLGWTDQQRVQAAREGWGYRVLYSARLVGVIVIADALFIGAQQVGHYLQEIRSH >OMO58906 pep supercontig:CCACVL1_1.0:contig14088:21133:23138:1 gene:CCACVL1_25264 transcript:OMO58906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTVTIVGSAIGAFAFLAMVIGIIWFCKSKNKKFSNRNSDTGSSDPSAREWNRGASGPSSAAGLSLFGTHGGKFTMEELDQATKQFNESNLIGHGSFGSVYKGLLRDTVIAIKRRQGSPQQQFVAEVTYLSEIRHRNLVSLLGYCQESESQMLVCEYLPNGSMCNHLYDSSRIDQSSTRLEFKQRLSIALGAAKGLCHLHGLKPPLLHKNFKTANVLVDENFIAKVADAGVSKLLEMMEEEGPSCKSNVTVFQDPEAKASGNFTEMSDVYSFGVFLLELITGKEAIHIDSLGSNESLRQWVRSRLSLNNFVDHRLVGSFTMEGIRDLIKLTLQCMSFPAKCRPKMDKVVLELQQIHEKEMALTTVMGEGTATITLGSELFASK >OMO58905 pep supercontig:CCACVL1_1.0:contig14088:13305:16651:1 gene:CCACVL1_25263 transcript:OMO58905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRLAESDNRAEPTTLKINAQDPAASSGQAAQKSSCCGS >OMO58907 pep supercontig:CCACVL1_1.0:contig14088:27265:31864:1 gene:CCACVL1_25266 transcript:OMO58907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrogenase, E1 component MGWFRAGSSVAKLAIRRTLSQSGSYTARSRILPSQNRYFHTTIFKSKAQTAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEADPESVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFSEADLDREFFLGVWRMAGFLSENRPVQTLRAILTRLEQAYCGSIGFEYMHIADREKCNWLRDKIETPTPMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDLDRTKNMAVLIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDVEAVVHACELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYKVIRNHPSSLQIYQNKLLESGQVTQEDISEISEKVSTILNEEFVASKDYVPKRRDWLSAYWTGFKSPEQISRVRNTGVKPEILKNVGKAITTLPETFKPHRAVKKVYEQRAQMIETGEGLDWAMGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVLHDQETGEQYCPLDHVIINQDPEMFTVSNSSLSEFGVLGFELGYSMENPNSLVMWEAQFGDFANGAQVIFDQFISSGESKWLRQTGLVVMLPHGYDGQGPEHSSARLERYLQMSDDNPFVIPEMDPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMSPKNLLRHKDCKSNLSEFDDVKGHPGFDKQGTRFKRLIKDQNMHSDLEEGIRRLVLCSGKVYYELDDERKKNNASDVAICRVEQLCPFPYDLIQRELKRYPNAEIIWCQEEPMNMGAYHYVAPRLATAVQALGRGTFDDIKYVGRSPSAATATGFYVVHVKEQTELVKAAIQPEPIKFHTTV >OMO58909 pep supercontig:CCACVL1_1.0:contig14088:46744:48837:1 gene:CCACVL1_25268 transcript:OMO58909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MAVSASIYNQATPYLAMIFMRFGSAGMSIVAKFALNKGMSQHVLVVYRFAIATVVLAPFAFVFDRKVRPKMTLSVFLQILLLGLLELEKVNVRKMHCQAKILGTILTVGGAMIMTLINGPMLPLPWTKVNKIQHQSTVSSNIKEDPLKGAFMILSGCVCWACFVILQAFTLKSYPAELSLTTLVCLMGTIEGAIVALVIEGNNAAAWSIHWDSKLFAAVYSGVVCSGIAYYIGAVVIQAKGPVFYAAFNPLTMVIVAIMSSFIFSEIMFLGRVIGAIVIVFGLYLVLWAKSKDQQIKSSDSDVDSNNKAAPSVEQMATINETSRTSNQDFVLLDVRRVTLVDDHDQSITKENQKQIP >OMO58908 pep supercontig:CCACVL1_1.0:contig14088:33921:41943:1 gene:CCACVL1_25267 transcript:OMO58908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MKTAKRFGLEGGETLIPGMKEMFDRAADMGVECIVVGMPHRGRLNVLGNVFRKPLRQIFSEFDKNSKFEDETGLYTGTGDVKYHLGTSYDRPTRTGKRIHLSLVANPSHLEAVDPVVLGKTRAKQYYCNDLDRTKNMAVLMHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRNGRSSQYCTDVAKAIDVPIFHVNGDDMEAVVHVCELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPFFTQPKMYKVIQKHPSSLEIYKNKLLESGEVTEEDIDRIQKKVDTILNEEFLNSKDYVQQRRDWLSTNWEGFKSPEQLSRVRNTGVNPDVLKNVGRAITILPEGFKPHRAVKKVYEEHARMIETGEGLDWAMGEALAFATLLVEGNHVRLSGQDVERGTFSHRHAMVHDQETGEKYCPLDHVMENQNEEMFTVSNSSLSEFGVLGFELGYAMENPDALVLWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSARLERFLQMSDENPYEIPEMDPTHRNQIQKSNWQVVNVTTPANYFHVLRRQIHREFRKPLIVMSPKNLLRYKFCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEKGIRRLVLCSGKIYYELDEERQRSNASDIAICRVEQLCPFPYDLIQRELKRYPNAEVVWCQEEPMNMGAYSHIAPRLCTAMKHLGRGSSEDIKYVGRAPSAATATGLLKFGMAGMSIIAKFALNQGMSQHVLVVYRHAIATLVIAPFALVFDRKVRPKMTLSIFVKILLLGLLEPTIDQNLYYTGMKLTTATFTAAMCNVLPAFAFLMAWVFKLEKVHIRKLHSQAKILGTIVTVGGAMLMTLIDGPKLPLPWTNSSNQHSSSSAPTSQNPIKGALMITSGCVCWAGFIILQAITLKSYPAELSLTALICLVGTIEGSVVALAMEGLNPAVWSIHLDAKLLAAAYSGIICSGIAYYIQGVIMKTRGPVFVTAFNPLSMVIVAILGSIVLSEIMYLGRVIGAIVIVSGLYMVLWGKSKDQPSSTNPNIDIEASKDQKVMIANAMDHEMIKVGSNQDFVALDLTSNKVTPTDESV >OMO99531 pep supercontig:CCACVL1_1.0:contig06870:20377:20707:1 gene:CCACVL1_03751 transcript:OMO99531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKEGQSMAEYLCDYQEMLNQLIRGVRRRWQLPKSTATDGIDARRYLFIGGGRYIDLKVWRVTSRKFRLL >OMO76468 pep supercontig:CCACVL1_1.0:contig10898:16744:18723:-1 gene:CCACVL1_15638 transcript:OMO76468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plus-3 MQDLENLLLEAAGRTGNGGRNRHSHPPSRRRREGSYSDGGSDSRDDDSDDDQGYASRKPSGSQVPLKKRLDPAERDDDQGSQEEGDYDGGGSDRERDSSDDSDVGDDLYKNEDDRRQLAQMSELEREMILSERADKRGDKKFTEKIRSKRDKDRPNRSRKETPPLPSSRGVRSSARSADRAAAKDDALNELRAKRLKQQDPEARRKLRDASRGSTGSRGLSPVKRKSFTASSLSSSSQSESESRSNSEDEGSTGDGAMVDSDDDRGTRGPDGPTFEDIKEITIRRSKLAKWFMEPFFEELIVGCFVRVGIGRSKSGPIYRLCMVRNVDASDPDRTYKLENKTTHKYLNVVWGNESSAARWQMAMISDSPPQEEEFKQLIREVERSGGRMPSNQDVQEKKDALQKAKTFVYSAATVKQMLQEKKSTTSRPLNIAAEKDRLRRELDIAQSKHDDAEVERIKKRLQQLEASRQSQGKDAKAVRLAEMNRKNRVENFRNASELKPVNTGLKAGEAGYDPFSRRWTRSRNYYVKPPGGDAAAATNGDTNGAVPSENGNDARAVAEVGRAATVAALQQAAGAGKLVDTSAPVDEGTESNLLHDFEIPISLTQLQKFGGPQGAVAGFMARKQRIEATVGCHVPENDGRRHALTLTVSDYKRRRGLL >OMO76478 pep supercontig:CCACVL1_1.0:contig10898:69024:70018:-1 gene:CCACVL1_15648 transcript:OMO76478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIFNKGLVNPPEELHSPASLSSSRKPKLPAEIHRDFLSSNPNNAFSIGFGSVASLSYVPLPPQYPSYQRLFCGVDEIYCIFMGSLNNLCSLLRQYGLSKGTNEAMFIIEAYRTLRDRGPYPAHQVLKDLEGSYGFVVYDGKAGSVFAALCADEGVNLFWGIAADGSLVLSDNLKVVKESCAKSFAPFPAGCMFHSEQGLMSFEHPRSKMKAMPRIDSEGAMCGANFKADDQARTSGMPRVGSEVNWALRGRGSTA >OMO76477 pep supercontig:CCACVL1_1.0:contig10898:64601:68394:1 gene:CCACVL1_15647 transcript:OMO76477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTQQQGTHSLAFRVMRLCKPSFHVEPPFRLDPADLFVGEDIFDDPIAADNLPPLLSSHASKAVDSSDLTYGNRFLPNHPSDAMGFSGLLVLPQSFGAIYLGETFCSYISINNSSNFEVRDVIIKAEIQTERQRILLLDTSKSPVETIRAGGRYDFIVEHDVKELGAHTLVCTALYNDGEGERKYLPQFFKFIVANPLSVRTKVRVVKETTYLEACIENHTKSTLYMDQVEFEPALHWSATVLKADDYHPADNSSSGEIFKSPVLIRSGGGICNYLYQLKYSSGDSAQVKVEGSNILGKLQITWRTNLGEPGRLQTQQILGTPITRKEIELQVLEIPSLINLDQPFSVHLNLTNHTDRELGPFEVSLSQNTEEKIVMINGLQKMTLPQVEALGSTDFHLNLIATKLGVQRISGITVSDTREKKTYDPLPDVEIFVDSD >OMO76465 pep supercontig:CCACVL1_1.0:contig10898:6655:8784:1 gene:CCACVL1_15635 transcript:OMO76465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MGVQGNGTNQKYERMGSETAEEEIVLTNNLQHKLAEDENGSIINNINNVGVMSGAIIFIQEDLKISEFQEEVLVGILSIVSLLGSLAGGKASDAIGRKWTMGLAAIIFQSGAAVMSLAPSFQVLMIGRLLAGIGIGFGVMIAPVYIAEISPSIARGSLTSFPEIFVNLGILLGYISNYAFSGLSVHINWRIMLGVGILPSVFIGFALFIIPESPRWLVMQNRSDEARSVLLKTYDSEMEVENRLAEIQVAAGIANAEKYEEKAVWNEILHPTPAVRGMLITGCGIQCVQQITGVDAIVYYSPTILKDAGITDKTKLLAGTVAVGFTKTICILIAIFLIDKLGRKPLLYISTIGMTVSLFCLSLTLTFLGDGQVTIVLTMLLISANVAFFSIGLGPICWVLSSEIFPLRLRAQASGLGAVGNRVSSGIVCMSFLSVSRAITVGGSFFVFFLFSVLSVAFVHNCVPETKGKSLEQIERLFQNEGELQGGELEMGDTQRLVQKQ >OMO76473 pep supercontig:CCACVL1_1.0:contig10898:41228:43517:1 gene:CCACVL1_15643 transcript:OMO76473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain MASFDAYGMEGEEIHAAPNNHPFGADDESYDDYGSYSNFTDNQQFPADGGDVAVDHVASSPEIFGYGSSDPSPAYSQSPFGTTIPVENGNGTNGFGGGNDDIFSSDGPVLPPPDEMHEEGFALREWRRQNAILLEEKEKKEKELRNQIIEEAEEYKRAFYEKREKTIETNKTNNREREKLYLANQEKFHKTADKQYWTAIAELIPREVPNIEKKRGKKDQEKKPSINVIQGPKPGKPTDLSRMRHILVKLKHTPPPHMIPPPPAPAKDAKDGKDGKDAKKGKDAAANGTTSAENGAPAASSKDTTANGSSPEQDVTAAKDQPAAEPEATATA >OMO76472 pep supercontig:CCACVL1_1.0:contig10898:33664:39997:1 gene:CCACVL1_15642 transcript:OMO76472 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein MSMYKSVVYRGDEVVGEVEIYPQQQQQLKEEEDGGKIMVMEEEMKELRIGYLTQGSERCPPLAVLHTVSSTGICFKMESTSSSQEFSQLHLLHSECTRDNKTAVVPMGDYELHLVAMYSRSKDRPCFWGFHVGRGLYDSCLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIEALQRKMNAEGDPQRLAGMMAEVRRYQEDKTILKQYAENDQVVENGKVIKVQSEVVPALSDNHQAIIRPLIRLQEKNIILTRINPQIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSKELLDRIVCVKSGLRKSLFNVFQDGICHPKMALVIDDRLKVWVEKDQPRVHVVPAFAPYYAPQAEANNTIPVLCVARNVACNVRGGFFREFDEGLLQKIPEISYEDDVKDIPSPPDVGNYLVSEDDTSALNGNKDPLLFDGMADAEVERRLKEAISAASAVSSAAINLDARLAPSLQYTMPSSSSSIPPAASQPSMVSFPNMQFPQAAPVIKPVTPVSAPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDHTPPEPAFPPVRPTMQVSVPRAQSRGSWFGPEEEMSPRQLNRGVPKEFPIDSERMHIEKHRHPPFFPKVESPIPSDRLLRENQRLSKEALHRDDRLGLNHTPSSYNSFPGDEMPMGRASSGHKDLDFESGRTVPSGETPAGVLQDIAMKCGAKVEFRPALVASLDLQFSIEAWLAGEKIGEGIGRTRREAQRRAAEDSIKNLADTYISRVKSDSGSAQGDVSRLPNTNDNGFLSNLTSFGNQPSAKEESMSFSTASEQSRLVDPRLEGSKKPMGSVTALKELCMMEGLGVAFQAQPPSSSNPLQKDEVYAQVEIDGQVLGKGIGLTWEEAKMQAADKALGSLRSMLGHFPQKRQGSPRSLQGMQSKRLKPEFPRVMQRMPSSGRFPKNAPPVP >OMO76467 pep supercontig:CCACVL1_1.0:contig10898:14017:15475:1 gene:CCACVL1_15637 transcript:OMO76467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKIFFQTSPTQFDATSTATAPEEKSKKKFNEKHPDKSYSADVFKDFATKWECMTNAEVEMQMRKLDNARKSNDRD >OMO76471 pep supercontig:CCACVL1_1.0:contig10898:23384:28923:-1 gene:CCACVL1_15641 transcript:OMO76471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wings apart-like protein MIVRTYGRRNRGLNRTFSDSLDDDVSDSPPLSQETAASQDIYSFPFNSQESSSFWPSSQEFNDDVYKNQITTVKRTQSEFEFDDSRNGGARRSKKQKQNHSKKEVGYSSIPWISPTSTLMEAQEFGEMMEHVDEVNFALDGLKKGQPVTIRRASLLSLLSICGTTQQRRLLRSHGMAKTIVDAILGLNFDDTPSNLAAVALFYVLTSDGQDEHLLESPACIRFLIKLLKPVIPTAKENKIGKVGFKLLSLRKDADISRDTSKVLDSSSAAIISKVEEILVSCKEMKPRCGDDNGLRSELNPKWVALLTLEKACLSKISLEDTTGTVRKTGGNFKEKLREHGGLYAVFEVALECHSVMEGLVKQSSSSPHIEDKKDVESLVLLSKCLKIMENAAFLSSDNQSHLLELKGQLNSHGSRVSFTKLVISVIKILSGLYLKSSSALSSTEKACSNSKALDEADELARIADSKVDGHDVIYISSSEKSSSLDWSFSEKSFNTSQNDPGPSTQWLGPPASSFQTTTRSTNDNCSLKMRVHSSLSSSCSGKLGSSYDGIPVTSNRLGSLSEKPDGPKVGKWQLLEDSRDPYAFDEEDSQDPKAGKWKLGSSYDGIPVRSNRLRSLSEKPDGPKAGKLQLIEDSRDPYAFDEEDSQDPKAGKWKIGSSYDGIPVTSNRLGSLSVKPDGPKAGKWQLLEDSQDPYAFDEDDFVPSKWDLLSGKQKTSRSKKRGKVGLSNGEIQDDHQYQFTMSQQESSNGDIFQTEFINEEYHHSSATSSSQSAEEEYSNLLSDCLLTAVKVLMNLTNDNPLGCQQIASSGALETLATLIASHFPSFCSYLPPLSSEMEENSFSVELQDRNDRPLTDPELDFLVAILGLLVNLVEKDEYNRSRLAAASVSLPSSDGLGKESQMAVIPLLCAIFLANQGEDDAAGEVLPWNDEAALLHEEKEAEKMILEAYAALLLAFLSTESKRTRNAIADCLPNHSLKILVPVLERFVAFHFTLNMISPETHKAVSEVIESCRLP >OMO76470 pep supercontig:CCACVL1_1.0:contig10898:21654:22899:1 gene:CCACVL1_15640 transcript:OMO76470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epoxide hydrolase-like protein MEQMQHKYIDVRGVKLHVAEIGTGSSSVVVFLHGFPEIWYSWRHQMIAIAGAGYRAIAPDLRGYGLSQHHPDPEKASFNDYVDDTLAILDSFHIDKAFLIGKDFGSWPVYLLSLLHPTRILGVVSLGVPFFIPRPQRYQQLPEGFYIFRWKEPGRAEADFRRFDIKTIWRNIYILFSRSEIPIADKGKEIMDLVNPSTPLPTWLNDEDFTTYAKAYEQSGFSSPMQVPYKGRREDFTIENPKVEVPVLLIVGEKDYFQKFPGIEEYITSGKVRDYVAELDINFLRDGTHFMQEQFPDKVNQLIIDFLDKHVKSN >OMO76469 pep supercontig:CCACVL1_1.0:contig10898:19855:21231:1 gene:CCACVL1_15639 transcript:OMO76469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MEQIQHNFVTVRGLKFHIAELGTGSNVVVFLHGFPEIWYSWRHQMVAVANAGFRAISPDYRGYGLSDTPPEPEKTTFADLVADLVAILDHLGVNKVFLVAKDFGARPAYIFALFHPERVSGVITLGVPYVPPEPPKYQESLPEGFYISRWREPGRAEADFGRFDAKTVVRTIYILFSRSEIPIAEENQEIMDLADASTPLPPWFSEEDLATYGALYEKSGFNTALKVPYRSFEEDFGVTDPIVKVPALLIMGCKDYVFKFPGMEQYIKSGKAKEFVPDLDIIYLPEGTHFVQEQSPEVVNELILDFLNTHI >OMO76474 pep supercontig:CCACVL1_1.0:contig10898:49787:53893:-1 gene:CCACVL1_15644 transcript:OMO76474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1/H5 MIVEAIGALKDSNGSSKRAIAKYIESTHKDLPPTHSALLTHHLKRLKNNGLLVMVKKSYKLASTARSEGFIPDSAPVPVLSNPNPPDASPGPKRGRGRPPKPKPISQPTLQTVDPNPTQQPVTVTVPLAGGPRKSPGRPRKNNAPAVPVPGPVPVQVQLGVRRGRGRPPKTGLKKSPGRPRKPKTVRSVVAANSVKRGRGRPPKAMNQLPPQSVLPIQGQPVAVPYADAAATTTTVTGVVQNLGRPRGRGRPKGVTSAAVAAAAVVPGKRRGRPPKLGGVSAAKPLTPRKTTGKPVGRPKKNTEGAESKASAAAYGDLKRKLEFFQSRVKQAVGVLKPQFTSESNISAIGAIQELEGLAAMDISNSTFKDDAQPMAMPPPASSNQPPFAQIEGQSLDRILLEGTNVQEVIRAMVLDIQARLLLDMLGNRIESTLVNPSALVSEMAGICERLSSVDPEIMAVDPALVQSIQAYVYAVLDKQGGGAMQVFVAHWQVMLSLLVLAWQQPQYGSKSYPKFSSSSNKPGSLIQAWVQCTTATAMISSSTDGVSNSTPNPIGPSSFMPISINMGTFPGTPAVRLIGEGHLLHRLCQLLLFCFFFQQAQPPLFAQRTSDANPQKPEPGAPGKMEEVNSVSVKPTTTMTRADEAQGSRAGQMKDLFLILMDLCRRTAGLAHLLPVSQIGSSSIQVRLHYIDGNYTVLPEVVEASIGPQMQNCIPHLKNGIDGICLAVYPWSDSLDMSYYLENILEPKPCGEGSVSCLKEMQHLKI >OMO76466 pep supercontig:CCACVL1_1.0:contig10898:11432:12724:-1 gene:CCACVL1_15636 transcript:OMO76466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILLACNGFSVFYLNLPVPAKSSPEPANLLLPENLPADKTVTKLSSSVMYAVKEENLPVILKTHFPLVQKPNLSTVKEVKLPVIQKTHFPPLQKPNVSTVPVQKSFVVRPRRSRSSRQILRILRSGKKAKSFSSKVQDFFQNSKCKSRFFMTWISTVELPGDRELLAIESVFKSHPKACLVIVSNLLDSKRGNFVLKPFLDKGFKIIAVNPDFDFIFKNTHAELWFNQLKKGNVNPGEIALGQNLSNLLRLALLYKYGGVYLDTDIIVLKSLNKLRNVIGAQSMNPETKNWTRLNNAVLIFDKQHPLLYKFIQEFALTFDGNKWGHNGPYLVSRVVARVSGRPGFNFTVLPPWTFYPVDWSRIRSFFQGPKDEVHSKWLQNKLEQIRRQSFAVHLWNRQSSNVRVEEGSILHHILSDCCIFSNSSKSSL >OMO76476 pep supercontig:CCACVL1_1.0:contig10898:62382:64065:-1 gene:CCACVL1_15646 transcript:OMO76476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKICYNITQYNSAAGIHNFRCKLNQVFYFWASPNPSKGTYGRAEVAILK >OMO76475 pep supercontig:CCACVL1_1.0:contig10898:55115:61959:-1 gene:CCACVL1_15645 transcript:OMO76475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQQQSSGNTTKDPEEDPLAQSVVVDSTVKTGPDKPVASDPVSVASGEDEVVSASDKSEDQMEDDSVNPATVFCIRLKQPRSNLRHKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSNANSPFWIPIHIVIPERPTECAVFNVIADSPRDSVQFIEWSPTSCPRALLIANFHGRITIWTQPSQGPAHLVRDASCWQREHEWRQDIAVVTKWLSGVSPYRWLSSKSSNPANSKSTFEEKFLSQQSQNSARWPNFLCVCSVFSSGSVQLHWSQWPPTQGSTARKWFCTSKGILGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTIVVWEVTPGPGNGFQATAKTSTSNGIPPSVNPPNWAGFAPLAAYLFSWQEYLISEAKQGKKSTDQDFNDAVSLHCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPTITGWRVQRWESSLQPVVIHHIFGNPSSSFGGQAPMQTVWVSKVDTSIPPTNDFKSQPAAPAGATSDARKASDLSAEKSKRVSFDPFDLPSDVRTLARIVYSAHGGEIAISFLRGGVHIFSGPNFTPVDNYQINVGSAIAAPAFSSTSCCSASVWHDTSKDRTILKIIRVLPPAVPSSQTKSNSSTWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSIQHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALVNPSALVSEPWQASGETLSSIDPEAMAVDPALVQSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGTGTNRNMVASPTQSSATPATSQAGQSGTTSSTGSTQMQAWVQGAIAKISSSTDGVSNSTPNPIGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRAQQPRFAQRTSDANPQKPQPGAPGKMEEVNSVSVKPTTMTRGDEAQGSRAGQVVPGAKGAEEGPAGRLKMGSGNAGQGYTFEEVKVLFLILMDLCRRTAGLAHPLPVSQVGSSSIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNMFGGPWSDPEDMGPIDDSPRLSNSIDSLDMSSSENSDVYYGTQTLWPRKRRLSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAVWKTGLEGVWYKCIRCLRQTSAFASPGSTNQPNQNERETWWISRWAYGCPMCGGTWVRVV >OMO76464 pep supercontig:CCACVL1_1.0:contig10898:1139:5806:-1 gene:CCACVL1_15634 transcript:OMO76464 gene_biotype:protein_coding transcript_biotype:protein_coding description:PSP, proline-rich MTAEVLSHQNGAVVSNGDLSKMNSNTNPSAASKKSRESERRRRRRKQKKNKSSQQQNDAANGTVSEAGDSDAGEDEKMENSDHQQVAEQVIIEYVPEKAELDDGINEEFKKIFEKFSFMEAAGSEETDKKDESAQVADAKKKADSDSEEEEQDNQQKEKGTSNKKKKLARRMKIADLKSKCSRPDVVEVWDATAADPKLLVFLKAYRNTVPVPRHWCQKRKYLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYHEGKEFEVKLREMKPGSLSHELKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYHPGGWGKPPVDEEEPVDKSKHWGDLEEEEEEEEEEEEEEEEVEEEELEDGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKEEKIAPGTLLGTTHTYVVNTGTQDKSAAKRVDLLKGQKTDRVEVSLQPEELELMDNVLPAKYEEAREEEKLRSQREDFSDMVAENEKKRKRKMQEKEGKSKKKDFKF >OMP03635 pep supercontig:CCACVL1_1.0:contig06022:24995:26843:-1 gene:CCACVL1_02338 transcript:OMP03635 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine/threonine-protein kinase NAK-like protein MAEKEHSRFDCMPMAWLSLFKKKTIQDRVMDGVFWCVERDVYAYGVIVISMLKMKVVDKDHTADTIIDLPPVKAEYEREKRRRRADECSLACPSFFLEQGYHHRDAHVVTVLGMQCMEKGPKLRPKMNKVFKRLQTLRIVRDKKRCL >OMP03636 pep supercontig:CCACVL1_1.0:contig06022:27911:29845:-1 gene:CCACVL1_02339 transcript:OMP03636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVFWCVERDVYAYGVILISMLKMKVVDKDNVGDTMIRLSTVKAEYDKERMWCREAECSLACPRFTIEQGYYDGDAHVVTVLGMHCMEKGPKLRPKMKKVFKRLQSLRIVRDKWCL >OMP03633 pep supercontig:CCACVL1_1.0:contig06022:10764:15180:1 gene:CCACVL1_02336 transcript:OMP03633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMESSSLMILLLTNIIWGEAGESDDHIVPYREVGENGRSKKEWSQQTAITKSTEQKTPGDKVDLQGRKLEGSSTSNANGGIATSGFGMGSWPELSLSNAAKTDQESMGSEVSNNLAEVTKYGSTNETNELTKDPEIYENPNERKEQSDFVDYSWANIGSFDDLDRIFSNDDPLFGNVSLGTGDELWSSSKDVTNSPAKSFPTNVDSPNLGLGALRSTPEHLEVKREYEQQNSQSFTLGYANLDESSSHGLHHVKFPHDKSKSITEEQTNVETGLKTNASKSDLVAEKLLTPNELADKAYRQKKLLKFRKKPEEMGEAKFLPGLYGTWTPTGNPVAQYENSLATSMVKSSPSSVVGQQRQLPGSDLLQYQHIPNTFVTPSMYGNLTNQFPPIPVLSNMHSGEFKQQSLPSCYDASPGKANPVTRSAEAAGKPSSMTPQEKIEKLRRRQQMQALLAIQKQQQQFSHQVPCADHSVMQKSSQENQFQHVEGADVEDLSTLASFDPSSPLEQDDSSTVSVAIDDYSMEDTVLYRLQDVIAKLDVRIRLCIRDSLYRLAQSAMQRHYAGDTSSTNKSSRDENEVAKEDNKNANRLSDPETETNPIDRTVAHLLFHRPLELPGKHPETPESPSSTKFSSERRSAGLVGLPMGSTPDNQQVKQNLSYQVSKGPSPLLDTQHVEQFKNSPCIDSSENASYGPSDGGTGEVEASQ >OMP03634 pep supercontig:CCACVL1_1.0:contig06022:18737:23882:1 gene:CCACVL1_02337 transcript:OMP03634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDWNDEELTDIIWGEASETDDHIVPYREGGENGRSKKDWNQETATTKSTEQKIPGGKVDLQGRKLEGSSAFNANGGIATSGFGVGSWSELSLSNAAKTDQESMGSEVSNNLAEVTKYGSSNDMTELTKDPEIFDDPNEGKEQGDFVDYSWANIGSFEDLDRMFSSDDPIFGNVNLGTADELWSSSKDVTNSQAKSFPTTVDSPNLGLGALRSTPEHLEVKNEYGQQNSQSYTLGYAKLDGSSSHGLHHVEFPEDKSKSIIEEQTNVETGRKTSASKSDLVAEKLMTPNELSDKYQHIPNTFVAPSTYGNLTNQFPAIPVLSNMHSGEFKQQPLPSCYDVSPGKANPVDRAAEAAAKPSSMTPQGKIEKLRRRQQMQALLAIQKQQQQFSHPVPCSNHSVLQKSSQENQFQHVEGTDVEDLSTLASFDPSSPIEQDDSSTVSVAIDDCSMEDTVLYRLQDVIAKLDVRIRLCIGDSLYRLAQSAMQRHCASDTNSTNKSSRDENEVAKEDNKNHNRMSDAETGTNPIDRTVAHLLFHRPLELPGKHPETPESPGSAKFQSERKSAGLVGLPMGSISDNPQVKQNVTHQVSKGPSPLLDTQHVEQFKSSPCIDASENASFGPSDGAAGEVEASQ >OMP01994 pep supercontig:CCACVL1_1.0:contig06340:48781:48849:-1 gene:CCACVL1_02952 transcript:OMP01994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYHLNANGLRTWGVDDPYMR >OMP01992 pep supercontig:CCACVL1_1.0:contig06340:71:1337:-1 gene:CCACVL1_02949 transcript:OMP01992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTFESSLRRGNSTAATASVASRKTITPSSSESSSKRVGTAPPRRSRSVSAFSRTSCLDFSEFSIKRDNPLFDNSSNNENGDELEFRSSILKSSDEIPSKTSRKVVNAAADDDDSSNRRGRSISRNAPDGRYASGSGNNKELSRSLSVVDTRRRGRSVSRAPLSRGHFASSESEVEQEGNLWMKSRNKGNLSAASSNGQKGNLVRSRSSLTRSSQRKPIDPSNASTSL >OMP01993 pep supercontig:CCACVL1_1.0:contig06340:33791:41581:-1 gene:CCACVL1_02950 transcript:OMP01993 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pyruvate dehydrogenase MYPDIPREQQIQVLHFVMAELQRRDLKEQDNATLWKQLQLSEMTVQEALNSALDEEMATNPRVFIIGEEFEKIVPNESSKDADRKRGGTRGDGDVQLYRRQQREKEEKESGEGF >OMO82675 pep supercontig:CCACVL1_1.0:contig09992:80:463:1 gene:CCACVL1_11816 transcript:OMO82675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIGLGQALKQARSTISKQTAGLKDAASDARKAVSNTIPRVKTGLKMAGTFGGDLLAVHGRDLIYLTSSAITVKAYVFNQDEEIKKIGHTTQELATKLDDVKATLDEVNSEVKILNNNKRFRFGFC >OMO61981 pep supercontig:CCACVL1_1.0:contig13381:42117:45866:-1 gene:CCACVL1_23087 transcript:OMO61981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIA, alpha/beta subunit MASSTTSAVYIHVIEDVISKVRDEFINNGGPGEAVLNELQGIWEMKMMRAGVICGPIDRSSGQKQPTPGGPITPVHDLNVPYEGTEEYETPTADMLFPPTPLQTPAPTPLPGSADGSMYNIPTGSSDYPTPVNDNSGGNTDVKGARPSPYAQSPSPWPNQRTPLSVDVNVAYVEGREEGDRGTSNQPLTQDFFTMSAGKRKREDFATQYHNSGYIPQQDGAGDVTSEVTNLEGSKGDNDLDRCYSATTEKIKVEAPSVTSSSKIPQQDGPIPDPYDDMLSTPNIYNYQGVVNEDYNVVNTPAPNDLQAATPAPVPQNDTGDDDDDEPLNEDDDDDLDDVDQGEDMNTAHLVLAQFDKVTRTKSRWKCTLKDGIMHINNKDILFNKATGEFEF >OMO61985 pep supercontig:CCACVL1_1.0:contig13381:74819:76712:1 gene:CCACVL1_23091 transcript:OMO61985 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MAEIYCGVVSEGEASSRFQPTSRAARRRRMEIRRIKFVTDVAASEAKNGPKRQKLQVFAASFSVNCENAEDNSASDEDGKKQMVKFKNGRSKTSETIVKSHSSPSLLIPSDDSELYPKFGVASVCGRRRDMEDAVAIHPSFHLHGQDSAAIGFHYFGVYDGHGCSHVAMRCRERLHELVKEEYLESEEDEWKGTMERSFTRMDKEVIKWNESVVGANCRCELQSPECDAVGSTAVVAIVTPDKIIVANCGDSRAVLCRNGKPVPLSSDHKPDRPDELNRIQEAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPYVSCEPEVTITERTAEDDCLILASDGLWDVVSNDTACGVARMCLKGKVDLHAPPEGLVEEAVVGSTVGGVGGEISDKACTDASMLLTKLALARHSSDNVSVVVVDLRRAT >OMO61992 pep supercontig:CCACVL1_1.0:contig13381:102948:105027:-1 gene:CCACVL1_23098 transcript:OMO61992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHPRHFGRNLRENLVSKLMKDVEGTCSGRHGFVVAITGIENIGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAAVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDMPNYTTSDGSVKIQKDCEVRLKIIGTGVDATEILCIGTIKDDFLGVINDPTTA >OMO61996 pep supercontig:CCACVL1_1.0:contig13381:118597:119402:-1 gene:CCACVL1_23102 transcript:OMO61996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MANRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQNLLAD >OMO61988 pep supercontig:CCACVL1_1.0:contig13381:88040:89302:-1 gene:CCACVL1_23094 transcript:OMO61988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANPTQQTKIRWGELEEDDNESLDFLLPPKQVIGPDENGIKKVIEYKFNDDGNKVKITTTTRVRKLANARLSKRAVERRNWPKFGDAVREDVGSRLTMVSTEEIVLERPRAPGSKPEETKVAGDPFGTLGQKGAVLMVCRTCGKKGDHWTSRCPYKDLALPTEGFVDKPAVSETAAAAAGSGKSTYVPPGMRPGAERTGTDMRRRNDENSVRVTNLSEDTREPDLLELFRPFGAVSRVYVAVDQKTGMSRGFGFVNFVNKEDAQRAINKLNGYGYDNLILRVEWATPRTN >OMO61980 pep supercontig:CCACVL1_1.0:contig13381:40875:41252:1 gene:CCACVL1_23086 transcript:OMO61980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGISDDNIFEKQRVMGTTKTKVGKPPTRLQKQAPTSLMLNQMNGGVLGAGSAAAIPLLSPLILSPKTTFQETDDFVFPSPFPTPAISAPFPTPVGWKHPASPGIEASALYSLFQAKCLVINDPK >OMO61995 pep supercontig:CCACVL1_1.0:contig13381:117883:118170:-1 gene:CCACVL1_23101 transcript:OMO61995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MAGNKSDLNHLRAVSEEDGHALAEKEGLSFLETSALEATNVEKAFQTILNEIYHIISKKALAAQEAAANTTLPGQGTTINVADASGNTKKGCCST >OMO61989 pep supercontig:CCACVL1_1.0:contig13381:89728:89802:-1 gene:CCACVL1_23095 transcript:OMO61989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAWMFLAVEYLNEKIHENPAGG >OMO61993 pep supercontig:CCACVL1_1.0:contig13381:106754:112988:-1 gene:CCACVL1_23099 transcript:OMO61993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSIEPEVLDDIICRLLEFRQARPGAGKQVQLMEGEIRQLCTVAREIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPNANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTDCFNCLPVAAVIDDKILCMHGGLSPDLTNLDQIRSLSRPTDVPDSGLLCDLLWSDPGRDIKGWGMNDRGVSFTFGPDRVSDFLMKNDMDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPADRKSRFILVVIRGKSQRLECWWRSSFKICDLCNIPNFLPMRRVQAILTSYSKASTSETISATRSKASTTIYIHSANSSSSTTQAILTSYSKASTSETIYATRSKASTTIYIYSTNSRSSTTKAIPATHSRPHTTHSKASTTIYIQSTNSRSSTTKAISTTHSKASTTIYIHSANSSSSTTQAILASYSKASTPETIFTTIYIYSTNSRSSTTKAIPTTHSRPHTTHSKASTTIYIHSANSRSSTTQTIPASYSNTSTTIYIRSTNSRSSTTETILATHSKASTTKAIFATYSKAATTISIPSSHTSPSTTHSSLSTAYSNVPSTVPNSS >OMO61991 pep supercontig:CCACVL1_1.0:contig13381:96373:99750:1 gene:CCACVL1_23097 transcript:OMO61991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHHASLGRRTLEEIRQKRAAERISKVSSGSDLTKASIPSDIPVIRKSESGNRLSEADVSGLVSQLKDLQQKNAELEDNNKILSLKLQGKEAEFETLQSRFNELEQNTVPSLRKALKDVAMEKDAAVVAREDLSAQLRMLKKRLKEAEEEQYRAEEDAASLRAELNSMQQQAMNSAFGGINSMGSSPDQVQALEKELARLKSELQQESLLRQQERRQLAEEQARVSSLTSEKQELEERLTSISQRGSEVVSEKASRKEFSVEDKEKLEKQLHDLAVAVERLESSRQKLLMEIDNQSSEIERLFEENSNLSSSYQEAMNTATRWENQVKDCLKQNEELRRVLDNLRTEQASLLSTNGSLMLHKGGAIETGSQANTTEILSLKGQLVQEQSRADSLSAEVLQLSARLQQATQAYNSLARIYKPVLRNIESSLIKMKQDGSVTVQ >OMO61982 pep supercontig:CCACVL1_1.0:contig13381:50000:54441:1 gene:CCACVL1_23088 transcript:OMO61982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAAELDHLVDMTKVKIDVIRPWIATRVTELLGFEDEVLINFIYGLLDGKEVNGKQIQISLTGFMEKNTGKFMKELWSLLLSAQSNASGVPQQFLDAKEEETRKKKAESDRIANEIQKKKDKESRELEQERLRKMDDGDARKAGGMELEPYSKNSLPKGSSARPEDERGANQRNGVRRKRVSGSPRSTDRSPSPRGSRSRSVSRSLSNSRSYSDGKRKSRSVSRSPHPRRRSISSDRMRPSPRRRSLTPRSRHSRSPVRRRSSYSRRRSRSYSPRRSPSPMRRRLRSPYRRRSPAPVRRRSRSPIRRHRSPSPNRRRRSPLSSRHRRSPSPVRRRRSPSPLRRRSPSPVRRRSPSPRHRRSPSPKRRRSPLPLRRRSPSPSPVRQQQRRSSSTSRHRSSSLVRGRSRRSITPSRDRSRSFSSSPSPVKRRSVSPVRSPKEQRTSPLLSPGERQRMHGKLSPVGDRPSSMRSPDRYPRDRRDVGVKVPAFSPSPNKSPVYKSPSHVRNRSSSEDRRSSSPYESPVRQRRERIARADSSSPEQKPRESKGQQDGKGTSRKNEASRSGHSPPVSKQRGSPRKVNTPERSAGSRLDNKEFRKKDHELRGEKCSGKGVDLGTPDRQRSPPLSEDSLQGEKQSASRLREGKRSDERSRSRQSLVEENSDQHHKAETSPMLLEKVDHNNHGLDSGSEGSDQHRTKHKEKRKHKRSERHEVTSDDGSSYDSEIEGRKEAKRRRKEEKRSRKEEKRRRREERRRKKEERRAEKKLKVKGQDDDSSSEGEHVSRRKSHPTNDEELEIELRKKALETLKAKKGISH >OMO61984 pep supercontig:CCACVL1_1.0:contig13381:60307:60891:-1 gene:CCACVL1_23090 transcript:OMO61984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESEKAEQETSSEEQGQGARSPARSYECTFCKRGFSNAQALGGHMNIHRRDKAKLKQAHNSSSETNYSPHQSNLDNINVIPKSIIIPSSYSPTETTPGKWPWVNDHDHHDYVVDDHDHNKRPDKTHVGEIRKLPLFDEKPSSITQENILQPAASTTQVDEKGSSSSDDQVDLELRLGPDPQDSSPTITTKKFF >OMO61986 pep supercontig:CCACVL1_1.0:contig13381:79484:81756:-1 gene:CCACVL1_23092 transcript:OMO61986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MEIALGSESRSLLHSSFFVRLATSLVHQGNIIIYINNETKNNTPAAQEKCISRLLLYHFGVNLPLMIASYPVFKFMGMKSTLPLPSWKVVLSQIIFYFILEDFVFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPAITGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRKLKAIKLDGDDGDCKET >OMO61987 pep supercontig:CCACVL1_1.0:contig13381:87159:87464:1 gene:CCACVL1_23093 transcript:OMO61987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPFIYRVIIQHRTGEQLTVDGSLFNEPHPAPYTRLPGDSGRFERPPEHGSSFSMNTPSTSSPLIKGIKSPLHLSAPHNIFVMKEGEMSSSRAQDSPI >OMO61983 pep supercontig:CCACVL1_1.0:contig13381:55971:58524:1 gene:CCACVL1_23089 transcript:OMO61983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALTSNSFLFTTTTPQSRVSLRSARFRVFAKKSGPFSPFQLGKSKDNSEEGQAEDSGNSNPFRFNFGNLPDVKTLVPVVTNPSSGLSFGVSRRKDPSTVFVAGATGQAGIRIAQTLLRQGFTVRAGVPELGAAQDLARLAAKYKVISNEEAKRLNAVESTFQDAESIAKAIGNASKVVVTIGPGENGPTSEVSASDALQVIQGCQLAGVGHVAIVYDGSPASSSTYNVLDGITSFFNNLFSQSQPLSLTEFLQRIIETDVAKSQIASLVADVFSNTAIAENKVVEVCTSPSAPTKGVDELFSAIPEDGRRKAYAEVLAKAKAEEEAKAAAEKARDAADAKKKLEDEVKKLSEKEAKATSLAEEAQEKADAAGASVESLLSKAKDFNAGLAWEKFSSQLATAVQKPSDDLDKSKVQIATIRGQAKAKNLPSKKAVVKQQPAAPRSFFPKPKEAPKTAPKTAPKTSKGSKETEKTEVRKVFGGLFKQETIYVDDD >OMO61990 pep supercontig:CCACVL1_1.0:contig13381:94598:94912:-1 gene:CCACVL1_23096 transcript:OMO61990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRSFCFCIPAEGSKKEDSDSSSHKTKSHKKKSHKSGKKKKLEADDGDQGGFQGASATTTVDAGGMAAAVVVSAAAASAMEGSGCGSAHGVGGGGGGGGGDGG >OMO61994 pep supercontig:CCACVL1_1.0:contig13381:115720:116865:1 gene:CCACVL1_23100 transcript:OMO61994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MEQEEVPILKSVDQMDIEMSMVSESNDIISMPPDSQCCSVCAFSRQENSALESEQRWKSATKLSGLISFYVIVMLVEVIGGVKANSLAVMTDAAHLLSDVAGFSISLFTVRASAWKATSYQSFGTPKEINVEKLENGIKNIEGVQNVHDLHVWAITVGKPVLSCHVVAEPEVE >OMO61979 pep supercontig:CCACVL1_1.0:contig13381:36404:39755:-1 gene:CCACVL1_23085 transcript:OMO61979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MACSATAQPLLNLELSYSHHQPKKPLPFTFCSFINNKHRLSPKPFSSQSKDYAKIDIFSSSSLFSRQKPRSLVAAQSDYSSGDEADSLLPDSDSQEPFSWSSVILPFLFPALGGLLFGYDIGATSGATISLQSPELSGTTWFNLSAVQLGLVVSGSLYGALLGSLAVYPIADFLGRRRELIIASLLYVLGGVLTAYAPGLSILLVGRLLYGLGIGLAMHGAPLYIAETCPSQIRGTLISLKELFIVLGILLGYFVGSFQINAVGGWRYMYGCSAPIALLMGLGIWSLPPSPRWLLLRAVQGKGTLEEYKEKAVLALSKLRGRAPGDEASEKQIEDTLITVKSAYMDQESEGNILEVFQGPNLKAFIIGGGLVLFQQITGQPSVLYYAGPILQSAGFSAAADATRLSVVVGLFKLLMTWIAVAKVDDLGRRPLLIGGVGGIALSLFLLSAYYKFLGGFPLVAVAALLLYVGCYQISFGPISWLMVSEIFPLRTRGKGISLAVLTNFGSNAIVTFAFSPLKELLGAENLFLLFGAIALLSLLFVILYVPETKGLSLEEIESKILK >OMO60952 pep supercontig:CCACVL1_1.0:contig13640:39711:40565:-1 gene:CCACVL1_23797 transcript:OMO60952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAGQTETENRSLMIVMSERWFYKVDSKYELQEKRAETERFQLPVGFRVLSGFNGEAPEEFRLEGQRNGVLNLGGWFLDEESKKSIASALSALGISDAVQRIIVNTLFYYADKQSMRVDIARQELRDGRDTMFWDGRSTVIFCPPLTGTVLVTTGQICLMHPTVTSRADGNLLTRRRRELMARRIPVRKSAIDGLGLEKYKLLAVELVAMWRREAEETNSNTTISNSTETGCAICLQEFEVGGQVRRTPCKGNSWHIFHEHCVAKWLQTSRTCPLCRHALPPRHL >OMO60951 pep supercontig:CCACVL1_1.0:contig13640:36150:39398:1 gene:CCACVL1_23796 transcript:OMO60951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAQMAIRVSASARLPLPPPPSSSSSPSPSLAPPSTTTSKPSWKTQLKPISLILPTSTTLSLLALFAPPHDAKAATLTKDQIVSSLNEVEKTIDQVQEASSSALATAQQILDVLGKALKPAIDAGLPIAQKAGEEALKAASPAISEATKKAQEAFQSTGFDTEPVLTVAKTAADAAQQSTKVIEAAKPIASSTFETITSEPITIAGTAGALFVAYLLLPPIWSAISYGLRGYKGDLTPAQALDLISTQNYIMIDIRSEKDKDKAGVPRLPSSAKKNAVAIPLEELPSKIRGLVRNTKKVEAEIAALKISYLKKINKGSNIVILDSYCDSAKTVAKTLTSLGFSNCWVVADGFSGSRGWLQSRLGTDSYNFSLVEVLSPSRVLPAAARRFGTTGTKFLPGAE >OMO60950 pep supercontig:CCACVL1_1.0:contig13640:5816:6534:-1 gene:CCACVL1_23795 transcript:OMO60950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMERHTEDEQHQCHFELKELRAVIEPDSADDDERFEIDKDENSPKQSDERTEGNKMHGIGWPKKDLNLGAGVINFGADEIGENESPPKEDEDEWCPELFPTTPLLIAAPPMVSSLTILVPLDVRCQELDVDSWHPQSKEDGDPPKLNSDNHHLGVMDGFDITRISSIDPFLVNEREGAIIQHVVV >OMO61901 pep supercontig:CCACVL1_1.0:contig13394:21142:25166:-1 gene:CCACVL1_23162 transcript:OMO61901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tocopherol cyclase MEPKIYSVNEFHHFSSCYIGFRSLNSKCGAVKFSQSSTLDGFSPRRLRPLKLGFRSNPRIIACSSIADTGTETDTSSPPLTKSSVSINPVYVPTPNNRDTRTPHSGYHFDGTTRQFFEGWYFKVSIPERKQSFCFMYSVENPAFRRKLTPWETLQHGNRFTGVGAQILGAYDKYICQYTEQSQNFWGSRHELILGNTFLANKDSRPPSKEVPPQEFNGKVLEGFQVSPLWHQGFIRDDGRTSYTKTVKTARWEYSTRPIYGWGNVGSKQKSTAGWLAAFPIFEPHWQICMAGGLSTGWIEWDGERFEFQDAPSYSEKNWGAGFPRKWFWVQSNVFEGASGEVALTAAGGLRQLPGLTDTFENAALIGVHYDGVFYEFVPWNGVLSWEITQWGFWYMSAENGTHMVEIEATTDDPGTPLRAPTVEAGLAPACKDTCFGDLRLKIWEKGNGGTKGKLILDVKSDMAALEVGGGPWFNSWKGKTTTPEILKSALQTPVDVEGIFGLVPDFLKPPGL >OMO61906 pep supercontig:CCACVL1_1.0:contig13394:46442:48567:1 gene:CCACVL1_23167 transcript:OMO61906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLLSVVTLLVWGSTLQTIDAVLPQPPVRCNGTVCIVDNAYGIWGDRNDCYVNNVVYPTTEEELRLAVAYANKNKLKVNIVSKFSHSVPKLICPSTSTPNHDSTIEDLIDDVEVTAAAYWESLKANISTESGSKSLLISTAKYDSNIEVDSENLVVTADAGVSLRDLIDKVEEAGLSLVASTYWEGVSVAGVISTGAHGSSLWGKGGAIHEQVIGISLVVPATKSEGYAKVIQIGAENDEHLLNAAKVSLGLLGVISKVKFSLERGFKRSIAHHYTSDANMEDEYINHAKEYEFGDIIWYPSKHTAVYRRDSRVSMDTPGDGINDYVDVQPSSILFSQTVRVREQQLESARDVSAKCALADFSLDRKRQVATGLKNNDQNFTEYPVIGRQGKMQTAGSCLYATEDDVSCVWDPRNNGVLYFETSAMISATKFGDFIRDVKKLREVVNPENFCGMDLYFGFLIRFIKASTAYLGQSKDSVAVDFAYYRADDALTPRLNQDIMEEIEQMAFFKYGGRPHWAKNRDLAFFNVQSKYPNYEKFVAAKKQLDPQNMFSSEWSDKTFGKDEEVVSSDDGCAMEGLCVCSEDRHCNPSKGYFCKPGRVYSEARVCRFSPSSME >OMO61900 pep supercontig:CCACVL1_1.0:contig13394:16380:20578:-1 gene:CCACVL1_23161 transcript:OMO61900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIQKHVCFIMLILGFIAMLNEGQTDAAVSAKVQKLIHKANLDGPYLGLVIPNLFELNPLLQHPNFTSSNFTIDFAGKRFRFGTIGEKRVILVLTGLSLINAGITTQLLLTLFNIEGVVHYGTAGNGNPSSLNIADVTIPQYWSHTSLWNWQRNGDGHSDELGLESDGDYTRKIGFLNFANYTTNVTACSSYGNLLNNIWYQPEEVFPIDGTLEQRQHIFWVPVDSSYFQISQTLENLKLESCLNSTTCLDKTPKVVNVERGTSASILLSNVAYRAPSFSTNSTSALSTWRVQQWLCETIAIISNFPLFYIPRISNFILEMVAMKVVSMILLAVFILTLVEHQAYCEISADTQRLIHKANNKGPYLGLVIPNLFEMNPLLEHPNYTSTGLTIDVSGRRFRFGKVFEKKVVSVMTGLGMINAGITTQLLLSLFKIEGVVHYGIAGNANPSLHVGDVTIPQYWSHLALWSWQRYGNGPQDELPLEGQGDYTREIGYIQFADYATNVSNCNSHDNLLNNIWYQPEEVFPVDGIPEERQHAFWVPVDTSYYDLSKSLENMELERCVNATKCLPETPKVYNVQRGTSASIYLDNAAYRTFIYDKFNISPVDMESGAVALICLQQKVPFIIIRALSDLAGGGSAESNEADTFISLAAVNSIKVVVEFIKLVATTPLAASQWLN >OMO61903 pep supercontig:CCACVL1_1.0:contig13394:32395:37581:1 gene:CCACVL1_23164 transcript:OMO61903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinonprotein alcohol dehydrogenase-like-superfamily MAIRHQQYIGKVKQAMFHTQKTGRKRVVVSTEENVLASLDLRHGEIFWRHVLGPNDVIDGIDALGKYAVTLSSGGSILRAWNLPDGQMVWESSLQGPKHSKSLLLTNFKIEKDNVALVFSNGRLHAVSGIDGEVLWKKDFEAESLEVQKIIQPPGSDLVYVVGFGASSQFEVFQINARNGQLLKHESAPISGGFSGEVSLVSSETLVALDSTGSNLITISFHDGKISFQQTPISNLVEESFGPAVLVPSSVAGIFAIKVNAITIFIRVTGEGKLEVVEKITNETAVSDALSISEGQQAFAFVQHAGSEIHLTVKMAHDWDVNLLKERIRMDQPRGLVSKVFINNYVRTDRSHGFRALIVMEDHSLLLLQQGEIVWSREDGLASIIDVTTAELPVEKDGVSVAKVEHNLFEWLKGHLLKLKGTLMLASPEDIAAIQSIRLKSSEKSKMTRDHNGFRKLLIVLTSAKKLFALHTGDGHIVWSHLLQSLHKSEVCQQPIGLNLYQWQVPHHHAMDENPSVLVVGRCGPSLDAPGVLSFVDAYTGKELSSSSPVHSVAQVIPLPFTDSTEQRLHLLIDADQHAHLYPTTPEALGIFQREFSNIYWYSVEDDNSIIKGHALKSKCTGEAVDEFCFDTRELWSIVFPSESENIIATVTRKLNEVVHTQAKVIADHDVMYKYISRNALFVATVAPKASGEIGSVTPEESWLVAYVIDTVTGRILHRVTHHGSQGPVQAVFSENWVVYHYFNLRAHRYEMSVIEIYDQSRADNKDVLKLVLGKHNLTSPVSSYSRPEIITKSQSYFFPHSVKAIAVTSTAKGITSKQLLIGTIGDQVLALDKRFLDPRRSVNPTQAEKEEGIIPLTDSLPIIPQSYVTHALRVEGLRGIVTVPAKLESTTLVFAHGVDLFLTQLAPSRTYDSLTEDFSYALLLITIVALVAAIFVTWIWSERKELQEKWR >OMO61899 pep supercontig:CCACVL1_1.0:contig13394:3130:3189:-1 gene:CCACVL1_23160 transcript:OMO61899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVFPKVDPGTVAELQVVW >OMO61905 pep supercontig:CCACVL1_1.0:contig13394:42213:44526:1 gene:CCACVL1_23166 transcript:OMO61905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYFWFLLGLLVWGSTLPVQAIPPRAPVQCDASTICTLYNSYGAWGDRKDCHVKTVKYPTTEEELRSAVAYANKNKLKVKVVSKFSHTIPKLACPSTDDSLLISTSKYDSQIEIDTANLAVTADAGVGLRQLIDKVEEAGLSLVAAPYWEGVSVAGLISTGAHGSSWWGKGGAVHDHVIGLSLIVPATESEGFAKVIQIGPKDQLLNAAKVSLGTLGVISKVKLALEPGFKRSITYNFTDDSLIETKFIEHGKKYEFGDIAWYPSKHTAVYRYDSRVSMDTPGDGINDFLGFQANEILISESVRATEKALESTKNVNGKCTLAATTLGYKKLIANGLKNNGQIFTGYPVVGRQGKMQTSGSCLYSPAIRIDSSCAWDPRIKGLFFYESTAIFSITKFGDFIKDVKKLRDINPDNFCGIDLYNGFLIRFIKASQAYLGQSEDSVVVDFNYYRSDNASIPRLNQDVMEEIEQMAFFKYGAKPHWAKNRNLAFLNVQNKYPNYGKFVAAKKQLDPQNMFSSEWSDQILLGKEGPKSEGCALEGLCICSEDRHCSPSKGYFCKQGLVYTEAKVCRYSPSSLSQSLL >OMO61902 pep supercontig:CCACVL1_1.0:contig13394:27626:30078:-1 gene:CCACVL1_23163 transcript:OMO61902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 43 MASIRRTLSPVPRQGTLITGEPERSVPSPLSKSSSCTQNYPHSGGLLSSLFGLSDSQALVLGVFSPRSSRPLDKSKQKGHVWRRALFHFFICFMIGFFVGLTPFVSMDFSYASPSSKQQAFSFEVVSTVGNFKKREISATNVTSMMDKTRVGNNVTEEALVRRQESVEGKLDNALANQSLPQDIDLESRKLLIVVTPTYSRPFQAYYLNRLAYTLKLVQPPLLWIVVEMNSQSEETADILRKSSVMYRHLVCKKNLTDIKDRTVHQRNVALSHIETHHLDGIVYFANEDNIYSIDLFEQMRHIRQFGTWTVAKQTWDKSRAILEGPVCNGTQVIGWHLNSLRMRFRRFHADLSGFAFNSTILWDPKRWHRPTLELIRQLDTVKDGFQASSFIEQVVEDESQMEGLLQDCSRIMVWELNLESSNSFYPTKWSVKNNLDVIAPLA >OMO61904 pep supercontig:CCACVL1_1.0:contig13394:38586:39665:1 gene:CCACVL1_23165 transcript:OMO61904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHHHHPKLKTQPRPLFSCGFFRNCTEATLSPTTPHPPSLPVSSSEPPPSSPPPSSVLPHSSPPPPPPPPLPPLKNSSQQRPESSSSSSSSSASHSFTQWKFPIPTSPPLHHNPSHSTPHFQLPPPSPPPPPLSSANLQELFHIAEVQLSTGSQSEQLAALQLLDRSLVPNPPSDPICPPELMRGIVSNLKNKAGVKAATKILLALCLAEGNRHVAVEAGAVGAVVEVVTELEGAAAERALAALELMCTVPEGAAEVRSHALAVPVMVSVMGRLAGRGREYAISVISVIYGGGCVGGVSGEEEEEEMVPAPPEEVARAVVLALQGECTARGRRKGAQLLKTLQEYGRLDLTQDGNEGF >OMP02336 pep supercontig:CCACVL1_1.0:contig06271:769:864:-1 gene:CCACVL1_02827 transcript:OMP02336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPERTEITSVPNELKTSKWTNLTKMIIVRP >OMO76676 pep supercontig:CCACVL1_1.0:contig10880:6315:8751:1 gene:CCACVL1_15501 transcript:OMO76676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSNQLQAEQQIVLGYQPNSEAFGVIQQRRSANYKPNIWKYDFLQSLSSKYDGKEYRRRAENLRQSVKAIRALLNCFTLQAHEAAWLRDVFNGYLDEKGTFSKTKCREIKGVLELFQASYLALEGENILNEAKKFSTETLRNLNYSTLGSNLAKEVVHALELSTHWRVQWFDVKWHITMYESENIKNIDKNILLEQAKLNFNAVQATLQKDLSQVSRWDFSEIQQLPECMKICFRALYEITNEIAYDIQEHNGWINVQPLLHLRKAWAGFCKALLVEAKWYNEGYTPSLEEYLSNALISSGGIVISVHSLLSVEPHDQISEEMLNFLGQNEDLVYNVSIIIRLCNDLGTSVAEEERGDAPSSILCYMREVNVSEEQAQEHIKDLITNTWKKINGQSFNISQSPSLQSFVKVTTNIARMVHCLYQFGDGFGIQDKETRRHILSLLIEPYKLD >OMP01909 pep supercontig:CCACVL1_1.0:contig06353:38072:43731:1 gene:CCACVL1_02978 transcript:OMP01909 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MVVAWRVEGAFMIKEAGDNLFIFHFEDEIERDRVLVSQPWCFNRALLVLKDFDGIQDPNEVVFDQCQYWMRMFDLPIMLMNMRIGVSVGETMGPVLEVDDTNGRFLRVRVVLNLSTPLKKTTTATTSQGEVLVRFKYEKLPAFCRVCGLLTHLDNDCPVGVTMLKTQGVMDKQYDNSIRAEIPDVKPRQSGLLAHSRLGILNPVVGNRGGFNQAPVSSVSGSSQPRALRNHVDNQLLRQQQAARGRSVRAANDEAISRSGGRFVPQIQGGLGNVEGQLNRSKVGIVGSSSIPQQEQGMKFMAASFAAMRNWRGKEKEVAGISPLMEGIGESSPNGGGFFQEVFQGPNNVEGGQSIPGVGPAQSGPAQDAQQQQHVAQDSLQHKGLDQRAEDFIPLQAGSNSPPTFVFGATTPPPVRRHRKWKKTARVTSKYLFDVLGPAANVDQRVGQKRNPGIPNYEFLNIGANKRSKERAMEQDDQEEDEFGAAIYRDLGPVARPTHATNNGASGDTKMEWIRCRIGYDCCFSVGSVGRSGGLAMLWNNEIAVSIVSYSNLHIDITVGNVDSEKWRFTGFYGRPETNKRHESWALLRLLATQSNLPWLCADDFNEILSNDEKLGGRMRSQRQMNDFREVIDECCFNECPTKGPTFTWSRRFAGEVVFEKLDRAYATISWSDRFMFSHVQTMIHPGSDHLPLLITVLDKVPMDFRSRKNFRFEHMWIKHDGLEELIKESWNQNLMLDVKQYIEACGRALQFWDKSVFGNVKHKINYKKRELEKLYGEIQQQDNPLVIQDWKRKNLIVAIQGEDGTWQSDRMVVEGLFVEYFRSLFSTSSPDVTRIHAVTGLLDRRIDSDMCLVLDRDFTKEEIKQAAFAMDPDKAAGLDDMSPLFFQRFWHIVGDKIGFSEKWILMVMNCVTTVSYSFLINGTYSEKFKPTRGIRQGDPLSPYLFLLCMEGLSSLLLNAERSGAIGGIAITRGAPRVSHLFFADDCLLFLRATLMECDNVLDVLKVFEEASAVAQAVPTYLMSCFKFPKTFLHELNQMIARFWWGGDETRRKIHWKNWADLCVSKLDGGLGFRDFKAFNLALLAKQCCRIIHNENSLCSRLLKAKYFRDRTFMSATLGANPSFLWRSLLAGRDVIKLGSRWRVGNGSSIEVWTDNWLNKAINLRPQARTGVACQPIKHGTTNGHYTVKSGYYVARNLLGKESPVVEDRQAIWRRIWGATIQPKVKFFLWRGWLEEADFWDCVLNKASLLGSLELVTYLLWSIWHNRNKSLHEFVCKMPGSICAAARIRVAKVVKLQQQHDRIRQSECVQRWSPPIVAQFKINTDASFDLSSGEAGLGAVVRDSQGSVLISATARINKVPDPLFAEVYAVRFGLIIARLLGLQSCELECDSMLAVREINNVAPVLWEGGVLIQQIRMLGSSFNLCSCQHVNRCANMFAHNLARIVREVGAYVTWCGDNPLPVCNPDH >OMP01911 pep supercontig:CCACVL1_1.0:contig06353:73467:87897:1 gene:CCACVL1_02980 transcript:OMP01911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSQPFGERGTKAKPIYEVLANSHIAVLEDKVDATNAQVAKLVNLITTKMESKACGLCSLEDHPTDMCPTLYEEEVNEVNAIGNAPYVNPYNSWWRPNPLRYGNTTQSRPNPFPQGQQNFQPKQILSRPQGLPHKHRTAKSKRQGKEASSMMWHNQFEKVKRGIIARFPNANTEGLHCRKEIFVAVEWRLWWRDIGDEKKEEKEEDSVKKKSTGAKRRRISKTQPFKVSSCWLEDSFGNASEVVCVSPRSIMEASITQLIEVGTPSCLADKGDSKKECLYIDLKEYKN >OMP01912 pep supercontig:CCACVL1_1.0:contig06353:97659:98568:-1 gene:CCACVL1_02981 transcript:OMP01912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTASTLVLGSSDNSFGFFPPLPSNKFHVCFGALVETRSDKVIANVEHPSE >OMP01908 pep supercontig:CCACVL1_1.0:contig06353:33449:35912:-1 gene:CCACVL1_02977 transcript:OMP01908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGKKPIQEEENGISSVKNHQHNVTSSSSQPPLEMQTTIEQSAAATEKCGAIASTSKDPAKTREAPAIVDKATKKPKRFEIRKPMLPQELENLAKTDPKKAKRIIQNRSSAKRSKEKKKLYECTLNHQLDKLTSMAATLSTKLTSLETENKSLVLENSKLKDREHKIKQMIDLQQAKNDEIRKEIQFFKSLQPRQKPNEAVGRNMINLNSPAPSVNGAGHQAVVGPSTAAAQQDLNSANINARHHAIGPFIIAQPGLNFVNVNGQLYALGLTMAAHPGLNFQTQSIHVRQQQDHLQQQRERQDSEQVRPATSK >OMP01910 pep supercontig:CCACVL1_1.0:contig06353:44180:46084:-1 gene:CCACVL1_02979 transcript:OMP01910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561, eukaryote MVKSSCMVGWISGDGSPTMKQYYLGGTKTNQVIADQGNLMIVANSSSITAKSSRIYLAFQLNTTQPSQHLIYAVGKIGAIASAPDYTLADHRAKVSTLLSYSTGTIASKTPNSKLRKTHGILTMLSWGILMIIGAIVARYMKQWDPLWFYSHAVFQSCAFILGIFGMLSGFVLEDRLNTEVSTHKALGIFIIALASLQVTALFVRPGKESKVRKYWNWYHHTAGRLLIVLAIANVFYGIHLGEEGNGWNVGYGVVIAILILVSSVLELRMWKRK >OMO54672 pep supercontig:CCACVL1_1.0:contig14916:1989:5001:1 gene:CCACVL1_27678 transcript:OMO54672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAHQGRNPPPKTEAQSWLYNLVKLMWKSVSMICLILLFLSCIMLCAVPALCNRRDDASAKEFSLCLVCPVGNIGGLIGIDGGIIKQIRQESGTSIKVDSYGAKGHDCIMLYSYLQRSFSKTHLLPSMLFCACNRNAVKKLKENQITGALDVACNALLQIISRLRANLYERDGAPAIFLPVLPYIPMSLDMSDGSKYGNKDGQPSIMETQISQQDTTLVIHLQVTVMELIVLHFFSVAGYCTSSDCLMFVFSEWSHICGSRGGRSSGWG >OMO94848 pep supercontig:CCACVL1_1.0:contig07791:53406:62543:1 gene:CCACVL1_05765 transcript:OMO94848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINGGCCKSEDKSQREPRVEGEASVLRSNVLLDHAGEGTLTLQSDGLYWKPLDDGGSTCLGLKFVPKPATELKFSDIYAVELIEGDTNLESVHSSAGECCFGHDSYDYEMNHFIVHSFQKSKSHPCLWIPAAYTFGHKDLQTCQMWVNEINDIIDKDAGRPKKLLVFVHPMSGKGNGQRTWDTVAPIFSHAKINTKVIVTQRAGHAYDEMTSTTNEELNSYDGAIAVGGDGFFNEILNGFLSRHKAPFPPAPSDFFDALRNDAGSLVVDQNGAGTETQHNEERHPLLPCSASNESGFCRLGSNDASPSIDQKIEYPLPNQRFRFGIIPAGSTDAIVICTTGTRDPITSALHIVLGKRVRLDVAQVVRWQTTSTSKVEPCVRYAASFAGYGFYGDVITESEKYRWMGPKRYDYAGTKVFLRHRSYEAEVAYVEIESEQSLSGPDISGMFSKAWSLKWKKPDVCRANCKVCNKKSICSSIPPYMHPQGRTWLKSKGRFLSVGAAIMSNRNERAPDGLVADAHLSDGFLHLLLIKDCPHVLYLWHLTQLARKGGNPLNFDFVEHYKTPAFTFTSLGKESVWNLDGELFPAHKLSAQVFRGLSLVFTQPKAKVLGYAMSTPAQYYQSLPPVSKFYGVACLLTTSALYLNLYDPNNIALFYKDVFKSFQVWRLITNFFFLGPFSPFFAIRLIMIARYGVLLERGPFDKRTADFVWMLIFGALSLLVMSAVPFLWTPFMAGSLVFMIVYVWSREFPNAKISIYGLVTLKGFYLPWALLALDLIFGGNLMPDIIGMVAGHLYYFLTVLHPLAGGKYVFKTPLWVHKVVAYWGEGIQVNSPVQRDPSAGTAFKGRGYRLGSRGQTSRPSEQQQQQAQAQAPTNNAAAAAAAARQANSGEGVAFRGKSYRLS >OMO94849 pep supercontig:CCACVL1_1.0:contig07791:65948:74558:1 gene:CCACVL1_05766 transcript:OMO94849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKEIVEAEDELVLPPPPTLNVGIAINGNRKSKYVVSWALDKFIPEENIMFKLLHVRPKITTVPTPMGNSLPISQVRDDVADAYKKEVEWRTNEMLLPYKKMCAQRKVQVDLLVIESDDVANAIAEEVTKGSITKLVIGASSRGIFTRKLKKNSLSSRISVCTPSFCTVYAVSKGKLSSIRPSDLETNGSSKDDCSEASFSSKSSTSYTSSSQTDSGSMASYATFCSPSLPMQRFQALSTVNQTYLHSRTSSLEISQSRSPDIHHSRFQSLDVMGGKDEMSSNPSSSETTRQVLSRNSSGRSFQSDQQSWLSDQISTSDYSSSECQVAFAFYLLKYIYLCMQVNINFELEKLRTELRHLRGMYAIAQSETIDASRKLSTLSKRRLEEAIKLKEISSKEEEAKQLARQEKEKYEAARSEAEHVKECADREASQKREAEMKASHDAKEKEMLENILAGSIEQYQKFAWEEIVLATSSFSEDLRVGMGAYGTVYKCMLHHTAVAVKILHSTENSRTKQFQQELDILSKIRHPHLLLLLGACPDHGCLVYEYMENGSLEDRLLRKNNTQPIPWFDRYRIAWEVASALVFLHNSKPEPIIHRDLKPANILLDQNLVSKIGDVGLSMMLTGDPSSTSTMFKDTGPVGTLCYIDPEYQRTGLISPKSDVYAFGMVILQLLTAKPAIALTHVVETAIDDNNLAGILDSDAGNWPVEETKELVMLGLSCAELRRRDRPGLKDQVLPILERMKEVANRARISISTVRSAPPNHFICPILKDVMDDPCVAADGYSYDRKAIEKWLANNDNSPMTNLPLSNKILLPNYTLLSAIVEWKSRKQPLIIQYPEDIESQFKEFDEEVKLQCTSWRFSVETNNLSPWKTIPVECGAYVKDYMMGRGYKLDLERVSNEAGVYAKSVELNGDGKDVWVFDIDETLLSNLPYYAEHGYGLEAFDPVQFDKWVSSGIAPAIEPSLKLYEKVLDLGFKVFLLTGRSEEQRSITVENLTRVGFRSWDKLILRDSEDHGKLAKIFKSEKRSKMVEEGYRILGNSGDQWSDLLGSCPAIRSFKLPNPMYYIP >OMO94844 pep supercontig:CCACVL1_1.0:contig07791:30590:38688:1 gene:CCACVL1_05761 transcript:OMO94844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPAKTAELQANKSRLRMWTNYVPPATSSKAIRDRNFTGKVVEFVSGDCIIVADDSLPFGSPLAERRVNLSSITCPKMGRDETEKPAEYAREAREFLRTRLIGKQVNVQMEYSRTIGMGDGAPILDFGSVFLFSPDDDASAAAGSQPQPGMINVAELVVGCGFGQVIRYIDYDALLAAESRAISLKKGIHSAKASSAPWHINDLTMSSAEKAKDFLPALQRRGRNPAVVDHVLSGHRFKLYIPRENCSIAFSFSGVISRDEPYSDEPIALMRRKIMQRNVEIEVETVDETGTFLGSLWEGKTNVAVTLLEAGLAKLQTSFGADHRIPPDAHLLAQAERFQCSRTTESVFWII >OMO94845 pep supercontig:CCACVL1_1.0:contig07791:44636:48050:1 gene:CCACVL1_05762 transcript:OMO94845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVVSQQWQEKASGFFSSSGVKLKKASQTAGSFVGEVAKDAKGNVADVAERVGSMVKSRWAILRQPATRHAVQEGLITAAATTGTFFRKGITGTKDKVAVGKTKVEEVAKKTAQKSKIILTDIERWQKGVASTDVFGVPIEVTVQRQQSNRPIPLILVKCADYLILSGLNSQYLFKAEGDQKVIQQLVSAYNQDLNASIPEGISPIDVAALAKYYIASLPEPLTTFELYNEIKGARSSIHAMRNVLKKLPSVNYMTLEFVTALLLRVSQKSVLNNMDARSLAMEMAPVIMWEKGRKPESYRKYWSNPPKNTSNGSMDSAPAYSAWDLLADDDEDMDASSQIPLDDGMPVDFVAIEVIQCLIEQHNPIFTDANETVWR >OMO94846 pep supercontig:CCACVL1_1.0:contig07791:48657:49824:-1 gene:CCACVL1_05763 transcript:OMO94846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQSDGTYIKKGPWTGEEDEVLMNYVKKYGPKGWSSIRSMGLLPRTGKSCRLRWVNKLRPNLKSGCKFSAEEERVVIELQAQYGNKWAKIASHLSGRTDNDVKNFWSARRKRLERILQTPTSKSNKNKGKDPVLHEMPIMEVTPSNCNVPLEQGSSSSHEDQSRKPPSISFSGNSEDFRMVPLPDLINPDLLNLETCLPILDIPQPLQMIPPVEPSMNYPVTLLPQLQLDLAPEPIDINFMDMLKHQQQIVESEESKPKSLTLTRSPPSTVTKGKAQVGDKEDRRILATPDSFFDEFPTDMFDCLEPLPNSSEW >OMO94847 pep supercontig:CCACVL1_1.0:contig07791:50480:52745:1 gene:CCACVL1_05764 transcript:OMO94847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase T2, asparaginase 2 MASAGNLSISLVFFFTLFSLVKGHEGIGSSGKYPIVVSTWPFVEAVRAAWKAVDKGFSAVDAVVEGCSACEELRCDGTVGPGGSPDENGETTLDALLMNGATMEVGAVAAMRYVKDGIKAARLVMQYTEHTMLVGDRASAFAISMGLPGPTNLSSSESLEKWTRWKENNCQPNFRKNVIPVDSCGPYQPKDNNMGLNNGICSEPKSMGIIESRTSLVGPHNHDTISMAVIDKMGHIAVGTSTNGATFKIPGRVGDGPIVGSSAYADDEVGACGATGDGDIMMRFLPCYQVVESMRQGMEPKLAAKDAISRITRKFPDFTGAVVAIDKNGVHAGACHGWTFQYSVRSPDMDDVEVFTVLP >OMO94843 pep supercontig:CCACVL1_1.0:contig07791:11810:19142:1 gene:CCACVL1_05760 transcript:OMO94843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hexose carrier protein HEX6-like protein MAVGLTISNEGGGQYNGRMTVLVVLSCMMAATGGIIFGYDLGISGC >OMO94850 pep supercontig:CCACVL1_1.0:contig07791:80829:84092:1 gene:CCACVL1_05767 transcript:OMO94850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHTHMLKLVLALSSTAFLLILVLIIFFYRKRTGRNEEQGDIESKEQQKHEEESEMEDLITFNGGQDLTISDILDAPGEVIGKSNYGTLYKAFLQRSNVVRLLRFLRPVCALKGEEFGDLVQLLGCIRHPNLVPLLGFYSGPRGEKLLVHPFYRHGNLAQLIRDGNGECHRWTIIYKISIGMARGLDYLHTGLQKPIVHGNLKSKNVVLDRNYQPYLSDFGQYLLLNPTSGQEMLETSAAEGYKAPELIKMRDASEETDIYSLGVIFLELLSGKEPINEKPTPDEDFYLPDFMRNAVLDHRISDLYHPDLLLRKGNDEGNPVTEESILKFFQLAMACCSPSPSLRPNSRQVVLKLEEIGNLEGAKSSRNIGMTEARSLSATIANFGHCSCHRMLMTNECSVVENESDWKKPDDLVEL >OMP00421 pep supercontig:CCACVL1_1.0:contig06675:835:930:1 gene:CCACVL1_03348 transcript:OMP00421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALPFVISKTIQKCTNAPRKARNNKCSE >OMO80725 pep supercontig:CCACVL1_1.0:contig10280:54623:56662:-1 gene:CCACVL1_12796 transcript:OMO80725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGRLFFNPSTCQGNMLYLGNGESFFRGARTMISMEETSKKRPFFSLQEELYDEEYYDEQSPEKKRRLTPEQVHMLEKSFETENKLEPERKTQLAKKLGLQPRQVAVWFQNRRARWKTKQLERDYDLLKSSYDSLLANYDSIVKENEKLKSEVASLTEKLQAKDATAEPVVSQKVELLPVETVSSTQFNVKVEDRLSTGSAGSAVVDEDAPQLVDSGDSYFPNDEYPGCVCPIDGAQSEEDDGSDDGRSYFSNVFTATEQQHEEPLGWWVWS >OMO80723 pep supercontig:CCACVL1_1.0:contig10280:26248:39222:-1 gene:CCACVL1_12794 transcript:OMO80723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSLRRPFLEPPSKLGIQLAVGEGVAERQDSLFWDSAIVKLEARSDNYA >OMO80724 pep supercontig:CCACVL1_1.0:contig10280:40239:52182:1 gene:CCACVL1_12795 transcript:OMO80724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTDSNDSKPETRSPLGIDLNEIPSSSFSETLPDADSAEPDSFSIVRAIHENPDPAPGDPAGVPVGKEDEPCGACGTSAAAGGGRVVVCDGCERGFHLACAGIPGRLSAAEEWVCVECVGRGVRSKRWPLGLKAKKRILDINASPPSDGDCDGEEVQELSRKHTPGDNSFVGNGFGAPLTYSNFLYAGNGFGFHKSSGIMTHAVKVGFEDILCHTRTRDRSFDAVDLGFPLGGLSSNNTAIRFPSRNPSDILLQALREYVSARHGVLEEGWRVELKHSMSSCELYAVYCAPDGKTFDSVLDVACHLGLMPNYNSMDAEIKLEGASLQERLHLPKKRKSTRFSVPNGFPENKESLISGHCKELLYNGQSVENGVNKFGLMKVTEAMHNEKDSSQSEQIIDGLPMQFEDFFVLSLGQVDMRPSYHDASLIFPIGYRSCWHDKITGSLFICEVSDGGDCGPIFKVRRCSCSAFPLPIASTVLYWPRIQQIYSHNNEGVAAYYNIKDFGDDDSIQMILSDPCPPIENDILTCLGSTLKERCVVQNFDRSQPEAVSICDKYGELLMDEIGEILVEERSLSSAWRLMSQKFIDAYSEIRKRKGTLKFLCKHAGMETGSAGCDMMDEKNIETYTPLAKFCGFPVPPSIPFDHEADKPETLVDELTKWLGQDRFGLEAEFVQEIIEELPGVKACSRYESLKNRSSYSGSLTIRNGFLKVKTKDGLECEGEKGLGVLFGRSKKPRLVYDRGPPAGKPLCMRLPSELVGDFHQVWELLWRFREVMGLKEPLFANELEEELINPWSTDSNLLHKFDGENQGTDTLSISRVDGMGEQNGSASDNPSVATSSENQRSFIQMETGEEMEADLASLANRIYRRCFGMTLTKAHSSLLGVLISELQSKVAALVDPNFDSGESRSKRGRKKDLDSIAPAKRVKLSVLPINELTWPEIARRYLLAVLSMDGNLDSAEITARESGKVFRCLHGDGGVLCGSLTGVAGMEADALLLAEATKRIFGSLNVKSDVLTLEDEGPDENVACEKNVVNDGDIPEWAKLLEPVRKLPTNVGTRIRRCVNEALENDPPPPEWAKKILEHSISKEVYKGNASGPTKKAVLSVLADIHNECLVKKSEKGRSKKKTIISVSDIIMKECRIILRRAAAADDSKIFCNLLGRKLMNSGDNDDEGLLGSPAMVSRPLDFRTIDLRLAVGAYGGSHEAFLEDVRELWRNVRTAYSDQPDLVELAESLSQNFESLYEQEVLTLVQKLEEYAKLECLSAETKEEINDIRALTSEIPKAPWDEGVCKVCGIDKDDDSVLLCDTCDAEYHTYCLNPPLARIPEGNWYCPSCVVSKRMVQNASEHCQVIIRQRGKKYQGEVTRGYLEALAHLATTMEGKEYWQFSIDERTFLLKFLCDELLNTALIRQHLEQCAEATSELHQKLRSACVEWKNLKSREDFVAARAAKIDTSMTIPVGDIAAKDGDPLPPDGGKEGGEFNGSIKSASATLEKNLTTNGQVLNTIDSEARLKGDHPIVDASHVSSKRSDKSSRPSELPVSNHLPQEIEDPREETSFQGKLEDSKRMDVAPPQSPSDCNGQCLPSDVTSLHTTKPVPCVAENELQSHHFELNTIRSDIQHLQDLITSLESQLLKLSVRKEFLGSDSAGRLYWISAIPGGYPQVIVDGSLALQKKRNFLGYEDQVHNTSVNWKFTSASRDTGTRAEGSRASCPFLYNSKDAISVGSPWVTYQKDAEIEGLVDWLNDNDPKEKELKEAVLQKLKFQDYQKMKKQVQDESQTDFSMSNCSDNASFSTFLVTKAAMLLEKKYGPCFESEITDFSKKRGKKVRMVSEDNMYRCKCLEPIWPSRNHCISCHKTFLSDVEFENHNEGGCNLGPPANEKGKSVGESSKGKKNLNFDINRVDCAVDMEIRETSRIGHSGLSSRLIKFQNEGAICPYDFEEISTKFVTRDSNEELVREIGLIGSNGVPSFVSSVTHFVGDSTLMIVPPHQGNLGDELKATDRPVYSQGKRSLANGIHEGLSDDKFRRSATDEIGVQKTKRPALRCFEQSDRISSSNKYSSELGIGRCCVVPQSSLRPFAGKVSLISRQLKINLLDMDAALPEEAFKPSKACLERRWTWRSFVKSAETIYEMVQATIVLEDMIKTEFLRNEWWYWSSLSAAAKVSTISSLALRIYSLDAAIIYEKSSEFHAVDSLKPSSIPDLKMPPSLDLPEKCKVSRKTSKKRKEPEG >OMO80722 pep supercontig:CCACVL1_1.0:contig10280:11885:23753:-1 gene:CCACVL1_12793 transcript:OMO80722 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MADEQLSSFCSKLSINEGEQSKVVITQEWLEEGDEGALVFFLIRKLFSKRPANVEGMRTALFNAWGLESGLVIKEVAEKVYLFNSEEESDRDRVLVRIYELLPIMMTEKIGAAVAGKAGKVMEIDHQWGKFLRENDCQLAVDMRTKQGFVTRRFSPNIRARSPRPKSNRFDVAESSFKSGGSSSRRSSPLSVTSGTRGARGGGGGSAQLSFSAINSRGHVRRHVDSMLLRGRPVARAIFPEETSCKILSRNPGVVPPNMGGGNSVNGGPRVVGGSTGVVARSEAEGSWFEEEIRELYGDLGLPNSMGMVNPNGEVQGSVGVFKGKGKQIQAELQGDSSTDSSAPLINGVTRGQQQTLHYGVLGGQPFGESVSTPSIPGLSGRGVINGHVEGAIRSDLRGCDPGTLRASLGPDLMGLLSNLVAGLNQKSSTNGQGESSRPKQTRRWKKHARVSDRYTFDAMTRHIVSKVGNKRLPGFTICDVDHGTAAKRSREEEPGLDDHGAAANNPRRQDNDPGVDPQVPAGADNDDVGEARTTLDRRAGGLALLWQDPSLINIVSFSSSHIDANNNLHYHGCVWVTYEILTNDEKIGGPPRSQRQMEMFRATVEFCEFRDLPIKGPLMTWSRRMQGDVVFERLDKCFVMEEWLNLFQFSFVHVLMSSEFDHLPLHIEVLDKPWVGIHYNHRFRFERMWLTHDGVQTVINDSWNVRQEMSIQQGIASCAQSLQHWDKTVFGNVRYSIRKKKRDLERYYKEAQKDGLSGNLNDCLNDLYELYDREESMWRQRSKLVREFTMEEIRVAAFDMGADKSPGPDGMPPLFYQKYWHVVGAKVSEMALAFLNSGVSLPDVNQTVVIVSKTLANRLREVLPFIIGPNQSAFVLGKMIFDSSIIAFESIHYMKNKRSGGEKHMVLKLDLSKAYDRVEWVFLERIMARLGFSNRWVFVFLVMECVRTVMYSILVNDDSLLFLRASLEECDVVLDLLRQFELASGQQVNIDKSAVMFSGNTPVDLREIIMRHLRIQKVLDQDRYLGLPIMIGRSKRVELHLIKDRLWKRLRSWSGKLLSIAERRFIGRLEILYALQSWMEVWDSGILNLSIWLYLRSSIGVLFRMVIRFVVRWRIGNGQTVDIWRDRWLAKPPSYQPAPRPGTVLQNNLVSSLFNNDGYWDDDLLSKLFEDEDVYRILCIPLHLSSADRLIWNHSVDGHYTIRSGYHVARRILGKEVAEVGARSVMWRLIWGANVVPKVKFFMWRLIHGILPTKSQLQSRGIPIDQSCLVCGGVEYNLYHVFFDCVFSKTVWDIISPWLPVSIVNWVDREDFWDCLLSKASQLGSLDVVCMVLWMIWSNRNKALYEQVCKLPQSLCLAVNRFMFDFDASNRRAIGRPIQEQRVWQPPATGLVKINADATFYQADGVAVLGAVIRDHTGRVLLSEALCEGKRKMAHATRGKKLVAEIKRSAKTGNEAITKTLAQQLVRLRQQIAKLQSSRAQMRGIESHTQAMHAQSYVDVGIKGATKAMSAMNKQMASAKQAKVRKEIQKQLAQMDMTTEMMSDAINGALDDDKAKDETEDLTNQVLDQMVSMLPHSCHQLIKVGLLERMLKVLVGTLFFSPIVLYWETFTVEWNQCIREG >OMO80726 pep supercontig:CCACVL1_1.0:contig10280:66380:73760:1 gene:CCACVL1_12797 transcript:OMO80726 gene_biotype:protein_coding transcript_biotype:protein_coding description:bidirectional sugar transporter SWEET5 MAKIMMIGNGLGTLSGAVQLILYACYFKSTPKDDDDSDADVVKPSEVQLSKSNGGNARPSV >OMP03845 pep supercontig:CCACVL1_1.0:contig05941:5153:5743:-1 gene:CCACVL1_02246 transcript:OMP03845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQGSKLEEEIERRERLVIQRFEEIRAKEEESERKCRDLELVKKGYDESLKQNQVEELKQREEWGLKGGFEKLCQDFELEKKDFEECCKKLEFSLKQCKQQFRKYEQQRIELKSTEKLLKDKLEEVERKEEEVGLKENSFEKLCQDFELEKKGFEERYREVKCRVLCYCILHLEEGQQQGVILSLFRSFVWRNDI >OMP03846 pep supercontig:CCACVL1_1.0:contig05941:6676:8715:-1 gene:CCACVL1_02247 transcript:OMP03846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLNLFPELPQTTSAPNPSVVTTTAPPTPFIFLTPKIEPKQEPLDEPVLTPTQTPQQREYFLSSLSNSTPNFLPNSETTPLSTNTSSSTNDQTALYSEYFRISELFRTAFAKRLQKYGDVDVLDPDSQAIVPVPEEQPPEPEPNPERALSVVVSRQNRGRSNELVRVTNLGIEDQRHFRDVVRRTRMMYDALRILAISEEEKRKGPGQGRRARGDLRAAQVMRERGLWLNRDKRIVGPIPGIEIGDIFFFRMELCVMGLHGQAQAGIDYLPGSQSSNGEPIATSIIVSGGYEDDEDSGDSITYTGHGGQDKFSRQCMHQKLEGGNLALERSMHYGIESRENLPVFLFNDIDSDHDPVYYDYLKNTVFPPYAFAQGANITGCECVSGCTEGCICAMKNGGEFAYDHNGLLLRGKPVIFECGINCKCPPTCRNRVSQHGLRHRLEIFRSKETGWGVRSLDLIQAGAFICEYAGVVLTKEQAQVFTMNGDTLIYPGRFSERWAEWGDLSQIFTEYVRPTYPSIPPLDFAMDVSRMRNVACYMSHSISPNVLVQYVLYDHNNFMFPHLMLFAMENIPPLRELSIDYGVADEWNAKLSICN >OMP03844 pep supercontig:CCACVL1_1.0:contig05941:4600:4704:1 gene:CCACVL1_02245 transcript:OMP03844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENPNLTRICRHIGSTKMVRAKSFEKPEEKLF >OMO78927 pep supercontig:CCACVL1_1.0:contig10528:10222:14328:-1 gene:CCACVL1_14011 transcript:OMO78927 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex, subunit 5 MEDGEIEEEMAVEENSKLPAAPRKPEKSPYDMLKESKASVEEIVAKMLSIKTENKPKSELREYVTEMFLHFVTLRQANRTILLEEDKVKAETERAKAPVDFTTLQLHNLMYEKSHYLKAIKACKDFKSKYPDIELVPEEEFFRDAPEDIKDSHLSEDTSHNLMLKRLNYELFQRKELCKHLEKLEQRKKSLLETIANRKKFLSSLPSHLKSLKKASLPVQNQLGVLHTKKLKQHHSAELLPPPLYVIYSQFMAQKEAFGEDIDMEIIGSMKDAQAFARQQANKDNGLSTSVESSRLEEDVPDEEDDGQRRRKRPKRVPSKEAIDQAGIYQVHPLKVILHIYDDDAPDPRSAKLITLKFEYLLKLNVVCVGIEGSTEGPENNILCNLFPDDTGLELPHQLAKLLVGGDATFDERRTARPYKWAQHLAGIDFLPEVSPVLNIHENPYSENAVLSGLALYRQQNRVVTVVQRIRSRKKSELALVEQLDSLMKLKWPPLNCKSVPWALHTPLCSLHSWSSLGSKVTEPSSQPVTDTESVQEPMDVDMDGRSGMSKEEVEGLREDGELPSLLSVSSVTNDTKLTPLKESSLNHPKQLALISKSILSPVNKGKSPSFKKHDDDSDFLLETDSDLDEPVETETENFASSQCYEIPEKSWVEYGIKDYILLLTRKMDTSGRIMKLEAKVKISLEYPLRPPMFFLSLYSSPGENSSETDYSEWQNEVRAIEAEVNIHILKMIPPEQDKCTLSHQVYYLAMLFDYYMDEASPSSEKRKSSSVIDVGLCKPVSGRLVARSFRGRDRRKMISWKDMECTSGYPF >OMO78928 pep supercontig:CCACVL1_1.0:contig10528:14970:19434:1 gene:CCACVL1_14012 transcript:OMO78928 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA cap guanine-N2 methyltransferase MLSYAGTMVLGRLDNLLGYGKVKTLSDSSTLPEDMELAEEMNALGLPLSFHTNKDTRSEMARSKKKSVRRKHRHEDTEELLEFSTVSEMEIVSPPTFHDNSSSSFCSMSMLGQSESSYHDIAVAVNESQDPDCKQEDSASLARTSYGSVMEQNGDGISDLVTNDGSDYDIARVSGTIFKEDEDIAVNSSSLGAGVLLECCVMEPDLDLCKNEPDRSLMENECCECSSGAHCNEKSEKLCYDNGPEQLPVSELYSTNSDVLDCDDNDHTYFGDWNVYWDSFYMRNYFYNVKTQVSTWDPPPGMENLVLDTHNDKPNQMTTESLQISVHESRLEEILSDELSNGTGLTAASSLTMPSISKSLEQAGEYCEISGSCDGEVTLGLISDAQGIVDSMIKISTGTISDDDDDDIPLETVTSAKDQVDTLLVAPTKKGKKKTRRRAQGKLSRDNEELQFQGMFEEHSAIIGKYWCQRYLLFSRFDEGIKMDEEGWFSVTPELIARHHASRCGNGIVVDAFTGAGGNAIQFAQRSSHVIAIDIDPKKIEYAYHNAAVYGVNDRIDFVMGDFFVLAPKLKADTVFLSPPWGGPDYTKVEIYDLKTMLRPCDGYFLFNVAKKIACRIVMFLPRNVDVNQLAELSLSSEPPWSVEVEKNFLNGKLKAITAYFTETAVSGQ >OMO78925 pep supercontig:CCACVL1_1.0:contig10528:2689:2757:-1 gene:CCACVL1_14009 transcript:OMO78925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLIKSEDEDVEWGEVALLEE >OMO78929 pep supercontig:CCACVL1_1.0:contig10528:23495:27162:1 gene:CCACVL1_14013 transcript:OMO78929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELGFQEPSSVRSSGYRARDASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDHDSLASELSLHLAAHEGGDQNESSSGPDPDPNKAKIVHKHSRLSRKGEKVKVQKEEKDAAHLVEDENLLIDSARNSFSLALKECQDRRTRSDALLKNPDKRRQASLDLNNVTASSPRLGSMKKGSVATRKSGAFPSPGTPNYYHNHHSSVGMQKGWSSERVPLHNNGGRRQGNAAGVLPFNNGRTLPSKWEDAERWIFSPVSGDSGVRQSIVHPQRRPKSKSGPLGPPGIAYYSLYSPAVHMFDGGHPGNFMAGSPFSAGVISADGLAIHSRSHGGGFAVRTEPCMARSVSVHGCSEVANPPSLPCQDADENHDAVKDAATDISRTVSRRDMATQMSPPGSSNSSPKGRPSFSPSTPSALPIMELQSIHSSKSEVRDVQVDERVTMTRWSKKHKARNNGKSSEIVDDWRKRAIDTRASTWDVTETGKNVSKVKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKIMNKLRSAQKRAQEMRSSMLANEAHQVTRTSHKAISFRTRQMGSLSGCFTCHAF >OMO78926 pep supercontig:CCACVL1_1.0:contig10528:6814:9444:1 gene:CCACVL1_14010 transcript:OMO78926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNMNFKNFGSSNTPPSTDGGGNKLPTGIPLTRQPSIYSLTFDEFQSSMGGIGKDFGSMNMDELLKNIWSAEETQTMASSTAGVQGNGGLQRQGSLTLPRTLSQKTVDEVWKDISKEYSIGKDGIAAATTNNMPQTQRQQTLGEMTLEEFLVRAGVVREDTQLAGKANNNGGFFGELQQTQTGNNTGFGIGFQQGNRGQNFMGNRISEGVNQIGVQASNLPLNVNGVRSNQQQLAQQQTQQQHHQHQQPIFPKQPAMTYGAQIPLQSGGQLGSPGIRGGIAGIGDQGLTNGLLHGGGMGMVGLGGAVTVATGSPANQLSSDGIGKSSGDTSSVSPVPYVFNGSLRGRKSSAVEKVVERRQRRMIKNRESAARSRARKQAEALEMQKNQVMEMINMEQGGKKRCLQRTQTGPW >OMO59037 pep supercontig:CCACVL1_1.0:contig14061:33986:35619:1 gene:CCACVL1_25133 transcript:OMO59037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MESSVVAELEGVLLKDLDPFSYFMLVAFEASGLIRFALLLLFWPVIRLLDMLGMDDAGLKLMIFVATAGLQASEIESVSRAVLPKFYMDDIDMDAWKVFSSYEKRVVVTKTPTIMVERFVKEHLRADEVIGSEIVINRFGFATGFVRGGIACIISSRVAKMFMGEQPDQPTLGLRRSTSSFQFFSLCKEQMQPPFITNQNLRDHQLLRPLPVIFHDGRLVKRPTPSTALLILLWIPLGIILSIIRIVVGIMIPMRAVPCMARLLGGDIILKGKPPPPVSGNGSGVLFVCTHRTLMDPLALSVVLHRKIPAVTYSISRLAEILSPIPTVRLTRIREVDAEKIKRELAKGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVATNYRVGFFHATTARGWKALDPIFLFMNPRPVYEVTFLNQLPAEATCSSGKSSQDVANYVQRILAATLGFECTNFTRKDKYTVLTGNDGTVSSTSLVDQVMKVVSTFKPFFQ >OMO59043 pep supercontig:CCACVL1_1.0:contig14061:80883:81017:-1 gene:CCACVL1_25139 transcript:OMO59043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRIDGDCSRNIAVDPTRIPSRSLSFRILSLSSDPGQSKHTCS >OMO59045 pep supercontig:CCACVL1_1.0:contig14061:112759:112968:-1 gene:CCACVL1_25143 transcript:OMO59045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYEREEGYMTPRRPTQTAAAAPPCPPAPRKKRAVYVKREPPKDGFFMPPDLEAFFSVVVPIRTEACV >OMO59034 pep supercontig:CCACVL1_1.0:contig14061:13570:21868:-1 gene:CCACVL1_25129 transcript:OMO59034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEFRSLLPLTDLKITPTAWVAKADVPGSKSTRSYRLIGTTFDVDAATAKMEDGVLTITVPMVWTLMCIDEENSINLPMNLDAEKGNGNSILGSFLKQNGQMTSCVPLGPFTGYASVLKSSKFLKPAQQILDDFCGINNKVFDFPLDSLADPITCSERIQHRLKNSKLFLMLDE >OMO59041 pep supercontig:CCACVL1_1.0:contig14061:75951:78369:1 gene:CCACVL1_25137 transcript:OMO59041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSFVFRGTRSDLESGFPGYIPERRVMRVHAGRPVNSNSLAFLVTVLLLFMILNSHQMSPNFLLWLVLGVFLMATTLRMYATCQQLQAQAQAHAAAASGLLGHTELRLHMPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDADNVPSSASMSEEEINALPVHKYKVYAPQSGDSSMQQASSSNSPQKNQDPNNAVGSMKSSDDELTCSVCLEQVNVGETIRSLPCLHQFHASCIDPWLRQQGTCPVCKFRAGSGWHETGGIDASYMV >OMO59035 pep supercontig:CCACVL1_1.0:contig14061:23466:23588:1 gene:CCACVL1_25130 transcript:OMO59035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYHSYQIRDTGTENVNFGVSNTERVGLVDTLIRSRDTV >OMO59040 pep supercontig:CCACVL1_1.0:contig14061:71101:72462:1 gene:CCACVL1_25136 transcript:OMO59040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MSSSHFFLPLTIFLLLFNQIFSSPSQKPLVLPLKNTLGSRPHGFHRDSDLFSTSAVAARGVSAGAAATNKLAFHHNVTLTVSLSVGSPPQNVTMVLDTGSELSWLHCKKGPNINSVFVPQISKSYKPVPCSSPICRTRTQDLNIPASCDPSKLCHVAVSYADASSIEGNLASDNLVIGSSSRPGFLFGCMDSGFSSNAEEDSKTTGLMGMNRGSLSFVSQMGVPKFSYCISGFDSSGVLLLGEARFSWLRGLSYTPLIIKTDRLPYFDRVAYTVQLEGIRVGNKMLNLPKSAFVPDHTGAGQTMVDSGTQFTFLLGPVYTALRNEFLQQTRGVLRVYEDSNFVFQGAMDLCFRVGGTSQAIFSNLPRVSLMFQGAEMSVSGDRLLYRVPGMNKGSDSVYCFTFGNSDLLGIEAFVIGNHHQQNVWMEFDLVKSRVGFAEIRCDVAGQRLGMGL >OMO59046 pep supercontig:CCACVL1_1.0:contig14061:113727:117752:1 gene:CCACVL1_25145 transcript:OMO59046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MPFISKIQRQTDYHLFPPSTPIVIDNGASYFRIGWAGESEPRVIFRNIVQRPRHKSTGETVTIVGDQDTSLLRYFDCTRSGPRSAFDSNVVFQFEIMEYILDFAFDRLGASGSRIDHPILITECVCNPVYSRSKMAELLFETYGVPGVAFGVDAAFSYKYNQQRGICGKDGLAICPGFNTTHVIPFVDGEPVYKGSCRTNIGGYHVTDNLKQLLSLKYPHHMARFTWEKVEDLKMEHCYIAADYALEARLFQKGGKEAEEKTRCWQLPWVPPPTEEPPSEEELARKAAIRERQGQRLREMAEAKRSSRINELENQLHGLEFLLQQINQVQEEEIPAFLSETGYVSKQEIEATLNKVSQSLRKAKGEPKAEQAENEKADSSAAEKYPLINVPDNMLTPEQVKEKKRQIFLKTTTEGRQRAKQKRVEEELEREKKNQQDEQKRLENPQLYLEQMHTKYKELYEKVEQRKRLKTNGGHTNGNSGGVGRGERLNPAHRERMRLLTTAAFDRGKGEDTFGAKDEDWQLYKLMSKDNDDDDDGPDEDEAELAHVCSRLQEIDPAFVPKPEVTTTQATTSEVPRARPLTKEDFQILFGVERFRCPEILFHPNLVGVDQAGLDEMTGVSIRRLGYKDGALEDRLTSSVFMTGGCSLFPGINERLEAGIRMLRPCGSPIKVVRAFDPVLDAWRGASAYAANYQFQQQTFTRADFYEKGEDWLRRYQLRYTL >OMO59039 pep supercontig:CCACVL1_1.0:contig14061:57448:58647:1 gene:CCACVL1_25135 transcript:OMO59039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MATTTSYWCYRCSRFIRIFNQDPITCPGCDGGFIEAVENSAQAARAINGEARRVGSNRFPAAAMYTSNTPHTTILRRNRRNGGDRSPFNPVIVLRGGTGASTSSTASPSSVSGESSNVEQSGRGFELYYDDGGASGLRPLPPSMSEFLLGSGFDRLIDQLSQMEIQNIGRYEQPPASKAAVEAMPTVEIDESHVCDELCCAVCKEQFELGTKVRNMPCNHLYHSNCILPWLQLRNSCPVCRHELPAAEGEEGNESGGGNLNSSEEVPVGLTIWRLPGGGFAVGRFSGGRRGGGGAGENREFPVVYTEMDGGFSGGGLPRRVSWRSRGNRGRERGGFFERFFGSLFGCFRGSNSSPSSSTSRLDSRINRNSRSLLLFSASSSRRRRGWAVEVDSGRRRWL >OMO59047 pep supercontig:CCACVL1_1.0:contig14061:118479:120967:1 gene:CCACVL1_25146 transcript:OMO59047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPQQRRKSGLNLPAGMNETSLRLETFSTAPSSSSSSFRSISISSPRMISSLSSPSSSKTSTCSDRFIPCRSSSRLHTFGLIEKDSPAKEGGNEAYARILKSELFGPDFGSFSPAGSPMSPNKNMLRFKTEHSAPNSPYSPSLLGQDSGFSSEASTPPKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNVLAVGLGTCVYLWTASNSKVTKLCDLGPHDGVCAVQWTREGSYISIGTNSGQVQVWDGTQCKRVRTMGGHQTRTGVLAWNSRILASGSRDRNILQHDLRVSDDYVSKLVGHKSEVCGLKWSHDDRELASGGNDNQLLVWNQHSQQPVLKLTEHTAAVKAIAWSPHQTNLLASGGGTADRCIRFWNTTNGHQLNHIDTGSQVCNLSWSKNVNELVSTHGYSQNQIMVWKYPSLAKVATLTGHSLRVLYLATSPDGQTIVTGAGDETLRFWNIFPSAKTPAPVKDTGLWSMGRTYIR >OMO59042 pep supercontig:CCACVL1_1.0:contig14061:78961:80139:-1 gene:CCACVL1_25138 transcript:OMO59042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVLDLTGDGGVLKKIITRAKAGALAPTEDLPMVDVHYEGTLADTGEVFDTTHEDNSVFTFELGKGTVIQAWDIALKTMKVGEVAKITCKPEYAYGAAGSPPDIPPNATLIFEVELLSCRPRKGSSLGSVSEERARLEELKKQRELAAAVKEEEKKKREEAKAAAAARIQAKLDAKKGQGKGKGKAK >OMO59044 pep supercontig:CCACVL1_1.0:contig14061:86847:86954:-1 gene:CCACVL1_25140 transcript:OMO59044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFEERKFIKKPIFIVFFFFNVHQQEFFIFFFFT >OMO59036 pep supercontig:CCACVL1_1.0:contig14061:25626:25898:-1 gene:CCACVL1_25132 transcript:OMO59036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSHQLPLTDLKITPTAWVAKADVPGFKRDEITVEIEDVKLGKVLRVRGQKNNSGWEPETLTVRERTLANFSRSYYLSGTTFDVNGEF >OMO59038 pep supercontig:CCACVL1_1.0:contig14061:47694:49353:1 gene:CCACVL1_25134 transcript:OMO59038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MESSVVSELEGVLLKDPDPFSYFMLVAFEASGLVRFALLLLFWPVIRLLDMLGMEDAGLKLMIFVATVGLRASEIESVSRAVLPKFYMDDIDMDAWKVFSSYEKRVVVTKTPTIMVERFVKDYLRADEVIGSELVINRFGFATGFVKGDIACIISSRVAKMFIDEEPTLGLRRSTLSFQFFSLCKEQMLPPFITNQNLHDHQLLRPLPVIFHDGRLVKRPTPSTALLILLWIPLGIILAIIRIVVGLMLPMRAIPYMSRIFGGKIIVKGKPPPPVSGNGSGVLFVCTHRTLMDPVVLSTVLQRKIPAVTYSISRLSEILSPIPTVRLTRIREVDAEKIKRELAKGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVGFFHATTAGGWKALDPIFFFMNPRPVYEVTFLNQLPVEATCSSGKSPHDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGTVSSTSLVDQVKKVVSTFKPFFQ >OMO59048 pep supercontig:CCACVL1_1.0:contig14061:121946:123176:-1 gene:CCACVL1_25147 transcript:OMO59048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGTPDFFYREAQRLGYVARSAFKLLQIQKQYKLIKPGSSVLDLGCAPGAWLQVACQSLGPLKNGGAVVGIDVKKVKVPSLFCDSRVQTVSADVMKLPKQQVRELSPQKKGFSVILSDMCPPVSGISTRDAALSMELGMRALDLAVGRAAQLMDDNFETEGELCATGPDDNGVLQSRGHLVIKLLESEDIKGGEST >OMO67268 pep supercontig:CCACVL1_1.0:contig12454:9908:9967:1 gene:CCACVL1_20642 transcript:OMO67268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAEPEPAAAAQPSLGVQ >OMO67279 pep supercontig:CCACVL1_1.0:contig12454:50124:51671:-1 gene:CCACVL1_20654 transcript:OMO67279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADDVHNMFDKAKEGIGSVIHAAKLPHFHKETHGRRDDIDENTPLDDVKAPNVFERAKEEIEALVEAIHQKKESDHDDKRNHSTKEESNHEKRENGVNAPNLIESAKEDIEALIHKGKEYFHKESHGKDSDSDEDDAKVSKVFEQAKEGIESLVETIHQKIESHTDEYKRDDSTMAGSKHEKPGIAIKASNLIEKAKDKIDTILNNDKSPNHHYKETHGRNDDIDENTPIDEVKAPSLFERAKEEIDAIVGTMQPKIESNDSVPSAKEEGGFRHCLGVGLEKVCHPWGSKRD >OMO67269 pep supercontig:CCACVL1_1.0:contig12454:12326:15059:-1 gene:CCACVL1_20643 transcript:OMO67269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAKRKSKSDASDSGDENLSKKDLALKQAIDQITTAFGQGSIMWLGRSESAKNVPVVSTGSFALDIALGAGGFPKGRVVEIYGPEASGKTTLALHVIAEAQKQGGYCVFVDAEHALDSSLAEAIGVNTENLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVAALVPRGELDGEMGDAHMAMQARLMSQALRKLSHSLSLSQTILIFINQVRSKLSTFGGFGGPSEVTCGGNALKFYASVRLNIRRIGFVKKGEETIGSQVQVKVVKNKLAPPFKTAQFELEFGKGISREAEIIDLAIKHKFVTKSVAIHTYNDKKFRGREAFKQYLAENESEREELVMKLREKLLEADKMEKQSDVSDGDVSEEIVAPDVTDEEAAAAVEA >OMO67277 pep supercontig:CCACVL1_1.0:contig12454:44619:45284:1 gene:CCACVL1_20652 transcript:OMO67277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLEYSGQLPGEATIASGTQGSEMVGRLAFSVSEPFGTWLNFIP >OMO67272 pep supercontig:CCACVL1_1.0:contig12454:25507:29820:1 gene:CCACVL1_20647 transcript:OMO67272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MEEPQEPHVEAPFSFPPLRRSHLRSGTYHNLVRFISLCYGDSSLATAPPIIPLHRTPGEPVGDDVREPLESIVPDNMQQAQEGNVVNGGFEGAAFKDRRLSNTQMVVDEIEQIMRVEEDEDSKPSEKVENQGFLFKPSAMHEKTEIQQKEMELEKFVCTNDGIPSPVRMVEDEEPEEGEIFGDIQHVNESVDILIEDALLLQKKEEEKQDSGCALDGKHLRCNEVSTAYDKDLQTAQIANRVTEVDPKGNERAQMVSKLGKMHRKEGSGKVIKQSGSENQVIKSIEKKDVGVCNKNKQGQSPAKKKEKKKRNKRKRRAEKNKQLGVKRLKLETVLKPRVVSYCRHYLKGRCYEGEKCKYSHDTVPLTKSQPCCHFARQSCMKGDECPFDHELSKYPCTSFMTKGSCVRGDACLFSHKMSREENVASTTNARDLQLKPSLHSDSNMRLDMSRNVEASSCSAGASSQQTKQIVADTQMKTPDLARKGVNYLFHSKSCIAEPSKLSQGGSSQKMKESDRVGIQSNQSTPVVNSTFASKRSVAELGKFSEGSSSLKMSKAIQASESGTIQIVNGSPKRKTEVVPRGINFLSVGKSSLEDSTSKVSLALYRGDGYKQQPAEKNQTTRSALSMDQRKGHPAVVPVGIDFPTFGKSCNSRINEASIPSRADMVNNGSLQKSNYVSDKQHYLSPISYKLPVSPQTSGQSSGRLLHKNTPNSTQKALISTLEGDKKFSDVNSGSPHEGQHLSDKQCNSNLNPWKIPAHPLGTGQTSEKMSHTNTPNSAQKGVMSTLAFAAKCESRMKKNQSNVSSGHNSETRESKISEGSRDLEKTSKLLEFLSGFSSKRKQI >OMO67270 pep supercontig:CCACVL1_1.0:contig12454:18989:21438:-1 gene:CCACVL1_20644 transcript:OMO67270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein (NAP) MSNNEGVNFNMADLGDALDEEARAGLVNALKNKLQNLAGEHADMLENLSENVRKRVEHLREIQGKHDELEAKFFEEKAALEAKYQKLYEPLYAQRYDIVNGVGEAEGTPKEGAMEQGDDKDAEEKGVPEFWLIAMKNNEVLSEEITERDEGALKYLKDIKWFRVAEPKGFKLEFYFDTNPYFKNTVLTKTYHMIDEEEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKPITKTEDCESFFNFFNPPQVPDDDEDIDEETAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAIQGDELEIEDEDEEDDDDEDDDEDEEEEDEDEDDDEDEEEETKTKKKKGGKAQGDGQQGERPPECKQQ >OMO67278 pep supercontig:CCACVL1_1.0:contig12454:45606:48309:-1 gene:CCACVL1_20653 transcript:OMO67278 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MDKYGFSDSNDISNNSSTCCIAAPTNTETFASSEPLNTPDIAALQLLSRNLESVFESTNSDSFYSDAKIALSSGREVSVHRCILTARSPVFKNVFSGLKERGAKLELKELARDYEIGYDSLVAVLAYLYSGRIKPLPKGVCVCVDDNCSHVACRPAVNFMAEALYAAFTFQVSELLALYQRHLLDIMDKVAIDDILVILYVANICGNVCEKLLAKCIETIVRSDVDIVTLDKALPHHIVKKITDYRLEFGIDKIENRGFPDKHVKRIHRALDSDDVELVRMLLKEGHTNLDEAHALHYAVAYCDAKTTTELLDLGLADVNGRNSRGYTVLHVAAMRKEPKIIVSLLTKGARPSDLTLDGRKALQISKRLTKAADYFKSTEEGKASPKDRLCIEILEQAERRDPLLGEASLSLAMAGDDLRMKLLYLENRVGLAKLLFPMEAKVVMDIAHLDGTSEFTLASINSNKLNAAQRTTVDLNEAPFRIQEEHLNRMKALSRTVELGKRFFPRCSEVLNKIMDADDLSQLACGGNDTPEERLVKRRRYNELQDVLSKAFHEDKEEFDRSAISSSSSSKSIGITRPNGKLTGSGRGG >OMO67271 pep supercontig:CCACVL1_1.0:contig12454:23667:25143:-1 gene:CCACVL1_20646 transcript:OMO67271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S16 MVVRIRLSRFGCKNKPFYRVMAADSRSPRDGKHLEVLGYYNPLPDQDGGKRMGLNFERVKYWLSVGAQPSEPVQRILFRAGVLPPPPMVAMGRKGGPRDTRPVDPMTGRVLDMKQPATPNEQTGGEDDAAEGATSA >OMO67275 pep supercontig:CCACVL1_1.0:contig12454:39310:40905:1 gene:CCACVL1_20650 transcript:OMO67275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding protein MLNPDGGGGGGGGGSLASSCYTTAVPFVKESKRMGDKEQENGDESKLNVIVVKEEPVAAVEAVTPATESSAATCGGGGGGGEDEEAAFLKAVKVEQNEEGEDEEMGVVDDMMNGGDCKNNIFNNGSSSSSSSDALVKPLEGLHESGPPPFLRKTFEMVEDPETDPIVSWSVNRNSFIVWDSHKFSENLLPKYFKHKNFSSFIRQLNTYGFRKIDSDRWEFANEGFQGGKKHLLKNIKRRSRYNKQQQGGIVSAVSPTSIGLEAEVEVLKKHQSALQLEVSKLKQQQEESNHQLSAFEERIRFAECKQQQMCNFFAKMAKYPNFIQQLVQKRKLQKKGLDEGEFIKKRKFLETQVTKSLPYKAMESGTDPSVKCSNQVDQEGLDSIQADNEFPRFLPDGMANNHEIEKEFSVSMEDGLCRSFQAQKSSSAAEMSSVYHVMSENLLGESSVVENPTNEDQLSVNDSKIYLELEDLINWKPCSWGGFASELVEQTGVV >OMO67273 pep supercontig:CCACVL1_1.0:contig12454:32699:35397:1 gene:CCACVL1_20648 transcript:OMO67273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Survival protein SurE-like phosphatase/nucleotidase MTTSVKNNFLPPSLISNLQQVLISRNDAVEHQSTNSFDSNSSALSSISRNAETNAANVEPDCSKPVVLITNGEGIDSTGLTFLVQALLADGRFNINVCAPQSDKSVAGHSVTVREMVTVCSVEMGGATAFEVSGTPVDCVSLALSGALFSWSKPVLVMSGINSGASCGRNMFYSGAVAAAREALICGVPSLCLSFNWKKDESCESDLKDAVNVCLPLIFAAVRDIENGNFPESCLLNIEIPSCPQANKGFKLRRQSFWRSPLSWKAVAANNRHPGAAQYLSNQQSLGIRLAQLSRDASAAGAARRLNLQRKNVEIESVGVSGKLNGQQTVKKYFRLELLEKETEDADEDSDFKALEDGYVAVTPLSLSSTDQSKIETLVSNWITIALGDRNQ >OMO67276 pep supercontig:CCACVL1_1.0:contig12454:42782:42958:1 gene:CCACVL1_20651 transcript:OMO67276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEDRLRNWEALIRPHRTAIAISRFQTNSKRDFNLIMTSNQIPPPPQCGAFRLSTPV >OMO67274 pep supercontig:CCACVL1_1.0:contig12454:37248:38608:-1 gene:CCACVL1_20649 transcript:OMO67274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNNINSSLTLLREWEINGESFDRGEQIRPKPNQCANGANGPISSV >OMO67267 pep supercontig:CCACVL1_1.0:contig12454:4184:6523:1 gene:CCACVL1_20641 transcript:OMO67267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLDGCYNEENIQPGGLSLYFEYRQLVFPLENDHRLVPGFGFGNNMPYIEFWELELHNRASHQTQRQFYREAGIKTAVFMGCRSGEIELGSSNLVQLNMHMEMRSFFPQDFSRQLSPPAAAATAGDQLPGQPNDPNRPSSSSSSLRSMLSAPSSSSSARASLRAQSMLKRAITFYRNFNLARRENLLRNRPGATTSNQLHHMMSERKRREKLNESFTALRSLLPSGTKKDKASVLISTREYLKSLQSQIDELNRRNRLLEAQVLPSKEAAGELVNGSSNERFNVRITPVPESASDQRIVDVRVSVRGQRPIVDVIIHLLEFLKLDRSVSLMSIEANTNVTESGSVNLVNLRLRIEGNGWDEATFQEAVRRLIADLAQ >OMP11003 pep supercontig:CCACVL1_1.0:contig01725:926:3972:1 gene:CCACVL1_00734 transcript:OMP11003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPPVYYYTLILVLTIFHCVHPSIQLQYIESNALREIQQFLNYPSALSSFDNSWDFCNIEPTPSLTLLCYQDNVTQLHIIGNNGGVNPPLPQNFSIDALFASLVRLSNLKVLSLVSLGLWGPLPDTIGKLTSLEILNVSSNYLNGFIPVELSHLRDLQTLILDHNKFTGQLPAWITSLDALAVLSLKNNSLFGTLPNSIASLESLRILSVPNNHLFGEVPDLHNLTNLQVLELENNHFGPHFPSLHKHNKVVTLVLRNNSFQLGLPAELGSYYQLQKLDISLNEFVGPFLPSLLALPWINYIDVSGNKLTGKLFQNMSCNDELGFVDLSSNLLTGDLPSCLQPKFKSRAVMFARNCLSDGEQEQHPSNFCHTEALAVEVLPEKHKYKRHHAKAVIASSLLGGVFGIAVIAGLSFFVIHRRSSRFYGNTPSTRFITEKVSAANTVKLLSDARYISETMKLGANLPTYRAFSLEELKEATNDFACSSIMGEGSHGQIYKGKVGDGSVVSIRSLKMGKKHSAQTYTHHIEMISKLRHNHLASALGHCFECCPVDSSVSIIYLIFEFVPNGTLRSCISEGSARQRLNWNQRIAAAIGVAKGVQFLHTGILPGVFSNNLKITDVLLDQNFHVKISSYNLPLLAENKGMGGAGFSSPGLKPNVRGRTKHDQDTDDVYDIGVILLEILVGRPIMSENEVMVVKDILQVSNKMDDTARRSIVDPTIVKECSAESLKTVMEICLRCLSTEAADRPSVEDVLWTLQFAAQVQDPWTLDSSHHHHITTPQQTISSLEKM >OMO67133 pep supercontig:CCACVL1_1.0:contig12468:3424:4779:1 gene:CCACVL1_20768 transcript:OMO67133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGDEVVEIESLERSLLPESVNGEEETEADDESVLYSASFGEMEENFIKFQTAQWVLYSLLLVLVWGLGLFMLLYIPVRRYILRKDIRSRKLYLTPNSIVYKVTRPVPFPCFGVLKKEKHVLLPSVADIVIEQGYLQSLFGVYSLRIENAGVRRPPSDDVQILGISNPSAFRKAVLTRLSNMRTEVFTRQVSAIEDTPNLRIQSPAAWLSPSKSLKHDAIPHSGDFALLQKLEEVGSSVKRVQTLIEEQRSQTSETAD >OMO67134 pep supercontig:CCACVL1_1.0:contig12468:6202:6312:-1 gene:CCACVL1_20769 transcript:OMO67134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITFSLLMLERRNVLPVDFSDGYQLSTSTTSTCAP >OMO69867 pep supercontig:CCACVL1_1.0:contig11944:36238:37408:-1 gene:CCACVL1_19220 transcript:OMO69867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSNSIQASYNEPTCGKFTCFAIGANNQSTIKGGLPRDIIAWHSCRWGGECGFSFGCYPCTSGWIRKKVPTEYTQLHTTFDHAEEFTWRPYGRSRTHVVQPPFNSILMPTGLEPSEIIVTGADLSLLEAYVCATPRPFPFLSLVGSFGVTSYHPDRVMWQFGYDQALPRTLLAYRSEIDGNAYISVMTPAMIDYWGRFISEFGTFMSSAAKPIRRAISSNSRLSSGDFHKIFVKRHHHTAIMSLPKMVGCYIVNLRLIPYS >OMO69865 pep supercontig:CCACVL1_1.0:contig11944:10431:15590:1 gene:CCACVL1_19218 transcript:OMO69865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MAGGGEEGTSLEYTPTWILAAVCTVIVAVSLAAERLLHCLGNKLKTKNQKPLYEALQKLKEELMLLGFISLLLTVSQSLISEMCISENALDTMLPCKPQKKEETSEGSNSTSTTSHFQKFFASTLSSRTRRLLAETVQSSKVGYCAKRGKVPLLSTEALHHLHIFIFVLAVVHVTFCVLTVSFGGLRIRQWKHWEDEIAKQNYDSEEVLKKKVTHVHQHAFIKEHFLGFGKNSIVLGWLHSFFKQFYASVTKADYVTLRLGFITMHCKSNPKFNFHKYMIRALEDDFKQVVGISWYLWVFVVIFLLLNVHGWHTYFWIAFIPFILLLAVGTKLEHVIIQLAHEVAEKHVAIEGELVVQPSDEHFWFNRPRIVLYLIHFILFQNAFEMAFFFWIWVQFGFESCIMGQLDYIIPRLVIGAVIQVLCSYSTLPLYAIVTQMGSSFKKAIFEEHVQGRLIGWAQNAKKRKALKSASEGTSLTGTVHSASEGSSQAGSMERPSVAIQMGRVLRNASAPEEIHPAKGSDDSK >OMO69866 pep supercontig:CCACVL1_1.0:contig11944:16942:21806:-1 gene:CCACVL1_19219 transcript:OMO69866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVAIDKTKGISLSWEVVEVSFEMLEEELPCDLFALSPFTKLNSPSFNFASYSWIHRCLYAFILSATPAAAAEPPPSPPPPPGGAPPLPRLPISILKPNALEKYWTLNSSLPRPWGLRFNSHGIVFIFDPSATEIEYGNSNQEAEEEEEEEEEEEEEEEENCETEHGKPNKENDQEEEINSADRLNETNQEAGDSQSVFEGLNHINQEEESDHQDYDSVEILNQTPPSDSKSTENKCLRRSKRRKTASDKNKKVPEDVSDNDSVEILNQTPRKSKMGKKRGVNASAEMLRTEKKCCLRQKRKKTTSDKMMKETRGVQILPLFKKPQQTIPHLLLKCNPGYKLILPIDFIEYLPNKTGIVTLCTMDDIYRINPDSNCSSFMGRNILKCNRSCCSSLPLTSHEKATALLRAKDFDSDYPSFKVVMQPAYLGRGRWRLYIPNKFVKENMDVKKKKVILQVSDGKTWDVDFSVLSVPSGQQKPQISGKNWRKFAEQNKLHVGDVCVFELVDHTNEGDSIFKVSIFYSSKVI >OMO67989 pep supercontig:CCACVL1_1.0:contig12327:11289:11798:-1 gene:CCACVL1_20149 transcript:OMO67989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSEYLLGLLAMRRSDLAPTW >OMP06588 pep supercontig:CCACVL1_1.0:contig04875:4110:4873:1 gene:CCACVL1_01494 transcript:OMP06588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEAKDPLKGVDWKAIGRELQKDPSAGGKPVIKKRLPKKIRQIPECYFLPRMSLPSTIAFYGACIAGGIGAGMLLERMEASYGSLTNRALTSHYHRVSDHFAC >OMO62732 pep supercontig:CCACVL1_1.0:contig13189:46596:46727:-1 gene:CCACVL1_22665 transcript:OMO62732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPWTKIEARSSGWAGLGQAHIAQVLSKRPARTRPSSAHEHL >OMO62731 pep supercontig:CCACVL1_1.0:contig13189:27518:30162:-1 gene:CCACVL1_22664 transcript:OMO62731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGVCSVQQALTAEAANLVKQAVGLARRRGHAQVTPLHVASAMLASSTSLLRRACLQSHSHPLQFRALELCFNVALNRLPASTSSPLLGPHTHTSHHPSLSNALVAAFKRAQAHQRRGSIENQQQPILALKIELEHLIISILDDPSVSRVMREAGFSSTQVKNKVEQTVSLEICCTTTSQTTSKENSKPQALVPNVVSHSLSFHNQVGFGFQLPQDIDNVLNTVLNKRKNTVIIGESLGRAESVVRGVMDKFEKGQVSGDLRYVQFISLPLFSLRNLPKEEVEQKLVELKCLVKNYMGRGVILYLGDLKWISEFWSSYGRNTTTYCPVEHIIMELKRLVCGVRENGKILFLMGIATFQSYIKCKTGHPCLETLWELYPLTISVDHSLSLSLNLESESQTQHRSNKASIEWKSWALDEGAGVSKKKTSFIFDNKESFPAIVSSTSSLPSWLQNYKQESTTNLSQDKDSVSVKDLYKKWNSFGSSASTEEKEADNNMSWPVIFESKRSPKELQFWISDDLPKPDLLSNPNSSPNSASSSEAMEEDVDMDGLNEFKVVNAENLSILCNALEKKVPWHKDMIPEIATTILECRSGIRKLKSSLSLFKHRDRQETWLFFLGSDSEAKHKIARELARLIFGSQTNFVSISLSNFESNNNNKKRKRDESSGSSSYVQRLAAALNENPHRVLFMEDLDQLDYSSHQAIKQAIETGKVTLSDGETVPLKDGIIIFTCDSETFTSVSRKGPQEKEEKKTTSSVSLDLNIAIEDHNCEDGIENSGIIGDMGILEYMDNQIIFRVQQL >OMO96175 pep supercontig:CCACVL1_1.0:contig07515:15290:20579:1 gene:CCACVL1_05055 transcript:OMO96175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MQGGKPVAYFSEKLNGAALNYPTYDKELYALVRALQTWQHYLWPKEFVIHTDHESLKYLRGQQKLNKRHAKWSEFIESFPYVVRYKQGKDNVVADALSRRLCVPSCYLRILLMRESHEGGLMGHFGVDRTYNILHEHFFWPKMRHDVGKYVASCIVCLQAKSTSKPHGLYTPLPIPHEPWTHIGMDFVLGLPRSRREEEEDTKPITRRGVIQFEFSTKPKSAVSTSSEDMAEEEQPKTLRELAALNVNAKRLAIRYPDTNGNFEIKSGFIQILPKFHGLPGEDHHRHLTDFQIACASTSIQGIPEDQFMLRTFLFTLMDRAKDWLYLLPTGSITSWTSLKKLFLEKYFPALKASRLLPFDRSSIDSASGGAFIDKTPAEAWSLVENMAANTQQFGSREDFSRDGPTRRINEVSTYSTSLEQQLQETNQQIAMLTDLFHANFSSVPRVCPTLEDNKQGINVVGLQGVYQRKPEPYWRPEQPSQQYGNQGNFQGRSNFQRPNFQSSQAIEMQQIKEAMEMMRKQISQLASDLSDLKTQGQQRIPSQPKVPPRENVISISFRSGKELQDPYPNLAAHQQKEGESSYTKEDLQEPAKIKIEDEPNNKGAAPIEDSNKDDAVIQPKGKGVCDSNKPKTDSNLIPFQSRLKKSKKEDDDQDILEIFRKVKVNIPILDAIQQIPNYEKFLKQLCTNKKRLQGKVSAGANVSAVLQKSLPPKCKDPGTFSITCSIERSIIENAMLDLGASLSVMPYSFYKSLNLGSLKNTDVILQLADGSLVYPKCVLENILIKVEHFIFPIDIFIMDMEYSKDQCPLLLGRPFLKTSHTKIDVFNGSLTMEFDGEVIHPRISSQVPLKSNNFVYVISSKFVKKGAIEDSSSTKPDRISKGRQRIMDIRQRYNETFHQFWRRFKQLCADCPHHGFKEGILIQIFYGELGLKDRVLVDSFCIKPLHERTPENAFYALEELSRRTKSSTSHKKKDRAKEAKKELEVNNKEVQPSQKINQPSNSTEKQDIDLKHRTKVGSILQRKVENFPQFWQRLKKLCAAHPHHSYDQRRLVQNFYQGLRASDRLSVYTLIYIPLFDHSTADIYKILENFAQMIINTPKEDAQGLSPAKPVPHKPSCPAIARLSTSHGRPTPAKAAQACATSTLAAPSPATARPAEPRIRTTQVSTAPPARPSQAIAAPPMPHARPCPVNAAQQPKLSPTLSAPSAHPSTPCPAM >OMO96176 pep supercontig:CCACVL1_1.0:contig07515:23508:24415:1 gene:CCACVL1_05056 transcript:OMO96176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDEHGDEGIVHDWPLHGAIAQDRAHDRPWHGAIAHDRAQPGHNRPFDPLAIPLGPMTRSRAKRFKEALLGMVRTHLGGLKSIEDQLESIDDIKPWNIANDSKPCTLLEIVEH >OMO65946 pep supercontig:CCACVL1_1.0:contig12601:16321:19366:1 gene:CCACVL1_21335 transcript:OMO65946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transport protein particle (TRAPP) component MAPAAPRTGEAIFASVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVSRCVDFKETAEVIAKVGFKMFLGVTASVTNWDAEGTCCSIVLEDNPLVDFVELPDTCQGLYYCNILSGVVRGALEMVSMKTEVTWIRDMLRGDDAYELQVKLLKQVPEEYPYKDDE >OMO65948 pep supercontig:CCACVL1_1.0:contig12601:41801:44633:1 gene:CCACVL1_21337 transcript:OMO65948 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor MGRNRSSPAKEVLIWLRKQSMKAKILISAMALLFALVALKLVVKDHNHFFVASEFVHVAGIAVLAYKLTTKNSCSGLSLKSQELTATFLAVRFVCSFYLEGDIHTLLDFATLIFTAWVIFMIRFKLKSTYINELDNFPIKYLVVPCLILSTLIHPYTSQIYVSDPFWAFCVYLESVSVMPQLRMMQNAKMIEPFTAHYVFALGVARFFGCAHWIIKFHAGSTDNKDASLI >OMO65945 pep supercontig:CCACVL1_1.0:contig12601:12367:15535:-1 gene:CCACVL1_21334 transcript:OMO65945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cys/Met metabolism, pyridoxal phosphate-dependent enzyme MASSLSLRPIFSSVYSDPTHKNGLLLENFPRSFEVKKELNLEGRRLLSSKQFRLNCSRQKEMDVSASVLADSVTECSTELDVEEQEPSISTILMNFESSFDPYGAMSTPLYQTATFKQPSAIENGPYDYTRSGNPTRDVLERLLAKLEKADRAFCFTSGMAALSAVAHLVETGQEIVAGDDIYGGSDRLLSQVTPKSGVLVKRVNISDLDEVAAVIGPSTKLVWLETPTNPRQQICDIRKIAEMAHAYGALVLVDNSIMSPVLSQPLELGADIVMHSATKFIAGHSDVMAGVLAVRGERLYFFPLPFSCKSWRSYPLQNA >OMO65947 pep supercontig:CCACVL1_1.0:contig12601:20900:31256:1 gene:CCACVL1_21336 transcript:OMO65947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGHTSTIAAGPHVKDASYFGYAMGTGRGIAPGARVAVYKAIWDDGTQATDVIAAIDQAIMDGVDVLSLSFGMDLLDLYEDPIAIATFAAIEKNIFVSTSAGNEGPYLGELHNGAPWVVTVAAGTMDREFGSTIYDGKTDTFQSNVIITSVGLGGKEEEELLKV >OMO65944 pep supercontig:CCACVL1_1.0:contig12601:7635:10289:-1 gene:CCACVL1_21333 transcript:OMO65944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cys/Met metabolism, pyridoxal phosphate-dependent enzyme MALRIEKQQENAQKIAEFLSSHPLVKKVNYAGLPDHPGRDLHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFSITVSFGSVKSLISMPCFMSHASIPSEVREARGLTEDLVRISVGIEDVNDLIADLDNALRTGPI >OMO78345 pep supercontig:CCACVL1_1.0:contig10596:1733:3907:1 gene:CCACVL1_14471 transcript:OMO78345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MAVVLGNLALLLDLTSARTVTTDRKSRPLAIDVVLNLPKRDPHFFQASLSNKSQIDFSDGENRANRVVARGKANSKVKAVDFDAGSSDDDGNGNGNGFEEEEENYDWEKEMRKRVKEIEEMRELEKKAEELQTKAEAEESEGEGREETEEEKKMRVRKELEKVAQEQAERRATAQLMFDLGQKAYGRGMYGRAIEFLEGALTIIPRPTLFGGEIQIWLAMAYEANNRHKDCIALYQQLEKKHPSLSIRRQAAELRYILQAPKIKITQEEMVTIPLIGSSYDSYAATWSDKNKDKDQRIGSTTNQLPSSRDFLGDFLVWRPPVGLEKNRAFWVALTLWFGLVGAAILLQR >OMO78346 pep supercontig:CCACVL1_1.0:contig10596:4725:5165:1 gene:CCACVL1_14472 transcript:OMO78346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18e/L15P MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNIDKLWSLVPEEVKAKASKDSAPMIDVTQFGYFKVLGKGVLPENQPIVLKAKLVSKTAEKKIKEAGGAVVLTA >OMO92663 pep supercontig:CCACVL1_1.0:contig08186:5741:9996:1 gene:CCACVL1_06786 transcript:OMO92663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGNRKLIKNLKKFADEQYKFFTTTYGEQVIDILQFPIKVVLSPLALAFDIAGSAPRGFGIPEFISRLSQTSVLAIAAFGTFDIALELGKKVVCQRHCRTCNGWQALRCAMCKGSGKVHFQVKNYTLRSGEKATAEYIADAIADNRAELVHLPSTFDFNTPLPSKDCPTCDGTGVMHCPECKHKIQVRISADDIMEPPWKAYNVLRKMDYPYEHIVHSMKDPSIAAFWLLTLPQIVGGFEYDDDVKQKIWWQYKESMRYDQLRDVVAKRNPGWEHLQEGLIAIDPVRARDDPVVVKNIPYYKAKKALEAEVMKLDPPPRPQNWGNLELPLNASSWTEDDLKDPAKLYEMTVLLNAQREIADKLLDAQWETKWRQEKLNKMLEEKVRPYIQNIENSVLPQPIVIQPQSQNQKIHSMITANMPDGQEACGSKTK >OMO92665 pep supercontig:CCACVL1_1.0:contig08186:33614:34708:-1 gene:CCACVL1_06788 transcript:OMO92665 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MLGGYQEKIISLLLIYTTIERLVSNSLGEFIGKLVNRLKNYLNPRVAICFNEFTTGLYKRSYVFTSIESYLSPKSASVGTKLRAESYKKGEPLVYSADYQEIIEDEFEGVKVTWWKGTDDGGSWNCAPLEHPATFDTFAMDSKRKEEIVTDLIGFSQGKEYYAKIGKPWKRGYLLYGPPGTGKSTMIAAMANLLGYDIYDLELSTVKNNGELKSLLISTSPKAIIVVEDIDCSAHLTRNRASIHEEYDDENKNENTKSKPTLSGFLNLVDGVWSACGGERIIVFTTNHIDKLDLDSERKNGCSS >OMO92662 pep supercontig:CCACVL1_1.0:contig08186:2464:5036:1 gene:CCACVL1_06785 transcript:OMO92662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRALLRNISLSTRSLVRSYTETSQKPVHSLTQLAASSRSRLRFFSSESDSPDEKKPEPVSVSTSLAQAQVKDVASEVEDVSTEELKERINKYIDGDEKALPEVLDAILKRRLLKKHEESDDELMDKVTMEMMEEDEDEDSATDGEVESDFEALYSTDEEIDDLYNARDIVMERMADDEYFNMDEKKWDEMVKEAVDHGYLKDTRECEDILEDMLSWDKLLPDEMKKKVEEKFNELGDMCERGELEVEKAYELFKEFEDQMVMEYGKMMEAEGPPKFDEIAVPDTNKNSDDPPGEGPILRWRTRCVFSAGGDAWHPKNRKVKMSVTVKELGLSKHQYRRLRELVGKRYHPGRDELTITSERFEHREENRKDCLRTLFSLIEEAGKANKMAEETRASYVKNRLRANAAFMERLHAKTMKLQASSTLNA >OMO92664 pep supercontig:CCACVL1_1.0:contig08186:15329:16909:-1 gene:CCACVL1_06787 transcript:OMO92664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MMKSKILFLLFIATLLSLSHASNTYQVGWVLNPKEKYSDWAGRQRLQVNDTLVFKYEKGADSVLLVHKDDYFKCNKKNPVKEMNDGNSKFLFSHSGPFYFISGKEDHCEKGQKVITVVMAVRKKSTPPSTPSHPPAKSPLPPTAPAHSPKSHGHSPVSQPPKSHGHAPAHPPKHHVPVVHPPKASSPAPGLAPPPHSPVAKPPKASSPAPVLAPSAHSPKASSPAPVLAPPSHSPKASSPAPVLAPPSHSPVAEPPKGSHHGAPSPVAEPPKGSHHGGAPPLLPPFPAVEPPKGSHQGPALAPPSHSPNVEPPKASHPGPALAPSPSSVSEPPKQSSPTPTSPPSSSPVSPHPGPAQSPEQHHGHAPVSHPPKAASPSPSSTPVSPSPLAGSPQASTPPPTTSPVEAPTPATGASPPAPPVDVSPSPSDDSPTPSGSPAPSGGQPGSPSGSVSGTPTPAPSVARAKSSASGTHSSVKMFAGSVLLSMVLGFVGV >OMO58955 pep supercontig:CCACVL1_1.0:contig14078:17867:18760:1 gene:CCACVL1_25216 transcript:OMO58955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARDDDRISKLSDDILHVIVSLLSEKEGLRVRSLSRGWRYVPPPQVFNLCFDSETEYGKFIGRYNRQYHSKSKEFVTKVSQVLRNHRVKKFAKLHSLQVSFFHYDEYASEIDEWVSLAASMAVNKVEFKFADIFKIPPDENDPITHRFYRFPWARIISTRPNLDLEYLCLESCILKPVPPDLGLGLSSLKTLNLGYLVLDQDDFNKIASACLKLECLTLCRCTLPQTFCIGGGPGGTTLDHLKSLALDIHGGYPKIRKLIKIHDLVNLKNFECRGCGIDFSIRAFLPWRDVSSGVI >OMO58954 pep supercontig:CCACVL1_1.0:contig14078:4362:5886:1 gene:CCACVL1_25215 transcript:OMO58954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDAIINGPGIPQLRNAIRIISTVGNPAVVMMTAAGLSIQASDELGFAAELRLNRTACERSRFEPEIPESNFEYLFIDLTPLRQFLEQPTHDRDALLIAYNPLPYLPEKWLMLWLLKPAPTKGYDMLEAIYDQFHVPAMMVDETPYMLTATIRSLLFRDTVRGLRYFMDENDHRRDNFRPIWITLRGAMMVIRVHGLDEVVLQESTGDFSIQGAAAFGDDISPLSFDLANLEAFINAAQMSQNVLLHVDPNQRPLLNCPFGNGLGNLFFFGNKSVPIWSGDHHDSIFDSNLLC >OMO75948 pep supercontig:CCACVL1_1.0:contig10945:15383:17870:1 gene:CCACVL1_15975 transcript:OMO75948 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma-70 MGVVSVSSSAARTPLGLGSKFYTQKCTSRRPLIVAFKADKSNNTALVTPHQDHILLPLETAKEKPKRRGKAKKASKTVNRVFTDEVSPCTLEVDYNEAAAKLENIYKLSPSTTTSDVEEVEGKLKGGRRGRKRSKESDEKADESNQIVVKNQTKKNKRLSLDKRIALRNNREKKHVVSVTRKKSHENESEKIDRLVRDYSASTDLVSLDWKKMKIPPVLPSTEHTWLFKLMQPMKALLETKQELQKNLGRDPTEDELAEATNMSAAEVRRHLEVGRAARSKLIKHNLRLVLFVINKYFQDFANGPRFQDLCQAGVKGLITAIDRFEPRRRFRLSTYGLFWIRHAIIRSMTLSSFTRVSFGLESVRVEIQRAKLELLFELHREPTEDEIVKKVGISPERYQEVMRASKSVASLHSRHSVTQEELISGITDVDGVGGDHRRQPALLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIAGNLNISREMVRKHEVKALMKLKHPARVDYLRRYVV >OMO75949 pep supercontig:CCACVL1_1.0:contig10945:18530:19134:-1 gene:CCACVL1_15976 transcript:OMO75949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQHHREQPNLATPEYEEVSSIEWEIIKVTEQEDLIYRMYRLVGERKDSGKESRGNREVLDYEK >OMO75950 pep supercontig:CCACVL1_1.0:contig10945:26927:28963:1 gene:CCACVL1_15977 transcript:OMO75950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MFDESKFLFKNISAGPKVSTETHTAWNPAIDTESLAIVPFSPNSSSIDRVISVLSSETETIPASVCARSSQSISVTTAEPVDSSSSDSCAPLPLCAGLSSNETTTTENLAISSGNSSIRTHSMRTRSQNGILKPKVMFLATKHPLPDTIEPTCASLAIKDPHWKQAMLDELEALKKNETWELVPEHTNRTIVGCMWVFRVKKNADGSVARYKARLVAKGFTQLPGVDYKETFTPVVKPVTIRLVLSIAVSNGCFLTDFSQALSSKFSLKDLGQVHYFLGIEVLHTSDGLFLSQSKYIADVLNKANMSGAKDSTTPLSTSLSLTLNDGTAAVDDTEFRKIIGALQYLSLTRLDICFAVNKLSQFMHKPTTLHLQALKRVLMYLKGTIQHGITLKPGMNPKISVYTDSDWAGNADDRRSTSAYIIYLGSNPVSWCSKKQKTVARSSTEAEYRAIALSVSELTWIQSILHELHVAIPDSPIVFCDNLSATYTCMNPVFHTIMKHLALDYFFVREKVSAGALRVQHPTSQQLANALTKALPSDKFQQLISKIGVSTQTTILRGSVGGKESRFQIQLNSR >OMO75947 pep supercontig:CCACVL1_1.0:contig10945:563:2158:-1 gene:CCACVL1_15974 transcript:OMO75947 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MENMREWEQRNLINELTEGRELAKQVQLHLSVPSSPCHDQTRELILLVQKIQASYEKALSILNFNTSLLAADHQQPPQAQPQPSGVAMIRMPESPPSRSGSPRSEDSDRDFKEQQEFKDASKKRKTLPRWTQLVRVTPGTALEGPLDDGFSWRKYGQKDILGAKYPRGYYRCTHRNVQGCLATKQVQRSDEDPTIFEITYRGRHTCNLGLPTSHSHSHSHALPLPLPPPPASAPPENQDQPTTNCMEPNQNQNQNQQPEPNQINQSQDLLLNFQRGLKVITENLDNNTSFPYHNNNNPSSSTTPISNVKGEETSNVFSPCVVGNLSATTNNYFAVSPTPTGMMMNINLGTSEIIQAVTSATNSPTVALDHFPFGNTEFDPNFTFDNHGFLS >OMO63663 pep supercontig:CCACVL1_1.0:contig12923:18769:20837:-1 gene:CCACVL1_22364 transcript:OMO63663 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(26)-N(2))-dimethyltransferase MCGCGIRSLRYLIESNADFVLANDANERHRRVILDNLAQVERFQGEKKRWVITHYEATRVLTDCYLQRDYYDFIDLDSFGSDSSFFLRAAFSSLKLDGLVYVTSTDGYSSGGHRPFHLMCSHLNQKLGIISTVTMNPFSFFGQWWDNYGFFILFYFFIYSSLAAFGAYVRPMPYANELGLRILIGGAVREASVLGYSVTPLFSYYSYHGPVFRVLLRMNRGKLPDDKYYGFICYCNRCGNSQAVSWDKLGQIRCPCGISNDSSSLVVSGPLWTGPLHSADYIMEMLNLAEHWGWVGNDAGSHLEKLLKQMVEESDPRLPFGFIKLDEVARRAQANTPSIRNMMNALHKEGYAASRSHIAASAIKTDCPMAGCIRIAKELHGC >OMO63662 pep supercontig:CCACVL1_1.0:contig12923:16295:17949:1 gene:CCACVL1_22363 transcript:OMO63662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S26e MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKSIKRFLVRNIVEQAAVRDVQEACVYDTYTLPKLYVKMQYCVSCAIHSHVVRVRSRTDRRNRDPPQRFIRRRDDMPKPGQPGQARPTAGPPRA >OMP06541 pep supercontig:CCACVL1_1.0:contig04899:32940:38576:1 gene:CCACVL1_01525 transcript:OMP06541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D family MLEPKEKEENAIDLYLNSSCWLCFLFMLEPKHFIGDVESRIRIEEEDRRRKDWRQLKKGESLVMVCKERLSTQESHPQPQAVTTKKTRVDREQQIRCRKPNKAVIVVQAAKDLRKGIQGVSRDEDDCRGVGFRRMFASYDSMKNLEHEFAHDMQVWAVLKPGFLALLGGPFGTKLLDIIVFDVLPALDGNGEDRKSLTEVVKERNSFRHAFKIIGKTSKGDMWNAKSSAKVIDWVAAINVGGLSPPEGWAAFDSIASSIEDATSEAASQLDVLLEAKAKQGVQSDEYIYECECGNMPGDTPHPTVRMPHSNRPAYNWNIKLYNVRSCRFRIGANYCTHIDASTSFIGVYDGRGGKTWMVQNLNQVNNLEAFSADDSFEMFRGFTLYG >OMP06539 pep supercontig:CCACVL1_1.0:contig04899:26923:27873:1 gene:CCACVL1_01523 transcript:OMP06539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanases superfamily MTCFYLIFILVSALLYQAFASEQVDLTKGFISLPLNRTYYHIQRPYDVPEAQRYSFIDGIHRCWVYSTDKPHTPTSKTKPRTEIAMHGYNYSSGVWQFEGYWYVPQGTSGFCIMQVFGASPPRATTLMLRVYNGSLTYYKGPVLVRDIYDKWFKLNVIHDVDAAKLKVYIDGDLKLEADGHGGTSHAFKCGVYAQDNDSYYMESRWKNIKVLRKCD >OMP06535 pep supercontig:CCACVL1_1.0:contig04899:5823:7331:1 gene:CCACVL1_01519 transcript:OMP06535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTPTEVDTSTASTATSIEAPPPQETKPATELPKRWAGADIEDGVAEVKGVAELDFGSLAVDEKKNVNPENSNIKAVCSSDTPYTSAYTFEELNLSPELLKGLYVEMKFEKPSKIQAISLPMIVTPPHLDLIAQAHNGSGKTTCFTLGMLSRVDPKLQAPQALCICPTRELAIQNLQVLNKMGKHTGITSQLFVQKDQTHASASTRRNSNPISKQLPITAQVVIGTPGTIWNWMSWKKLGASYMKILVFDEADQMLAQEGFRDASTRIMRAVERVSSHCQVLLFSATFNDSVKDFVAKIVKGNHNQLLVKKEDLSLESVKQYKVKVPDELSKIMVIKEKILEFGEGLGQTIIFVRTKNSASMLHKSLAHLGYDCTTIHGNLGNDDRDKIVKEFKDGLTQVLISTDLLSRGFDQQQINLVINYDLPVKYTDPTEPDCEVYLHRIGRAGRFGRKGAVFNLLCGDADEMIMSKIQSHYGAEVEEVANWRSDEEFKAALKSAGLL >OMP06536 pep supercontig:CCACVL1_1.0:contig04899:9797:13189:-1 gene:CCACVL1_01520 transcript:OMP06536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MGSKKPLLVALMAHALSSGMILLSKAAFNMGMSTSVFVFYRQIAGTILLVPLALFFEGKNPKPLSLATFCKIFMLAFLGITLTLNVYGVALIYTSAAIGAATINCIPVITIAFAVLLRMEKVTVKTVPGIAKVAGIVVCMAGAVTLAFYRGPVLKPPFHLPFQPHGGGQDGDHHSQAAASGKKWILGCFLLLVSSICWALWLVLQARVLKSYPSKLTFTSIQCLSSAVQSFLVAIAMERDPHQWKLGWNCGLLAIVYCAIFVTGVAYYFQAWVISKKGPVFHAVLTPTNLVMTILGSVFLLGETINLGSSLPCNKERDVIPIDAEISGTLVQHVHLEGNALVDEMVPADKSSVKKLLKRVRVEGDEEGEITKKRRVDKNCVICLDELEDGSSEVYQMPCFHTFHGSCIKKWLDQSHYCPLCRFEMPTSKT >OMP06534 pep supercontig:CCACVL1_1.0:contig04899:2194:2262:1 gene:CCACVL1_01518 transcript:OMP06534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFERRKPIRSFNGEIDKYSL >OMP06537 pep supercontig:CCACVL1_1.0:contig04899:17937:21899:1 gene:CCACVL1_01521 transcript:OMP06537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKHFPLKTLLSISPSSSSVSPPLYSLSIFISHFSTSQTHHYHSYYPSRRQDDESRQVKVSVWWDFENCSPSNANVFRIAPMIAAALRANGIKGPIQITAFGDIMQLTRVQQEALSATGINLAHVPSAGKNSADRSLLVDLMYWTTQNPPPAHLLVISGDRDFASTLHRLRMNNYNVLLASPENAPSVLCSAASIMWNWQALLRGENLTGKHYNLPPDGPYGSWYGHDKVPLQDPFLVEAPASSQNDELSEVLKPVPKAVMKHIGQILKSYPEGISMHVLCNELISNVHLDKYFYGYKNFTRFLLSMPHILRLEQPEGGGDFLVRMAPKASESSETSPCSSAGCLSRSEDALPVSSRLNDNRSVGGAPNAMPKLHKSPEVNVGVDPRKVQETPPENDLGKVNAEKPAEEVQQSLPVVEKIAEASDERVTESHQTPILEQDSASDERVTESHQTPILEQDSASEVGSFRKVWQLWFHGSNDNSEVKSHVPEKCGDSEGSSEKIRNNMLKNCAGVSPEREETKEVSEPKSDEGAHTTATSLSSNDLTDNKANLEAGENHSKRSGLFNRIAAWCKSWRSSQDSEESADQSCEKHNHINNISLKHEIFTQDSFWKDMEILLDSPRGLALVTQSMTREEMAEKLRKEGPLALRSVSNCDLLELVDLLISDKKWIEECSSKTSPFRIARAVEKSHVSGNTPAANGLRSIFLRTSSQANLQRKHEGHKKLENVPHSGVSSTIINKNATERSKSEILAHCQKLVKMILKEHPEGYKIGAFRKLFLERYGYPLDIQRLGYKKLSSLLEKIPGVKLESPYIMPASSVPKDSDLETVVPNVQEDSSQALQNSAGVLSDALTKGEDPESAWDELGPVSCTSSNKNEMQSVFGIGSKTTEDAEIACFNYEPSISDDEFSDSEGELSTPEQRGKQQKPTIVEGDSSLFQILDSWYSSKEGEDNPEKSEDMVDCLEATQVNPSDAAGVDVNEEASLEDHGQKQRLHKTYSFVADPVAVGDDKGKLISGILGNLEKRKKRRKKSKSVEDAGVDSLTMQRK >OMP06538 pep supercontig:CCACVL1_1.0:contig04899:23138:26361:1 gene:CCACVL1_01522 transcript:OMP06538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MSKSPEEEHPQKAFGYAARDSSGTLSPFQFSRRENGEEDVTLKILYCGVCHSDLHTLKNEWGFTRYPVVPGHEIVGVATKVGNKSAIGTMDYIIDTVSAVHPLLPLLSLLKVNGKLVTVGLPNKPLELPIFPLVLGRKLVGGSDIGGMKETQEMIDFCAKHNISADIELIRMDEINTAMDRLAKSDVRYRFVIDVANSLSKY >OMP06540 pep supercontig:CCACVL1_1.0:contig04899:29158:30090:1 gene:CCACVL1_01524 transcript:OMP06540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanases superfamily MDPTQGFVELPLNESNFHNDKPYDLPISDRYSFVDGVHKLWVYTTDKPLSKNSTTKPRSEIRIRGYDYSSGVWQFEGYGYVPDGTTGVSIMQVFGAAPPPATTLMLRVYNGSLYYYRAGPVVLKNIYNRWFRLNVIHDVNASKLQVYIDGVLKLEPNGRGGNNHYFKFGVYSQNDSSYYMESRWKDIKVLKRCD >OMP10458 pep supercontig:CCACVL1_1.0:contig02493:132:831:-1 gene:CCACVL1_00965 transcript:OMP10458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGLMLYSSFPGQILSHHHAVPVRRCHNKLPHAMRFVGRGLKNDCAVADKLVVKRIRIVHFEIAEIAMVAGCGRWKCVRAVPHHDASGTASTKENVRRSVPDAGMLATPEAACVIYSGIPGLPAPWKRCCSAGRCLIYVDSAECFLVAESRRSRTEQSAIIFAPSMALTSLRTRRSDASEKSSAISYRTHRLRPRGNHTN >OMO53074 pep supercontig:CCACVL1_1.0:contig15342:400:465:1 gene:CCACVL1_28896 transcript:OMO53074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPENMVMLRGQKGPSKDEEKF >OMO53076 pep supercontig:CCACVL1_1.0:contig15342:5469:5655:1 gene:CCACVL1_28898 transcript:OMO53076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSAIVVGRGVLCDSCGESSFPSSRLRK >OMO53075 pep supercontig:CCACVL1_1.0:contig15342:3941:4030:-1 gene:CCACVL1_28897 transcript:OMO53075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQAVYMQIQVTSKANIPVVVGGKANDK >OMO55356 pep supercontig:CCACVL1_1.0:contig14719:15822:15902:-1 gene:CCACVL1_27300 transcript:OMO55356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRFSMPALHPYPNFGLVTRRRRIG >OMO76844 pep supercontig:CCACVL1_1.0:contig10862:37689:41687:-1 gene:CCACVL1_15382 transcript:OMO76844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpv17/PMP22 MSSVAKKGFQKYLLQLQRHPLRTKALTAAVLSGISDTVSQKLSGIPKLQLRRLVLKMLFGFVYLGPFGHYMYLLLDKFFKGKRDPKTVAKKVILEQLSVSPLNNLLFMIYYGFVVERRPWMDVKTRVKKEYPKVQMTAWTFWPVVGWINNQYIPLQFRVIFGSLVGFLWGIFLNLRARSMALPKIFILILRQPFSLMASMSRSHSVRTHRNLAMSGGNCDSQPVEARRSAHSVRTRSETKQALKCTTGGNCDPQTVESRPADSSAISVEQSDHDDGHGKQVAYIEESCYEHKKEAENQCSIALESNSSITASTSNLEKTHDDDDDHGKQVAYKKENSYEHQQWAKNQWSMASESNSSSTASASSNSGNCSYSYSIGSLKSSKSAEERRSTCPKLGDWEESHLDISQRFDRYKKERQGRSFTIAPPPNNSPTPLPPPNNSPASDRTRLQGASFLSKLLNAYWKSKFDFELLALELNALPNATESGFSSQPYQ >OMO76837 pep supercontig:CCACVL1_1.0:contig10862:531:2825:1 gene:CCACVL1_15375 transcript:OMO76837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase/Amb allergen MKFLKLLFVLAMVMALSSTALSATTPPLNEDDDNNASNQTSYFPTSIGRAGRFLAQISDRTAKTCDKHPKICRNEGSPGPFCCNKKCVDIKTDKFNCGKCGKKCGYTKICCQGKCVNPLSNEQHCGGCNNPCASSLEGYTPKPLSYYVPKDAPRKLMNIIDSCWRTNSNWSNNRKALADCAVGFGKAATGGKYGSIYVVTNPKDDPVDPKPGTLRYGVIQSQPLWIIFARDMVITLKNELIVNSYKTIDGRGAKVEIAYGPCITIQGVTNVIIHGISIHDCKPDKGGMVRSSPTHVGKRQGCDGDAISIFASSNIWIDHCFLARAADGLIDVIHASTAVTISNNYLSQHDKVMLLGHSDSYSADKVMKVTVVFNRFGQGLIERMPRVRFGYAHVVNNRYDEWKMYAIGGSANPTIFSEGNYFIAPDDQDSKQVTKREADNWQNWTWQSSRDVFMNGAYFVRSGYGSCAPRYTKSQSFTAAPGFLVPALTSEAGPLRCRVGKAC >OMO76840 pep supercontig:CCACVL1_1.0:contig10862:20876:23912:1 gene:CCACVL1_15378 transcript:OMO76840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MGTTIKVVVLSLFISSLLFAVVSSASNDGLVRIGLKKQKLDPNNRVASQLESQDREALIKKYGFRNNLGASEDTDIVALKNYMDAQYYGEIGVGTPPQKFTVIFDTGSSNLWVPSSKCYFSVACFFHSKYKASASSTYKKNGKSASIQYGTGAISGYFSNDHVQVGDLVVKDQEFIEATKEPGITFVAAKFDGILGLGFKEISVGGAVPVWDNMIKQGLIKDPVFSFWLNRKVGEEAGGEIVFGGVDPSHYKGKHTYVPVTQKGYWQFDMGDVLIGNKPTGFCAGGCSAIADSGTSLLAGPTSVVTMINQAIGASGVVSQECKAVVQHYGQTIIDLLISEAQPQKICSQMGLCTFNGAHGVSKGIESVVDESNEKSSGIRDAMCPACEMAVVWMQNQLRQNQTQDRILNYVNELCDRMPSPMGESGVDCGSLSSMPTVSFTIGGKVFDLAPEEYILKVGEGPQAQCISGFTALDVPPPRGPLWILGDVFMGRYHTVFDSGKLRVGFAEAA >OMO76842 pep supercontig:CCACVL1_1.0:contig10862:30351:31659:-1 gene:CCACVL1_15380 transcript:OMO76842 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-cell receptor-associated 31-like protein MIQLLFLVIFSEMAVIFVLSFKTPLRKLVIMGIDRVKRGRGPVVVKTVGGTVFVVMMSSVYSMMKIQKRWIDDGAANPTDQVLMSKHLLEATLMGGTLFLALMIDRLHHYIRELRIRRKNMEAAKKQGRGFEDGKPNGSDGVKALEEEVASLRATLNKLESDLETKTKEMSAAEANTVALRKQSEGFLLEYDRLLEENQNLRNQLQSLDQKLSRSGSKKNM >OMO76841 pep supercontig:CCACVL1_1.0:contig10862:26275:29978:1 gene:CCACVL1_15379 transcript:OMO76841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWTRGRTIGRGSTATVSIAATADNSGHVFAVKSSELSKSESLRREQGILSTLSCPEIVSYKGFDITSENGKVLYNLFMEYAPKGTIMDVIQKQNGGLDETTVRSYTRRILLGLQFLHSSGIVHCDIKSQNILVTDDGVKIADLGCARSVTATATATAACTVAGTPVYMAPEVARGEQQGFAADVWALGCTVIEMATGKSPWPDVKDPVSALYRIGFSSDVPEIPNNISKQGKDFLTKCLKRNPVERLSVNELLEHEFITESKCQVVKDQLDSPISVLQKLLLWDSMEELETIQVPSTNKSVMERIRQLGEDNILVLSSSKLPNWEWDESWLTVRSNSKSEVEEMLSGRQDGSLLDADEPTISSCGGHMSRVSEDFNFLFDYYYDYYYYYPKKISGQNSDKTINHSGEIRPEPSLKVETSSVIILMDEIELECKPPIQVIIDASIITTQDKNCSLISINNVTEPSPSSILTRLHAGYFRISISFGGQALLWKILTEPNGVSQDVSHVFLKLPSKLSLLLWYLAITTQWFTTEKRFLSIMANPTSQISVFGNLVASRAAAQMGWKESAICLWSLGSFDTTSKMLFFLSLFLFMSLACRPSLFKKSMRKFNVAWWAYSFPLTFLALAAAEYAQEVKGHVAAALMLVLSVLSVLIFLGLMLLTAANSERLLGETDPMVSFSNNLKPS >OMO76843 pep supercontig:CCACVL1_1.0:contig10862:33156:34472:-1 gene:CCACVL1_15381 transcript:OMO76843 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box protein MAGIEISSSQKLLFSNSTFPSSSQPRRGKVVSRVLMNPVSLPKLCNRGNLVEELERRSKSYTLISTTTLLETQNNNFSRPNTKCPHDSDHHQLIIAELYAIMEAVSDRVEMHKNIGQQRDNWNHLLLNSINGMTLTAATMAGLAAAITTGAPPPTVALKLSSILLYVAAAGFSVLMNKIQPSQLAEEQRNAARLFKQLHGQIQTTISLGKVDINDVNEAMDKVLALDKAYPLPLLGAMLEKFPSKVEPAKWWPQQEKRKAQGRKTEENNSNGWNRKLEEEMRQVLGVLEKKDVAGYIKLGSIALKLNKVLAISGPLLTSLGALGSCFIGTSTHSSWPVMMGVMAGAMATVVNSMEHGGQVGMVFEMYRSNAGFFKLVEESIMSNINEKDVERRENGEILEMKVALQLGRSLSELNHLAAASSSTRHDEEIEEFASKLF >OMO76838 pep supercontig:CCACVL1_1.0:contig10862:3614:4825:-1 gene:CCACVL1_15376 transcript:OMO76838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLFTYKFLCLCPITLLFIFPFLSLIEAQASLCRTTCGDIPINYPFGIDDGCGSPYYRHMLACSDSGRLELRTPSGRYPVRSISYSDPHVFVTDPLMWNCQDGHHFRPTRPFSLDTSTHFSLSPQNDYLFFNCSEDYVIVEPKPIFCERFPDRCDSSCDSASYLCRHLPECASALGGSSCCSYYPKATESLRLMLKYCASYTSVYWKTVVTTTDSPYNQVPEYGIRVDFDVPVTTQCLQCQDPTKGAGTCGFDTQTQNFLCMCKERNVTTYCKDHDISSHRKAGVIAGTVTAVSAAGAIGIGAGIWYLKKVRAKAPVTCGVQSNENRLF >OMO76839 pep supercontig:CCACVL1_1.0:contig10862:7468:9708:-1 gene:CCACVL1_15377 transcript:OMO76839 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDKGWGLALDSDPGANFFPAKTNPVGPFFRLKPPQQQRDNMFQFPVSLAGSREDRHGGTSSSSSPSEVVDFFSDKKNRVAVDDDHQDNNKTAAVNVKKESSTSLGEASDHVNIGLHLLTANAGSDQSTVDDGVSSDMEDKRAKNELAQLQVELQRMNAENQKLRDMLSHVSNNYSALHMHLVTLMQQQRNQAIQEGKSEEKKQDVIVPRQFLDLGPSGTGTAETDEMSHSSSEERTQSGSPRNNFEIVKTKNENNSQTFDQETSSFRDGKRIGREESPESEGWGPNKVQKLNPSATKPIDQSNNEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTSAAANMLLSGSMPSADGIMNPNLLARAILPCSSSMATISASAPFPTVTLDLTHSPNPLQFQRTPPAQFQVPNFPGQPQNLPQVFGQALYNQSKFSGLQLSQDVGSSQLGHQVPHQQPQQQPTFADTLSAATAAITADPGFTAALAAAITSIIGGAHPNSSSNTSNNNNSNANTTSTSRQ >OMO53258 pep supercontig:CCACVL1_1.0:contig15259:16849:22110:-1 gene:CCACVL1_28771 transcript:OMO53258 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MADCARVVPGHMRLLHAMAGDVPGLVRLPHAMAGHDHDKPLAHHLVLETDPATMGDALNDKGISACLNTMNVVSDNNKHSSLSYKDKLINSNENIAISFFSSPFYSNDSTDSESDDEEEKGVASIKLSKEEKQRIRAPWAQAIIVKTYGKNVGYKFLYPRLMAQWKREGKVDCIDLGKDFFLFQFHRNTDYAKVILPGLSIEFFDVNILKRIGNQIGHLVRIDANTASTSRGKYARLCVEVDLDTPLLHTIKIGKYRQLVLYESVSGLCFKCGCIGHSKNNCPSNSSDPSGEDLANGPKELSMEGETVDPPEAKSPPNATSSEGYRPWMVVTRRKIKPQPTKSPTDCTNTMPKNTHNGSTVAAKPNAKISRAEPAREGLSQMVIASNQQAIKNDRSDPPTQPDMLAPSPMEIQTAMFTLTPPYPKESYCGMNLGRSGSIRAFRFEWCWFNNLDFLDFVHNCWNRSDHNLSQKSSEFVSAVRVWNRETFGNVFIKNKKLLARIQGIQKALCDHHNSFFVDLEKELIKDYNVVLKDEEEFWKMKSRVDWILDGDRNTSFFHLSIIIRRKRNRDFRLKDSVGNWINQDQALASHIINHFQILFSTSLVTSDWDCILPANITNELRLDTHDSLCQKPSRSEIHKALFSMKPRKSPSPDGLHPGFFQKCWKTVAPTIWEYISKVFTDWAIPAYWSESLIALIPKSLNPESITQFRPIGLCNTSYKIVSKILVNRIRPLLFDLISPNQASFILVRKGTDNIILVQEIVHSFSKQIGKVGNLLIKLDLDKAYDKLEWSFIRQVLLFFNFPKDIISLIMSCISSASMSIFVNGSNTEPFQPTRGIRQGDPLSPYIFILCIEYLSIMINDKLEAREWTSFKTFRNGHLLTHLFFADDLILFAKTTPQNCVAIQDVLDTFTKLSAQQVNHTKSKILFSKNTEPYVANAICDNLGFDRVNDIGKYLGFPISHKAPSKANYSFIVDKINQKLAGWKTRLLSHAAKTTLINSSITATAGYYMQAAAFPVSTLNDIDRAARNFLWGSTNDSRKLHLINWIWSPTSLWVSVFRNKYLACKRERKYGSPLWRRISKASGLLKDGIKWTIKDGERALLWHDNWSGLGPLRNFFIGPLNLEDNAACVSSVINQHGTVEADALRFFLPSSIIDHLSSIPT >OMO87667 pep supercontig:CCACVL1_1.0:contig09204:1594:4286:1 gene:CCACVL1_08835 transcript:OMO87667 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor KAN4-like protein MRSTTALPDLSLQISPPSVSDCKAKEMGYDGLSMKPIYSDRSSTTDSGSSGSDLSHENGYSNPGHGEPTLSLGFEMADLGHHHLQLPRNLNHHQQHHQYQPQIYGRDFKRNGRIVNGQGQTDMSLNQRTGIVDLDGRLSSGKADSNPSYSLKPSTTSPQPTPQRTQSGSWLSSTETNNLSMSSPGNGLTFRPDHDSKVDGDKAILHVSDRMKERLDSSNTLSPSDMFLNLEFTLGRPSWQMDYAESSNELTLLKC >OMO87668 pep supercontig:CCACVL1_1.0:contig09204:4716:4883:-1 gene:CCACVL1_08836 transcript:OMO87668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFLIKSYVGFSYLSAIIGIQILGYNQSSMVTEACRGHCPALNVFTESTECDID >OMO59379 pep supercontig:CCACVL1_1.0:contig13997:2059:2124:1 gene:CCACVL1_24870 transcript:OMO59379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPTLMFKLDKLFSTVRMF >OMO72782 pep supercontig:CCACVL1_1.0:contig11376:6126:9821:1 gene:CCACVL1_17599 transcript:OMO72782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESKLSQQEYFNAKSRIQILVTTWLIGILLKTQKSKPMQLSNPLFLLKHQGRQENK >OMO72627 pep supercontig:CCACVL1_1.0:contig11429:14205:26487:1 gene:CCACVL1_17678 transcript:OMO72627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTALSPTSKPGKGKRRCISNLFRDFLPFKFPLRARRRLRQSNPKRPKSESMQPTSSRQADDSLLEQGEGCSNPNLKLCNRPLPVRLSVLELVEYVSSVRKVCLESDLKVEVKPLGAEKLWLE >OMO72626 pep supercontig:CCACVL1_1.0:contig11429:7744:12284:-1 gene:CCACVL1_17677 transcript:OMO72626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLPCSGKSNTKANKSKKNKKLEQMQLDQLKPASESSSSGESSKGGGGEHIAAQTFTFRELATATKNFRAECLLGEGGFGRVYKGRLESSNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLTGYCADGDQRLLVYEYMPLGSLEDHLHDISPGKKRLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKCSNILLGEGYFPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSKAAGEQNLVAWARPLFKDRKKFSQMADPMLQGQYPSRGLFQALAVAAMCVQEQPNMRPLIADVVTALAYLASQKFEPDPQQVQGSRLPPGTPPRTKRDRDKKPSGGSGSERDRTRRKAIRLPEPSVRVRRYDGLAFNGFGFDSKTNDYKLLRLVELDDQSLGVELYSLNTNCWTSTITSIPPKYTSLYDAQYFYGNSFVNEAIHLLACDSKEPDRYRNLVLAFDVSEQVFSEMPLPDPLSNTLYLPAQLLKYRQSSIAIMTWEWGPSLIHLWVMKEYGVATSWTKVFTEKRESVGRVLFFRQDEEQVFVSTKDGWIASLDIKTKGKQSHEVLGVRSLESFLVVDSYVESLVLLEKCCINSPWDVIDMN >OMO93817 pep supercontig:CCACVL1_1.0:contig08055:5256:14906:-1 gene:CCACVL1_06337 transcript:OMO93817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRFFKFDVGSATANQVMHICSNLLSYEKPFLHLNAFGCLFFMIRWLRCLVYIMMRFCIRKQFR >OMO83766 pep supercontig:CCACVL1_1.0:contig09856:2912:9904:-1 gene:CCACVL1_11209 transcript:OMO83766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGKEEATCAVEEAVDLISVVKEIHGLTPKELNKLLRDSESSTIHYVTEKGSEVKIDVEKLAGCLPLHLIAVLISSDRDEALLRYLLCGIRLLYSMCEARHTKLEQILLDDVKVSEQLMDLVFYVLVVLNDYNEDMNYSSNEPLLHSALVACSLYLLTGCISSQWQDLALVLTAHPKVDIFMDAACRAVHLVVRFLQNKLSAQPTDISAKLSPSAEFMVNYLCQQCEASLQFLQLLCQQKLFRERLLRNKVGILAFHFIYLSGIVKELCGKGGILFLAQSILKLHAPYFVESSTVVAALSRMKAKVLSILLHLCEAESISYLDEVASSPGSLDLAKSVALEVLELLKMGLSKDPKHLSASSDRTYPMGLLQLNAMRLADIFSDDSNFRSYITMHFTDFLSAIFSLSHGDFLSMWCSAELPVREEDATLDYEIFPAVGWALDSLSSPDLSNTRDLYFNFIFNNMSQASYVHQRTSLFVKVIANLHCFVPNICEEQERNLFLHKFLGCLRKDPSKLLPSFFFISGPQKAAAIYRNLRSLLSHAESLIPTYLNEDDLQLLRVFFDQLQSLINPAEFEENRVQEDRSRGGCSSPLPKREPPNLNNRNGNLKEEMSENSAFQEEEQLCIRSNHMDQVEDLTRQDMMDDKDKSVTPISLKEMERDVQNAETSGSDTSSTKGKNSIDKSAERLKESMQAGVQGDEKVETVQTEEKQRRKRKRTIMNDEQVTIIERALLDEPEMQRNTASIQSWADKLCHHGSEVTCSQLRNWLNNRKARLARLIKDARPPPEPDNAFGGKQVGSQQGHSLKAPDSSGEEAAPSSAKGTRNMLRISTSDAPELGDLGAAEYVQCKAGQFVVLVDGRGEEIGKGKVHQVQGKWYGKSLEESGTCVVDVVDLKADRWSKLPYPSETTAYLRQDKKSFTQDPRISASGILDIKPFYNHLLTLPPDPAKPTNIIQAFLEYQRNPYTFLGPPQAFIAEDIPADMAFQGLVSSLPQEMQDKLALFSIRAKAKEEIFKFQSIIIRKYGDYAIRPIGINPAYPFLHLFFFRFLEDLPKVVIPFFWYLTMRHTVAFEFDTFYLKKYIQQCISLEEHRQTREPHLRFHSLETLKAILSWFLPLNKWQELLEPLPGSRYRDTFTIILLHRKYDYQNGILTFNPTVRVHSTSKFQMYAFIYPRYAEFRALLEQIAKENGEKVDIPLPPYVGFPWRYYPSNYQKKLWADLDHYKGMDSWRWRQFDQRIINLDHSFLDESFQVPSSPYWQYPDAGDVPYIQWRI >OMO83767 pep supercontig:CCACVL1_1.0:contig09856:14214:17727:1 gene:CCACVL1_11210 transcript:OMO83767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MKEKGLMALFETKEAKGKIAFRVFSSSIFMGICLIWIYRVTNIPRAGDRGRWAWIGMFLAELGFGFYWILTQALRWDVVRYYPLKQTLSHSCHEDKLPGVDVFVCTADPKLEPPCLVINTILSVMSLNYPTEKLSVYLSDDGGSPLTLYALLEASSFSKLWIPFCKKFNVEPRSPDAYFTQHSDVQYSAINNAQDQQYWLLIKKQYEDMKKRIEQVSNKGSVPEEIKKQHKGFSEWNSNVTKQNHQPIVQFIIDGRDKNAVDSVGCRLPTLVYMAREKRPDSPHHFKAGAMNALIRVSSEISNGAIILNLDCDMYANNADSIKEALCFFMDETRGHQIAYVQHPQKYNNITKNDLYGNSSPVLNKIELAGVGSFGTALYCGTGCFHRRASLCGSKYSEEFKGSWNLETKKNDQRTIDELEEASKVVASCDYEKGTQWGKEMGLIYGCPVEDIVTGLTIQCRGWKSVYHNPDNIAFVGVAPPTLDIALVQFTRWSDGMFQIFLSKYCPFIYGHNKIKLGGQMGYSVYLLWAPSSLPHLFYAIALPLSLLHGIPLFPEVSSRWFLPFAYVFLSRNIYSVAEALICGSTLKAWWNLQRMWVIRRTTSFFFAFVDCIVRQLGLSQTTFTVTAKVVTEDVSKRYQQEIMDFGSTSIMFTVIATLAMLNLFSLLGALVKMVFWGSEYMDLEKLMSQISLCGLLFMVNIPVYEALFFRKDKGSIPASVMFKSIVLASLACLMPLK >OMO83765 pep supercontig:CCACVL1_1.0:contig09856:190:1193:-1 gene:CCACVL1_11208 transcript:OMO83765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment MVESITDGTLATFFKKPGDIVAADEPIAQIEKDMVKIDVVGPHDGVLQEYVAKKGDHVVPGTKIAIISKSGKEIEEMEALEQLFEQQIAELEKKILEALEELEKKILESFEYQRVTEMKKVFEHLDEIRSTLESLRKVSTVTVEGKLGPRISWALFVFNILALLIGMAASVFYLLEVYGKIEKEKEEGEIKGGG >OMO98158 pep supercontig:CCACVL1_1.0:contig07156:19561:29206:1 gene:CCACVL1_04314 transcript:OMO98158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPANEEVDSDVNKCLATIAFTINFVYILGLPHYFSTVILTILGFIGYLSHTITAKDFALLHFIRSIRPYKIEDKIQACHITSLHDYTELIEPFLLTVKAVLHGNPSSSLCFSLTALFDSDAGGAPHRRYKRNKSPHLLDSEGTKTQIPFCPTINTGEY >OMO87563 pep supercontig:CCACVL1_1.0:contig09222:4610:4675:1 gene:CCACVL1_08933 transcript:OMO87563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWLNIGALVESGLKTHVYKP >OMO97665 pep supercontig:CCACVL1_1.0:contig07217:34471:34668:1 gene:CCACVL1_04493 transcript:OMO97665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREGPPKESCEVCCKTGERNMRWQVALLGALADMA >OMO97666 pep supercontig:CCACVL1_1.0:contig07217:39068:39226:-1 gene:CCACVL1_04494 transcript:OMO97666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESAAWMPILRASTETEKRVKAMKQKRAKFKDCCMIIVGIQKSLNFEALGF >OMP06068 pep supercontig:CCACVL1_1.0:contig05072:6457:14933:1 gene:CCACVL1_01721 transcript:OMP06068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAAAVGKEEEDLWGKARKAADDLYELRDTFFPQNPDDKTSKLQQESDLALNLLDSIPPEQRKLPEQRATYEYLRGKILDVVPDYRKEAEDHLSKAVKLNPSLADAWLCLGNSIWKKGDLPSAKNCFNLALSKGPNKKILCQLSMLERRMAQGSENQAQMVEESIQHAKEAITLDVKDGNSWYNLGNACLTSFFVTGAWDHSKLLQSLKAYQNAEKDERMKSNPDLYFNCATVNKYLENYDRALTGFEAAALKDPSLNAFDEVEKILNLLNKLENLLRGPGKVKRLASLASTIAAVNVNSSYRRATVDVLSEGLNKAVAVPGKVVFCVKHESVTPLYFLMCDSNQICFILSVYGISNDAIKEGDQLVMLEPNFHQVDFSWKGKRYQFKSIRVDFIEQVLVNGKALPPHQATRSSIYAQHKPQSSSPLLYSSSGRQGQATMSSWSSWRTGSGSHDSGSSYAPTNTTNVNDSNRIEPIFRHSVSFQPTSDATRRDPWQMPDERVIRRPFSFSGFPDHRRTSAPVQIVWLESVPSTPPPARVVNPRFSGPLPRYTVQQQVQLPPAPAPAPAQQDDSRSTQDEENIVLSKLKREIYNPVPKQMTRRLNSYYRNGSSFNEKEKDDDGKRCAICLEDFEPREQVMLTPCDHMFHEECIVPWMKSHGQCPVCRYVLSERIRQTATSISNNNASNVAATETDLFAGELISIIRAMEEAFLWGNSR >OMP10791 pep supercontig:CCACVL1_1.0:contig01921:475:843:1 gene:CCACVL1_00789 transcript:OMP10791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14b/L23e MIQPQTHLNVADNSGARELMCIRVIGASNRRYAHIGDVIVAVIKEAVPNTPLERSEVIRAVIVRTCKELKRDNGMIIRYDDNAAVVIDQEGNPKGTRIFGAIARELRQLNFTKIVSLAPEVL >OMO86164 pep supercontig:CCACVL1_1.0:contig09527:12667:12744:-1 gene:CCACVL1_09757 transcript:OMO86164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEECVNLNDVAHVDLFDEMIKVLSS >OMO86165 pep supercontig:CCACVL1_1.0:contig09527:13067:16194:1 gene:CCACVL1_09758 transcript:OMO86165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLINSRLALSRVYAVGGSKNGRFLSTESNKVDEPFKVEEAETVHVPPPPSDKLLVLGGNGFVGSHILREALNRGLVVASLSRSGKSSLHDSWANNVTWHQGNLLSSDSWKEALDGVTSVISCVGGFGSNSYMYKINGTANINAIRAAAEKGVKRFVYISAADFGLANYLLQGYYEGKRAAETELLTKFPYGGVILRPGFIYGTRTVGSVKLPLGVVGSPMEMVLQHAKPLNQLPIVGPLFTPPVNVTAVAKVAVRAATDPVFPPGIVDVHGIQRFSQQMSR >OMO86166 pep supercontig:CCACVL1_1.0:contig09527:16873:18906:1 gene:CCACVL1_09759 transcript:OMO86166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID, 23-30kDa subunit MNHNHNHNPQSSDGKHDDDAALSDFLASLMDYTPTIPDELVEHYLAKSGFQCPDVRLIRLVAVATQKFVADVASDALQHCKARQAAVVKDKRDKQQKDKRLVLTMDDLSKSLREYGVNVKHQEYFAESPSTGMDPASRDE >OMO86163 pep supercontig:CCACVL1_1.0:contig09527:2948:3475:-1 gene:CCACVL1_09756 transcript:OMO86163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPINSFTAIETAKKASLSPPAMAQPRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWRLSGRLDSNNNESEERDVENGDGEKESDSNKQIKVYEEKILVIMAGQEKPTFLATPVSTKGVSFGDKNGKLEDNEGTEKAENGEKVKENQMVDDHDEQLPTISENTESEQTQNQI >OMO86167 pep supercontig:CCACVL1_1.0:contig09527:20240:20350:-1 gene:CCACVL1_09760 transcript:OMO86167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKRQKGDVEGITGGETDQRRQQVAHGGAFIIAALR >OMO84208 pep supercontig:CCACVL1_1.0:contig09770:6687:8147:-1 gene:CCACVL1_10950 transcript:OMO84208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MEMNNLLNIFLPPLTIISLVFILPPFLVLKLLWSIWRSVFKENVAGKVVLITGASSGIGEHLAYEYGKRGARLALVARRTDRLQKVAGRARELGSPDVIVITADVSKLQDSKRFVDQTVNHFGQLDHLVNNAGIGTPQTFGGTNISNYVPVMDINFWGSVYGTFFALPHLRKSRGKIVVMSSAGGWLYPPKASVYSASKAAQIALFESLRAEWGPGIGITVVTPGFVESEITQGVQVQKAKAIVESTCRGDPYLTQPFWVKSLFLVKLLGPELWTWFNYWVVVHITPRIRRFET >OMO98302 pep supercontig:CCACVL1_1.0:contig07127:4096:5843:-1 gene:CCACVL1_04255 transcript:OMO98302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGFEQFGVVPADSTTFKYLEDKISVPYEPVFSDAKARFLVEVSQKIMNLHQVSTLKLMPTPLLF >OMO63738 pep supercontig:CCACVL1_1.0:contig12904:36822:37923:1 gene:CCACVL1_22319 transcript:OMO63738 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related protein MPRNGKKIYKTMDSKPVLKTLYSMEAEASQIYTRKLFRMFQDELVHTQEYVADRVNVDGDSKTDGIQKPMGIQKHTRWTRNAKTNGTVDLLRDTLVKNTSESSTLWFNSVMLHSLALSEKATRSSKHYDHAICGIKNLCAELDSLAIDKKVDEDIADSKALDTKDEGNTSCNIITLRDPAHVVTKGRPPSVRKKGSLEKINKKTINRIHTLSMRLNLNLLINLRM >OMO63737 pep supercontig:CCACVL1_1.0:contig12904:33414:35131:-1 gene:CCACVL1_22318 transcript:OMO63737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEMKRNLQKRRAEERNQKLASRPIVQQQVRGEGVVDRQTKKIGGGDGRSRYVTALGDIGNQVNVRRPVDDKPQPQIHHPLIRSFCD >OMO63736 pep supercontig:CCACVL1_1.0:contig12904:6593:9449:-1 gene:CCACVL1_22317 transcript:OMO63736 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MQRTLHWFDLIGLGIGGMVGAEVYKEDVLLGRPSQYDNKVHHDGETNKYSFMCGKHPITLILLSPQEALKDQLKVRDEFARLELQFRVKEKAKSDSSSMCCVESKSVFIDKHASCKKVVKECMLATKSEIKDALNDNSVLILLLLKKPLVSTNHLEKDLPSNIVSLLSDYVDVFPEEIPSGLPPIRGFGHQIDFIPGAQIPNKPTYRINPEETKELEKQVGELLQKGFVRESLSPCAVPVLLVPKKDGTWRMCVDCRAINNITVKYRHPIPKLDDMLDELHGACLFSKIDLKSGYHQIRMKEGAEWKTSKTKLGLYEWLVMPFGLTNAPSTFMRLMNHVLRAYIGKFVVVYFDDILVYSRNLEDHIEHLCCVLDVLRVEKLYANLKKCTFCTNKLVFLGFMDSAQGIEVDEEKSKAIKDWPTPTNVGQVRSFHGLAGFYRRVVRFLRALQTWQHYLWPKEFVIHTGHESLKYLRGQQKLNKRHAKWSEFIESFPYVVRYKQGKKNVVADALSGRYVLLFKLDSKFLGFEFTKELYASDVYFGEIFKTCENSGFGKYYKHDVLLFKESRLCVPSCSLHYVFVRETDAPKAYHGENDAPRTYYGLEDGYREQGMDVQGLQESMKMHGDYRDIDNNVSSTKKMPFNPLKMPISPMTRARAKRFKDALMGLVQTHLEDLKTIEFQLKNFGDDLGKKLQINYKFITLLAIDSRWPDSHHGMSPLSLVIFVWA >OMO96575 pep supercontig:CCACVL1_1.0:contig07433:17998:19669:-1 gene:CCACVL1_04887 transcript:OMO96575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAEAIFKTHDANFAARPASPFDDGLLFGNSGFFTAPYGNYWRFMKKLCITELFSPRQIERSRAVRHQEITRLLRHMIHSAAKTEDGDEYKLLGGRRRGQKIRNVTKKSFGLVAKMCLANSMGPFKKLGFWLLSKEANELTSTYDELLEKLLKEHEEKANNNNIGVEFDNKDLMDILLKAYHDENAEFKITTAQIKAFFLIARDEIESIVGTSRLVEETDIPKLNYVQAIAKEAFRLHPTTFIRVCHQDCKINGFDIPRNIPVAINAYSIGRDPTYYGKTQMSFALRGEPALVRTLAYATMNITIASIIQCMDLKVIGKAGTGAKLDMEEAKTITLSMASPIRCPSVSIVSIVFFKTMISPWRIIPSMISLGRIIPSPLKKICPQILLIRSFP >OMO96574 pep supercontig:CCACVL1_1.0:contig07433:6717:10627:1 gene:CCACVL1_04886 transcript:OMO96574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble quinoprotein glucose/sorbosone dehydrogenase MEVGVFALIFLFSNLLLPCSSFPLCTDSRAPLPLNTSLKFCSYNGSSCCNSTQDSQLQKQFQAMNISDPTCASLLQSVLCAKCDPFSAKLFTIDSVPRPVPLLCNSTVSTNSSQSKQATIDFCSQVWDTCKSVSILNSPFAPSLQGQSGAPVNSNFTKLTEVWQSKTDFCNAFGGASTDGSVCYDGEPISLNETATPTPPHGLCLEKIGNGSYLDMVAHPDGSNRAFFSNQQGKIWLATIPAMGSGGTLELDESNTFIDLTDEVHFDTAFGMMGIAFHPKFAQNGRFFTSFNCDKGKSPACTGRCSCNSDVNCDPSKLGTDNGAQPCQYQSVVAEYTVNGSASQPSLAKNAKPTEVRRIFTMGLPFTSQHGGQILFGPTDGYLYFMMGDGGGDADPYNFAQNKKSVLGKIMRLDVDNIPSAADINKLGLWGNYSIPKDNPYSEDGELLPEIWALGLRNPWRCSFDSERPSYFMCGDVGEDLYEEVDIISKGGNYGWRVYEGPYPFSAPSSPGGNTSANSINPIFPVMGYNHSEVNKKVGSASIIGGYFYRSQTDPCMYGRYLYADLYSGAIWAASENPENSGNFSSSTISFACAKDTPIQCSSVPESALPALGYIFSFGQDNSKDIYLLASSGVYRVVPPSRCNYSCSKENGTSSVETPSPTSSPPSYASRSSPVILLSTLLLLLLLFLLC >OMO96576 pep supercontig:CCACVL1_1.0:contig07433:21501:26256:1 gene:CCACVL1_04888 transcript:OMO96576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIFNHEFDDDDIDNVLNGEVVVYLLLRNQLRKVEFDLLQG >OMO66735 pep supercontig:CCACVL1_1.0:contig12523:4464:10260:1 gene:CCACVL1_20999 transcript:OMO66735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELASLIMYLQPLWLFIGETTIMALERPNV >OMO96873 pep supercontig:CCACVL1_1.0:contig07361:6763:9989:-1 gene:CCACVL1_04750 transcript:OMO96873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLTLDLEVDSPSKDASHDSMVIGKVILEKPLNRGAVRSIIQNTWPEKTVPVIGEVAFNVYSLAFSNKQDMEIALGENTWSVMGCCFNIKMWPSELSATEVDLSDIAYWVQIHNLPREMFSVGNARKIGSSIGAILEIEEPKGQFGCNRSFLRFRVLVNEKEPLTPDFGSQRMAAGGKSRNSGIQGGAGSGPTAYGPIILNRSANSEPVITEITEEEATDNADVQELPYEFANEDVGLLIPGSLVFNSVVDTQGESNRGESEPERVNNERAMVVGSNSNFSPCRVIRTMMNLSNVFRNLNLKRSAGESAEWNGEMKKSRTEVLLLEDTDRSNPIIPCIPIPKVRRRRGQAVAKKMRKCNKQQMQICEEMSLLEVPITEMAEGPALEVNVLKQMIRNYGPSIIFLSETKNKSKKLESLRCQFGYQKAVYLEPEGIRGGLSLWWKEEDCNFVDLGYKGQQFTWFYRSEGVTSRKGLTGSGSYQLIQKLKRSKYELISWSKKAFPNNRKLIDDLMRELGTLQNLKVEEQDDIAIQCVVQKLEEAWDREEKYWFARSRIKWTIFVEYYKGLFSTEGTRDWGNTLDHIQTLVTDEMNQSLTKRVEVEEVKEAIFQLGTHKAPGQDGFNGLFFQQLWVFVGDTVTRAAMGFFAGGFMLRELNNTCIVLIPKTNSPEEVTQYRSISLYNFTYKVISRILVNRLKN >OMP05251 pep supercontig:CCACVL1_1.0:contig05466:26586:27089:1 gene:CCACVL1_01983 transcript:OMP05251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISVQIMNLDMSKMVSTASKFGLGNKPCYKVRSAAGNLAMKRGNKTDFYKVLSLDYSENVGLAEIKKAYRSMVLQYHPDVCPPSAKEESTKRFLELQMAYETLSDPVSRKMYDYELGLVDSNIGYGSSFMEERKTKFPKDVWEKQLHGLKKRSHVRMQRMKQYRSS >OMP05250 pep supercontig:CCACVL1_1.0:contig05466:15251:18200:-1 gene:CCACVL1_01982 transcript:OMP05250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myo-inositol-1-phosphate synthase MFIESFKVESPNVKYTDNEIQSVYNYETTELVHENRNGTYQWVVKPKTVKYEFKTDIHVPKLGVLLVGWGGNNGATLTGGVIANREGISWATKDKVQQANYFGSLTQASTIRVGSYNGEEIYAPFKSLLPMVNPEDVVFGGWDISDMNLADAMARAKVFDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGERANNVIKGTKKEQVQQVIKDIREFKEKNKVDKIVVLWTANTERYSNVTVGLNDTMDNLLNSLEKNESEISPSTLYAIACVLENVPFINGSPQNTFVPGLIDLAIHRNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVMHNTCEDSLLAAPIILDLVLLAELSTRIQFKAEGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENILRACIGLAPENNMILEYK >OMO61207 pep supercontig:CCACVL1_1.0:contig13559:898:2009:-1 gene:CCACVL1_23678 transcript:OMO61207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MAPVFAMSIMILGILAFHPKTVFGGDTGINYGTNGDNLPSAKQVIDFLTTQMSSKITLIRVEDASLEILEALSGTNLVVTIGVPNEAIPYVASSQDAADKWFQDHIVTYTRRGVRFRYLCVGNDAVLSTILASQVIRAIANLHESIRKAGIDYLFVSTAVGSGVLGASYPPSQGQFAPGVSQIMSNLTSFLYSIGSPLLINVYPFFALVSEPDHISLEYALFQSQTPVVIDGNLAYYNLFDAMVDAFLAAMVRVVGKEDVKVVVSETGWPTAGYEPFSSIENARIYNNKLREHVIGVGKTPRKADMNMEVYIFDMFNENFKGKGNFGTFYPDFRQVYPLW >OMO61208 pep supercontig:CCACVL1_1.0:contig13559:2976:4691:-1 gene:CCACVL1_23679 transcript:OMO61208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MAEPAYTVASDSETTGEEKSSPAFPEIAIGIDIGTSQCSVAIWNGSQVELLKNTRNQKLMRSYVTFKDEVPSGGVSNQLSHEHEMLTGAAIFNMKRLIGRVDTDPVVHASKSLPFLVQTLDIGVRPFIAALVNNVWRSTTPEEVLAIFLVELRVMAEVQLKRPIRNVVLSIPVSFSRFQLTRIERACAMAGLHVLRLMPEPTAVALLYAQQQQQMVHDNMGSGSEKIALIFNMGAGYCDVAVTVTGGGVSQIKSLAGSAIGGEDLLQNMMRHLLPNWEKLFSSRGINEINSMGLLRVATQDAIHKLSSQDTVQIDVDLGNGSRICKVVNRQEFEEVNNKIFEKCGSLIIQCLNDAKVEADDLTDVIVVGGCSYIPKIRNLVKSICKRELYKGMNPLEAAVCGAALEGAVASGISDPFGSLDLLTIQATPLGIGIQANGNRFVPIVPRNTTMPARKELIFTTVNDNQTDVLIIVYEGDAEKAEENHLLGYFKIMGIPPAPKGVPEIKVCMDIDASNVLRVLAGVMLPGSQQPVVPIMEVRMPTVDDGHGWCAEALHRTYGATLDLVTVQQKI >OMO61214 pep supercontig:CCACVL1_1.0:contig13559:68455:68523:1 gene:CCACVL1_23686 transcript:OMO61214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASKVHAENRVRILALSPSL >OMO61210 pep supercontig:CCACVL1_1.0:contig13559:18872:24699:1 gene:CCACVL1_23681 transcript:OMO61210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGRLSNITYANCKCEETFKVPLIVLNPVIITAGTNESWKSPSGDFAFGFYQTPGGGYLVGIWFDKIPETGRTLVWSANRDSPAEAGSTIQIKEGQLLLSYSNGTQQPIYSGDEPGNSGLMQDDGNFVLMDANSLPVWRSYDSPTDTLLPGQDLSNGRTLLSNAKGTADYSTGNFRLQMQGDGLLSLLNIRFVEPQYWLTDNAKADNQNVRLVFNNQTALMYLANATGHIILPLTRNIPNPVEDYYHRATIDDNGNFQQYVYHRRNGTAWTRVWRAVEEPCNVTSVCGLNGMCTSMDNETLTCSCIPGYTHLDPSDPVLGCHPVIPVNYCKDPSMKDFTVEVIDDADFPCEEQAELSIIENVDLEGCKIAVMEDCYTLAASLEGSTCYKKRMPLLKARKSASSIGIKALIKVPMNLTTPGIPQGEKKKNFNFRLFLKISLILSVTLAFLLGASAIYYLPAFRRLIRRKSYLNLDAVGVGFRQFTFQELFEATNGFSKTLGRGSSAKVYRGLLNLQGVQIEIAVKKLEKEIEKSKNEFMTELKIIGRTHHRNLVRLLGFCIEKNQQLLVYELMAKGPLSRSLFGEEERPNWFQRAEMALGIARGLLYLHEECETQIIHCDIKPQNVLLDENYTAKIADFGLSKLLNKDQTRTDTKVRGTMGYLAPEWLKHAPVNAKVDVFSFGVMLLEIICCRRHIEDSRVEEESEMDDLVLSDWIISCIKSGKLGTVVGHDPEVLSDFKRFQRMAMVGLWCIYPDPILRPSMKKVTQMLEGAMEVGVPPLLHDHQFFSLVFRFYSFSLKATMAGTRIFAWILLLLCFLIGSSAQTTNNTIELGSSITAGSNSSWRSASADFAFGFYLTSRGLYLVGIWFDKIPKKTLVWSANRDDPAQNGSTIDLTLDGQLVLTHSNSTKVTIFNGTSTSSALMQDNGNFILRDSSSRVIWESFDFPTDTILLGQSLVMGQKLYSNADGTVDYSTGRYRLEVQLDGNIVLSAFRFADEGYWNTITSGRKNVSLVFNESTTLMSTVSDGSIIWTYNDSQILSPTRDYYHRAMVNDLGNFQQLIYHKESGSQWTVVWEAIKEPCIVNNVCGVFGFCTSPDNNMVKCECLPGYSPRDPNNPSKGCFPDVTVDFCAPESSASDFTINQIDGADFPSGGWAELERIEPTDVNECKNKVMEDCFCVAAVLNGTTCIKMRMPLLNGRKSDPSTNNKVAFIKVPNTNTTSPGKDKKDFPSTVSLLVGLILCLVLVVLFAAILIYNHPFTQPYIRLKPPPNPEPVEISLKAFSFQELHEATNGFKNRLGQGAFGTVYSGVITSEDENIEVAVKQLEKVIEQGEKEFLTEVRVIGLTHHKNLVRLVGFCNEKNHRLLVYELMKNGTLYSFLFGEVKPSWDQRADTVFGIARGLLYLHEECETQIIHCDIKPQNVLLDDSFTAKIADFGLAKLMMKDQTKTSTNVRGTMGYMAPEWLKNAPITTKVDVYSFGVLLLEIVFCRRHIELNQVEGEITGDEMILIDWVLHSVRVENLSGIVSHDYEVLSDFNRFERMVMVGLWCICPNPTLRPSMKTVMQMMEGTTEVGVPPLLDAPF >OMO61215 pep supercontig:CCACVL1_1.0:contig13559:78328:82174:-1 gene:CCACVL1_23687 transcript:OMO61215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MASTLKLAFLLFSIFFFLSPTFITSKPHPLDSLTPSEFTLIQSIVSQAYPSSKYKLTFQYVGLDEPEKPVVKSWLSKPTTKPPPRQALVMLRLNQQSHELIVDLSKRSIISDKIYDGYGYPLLTAEEQEDAADLALKHRPFLASIKKRGLNISEVVCSPLTIGWYGEEKSKRELKVSCYYLDGTANMYLRPIEEIIMTVDLEKMKVTEYNDKSVSPMPKAEGTDYRPSKQTPPFGPRLNAAPPTPTGQTPFKIDGNVVSWANWKFHLGFDARVGPVISLASIYDPDKAKYRQVVYRSFISELFVPYQDPTEAWYHRTFFDCGEFGFGIYAVSLEPLNDCPSGAVFIDGYYAGQDGKPVKIPDVMCIFERHPGDSMWRHTEAELRDQQIREARPEVSLVVRMVATVGNYDYVLDWEFKPSGSIKFGVWLTGVLEVKAEPYTHIDEIKEEVYGTLVAENTIGIHHDHFLNYHLDLDIDGEDNSFVKTSLVTKRITDKKIPRKSYWTVEHETAKTEADARLKLGLKAEELLVVNPNKKTKPGNKVGYRLIPGSAAGPLLAADDYPQIRAAFTNYNVWVTPYNKSEKWAGGKYVDQSRGDDTLQVWSNRNRGIENTDIVLWYTMGFHHVPCQEDFPMMPTLSGGFELRPTNFFEYSAVLKTKAPMHLNWPNCTTA >OMO61209 pep supercontig:CCACVL1_1.0:contig13559:8648:17589:1 gene:CCACVL1_23680 transcript:OMO61209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MAPHSFKIFLFFLFSTLSIVPLSHQLQHPLDSLTPNEFIQVQAIVNQSYPTSNHNLTFQYVGLQEPNKQLVISWLEKQTSSATPPPRQAFVIARINHKSHEIVVDFSIKRIVSDRIYDGYGYPLLTFEEQTAANQLPLKYPPFLASISKRGLKIDQVVCGSFTVGWYGEKKRNRRIVKVMCYYMDGTVNIYMRPIEAITVTVDLEEMKILHFQDRLMVPVPKAKGTDYRESEQRAPFGPELKRITVVQPDGPSFTIDGNRVRWANWDFHLSFDARVGPIISSASIYDIEKGKFRRVMYRGFVSELFVPYMDLTEEWYYRTFFDAGEYGYGLCAVPLQPLRDCPANAVFLSGFVAGQDGMPLEYPNVFCIFERNAGDIMWRHTETMIPDVLVSEARPEVSLVVRMVSTVGNYDYINDWEFKQMGSIKVTVGLTGLLEVRGSKYTHKDQINEEVYGTILAENTLGANHDHFLTYYLDLDVDGDSNSFVKSKLQTTRVTDQSSPRRSYWKVVSETAKTESDAKIKLGMEAADLLMVNPNKKTKMGNSVGYRLIPGSVSSPLLTEDDYAQIRADFTKYNVWVTPYNMSEKWAGGLYTDQSRGDDTLATWTSRNRRIENKDIVMWYTLGFHHAPYQEDFPLMPTISSGFELRPANFFEYNPVLKVKDPLTPSEINQVKLIVDKSKLASLPNLTYHFVDLEEPDKNDVLKWLLDSDKQTHALPRQAKVVVRAGGDTWELVVDLTSGSIKSSHVYTGHGFPPLTFNDILQASQLPFQYPKFKSSILKRGLNLSEVSCVPLTVGWYGEEVTRRALRVTCYYRGGGAVNVYARPIEGISIFVDVDLMEITMYIDRFRVPVPKAEGTDFRSNTNPDSVIFNNVTENGFKLDGNNVNWENWNFHVGFDVRAGIVISTASIFDAKTTKSRQVLYKGHVSETFVPYMDPENEWYFRTFMDIGEFGFGQSASSLQPLIDCPGNAVYLDGHWAGADGQPLKMQRAICIFERNSGDIAWRHAEINVPGKVIRSGQPEKSLVVRMVATVGNYDYVLDWEFKKSGSIKVGVDLTGILLMKGTSYTNKDQITANVYGTLVAENTVAVNHDHYLTYYLDLDVDGNSNSFVKTKLQTERVKDFKASPRKSYWKIVRETAKTEADARIRLGLEPAELLIVNPNKKTKLGNQVGYRLLPGQPTTSLLADDDYPQIRAAYTKYQVWVTAYNKSERWAGGFYADRSHGDDGLAVWSQRNRMIENKDIVVWYTVGFHHIPYQEDFPVMPSFHGGFELRPANFFESNPLL >OMO61211 pep supercontig:CCACVL1_1.0:contig13559:35833:37173:1 gene:CCACVL1_23683 transcript:OMO61211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLTVQVIDGAEFPARGSSEDLSKIMNTDLEGCKKAVMEDCYTIAASLEDSTCYKKRYPLLNARKTASTIGIKAIIKVPRNNEGKPNFLKKNKISYRVVLKIGLIVIAAVAFLLGATAFYYHSTFQRLMKRRACLDVDAIGVGFREFTFQELYEATGGFSKALGRGSSGKVYGGRFRLKDVEIEIAVKKLEQEIEKSQKEFMTELKIIGRTYHKNLVKLLGFCVEKDQHLLVYEFMANGALSKFIFGGENRPNWSQRAEMAIGIAEGLLYLHEECETQIIHCDIKPENVLLDLDYTPKIADFGISKLLNRDQTRTDTDIRGTMGYMAPEWLRFAPVTSKVDVFSFGVMLLEIICGRRHVELSRVEEESEADDLVLSDWVQSCLMSGKLEKVVDYDSDVLADFKRFERMCLVGLWCISTEPILRPSMKKVSQMREGTIEVGFHPCS >OMO61213 pep supercontig:CCACVL1_1.0:contig13559:62714:63043:1 gene:CCACVL1_23685 transcript:OMO61213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTTWEQKLHALTHILTSPTISLPLHSQFFISKQIPCYLNWDYPPILCNKPNSDTFPSLHLKWGISLFLKRVSTIDIRFPGDFVEVEMPLPSATASDSGQRSGRGRVE >OMO61212 pep supercontig:CCACVL1_1.0:contig13559:44168:47702:-1 gene:CCACVL1_23684 transcript:OMO61212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MHRKQMASTLKLAFLLFSIFFFLSPTFITSKPHPLDSLTPSEFTLIQSIVSQAYPSSKYKLTFQYVGLDEPEKPVVKSWLSKPTTKPPPRQALVMLRLNQQSHELIVDLSKRSIISDKIYDGYGYPLLTAEEQEDAADLALKHRPFLASIKKRGLNISEVVCSPLTIGWYGEEKSKRELKVSCYYLDGTANMYLRPIEEIIMTVDLEKMKVTEYNDKSVSPMPKAEGTDYRPSKQTPPFGPRLNAAPPTPTGQTPFKIDGNVVSWANWKFHLGFDARVGPVISLASIYDPDKAKYRQVVYRSFISELFVPYQDPTEAWYHRTFFDCGEFGFGIYAVSLEPLNDCPSGAVFIDGYYAGQDGKPVKIPDIREARPEVSLVVRMVATVGNYDYILDWEFKPSGSIKFGVGLTGVLEVKAEPYSHIDEIKEEVYDNSFVKTSLVTRRVKDKKIPRKSYWNVEHETAKTEADARLKLGLKAEEFLVVNPNKKTKPGNKVGYRLIPGSAAGPLLAADDYPQIRAAFTNYNVWVTPYNKSEKWAGGRYVDQSRGDDTLQVWSNRNRGIENRDIVLWYTMGFHHVPCQEDFPMMPTLSGGFELRPTNFFEYSAVLKTKAPMHLNWPNCTTA >OMO59414 pep supercontig:CCACVL1_1.0:contig13978:30042:36438:-1 gene:CCACVL1_24845 transcript:OMO59414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetolactate synthase, small subunit MAALSTLHLPVYCSKPDSDSAWKPICFPAKIGRPSSHVPKKLLFSARSVDEKISNNSFTVNGPVPSTTSRSKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTERVLQQVVEQLQKLVNVWRVEDLSNEPQVERELMLIKVNADPKFGAEIKWLVDIFRAKIVDISEHSLTIEVTGDPGKMVAVQRNLSKFGIKEIARTGKIALRREKMGESAPFWRFSAASYPDLQEIVPVSTLAGARDRSIINEADISGGGDVYPVESSDVFTMNQVLDAHWGVLNADDTSGLQSHTLSMLVNDSPGVLNIVTGVFARRGYNIQSLAVGHAETEGLSRITTVVPGTDESISKLVQQLYKLVDLHEVRDLTHLPFAERELMLIKIAVNAAARRDVLDIANIFRAKAVDVSDHTITLELTGDLDKMVALQRLLEPYGICEVARTGRVALVRESGVDSKYLRGYSFPI >OMO59411 pep supercontig:CCACVL1_1.0:contig13978:5692:13873:-1 gene:CCACVL1_24842 transcript:OMO59411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSVDSVNLSSIGDPNQSS >OMO59413 pep supercontig:CCACVL1_1.0:contig13978:26526:29333:1 gene:CCACVL1_24844 transcript:OMO59413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type METGGMIDEASNMKVILKNYVSVSPQETDMHLTAGTIKLKAPTGSNTLVVKNLFLSCDPYMLFKMMKLESHYTQSYTPGSPITGYGVAKVLDSAHPDFEKDDLVWGITGWEEYSVITETNHLFKIQHTDVPLSYYAGILGMPGMTAYVGFYELCCPKKGENVFVSAASGAVGQLVGQFAKLEGCYVVGSAGSNEKVDLLKNKFGFDEAFNYKEEPDFSATLKRLFPQGIDIYFDNVGGKMLDAVLLNMRVHGRIALCGMISQYNLEQPEGLRNLTTIVSKQVRMQGYLVFDYYHLYPNYLHMILPKIRHNQVLYVEDIADGLENAAAALVGIFSGRNIGKQVVAVDCL >OMO59412 pep supercontig:CCACVL1_1.0:contig13978:25940:26026:1 gene:CCACVL1_24843 transcript:OMO59412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLTIDCTILMVDFINLSTESIEIDR >OMP05667 pep supercontig:CCACVL1_1.0:contig05290:367:447:1 gene:CCACVL1_01852 transcript:OMP05667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NTLLLHSNSAAYAFALQITQAMIIHS >OMO84485 pep supercontig:CCACVL1_1.0:contig09743:13345:17081:1 gene:CCACVL1_10800 transcript:OMO84485 gene_biotype:protein_coding transcript_biotype:protein_coding description:C1-like protein IGNAFSTTIATQKATSVLTSMKLMRFVEESDTELSCWGCFTDIYGPAYIAVHDDARMGMHKSCAELPPQIKKDAFHPHPLQFSLQAAIVCDGCGRLTKGLIGYNCIGCTFSLGFKCAMALFNDELADIQLKAAAKRIKKGIIHFSHIHPLTRCMSSTTLRTHSWVEFECRACKQKPFDTLIYVCIPCRFCLHESCLNELKPRQGVRKNLDKMNNTILLEWQEMELHNEK >OMO68134 pep supercontig:CCACVL1_1.0:contig12267:63161:63241:-1 gene:CCACVL1_20069 transcript:OMO68134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNVFGAAQFGAFSDGNGAVHNTHC >OMO68131 pep supercontig:CCACVL1_1.0:contig12267:25572:25649:-1 gene:CCACVL1_20066 transcript:OMO68131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSQVYNPLVESKIYTANVSNNYP >OMO68133 pep supercontig:CCACVL1_1.0:contig12267:59831:61454:-1 gene:CCACVL1_20068 transcript:OMO68133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRAQIKTSNSAMIAMIADEVANMIRFLVDSYNKPVPAMQSWKSLPAHDSVLSRVKYLFSAESVASGRR >OMO68132 pep supercontig:CCACVL1_1.0:contig12267:41968:56341:1 gene:CCACVL1_20067 transcript:OMO68132 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MASPLQTSGNSSEFSLLNAEIKPVINGPKMQATNETKHDQVAFVARALVIFAVKRVKAVALYVGISAFLGPASELLGGSRRQREDFTVPPVWMDYPNNIAFKLHEMASPQDWMDSISNFERLGKLFLSCKIMTMRSCFEFEPDALRMLKKIHQIPIVPIGLLPPSMPSNEDRGDDPNWEALKKWLDSKKEKSVFYVALGSEVNLSKEFMHELAFGIEKSGLPFIWVVKNNPLVGDQDIIPTGFEERVSDRGLVVRGWAPQLRVLGHSSVGGFLTHCGWSSVIEALGFGLPLILFPVAISDLGLIARLMHDKRVGFEIERNNADGSFTSESVAECIKRVMVDPEGEQIRQNAKAMKEIFGNVELSNKYLEEFTKCIEEFSSSNDSS >OMO68136 pep supercontig:CCACVL1_1.0:contig12267:80123:80389:1 gene:CCACVL1_20071 transcript:OMO68136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHERSHKKIVAFVFIAFVTHISHSYWRIFGYYYYNYFTPFADEESDRKIIGQRIENVLCGLSMVGKVLAAKEVAWPSWATEPAQLYM >OMO68135 pep supercontig:CCACVL1_1.0:contig12267:68766:78777:-1 gene:CCACVL1_20070 transcript:OMO68135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENVLAESRMMISDCRKRMRRKAQNSKMLAAP >OMP05166 pep supercontig:CCACVL1_1.0:contig05503:7211:8482:-1 gene:CCACVL1_02034 transcript:OMP05166 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 3 MANVDNISPKCILKSDVLLEYILNTSAYPREHEQLKGLRETTAEKYPNESLMNVPVDEGQFLSMLLKLMNAKKTLEIGVFTGYSLLATALALPNDGQITAIDPQREFYELGLPFIKKAGMEHKINFIESEALKVLTEMSNNEKDKPEFDFIFVDADKPNYMKYHEYLKKLVKVGGVIAYDNTLWFGFLVQEEAQVPEPARPSRKALLELNMSLASDPSMEVCQISIGDGVTLCRRIC >OMP05167 pep supercontig:CCACVL1_1.0:contig05503:13095:13181:-1 gene:CCACVL1_02035 transcript:OMP05167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VALTLTTVAGAAVYLAHNGNLNRNWLAI >OMO59461 pep supercontig:CCACVL1_1.0:contig13954:43734:45207:-1 gene:CCACVL1_24811 transcript:OMO59461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFDLDLKLIYCCFGECNAYHTKCKLTYPPIFLKIADFTASGFAEWWSKVHIIDNFDQYINLLISSTCTTLAKKANQRKELVDTQLSGVKHGKGKVLVIGPSNDNKDRASKRKSTQLTQEVIGMPENQHVVFGNNIMIVDNLSDETSIGSEQKLQRLADQVGNSEARHSSQRTVLLECSTLQVNNQGMGGTCENNVVKPHPKALPLSAPEFTKFSADKVIEDGQLKLALILWESIQFKIARTPFDQIHLLINKVHKIFAVIEGIKAVDSSALKGRVEEYFSQIAKFIDLESSFSSRMSSKDQKEISALQEKKAKLESFLKENEKALEVVRADVSHIREEMASAESCPILNEADANASAESWKP >OMO59460 pep supercontig:CCACVL1_1.0:contig13954:8321:8956:-1 gene:CCACVL1_24810 transcript:OMO59460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MTAGLVKKTKGRQKIDIKLIENEDDKMIAFSKRRSGIYKKVCELNTMCGAEIGILVFSPAGNPFCFGHPSFESIANRFLNQSPSPNNNTQPLVEAHRKARVEQLTMRFNEVTGQLDAEKNKGKKIDEMTKGQETQGWWKAPIEQLSDQQELLDSYSNFEKFHSIIISNMNEKSARNGDGGTCSSMVAPMDPNVGVPPDTFPPGLGPSGCQL >OMO59459 pep supercontig:CCACVL1_1.0:contig13954:6118:6780:1 gene:CCACVL1_24809 transcript:OMO59459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MDPYYTICQESDDALEETYLLSPHNLFNMVLDFSYDSAKFSQSVIFRRDLILCEEHGPNLVLSMFPETAASSTLDFVRTAIVPDILSFAEGSNDDPRNRGRKVIKLTVEVDDRYHATDIDSQVIDVSLSYVNFKPASRSGIQSLKRVRYSDENDLLVLSASKKRRVMGSDSSECSICMEEFCNGEESALMPCDHVYHHSCIVRWLETSHYCPLCRYQMPS >OMP07213 pep supercontig:CCACVL1_1.0:contig04583:683:781:-1 gene:CCACVL1_01346 transcript:OMP07213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDMPYPPGVEAPVVEPVGEIGSPDEIESER >OMO96396 pep supercontig:CCACVL1_1.0:contig07481:13101:15802:-1 gene:CCACVL1_04977 transcript:OMO96396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative micronuclear linker histone polyprotein MGGISHKGNGNGGSNRGRPYGVMLLLAFGAALLGVMVLHKLRERRIFNLLVEEKNRQLISLQLLLQKEREYTKEMKKKAEEAKAKIYSLRNQKMELERRVLEMQSTIDSLRDEEKTMESALEEKISEIKLLRDKDLDSGNENPQVVALTATLKQKEAEIDDLKLRLESPVRVWSVSSDDPSNPPLNTTVAGSMEQKENIKFSQEEGGRVQESTLYKDGANPTKVQNGNETQSNFSSEEDDREEVEDGGEKTGDATLRMDMDGGQQLQKLESLGENASNKEAAGEKRNEDSEHIVTAEMNGENNPSNATETINNTDEQEQKGIAGEVKNPHQEGASQELQGTHRGGKRLEIDDNSRSSGLPGKSRHLSRTKGKRWRILARNRLPKKNVNSKEDGVPSIRSRRFSKEYRDAVKSREEGALDDEGKAETRERKKTKNNVLKHHNSEVTEEENAMSINHNSFLAREVPENRDVNGETQNYRHDDAKLPKVEETAHINQNMNSGEKDGMEEDMEDEDKAETEAGNVDLSSDFMSDSEDKEGDKEETDESEF >OMO96397 pep supercontig:CCACVL1_1.0:contig07481:16687:19310:1 gene:CCACVL1_04978 transcript:OMO96397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFEDIFDSSLKLEEAHYQEGYKEGYTHGIETGREEARQVGLKTGFETGEELGFYKGCVDVWNSAIRIDPTRFSARVQKGIKQMEELIGKYPVTDPENESVQEIMDALRLKFRVIRAALGVKLEYDVPSRAEIGGAKHMWNKMLACGKVYISESRNRAALESIERAAKLFPETALVNKFVDVTYNRVGYTVVSKLTSKASQDLCPLKGAVFAIVKAALETINFELHSGTHPRLGVVDHICFHPLAHTSLDQAALIAKSLAADIGSELQVPAYLYGAASEGGRLLASIRRELGYFKPNFGDIQWFGGTKSESLPLKPDAGPIKVSPTKGVILIGATNWVDNYNVPMFSTDIAAVRRIAKKVSERGGGLPSVQAMGLAHDDGVTEVACNLLEPSKVGGDRVQLEVERLAKEEGLSVGKGYFTDLSRDKIIERYQKLISF >OMO96395 pep supercontig:CCACVL1_1.0:contig07481:10806:12149:1 gene:CCACVL1_04976 transcript:OMO96395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAAIAARRAATYARTPASSQAASLISRRGFAGAADHHGPPKVNCWQDPMSPSKWKEEHFVIVSLSGWGLAIFSGYKFFTGGKGKKEENAVEAAH >OMO67465 pep supercontig:CCACVL1_1.0:contig12427:12335:12835:-1 gene:CCACVL1_20507 transcript:OMO67465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L11 MPPKFDPSQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKVMRPRSMAKDLRGTVKEILGTCVSVGCTVDGKDPKDLQEEIDDGEVDVPLE >OMO67467 pep supercontig:CCACVL1_1.0:contig12427:29347:37910:-1 gene:CCACVL1_20509 transcript:OMO67467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGFFKSLFVEVKVALPLFIRLGGHGLICNRKPDQISSQIQTTFLQDPSCGGTLFDH >OMO67464 pep supercontig:CCACVL1_1.0:contig12427:10028:10183:-1 gene:CCACVL1_20506 transcript:OMO67464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIREVKQMMKPWIEASPALIGFPLRPSNAPKLETIREERAEEHEDYEDDD >OMO67463 pep supercontig:CCACVL1_1.0:contig12427:3993:5685:-1 gene:CCACVL1_20505 transcript:OMO67463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MAKDVETAGENSSKDYHDPPPAPLIDTEELTKWSFYRAVIAEFIATLLFLYVTVLTVIGYKVQFDPAKNLVDSQCGGVGTLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLGRKVSLVRALLYMVAQCLGAICGCGLVKAFQKHYYDHYGGGANTLADGFNMGTGLGAEIIGTFVLVYTVFSATDPKRNARDSYVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNQDKAWDDQWIFWVGPLIGAAIAAFYHQYILRAGAIKALGSFRSHT >OMO67466 pep supercontig:CCACVL1_1.0:contig12427:21132:21644:1 gene:CCACVL1_20508 transcript:OMO67466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MASSKSYYSRPNYRFLSSDQQLQTTLSNDSAAFELDESDIYNNSVSTRSDSPEFRSSRVAKKPSSKRGGGASGVGGTPASLPVNIPDWSKILREEYRDNRRRSESDDDDVEGDDWSEGGVRIPPHEFLAKQMARTRIASFSVHEGIGRTLKGRDLRRVRNAIFEKTGFQD >OMO81458 pep supercontig:CCACVL1_1.0:contig10170:488:1693:1 gene:CCACVL1_12409 transcript:OMO81458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MSKSTPLASRTARQFLKPSIAASPSSSDVDGKVDSTACAFSASTPGGTAPAASFGTSSMSSDWVTSIKPDNAETTKSDNKKETEHEKSSVYLPYERLQSECFCMSWHAFNLEESKGGPLEIPRSLHIKESVQINVCPSAVALGSVIYLIGGCCGYPVYECYQGRIGPHFHNSVVYFDTLRPGDGWREAAPMISDRNLPTVVAVDGKIFVFGALFRRLSPQPLVEFYDPKENCWTPLPDYPYLKVPRICMPAAVHDKRILFQPDKNSLHSLNICDYSWNLIDENFGYWDNPGAVMDDILYIYSIKSKQVHGYDLINKTWLDVEFPGLGQHIKTAALHNVGNGKLCLFYRHYNRTCTIVCNTFKIRKENNGDKLLHLTDIKVSRTSFDLMEYRAFWDMNILML >OMP08472 pep supercontig:CCACVL1_1.0:contig03742:1:68:1 gene:CCACVL1_01110 transcript:OMP08472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRLRLRLRLRLRLRLRLRLRWC >OMO59695 pep supercontig:CCACVL1_1.0:contig13903:13003:17160:1 gene:CCACVL1_24659 transcript:OMO59695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFINDLRYGSNLQMKVVRRHHLRGQNL >OMO78281 pep supercontig:CCACVL1_1.0:contig10607:8498:11672:1 gene:CCACVL1_14523 transcript:OMO78281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MAQLEEMMGKLKTMEDRQENMDEAPLGVVNLLEWQVDLPLPHPEEAVDIIEDVAQVDARNVNVYVPPLARVGNGNGHNGNGNRNGNQRHAPRAQVRPQDRVAYEVFRQEGPQHDRNQIIDIVQEMFGHGLRRTGRPAFHTPYPEEYDRQFPFPRGYKDPDFSPHFSGISSEQSTIEHVGRFTMQCGEANTGYQKLRLFPNSLTGLAFTWYINLPPNSIRTWEDMEKAFHTQFYRIEPEVSLPDLSRLSQKKGESAEDYLARFKKLRNRCHIALPEEEFVHLAQNGLDIELRKKFEGVEFRDYFDMSTKVARYENLLREDAERRSSSYGTYYQEPNYELGVAEVKADRPNECPSLVKAGIGHRQTQEHLSAAYHRQAEKKPHDGSRMYSFDVFKTSDVFDFLFKAEMIKLPPGHKLPHADELRGKEYCKYHDSWRHSTNSCTVFRNIVQEKIERGILKFPEAPKKKMGVDKDLFPAAVNMVSVNFPTGGRLTREQKAKGIARDEEAMPPPKERRPLIPVRYGYINRSAPSVAPKPVALGSMPRRKLDLTTTEDMPMKVPNRSFAWRTVQRKLDFVAEDVKPLMIITSAVQGTSKPMLTKSKITTSAPHVLKPTVQFPTRSRSEIRPWLERGKKEIRTMAIQNEVKIRRDHAMESNRPNMKNRKTWRRRELRRRAKARKELQDAMMEEVTALATSLEKCHFKKDADEEAILKGMFKVTADMTFEYLNGRGSKLNEKIALLQKREAYGQDKTNSESPLYSSWSKNEDEESENNTLQADDKSSVNSITFGQFTVDLHYNILNLPCIFAAKKRVEPSKPLPVGNIEEVIQVLNKDDDEDSGGKVDQPKDIVSDQRAIFHIKPLYIKAHLDGVPVNRVLVDNGAAVNIFPYSTLRRLGKGADNLIKSDVTVSDFSGTTNKIRGILPTDLTMGSKTSISTFCVVDSPSTYNALLGRDWIHSNWCVP >OMO78282 pep supercontig:CCACVL1_1.0:contig10607:17367:18485:-1 gene:CCACVL1_14524 transcript:OMO78282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MSSEEGVEIHRDVLEIILSYVPLSHLLPATVVSKSWNAAVYSSLRRLNKPKPWLLVHTQSIRNPHVTTSFAYDPRSDIWLQINQKLPPRHISVVRSSDSTILHVLTPSKFSFSVDPFRLTWHEANPPSLWRIDPVVTVVGHRVIVAGGVCDYEDDLLAVEIYDMNTRTWELSESMPESLKDSAASTWLSVAANAKTMYVMEKSSGVTHSFDPNSKIWCGLYNLRPDRSIYFSTLSFRGDSLIMVGLLGDPKDVNDLKVWEITGESLEFCREIGAIPMELVEELKGEGTSSINSIRLSSMAGFFYIYNPEKPAELLAFEVGEEGLRCCRSLKNAAVTERSMVAERMVVTCSDVDLRDLGSAVKNGNASFTLLN >OMO54858 pep supercontig:CCACVL1_1.0:contig14880:3020:13644:1 gene:CCACVL1_27518 transcript:OMO54858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSKVIHVRNVGHEISENDLLTLFQPFGVITKLVMLRAKNQALLQMQDVPSAINALQFYTNVQPTIRGRNVYVQFSSHQELTTMDQNAQGRGDESSLSSVSLGVCYWANSGCTAKSNSPGHNPSYAISYHCGSATPGFQALIQYQTRQSAVTARTSLQGRNIYDGCCQLDIQFSNLEELQVHYNNDRSRDFTNPNLPTEQKGRSSQQPGYGDVPGMFSPEAHGARAVGFPQMANAAAIAQAFGGGLPPGISGTNERCTLLVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYVNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDISEEEIVNHLAEHGTIVNTKLFEMNGKKQALIMFESEEEATEALVTKHASSLGGSIIRISFSQLQTIRENSQSGRNIGEKLLGTADDGFGSPFTCNRNYFLENQKLNRHYPSFSFRLQSRKNYTSDAKEFDESAFEAERLRLDAKARESMAEASKRVMEATAEEGKEDDPKAWKWVIRKRVWDFMESQNIAQNPRPVHHRIPNFVGAAAAAKNLSELQVFGMANCVKVNPDSPQKQVRFLTLSDGKKLLTPQPRLRTGFFSVLDSSMVTPSTINEACTSVGVAKYGRPIGLDEKIKVDLIVIGSVAVDPKTGARLGKGEGFAELEYGMLRYMGAIDDSTLVVTSVHDCQLVDDIPIEKLLVHDVPVDIICTPTQVIYTNTAIPKPQGIYWEKLSPEKLGQIRILRELKSRIERETGQKLPSGPSEKLPPTAQRKRRPYRAK >OMP04915 pep supercontig:CCACVL1_1.0:contig05564:2706:3823:-1 gene:CCACVL1_02099 transcript:OMP04915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRELASLLHRPPLPSPEEVPAPPRENLLGRSCNFTDINGFY >OMP04917 pep supercontig:CCACVL1_1.0:contig05564:8839:11143:-1 gene:CCACVL1_02101 transcript:OMP04917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEFRSVVSTSKDLQFSVEVLFTGEKIGVGMGKTRRDAQQQAAELALHNLAEKYVAYTAPRSGAVDRDFDKLSLANENGFLWDVNPASNEAVREDGLNKDGTSEAAEDEPVNNSSSLVNQPVQKRARSPR >OMP04916 pep supercontig:CCACVL1_1.0:contig05564:4595:6956:-1 gene:CCACVL1_02100 transcript:OMP04916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPTIASNFSLKPSLFPLKTSSISRFTVLSRHQTTPSFPAKSQAFKLQPSLNHLTFSGKRLGFSLNGKSGFHTNASNGGEDSGADDDEAEGLARGESTMPERFRYLTKEAPDPPVRWPFFVALAFLLYAWRAVLFELSNWRKAAFGIVRFVGYLLKLALALVFHFIGDPITSLIRSIETLIYSIRAFYSGIVAYAPVQELTVIIVLASAVLAIAEATVPNSISCQPYLLTVSGLIGYAAVTGYISEPLYWTLLLGIYGFSRLIKKRDDVTSALPVAAVMAAIGEPWVRILVIISYSALAIFYHSKKLSEVKEPVEGVATERQLPMPLFGAALAIGIHLAAKWAGYRHLTWMIV >OMO89215 pep supercontig:CCACVL1_1.0:contig08826:6287:7356:1 gene:CCACVL1_07982 transcript:OMO89215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPKISHRPTICYRPIQPSDLEILEQLHSDVFPIRYESEFFQNVVNGRDIVSWAAVDRSRPNGQSDELIGFVTARIVLAKDSEIADLLRYDSSKVDQTLVYILTLGVVDAYRNLGIATALIREVIKYASSIPACRAVYLHVISYNNPAIHLYKKMSFNCVRRLHGFYLINGQHYDSYLFVYYVNGGRSPCSP >OMO89216 pep supercontig:CCACVL1_1.0:contig08826:10830:11919:1 gene:CCACVL1_07983 transcript:OMO89216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced protein 1 MASSIQQVSFALFLLLPFTPSFTYGQMPPPITMAYHGGPVVNGNLNIVMVWYGRCGRILKNTMRNFVKSLNAGAGLEPSVLAWWRVVESYQNALPGANLAGLPAPPITVTVVKQITDTSYKYGKILTSVDVIPQMAHDATNGDPHLLPIIVTARDVTVQGLCIGKCADHGVFDLNKPYIIVGNPETECPGACGWPFHEPDVGPKGVVLMPPNLNLAADAMVASLATALADTVASPLNSGFYEGNVVNPVGPGSVCRDHFGSGAFPGNPGKVLIDPASGGAFNAYGNKGKKFLLPAIWDPKTNACWTLI >OMO89217 pep supercontig:CCACVL1_1.0:contig08826:13382:14077:1 gene:CCACVL1_07984 transcript:OMO89217 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 7/Mft1 MLGKGRKVTGRGETVAANYAFGPAEDDIIIKHRLLTRTTTTRGEPPLKKLQRKFTSFVLEVEKDEDNHNECAKLAKGFLQELSTFEIPLLKSKAVIDSNLREKENFNELKDEINRQILQAQTDIEDLKKQLEESKIERQHKEECEAIRKLISTQPPRSKTQKSITELEKEISSLEAENTAGSRLLELRKKQFALLLHVVCENLLAIVCFSVVTVLELDQNQCLICNAYILG >OMO94524 pep supercontig:CCACVL1_1.0:contig07847:10885:14211:1 gene:CCACVL1_05944 transcript:OMO94524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKTRLGGCVDGVKSQVGYVETDPSARYGRFREVLGKGAMKTVYRAFDEVLGMEVAWNQVKLNDVFRSPEELQRLYSEVHLLKNLSHDSIIKFYASWIDVERRTFNFITEMFTSGTLREYRQRYPCVDMWAVKNWARQILHGLAYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRSSQHAHSVIGTPEFMAPELYEEEYNELVDVYSFGMCVLEMLTSEYPYSECSNPAQIYKKVTSGKLPEAFYRIKDEEARRFVGKCLENVSKRLPAHELLLDPFLASDEGKLQPIPRVLSCQNQTPNGPAAELVPSLEADSARTTDMSITGTMDPEDDTIFLKVQITDKDGHARNIYFPFDIMNDTAIDVAMEMVKELEINDWEPLEIAEMIEEEISSLVPTWKDWGSSQVHLQHSFKYEDEDDDDDNHGIHHPFYASSSHSSSQVSLPTFLSSYEAEFYYGKNMTSSCNWPQEDEFINDDASSNCSMNSFRYSSMNYVDDLDSSLQQAEHPCISKSLKSTRFCPSESTRAHLYKQFNPKVDSWRCPHSNDPRKLSKIRSLVDIRSQLLHRSVMAEINKRRLFKTIGAVENFGYQEPG >OMO94523 pep supercontig:CCACVL1_1.0:contig07847:426:3201:1 gene:CCACVL1_05943 transcript:OMO94523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDRLIGKDHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHLFHSSLDGPLAVLYKFLDYFSKFDWDNYCISLSGPIHVSSLPEIVVESPDNGGGDLLLSNEFLKECVEMFSVPSRGFETNSRTFPQKHLNIVDPLRENNNLGRSVSKGNFYRIRSAFTYGARKLGRILSQAEDSIADELRKFFSNTLDRHGSGQRPDVQDPAPSLSRFSGYAATSSVSGTESCQEDQTFYESESSNSSTMTVNHRSDNEVSLHKFNNGYVYGRSTDFTGTHNEPQVSANGMVVSEIRLSGDATDLATSRIQGLLISNDEHKSYLPNAVENISPSENIRHAPHLYFHKSSTENGEIRILSSLSDLSGDYDTHLLGLHYGQWCYDYAYSATVPPISSPVVSQFQSKNSWDLVRQSVQFRRNAVSPINANGAVPRQVYYPMNPPVIHGAGFGMEEMPKPRGTGTYFPNHSTNHYRDRSLTGRGRNPAPARSPRGNGHAITPPETNSPERSSRELAQAQSLHQGGGKSGSSDLRHSGSEKMLYPAANGSVHPPERVVEFGSIGPLPLGAPSPESSSQHNPGSPHSQSLSSSQPQSGMQLPKSAVGLDKDRIAAQSYHLKNDEDFPPLSI >OMO94525 pep supercontig:CCACVL1_1.0:contig07847:16263:16766:1 gene:CCACVL1_05945 transcript:OMO94525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRSMTQLNIKAPPPSPIPTATGSRSAANESLSRYLEKRLQVPDLLMLPESQDRNLQQQISSPAGDEIEFHSLVLRDSGAVERMLRSAREVGAFRIWCGGIMSKEEMKALVKEAERVFGVMEERDTGFRKYMGGKREEIVWVRCQDDRMEWARQYIGAPLYRSFR >OMO94526 pep supercontig:CCACVL1_1.0:contig07847:17514:17864:1 gene:CCACVL1_05946 transcript:OMO94526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVRSKLNKLGEELGQIFVENASNIKQQRKRLQRRESLLSIYKYYNQNKDKMIEEPQKEELNEEVEKDQTCDYYTSLSLHLPTKHSEFCVKSGPSRLFTFDAGPETIIVTVGQQLE >OMO53044 pep supercontig:CCACVL1_1.0:contig15355:32870:36305:-1 gene:CCACVL1_28922 transcript:OMO53044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiolase MEKAINRQQILLQHLNPSASSSSSDQNYNSAISASACLAGDSAAYHRNSVFGDDVVVVAAYRTALCKSKRGGFKDTYPDDLLAPVLRALIEKTNLNPSEVGDIVVGTVLAPGSQRASECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMTTNPMAWEGSVNPRVKQMEQAQNCLLPMGITSENVAHRFSVTRQEQDQAAVESHKKAAAATASGRFKDEIIPVATKIVDPKTGDEKPVTISVDDGIRPNASLSDLGKLKPVFKKDGSTTAGNSSQVSDGAGAVLLMKRSLAMAKGLPILGVFRTFAAVGVDPAIMGIGPAAAIPVAVKSAGLELDDIDLFEINEAFASQFVYCRKKLGLDPQKINVNGGAMAIGHPLGATGARCVATLLHEMKRRGKDCRFGVISMCIGTGMGAAAVFERGDGVDELCNARKVETNNLLSKDAR >OMO53042 pep supercontig:CCACVL1_1.0:contig15355:6677:11695:1 gene:CCACVL1_28920 transcript:OMO53042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroxy-acid/6-phosphogluconate dehydratase MQATFLTSRATVFPTNKPLTPPTLNHRRCLRITAQSQATVTADPPSPPAIKLNKYSSRITEPKSQGGSQAMLYGVGLSEDDMSKPQVGISSVWYEGNTCNMHLLRLSEEVKRGVEEAGCVGFRFNTIGVSDGISMGTRGMCYSLQSRDLIADSIETVMSAQWYDGNISIPGCDKNMPGTIIAMGRLNRPSLMVYGGTIKPGHFQGKTYDIVSAFQVYGEYVSGSISDEQRKDVVRNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSIPAEDPLKLDECRLAGKYLLELLKMDLKPRDIITRKSLRNAMVMVMALGGSTNAVLHLIAIARSVGLELTLDDFQKVSDEVPFLADLKPSGKYVMEDMHKIGGTPAVIRYLLELGYLDGDCMTVTGKTVAENAQSYPHLPEGQDILRPVSNPIKKTGHIQILRGNLAPEGSVAKITGKEGLYFSGPALVFEGEDTMLAAISENPMSFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKDVALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLIQNGDIISIDVQKRAINVELTDAELNERRKKWTPPPYKATRGVLHKYIKNVQPASKGCVTDE >OMO53043 pep supercontig:CCACVL1_1.0:contig15355:26328:32052:1 gene:CCACVL1_28921 transcript:OMO53043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMDIDLVVDIPDTPDRLSSQRMNRGNRAEKESSSSVAGRFGGSSTAGEEYWGRLTGRGRLLGENGHNSEHYQHARKPFDKADEIERQKNTIILSSPENARGGASLFRKAAMERSRNSIREQCMDKGKALYRKLPSKSSSIQEDHAILDLTEQNVQKQMLEMAPLHDGLKNRLPEGRREGQVPRSGGSYLRNASKGLATPRDNCKGKEKIDDNGFTSVASDMVHGKGVGVSHDSLLRVEKPLPISHHSIVPPRATGQKRLVRNGCISPQNIAIRTKQLNEQSQNSSKTEQNFGIMVSKNPSMMDIREIVAEDNNYGKGKEVVHPHTSKEHDMNFVDLSNSPMNNNGKATGISHSSRDAIVEEKGGWRSTHNLSKNVDYASARHFSRFNDDVWREQKENRVMKRDKSSGQNNRVGRDWPENADLTETAPVIVSTIDQISEPSPAINMLPKRQKKRVTFRNTGESSRIIPNDSDIMFLGSSREPSSSRSSSIHIGQHLDVLDLDGSSEMGGINANHVDRVDDEDSEARARQVEADEMLARELQEQLYHEVPIFGNGEIDENIAWALQQEEDPFNPTSLRLHHDPDNRGSTRHSRTQPLLRTSQNSSSRRGVQTRVPNSARMSRLRNRVLNQPRAAPSRTRNFRFPVGMDLETRMEILEAIETANDMGMASHIFQVQRDFNENDYEMLLALDENNHQHGGASTNLINSLPLSKVQTDNFEEACAICLETPTIGESIRHLPYSTHSEPNNIGENNEEKAQLKHDD >OMO53045 pep supercontig:CCACVL1_1.0:contig15355:43114:43239:-1 gene:CCACVL1_28923 transcript:OMO53045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWANVEGGPRARALRTNFHVNSRTKSGPWLAKVANMVSP >OMO53046 pep supercontig:CCACVL1_1.0:contig15355:45807:47648:1 gene:CCACVL1_28924 transcript:OMO53046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MQASQLKYFFTTSPPNPKHKALPPFQAQYPSFTCKILINPSKKLNIPRIPLPPPPPPVPRSPLVPELEPVVKPERALVPQEPKYPVHLNPFQKLAALACDKIETLVIMPLEKHHDLPKTVDPAVQITGNFAPVQECSVQHGLEVVGQIPDCLRGVYARNGANPMFEPSAGHHLFDGDGMIHAVSLGPGNEASYSCRYTRTSKLVQEARFGRPMFPKPIGELHGHLGLARLALFMARTGVGLVDGSHGTGVANAGLIYFNGRLLAMSEDDLPYHVKINNDADIETVGRFNFDDQIDCPLIAHPKLDPVTGALHTLNYNVLKKPYLKYLKFDKSGKKSRDVNVPIEQATMIHDFAITENFVVIPDHQMVFKLSEMIRGGSPVVYDKKKTSRFGILQQNDVDGSRIQWIDVPNCFCFHLWNAWEENNGDDKTVVVLGSCMTPPDSVFNESEDPFRSELSEIRLNLRTGESTQRVIISGLNLEAGQVNRKFQGRKTRFAYLAIAEPWPKCSGIAKVDLETGEVTKFMYGACRFGGEPFFVPEKGNKFGGNGNNEGFEDEGYVMGIVRDEKWENSELVILKALNMEQVATIKLPRRVPYGFHGTFVSQEELNQQIISK >OMP03062 pep supercontig:CCACVL1_1.0:contig06154:110710:115549:-1 gene:CCACVL1_02589 transcript:OMP03062 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MEEEEDSFLLSSLGVTSANPEDIERDILAKAENDAGDGSEVGGSTEEEPAQNLEIDDPSSSANQAKLLNKLRAVEFEIDAVASTVDEGRNLVAGDDQAGHDDNVSTDNGNREDDKSVMQASSRDYTLQHALAADRLKSLKKTKAQLEKELSGLLKESSSEGIKHDKLINELVKEEFRPKRKLKEIQKPIKNKDKRHKTVSYNDDADFDAVLDAASTGFVETERDELVRKGILTPFHKLKGFERRLQQPGTSDRRSVPDEEDKNDDHVSASVDRVARSISEAAQARPSTKLLDSEDLPKLDAPTFPFQRLRKPSKVSQAKRAEEKEGSKQRKKRPLPDKKWRKRISREEMDLGEGEDVRDNLTSPDEEENQEYSEEADDLNPPYVTLEGGLKIPETIFSKLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLSFLGALHFSNMYEPSIVVCPVTLLRQWKREAKRWYPKFYVEILHDSAQDSAYKKKQAKSDEESDYESEGSLESNYEGNFSSKRSKKWDSLINRVLKSKSGLLLTTYEQLRLLGEKLLDVEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFAVPISVGGYANASPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNAHLPKKTEHVLFCSLTAEQRSVYRAFLASSEVEQILDGSRNSLYGIDVMRKICNHPDLLERDHSCQNPDYGNPERSGKMKVVAQVLNVWKEQGHRVLLFAQTQQMLDILENFLISSNYEYRRMDGHTPVKQRMALIDEFNDSDDVFIFILTTKVGGLGTNLTGADRVIIFDPDWNPSTDMQARERAWRVGQKRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQRRFFKARDMKDLFILNDNGESGSTETSNIFSQLSEDVNVVGSEKDRRHKQKHSKAAVPHGDQARSGKRKGKEKETEIDDHSDGEVDEEKNILRSLFDAQGIHSAVNHDAIMNAHDEEKIRLEEQASQVAQRAAEALRQSRMLRSHDSISVPTWTGKSGAAGAPSSVRKKFGSTLNSQLVKPQGESSTNGIAAGAAAGKALSSAELLAKIRGNQEQAVGAGLERQFGSSSSSSNRERPAVNRTTRSSSSVSSVQPEVLIRNICTFMQQRGGSTDSASIVDHFKDRVHSNDLPLFKNLLKEIAKLQKDRNGSFWVIKPEYQQLPITYFSRDSLPR >OMP03073 pep supercontig:CCACVL1_1.0:contig06154:165059:165202:-1 gene:CCACVL1_02600 transcript:OMP03073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVIALAPKYREGANAKEKE >OMP03079 pep supercontig:CCACVL1_1.0:contig06154:189514:192480:1 gene:CCACVL1_02606 transcript:OMP03079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochelatin synthase MALAGFYRRALPSPPAIEFASPAGKASEERKTLDQLFTEALAGGTAEGFFKLISYYQTQSEPAYCGLATLAIVLNALAIDPGRTWKGPWRWFDDSMLDCCEPLEKIKTQGITFGKVACLAVCNGAQVETFRADQSCLEDFRKHVISCTSSDDCHLIVSYHRAAFKQTGTGHFSPIGGYHAGKDMVLILDVARFKYPPHWVPLPLLWEGMNTIDKATGHHRGFMVISKLQKATSILYTMSCRHEGWNIVAKYLTGDIPLMFSSGNLKDVNDVLCMVFKSAPPDLREFIKWIAEVRRPDDSSTILSEEEKGRLTLKEEVLKQLRETELFKHVTRFLAIQSSLCNNVGPLDYEEKLADIAANVCCQGAKLLTGRSSNKLCCKKTDVKLIKADSKDPITMISGTVITDGTEQRVDMLIPSSQTEPACLCDFEKNNCCGIHPSIGDGLTALLFALPQDTWLSLKEEKCQKQMKDLTSVDSLPTLLQEEVLHLQRQLHFLKADLNVSSST >OMP03083 pep supercontig:CCACVL1_1.0:contig06154:209968:212711:1 gene:CCACVL1_02610 transcript:OMP03083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVRIPLLSIADTLYKSKYLGHGRRNVTVKLDQYRTVATINGHVYLGHRVWTRANSLQAQIVDALRLGDRSRASSLLLDLDDGNQSLKAEDFVYILKYCAISPDPLFVMETWRLMEEKEISLNYQCYFLMIQALCRGGYLEEACNLIKFLGENHGMHPRMSIFNYFLGACAKMQSVNHANQCLDLMERRRAGKNEITYLELLKFAHYVYNNVRLSALSSSKFSFVIHVFTLAVSQQNISAVHEIWKDYIKNYSLNIFSLQRFIRSFSRLKDLKSAYETLQHMVGLAISGKFFVSNTAEVRPYFPRLDIPIPSRVESGSLKVEMGENEHSLALKFDTDASDIGKCEFVSTTTGTLNGYISTPVMKVLRFSFSDVIEACAKAQDHELAEQLMVQMQTLGLQPSNYTYNCFVRACIIARGFSHGMEMLKKMEERNLKPLNATLAALSVQCSEALELDLAEALLDQACGCRYPYPYNAFLKACAAMVTEPHIPIVVPFLI >OMP03052 pep supercontig:CCACVL1_1.0:contig06154:22142:25833:1 gene:CCACVL1_02578 transcript:OMP03052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTLNTLTLGQRQSKDELLYQAANSGNVDAVKALCREGAGLEWIDADGKTPLTAACMNPELVDVAKTLIEMGANVNAYRPGLNAGTPLHHAAKRGMEWTVIHLLAHGANALLRNDDCQTPLEVARIKGYTNVVRAIENHICCFSGWLREFRGPGFLCGFSPQLSSRKIWVVIIPCSSENRMEPPRFELSIYSTLQDAQPQTVIALWKAKVEEPKFHQSNPSLAIFDQSTKTRYKLASGNEGDKDQIQWLYNACKGIPLVIPSPSVLGTQTSSATSASQILSVNQSSGVIHANGWEDSVDVESYNGWGPAVRQAHSESSETGLRDQPTRNDYNGWYVPGFRPTGKESHPVQNLTDPPPIVQTSGTNASISTAPSAPPAPDDILGEGPIHYPSIDLNPVDLPGPLTTGYGASASQKNDAKEKASSSKCIICWEAPIEGACIPCGHMAGCMSCLNEIKTKKGRCPVCRAKITKVLKLYTV >OMP03059 pep supercontig:CCACVL1_1.0:contig06154:97351:100266:1 gene:CCACVL1_02586 transcript:OMP03059 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MSRGRADPNPQKKRLITWIVVLVICCGCFYAYTRNNGSSALEYGSKSLRKFGSSYWRGDDDTADSSTKLDEDVDDGVILKSFPVCDDRHSELIPCLDRNFIYQTRLKLDLAVMEHYERHCPMPEKRFNCLIPPPHGYKIPIKWPKSRDEVWKANIPHTHLATEKSDQNWMVVKGDKIAFPGGGTHFHYGADKYIASMANMLNFPDNILNNRGNLRTVFDVGCGVASFGGYLLSSDIITMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRNGLLLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWRAMSALVERMCWRIAAKRNQTVIWVKPLTNDCYMQREPGTQPPMCKSDDDPDATWGVQMEACISPYSNQVQRAQGSGLAPWPARLTTPPPRLADLGYSDDMFVKDTEIWQRRVDNYWNLLAPHIESDTLRNLMDMKAHMGSFAAALNNKDVWVMNVVPEDGPNTLKIVFDRGLIGTVHNWCESFSTYPRTYDLLHAWTVFSDIQRKDCSIVDLLLEMDRILRPKGFIIVHDKRPTVELIKKYLGALHWESLATSESQLDSEQDEDVVFIIQKKMWLTSESIKESE >OMP03064 pep supercontig:CCACVL1_1.0:contig06154:121435:125849:1 gene:CCACVL1_02591 transcript:OMP03064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEALSNATKQKVAAAKQYIEKHYKEQMRNLQERKERRTVLERKLADADVSEEDQNNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGQVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYFSFQDDDFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVAETVLAIESIHKHNYIHRDIKPDNLLLDRFGHLRLSDFGLCKPLDCSTLEEHDLSGSMNGATANDERPAAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWKNHLKFPEEAGLSAEAKDVISKLLCSVNQRLGSNGAGEIKEHPWFQGVDWERIYQMDAAFIPEVNDELDTQNFEKFDESENPSPTTSKTGPWRKMLSSKDINFVGYTYKNFEIVNDYQMPGIAELKKKNTKSKRPSIKSLFDGESESSDTSDSIPSDQPVQGSFLNLLPPQLEATEKQCGSL >OMP03074 pep supercontig:CCACVL1_1.0:contig06154:168655:170040:-1 gene:CCACVL1_02601 transcript:OMP03074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14 MPFKRYVEIGRVALVNYGKDYGKLVVIVDVVDQNRALVDAPDMVRSQMNFKRLTLTDIKIDINRVPKKKTLNDAMEKADVKNKWESSSWGRKLIVQKRRASLNDFDRFKLMLAKIKMSFYELLPAVIMLQNPDEYRKSVLQRAGIVRQELAKLKKETAA >OMP03076 pep supercontig:CCACVL1_1.0:contig06154:180088:180389:-1 gene:CCACVL1_02603 transcript:OMP03076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGSYSLHCKGKAQIVKEN >OMP03053 pep supercontig:CCACVL1_1.0:contig06154:31270:34681:1 gene:CCACVL1_02579 transcript:OMP03053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MDTTSVRCLINSISRFIHLVSCQTIKVVPIEKDYRNMVVVLKLLKPLLDDVVDCEIPSDENLCKECEELDMVVNEARELMESWCPKMSKIYKVLQSEPLVIKMQSSSLQICHIIYKSLQSSSSTSNITSVQHCIREIKCLKQERVSENIGEALRSQRDDAIPCTDHLVKVMKTLNLTSNQELLKETMAVEKERMNAQVNNAKGKLDQINQIVELISHIRDYMLKFEHFEPTTGALIPPHFLCPLSLELMLDPVIVASGRTYDRASIQKWLDNGLTICPKTRQTLTHTNVIPNYTVKAMVASWCEENQLELPSNSGHAKLVSVSSTTNHISSQDLTHTDSFHCFANSSSSTSRSSLEVGNGLEKLKIDTSSRFSGECNGCQSREIDKYGHQSPEQSYIHSRTESASSEISSIDYAPPALNDLSRRSKKHEIGNGLAEISTEGLGTFPSKESGYSPWVTGKQFQVSESKVEKAVNRNLNYDRAYSVSFSESGCDNLTTSSHVKELVDNLKSLSNEVQTKAAAELRLLAKHNMDNRVIIGQCGAIPPLLSLLYSEVKLTQEHAVTALLNLSINEDNKAMIAKSGAIEPLIHVLKSGNDGAKENSAAALFSLSVLEEYKARIGRSGAVKALVNLLGSGTLRGKKDAATALFNLSIFHENKARIVQAGAVKYLIELLDPDSGMVDKAVALLSNLSTIGDGRLAIVRQGGIPVIVEVVETGSQRGKENAASVLLQLCLNSPKFCTLVLQEGAVPPLVALSQSGTPRAREKAQQLLSHFRNQREGATGKGKT >OMP03071 pep supercontig:CCACVL1_1.0:contig06154:160662:161150:-1 gene:CCACVL1_02598 transcript:OMP03071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKAASNKQELHYSSGKTKTYDTGRVIICALGVRYKGKRIGAAYRDVVSTARNDIHGLVPYLTKSAGIGIGLELSEMELNITSNNVRVVEPGMVFKVSIGFHNLPSYTTNLKDKKIFSLDI >OMP03050 pep supercontig:CCACVL1_1.0:contig06154:16894:18515:1 gene:CCACVL1_02576 transcript:OMP03050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S26A, signal peptidase I MVPTIGEQGGTLLVRKIPAADTKKIFVGDVVVLKDPDNSDNYLVRRLAATEGYEMVSTDEKEEPFVLEKDQCWVLADNEKLKPQEAKDSRLFGPVSMADIVGRAIYCLQTAVDHGPVQNSQDSMHKDAPVLQVELDVDEMSKHHKA >OMP03055 pep supercontig:CCACVL1_1.0:contig06154:59343:60975:1 gene:CCACVL1_02582 transcript:OMP03055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MGSLPPPLDLPSPPSKKPDHQHISENTLLSLFKAQQNHLNYFFQNLDLPQTLSFTETLLNSRGTIFFSGVGKSGFVAHKISQTLVSLGIRSSFLSPLDALHGDIGALSSADVLVLFSKSGSTEELLRLVPCAKAKGVYLIAVTSVSNNALANACDMNVHLPLERELCPFDLAPVTSTAIQMVFGDTVAIALMEARNLTKEQYAANHPAGRIGKSLIFKVKDVMKKQDELPICKEGDMIMDQLVELTSKGCGCLLVIDEEYHLLGTFTDGDLRRTLKASGEGIFKLTVGEMCNRKPRTIGPDAMAVEAMQKMESPPSPVQFLPVIDHQNILIGIVTLHGLVSAGL >OMP03072 pep supercontig:CCACVL1_1.0:contig06154:161539:162170:1 gene:CCACVL1_02599 transcript:OMP03072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVATEKTDRNRQKSDDSIQLNNPLESSTTIVSPLLDILQLILSRAAWMVLSNQANR >OMP03065 pep supercontig:CCACVL1_1.0:contig06154:129083:130642:1 gene:CCACVL1_02592 transcript:OMP03065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MMKGGFEVVHATLDMIQPHHEPLWDNFASSVAFPTSVNSVPRQTVENRCVNLERNELSEWVEQVTKQLIDDLPPEPETRPDHSSSLQDADTSTMVCDDSFGAPLLPAGDFSRPRKIRRSVLDCGAGEELQWSNNELGNVNNVSENKLNRLDEQGLSLITLLLECAVAISVDNLGEAHRMLLELTQMASPYAASCAERVVAYFAKAMSSRVINSWLGICSPLINYKTVHCAFQAFNNVSPFIKFAHFTSNQAILEAFHRRDRVHIIDLDIMQGLQWPALFHILATRIEGAPHVRMTGMGSSMELLVETGKQLSNFAKRLGMSFEFHPIAKKFGEIDISMIQLRRGETLAVHWLQHSLYDATGPDWKTLRLFEQLGPRVITLVEQDLSHGGVFLDRFVGSLHYYSTMFDSLGAYLLADDPNRHRIEHCLLYREINNILAIGGPARSGEDKFKHWRSELSRSNCFVQLPMSSNSMAQAQLILNMFPPAHGYSLVQGDGTLRLGWKDTSLFTASAWTSSHAFR >OMP03051 pep supercontig:CCACVL1_1.0:contig06154:19106:21319:1 gene:CCACVL1_02577 transcript:OMP03051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLWPRKQRKTSVKNKTLRRECKTSTRLSFEAEEQLCHSCRMHFISFPTTFLAMDQQTADIFHVRVFLEDRAGSGSPRRNPDFSRQRHGFRGRNRQNEDTENFESLDESEMLSSKNGPLLSSKYQATAAPGPREKEIVKLFKKVQAQLRERAAATEDKKTEASAGKGKESASVDSLLKLLRKHSDEQGKRKSSIGSSREQNLDLPEVNGSSNEEKSSSFFDSNNRVRSEAKETYVPGLPTYSRPPSNFRRKSPVSQVKYQPMYTSGETVNSATHINSDGKRKLSPADSPPAPDDEPELEDELESETEAELEPEAVYEDPDALDELAEDESSDIEEEEEDLNAMKLPELKALAKSRGLKGVSRMKKSDLVELLSSTPV >OMP03054 pep supercontig:CCACVL1_1.0:contig06154:46230:46820:1 gene:CCACVL1_02581 transcript:OMP03054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIREMDSSNSENATLNVGNNNGITISAALGSSSSPSSSSSSSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPTQQQQHQQFPTLPPPPGAS >OMP03061 pep supercontig:CCACVL1_1.0:contig06154:107672:109456:1 gene:CCACVL1_02588 transcript:OMP03061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDEEESLEHTLLVVREVSIFKIPPRSTSGGYKCGEWLQSDKIWTGRLRVVSCKDRCEIRLEDPNSGELFAACFIYPGQRESSVEPALDSSRYFVLKIEDGHGKHAFIGLGFNERNEAFDFNVALSDHEKYVKREIEKETGETSESDAHIDIHPAVNHRLKEGETIRINVKPKPSSSGTGMLSAAGLSGGVSGSGKPKTLSLAPPPTGSGKLRSPLPPPPNDPAAARMTSSSQGVGLRAPKENSRKTDPLTDFSQLERNLPATGAGSKKTTASGWAAF >OMP03057 pep supercontig:CCACVL1_1.0:contig06154:73453:73794:-1 gene:CCACVL1_02584 transcript:OMP03057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFETSSHGASLTDQTMAAACGYHQQISSLSSQNHGAQSLLNLLQYSHHENKNNNISDNPGNEVSSKVDDEYEFLWDMNIEEHSLGNHHHHHGVASNLDDDMRFEIDNSMVFL >OMP03058 pep supercontig:CCACVL1_1.0:contig06154:89539:93504:-1 gene:CCACVL1_02585 transcript:OMP03058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine-threonine protein kinase 19 MEKGKKRRREEEGEEIEAESSDLDHSLSLEKNLTFSDTLMALRIMRAQFPQIDKVSIQPFILQSQLYSSVKDRTQVDRELESLKREKVVRIFKLNTGQDDHGIMFLDDYLKQIEHVVKRMEERKQGDFEVFKWFREHVIESKLESSIEHQELCSLLSLGGRVRDQHISLLINAGLLTRQLIDPSMYWFAIPNIGSILKGLSQGRKEVLSLLNRRRYKEMMLAPLEKMRLRFSPLDMRFHLRDLIGSGLLKTAHTPTGIVIRISKD >OMP03066 pep supercontig:CCACVL1_1.0:contig06154:134310:138818:1 gene:CCACVL1_02593 transcript:OMP03066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVIGGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGVPHLKWFGVEAEYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQVGGSSRGRHSSGRAALAAGPALERPERISVGRELRDRFSGAVEAFSKRNVSNTSPRRDHSRHKTAEDVTLSKHVQPDSDKRRSSSRYGSTSRRAVAASRPSSSGEPSDVPQNRLLSSGGRMSTTQRIQLAFESKTSSRATPVRGSRDDHPLRSFELLSIRK >OMP03048 pep supercontig:CCACVL1_1.0:contig06154:11230:13591:-1 gene:CCACVL1_02574 transcript:OMP03048 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHS domain-containing protein MDKMKLSQWGERLKTGGAQMGRMVSGKMKEILQGPTQESKMVDEATLETLEEPNWGMNMRICSMINSEVFNGTEVVRAIKKKISGKNVASQRLSLDLLEACTVNCEKVASEVASEKVLEEMVKMIEDPFTDHGNRERVSQLIRAWGQSEDLAYLPVFQQTYMSLKGRSTQLPVNNENSPPLHTMESYMEEPLPPSENYPVTNTGSHGSNHNMFSYSYGTLSDEERKELFEVTRNSLEVLSSMLNAETNHKPTKDELTESMLEKCKQSQPIIQMLIGTTEDDGILFEALNLNDEIQQVITKFDQLQAGSESERQLPENSGTTGTEVNASAPPIESLKETTAGASFPSPSTHSETKMDASLKGDSSELSSVSDKKILNVNEN >OMP03075 pep supercontig:CCACVL1_1.0:contig06154:172182:179601:1 gene:CCACVL1_02602 transcript:OMP03075 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding protein MFSRNAGAEDLEAFYPIREECKADVPKTRFRPRAGKTLSARRWHAAFSEEGHLDIAKVLRRIQRGGIHPSVKGLVWEFLLGCFDPNSSFEERNQLRQQRRERYGMWKTECQNMVPVIGSGKFITTPVITDDGQPIEDGGWHVTSAVSDKKVAQWMLSLHQIGLDVVRTDRALTFYENETNQAKLWDILSIYSWVDDDIGYVQGMNDICSPMVILLENEADAFWCFERAMRRLRENFRSSATSMGVQSQLNTLSQVIKTVDPKLHQHLEDLDGGEYLFAFRMLMVLFRREFSFVDALYLWEVMWAMEYNPNIFSLYEVPSATSDSDGAKILDQNVLKRYGKFERKNAKHGITEKNSALAVYLVAGVLETKNKQILREAKGLDDIVKILGDITGNLDAKKACREALKVHTKYLKKVHLFLPPPYNEKEPEMQIGLPTDVKHVAHIGWDGPSVNTAPSWMNEFKSAPLANGDQMQEEDVKWVSEDSNQRKGSRGQNSDTPEVPKSSRRSSSANGNGEKERSDKPRQSRKGSTKSSSSENSGKSRKAKDPNQATDSASTVHSHDNPPGIPKKSRRKKSKDSSSHGGSTRSRTEDMDNGSESGSVSRPREEQLSNASKYEE >OMP03080 pep supercontig:CCACVL1_1.0:contig06154:193193:199037:1 gene:CCACVL1_02607 transcript:OMP03080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-rRNA-processing protein TSR1 isoform 1 MAGSRVQVNKVHKSRFASKSSRNIHKVSQKDKSRIAKSDRNATKGARAARLQRCKMLREQKRAAFLKEKRASSGSASAPRVILLFPLSASVDVSSLAEDILRLLSAEGARALPSTVASPQYKLRATVLQAPHGDLLSCMEMAKVADLIAFVASATEESSCDYIDSFGSQCLSVFRSLGLPGTAVLIRDLPTELKRRNDAKKICTSSLTSEFPEDCRFYPADTKDDLHKFMWLFKEQRLTTPHWRNQRPYLMAQKVDMVPDDSNPGKCTLLLTGYTRAHSLSVNQLVHVSGVGDFQLHKIEIMKDPIPLNARKERDAMDSDDIQEVQIIRSLVPDPLNQEPLLVENIPDPLAGEQTWPTEAEMAEADNNQKQKRLRKRTLPRGTSEYQAAWIIEDTDEEDSDAEGDDDEEDDGMVLDEGERGFPSQEGTRNPDFEDQASLYLRDSDEETENDSMMIEGENLTREQIEDEIKKIKEAHAEDEEYPDEVDTPLDVPARKRFAKYRGLKSFRTSTWDPKESLPPEYARIFAFDNFARTQKHVMAKALEMEQESRDDCVPTGSYARIYIKDVPLDVASKLCVVSSTGPIVLCGLLQHESKMSVLHFSIKKHDSYDAPIKAKEEFIFHVGFRQFVARPIFSTDNINSDKHKMERFLHAGRFSMASIYAPISFPPLPLIVLKGAGGSSAPLVAAVGSLRSIDPDRIILKKIILTGYPQRVSKLKASVRYMFHNPEDVRWFKPVEVWTKCGRRGRIKEPVGTHGVMKCIFNGGLQQHDTVCMSLYKRAYPKWPEHRFPVLNA >OMP03078 pep supercontig:CCACVL1_1.0:contig06154:184851:188837:1 gene:CCACVL1_02605 transcript:OMP03078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochelatin synthase MAMAGLYKRALPSPPAIDFASSDGKQLFMEAIQNGTMEGFYRLISYFQTQSEPAYCGLASLAMVLNALAIDPGRKWKGPWRWFDESMLDCCEPLEKVKEKGISFGKLVCLAYCAGAKVEAFRTNHSTLDDFRKFVVRCSTSDDCHMISSYHRETFKQTGTGHFSPIGGYHAGRDMALILDVARFKYPPHWVPVSLLWEAMDNIDEATGQRRGFLLISRPHRDPGLLYTLSCKHESWVKVAKYLMDDVPNLMKSEDLKDVNKVLFTVFSSLPSNFGEFIKWIAEVRRREDGNQNLSEEEKGRLALKEEVLKQVQETSLFKHVVSFLSSVNSCCRNAQALRYESNLPDIAAGVCCQGAEILAGKFGSPERYCCRETCVTNLKANGEKLITLVSGTVVNGSSEQGVDVLVPSCPKKLNCCGSNSSSCIGIYPAGNDVLTALLLALPPETWSGIKDERLLHEISSLVSTENLPTLLQEEVLHLRRQLHLLKKCQDNKVEEDLGAPSC >OMP03056 pep supercontig:CCACVL1_1.0:contig06154:62507:66489:-1 gene:CCACVL1_02583 transcript:OMP03056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAENRKSGTENVSSARLSAPPGFGPLSSFFLKKVENCDEILGYIGSNGEPTQEQLKGEAKPYTVDVEKLKKSVKNRPWIIYDKSDQSAKEFQPEQPDKIEALKQATEKQCPADAVRGLEDVPAFHPSEEEFSDILKYIESIRLKAESYGVCRIIPPASWQPPCLVKEKHMWECSKFVTQCQQFNKFSAQSAECKTAEFYGSPSKRSLGISSKKGVSTGCLMNHDEVGCSDMECNVSKPGPEFTLKNFKRYADDFRRQYFSSKHKHESNHINSKQEEPSVERIEREYRHIVENSTQELEVLYGDNLDSLTFGSGFPRASDPSESENYPRYARSSWNLINLPQLPGSLLSFESDKTSVLSVPRLRIGMCFSSLCWKVEENHLYSLCYMHLGSPKIWYCIPGRSSFKFEAVMKKCLPDLLVKEFKLRQGVIRRLSPFMLKAENLPVYRCIQHPREFVLVFPGAYLSAFDCGFNVAEAVNFAPLDWLPHGLNAVALYQAQGQKTSISFDKLLIEAAREAVRAQWELSLIKKKTNDNLRWKAACGKNGIFVKTLKIFLYRYSISELNILVEAVEGTLPAMYRWAKEDLNMTLHKSLPKKNSSTEDKAQKEDMFKDAGTSYDHGWTTASSIKAEMKARLQQRSQYQNAPKSEEKTISVPAIPSLTQDDTSSLLREMMPEASSSSTSLSSSSESEEFADICLNGGKGDISSTSTSRPSPRHARKEVILSELLKKFPRERGKTKHSRSKSKELPMNHPASKKRKKK >OMP03047 pep supercontig:CCACVL1_1.0:contig06154:193:10529:-1 gene:CCACVL1_02573 transcript:OMP03047 gene_biotype:protein_coding transcript_biotype:protein_coding description:RecF/RecN/SMC MPSLTTPGKILRLELENFKSYKGFQTIGPFSDFTAIIGPNGAGKSNLMDAISFVLGVRTGQLRGTQLKDLIYAFDDREKEQRNRRAFVRIIYQLASGSQITFTRAINPSGGSEYSIDGNLVNAEEYNGKLRSLGILVKARNFLVFQGDVESIASKNPKELTALIEQISGSEELKRDYEDLEEKKARAEEKSALIYQRKRTVVMERKQKKEQKEEAEKRFRLQDQLKSLKKEYYLWQLLNIEKEIEKITEDLQSDERNRQDVLRELDHFEKEASDKKKEQSKYLKEIALREKKISEKNIRLEKSQPELLKLNEEMSRLNSKMKSSRKELERRKEEKRKHANDIKELQKGIRDLTAKLEDLNVRSQDETGKLSLVDSQLTEYFQIKEDAGMKTAKLRDEKEVLDRQQHADNEVKKNLEENIQQLRGRQRELETQEEQMRARLKKILDTSAKQRAEVEDLEKELRKMQDRHQTTRSKHDNLKSKISEIENQLRELKADRSENERDARLSQAVETLKRLFQGVHGRMTDLCRPSSKKYNLAVTVAMGRFMDAIVVEDENTGKECIKYLKDQRLPPQTFIPLQSVRVKPIIERLRTLGGTTHLIYDLIQYPLPMLILLVLFLSENRYAVLFAVGNTLVCDDLEEAKVLSWTGERFKVVTVDGILLTKSGTMTGGTSGGMEARSNKWDDKQIEGLKRKKEQFELELEQLGSIREMQLKESETSGRISGLNKKVQYADIEKKSIEDKLQNLKKEKQNIKKEIDVITPEFQKSVGVANIREYEENQLKAAENMAEERLNLSNQLAKLKYQLEYEHKRDVDSRIKKLESSISSLENDLKLVQKKESEVKLAAEKASDEINRLKEEVKEWKSKSDECEKEIQEWKKQASEAATSISKLNRQINSKDTQINQLVERKREIIEKCDLEHIELPLIADPMETDSSTGREFDFSQLSRSLQQDRRPSDREKLEAEFKQKIDALVSEIEKTAPNLKALDQYKTLQEKERDVTEEFEAARKEEKEIADQYNSVKQRRYELFMTAFEHISNKIDGIYKQLTKSGTHPLGGTAYLTLENDEDPFLHGIKYTAMPPTKRFRDMELLSGGEKTVAALALLFSIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCDKGGAGQDSDKGSAVQDSDKGSGFQSIVISLKDSFYDKAEALVGVYRDSERGCSRTLTFDLTKYRES >OMP03070 pep supercontig:CCACVL1_1.0:contig06154:159971:160291:-1 gene:CCACVL1_02597 transcript:OMP03070 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit Spt16p/Cdc68p MDFKSSSKGRIAKEQTRLIDFAQQQGVALKIEQDTRGARELIGNFHVPPTIGFSDLWILPPLGVKEGETQGTLEVHENGFRYSTIRSDKRVDITFGNIKHAILQTA >OMP03082 pep supercontig:CCACVL1_1.0:contig06154:206652:207659:-1 gene:CCACVL1_02609 transcript:OMP03082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MLRKLLPSNLKELESSNNIAGIPVAMIQVNVLNCGGIAIGTQTSHKIIDGPTSTTFLKAWAISARGTGEIPRPSFIAPQLFPQNSLLPRDTMLAIWPSLLKFGKCVTKRFVFDSSAISTLKDKASSSSFVPNPTRVESVSAFIWICVTVASRKRYGSRRPSVLSHIVNFRGKKASSLPEHSIGNLLWIATAECQAEANLELQSLVALLRKSIMKTSGKFVEQLQGEKGYQKVRECLTELAEVHSNGGADYFAFSSMCKVGIYEADFGWGKPLWVSPGGIDGLVYQNLVFLNETRNGDGIEVWVTLDEQDMTILQYETEILSFASLDPSPFEEPQD >OMP03049 pep supercontig:CCACVL1_1.0:contig06154:13951:14103:1 gene:CCACVL1_02575 transcript:OMP03049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEDEKENGPSLRYAWLVLRRRGWWARSDGSASFESYSFLAARVIHFLD >OMP03081 pep supercontig:CCACVL1_1.0:contig06154:200876:205443:1 gene:CCACVL1_02608 transcript:OMP03081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochelatin synthase MNPQLFAEALAGGTAEGFFKLISYYQTQSEPAYCGLATLAIVLNALAIDPGRTWKGPWRWFDDSMLDCCEPLEKIKAQGITFGKVACLAVCNGAQVETFRTDQSSLEDFRKHVISSTSSQDRHLIVSYHRGAFKQVHGYFKASEGDIDSLYYAPADLREVIKSVAEIRRQNDSSSISEEEKRRLNLKEQVLKQVQETELFKHVTRYLAFESSLCENVSHLVCKDKLSDIAAKVCCQGAELLTGKIRSSNGLCCKETNVKLIEAEGDGLAVLLLALPQDTWLSLQEEKLQAKMKDLTLVDSLPTLLQEEVMHLQRQLHFLKANHVSCCA >OMP03068 pep supercontig:CCACVL1_1.0:contig06154:147144:151994:1 gene:CCACVL1_02595 transcript:OMP03068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDTVDDVSCLDPELLQLPEVSPFALKTSPQIVEDLFSQWLSLPETGNLVKSLIDDAKSGATVNAFANLSNVNTVGSNSLPSMFPGGNAPPLSPRSSSGSPRTSKHKSSPSALGSPLKLVSEPMEEVIPQFYFQNGRPPTKELKEQYLSRVDLIFNNPLNGLQIDEFKMVTKEICKLPSFLSSALFRKIDLDCTGIVTRDAFIKYWVDGNMLMLDIATQIFEILKQPGRKYLTQVDFKPVLRELLATHPGLEFLRSTPEFQDRYAETVIYRIFYHINRSGNGRLTLRELKRGNLAPRKFTSGAEGKMGYEDFVYFMLSEEDKSSEPSLEYWFKCIDLDGNGVLTPNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIAPEREDCITLRDLKGCKLSGNMFNILFNLNKFVSFETRDPFLIRQEREDPTSTEWDRFAHREYIRLSMEEDVEDASNGSAEVWDESLEAPF >OMP03077 pep supercontig:CCACVL1_1.0:contig06154:180776:182191:-1 gene:CCACVL1_02604 transcript:OMP03077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVAFGSSACFPSLKLVFFFTFLSALVTISLQQEQPALNSAEQESVYQVLSSINSAIPWRTLFPDDLCFYPPHGVVCDYFTDPFTKNVTVHITELNFGYVSDYTPNPPCSPNSTFNPLIFTSFKHLRKLFFYQCFTENQVFFPEIPTTFGSSLEELVFVDNPAFVGPLGGVIRNFTSLRRVVLTGNGIYGSIPDGIGDLINVEEITLSRNKLSGNVSVSLAKLKKLKVLDLSGNGFDGDFPCSVGNLTQLLKLDLSSNAFSGKIPESLSNLQGLEFLDLSFNRFGNFGIPLFLSEMPRLKEVHLSGNLLGGEIPEIWEKLGNLLGIGFSGMGLVGGIPPSMGVHLKNLCYLGLDNNKLEGEVPEEFGLLEFVSEINLENNNLSGRVPFSAKFTAKVGEKLKLIGNPELCVDEKLSHAKSNIGKSSLAKLKKCSKPVTPNPVLFVRVSQSGSLSSSFHVLLLFWGLLILLV >OMP03067 pep supercontig:CCACVL1_1.0:contig06154:143241:145344:-1 gene:CCACVL1_02594 transcript:OMP03067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MLLEEKKLVAEIKKSAKTGNEAATKTLARQLVRLRQQIAKLQSSRAQMRGIATHTQAMHAQSSVAVGMKGATKAMSAMNKQMAPAKQAKVIREFQKQSAQMDMTTEMMSDAIDDALDDDEAEDETEDLTNQVLDEIGVDVASQLSSAPKGRIAGKNTEGVGSSGVDELEKRLAALRNP >OMP03060 pep supercontig:CCACVL1_1.0:contig06154:101216:106914:-1 gene:CCACVL1_02587 transcript:OMP03060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribophorin II MARSLAGFLVFSLFVSICGAASLFQPISDSHRSAALELFTPDHGSFKSLEETYEALRTFEVLGIEKKPDVTASACRSISETLGSPSSTPKDLFYALKANSIAKCRINEKTSEGIITKLNAAVGGANSLLDFYYSVGGLVLIKDQTSRADVHLADTEGVFRSVKAFSQSDGRWRYSSNNPESSAFAAGIALETLAGIISLASSEIDQSLINTLKNDISKLFDSIEKYDDGSLYFDDKLVDGREYQGPLSTTSSVVRGLTAFAAVTAGSLNLPGDKILGLAKFFLGIEAPGDAKDFFNQIDSLACLENNRVSIPLILSLPSSVLSLTKKDSLKVRVNTVLGSNAPPLTVKLVGAYSSGSKDTSLVETQDLKFEAGTGVHILNNLPKTIDVGSYTFVFEIVLHESEHEKIYVTGSQTKVPIFVTGLIKIENAEIVLDNDLGNVEAQKKLDLAGQNAVSLSANHLQKLRLSFQLTTPRGHAFKPHQALLKLRHESKIEHIFVVGNPGKKFEIVLDFLQLVDKFFYLSGRYDIELAVGDAVMENSLLQAIGHVELDLPEPPEKAPRPPAQPVDPYSRYGPKAEIEHIFRAPDKRPPKELSLAFLGLTILPLLGFLVGLLRLGVNLKNFPTKAAPALFGILFHVGIGAVLLLYVFFWLKLDLFQTLKLLGFLGVFLVFVGHRILSHLAGTSSKLKSA >OMP03063 pep supercontig:CCACVL1_1.0:contig06154:116381:118233:1 gene:CCACVL1_02590 transcript:OMP03063 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MAEQTFCKSREGDENAESKSIRVCGMQFAYELQHPLFVDFNLEIAPGSRCLLVGANGSGKTTLLKILAGKHMVGGRDVVRVLNRSAFHDTQLVCGGDLAYLGGSWSKTIGSAGEVPLQGDFSAEHMIFGVEGIDPVRREKLIELLDIDLQWRMHKVSDGQRRRVQICMGLLHPFQVLLLDEVTVDLDVVARMDLLDFFKEECEQRGATVVYATHIFDGLETWATHLAYIQDGELKKSEKLTEINELKSSENLLSVVEAWLRSETKCEKKKAVNNPAQVQKTSPFGTSPFMSSRHMAYYR >OMP03069 pep supercontig:CCACVL1_1.0:contig06154:157205:157620:-1 gene:CCACVL1_02596 transcript:OMP03069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLEIKDFAQISLHSVYKILIEAQGAAIMKLKPDNIVSDAYRAATSVVREIMPGLMQYLTKSDGTWMRLEFKETGLDITIDNGSKVKAGMIFNVALGFHELPSITDNPRN >OMO99423 pep supercontig:CCACVL1_1.0:contig06902:13399:15706:1 gene:CCACVL1_03816 transcript:OMO99423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKLSKTRIRDPAFESKKKRLDAAEERFVDLRNSLLDMDITMTFTNEMKVVANLKFMKNWDEYISIRLPIMIGRSRKRELQIIKDRLRNRIRSWKGKLLSVAVQARCDSLIWNNDSMGNYSCRSSYFQARRVLGREDCPVENRSAIWKLLWGANIYPKVQYFMWRVVHALLPVKCPWMEDYFQQWGAVDEFWLHMVSKAASLGSVERVFLSLWAIWMSTVRGSDVHAIRWKPPPSGVLKVNTDAAFDRARVGTGLAAVLRDSEGRVLSTVVDCNLFVKDVLYAGVFAIRLGVNMARADGISRCIILSDCLMAIFAINRLTKCNWEGDCLIEEIWELANFFESITFVHVKREANYCAHTVAKFAALNVATYVLYGSLPPDVCNPDL >OMO99424 pep supercontig:CCACVL1_1.0:contig06902:16276:16476:1 gene:CCACVL1_03817 transcript:OMO99424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLERDTFAISLTFAIELWRASCFAVHLSHLCFVLSLRNSFFSPNSISCCSSVLQLHLNDLATHQ >OMO99422 pep supercontig:CCACVL1_1.0:contig06902:2926:3054:1 gene:CCACVL1_03815 transcript:OMO99422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVVYEWFLEYQDQVNITGEEIFEKAKDAMELLYPQQPLDS >OMP03403 pep supercontig:CCACVL1_1.0:contig06082:39:1181:-1 gene:CCACVL1_02437 transcript:OMP03403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRARPSQTSSPSDFREQLRRSITNGASGRTSPTALTNAVVNAHDGVQRALGEAATASDAQQMAKARGDYTAALARLDRAYDAAANAASPEGAAALQEMKRGDDARFGSAADRVGLFATAPAPSAPAAAAPVLPPNITAVNGAVYVCDKAIADGNNVACREISADGKQCTNVTLADGDIGWRDSIATPCRGDDLAQRRAFLAANPEIAATVNGLPAPFTMDSRGTADAIAKLTGQPANPEAEKALAAMTPECRATLQRYIAAAQTNDGPGATAQYAALKQAGGCGVLPKPDPRFISCGNTPLLDQTFGACDQQPERSAQ >OMP05406 pep supercontig:CCACVL1_1.0:contig05403:13856:16611:1 gene:CCACVL1_01915 transcript:OMP05406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MATHKLPPETVVKMLKDNGIKKVKLFDADSNTMSALAGSDLEVMVAIPNDQLLAMNNFDRAKEWVRRNVTRYNFNGGVNIKYVAVGNEPFLTTYNGSFINTTFPALRNIQNALNDAGVGDSIKATVPLNADVYNSPESNPVPSAGRFRTDINGIMTQIVEFLSQNNAPFTVNIYPFLSLYGNDNFPFNYAFFDGGNPIVDNGIQYTNVFDANFDTLVSALKAAGHGEMPIIVGEVGWPTDGDKNANIANAQRFYNGLMRRLAANTGTPLRPGYIEVYLFGLIDEDGKSIAPGNFERHWGIFRYDGQPKFPLDLSGQNQNKLLIGAQNVEYLPAKWCAFNPNAKNVDKLADNINYACTFSDCTALGYGSSCNNLDANGNASYAFNMYYQVQNQDDMACNFQGLATIVTQNLSQGSCNFIIQIASSSPISLGPSFVGLAFLTVLTSLLL >OMP08072 pep supercontig:CCACVL1_1.0:contig04025:1534:1593:1 gene:CCACVL1_01170 transcript:OMP08072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QHQRKDFNLWNEAIKQSHK >OMO77433 pep supercontig:CCACVL1_1.0:contig10757:6151:6240:-1 gene:CCACVL1_15012 transcript:OMO77433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein INTNSGEGPSNAVRADTPPMVVEDEPQGH >OMP11243 pep supercontig:CCACVL1_1.0:contig01448:1939:2109:-1 gene:CCACVL1_00604 transcript:OMP11243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSVKFMLPDCYRLWEVPLAKDETFGGKPWEKVMHDSGIHQDNDLWSSTANQEK >OMP11242 pep supercontig:CCACVL1_1.0:contig01448:594:1858:1 gene:CCACVL1_00603 transcript:OMP11242 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 protein MVSAMKKLAELDVEPTVEERNLLSVGKGDYYRYLAEFKMGDERKEAVDQSMKAYQSATTTAEAELSPKFYHCFVIGMAAYE >OMP06454 pep supercontig:CCACVL1_1.0:contig04930:13453:13686:1 gene:CCACVL1_01573 transcript:OMP06454 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative receptor-like protein kinase MDFHSKSNRKGSKPNKKGNTIIPNPIKIRYDSVAEFITPLNLIATGGSCKVYRAIIDERDVAIKVLDDNNYKFRKYWE >OMP06453 pep supercontig:CCACVL1_1.0:contig04930:3043:8393:-1 gene:CCACVL1_01572 transcript:OMP06453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKELGEISLQDLKSFTDNFSEDNLIGNFQFGKVYRGKIEDHDIIVKVWLEKILHGRFPGDNVITMEIGYCVEDQLGYFHEDPLAVIYDLNPVDTLRTFIRRDGCSPKMIPKEIVEISYEDLKSYTHNFSKDNFIGNFQFGKVYRGKIGDRDVTVKVWMPQTLYVCLPGNNEIRLEDELILHTDSMIKSHPNLAKLIGYCHEGQLAVVYNLNPIDTLRAFILRDDFTWLHRIETALQLACLLQFLHIPRPPHKRYVVGNIDAAHFVLDKDYNLVLYDFSSFTGGFLPERIFFPLVLAPFGYRDPYIGNAATWFYPAMDVFAFGIILIGLITKKAFIVEDCGIDEFGDFDESCFLDDWATDEYKRRESKLGDKVSLVHDSLQVDPDFESADGIEVTKLAMQCLAKRMRRARIDVVVQQLLKFHVLRDTLNLWAFNGTECCYGPKMILNEVEEISFEDLKSYTDNFSESNFIRNFQYGKVYRGKIGDHDVTVKVWMPQEFYLRLPGDNESSMEGELILHTDSRIKSHPNLAKLIGYYREGELAVVYDLNPVDTLRTFILRDDFTWQQRIKTALQLASLLQFLHTPCPPYEPYMVCNIEAAHFVLDKDYNLVLYDFSMFTGGFLPETKGYRGSYIRGCLGYMDSDRQSVEVARGRYYPAMDVFSFGIILVSLIAKKAFILEEHVLIDEDGYFDITMYLDKWASEEYKHRKSKLGDKASLVHESLEGDLSFESADGVEATKLALHCMSQLFRRPELDGIVQQLKPLASRGL >OMO58138 pep supercontig:CCACVL1_1.0:contig14268:2683:2985:-1 gene:CCACVL1_25563 transcript:OMO58138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLRSKFRTDPESRLDAGFSRISDDLKRTMETLFQRQETYSQGSPTDVPKSPLINMPQASNASTQDTTHSFTNHSKLECPRFNGDDFMSWLFRIENFF >OMO55668 pep supercontig:CCACVL1_1.0:contig14617:6741:11884:-1 gene:CCACVL1_27105 transcript:OMO55668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNISDTRGNRAEQAVPGTSDMNEGGHPINPHLRERPSFPASLPLEANSIPSHADNINADDNIKPHSTPPHHSPSLALVQDDQGSLKTDVEIPSHVKYEYSKDSDSKKPVHAKRSDSPLSYSDHISTAEPTTDVRSQTSFDSVPEQMGNAKPITTSALPQSKIDCDDGPIRTSPNEPELLTPGPSSSNVKLVKEKGNDFKSRVNEVDLPTSSVNGNSKSKLSFLLDDIHFSDGNESGTEEEQLAFMKQLEVFFKARGMEFKPPKFYGEGLNCLKLWRAVTRLGGYDKVTSCKLWRQVGESFNPPKTCTTVSWTFRGFYEKALLDYERHKTLGGELNIPISSQPEPANVDNQASGSGRARRDAATRAMQGWHSQRHLRNGEVSDPIIKDKNSMSLQKREKQLKSLGLLKRKKPSYFDHAAKAAHTKASKAHVETAVVDIGPPADWVKINVQKTKECFEVYALVPGLLREEVRVQSDPAGRLVISGEPLHPDNPWGVTPFKKVVSLPSRIDPHQTSAVVTLHGQLFVRVPFQQS >OMO55667 pep supercontig:CCACVL1_1.0:contig14617:6226:6327:-1 gene:CCACVL1_27104 transcript:OMO55667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILPTVTKQSEHFRLLKKERVHRISRDNSESC >OMO55666 pep supercontig:CCACVL1_1.0:contig14617:2902:5811:1 gene:CCACVL1_27103 transcript:OMO55666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDNISSPISRDVDIPYSTTTTATSSGDPSQSPPQPPLAGVVPLSWPPDGKLSLEWIQHMMSLLDWSSRNLAPLAFPSVFPVPVFDALVLTASKILHKEPNCLQIEILDSDSTVVVVGDLHGQLHDLLFLLEDAGFPTQNRIFVFNGDYVDRGAWGLETFLLLLAWKVFMPHKVYLLRGNHESKYCTSVYGFEKEVLTKYGDRGKHVYRKCLGCFEGLPLASIIAGRVYTAHGGLFRSISVSHSKRSKGKKNRRISLNPETTPLCLGSLEELSKARRSVLDPPWEGLNLIPGDVLWSDPSMNPGLSPNKERGIGLLWGPDCTEEFLKKFNLKLIIRSHEGPDAREKRPGLARMDEGYTIDHDVESGKLVTLFSAPDYPQFQATDERYNNKGAYVVLRPPGFDSPEFHSFYAIAPRPTVNAYYDYEEVIDSDEDLDLASMVTAL >OMO55665 pep supercontig:CCACVL1_1.0:contig14617:1688:1870:-1 gene:CCACVL1_27102 transcript:OMO55665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCRSLVPRKVPLPSSNRLISSLGRSNSFYSEAIADCLEFIKRSSLSVDQNQPPAACPT >OMO49910 pep supercontig:CCACVL1_1.0:contig16357:7613:9668:1 gene:CCACVL1_30759 transcript:OMO49910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSFKVFVEQELGKVPQFFIYAVLEWVMIFILFLDGFLAFLANEFANFFELPIPCLLCTRIDHVLVQRNADFYYNDSICQHHKKNVSSLAFCHAHKKISDIRSMCESCLLSFATEKESDCDTYKSLLGILHKDIELFVDEDHELHLSLPAGKNEGVHVLEKSNHHRCSCCGEPLKVKVKSSYTKGNNTSALAPAPTPRTPANPNFDLSHIKYSELKLNNSDESDHNEDNNDCSSSSRGISLEKPFREDAKAAAIPLLMDADDEDKTPNFIRGNKFFGIPLSDSATNSPRWTRIARKSGLDKTEFASESAHEAEGDILHHLKRQVRMDRKSLMALYMELDEERSASAVAANNAMAMITRLQAEKAAVQMEALQYQRMMEEQAEYDQEALQEMSSEIEAYRQKYGCLKESDFEAQGDESDDGYQVLKPPSSSSYNGRADCSSPTRTLNEASEKQQNQDNHFDSMPMQQQDEISSEPGGVSVKYTSDFSDDEDIDSMEEETVSTAPARSDETKADLLKTELSHIHNKIEALAADGGLLKHVTHLKDEMGSEGSKLLTEISHNLHRLQQFVTIIPGFEGEDA >OMP01046 pep supercontig:CCACVL1_1.0:contig06551:15831:16523:-1 gene:CCACVL1_03186 transcript:OMP01046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MERHIQRFLNKVSFVSFTIATLILVFLFLQTPETCIPPNAPIKPHLRFPKSTCDSSPRHYLPLPKKNARLWSSKTWINQVSSFTQFFIQLYHIGILKNHSKVLCVSAGAGHEVMALSKIGIEDVTGVELIESLPLVSRADPLNLPFFDGSFDIAFSGHFAEALYPSRYAEEMERTVRKGGVYLVVVDACGSEEVKEIVRLFKRSTLVGSSNVTMNGRRVTRIISRIRASN >OMO80617 pep supercontig:CCACVL1_1.0:contig10307:6408:7593:-1 gene:CCACVL1_12845 transcript:OMO80617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNTDLCYVFTCEAKWGAAPDVENAILEKLAKIQIELENMRADRAAILEKLAKIQTEIKNMRADPAVENVILEKLAKIQIELENMRACMPPTWQTILENTFLKRFLKEKVFNVPVMTLYLLSMGVVVSAASLVSSLFTLRVIKYEGNGRKMGAITEAAHVAEKSKATPPPPKQYAAKPSPLENEAAATAAEKSKATPPSSKPSEVKPSPLETEAAAEKSKATPPSSKPSVAKPSPLETQAAPAGEKSKATLPPPKPSAAKPSPLETRDGSTMGAPGARAPTQILQARA >OMO80618 pep supercontig:CCACVL1_1.0:contig10307:17081:20405:-1 gene:CCACVL1_12846 transcript:OMO80618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSSSYLRIFLLVFFFCYSSVQAANLPFHPRDVLPLLPKQVSWPILNYLSSAVDLLPSFVGSVSSPNNTVEWKGACFYENTAWMEFHNKSGSEFGGGTLHIKVSNAHSWTCMDLYVFATPYRVTWDYYFLSREHTLEIKEWADKAEYEYGISIFLMQAGMLGTLEALWDVFPLFTNTGWGEKSNIAFLEKHMGASFEERPQPWYTNISVDDVHSGDFLAISKIRGRWGGFETLEKWVSGAYAGHTAVCLKDSEGKLWVGESGHENEEGEDIIAVIPWDEWWEFELNKDDSDPHIAFLPLHPDIRAKFNETAAWEYALSMSGKPYGYHNMIFSWIDTIDENYPPPLDAHLVASVMTVWSKIQPEYAANMWNEALNKRLGTQNLDLPEILVEVEKRGSSFDELLTIPEQDNWTYSDGKSTSCIAFVLELYKEAGLFDPISDSVQVTEFTIKDAYSLKFFENNSSRLPKWCNDADNVELPYCQILGKYRMELPGYNSMDPYPHMNERCPSMPPKYYRPENC >OMO80622 pep supercontig:CCACVL1_1.0:contig10307:45583:50251:1 gene:CCACVL1_12850 transcript:OMO80622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLMKSLIEFLEFGLVFACTTCGLIDLALTTFYEPRELWRYSKILPSLLLLIWHKEKNPSTNPMPEHMNDIVAMNNVILSKASNLLHNANQTLNNREDQGCKRALVLYIREEVLLLQIFHPSTL >OMO80616 pep supercontig:CCACVL1_1.0:contig10307:2794:4508:1 gene:CCACVL1_12844 transcript:OMO80616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MNTIITVFLFLIPIFLLLTRRKRSSEKLPPGSLGLPVIGQSLSLLRAMRANTAEEWLRERIRKYGPISKMSLFGKPAVFIYGQAANKFVFQSDSSTIVNQQVKSIRAILGDRCILELIGEDHKRVRDALVSFLKPESLKEYVGKMDEEVRMHLEMHWHGKQQVKVLPLMKTLTFNIICTLLFGLERGPRREILVDDFQCMIEGMWSIPLNLPFTRYSRSLKASARAQKILQGLINEKRLALQQKKTASPRQDLISCMLSIYNDKNEQVISDKEIIHNVMLVMVAGYDTSSVLLTFLVRLLANDPAIYAAVLQEQELIAKSKANGEFLTWEDLAKMKYTWKVAMETLRLFPPIFGGFRKAVKDIEYDGYLIPKDWNIFWVTGMTQMDDTIFPEPSKFDPTRFENPASIPPYCFIPFGGGPRICPGYEFARIETLVSIHYLVTRFTWKLLCSDNFFCRDPMPVPTKGLPAQISPRKLL >OMO80619 pep supercontig:CCACVL1_1.0:contig10307:23130:24068:1 gene:CCACVL1_12847 transcript:OMO80619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kua-ubiquitin conjugating enzyme hybrid, localization MSLSPQHNSLRSLTYVPSCPRLCPIARVVTCSATTTKSRSSQNQLVIEPRLVSSPDLVTPNNIISNSGPPLPNDPTLQSTWSHRAWVASGCTTLLMSLAKAITGAADSHMWLEPIVAGYVGYVLADLLSGVYHWGIDNYGDASTPIFGSQIEGFQGHHKWPWTITRRQFANNLHALARSVTFAVLPIDILCNDPVVQGFVTACAGCIMFSQQFHAWSHGTKSKLPPLVVALQDASVLVSRSQHSAHHRPPYNSNYCIVSGVCNEFLDKNRVFEALEMVVFFKFGVRPRSWSEPGAEWTEEADETRPQPTVVH >OMO80615 pep supercontig:CCACVL1_1.0:contig10307:302:2036:1 gene:CCACVL1_12843 transcript:OMO80615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFCFTIPYGLILVAGGVIGYLKKGSTASLGGGVGTGLVLILAGYLSLKAFEKRKNSYLALVLETVTAAALTWVMGQRYYQTSKIMPAGIVAGLSALMTGFYLYKIATGGNHIPAKAE >OMO80623 pep supercontig:CCACVL1_1.0:contig10307:52076:52867:-1 gene:CCACVL1_12851 transcript:OMO80623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FREFYKEKEEWVLVNGNISRELVSFALCLRPSELVGFGSGCIQQYLPHRVAMQFGIDQDVPCHVNRSNETQEIAWNNYMRPIKYRKLYVPSRFFESDVTIRYLEWWKKLSMVVHQDVLKGIVRRKRSSRWRPKRIPWVKGKKGENEASVPPGFAPKLNKEENEAFQVPNNKGCATAGPFGHDKSSLASVQGLSNGEAAKIGSSKAQNEGTASANQSGVEYDKENISKFDESEATTLIASGLEARVTRLEIVAAELKAAFKLKK >OMO80620 pep supercontig:CCACVL1_1.0:contig10307:24465:33022:-1 gene:CCACVL1_12848 transcript:OMO80620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biopterin transport-related protein BT1 MESKNKNLVLSFVFLAVFLTPGVKSWTGEIHGRVVCDVCADSSIGPEDHVLEGAEVAVLCITKSGEVVNYQAFTNAKGIYTVAETMPESDRWDACLARPISSFHDRCNHLGEGSTGVKFTYNRPSVTTYNIRKRGFRSFVWTAVSYQLKDMLKLSPSASQFIFSIAFFPWSVKPIYGILSDCIPIRGKKRIPYLIIASVMSLVPWLILGSNTTSRSSTWHLVILLTVQNLGSAMADVVVDAMIAEAVRDEKASFAGDLQSVSWLAMAFGGVFGSLLGGYALTNLEIAIVFLLFSILPAIQLFSCGLVEENPINSGVLSELSEFSNSHDLNGKANHLDEDSSLERKSSVNARRRKKNQKKSKRRQVMPSKAQNSRKGKSLLGQWFQSLKTATYSLCRAFKQPIILRPMAWFFLAHVTVPNLSTVMFYYQTEFLKLEASFLGTARVIGWLGLMVGTFTYNQYLKKMKLRRILMFSHIGLAFLIVFDIVLVSRTNVAFGISDKTMVLWGSALSDAINQLKFMPFLILSGQLCPPGIEGTLFALFMSINNFGSTVGSFVGAGLASILNISSGSFDNLLLGIVFEEKKMRRRPGIGGLQTAAAARDQYRLLGENVAKLRTDLMKEQLATFRSQLEEFARKHKNDIRKNPAFRSQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIVEICLSTRPLNGGLIDLQELCKLLRQKRKYDREAVSEDDCLRAISKLKTLGSGFEVISAGKKKLVRSVPTELKKDHNEILELAQAQGYVTVDEVERRLSWTHGRAIDALDTLLEEGLAMIDDGHRDGKRRYWFPCVSSISSSFGADS >OMO80621 pep supercontig:CCACVL1_1.0:contig10307:35214:43052:-1 gene:CCACVL1_12849 transcript:OMO80621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPNRAPGILNDERKGEEIVVKAEQEETEETVTVKKKTKQHPEKGTKIKDVKRVDDGEGKEDEDKSNVENLCVKKEDNEEVGEFGGSVKGKLRPSKRKNYCLDHYYKRLEEEVEEGVSGKRRKSAVKQKKKLLKAEVEHEEENGEEGDSGEEDTDKEEEGKVETKRRESESEEDGEKEVKEGIRRNSSRGSNNTTKKFSNSLMCHQCQRNDSGRVVNCTKCYNKRYCIPCITRWYPKLSEKEIAASCPVCLGNCNCKSCLRLDDRLNVTKLKFSDDEKLQHSKYLLQGLLPYIRQFSQEQMAEKTMEARIQGLLPSEIELKQAACYQDERVYCNNCRTSIVDFHRTCPECNYDLCLICCSEIREGQVKGGGKEVIVRYVDRGSEYLHGKLDQSIVAKRRKPLDSPVKTDCSEHKELGSKWKANENGSIPCPLKDIGGCGEGLLELRCTFSENAVFKLVETSERIARDLNLENLPKLKKEQCPCYNSTAEAHLGEFKLRKAASREDSNDNHLYCPRAIDIRDGNLKHFQCHWARGEPVIVTKVLNNKYGLSWEPMVMWRAFRQISNTNYDQHLDVTAIDCLDWCEAKINIHDFFKGYTDGRFDKKGWPEIWKLKDWPPSNNFEEPLPRHHAEFIHSLPFKGYTHPQSGLLNLATKLPENSLKPDMGPKTYIAYGVAQELGRGDSVTKLHCDMSDAVNILMHTAEVKLDQEKLNIIEELKQMHHAQDQKELCGMAVQVEKEPSDEYCDSAGAVWDIFRREDVPKLKDYLRKHFKEFRHTYCSPVQQVVDPIHDQTLFLSSEHKKKLKKEYGIEPWTFIQKVGEAVFIPAGCPHQVRNIKSCIKVALDFVSPENTGACVALTEEFRLLPHGHRAKEDKLEIYKMILHAMRETVNYLDKKAKIELKLRPPSRKQA >OMP11495 pep supercontig:CCACVL1_1.0:contig01232:4640:6766:1 gene:CCACVL1_00486 transcript:OMP11495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Shikimate kinase MLQFSDGSSEVELRLKLDGHDVQSAKDIFVDADGSSLIVQVRKPGSLVTLIETNNLFDRIKPAETIWYIDDDQLVINFKKQDPDLKWPDIVESWESLSTGSMQLLKGTSIYIVGDSTEINQKVARELAVALGYTPLDTKELLETFTKQNIDSWVLAEGSDSVADAESAVLESLSTHVRAVVATLGGSHGAAGRTDKWRHLYSGFTVWVSQTEAIDENSAKEEARKHMQDGSVGYSNADVVVKLQGWDADHAKSVAQACLSALKQSILSDKNLPGKKSLYIRLGCRGDWPNIKPPGWDPSSSSTATDVPPATLES >OMP11496 pep supercontig:CCACVL1_1.0:contig01232:7980:9580:-1 gene:CCACVL1_00487 transcript:OMP11496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLCPGSRQCLPSSEDVDSGVDDLQSPKYYIIWNMNISTHVYPEFVVSFKLSSNAKGHLNGRETNNAVSGVTASSPGPQGCLPVVSSAGELGSINHRTSDSGGSQENDPSLGSNTSKTPKSPWMPFPMLFAAISNKIARTDMDQIAIHYELFRNKKISRPEFVKKLRLIVGDDLLRTTITSLQRKVPSANEVEEAKQKIIKCPGNV >OMP11681 pep supercontig:CCACVL1_1.0:contig01035:749:2299:1 gene:CCACVL1_00345 transcript:OMP11681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFEKSRQGIGSGTIATLPGVLDFIRPSVTDFVRTISNGALRGGLLTLQRFYRAKGFISSCNLSDAALGHDKIPISNESLNPQQLNVDSDKDGGKEMEDSATVTTSRESFEPNKGVNVEFHSTKAESQNLQTNESGEGGFECSKGGKLSLSPIHTSVSIEDGFLVLLGDLSNANLLFNWGQALQFFPRPSTSWDHTQNSKLDVLCLEDAPSPNYAEATVESDFQDDHLQETYSDSSNGLGSPCISIHYRSTEEINMILAKEMNYKEVFYEIGSNLDPFDSDVNDYEEVTSVELGLLDEPIAHIESPIDLAIVPSSNDCVRDNTFALDARSPSTPSSMQHFDCSDTFKDLNWNKHRKKKKRTKHNWSRKKKAL >OMP11682 pep supercontig:CCACVL1_1.0:contig01035:2589:2687:-1 gene:CCACVL1_00346 transcript:OMP11682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKKPSRLEAEQNRIKGSQQNKDRKVEPQKKQQ >OMO61506 pep supercontig:CCACVL1_1.0:contig13459:10180:14938:-1 gene:CCACVL1_23461 transcript:OMO61506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAVHQFAQCITCHAWSPDRSMVAFCPNNNEVHIYKLLEDKWEKVHVLQKHDQIVSGIDWSGRSNRIVTVSHDRNSYVWNQEGPEWVPTLVILRLNRAALCVQWSPKENKFAVGSGAKTVCICYYEQENNWWVSKLIRKKHDSSVTGVAWHPNNILLATTSTDGKCRVFSTFIKGVDTRESKSGSSSDSKFGEQIVQLDLSYSWAFGVKWSPSGNTLSYVGHNSMIYFVDDVGPSPLAQNVAFRNLPLRDVLFVSEKMVIGVGFDCNPMVFAADESGIWSFIRFLGEKKASSSSSKYGSQFSEAFGKLYGQSKHGLSNDTVEPSRAQGVVHENCINCIVPLTKEGFRTKRFSTSGLDGKIVIWDLENQDLSDNF >OMO61507 pep supercontig:CCACVL1_1.0:contig13459:15912:24287:-1 gene:CCACVL1_23462 transcript:OMO61507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRADFTTTPKLLLLVNLASSFIALLWLSTFGFAAATPLLHSEEVKALKAIGKKMGKKDWDFKVDPCSGKGNWIVEGDEETGFASNVTCNCSFNNNTTCHVVTIFLTAMNISATLPPEFSKFPHLKVLDLSRNYFTGSIPKEWATMKLDMLSFMGNRLSGPFPKVLTNITSLTNLSVEGNNFSGPIPPEIGKLINLQKLVLSSNALSGELPAELAKLVNLTDIRFSDNNFSGKIPDFISNWKQIQKLQFQGCSLEGPIPSSISALTSLSDLRISDLKGKGSPFPPLRNYDSLKTLILRNCKIHGEIPEYIGDMKKLKTLDLSYNNLTGEIPSSFYKLTKADFLYLTRNQLTGSVPEWILERNKNADISFNNFTWDTSSPIECPRGSVNLVESYSTPTNKLSKVPSCLKQNFPCSASSSQHKYSLHINCGGQELNVNGDAKYEADMEPRGASMFYLGHNWALSSTGNFMDNDIDADDYIVTNTSALSNVSATHSELYTTARVSPLSLTYYGLCLGNGNYTVNLHFAEIIYINDRSFYSLGKRIFDVYIQGELVLKDFNIQDEAGGSGKPIVKNFTAVVTRNTLKIHLYWAGRGTTGIPARGMYGPIISAISVVPNFKPPTVTGKKNYLIIAAGAASAAILIVLMVLGIIWRKGWLGGKISAENELKGLDLQTGIFSLRQIKAATNNFDAENKIGEGGFGSVYKGFLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCAEGNQLLLVYEYMENNCVSRAIFGKGSTPKLKLDWSTRRNICLGIASGLAYLHEESRIKIVHRDIKTSNVLLDKNLNAKISDFGLAKLNDDDKTHISTRIAGTIGYMAPEYAMRGYLTSKADVYSFGVVALEIVSGKSNTNYRPTDDFVYLLDWAYVLRERGSLLELVDPELGSEYSSEEAMVMLNVALLCTNAAPTLRPTMSQVVSMLEGRTAVQDILSDPGFSSMNSKFKALVNHFWQNPSQTMSLSSNGPNTDSSSSNIEDIEENSHLLRVSSVQSEV >OMO61508 pep supercontig:CCACVL1_1.0:contig13459:33052:33870:-1 gene:CCACVL1_23463 transcript:OMO61508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLRYSESSDKHVTSSPRFMVWQKVRTKRNFTRRRHFPLLGEGLEFQMDGEMDPDMFQWFMEFLLHEPVDLMLMKTRIESAPPLDGNPRPKKILLLLSIQFKVSSGNISEEILDHLEMIERLDRSQCLRITDSMIRAYCAVALECTAKYLPGDLQRNGKYLDAVNRIWKGRIESLEKSKESKLVTTEELRGRRRQLEAAVEDEEVANVLIGTSTYLDAMIMIRAYLRETKALMGISSLERECESFLSRKIYVWDSSNRSRLEFHEAEMKKR >OMO99272 pep supercontig:CCACVL1_1.0:contig06921:5860:12088:-1 gene:CCACVL1_03874 transcript:OMO99272 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding transcription factor, subunit B MELLPLVGELANLQELDLDQTQIMFLPREIGKLLAFYTFASIVLSNMRIDVDPADKRWDESVEIVGKFTVGLIKRRLISRVPQEGEAEFRNWDKCLKFVNGENIPIEIKGVLKYSNSFFLDRHATAKNLSEFGMENMKRLNYCLLAECNKMETIIDEGNNDINDQSETHDPNSTENVVLQSLEHLRIYYMENLGSIWKWPTRYGCMCNLKFLAMHSCPQLSNVLCPALLENLVNLEELILEDCPRVTSLVSHPSVKPIILPNKFLPSLKRLMLLYLPELVSISNGLPIAPKLESIGFYNCPNLKSISKMELSSKTLKMIKGELWWWEDMKWNETEWGNRPDYLMHIFSPINNKEDVMTQMAGDRVLHELDYMEERINGTNLKQSTPCWTSQLMGSHQRAEVPLPSSAIDDRACVNAKQYLEVLSHGQACAEFQLQNKLVKKRKPLLHKSRHLHEMNRATGSAGRFLMNKKENENEQNDAASGDKSEFDISKNLAKNDLASPSSSSGDKSQSNITSNSAINEPALDLSTTASTPWSSRVMHSPDLAQGCDVTSESERSFKDNVMRHHMQIEMNNSCGSVSKTQNEGRWQSFPGIIVSDPHLLPDHAGEDSNKVSGWPIISGFPNSELYNYSAFNPVEKAKQSETVPSYKLFGVELINQSSSSTPMENTTTQLSTITTSHSFLHHMHMIGLSMRKMVIMMTMMMVTLGDDVTLTATMMIRSVNLRKLKKKMKRILRKMDVAVTLLMMMMENNDKNLAGTKRKMLVKLVIVKTSKKLKKACAFGLSNSNQCYIMELNFPSCVTKNPSLKDLCTVLVVANSVLQTRNYIRLVDLLKSLVGDSQEGQSTQRPPLFDGTNYQYWKNRMSVNMRAHDYEMWMVTIKGPFTTIEKDKNGNEVEKDSENWTQEYIRKVQANFKAINTIHYALNATEYNRISTCETAKQIWDKLQDTHEGTSQERELKNDEDEEKKVAEQKGLLVHKVSALEEETEQVKMKAQKGTKRKRRLKLLPLLLSEKVVTLNEKQMPTYVSW >OMO73984 pep supercontig:CCACVL1_1.0:contig11167:11443:12975:1 gene:CCACVL1_17034 transcript:OMO73984 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGSTDKPLVHVFLVSFPGQGHVNPLLRLGKRLASKGLLVTLSTTEGFGKQMAKVNNITDEPARFGDGFIRFEFFKDGLDEDDSRRGSLDQYMAQLELVGREEVSRMIKRYEEQNRPVSCLINNPFIPWASDVAESLNIPSAMLWVQSCASFAAYYHYNNGSVPFPTETDPEIDVQLPAMPLLKHDEIPSFLHPSTPYGVLGKAILGQFKNLNKPFCVLMDTFQELEHELVEYMSKFCPVRTVGPLFMDPSPKVITNTVRCDMMKADDVCIEWLDSKPPSSVVYISFGSIVHLKQEQVDELAHALLNTGLSFLWVMKPPLPGLGLQLHTSPEGFLEKVGDKGKIVNWSPQEKVLTHPSVSCFLSHCGWNSTTEALSSGMPIIAFPQWGDQVTDAVYLVDVFKTGVRMCRGEAEGRIIPREEVEKCLREATGGPKAVEMKENALKWKEAAEAAVADGGSSDRNIQDFIDEVKRRSTKVDYAAATNFPTKSSTTAELTSDQNPANGEVGIGES >OMO73989 pep supercontig:CCACVL1_1.0:contig11167:37223:37695:-1 gene:CCACVL1_17040 transcript:OMO73989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLTGKTEETKQKASETVEKTKQMASETPEKTRQKALETADKTKEKFGETTESTRQKMGEMKLGIEDMAERKGYGARLGHEASGQGGATERIVIKVEDTQNISFFGKRQTVWVPIFVPVSCS >OMO73987 pep supercontig:CCACVL1_1.0:contig11167:29462:30091:-1 gene:CCACVL1_17038 transcript:OMO73987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTQAVEYALTDNTGNSGGGDRFRNDIGVDYSLQTMSAATEFIWRIFQQDNAADRKDVPRVTLIIENGDGVAFAINNEIHVNANYLGNYTGDLTAEFTGVLYHEMTHIWQWNGNGQTPGGLIEGIADFVRLRANYIPSHWVQPGQGNRWDQGYDVTARFLDYCNGLRNGFVAELNKKMRTGYSADYFVDLLGKTVDQLWSDYKAQYGN >OMO73988 pep supercontig:CCACVL1_1.0:contig11167:33039:33731:-1 gene:CCACVL1_17039 transcript:OMO73988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHSLIFICMLISLAAMMQGTQAVEYVVTDNTGNSGGGVRYRDEISDDYSLQTMSDATEFIWDIFEQDNAADRKDVPKVTLIIENGVGVAFTRRNLNEIHVNANYLGNYTAGDVTDEFTGVLYHEMTHVWQWFGNDQAPSGLIEGIADFVRLRAEYIPSHWVQPGQGNRWDQGYDVTARFLDYCDGLRDGFVAELNKLMRTGYSADFFVQLLGKTVDQLWSDYKAKYGN >OMO73983 pep supercontig:CCACVL1_1.0:contig11167:3881:5320:1 gene:CCACVL1_17033 transcript:OMO73983 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MALKEEKQEEIHVLMVTAPSQGHINPMLRLGKRLLDKGVHVSLATTLFGGHRMLKASIITSISGPNVISGIPILFFSDGVSLDYDRSGNLDYFMETLAKTGPFNLSKLIKDYYHDAEKEAIESMAQLCPIRPVGPLVPPSLLDQNDVLDGGISMWKADETSLEWLNNQEPSSVIYVAFGSSSLLYLPAKLMEAIATALKNSNRPFLWVVKQSEFPRADGAGQLPLGFEEETKHQGLIVPWSPQTKVLAHPSIACFITHCGWNSMSETLAAGVPVIALPQWTDQPTNAKLFVDVFKVGLRLNPSPDGGIVKEELEKCIREVLSGPKSEEFKKNVVRLKQAARAAVASGGSSDRNIQLFVDEISGNLPR >OMO73981 pep supercontig:CCACVL1_1.0:contig11167:129:368:1 gene:CCACVL1_17031 transcript:OMO73981 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucoronosyl/UDP-glucosyltransferase MALKEEKQEEIHVLMVTLPAQGHINPMLRLGKRLVDKGVHVSLATTLFGGHRMLKASTITSITGPNFIPESQFSFSPTG >OMO73982 pep supercontig:CCACVL1_1.0:contig11167:833:1574:1 gene:CCACVL1_17032 transcript:OMO73982 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAELCPIRPVGPLVPPSLLGEEHQNDVVDGGISMWKADETSLEWLNNQLPASVIYVSFGSLLYLPAKLMEAIATALKNSNRPFLWVVKQPEFPLSDGAAGIPVIAFPQWTDQPTNAKLIVDVFKVGLRVLNSSHPDGGNVFVKEEQEKCITKILCGPKSEELKKNALKLKQAAHEAVSSGGSSDWNIQLFVDEISGN >OMO73985 pep supercontig:CCACVL1_1.0:contig11167:15493:19374:-1 gene:CCACVL1_17036 transcript:OMO73985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MAYVRPLLQPLLILFLSFSLSDGYFTGNSSDLRRLSASDSLCAQLIEPNGYSCTEHSVETKDGYILALQRVSSGSGDLRVQRGHPVLLQHGLFMGGDAWFLDSTEQSLGFILADQGFDVWVANVRGTRWSHGHVSLLETEKEFWEWSWQELALYDLAEMLHYIKTATGSKVFMVGHSQGTIMSLAALTQPDIVEMVEAAALLCPISYLDHVTAPLVLRMVAMHLDQMVLALGLHQLNFRSDVLINLVESLCDGHVDCSDFLASITGPNCCYNKTRLNYFLEYEPHPSSVKNLRHLFQMIRKGTFSQYDYGILKNLLKYGQLKPPAFDLSNIPKSLPLWMGYGGTDELADLTDVQHTLDELPSKPELLYLENYGHIDFLLSVKANRDVYDHMIRFFRSLEKSTSSY >OMO73986 pep supercontig:CCACVL1_1.0:contig11167:21378:24859:1 gene:CCACVL1_17037 transcript:OMO73986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoglycoside phosphotransferase MAFSEFRPLDEKSLIEYIKATPSLSSKLGDKFDDLVIKEVGDGNLNFVYIVLGASGSFVIKQALPYIRCIGESWPMTKERAYFEAVALKEHGGLCPEHVPEVYHFDRTMSLIGMRYLEPPHIILRKGLIAGIEYPLLAEHMSEYMAKTLFFTSLLYRSTTEHKRAVAEFCGNLELCRLTEQVVFSDPYKVSEYNRWTSPYLDRDAEAVREDNILKLEVAELKFKFCERAQALIHGDLHTGSVMVTQVSTQVIDPEFAFYAPMGFDIGAFIGNLILAFFAQDGHADQKNDRKAYKEWILKTIEDTWNLFHQKFTALWDKHKDGSGEAYLPAIYNNPELQKLIKDKYMRELFHDTLGFGAAKMIRRIVGVAHVEDFESIKEPSIRADCERRALEVGKTLLKKRREFLSISEVILAIRHVQS >OMO94176 pep supercontig:CCACVL1_1.0:contig07948:19178:22332:-1 gene:CCACVL1_06127 transcript:OMO94176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRGYSILTLALAAVFSASSAISFYICRKKWVESDLKVKELQKSLNSALEKCAAERQGRIRAQQALRKAVVAQPKYDNLETTAYPMAPIGIIQSCFSTRNGTPRQPLLVPLARACLVFDSARVPPASLEGLEEYSHCWIIYVFHLNTDLEKLWKHPSQSKFKAKVRVPRLKGGRMGVFATRSPHRPCPIGLTVAKVEAVQGNMLLLSGVDLVDGTPVLDIKPYLPYCDSIEGATVPKWVMVDSMLSVASVSFSDDLSSSLVDCWKAAEKRSLYSSPNEIKTLVEQVLSWDIRSVSQRNKPHDTLTKFGNGDSTSDLGDFQDGEAYGNGTEVAASGEIIYHLTLEGMDFSYKIDCNGNVIVEKVQLSSGIASGNQKRCSYLMWKDRLN >OMO94175 pep supercontig:CCACVL1_1.0:contig07948:15632:18549:1 gene:CCACVL1_06126 transcript:OMO94175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPLVGTILCVLLLSSFLVFLLFSVSGFSVFHHSFPGPETSSKSSSVILDTRLLLQSFPDLLPRYRKDTTSIPASFQKKKGHSITGPLLEEFTFPAGSAPFNNCHASTIVEVGKGHFLVAYFGGSSEGAPDVKIWLQKYKGGRWYPPIVMDEEPNVPMWNPVLFKLPSDELLLFYKVGLDVQKWSGCMKRSYDKGVTWTQREQLPPGILGPIKNKPLLLANRLLLCGSSVESWNSWGAWVEVTPDAGRTWKKYGPIYVKNETLSVIQPVPYLTAKGTLRLLMRSYNTTSRVCMAESFDGAENWGYAIPTELPNPNSGIDGVKLRDGRLLLAYNTISRGVLKVSLSKDDGDSWQDTLTLEETKGIEFSYPAVIQANDGLVHITYTYNRTQIKHVVLQPN >OMO64492 pep supercontig:CCACVL1_1.0:contig12821:3194:3382:-1 gene:CCACVL1_21710 transcript:OMO64492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKANNTRPIARQIAEGGRVTFGFPAAAQQKSNTEMRPSKSGMTNSRNSDQAHFTRSANQNR >OMO64495 pep supercontig:CCACVL1_1.0:contig12821:17851:20501:-1 gene:CCACVL1_21713 transcript:OMO64495 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MVRRSYNKICRLQNPDGSWVEDLNDLKTMLQSHFSARFCTSHEFESLSLGGITDRIVRLKDDQVMNLIEPLSEIEIKKVVWSFKAHKAPGSDGFHPFFYHRCWGIIGAKLCSTILNILEDACMPDSINGTLISLIPKVANPIKIDQFRHMSLCDFPYKVVTKILVNRLKPYLDDLISPFQASFVPGRMARDNAIIVQEIIHSFNRKRGKAGYMAIKLDLDKAYDKLEWGFIRNVLFSFNFPQKWIDLIMSCVSSSTISVLLNGVTLEGFKPSRGIRQGDPMSPYLFILCMEILSLNILEAVDNGDWKPVKISRSNPLFSHLIFADDIYLFGRADPATAQSMRNTVQKFCLDSGQMINLDKSKVFFSPNTKAEDRLSLLSILGIGETSNLGRFLGYPMHHGRVSKDDYSFILDKLKGKLTGWKANILSPAARLVLCKSTLESIPSYFMQNRLLPSRICSEIDRTCRNFIWNDSEQKKKIHLLRWEEVTKPKNLGGRGGLASEMSELGTLRTIIKGPLNKGEDFLLVANFFANNSVISFVLPSFVWQAIRAILRQLENNGQDTPCWQLSKNGQYTIGSAYNLIRNLPREDQYPPNWRWIWKLNIVPKLKFFIWECPHAILPTRAWLSHRGLEGPTHCVLCNQAVESIQDLFKECSFAVSIWSRVGLGSSNLDFELWFRNLVSDKSFFQVHRVPRGLIATHIIWVIWKTRNRAIFQGSIIPVSWQPPGPGWLKLNTDGSSLGNPGLARAGTVIRDHEGGWVRGSVRQLGICSNYLAELWALRDGLTTCHLTWSKKVNY >OMO64494 pep supercontig:CCACVL1_1.0:contig12821:14532:14672:-1 gene:CCACVL1_21712 transcript:OMO64494 gene_biotype:protein_coding transcript_biotype:protein_coding description:CD9 antigen, b MAHANGRGKKKAKVKGVKENSSPQIRSMLPALHFDLQYCKPTSIKP >OMO64493 pep supercontig:CCACVL1_1.0:contig12821:6101:6241:-1 gene:CCACVL1_21711 transcript:OMO64493 gene_biotype:protein_coding transcript_biotype:protein_coding description:tumor suppressor candidate 2 MAQANGRGKKKAKVKVVKKNISPQIRSMLPALHFDLHYCKPTSTKP >OMO72013 pep supercontig:CCACVL1_1.0:contig11517:9890:10423:1 gene:CCACVL1_17984 transcript:OMO72013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGIALAEAVDKVHNEHSNLDLKNGELSRNLKESQEQLGKALASCTSLQKENNGLKLEMEKMNEELKSVIREKDEAVTCNKQEVEEAKKQAMTEYKSSPNFSADSTRFHTEALKIGFSLCLEKVRLDFPKIKFPDYSCLEVAKEAHSKCLEVAKEAHNKSSDSGESSGGEEEEEKN >OMO72015 pep supercontig:CCACVL1_1.0:contig11517:12860:13703:1 gene:CCACVL1_17986 transcript:OMO72015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKPNCQYLPESGILPKSPLLILELPPRNIIRRANQNSSDDSEDDEELALEDEDIRAYLLAEGEEKTDDEREHNEPRLEDDHDFDLGT >OMO72014 pep supercontig:CCACVL1_1.0:contig11517:12093:12554:-1 gene:CCACVL1_17985 transcript:OMO72014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKSLLGALLASSYEPNAPAKEKKKKRHRDKSDSKSKEKCSSKSKDASEPEPKRAKESHSKEGKTSDGTSPVVNTVGDIGVGVVVGEASSSLPDFAKLRQKDLGVWRESLGLDEDGHPQNRISITLPLSKNDSAYDSGANAYPIPNLIPMSR >OMO66815 pep supercontig:CCACVL1_1.0:contig12515:77324:78373:1 gene:CCACVL1_20981 transcript:OMO66815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSEIIKSRVAGHGRSWLRIGIFTILALCGQTSAVMLTRLYYVKGGNSNWVATLVQILVGFKYLPVSTMTLILASQLAFNAFFSYFLNSQNFTPFITNSLVLLTTSSLLLVESDSDQISGENYATGFICTIFAAATCALLYASQQLAFRKFLKRKTFEVVMDVLIYRSLVAAIVILMFFFGTVALIFEVSSLFSNVIGSLGLPINPIIAMFVFNDKMDYMKGISMGLAIWGVISYVYQQYLDHGKLNAENKNGNEVSNA >OMO66817 pep supercontig:CCACVL1_1.0:contig12515:101377:106457:1 gene:CCACVL1_20983 transcript:OMO66817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNKSWAQQTEESYQLQLALALRVSSLAASASDSNFLDFSPDSINNRIASFPLSSSQDVSHRFWVNGSLSYFDKIPDGFYLIHGMDPYAWSISADQGEIGRMPSLESLKSIDLNDDTSINVVLIDKLRDPTLKELQNWVLSISSSWVSTKDVIDQLACLVCNRMGGTASSEEGLYRQWKECTKVLKGCLGSIVFPIGSLSFGLCVHRVLLFKVLADLVNLPCRITKGCKCCQREDASSCLVQLGLDREYLVDLFEEPGALSRPDSSVNGASSILVSSPLCHPRFKLVESATSIRTLAKLYFVGDQYHKHAFGDPFSDNASHEDEQTGRQLTKGFDMNFFNKNNHISTFSKENKCSLSPHQRTEWHIYCDQELLNQNSSNSNLLPKAIGSAHPARSPLFPSCVPSGVLKDAYVALASSDPRQSSVNCAPFKQPNQSVLSINDQEDLYIPWSELDLKEEIGAGSFGTVHRAEFRGCEVAVKILIEQDFHAERFREFLREVAIMKRLRHPNIVLFMGAVTQPPRLSIVTEYLSRGSLFRLLQMPDVGLILDERRRLNMALDVARGMNYLHQLKPPIVHRDLKSPNLLVDSNYTVKSR >OMO66812 pep supercontig:CCACVL1_1.0:contig12515:44079:46405:-1 gene:CCACVL1_20978 transcript:OMO66812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L9 MAYMHYGRNALRQIVKDVNVHNHDRLMPPLLYAGQGVRYRKLEVILTTSIDKLGKSGETVKVAAGYFRNHLMPKLLAVPNIDKYAYLIREQRKIYQPVEQEFQVVKKTDKDMTKEYEKAANRLVNSRLVLRRLIDAAKFRTRATKDDPVELRTPVTKDELVAEVARQLCVHIEPENIHLPTPLSTFGEFEVPLRLPKSIPLPDGKVHWTLQVKIREEPSSFQLAKRDNGILLISNVFFFGELLISNV >OMO66810 pep supercontig:CCACVL1_1.0:contig12515:25241:36986:1 gene:CCACVL1_20976 transcript:OMO66810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl serine synthase MESNAHRRVRRRDHLAQENGDTELSCSIDELDPWTAWAYRPRTISLLFIGACFLIWASGALDPESSESGDLVTSVKRGVWAMIAVFLAYCLLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRVYIPENPSSRFKNVYDTLFDEFVLAHIFGWWGKAILIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIILDILTCNWFGIWAGMHTVRYFDGKTYEWVGISRQPNIISKVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLSLCVVFLTVELNTFFLKFCLWIPPRNPVIIYRLILWWLIAIPTIREYNSYLQDRKPVKKVGAFCWLSIAICIVELLICIKFGHVAIALNSSNFGIPIHQIAEMGSEAPLPKWASTPCIMGIDEAGRGPVLGPMVYGCLYCARSYQKTLATLKFADSKTLKEEQREELFDNLKADESLGWSVDVIDPRELSAKMLKKNKVNLNEISHDSAIGLIKRVLNMGVLLTEVYLDTVGDADKYRIKLSEIFPSIKFVVAKKADSLYPVVSGASIVAKVTRDKVLREWVLEETAENMHRSFGSGYPGDPETKAWLNHHKHSVFGFPSLVRFSWGTCTPYYKDMVEVLWESDKVDEDVPNGSSGKQLKLRNVGFTTSKRKSEDVESSAKGRYVNSIDLDNSFLMFTVCTDIQRMIFNQS >OMO66814 pep supercontig:CCACVL1_1.0:contig12515:70133:70887:1 gene:CCACVL1_20980 transcript:OMO66814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREVREYTNLSDPKDKKWGKGKDKLDDEDIAFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKISFSVQLN >OMO66813 pep supercontig:CCACVL1_1.0:contig12515:47100:55884:1 gene:CCACVL1_20979 transcript:OMO66813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicastrin MAMKFLFVAVLFYFQFHLSLSGEMNSMESVPDLQKSMYMVVDGYPCVRLINISGEIGCSNPGRDKVVAPIVEYKNTKDLTQPSAVLLSMDDVEGFFSRISKDSVFASNVGGVLVKSEDGIVNKLKGFSPAQKFPQAQFAPYANTTYEWNPIGSGIMWKSYNFPVFLLSESSTSTLQEIATKTDKTDKAYITNVAEFDLVMQTTKVGTHDSESCLREETCLPLGGYSVWSALPPINSSSSNQSKPIILTVASMDAASFFRDKSLGAESPISGVISLLAAVDALSHMDGLEDLTKQLVFLVFTGEAWGYLGSRRFLLELDQQSDAVHGLDSTLIELVMEIGSTGWGLSQGNKTFFAHTEVVSSGANEVLDALKLAQESLKSEGVTIATASSSNPGIPPSSLMAFLRKNSSTSGIVLEDFDTIFSNKFYQSHLDDTSNINSSSIVAAASLVARTLYVLASNSKDLTSSSLESINVNATLVEELISCMLDCNPGLSCELVKSYISSKDTCPSHYVGVVLGEPSSTPYPDQVDDISRFLWNFLADRTSIPKGNTSVCSQDCGKKGGVCIRAETDQKGVCVISTTRYVPAYSTRLKFDSGTWTLLPPNSSDPMGMLDPVWTESNWNTIGLRVYTVQDAAYDRLVLLSGITVTAFAYLAIVLTRAYVIKALKQD >OMO66816 pep supercontig:CCACVL1_1.0:contig12515:88308:95772:1 gene:CCACVL1_20982 transcript:OMO66816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLNMSDAMADGHKKEKYMKVEADGLQALNLMQLRLRASQRKARPIASMNLSRFQKENLQLK >OMO66811 pep supercontig:CCACVL1_1.0:contig12515:41498:43028:1 gene:CCACVL1_20977 transcript:OMO66811 gene_biotype:protein_coding transcript_biotype:protein_coding description:GINS complex, subunit Psf3 MANYYNIDDILTEEEFVPVVFHKAANGVLIDPSSETNSVEQGAKVELPLWLAQELCLRQAVSISAPACFNQKTRLEIQADAACVDLRSRSPYFYEFGCKIAPLVGDKTVEVLLLSAFKIRYKEILTKAYTAAHTSTLKFLTLLTKEETNLYEAAQSSMAAFKKWRKGGPRLQRASVLGRKRKPIE >OMP12199 pep supercontig:CCACVL1_1.0:contig00289:7599:7676:1 gene:CCACVL1_00078 transcript:OMP12199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLETSMIRMEIVHIFELPKVPGIWS >OMO50539 pep supercontig:CCACVL1_1.0:contig16137:16091:16351:1 gene:CCACVL1_30381 transcript:OMO50539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIWWARSSSRTIANHIRKCMNYKGGFMEGAVANQSCWSLLSLSHRGRPVSLPWNRGTALCSVQRFKSTVAETQLDLYSSSESDEEQ >OMO62472 pep supercontig:CCACVL1_1.0:contig13261:22103:22558:1 gene:CCACVL1_22809 transcript:OMO62472 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane lipoprotein MMMMMKGAFLVLVLAFSATLFEQGNASDDAFADSKWGFRGFPHRPEFPWRRHWPAFPPHPAHDVKPPEEVTKCAGAFKAAAVCRKPPIGAECCTALQTVQADCGGIKFLDPVLKAHCSSDAAPAPPADDAPAPPANDTPAPPANDAPAPPC >OMO62468 pep supercontig:CCACVL1_1.0:contig13261:890:2587:-1 gene:CCACVL1_22805 transcript:OMO62468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEVVNGEEGGYNSSDRSSELSLAEEVGDNLSDISSELSTNRNFNDDVERSQKGKGENSESSRINLQQRVLNVAPLNCIPYNGPPNATDTSSLPTQDKAAAEAAETIGPAIIYLPPNATREELDNIMAVTKTGIAYTGALVKDMLGPVVGLMDMGELPDSYYFRVNLPGVSMDKKDFNCDIQPDGSVRLRGVSLTGEKIVCKNFQIFHMVTQNLCPPGEFTVSLQLPGPVKPQEVTTLFEGGIFEAIVKKA >OMO62471 pep supercontig:CCACVL1_1.0:contig13261:15668:20119:-1 gene:CCACVL1_22808 transcript:OMO62471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNAPSSSDRTRVSWTPTMERYFIDLMLDQMHRGNRLGHTFNKQAWTDMLTIFNAKFGCKYDRDTLKSHYTNLWKQYNDVKNLLEQNGFAWDDARKLVVAPPHVWDAYVKAQPEAQVYRNRTLMNFNDLCLIYAYTQADGRYSRSSHDIDFDDDAQGVNFGVGSIMPLASDERPKIEWTAAMDQYFIELMLGNLNQGNKSKNAFNKQAWNDMLASFNAKFCFQLGKGFLRRRYRKLLKQYTDVQNLLQQKEFSWDERQQMVVADDLVWDNYIMAHPDARTYRNKKMLNYQDLRLIYGNARNNGSSSHMNQGSNTGPKDLPAWTGVENNGHRSDRGQILGRDWTSAMNCYLTDLLFNQALGGNKIGHSFVPEAWSEMVAMFNLRFGCNYDEDALKSQARHLRRQYNDIKILLEQNRFSWDATREMVIAEGYVWDAYIKEHPYIQSYRNKSMPDYHKLCVIFGQESSNGRCSMGQSVDFEDEDPDLIIGDDTQFHASSSCSRTDWTPSMDRHLIDLLLEHVHRGNRNNGALDTEVLMDIALSFMETFGLQPDEELLRNHHKSLGKQYRDMKKLLDQRVFSWNERHQMVTADDDVWDTFIKEDPDLGSYRNISKPNYSHLCLIYGNSTDGKHWRSSRDASCNGYGTKPNNGYCVRTDWIPSMDRYFIDLMLEQVRHGSMLGQDFNRFAWADMVAKFSSEFGRQYDKDVLKSRFINLRKRFNDMKCLLGHDGFAWNEMQQMIIADDNLWSTYLKEHPDARSYRNRTLPNYNDLFLIYGNASINGWHLETENYTGEEEDESPTTSSPTRIHGTGSMGIQSEIENDTLDMGFNDIFGDLQCLAAEFEIPDQKKRRKTDASSIPASRKAPITSQETLHAVDERLIGVKTSFNDEDQYPSSIENIVDALQAIPGMDDVLFLDASKLLEDEQNAQMFLEMDVRQRRKWLLRKLRR >OMO62470 pep supercontig:CCACVL1_1.0:contig13261:9437:14945:-1 gene:CCACVL1_22807 transcript:OMO62470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CBF/NF-Y/archaeal histone MAFSFPSFLKSTVLSLTLLASLCFFFFLQFPTKPPVIPKRSLISSSSCYSQHSSDNSIINYLSLHYCLFNGKFSLSIPSLALLILLHFYILIKTAQSHFSIVTTKLSSLLNLSPSMAAVTLLALGNGAPDVFASLHAVRTGQYRTGFGAILSAGTFVSAFVVGFVAIYAAPFGVKPAPFIRDVLFYLIGALFLFYVYLSGEIFVWQAVGFVGFYLFFVGFVFWMDFGTEGEKEGKPVLEKEMVTEDCRLLDKDCEIGNVEMSLKADKEAFGVAGVYPMISKAWEVPISILLKLTIPQTSPSEWNRFYISANIALCPLALLYACNSFMPLDHPIVFLLPNTRFPLWFVVLFASFSLALLHFILEKEPPKSEQMPVVVVAFVMSVFWISTIAGELLNCLSALGSILKLPPALLGLTVLAWGNSVGDLVADVAVAKAGHPAMAMAGCFAGPMFNMLVGLGTALVVLNLPIKLQYSLLKIGMDQQGHGQPPPMGMIGTGAQVPYGTNPYQNQVTGGPSPGSVVTSVGAIQSTSQPTGAQIAQHQLAFQQNLHQQQQQLQQQLQAFWASQYNEIEKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPADALSYYMPPQHAPPQVGTPGMIMGKPVIDPTMYAQQSHPYMAQQMWPQGPEQQQSSSDH >OMO62469 pep supercontig:CCACVL1_1.0:contig13261:6998:8388:1 gene:CCACVL1_22806 transcript:OMO62469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSKANDSQAITGLRSKKVPNVVMPNKDQRFLLYFIFGTYFGPDLKGKKSHKSVLQRKSEGLPPYSSGDLVGSHMEMIQLERIYYYILRKATKSLAVKSPLLDQFLKGNLPTAPGDESAAKYPQFPNLFPMELHCHSQSKNQCNIIENIVFIHNPEIGYIRIEHIARFGRLTGLEDFALDRDAAMLHGSDENDNVNSVIVQDGEPAGDLSHLGSSPRVRKIKRVQDVLQREDQHVRIPPVMKSAATSSTDAALTNKAPVLAKNEAYTGKKDDAAMLFFPSPPSREEMANIVAATRKGAALMGTAAMGKVGPIVGLMDIGECEDAYMFRVSLPGVKRDESEFSCEVEDDGKVLIRGVTTTGEKTVYRFSQTFEMLSQNLCPPGHFSISFQLPGPVDPQQFSGNFGTDGILEGIVMKKRQI >OMO51274 pep supercontig:CCACVL1_1.0:contig15897:5055:10779:-1 gene:CCACVL1_29891 transcript:OMO51274 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSLQEEGIPEKVIIDQDWIEEPEGALSWFSVIGKLLSKKRPNLEAIKMVLSKAWRVESGFQVKEVCPFWLRIYGLPINMMNEKIGIAVGEAVGPVLEFDEDWGRYLRIRIQMNLSQSLKAGTIVYAPSDELYVDFRYEKLLDYCWICGLLDHIDNDCLVAVSLRKNHLSVKKKYTCSLKAEFPPPIPGKEAGSSQRRGGSGTSLSPVLRGSRDVNLPGASGSRSVPSKSIPGGGVPERNHVDNLALYSNRTARALQFDEPSCEIISRMNGNPVRHPPILKGSYGNNGQRGGWENSFSVEPVRMGILRNLGAHLQEQLIVEGGGENRGVEENDVESSNNPDEFILLNTNLGRRSATGLNFMGAKSIPGVGLVSTDPGDIAGGSRGMGMQNNFQRDFVSKAASTGPDYRTKSVAAEEIYESYDPDSPFVFGAGVSGARKIRKWKKTARSIPSGSADLLCHETLGSVGQKRATCYTGVYLYSMGGKVKRFREAVMEVPDAEESRDDDLTRAIIGMLIRMLMLGRSGGLALLWMASDCISLLSYSFYHIDVAIGSDIASRWRFTGFYEKDGGNLRPASQMNLFNEVILDCNFRELPVQGPLMTWSRKQNGELVFERLDRSMATPDWWERFGFSVERHLITSKSDHLPLLVFISDRSVLTRHRRRTFKYEQMWGTHESFDSVIKQAWPNGDMGIVDKISTCSKELDNWNKTHFGNLQHNIKLKKNEFDKLYSLGRRGNQNEFSKCKLELEDLLHQEELLWRQRSKIHWLKDGDKNTEFFHAVASSRKNKKAIDSIEDSSGAVCEDPIGIANIFTEYFKEIFTSSNPSHTDIQAVLNHLEGRIDDDMRLHLEAEYTAKEVKQAVFQMSGSKALGPDGMSLAFFQRCWQGEIFYPTRGIRQGDPLSPYLFLLCMEGLSSLISAASRSGSIHGISISRSVPRVSHLFFSDDNILFLQASKTECDAVISLLNLFEKASGQKINIDKSSILFSANTPASLQSEIMSYLGIQKVLDRDKYLGLPIMVGRSKTREFQFLKDRLKKRITSWNSRLFSRAGKAVMIQSVAQAIPVYLMSVFQFPKSFVQELNSLIAGYWWGDTMAKKKIHWKNWEAMCSSKLEGGLGFRDFEAFNLSLLAKQGRKVIIVGSRFRVGEGNLDIWKDRWVANPPSFRPTPRDENIKPELKVRDLIDVDNRMWRIETVLDLFEEEDAYRILALLIPRHPTRDLLIWNGTCLGEFLVKSAYYVAREVLQRSNLLQGPAHQTWKLVWSSQVLPKIHFFGWRFIWNILPTKCNLLSRGLNVPQNCEVCGDQVESVFHVFFYCKFSELVWDQIGPWVQPSLDQWDSDRNFWDFFIEKAASIGQIDRVLVTLWLIWNNRNKALYELVSLSPSALHFSVSYILEQLRSNNRRRYQISFLPNQQLNWIPPPFGVFKINTNAAFCSGTGEAGLGVVIRDSEERIILTVSRCLNFIADSLHAGIHAILFRFELAIEHGIDLGIFESDSLLAVNLINKTGPVFWEGGLLIDEIRDLATLFNACNLQFVHREANTLAHDLANLRQDVVWCGIIPPGVL >OMO51273 pep supercontig:CCACVL1_1.0:contig15897:3956:4291:-1 gene:CCACVL1_29890 transcript:OMO51273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVAMFDRCDYDEAGDEEFIEFRLRYLLGVPVGSEPLGLTQVPALDSFQQFESAAAGDPDHGTCAICLQGFSFSGNSGFKLHCSHVFHGDCVAQWLWRKRSCPLCRFHLS >OMO53578 pep supercontig:CCACVL1_1.0:contig15184:22660:23950:-1 gene:CCACVL1_28536 transcript:OMO53578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKKPVAITHADLEPRCRTTELGSKTGVVLMVFTILCGLFCFILCLISEATRSQERWVNTADNEKETKYECVYSGSGKTPLLCAAVAFVGLAAAMVVEHMYMLIAVSKSPPPALLSWDPDSSRSKTLTWQAGFFFVTTWLCFAVGEILLLIGLSVESGHLKNWSKPRASCLIIKEGLFCAAGVFSLLTVFLAAGLYLTALHAQRMFQHQQNVQQQVLEASVLYASPPGSPPHQMTTMAREDPVVSELPHQPPPSVSYSWVFSKQASHV >OMO53577 pep supercontig:CCACVL1_1.0:contig15184:19080:21579:-1 gene:CCACVL1_28535 transcript:OMO53577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MSLRPSTRTEVRRNRYKVAVDAEEGRRRREDNMVEIQKNKREESLQKKRREGLQSQQLSASLQSSDLENKLDIIPSLAAGVWSGDGASQLEATTQFRKLLSKESNPPIDEVIQSGVVPRFVEFLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPRCRDFVLNHGALIALLAQLNEHAKLSMLRNATWTLSNFCRGKPQPSFDQVRPALPALERLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCARLVELLLNPSPAVLIPALRTVGNIVTGDDLQTQCIIDHGALQCLMSLLGNNHKKSIKKEACWTISNITAGNKEQIQAVINAGIIGPLVNLLQNAEFDIRKEAAWAVSNATSGGTHQQIQYMVSQGCIKPLCDLLACPDLRIITVCLEGLENILNIGEAEKAASGGEFNRYAQLIEDAEGVEKIENLQSHDNNEIYEKAVKILETYWLEEEDETLPATDNGNQGFHFGGNEVQVPSGGFNFG >OMO53580 pep supercontig:CCACVL1_1.0:contig15184:28836:28925:1 gene:CCACVL1_28538 transcript:OMO53580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERGVSKVLTGYSCLLMTLTRLAFARQRE >OMO53575 pep supercontig:CCACVL1_1.0:contig15184:9950:10372:1 gene:CCACVL1_28533 transcript:OMO53575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSCENPCQPVPSPPPPSPPPPSPPPPAANTNYCPPPPSPPSSGGGGGSYYYSPPPPQSGGGNNNNYYAPPPPSGVIGGMYYPPPTYKNYGTPPPPNPIVPYFPFYYHTPPAAASGSQKLIACWVSTIVSMFGLLLCFF >OMO53576 pep supercontig:CCACVL1_1.0:contig15184:14411:15067:-1 gene:CCACVL1_28534 transcript:OMO53576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MLDPNEKGSDSEEQSKNREAVSPKEAQNQSFSNEPKKTCADCGTSKTPLWRGGPAGPKSLCNACGIRSRKKRRAILGLNKGEDKKSTKRGGSNNSSSSNAKNSNLGDNLKQRLLSLGREVLMQRSTVEKQRRKLGEEEQAAVLLMALSYGSVYA >OMO53579 pep supercontig:CCACVL1_1.0:contig15184:25414:27858:-1 gene:CCACVL1_28537 transcript:OMO53579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGTSMVMESSENGTDLSQDDTGTIVEMPEDTILSHQTSVNLIPFIGQRFVSQDAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGYPQMKMSEDGKMQRNRKSSRCGCQAYMRIVKRADFDVPEWRVTGFSNIHNHELLKANEVRLLPAYCTITPDDKARICMFAKAGMSVRQMLRLMELEKGVKLGCLPFTEIDVRNLLQSFRNVNRDYDAIDLISMCKKLKDENPHFQYEFKLDSHNRLEHIAWSYASSVQLYETFGDAVVFDTTHRMDAYDMLLGIWVGVDNHGLTSFFGCVLLRDENMQSFSWALKTFLGFMKGKAPQTLLTDQNMWLKEAIAIEMPETRHAFCIWHIISKFSDWFSLLLGSRYDEWKSDFYRLYSLDLIEDFEEEWREMMEKYGLHENKHIISLYALRNFWALPFLRPYFFAGLTSPCQSETINAFIQRILSAQSELDRFVEQVGEIVEFNDRAGSKQKIQRKMQKVCLKTGSPIESHAATVLTPYAFDQYLPSRWRINTSTNPIRNSTREHSEKIQLLESMASTLIAESMETEERLDVACEQTAILLSRIKELPRPTHSANDIVYNCPSDLILPEVEDTDGIVQSFTIGTSHESLGSGKLKERRTRDGIDISRKRKHFSGSCCMHFGHDSSECPLMGGDSLNTDAQGYL >OMO51581 pep supercontig:CCACVL1_1.0:contig15784:32714:40771:-1 gene:CCACVL1_29706 transcript:OMO51581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MSETNANSIIEPNLKRQSEIDNESSDSAAAKKPKTETQTSVQEETEKKEQVEEKTLEIEADVAEDKGSRHSMEDAWVIMLDATLDSPGKLRCAHFAIYDGHGGRLAAEYAQKHLHANVVSAGLPRELLDVKAAKKAILDGFRKTDEALLQESTSGGWQDGATAVCLWVFVANIGDAKAVVARSPDGSDEASPLKAIVLTREHKAIYPQERARIQKAGGTVSSNGRLQGRLEVSRAFGDRQFKKVGVVATPDIHSFDLTDREHFIILGCDGLWGVFGPSDAVEFVQKLLKEGLPVTAVCRRLVREAVRERRCKDNCTAIIIVFRHKVALNIGVSKVVYPVYRNIIALLLLSPFAYFLEKKERPPLTFSLLVQFFLLALIGVTANQGFYLLGLYYASPTFASAMQNSVPAITFVMASALRLEHINIARRHGLAKVLGTIASIGGATVITLYKGPLLLHLSNSTQGYSLEEEISANKMQNWTWGCIYLLGHCLSWASWLVFQAPVLKKYPAKLTLTSFTCFFGLIQFLVIAAFVETDLNHWKIQSTEELFTILYAGIVASGIVFSLQTWCIQKGGPVIVAVFQPLQTLLVAIMSFIFLGDQLYSGGVIGAVLIMLGLYLVLWGKTEEKKVESSAKEEDTLKEPLLNDPESRDVEEGAAAAASDTS >OMO51580 pep supercontig:CCACVL1_1.0:contig15784:29493:31094:1 gene:CCACVL1_29705 transcript:OMO51580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MAAVTAAQPRSSKTESYVDNKRKEDIRHANINAARAVADAVRTSLGPKGMDKMISTANGEVIITNDGATILNKMEVLQPAAKMLVELSKSQDAAAGDGTTTVVVIAGALLKQCLSLLSNGIHPTVISDSLHKASNKAVDVLTAMAVPLELSDRESLIKSASTSLNSKVVSQYSTLLAPLAVDSVLSVVDPEKPDLVDLRDIKIVKKLGGTVDDTELVKGLVFDKKASHAAGGPTRIENAKIAVIQFQISPPKTDIEQSIVVSDYTQMDRILKEERNYILGMIKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKIMVIKDVERDDIEFITKTLNCLPIANIEHFRAEKLGHADLVEEVSLGDGKIVKVTGIKDMGRTTTVLVRGSNQLVIDEAERSLHDALCVVRCLVNKRFLIAGGGAPEIELSRQLGAWSKVLHGMEGYCVRSFAEALEVIPYTLAENAGLNPIAIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVNVR >OMO57872 pep supercontig:CCACVL1_1.0:contig14302:805:10535:-1 gene:CCACVL1_25671 transcript:OMO57872 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidate phosphatase LPIN1 MEEDKRSRKSRHGKRRIAWRWGEIFYNVKSQWRQSALREPKVTQRESIHREQGSESRLAMDDDEGS >OMP10513 pep supercontig:CCACVL1_1.0:contig02344:1:1143:-1 gene:CCACVL1_00911 transcript:OMP10513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFIEGGVSYGDADLPCELGSGSGNDSLAGTTAGGGIIVMGSLEHSLSSLSLYGSLRADGESFGDAKKDDHRMASNIGPGGGSGGTILLFVHTIMLSDSSVISTAGGHGSPSGGGGGGGGRVHFHWSDIPTG >OMO82785 pep supercontig:CCACVL1_1.0:contig09972:17246:19435:-1 gene:CCACVL1_11762 transcript:OMO82785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MAANEGSLGTSMHGVTGREQTFSFSVATSSNIPTDEMAKFALPVDSEHRATVFKVFSFAKPHMRTFHLAWISFFTCFISTFAAAPLVPIIRDNLDLKQSDIGNAGVASVSGSIFSRLVMGAVCDLIGPRYGTAFLLMLSAPTVFCMSFVSDAQGYLAVRFMIGFCLATFVSCSYWTTIMFNGKIIGLVNGCAGGWGDMGGGVTQLLMPLCYHLIRLSGATPFTAWRIAFFIPGWFQVIMGLLVLTLGQDLPDGNLSALQKKGEVTKDKFSKVFWYAVTNYRTWIFFLLYGFSMGIELTINNVISGYFYDRFDLKLHTAGTIAASFGMANFFARPFGGYASDVAARVFGMRGRLWTLWFLQTLGAVFCIWLGRADSLPIAILAMILFSLGTQAACGATFGVVPFVSRRSLGLISGLTGAGGNFGSGLTQLVFFSNSRFSTATGLSLMGAMAVICTIPVAFLHFPQWGSMFLPPSKDPVKSTEEHYYISEWTEEEKQQGLHEGSVKFAENSRSERGKRVRNEVSSAPTPPNTTPSHV >OMO61710 pep supercontig:CCACVL1_1.0:contig13425:12488:15333:1 gene:CCACVL1_23309 transcript:OMO61710 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp, succinyl-CoA synthetase-type MARRKIREYDSKRLLKEHIKRLANFEIPICSAQVTKSTDFTEVLEKEAWLSSKRLVVKPDMLFGKRGKSGLVALNLDLAQVIQFVKQRLGREIEIEGCKGPITTFIIEPFIPHKEEYYFAIDSERLGCNISFSECGGIEIEENWDKVNSIFLPTDSPLTDGELAPLIASLPLEVRGKIGNFMKGVFAVFQDLDFSFIEMNPFTLVDGEPYPLDMRGELDENAGFKNEEKWGNVEFPLPFGRVLSPEETFVEELNEKSKGSLKFTLLNPGGRIWSMNAGGGASLIYTDTVGDLGYASELGNYTEYGGPTEEEMVKLARVILNFATANPDGRKRALLVGGNIANLTDVGATFDGIIRALREKKTELQKANMHIYVRRGGPNYKTGLAKMQALGEELGVPVEVYGPDAVMSGIRNRAIEGI >OMP11575 pep supercontig:CCACVL1_1.0:contig01140:488:547:-1 gene:CCACVL1_00432 transcript:OMP11575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEQPKLESVSWYVNGVA >OMO89323 pep supercontig:CCACVL1_1.0:contig08775:11838:13209:-1 gene:CCACVL1_07916 transcript:OMO89323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MLGGMLGNVVDEISGKNKSGGKIKGKVVLMNKSVLNINDLLSLQSATTVVNSAYDQLLGQQVSLQLISSENADSENGNKGKLGKPVSLQRWSLQLPSPLAKESWFAVSFDLDEEFGTPGAIVIRNNQASEFYLKNITLDDVNGAGQIHFVCNSWIYPDNRYKKPRIFFSNKSYVPHEMPALLRKHREEELEVLRGDGKTELKTGDRVYDYDTYNDLGDPDWNSELARPELGGTAHLPYPRRGRTSRPPSRSGKI >OMO89322 pep supercontig:CCACVL1_1.0:contig08775:4181:5117:-1 gene:CCACVL1_07915 transcript:OMO89322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MGTQKHKIQATVVIIGRIPCNEAGNFVSATPYQISLQLSSQKQNVSFRLVSADNSNIGDPAYLEDRNVADSICSVNFDWDEKFGTPGAILVRNSLENTEFYLKSVTLENVPGRGRIHFVCNSWVYKDEKYQSDRVFFTNKTYLPHEMPEPLRKYREEELRILRGNGDQGELKAWDRVYDYALYNDLGDPDKGSDYKRQTLGGNSEFPYPRRGKTGRAPTQSGQFNFLHLQLIMF >OMO97975 pep supercontig:CCACVL1_1.0:contig07178:10814:15146:-1 gene:CCACVL1_04393 transcript:OMO97975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSCDRRRKLILQKNHLQPGR >OMO97976 pep supercontig:CCACVL1_1.0:contig07178:15462:15914:-1 gene:CCACVL1_04394 transcript:OMO97976 gene_biotype:protein_coding transcript_biotype:protein_coding description:carbohydrate-selective porin, OprB family MRNSLLFHGNEEAVGCNMDDGLNGIEIHGNSQQPGMLATALNGDFQPMILRNEKDAALNGDFQPMILRNETDGDSVQNSVAANEVANSGEFLKENIGFLGVVGSEPNITGIGPSLGQPKSILGAAGSGLSLGQPNLAIGAAHNINTLGLS >OMO97973 pep supercontig:CCACVL1_1.0:contig07178:323:1164:1 gene:CCACVL1_04391 transcript:OMO97973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSSATLSLQIPAAMKLLWRRISTPHATQATSPPLGPAEHSSSGETSHICHGHSSSAIDFCFFFFSISILQRQKHILSSLKPPIPNTEIRKP >OMO97974 pep supercontig:CCACVL1_1.0:contig07178:3497:3724:1 gene:CCACVL1_04392 transcript:OMO97974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRKRGDNGNHGFPSRAESYGDMRGLDNYKGNKTVTQGIVGGSEESSGETPSIAATLLEKNPTCSCSPSQTAYI >OMP11176 pep supercontig:CCACVL1_1.0:contig01500:4914:4982:1 gene:CCACVL1_00640 transcript:OMP11176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKAKRRVGDYLVKNCTRRKRTY >OMO52402 pep supercontig:CCACVL1_1.0:contig15540:4421:4549:1 gene:CCACVL1_29236 transcript:OMO52402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTRCEENKIGTVEKLVTIVGGSGSVMNWEELGAKREAGRS >OMO52401 pep supercontig:CCACVL1_1.0:contig15540:78:203:1 gene:CCACVL1_29235 transcript:OMO52401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTNAQSTAASEVRPTAFGKNSKSDLPFHPNSYRRQPFKIEP >OMO54512 pep supercontig:CCACVL1_1.0:contig14978:3234:3296:1 gene:CCACVL1_27757 transcript:OMO54512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLSTQLENARLASNAYILII >OMP02502 pep supercontig:CCACVL1_1.0:contig06237:21448:22743:1 gene:CCACVL1_02785 transcript:OMP02502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMQSSVIFSSVKPSWAPLRVKALYSTSTGDSNSMAATVPAPKWAQKTITLPHLRRGCHLVTSEILKEIRPDLSEFKCGLAHVFLQHTSASLTINENYDSDVRDDTETFLNKIVPEGRSAPWKHTLEGPDDMPAHIKSSMFGCALTIPISDGRLNMGTWQFFDVHDKVIVMKLKIKAIPMHGDEYISFL >OMP02501 pep supercontig:CCACVL1_1.0:contig06237:8007:18088:1 gene:CCACVL1_02784 transcript:OMP02501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MNPSEEEEERRRLEELVESNPDDSSLHFQLGVYLWEKAAEKEKAAEHWVISAKLNPKNGAAFKYLGHYYTSVAVDVQRAIKCYQRALSLLPDDSDAGEALCDLLDQQGKESLELAICRDASNNSPRAFWAFRRLGFLQVHQKKWSEAVQSLQQAIRGYPTSPDLWEALGFAYHRLGMFTAAIKSYGRAIELEDTRVFALIECGNIFLMLGSFRKGIEQFRQALKISLQNMSALYGLASGLLGLSKECINSGAFTWGVSLLEDARKVVELSIQSAPNSSCIWKLHGDIQLTYAQGYPWMEESQTSHNNVESFGKSLYLWKNTCRLAAISARNSYQRALHLAPWQANIYVDIAISSDLISYFNEEYTHVQCSWQLPEKMTLGALALEGDNCDFWVALGCLSQCNALKQHALIRGLQLEASLANAWAYLGKGQHPSWCSGELCFPVFFTVKFVSLESLSVSYKLLLGVADVVLLGFGEENEKKLARQAFDFARGIDPSLALPWAGMSADTYTRESTPDDAFESCLRAVQILPLAEFQIGLAKLSLLSGNLSSPQVFGAIQQAVQRAPHYHESHNLNGLVCEARFHFEAAIASYRLARYATINSSGTVSKSHLKDVSTNLARSLCKAGNAIGAVQECRDLEKEGMLDAEGLQIYAFSLWQLGEHEAALSMTRTLAAHIPTMDGTFAAVSVSFICRLLYYISGQDLSIISILKMPKELFQSSKISFIISAINALDQNNRLESVVASSRALLASHGEITGMHYLIALSKLIKHGTEHNLGFQSGVCHLRKALHMYPNSNLIRNLLGYLLLASEEWENTHVASRCSIFDALDSKNKEGLKSAWEIFSAGAVACHAIGNSEPKFSFPTCGCQCPSGSQAVQELQKCLRREPWNHNVRYLLVLNLLQKAREERFPVNVCIILERLITVALYDEFYSGKEASCQYQKFQLYLCASEVLLQRADITGCINHGKSALALLLPDCYRFFGHLLLCRAYAAGGNFNNSKGEYESCLALKTDFLVGWICLKVMESQYGLHNVSNLIELSLEEGSKRSNNSLNMSIAIYSLVMGLICIWNRDILSAEEFLKQASSLANADSCIFLCHGITSMEIARQLCYSQFLSSAIRNLRKAHLTSLVPIPIVSALLAQAEGSLGSRKKWEQNLRLEWFSWPPEMRPAELYFQMHLLARQSESESDGSSRVECWQSPRQWVLRAIHSNPSSLRYWKVLQKLM >OMP00154 pep supercontig:CCACVL1_1.0:contig06720:22271:23188:-1 gene:CCACVL1_03454 transcript:OMP00154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MFTVNHNRMLQCGPRPFLMDRKWKSNAEVAPNCPRCASANTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKSRRAKSNRVEVDNNNNVLRTNAQNPKSLSSFGSPTDEMSGASGGSPDGKSGPANGSDIDLALVFAKFLNQTTSFDQPDHDNIIVSQELPNAGIDAWSCLEQDTNSQNDSSSMECQKPEALFYSNIPESYVLQGMPQQVEENYKDCIQELLESDDHVDGFMLPNLVAVEMMMVQDALWSTTPNFECQPMVESFPGEDQLKISANLTSENCGSYHLSGFGVFSKP >OMP00155 pep supercontig:CCACVL1_1.0:contig06720:27098:27464:1 gene:CCACVL1_03455 transcript:OMP00155 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein binding protein MPTLLRIKVEEHPHQLEWKNSQVPFICDGCKELGFGLCYQCPNKGCNFILHEECGIRRPPTFLPFLNTCNFQFHKKNPLEGTRVCDGCALDIRGFLYQCSSRPDPHDLHPSCANLPSAFSLS >OMO78527 pep supercontig:CCACVL1_1.0:contig10571:10955:12144:1 gene:CCACVL1_14323 transcript:OMO78527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRKISNSESEESENEEKSSEEEEEEENPKQGKQVISEYEKQRLSRIAENRARMEAMGLTKMASSLMGSLRNSSQNSSKVKGKRKVVEEDEDYLPNDEEEHEEDDDDDDKLDGDGDDDEYLPAKKKPQSQSRKTKVKNKDPKPKKKAPLQKHLASSDYVDDDDELMKAIALSLQDSGEVAGAVHRNVKEATLTENKGNTPSKKKKSVCLFSNRCLYIK >OMO78529 pep supercontig:CCACVL1_1.0:contig10571:17284:18306:-1 gene:CCACVL1_14325 transcript:OMO78529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGMASLAQPPSTMSITRIPQGYSKSLRPPLLKASKVPHVLSFRRIHIRQSPLCCTKLSQWEPSPPVKYAPTEKAVDNFLEKSSEIFETLRSENREESLATKAEDLTDTTNQPVVQFQFLKWPLWLLGPSLLLSTGMVPTLWLPISTIFLGPNVVSLLSLIGLDCIFNLGATLFLLMADYCARSKNLIEASKSKPPFSYQFWNLVATLTGLVIPLMMFFGSQKGYLQPQLNFIPYAILLGPYILLLSVQMLIEMLTWHWQSPVWLVTPVVYEAYRVLQLMRGLKLGVELSAPAWTMHTIRGLVCWWVLILGVQLMRVAWFAGFTARARHQQQSSASADA >OMO78528 pep supercontig:CCACVL1_1.0:contig10571:13520:16279:-1 gene:CCACVL1_14324 transcript:OMO78528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MSTGELLNIDPQELQFPFELRKQISCSLNLSNKTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSSCNVIVTMQAQKEAPADMQCKDKFLLQSVVASPGATAKDITPEMFNKESGHNVEECKLRVVYVAPRRPPSPVREGSEEGSSPRASVSDNGSLNTPEFATVSRGHVDRHEPQDNSADARTLISKLTDEKNSAIQQNNKLQQELEQRKLLVTDRSLTRY >OMO78526 pep supercontig:CCACVL1_1.0:contig10571:8017:10519:-1 gene:CCACVL1_14322 transcript:OMO78526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase MASFVEIDRYNLIPLSVTSLCGCPCRPLSNKTLNLPQRSPKVRLKLVFHGTERLFPVRTSRIYINRRVITAVVRSESESLDESNVKEEVDKGHVLPTEKDSLADQQHKSSQLRKRIVFGLGIGISVGGAVLAGGWVFTVALAAAVFLGAREYFELVRSRGIAAGMTPPPRYVSRVCSVICALMPILTLYFGNIDVSVTSAAFVVATALLLQRGNPRFSQLSSTMFGLFYCGYLPCFWVKLRCGLAAPALNTRIGAGWPFLLGGQAHWTVGLVATLISFSSIIAADTYAFLGGKAIGRTPLTNISPKKTWEGAIVGLGGCIATSVVLSNIFCWPASWLSAIAFGILNFFGSIFGDLTESMIKRDAGVKDSGSLIPGHGGILDRVDSYIFTGALAYSFVKILLPLYGV >OMO78525 pep supercontig:CCACVL1_1.0:contig10571:738:818:1 gene:CCACVL1_14321 transcript:OMO78525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVVNATCLPVELARASHVVTIFYQLN >OMO78530 pep supercontig:CCACVL1_1.0:contig10571:20063:21963:-1 gene:CCACVL1_14326 transcript:OMO78530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKRLKQQIEETLPAWRDKYLSYKELKKLVKLISSAPPLLAESMEYGKAEAEFVYLLNNEIEKFNAFFMEQEEDFIIRHTELQQGIKRVIETWGPNGTNPSEAEYTDEMAKIRKDIVDFHGEMVLLENYSNINYTGLAKILKKYDKRTGGLLRLPFIQKVLQQPFFTTDLVSKLVKECEITINEVFPVEEEERTKERRKEAITVAGKGIFRNTVAALLSMQELRRGSSTYGHYSLPPLNLPDSDLLHSFQLNSPIPIL >OMP11100 pep supercontig:CCACVL1_1.0:contig01548:751:936:-1 gene:CCACVL1_00668 transcript:OMP11100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPLREKDNMQLRIVNYILYGNGKPTRRISDTSIQLVRTCLVLNWDQDNKSSSADEVCASFVE >OMO81612 pep supercontig:CCACVL1_1.0:contig10141:3137:4675:-1 gene:CCACVL1_12345 transcript:OMO81612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLKKGFLSSNCQSSGGSSLSEKEDRLKQEKLLKRPRSHDSVPMNYDSFQDYFTDSSEDEVEDDEADENEEITGDSWFSSMNDLEAFKELCLQEMISDYQGNLGPSIHLTAPKPSFSAIPERVVRRHFPYFVEKNSSSLFDSKLKKLEDQIFNFKEEKSNWMHLESDMKQRNKDLEEKMVLLQRENERLKQNMITGDSPLMKDLQGSESEANIYKEEEEMGSKPFNRILFSRLEKAFSAERCGKSGHFKSKCNLSTNEADSYEISTEDSTSSPRSCITRDTICKSPKIPQPKRLDNESVASNFTFKATCKEHMMSNLLTRPTQSNGINRITLQKRNKGDKCFPNLNHKKKPKTKLHIGKEKRKPRRLYIRLGKRNASNLSSPFVKGSPDGKRMKMVMH >OMP11183 pep supercontig:CCACVL1_1.0:contig01496:163:321:1 gene:CCACVL1_00635 transcript:OMP11183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase VGGYTQVYKGDLTFATVRGAGHQVPSYQPKRALSLIKHFLSGTPLPDTTIYA >OMP11184 pep supercontig:CCACVL1_1.0:contig01496:767:5236:-1 gene:CCACVL1_00636 transcript:OMP11184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MLEDSSKLCCVPECSALSSLLRVCLFIFIKRNPKDSLFRSQIHLFFSKMEVIVAAVSDAMLSAFFHSIFQTLSSREFLKFSRQGQIEAEIKKWQNLLFKLNALLEDAEEKQITCRAVKLWLRDLQDVAFDADDVVDELATEALRRKLMELTQPSARTSKVSTFIRSTCFSAINPRTDKFDANIKSRIEQVTKRLHDLAALKNDYDLVEIGGGRPQKVLNRLPTTSLVDESHVYGREGDKNAVLDLLMDGGELNEGEVGVVPIVGMGGVGKTTLAQLVYNDEKIKTSFELRAWLCVTEEFDVLRMTNSVLHAIAADNGKCKDLKDLNLLQIQLKEKLSGRKFLLVLDDIWNEDYDRWEIFCRPFAAAALGSKILVTTRNNRVAAVMANHGAYHLNELSNDDCLLLFTWHALRASNFDKHPNLKLVGEQIVRKCKGLPLAAKTLGGLLRTKLNQDEWEDILRSKLWDLPEERSGILPALRLSYHHLPSYLKRCFSYCSIFPKDYEFDKVELILLWMAEGFLQQQGKKQMEDLGFEYFNELLCRSFFQQSNSDKTRFVMHDLINDLAKSVSKQICFNLEDMDVLKGDTLCTDVEKIRHLAFTRHHYDIAKRFEILHQMKNLRTLAALPTYMPPWAACCYLSGDVLHNLLPRLRRLRVLCLSYYRTNELPDFFGHLKHLRYLNLSRSRIKQLPESVGSLFNLQTLILRGCKELTGLPHVIKNLVNLRVLDLRDTGKLQKMPFHIGNLKNLRLLSKFIVGKGIGSAVSELRGLLHLRGELSILGLEKVVDIQDACNANLREKHGLTGLDLQWSHEYLNSQNEEGQMQVLDRLIPQKNLEKLRILLYGGKKFPSWLGDPSLTGMVYLELFSCRNCISLPSLGRLPSLRTLSITGMDSLQNVGFEFYGHSFPSLKPFSSLEVLHFKDMLEWTSWSPPSQANEDSGEEFPRLQELIIENCPKLSGKLPSRLPSLVKLVIKHCPILKGSPMSFPSLNELNMEDCNEELLGSILGLITLTTQGAKSMPQLRCLQNGVVQFPEALKCLVISKCIGVATLWQKGAISLNVERLKIKDCSQFVLLADNEKKGLLSNPEDLRLLNCCTPGALPWGMHDLTSLEDLHIESCVNLVSLPETGFLKRLKHLKLKDCRALESLPRMMMHNCPLEGLEIEGCPAFTCFPNGRLPSTLRRLKVQNCIGLMSLPEGLMQTNDMTRNTSHLENLEIIDCPSLTSFPEGKLPTSLKILKIWDCCQLEPFSDRILHKSTSLEFIDIWNWTSMTSLPECLNSLTQLREINLSMCPVLKYFPEMGLHLCNLRKFEIYHCISLKSLPDQMSCLTSLEYLTITKCPGLVYFPKGGLPPNLLSLEIWECENFKQPLSEWNLHTLASLRELTISGVLDIVSFPDDKCLLPTTLVSIYISKLNNLRSLSLGICNLTSLEELEIVECPKLQYLPKEGLPAKLGRLCIEDCQLLKQQCLKEKGAFRPVIARIPFLEIETTED >OMO58759 pep supercontig:CCACVL1_1.0:contig14171:3163:5245:-1 gene:CCACVL1_25371 transcript:OMO58759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQGTVELSQHLSWQRVVQEWNN >OMO87288 pep supercontig:CCACVL1_1.0:contig09254:453:545:-1 gene:CCACVL1_09140 transcript:OMO87288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSNSAIRWRCSDASFTGSRRIGFLRSPNVST >OMO59543 pep supercontig:CCACVL1_1.0:contig13925:8359:17256:1 gene:CCACVL1_24765 transcript:OMO59543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEANLHKSENHRAQASDEIPPHFPVLS >OMO52039 pep supercontig:CCACVL1_1.0:contig15639:2276:2368:-1 gene:CCACVL1_29396 transcript:OMO52039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASSRGRLKKPSALLRSLAEKNEPSPA >OMO52040 pep supercontig:CCACVL1_1.0:contig15639:3363:7665:1 gene:CCACVL1_29397 transcript:OMO52040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQEVHEQFTMDVHLLLHKLDNVFRKDDKDLFVSMMKKTLGESELCPPPILYKILYKICKHNAINCATALLEGETGHKVDINTFFITNYADHEEHRATPLHTAVSCVHRPNYLGLIRLFLRYGARTDLKIFAPGTDHHEMVPLNLLLYGLRSSWTPKQSIFRLIILLCNKYQIHQMGDQLEAIRLLVEHGPKEVVDEEIVNYVKEGKVMELAALLLAVPEKVTTAPIFSSDATSDGSMVTIRQYLLGEISLLEAIQTSFDYRLDENHPSACQRNSLALNLKDKLALRSSQLLLLEVCERVGDKIMTYLKEHQHNMGSSKEEEEVVKDVVSLLHNAGFSLTFEDYDLRGTMQDLYARKIHGRSGILDNDDDESDHTDFTDHSAPIPHVKPFYERLGVFWSLVDKSVGYDSCHREVVIEPIEPKDRYKYLNEEERQSGLIPLGKLFASYHMKVKPSLEEHSFNTLCRGRQAQPIKHLNLDDNRLKSLAAALENLRGSYWRLAGNLQVNWRILGQLLCLYAKEGKLVELAALLMVTCKKLITTSKETSHNGYSSNEMMAMRQCFISEIQSIIDEETKFIGQNQSGIQMCKYRKQIMSSALLLLDVFEEVGLEIDHYHQRIRYKGLKKVKVAEDLQYFIWIAGFILKEKDTNLQDMMCFKMERDSELKERFSQIFAVNDAARSMDSNLYKPKESKYVGAMSSGNQMASYSGLSTRSFHTSRIGNSKLLGTQTNRIQPNLGFDKKWAVFAVSFKRGIKRI >OMO71474 pep supercontig:CCACVL1_1.0:contig11625:3000:3562:1 gene:CCACVL1_18210 transcript:OMO71474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMNIQQTNLKESTRKMQLTSLVFFMPGAKENASTTGKESEIGSSVSGSVIVRI >OMO71475 pep supercontig:CCACVL1_1.0:contig11625:13360:27344:-1 gene:CCACVL1_18211 transcript:OMO71475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPNQAVGNSFTPGPVVSSVDNLATPNNELKKV >OMP10624 pep supercontig:CCACVL1_1.0:contig02102:137:421:-1 gene:CCACVL1_00844 transcript:OMP10624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDMSPSCFWGNFEKTLVRVLYLRGPKCRRLICGGIEKPHFQEPAHNSSKTCAAFPVENMGFRGVKIVEGSVATATTAAPAPPPPTPRTPPQTS >OMO97878 pep supercontig:CCACVL1_1.0:contig07191:25370:25714:-1 gene:CCACVL1_04421 transcript:OMO97878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAITSLPQQQQQGQQAGSSGSSSSGSIGPFFAVISVLAFLAIASCVLGRICMRRRLRAVPVTPLDTIKHGGCLGWLKLKCRHCMAGEVEVGAKVMSCNEDNKASNEPHPPQV >OMO91169 pep supercontig:CCACVL1_1.0:contig08376:15064:27095:-1 gene:CCACVL1_07203 transcript:OMO91169 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MMTEITSSKIREIWKEEKEPSYLKEFGGHLWWVCAPTRQSDSRWRRYVEFADGGKLWRRGKVLALHSPYSAKTACFSVNKERSAISGASINLMSLSVYRKLGSEGLKPTTVSLQLADRSVRYPIGVVEDLLVKVSKLIFSVDILVMEMEYDVDVSVILHRPFMATAYALIDIASGKLTLRVLDEKIVRVLRERKMDIASTIADIKVRVVHLGLCECGVALGVEVSGSKLCGCKKLSKHSGDFTPPVYLSRSNYPSQGDEQRRSLVSFIDLHTILGVEVVMLIIGGFSKVDFNLSQLDSYQLVFRARFFIFNRSDCFEISDLEDLIHQGSMEDKQEEQNQADDLATMMQNLMQRLDTMSTQFDQRLNTLEAQNQPRANVAQINGQREQQQAQRQVVRRDPMERLREQEAGGQAYNENLQPKEVVIEMSLRTTLSTRFLSLMGEELQQIIWSGNQNLICTLIIILLPKLRSFFSSFRLSVSTPSLCVGRCGIPRVSRRRFHPLLVFVDEYYSEMMLLMSKPSYKRYEKTPSKEKEVTQKGFVSPKSDSKSSSSSSSKSHIKCFKCQGFGHYAKDCVNKKVLYFNEQGDLLSEDEEFNLDDERDEKGVAIDEDDYDDEIPPVKSLVARRTLSAYVKGDVQNQRENLFHTRCYVNGKPSSVITDGGSCTNIASVYLVRELKLPTSKHPKPYSLGWFNDREEINFNKQVLVSLSLGRYKEDILCDVLPMQACHVLLGRHWQYDNKVQHDGETNKYSFMCGKILVSLVPLSPQEALKDQLKLRDGFAKMEAKYRAKEKEKHETNFSSRCVENNAVLVDKKASSKKVVKECMLATKSVIKSALHDNSVLILLLLKSTVMSTNNLAGELPSNIVSLLSDYADVPAYRTNPDETKELEKQVGELLEKGAINNITFKYRHLIPRLDDMLDELHGACLFSKIDLKSGYHQIRMKGGDEWKTAFETKLGLYQWLVMPFGLTNAPSTFMRLMNHVLRAFIGKFVVGYFDDILVYSRTLEEHVEHLRCVLDVLRVEKLYADLKKCTFCTNKLVFLGFVISAQGIEVDEEKIKAIEDWPTPTNVGQVRSFHGLVGFYRRFVKDFSTLAAPITSVLKKNAPFKWGQEQQEAFETLKNKLTNAPLLVLPNFNNTFEIECDASSELYALVRALQTWQHYLWPKEFVIRSDHESLKCLRGQQKLNKRHAKWMEFIESFPYVVRYKQDLRTNPFQRGGDDAPRAYHGLEDDIGHHGKNEHGLQGSMDKHEDDGDIANHVSSTKKMPFDQLKMSNGPMTRARAKRFKYALMSLVRTHLDDLKTIEVQLKRFDDDLGKNIPIDSKLITLLAIDV >OMO91168 pep supercontig:CCACVL1_1.0:contig08376:214:3870:-1 gene:CCACVL1_07202 transcript:OMO91168 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAPKVEKTDTSVTDALNAIAKSFNSHLQELRASQQTLESKLNSSLTNLYSQIAALQPSTSQQSKTTFPHLVGINPPDPPVLPQPPPLPAGNLFQPKTPKFDLTHFDGNHAPAWLFQAEQYFAFYAFLNPQTALFKLRQTGTLTQYQQEFEILSNRVTGLSNDHLLNLFISGLKHDIQQEVVIHNPRTLTHAFGLAKLIEAKFAETRQRNYRGPLLTSSSFVTPVSNSKAPSPSSYQIYRLTPTEMQARRSKGLCYNCDEQFKPGHRCKTTPFLLLQMDEPPDYTNTEEAMVSTMTAFSRSAADIATEPLPLSEIPLPPPPPLPTELQLPEFQVSLHALYGLSSHSCLKLTGTFRGHSFTILVDSGSTHNLIQPRVVKYLGLSIEPPPPLTVKVGNGEVLRCSGKISALPVEVQGLEFTLDLFLLDVHGVDIILGIQWLAQLGPILADFGLMRMSFNYKGTWVTLTGQQPLKANCTTAHQVQRLAQTKGLHSAHLLTLVDSVLPESSPPSPTLAVETSAITELLNRYHGVFDPPKSLPPYRANDHHIHLVPGSLPVNVKPYRYPHIRKKDGSWKFCIDYRALNAITIKDRFPIPTIDELLDELNGAKIFSKIDLRAGYHQIRVVEEDVHKTAFRTSDGHYEFRVMPFGLTNAPSTFQAAMNDLFRPLLRRFVLVFFDDILIYSASLEEHLIPLQKVLELLMTHKYFAKQSKCSFARPSIDYLGHIISASGVQVDPSKIKAITAWPQPRNLKALRGFLGLTGYYRKFVRHYATIAAPLTNLLKANAYEWNEGATKAFELLKKALTSTPILALPDFEKHFEVSTDASNVAIGAVLAQEGHPIAFFSKKLSLRMQSSSTYIREMYAITEAVKKWRQYLLGRPFVIFTDQQSLRGMMGQTIQTPEQQKWLVKLLGFQYTIHYKPGPQNKVVDALSRSFTDEPSCLAFSGPEFSFLDDLRSYFATESQGKALATQCSDATSGYNLVNGLMMKEGRIVIPTGHPLQQTLLHEYHSTLIGGHAGVARTLARLAANFWLKGMRQSVKDFIATCPIYQQVKCLTSKPQGLLSPLPIPSQAWQDIAMDFITNLPSSQGKTTIWVVVDRLTKYGHFIALVPHITAPALASVFHQEVGRLHGVPRTIVSD >OMO64138 pep supercontig:CCACVL1_1.0:contig12860:7789:9967:-1 gene:CCACVL1_22014 transcript:OMO64138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MMDYVSLVLSIGLAVLLVSKILQVFRLLVWRPYSLTKCFKKQGVTGPSYTLLKGSLDEIKNLKKEAHEIILDTNSNDIFRRVLPHYYAWSLKYGETFLYWHGTNPHVCISDPELAKQILLNKFGFYNKPLKRPAVQKLAGKGLGLVHGVDWVRHRRILNPAFSLDKLKAMVKRMVSCSVSTFEEWKHEAELADGHFTKIEVSKEFQKLTADIIAHTAFGSSFIHGKEAFEAQIELQRWCAASIDNVFIHGTQYLPTPSNLKIWKLDRKVKRSLSRFIESRLSSKSRGGGDEDCPYGDDLLGVMMAAMEPTQSNGNLKFQFDEILENCKTFFFGGYETTSNLLTWTMLLLSVHQEWQAKLRQEVSEECGMQIPDADKLAKLKLVNMVLLEVLRLYCPVIMLTREASEDMKLGNIMIPKHTHLTIPVVKIHRSKEYWGDDANEFNPLRFMNGISKAAKHPNALMGFSIGPRACIGQNFAMLESKAVLALILQRFSVSISPDYKHAPTDNLTLQPKFGLPVIVKPLNI >OMO64139 pep supercontig:CCACVL1_1.0:contig12860:23790:25975:-1 gene:CCACVL1_22015 transcript:OMO64139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDYVSLVLSIALAVLLASKIFQGFRVVVWRPYSLTKRFKKQGVTGPSYTLLKGSLDEIKKLKKEANQIILDTNSNDIFQRVLPHYYAWSSKYGETFLYWHGTDPHVCISDAELAKQILSNKFGFYNKPPTKPELEKLAGKGLALVDGLDWVRHRRILNPAFSLDKLKAMVKRMVACSIPRFEEWKHQAELANGHFTNIEVNKEFQKLTADIIAHTAFGSSYIHGMEAFEAQRELQRWCSASSANVFIPGTQYLPTPSNLKIWKLDRKVKRSLSRIIESRLNSKSRGSGDEDCPYGDDLLGVMMAAMDPSQSDGNLKFQIDEILENCKTFFFAGHETTSNLLTWTMLMLSVHQEWQAKLRQEVLEECGMQIPDADKLAKLKLVNMVLLEVLRLYCPVIMLTREASEDMKLGNLMIPKHTHLTIPVVMIHRSKEYWGDDANEFNPLRFMNGISKAAKHPNALMGFSIGPRTCIGQNFAMLESKALLALILQRISFSISPDYKHAPTDNLTLQPQFGLPVIVKPLNI >OMP04643 pep supercontig:CCACVL1_1.0:contig05694:16532:16591:-1 gene:CCACVL1_02148 transcript:OMP04643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVDSGGLDVDYLEEMAH >OMO76112 pep supercontig:CCACVL1_1.0:contig10922:90733:98670:-1 gene:CCACVL1_15908 transcript:OMO76112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MSNSHSDTIPLHASSQSDIDEIENLINASVQSGPATVLPARPPSPPRASIPVSSSPFIQSNIPPPPSNSSLSSNQKVPSVPAAPPPPPPVGNSSSIVATGFGPPPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDIGALICMLKDNVIVKVVVVCVTLAWSSWAAYPFMSSAVNPRRKALALYPVFLMGFTSLNTSKRFVLLGFVLPENDPILNQHHRHFYSSQRNFFTRAKQIKKIEINDQHSQRAVTTALWCNFLVFSLKFGVWFATSSHVMLAEMVHSIADFANQALLAYGLSSSRRAPDAMHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWTSHPPANIEYAALVLGGSFIIEGASLVVAIQAVKKGAAAEGMKVRDYVWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVNKTGNAIYDPIGSIVVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQKVLHFLKNDPVVDSLYDCKSEVIGPGFFRFKAEIDFNGVVVVQNYLNRTGREEWARQFREAAKEKDDSALLKIMSNYGMQPDELAARYISCNGSKKTASFAGEEVVTALGSEVDRLEKEIQELVPGIRHVDIEAHNPIDISSL >OMO76110 pep supercontig:CCACVL1_1.0:contig10922:83714:86542:1 gene:CCACVL1_15906 transcript:OMO76110 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G-like, type 3 MASSSRDRGLEKDRIRDDNYRSRRRHIAENSDSPDGVEKRDKRDRRELERGRSTRYDRRRRKSEEDRYRHRDSRRHSRHDSRDDEDHRNRSHRDRGNQPSRRSRDDKEKEEGEIKQKEPALQQDTANSGRSGGAYIPPFKLARMMKETHDKSSVEYQRLTWDALRKSINGLVNKVNATNIKNIILELFAENLIRGMGIFCRSCMKSQMASPGFTDVFAALVAVVNTKFPEVGALLLRRVVLQLKRAYKRNDKPQLSAAVKFIAHLVNQQVVHEIIALELLTVLLENPTEDSVEVAVGFVSECGSLLQDLSPKGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRPELDLVEQYDQTTHEISLEDEIDPEIALDIFNADPLFMENEKRYEELKKTILGEESEDEERSSDAASDEDEDDDEEDEEDEEQMRIKDETETNLVNLKRTIYLTMQSNLKSDDVGHMLMKIKLEPGQEMELCRMLLDCCSQDKTWNSLYGHVAARFCLINKVYRENFEKCFAEQYSTIHRLETNKIRNVAKFFAHLLHTDALPWHVLAHLRLTEEDTTSSSRIFIKILFQELSEQLGIRRLNERLSDPTMQDSFESIFPKDDPKNTRFSINFFTTIGLGGITENLREYLKNMPRLIMQQQKPASDSESRGSSSSDSETTSSESESESESSSSDESERHRKKRRRR >OMO76120 pep supercontig:CCACVL1_1.0:contig10922:138974:143392:1 gene:CCACVL1_15916 transcript:OMO76120 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-binding split barrel MESLSSSVFISNLPEFLNTQFPPTSSSSLSSSCWLIKGANKRIGSLKFNSETSHFQGFRLQALSREVPSEDEDEPQPLTLNNGFPSVSEDSLSVSKGDLVHNKTSDKDVDKSLKLEAPLTVPHGSGASGGTRAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDSMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVYPLPEHQQEWAHKQYIAKHQQGPSQQWGNFYYFRMRNISDIYFIGGFGTVAWVDVKEYEALQPDKIAVDGGEQNLKELNSTFSKPLKELLSTEAEVDDAALISIDSKGIDIRVRQGAQFNVQRLSFEEGHAIETLDDAKAALWKVIKKGQVDNLKRYEL >OMO76122 pep supercontig:CCACVL1_1.0:contig10922:149450:153233:1 gene:CCACVL1_15918 transcript:OMO76122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MDEGASSGASEINDPGSTYVDRKSPEKEKISWIDFAKFDYAVAAQAGLSQIREKYSNLRRSIPSPIREGSMANGAGGVTGTGTAGGKALIVPELSLCHSHMTSGNDPDTGSMSDGDRMANILMQEAALKRPSECPRCQSTNIEFCYFYKYNLGQPHYLCKACRRSWMESGALGPRPCQSTSLNSVTSKIIDDSRKLTELRLSPNVPEGGVGWESYGYHYGDPGASSSTSPAASRGHHDNYNNMTASSHQDNHRLPDNHRLPDHALTSIGSGLGGHGGGGAQSNSPRTRASTSSGGGGAQSNSPGTRASASSGLGDHDGGGTQSNYSSRTRPSTSSGLGDHGGGGAQSNTPGTRPSTSSGQADHGGGSGAQSTNSLMARASTSSGLGGNINGGGTQANSPSTMASGASPPHHVVCIPDDETKKLLNDVVEGRAIRDDDGSIARYGLLLGALYTLTSSMSWDTVFSTYSNGSLPLSIKIFGYGTGFFAAVGVFFGPLVLYIGAKKPDTLPLKLNPANVMQTLLDVATANIAFAFIGNMSLRFLQHDVTLLYLVAGLACASITVSMTFVKRR >OMO76102 pep supercontig:CCACVL1_1.0:contig10922:7642:10031:-1 gene:CCACVL1_15898 transcript:OMO76102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQEKKLKLYSYWRSSCSFRIRIALNLKGFFFLFIFLFFFPSGFFLIIFCFLLPGLEYQYIPVNLAKGEQFSPEFQKLNPIGYVPVLVDGDIVISDSFAIFMYLEEKYPQHPLLPSDLQKKALNIQAANIVCSSIQPFQNLATLKYIAEKVGPDETTPWAKLHIEKGFEALEKLLMDQAGRYATGDEVYMADLFLAPQIHAAINRFTVDMAKFPLLARLNEAYSEIPQFQNAMPENQPDTPSA >OMO76116 pep supercontig:CCACVL1_1.0:contig10922:115969:119820:-1 gene:CCACVL1_15912 transcript:OMO76116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRPPPETIQVRLLCPSAKTGALIGKGGSVIRQLQSLTCTKIRILDDPFEERIIKIVADNKIPISTNADNKTPVSGNAGNSNSNSNVNPNAEPKQDSVDDGGNSSSGGVGSSGEQETTSSWSPLQKAVVRVFERIVKGDAADDKDKEKDKESENLVVCCRMLLGFNQAGCLLGRGGWVLEKIGHENGTQIRTLTRDQIPPYVAPGDELLQITGNFSAVKKTLFSISSCLQENSMHSQGDPFAPWGYTSGVHAADYHTRGYPSNPGHESAIGHSRVGLEEEVVFKLLCQADKVGNLIGKGGSVVRGLQCETGASIKIADTAFDSDEKIVVISAREHAEQRYSPAQDAVIRVQSRIADIGFEPGAAIIARLLVPSQQIGCLLGKGGHIVTEMRRATGASIRIFPKEQLTKSVGSQNDEVVQVVGSLQTVQDALFHITGRLRESIFPMRPPFPGPNPPPYMPPFPEMPPPSFRPRHNPASPGPYPAPAGHFHGIDRPIVPSQPLDHQPPFSHGVDHMGPYPYSGERPGHGPMFDGPSSPGSWTPQAATAGNHRGVSDIGSGFAARNGPPGSGNQAPIMTSTKVEIVIPQIYLCHVYGEGNSNLGHIRQISGANLVIHDPKPGAAEGLVVVSGTSDQLRTAQSLIQAFILCGQTAA >OMO76106 pep supercontig:CCACVL1_1.0:contig10922:36628:39466:1 gene:CCACVL1_15902 transcript:OMO76106 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MGVDLRQVVAGILTITMFVMLGQMIKRDHFDSLQDKLPGEAQDSQFDNANLIENDGLVTLSKRSKGPWIEDSQELKPCWSKTFDEIEQSKGYVTFSLTNGPEYHVSQIADAVVVARYLGANLVLPDIRGSKPGDERKFEDIYDVEKFIISLDGVVKVAKELPSDISIRNLAAVKVPNRVSEDHILENVEPIFKSKGNIRLATYFPTVNMRKTAQKSSVDSVACLGMFGTLELQPQVNEVVESMIERLRTLSRKSGGQFIAVDLMVEILENKNCHGSGSTGAPKSCYNAQEIAVFLRKVGFDTDTTIYLTQSRWDNSLSVLKDIFPKTYTKESIMPEDKKGKFLESEDSEFEKVIDFYICSQSDVFVPAISGLFYANVAGKRIASGKPQILVPADIPGTSAPITNYLSPYVAKNNHLAYSCFC >OMO76111 pep supercontig:CCACVL1_1.0:contig10922:88269:89715:1 gene:CCACVL1_15907 transcript:OMO76111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7, eukaryotic MGGEAKPESLLKKEKRNGEWVLAKKQELEAAKKKNTENRKLIYNRAKQYAKEYEAQEKELIQLKREAKLNGGFYVDPEAKLLFIIRIRGINAMHPQTRKILQLLRLRQIFNGVFLKVNKATVNMLHRVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRTALTDNSIVEQALGKHGIISVEDLIHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >OMO76121 pep supercontig:CCACVL1_1.0:contig10922:144400:146245:1 gene:CCACVL1_15917 transcript:OMO76121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSSTTATITTSNFTNGSPISNLAPDHLFTILLLLPVDSVLSFSMTCKKLRYLATSNSLWESICRRDLGPSAVDALINSTSFHGDEDQHQWIRLYKQVSQLDSVCCYKLSDADPDFLLPSPRASHSLNFVSGCLVLFGGGCEGGRHLDDTWAAYVGNNSQTMLKWQKIHSGIPSGRFGHTCVVIDNYLVLFGGINDKGNRHSDTWVGQVAFNENLGISLSWRLLEIGSIAPPPRGAHAACCISNRKMVIHGGIGLNGVRLGDTWVLELSDNLCFGTWHEIVSHPSPPARSGHSLTCIGGAQTILFGGRGLGYEVLNDIWLLDVSEDYSKWVQIFYELQSIPGGVSLPRVGHSASYIIGGRLLIYGGEDSQRHRKDDFWILDISAAMSLESPVQPTRASSKKALANMWRRLKSKGYKPKSRSFHQACVDNSGRYLYVYGGMVDGLLQPAEAGGLRFDGELFLVELVLQL >OMO76101 pep supercontig:CCACVL1_1.0:contig10922:1861:4884:1 gene:CCACVL1_15897 transcript:OMO76101 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MITGDGNLKLTNGKITVWSTEVAVQSSNNSVAVLLDVGNFVLKDNSSGDILWESFSNPGDTFLPGMKIGINAKTGEKRFLVSSKSKDDPSPGNFVGGVVAQSAPIIEAFIWENGNRSYSRSGQWNGVKFIGIPQMNAVYLNGMGLLSNSQEGTWYFTLNDFNNSLIRFLYLSPVGSLQITNWDEGSKEWNVGLEVPDPDNKCDIYGACGPNGICNNKDKSPICKCWEGFEPKSHEEWNRGNWTDGCVRRIELLCDKINTSLASSSGDHNKPDGFLKLSGVKLPDRSQYQKPHENTEEGCRFWCLNNCSCMAYGFVTGIGCMFWTGDLMDVQSFSYGGEDLFIRVAYAEIGKKKNRSKVIFPVAATSTFIIACTFLVYGFLRWRLANNRKGKSREALHESDIANAISPLRETPKGKDGTNIIKQKDSLIFEFKDVIVATNNFSLRNKLGEGGFGPVYKGKLQNGNEIAVKRLSSHSGQGMEEFKNEIVLISRLQHRNLVRLLGCCVEGEEKLLIYEYMPNKSLDTFLFDSIRKTQLVWNKRFSIIQGIARGLLYLHRDSFLRVIHRDLKTSNVLLDEDMNPKISDFGLARTFQKTQDLGNTHRVVGTLGYMPPEYIMGGRFSEKSDVFSFGVLVLEIVSGERISGFQNDEHLNLAWRSWCEDRVVDLIDKGLELEDSFCLVEVKRCVHVGLLCVQENPADRPNMPTVILQLNGGTGLPQPKQPAFVFQSSRDDGSHGHGSNSDCKKCSINEVTFSATEGR >OMO76109 pep supercontig:CCACVL1_1.0:contig10922:78950:83005:1 gene:CCACVL1_15905 transcript:OMO76109 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G-like, type 3 MPSPSRDRRREKYRIRDDDDDDRRRRDKAANSGSSDEDKKRDQRDGRGERDRSTRHDNAKSTRRDIVEKNSESSNEEEENGAKGDRMGERDRSTRHGGDKSRRRDKVEEKSESSDEEKKRAQGDSMGERDGSTRHDDDRSWRRDKVEENSESSHEEEKRAKRDRRGERDRSSRHDDDRSRRRDKVEEKSESSDEEEKRAERDRRRERDRSSRHDDDRSRRRDKAEENSESSDEEEKQAKRDRREERDRSTKHDHGHRSRHDRRRRESEEDRYRHRDRRRHSRHDSDDDEDHRRRSHRKSGRENKSDGRDNGNQPSRRSRDDKEKEEGEIEQNEPALQQTNLNGNTANLGRSGGVYIPPFKLARMMKETQDKSSVEYQRLTWDALRKSINGLVNKVNASNIKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKFPEVGDLLLRRIVLQLKRAYKRNDKPQLLAAVKFIAHLVNQQVAHEIIALELLTVLLENPTDDSVEVAVGFVTECGSLLQDLSPKGLHGIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRPELDLVEQEDQLTHEISLQDEIDPEITLDIFKADPHFLENEKRYEELKKTILGEDSEDEEGSDAASGEDEDDDEEDESDEEDEEQMRIKDETETNLVNLRRTIYLTIMSSATYEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLSLYGLLGERFCMINKVHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRRLNERLSDPTMQDSFESIFPRDNPKNTRFAINFFTSIGLGGITENLREYLKNMPRLIMQQQKPASESDSGGSSSSDSGTSSSESESESESSSSDESERQRKKRRK >OMO76118 pep supercontig:CCACVL1_1.0:contig10922:126693:130556:1 gene:CCACVL1_15914 transcript:OMO76118 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase MALDYSTDIKAKRDEDLLVLPSDAALFEDPSFKVYAEIYAEDQEIFFEDYAEAHAKLNNLGAKFDPPKDIKAKRDEDLLVLPTDAALFEDPSSKVNKGSYVKVIALFI >OMO76107 pep supercontig:CCACVL1_1.0:contig10922:52937:69796:-1 gene:CCACVL1_15903 transcript:OMO76107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MSSTSSGNGLLNLEDVEAGAGPSKDNDHLDNENRHDDDDDADTSDPFDVPHTKNAPLEILKRWRQAALVLNASRRFRYTLDLRKEEEKERRKRMIRAHAQVIRAALLFKLAGEKQIGPPVALPIAVGEYNIGLEQLASMTRDHKLSALQQYSGVEGLSELLKTDLQTGISGDDADLLNRRNAFGSNTYPRKKGRSFWRFLWEAWQDLTLIILIISAGVSLGLGIKTEGLKEGWYDGGSIFFAVFIVIVVTATSDYRQSLQFQNLNEEKRNIQLEVPADGVLITGHSLAIDESSMTGESKIVHKDQKEPFLMAGCKVADGVGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGVVGLVVAVSVLLVLLVRYFTGNTEDPDGTTQFIKGTTKFDDAFNDVVKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALAISQMTVVEAYVGKKKINPPADSSQLHSSVVSLLNEGVAMNSTGNVFVPKDGGDVEISGSPTEKAILSWAVKLGMKFDVVRSAFKVLHVFPFNSEKKRGGVAVQQSDSDSEVHIHWKGAAEMVLASCSGYLDKDGCLQSIDEEKEFFKAAIDEMAASSLRCVALAYRLFEKEKIPTDQESLSGWILPEDSLVLLAIVGIKLLWVNLIMDTLGALALATEPPTDNLMHRSPVGRREPLITNIMWRNLLIQALYQVTVLLTLNFLGLSILHLKDDSDRKHAVQVKNTLIFNAFVMCQIIIIEFLGNFTSTVRLNWRLWLVSLGIGIFSWPLAIVGKLIPVPKTPAAAYFTRPFKRYRRSRNASS >OMO76113 pep supercontig:CCACVL1_1.0:contig10922:99693:104318:-1 gene:CCACVL1_15909 transcript:OMO76113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 47 MARSRSSSSSSSKFRYCNPAYYLKRPKRLALLLIVFVSVSFFVWDRQTLVREHEDEVAKLYDELHRLENLLEETKSKVVQDDPIEKERREKVKEAMIHAWSSYEKYAWGNDELQPQSKNGVNSFGGLGATLIDSLDTLYIMGLTEQFQRAREWVANSLDFNKDYDASVFETTIRVVGGLLSAYDLSGDKVFLEKAKDIANRLLPAWDTSTGIPYNIINLARGNPHNPGWTGGDSILADLGTEQLEFIALSQRTGDPKYQEKVEKVIVALNRTFPSDGLLPIYINPDRGTGSYSTITFGAMGDRDMWETSMKGLLSLIRRSTPSSFTYICEKNGDALSDKMDELACFAPGMLALGSSGYGPDEAKKYLSLAEELAWTCYNFYQSTPTKLAGENYFFHTGQDMSVGTSWNILRPETVESLFYLWRITGNKTYQEWGWNIFQAFEKNSRIESGYVGLKDVNSGVKDNMMQSFFLAETLKYLYLLFSPPTVIPLDEWVFNTEAHPIRIVNRNDGNFAKSDVQHKPVIRIRGRKAGRLGDN >OMO76114 pep supercontig:CCACVL1_1.0:contig10922:109360:112422:1 gene:CCACVL1_15910 transcript:OMO76114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MDGIHGDNGSTHMGNDPMQHHVHYERHHHIMNGNGVVVNVDDDDPHHHGLNPDDGDDNLEEDEAGDFPSEHHPGNLSDNQGPEIGDQLTLSFQGQVYVYDSVPPEKVQAVLLLLGGREVPQGPQPGPAAIPITTPNNRAGGPQRFSVPQRLASLLRFREKRKERNFDKKIRYTVRKEVALRMQRNKGQFTSSKPNTDDSASSWGSNQSLGGDGNGSQNQEIVCRHCGTSEKSTPMMRRGPEGPRTLCNACGLMWANKGTLRDLSKAAPQTGQSSSLSKNEEQNVNVEGDELRVAENASGS >OMO76115 pep supercontig:CCACVL1_1.0:contig10922:113372:114184:-1 gene:CCACVL1_15911 transcript:OMO76115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKSWSSLRGGRGRKSHYRQSSFGREGESAVCTAQSVHGAMEKL >OMO76123 pep supercontig:CCACVL1_1.0:contig10922:154387:154731:-1 gene:CCACVL1_15919 transcript:OMO76123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSNERSRRWVELLDDSSVARFILYSACGGGLILHQLPSQRKGGAQVPIIFNEHESALRYLAGAIVFAFSFSFSALIIGDGHKPNKIARSFTVGSLLTAAVALSILAFIFLPD >OMO76104 pep supercontig:CCACVL1_1.0:contig10922:19882:24263:1 gene:CCACVL1_15900 transcript:OMO76104 gene_biotype:protein_coding transcript_biotype:protein_coding description:GC-rich sequence DNA-binding factor MSSGNRAKNFRRRGDNADDDDTNEDNNTPTTATATKKPSSSKPTAKKPPKLLSFADDENEEETPTPSSNRNRDKEKPLSSRFSKPSSSAHKITSSKDRKTASSVLPSNVQPQAGTYTKEALLELQKNTRTLAAPSSRASSVSSEPKIVLKGLLKPQSQSLNAEPDIGPQENLDKDETESRLATMAIGKGADLDGSDFPDQATIDAIKAKKDRARKSFVRPAPDYISLDSGGNHGSAIEEELSDEEPEFRGRLFGESGKKGVFEVVEDRAVGVVSRKDEINDEDEDEEEKMWEEEQVRKGLGKRMDDATNRVVSTRTNGVGVSMVHNMQQHGYGYSTVSSYGSTMPSVSPAPPSSIVGAARPSQGLDVMSMSQQAEIAKKALQDNVRRLKESHDRTISSLTKADENLSASLFNITALEKSLSAAGEKFIFMQKLRDFVSVICEFLQHKAPLIEELEEHMQKLNQERASAVLERRSANNGDEMMEVEAAVKAAMLVFKERGNNAAAIEYAAKEAQAASARGQANLPVKLDEFGRDVNRQKRFDMERRAEARKHRKARFDSKRLSSMEIDSSYQKIEGESSTDESDSESTAYQSNREMLLQTADEIFSDASEEFSQLSAVKERFERWKKDYASSYRDAYMSLSIPAIFSPYVRLELLKWDPLHGDEDFSDMKWHNLLFNYGFPEDGNFAPDDADANLVPALVEKVALPVLHHDISHCWDILSTQETKSAVSATSLIIDYVPASSEALAELLLTIRTRLSDAVADLMVPTWTPLVMKAVPNAARIAAYRFGMSVRLMRNICLWKEILALPVLEKLALDELLYGKILPHVKNISSDVHDAVTRTERIIASFSGVWSGTNVRQDSSRKLQPLVDYVLSLGKTLEGRHASGVTESEAGGLARRLKKMLVELNEYDSARDIARRFHLKEAL >OMO76103 pep supercontig:CCACVL1_1.0:contig10922:12947:13366:1 gene:CCACVL1_15899 transcript:OMO76103 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-specific lipid-transfer protein MAAEHPNLIKLFPVFLMLSMLGVGPRAPSTVVAAAATSDQLDCSPPLGCCVGVSELRMVSFINYPQTYRQEVCKCLNQFSQTATGVRVYEAITTKCNITIPYYNTRMTATDCDK >OMO76108 pep supercontig:CCACVL1_1.0:contig10922:72528:77066:1 gene:CCACVL1_15904 transcript:OMO76108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MAIAAQAPDILGERQSGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIVAAEFLKRANDLVRNKIHPTSIISGYRLAMREACKYVEEKLAVKVEKLGKDSLINCAKTSMSSKLIAGDSDFFANLVVEAVLAVKMTNARGEIKYPIKGINILKAHGKSARDSYLLNGYALNTGRAAQGMPLRVAPAKIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQREADMTKERIEKLLKAGANVVLTTKGIDDMALKYFVEAGAIAVRRVRKEDMRHVAKATGATMVSTFADMEGEETFDSSLLGYADEVVEERIADDDVVMIKGTKSTSAVSLILRGANDYMLDEMDRALHDALCIVKRTLESNTVVAGGGAVEAALSVYLEYLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKKHFSSMGLDLSNGTVRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIKLVKDESQNEE >OMO76117 pep supercontig:CCACVL1_1.0:contig10922:123530:124282:1 gene:CCACVL1_15913 transcript:OMO76117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSATAEGGGNKLCELCKEEASKLGLSRHAVVPTATSALRLCLERLPVLPFRVSLAAAFWVGLRLTGGDMSIATSQNLRRLEEHSGVPAKLIVAIEPKLARVMRLRRRRFRQDLEEGWAECNV >OMO76105 pep supercontig:CCACVL1_1.0:contig10922:25847:27772:-1 gene:CCACVL1_15901 transcript:OMO76105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex protein Exo70 MAEVESFDNLLASRNLLKTSLENSRALALALDKTGPKLLEIDKKLLSLETAFRPSPSKNCTFAAIRDHVSLALGPAVAVLNILNSIRELEKDLLSSSGLSSHLSTIRQLEEALKFLTGNCNLAIQWLQGVMEFLEENSVAKHKYIFNVKRSLAILQELKATGEGEHACLHEAFHKLEISFKQILTEEQEPIVQKLQAIVERLNGSNRLKNCMSIFIEVRILSARKRLQALDLDYLEKSIMEFDDLQDMESCIDEWSKDMEFIVKNVLEDEHRLCKEVFGSIGSGVWMGCYAKIASQSGILSLLQFAMSIADSTKSPNKLLNLLQIFAVLENLRMDFNKLFKGEDCIEIKTLTTDLVTKVVNGASEIFWELPIHVEQERQSSPPKDGGIPWLVSFVTDYCNQLLDDNYRPILSQVLKICQGWKHEKCEEGLVTNQFYSIVREMAVNLDAWSKAYEQRSLSYIFMMNNHSHFHSLKGTKLGNLMGDSWLSAHGQYKEYYSALYLRESWGKLLTYLSHMDHNLPISSDLPKKRVKAFNEAFDDMYKKQSNWVIFDENLRQKMHQLVVQGLVPAYTSFLQKYSLSLKHNDTSSRNVKYTVQSLENMLNTLFQPKQIRYTSNIDSDFTSKQRNAVTNQIRFSLEAM >OMO76119 pep supercontig:CCACVL1_1.0:contig10922:135835:137780:-1 gene:CCACVL1_15915 transcript:OMO76119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MRMSKISSLLSGYRPWIFVCLTTLVLLGGLSRSNNQDEISITTISKVVQKPVRFPHKGYGYPPVLAYWISGSNGDGRKILRLLKAIYHPRNQYLLQLDAGSSEHERAELALSVESESVFQAFGNVNVEGKSYAVNRMGSSALAATLHAAALLLKINADWDWFITLSASDYPLMTQDDLLHALTFLPRDLNFIDYTSNAGWKEREEIDRIVIDPNLYYKKNSPIYYAVETREPDAFKIYGGSPWVILSRFFTEFCVQGWDNIPRKLLMYFSNVAYPLETYFHTVICSSTEFLNTTLDNDLRYIIWNSPSPRQGEPESLNTSRYDEMVASVAAFAHPIPEGDPLLNKIDEDVLNRLPGKTVPGNWSICQGKSKNMTGDEEEEFYSAWGDIDAVKPGPKGIKLGDILSKLAAERRLGPSQCQKQQ >OMO82395 pep supercontig:CCACVL1_1.0:contig10042:1609:2287:1 gene:CCACVL1_11958 transcript:OMO82395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S28 ACTEMIMPTSGNNKESIFPESQWSYARRAEWCNDSYGIDPRPNWITTVFGGHDIYRVLKRYGSNIIFFNGLRDPWSGGGVLKNISESIVAIVAEK >OMO85906 pep supercontig:CCACVL1_1.0:contig09560:11208:17747:1 gene:CCACVL1_09932 transcript:OMO85906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGDEKRKKRKELYGVFVMVMVFLLLCPVASSLNDEGKALMMIKASFSNVANVLLDWDDVHNADFCSWRGVFCDNDRLSVVSLNLSNLALGGEISPAIGDLKNLQSIDLEGNKLTGQIPDEIGNCGSLVHLDLSDNLLYGDIPFSISKLKQLEFLNLKNNQLTGPIPSTLTQIPNLKTLDLARNKLTGEIPRLIYWNEVLQYLGLRGNMLTGTLSPDMCQLTGLWYFDVRGNNLTGVIPDSIGNCTSFEILDISYNQITGEIPYNIGFLQVATLSLQGNRLTGKIPEVIGLMQALAVLDLSENELVGPIPSILGNLSYTGKLQLNDNQLIGTIPAELGKLEQLFELNLANNNLGGPIPHNISSCTALNQFNVHGNHLSGSIPLGFRDLESLTYLNLSKNDFKGRIPIELGHIINLDTLDLSSNKFSGSVPASLGDLEHLLTLNLSRNQLNGSLPAEFGNLRSVQTIDLSFNSLSGNIPIELGQLQNIVSLILNNNKLQGEIPEQLTNCFSLANLNVSYNNLSGIIPPIRNFTRFSSDRYAIVFCYSCFEDTQIVSNTDVNMAVSFIGNPLLCGHWLGSICGPSLPKSRVFSRAAVVCMTLGFITLVAMIIVAIYKSNQQQQMIKGPVKSVEGPPKLVVLHMDMAIHTFDDIMRFTENLSDKYIIGYGASSTVYKCTLKSSRPIAIKRLYNQCPHNLREFETELETIGSIRHRNIVSLHGYSLSPFGNLLFYDYMENGSLWDLLHGPSKKVKLDWETRLKIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEAHLSDFGIAKCIPTTKTHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNESNLHQLILSKADDNTVMEAVDPEVSVTCMDLAHVRKTFQLALLCTKRHPSERPTMHEVSRVLVSLLPAPPAKLCSAPPKSIDYAQFVIDKGQQRSQLDQQQQQQVQQESNSSDAQWFVRFREVISKNTL >OMO85907 pep supercontig:CCACVL1_1.0:contig09560:18547:22108:-1 gene:CCACVL1_09933 transcript:OMO85907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEIESGEKAEEFYSVEEPSEVEECFDFTYEFDAPQRYDFNREETDWEVKEAELWFESVGGYPPSPFVLKLKWRYGIDGNEEFGNSSIDCDDREACSCSNEDNNLMATTNSKSNSGVKFSPFRSSTLMNPTASYLAKQNRYSPPVHCHQLERRFQKFSAKLDDKSATSSSVPEGNATKRQKLEVGYLCRVAHLTHQAVFMHKKPKMVQSLDGNSIHAKPKVTVPREPELQTAIRAERRRSRVTVESDESSTSRAHSFKALPLNRKIFDSPSWPLPKKSLPQPTEFQAMRLPNYVSTSRKENTGLRSFKSVNLSKDEKCEALNKFKSSSKKKISSKGETGVCQNIEKETTAPVESKILAERRLPNEPPEELLNKLSLSSEAHFCEKSRANWSLSEGFKENERGLFPLQCQELVKYQVIYVDGGRIPLSCAKNIYRNYCSRQNFDEKSRYLC >OMO85904 pep supercontig:CCACVL1_1.0:contig09560:2410:4898:1 gene:CCACVL1_09930 transcript:OMO85904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPAKLRCQRIGCNATFTDDDNPEGSCTYHDSPIFHDGMKEWSCCKKRSHDFSLFLEIPGCKTGKHTTEKPVLAKPAAARRNPTPIPTSTIATSKTDKESCPRCRQGFFCSDHGSQPKELNSKAAPAESSADIQAPAPPKKKIDINEPQTCKNKGCGKVFKEKDNHETACIYHPGPAIFHDRMRGWKCCDIHVKEFDEFMTIPPCAKGWHNADPVS >OMO85905 pep supercontig:CCACVL1_1.0:contig09560:10525:10674:-1 gene:CCACVL1_09931 transcript:OMO85905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPFFFIRLSAWLIPHGVNGDVCETRDGEGECRRGVLYEQGVEVGRA >OMO85903 pep supercontig:CCACVL1_1.0:contig09560:322:1513:1 gene:CCACVL1_09929 transcript:OMO85903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger VEPIRNLFAALFLSGIGMLIHVHFLWNHVDILLAAVILVIVVKTAVAVVVAKAFGYNIRTSFHVGVMLAQIGEFAFVLLSRASNLHLIEGKMYLLLLGTTALSLVTTPLMFKLIPYVMNLGVLLRWFPSESSSPNEEKVPIIEPRDRLL >OMP06503 pep supercontig:CCACVL1_1.0:contig04911:2740:7162:1 gene:CCACVL1_01544 transcript:OMP06503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GYMTATTRVNAASVINLTALIFIGLSETLKLSETLHTPKDHIDIYSKAIHSLESYLEITKLSSIKTIS >OMP05176 pep supercontig:CCACVL1_1.0:contig05496:2064:3170:1 gene:CCACVL1_02028 transcript:OMP05176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MNKSLKIYVYPHPQDDPFKNVLLPTDYDPRGNFASELYFKKALMKSHFVTEDPDEADLFYMPFSISPMRTDHRIDVHGIPDFVKNYLTNITQKYPYWNRTGGADHFYVACHSIGKIAFNKAFVAKLNVIQLVCTSNLFSQSYFAHKDASMPQVWPRQDDPPNLLTSERKKLAFFAGAVNAPARIFLLKVWANDTEIFAHSGRLPTPYSEQLLGSKFCIHAKGYEVNTARIADALYYGCVPVILANQYDLPFTDILNWKSFSVVVHERDIPVLKRILQGISSEEYAMLQSNVIKVRKHFQWHSPPIDFDAFYMSMYELWKRRSVVKVRS >OMO85203 pep supercontig:CCACVL1_1.0:contig09653:21491:22655:-1 gene:CCACVL1_10363 transcript:OMO85203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MDTSTSNYPMMPQKEEKMSKGLKRSLLLVNCTMLSLGNVGGPLIMRLYFLKGGKGVWTSSCLETAGWPFILFPLIFSYLNRRKKEGPGTKLFFMKLPMFLASVVLGLLTGVDDFLYAYGVARLPVSTSALIISTQLAFTAGFAFLLVKQKFTSFIINCIFLLTLGAVVLALHTSSDRPENESNRRYFLGFFMTLGASALYGFVLPAIELTYKKAKQTITYSLVMEMQMVMSFSATVFCAIGMIFNKEFQAIPREANEYELGKSTFYVVLVFNAVLWQFFFMGAVGVIFSGSSLLSGIIIAALLPVTESLAVLFYHEKFQVEKAISLVLSLWGSLSYFYGEFQENKKKKKNQPLEAELA >OMO85202 pep supercontig:CCACVL1_1.0:contig09653:18432:20784:-1 gene:CCACVL1_10362 transcript:OMO85202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRSCNYSLSRLLSTGAAGTEYVEEYPNNLETDDLKSRIFRLRLPKRSATTVIEKWVGEGNQVSISDLRQISKDLRKSQRFKHALEISEWMVSHEQYKLSDSDYAIRIDLMTKVFGIDAAERYFEELPQSAKTSETYTALLHSYAAAKFVDKAEELFERIKGSDLSFSALMYNELMTLYMSVGQVEKVSYVVEELKRHKVEPDIFTYNLWISSCAAALNIDQVNRILDEMKCDSGCNDDWVRYINLINIYVTASRLANAESSSPVETEKGITQSEWITYDFLVMLYAGLGNKDKIDQIWKSLRMTKQKMTSRNYICILSSYLILGHSKDVGEVIDQWKQSTTTDFDISAFSRILSAFSDVGLTEKANDLNLLLIQRNCSPTNE >OMO85204 pep supercontig:CCACVL1_1.0:contig09653:23187:26712:-1 gene:CCACVL1_10364 transcript:OMO85204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSPSLSQHSQSSLTDSPRKLTSIKAVAAELHGLTSIPSVYSFQTVPNIVNDEALSVSEADDESIPTIDFSLLTSSNPEERSKVIQDLAKACQDWGFFMVTNHGVPESMMKAMIEACAAFFELPEEEKQEFEGKHVLDPIRCGTSFNASVDKVLFWRDFLKIFLHPHFHAPTKPSRFSGNTLHVPLRVTVQLSGQ >OMO85200 pep supercontig:CCACVL1_1.0:contig09653:8987:11039:-1 gene:CCACVL1_10360 transcript:OMO85200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMMMMTQKLRDSVTFLPLKDIRFANQPLQGHTWFMSSRNDTHEEGEVQYQQFPSESSKGRLLCFKGRSTHDGSWNYYALAWPEALPSNATLMKGLTFISNNHYDYHNIWHGLSALYPFVAWHRRNNCETPTRWILYHWGELRFSMANYLNTLMEATFGQVPYIERFEEIEDDDQPFCFEKAVVMRHNEGGMSRERRMEVYDLIRCKFRRYCNVSLERKTPNDIGMTLLLRTGPRSFKNDSAVIGIFQKECKKVQGCRLLVAYSSNLTICEQVKLMSLTDILVSPHGAQLTNLFLMDRNSSVLEFFPKGWLESAGVGQYVFQWMSSWSGMKHRGTWRDPDGEICPYPDKSGNRWSDDLKRTSLLRPNIENGVKLA >OMO85199 pep supercontig:CCACVL1_1.0:contig09653:5880:7531:-1 gene:CCACVL1_10359 transcript:OMO85199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKLRDSVTFLPLKDLRFANKPLEAHTWFMSSMNDTHEEGEVLYQQFPSESSKGRLLCLKGRDTRDGSRNYYALAWPESLPPNSVLMKGLTFISNSHYIYDNIWHGLSAMVPFVAWHRKNGCQSIPTRWILFYRGEFRFKLGNWLNTLMAATFGQVPYLEGLKEIEDGDNNKRPVCFEKAVVMRHNEGGMSKERRMGVYDLIRCNARLFCNVSLERTTSDVGMTLLLRIGARSFKNDSAVVGIFEKVCKEVEGCRLMVAYSNNLTVCEQVKLMSSTDILISPHGAQLSNLFLMDRNSSVMELFPKGWLEYAGVGQYVFHWLASWSGMRHRGTWRDPDGENCPYRNDDQRCMSMYKNGRIGYNETQFSAWARDVLNDYVKTSKLEETSKQGLSISKTCDCS >OMO85206 pep supercontig:CCACVL1_1.0:contig09653:45174:49773:-1 gene:CCACVL1_10366 transcript:OMO85206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFPSVSQPSQPSLTDSPPKLTSVKALAAELSGLTSIPPGYNFQTIPNIVNDEALSEPNNESIPTIDFSLLTSNNPEERSKVIQDLAKACQDWGFFMVINHGVPESLFKAIIEACRAFFELPEEEKQEFEGKHVLDPIRCGTSFNVSVDKVLFWRDFLKFLMHPNFHSPTKPSCLRFNK >OMO85205 pep supercontig:CCACVL1_1.0:contig09653:37821:41669:1 gene:CCACVL1_10365 transcript:OMO85205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNALGFSLTILDPEHALLLIIEAFSFPALLAKLLRSVNKDYPK >OMO85198 pep supercontig:CCACVL1_1.0:contig09653:1204:1359:-1 gene:CCACVL1_10358 transcript:OMO85198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQSPTTKEKMHVAKQIEGIRGSTPTTHLRWFRHHVLFLSLTLQFASQSS >OMO85201 pep supercontig:CCACVL1_1.0:contig09653:13198:15829:-1 gene:CCACVL1_10361 transcript:OMO85201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALGKKRKGPEVLPSCYSRQKKKYKEDEVKVSLCSVRSVVKGVVTAPSCGSASLVPPTRGLKRKIGCIDAATKLGRKKKIEQDYDLGAMIGCGRFGSVVLCRSKVNGEEFACKTLRKGEELVHQEVEIMQHLSGHPGIVTLKAVYEDLKSFFLVMELCSGGRLLDQMAKERQYSEYCAANILKELVLVIKYCHDMGVVHRDIKPENILLTASGQMKLADFGLAVRMSNGQSLTGVVGSPAYVAPEVLMGNYSEKVDIWSAGVLLHALLVSVLPFQGDTLDSVFEAIKNVNLDFENGLWSSISQPARHLVTNMLTRDVSARLTADEVLRHPWILFHTEATLEMVALESKMINHGRLTSQQLTISPGVVSKRDKIIPGGFLNYDSFHSLSSNDDSSSTTRSEVQDCVLVDALTVAISHVRISEPKRTRICGPTGPAQQECSSNLTVNNLCTAF >OMO70915 pep supercontig:CCACVL1_1.0:contig11772:11975:37193:1 gene:CCACVL1_18580 transcript:OMO70915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTPRLEEAKNLMEMSICFFLALFGGLALIIQLQVSN >OMO59637 pep supercontig:CCACVL1_1.0:contig13910:16627:18438:1 gene:CCACVL1_24703 transcript:OMO59637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSLSRRVQNFQSTRQLYNLRSNHLLSTNPLSQNNRANNKVPKQEFESWLTVVSTLAEGGTDMDLTLHKASEALHSGVKPNDYSLVHLVRVSTDLGYGSYCKQLHCYILKSGFVSNVYVSNALMRFYRRNDLLYEADKLFVEIPQPSVVSWNSLISGFVQSGQFRKALLLFLELQRSEVCGNAYTFTSALTACGRLGFLHLARSIHSNVLKFGLERGIVVENCLIDTYGKCGAVDDAILVFHSMTDKDIISWNSVLAACARNGKLQQAFSFWRKMLTRDTISYNELINGIAQFGNIDDAIGILLNMPNPDSSSWTSIMTGYVNRNRAREALQFFSKMHANDVEMDEFSVSIILSGIAGLSALTWGMLTHCCTIKCGLDASVVVGSALIDMYSKCGQVKNAQSVFQSLPIRNLVTWNAMISGYAHNGDSMKVIQLFEQLKAERDLKPDWVTFLNLFAACSHNETLVQEAYRYFESMINDYGIKPTVEHCCSMIRLMGQGGEIWRAERMIYELGFGSYGVVWKALLGACGICKDLMVAKLAAAKVIELESDNDYVYVMMSNIFAHHHKWGEMSVTRKFMRDKRVIKEAGCSWIEMENVKLETSI >OMO59642 pep supercontig:CCACVL1_1.0:contig13910:35152:39072:1 gene:CCACVL1_24709 transcript:OMO59642 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MGVAKAWRFSVISANLALLQHPNGNVSSNKHVCWRLRSSAGQQRKPISWSLVCGLMLFCLGLISLFTGHVASDLEWYSQRLVKRSLYFKLDGTTKGPIDIWKSDYAKYFYGCSKRGRKFRNAVREKSSKGYLLIAASGGLNQQRTGIADAVVVARILNATLVVPELDHNSYWKDDSDFANIFDVNWFISYLAKDVTIVKRVPDKFMRSMDKPPYTMRVPRKSPPEYYLDQVLPILSRRHVLQLTKFDFRLANNIDEELQKLRCRANFHALRFTKPIQELGQKLVMRMREMGKRYIAVHLRFEPDMLAFSGCYFGGGDKERYELGEIRKRWDTLPDLSADGERRRGKCPLTPHEVGLMLRALGFSNDTYLYVASGEIYGGEETLRPLRELFPNFYTKEMLAKEELKPFLPYSSRLAAIDYIACDESDVFVTNNNGNMAKILAGRRRYMGHKRTIRPNAKRLSALFMERDRMDWDTFARKVKAVQRGFMGEPDEMRPGRGEFHEYPYSCICEKPLSEVTDNKSGDPQSEQVIKLRKRSKEEESASLGDDNEESFPD >OMO59635 pep supercontig:CCACVL1_1.0:contig13910:6372:7439:-1 gene:CCACVL1_24701 transcript:OMO59635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MEKHKCKLCARTFSNGRALGGHMKGHLATHPLPPKSSTTTHQQPLGHRTDSANSSSSSSSGEEQDEKNREVIEEKSLGYGLRENPKKSFRFADPEFSFAVDSGSVVQDRESETESRNPTRRRSKRNRKVAVAVVVTTNNAATMEEIIKKPKFESKPSFVESPTEPEPVSSVSDHTSPDEDVAMCLMMLSRDVWKPNNNNTEQKSVELLLEESEEEIELNNKNIKTTEELFSNKKIRGKHRCGKCKKVFRSHDTLVEHKKKLGCCETKNAGKVSASAAAAAVNVGNDKIFECPFCYRVFGSGQALGGHKRSHLLAAVASSSNAAAENSSIKFETKLIDLNLPAPMEDDEFSVVSDA >OMO59638 pep supercontig:CCACVL1_1.0:contig13910:18927:20491:-1 gene:CCACVL1_24704 transcript:OMO59638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPSSIVPYNDPFENMFSDDEIMNILEFSNPTLEDLTTQEQEVPFLYPSSFSDQSSDQIDDFMDPLDDPDIRDFFNESNNTENSQTTSFGVCGEMSNQSEMNLESQSLNYENSRPVSVWPSEPVPFGCSSCQILREIIHTNGIVVTKLEIHGRLGMICHAILDQPDSSNQYLMFDFCKKSIEEVKQFLTQYCIDRSQMGYIMIKDPLSVFYEAICVGVSWGEDLCNNNNDDLIQPSSSNAGEFQWDQAGTNYNKNQEKPPKPSLATQAFHFPSTQSYRIFHLLHLQIQSMEKKMSHWTSRLSSNDPQERARAQDEIRNLQRQMAKFGEGAR >OMO59640 pep supercontig:CCACVL1_1.0:contig13910:25640:26554:-1 gene:CCACVL1_24706 transcript:OMO59640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M10, metallopeptidase MGSHVSGMSELKKYFQRFGYLSIPDSQNDNFTDVFDTQFENAVILYQQKLGLPVTGKLDSDTISTITSPRCGVSDTAPRIHATKHFAYFYGRPRWDRGSPLTLTYAFSPSNMINYISLSDIRTVFQRAFSRWASVIPVNFKEIEEYESANIKIGFYNGDHGDGEPFDGVLGVLAHAFSPENGRFHLDEAETWAVDFEKQKSKAAVDLESVATHEIGHILGLAHSSVKEAVMYPSLSPRKKKVDLKLDDVEGVQALYGTNPNFKFSSLLESENASNKANMGLNCKSIKSTLSLVVAVFFLLLIIT >OMO59639 pep supercontig:CCACVL1_1.0:contig13910:23146:23613:-1 gene:CCACVL1_24705 transcript:OMO59639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTCSRFISRSSSSLKSAFRSNGPKSLLSRSAAAPSATRSPLPSQSNSPLRRFSLSRCPSELGCAQSLLPLHSAVAAARMTSCLSSSSRSCRALSQGTLCCTYPGL >OMO59636 pep supercontig:CCACVL1_1.0:contig13910:12838:14758:-1 gene:CCACVL1_24702 transcript:OMO59636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MVHMKRDVIEDLAIIGGLVGVQFVYAGNSILLDHIMSLGISPFTIVIYITFATFIILSPFAVYFERKKWPKKLTLKFIIQLVLISFGGVTLFQSLFLKGIKLTSPAVATAMPNLAPGFIFVIAWTCRLEKVALNCLYSRVKIVGTLLCVVGALTMSIMQSTVTSTDAIILAPPTDNNNNVIFDKDKIIGCMYLMAAVLVLSSNVVLQATTLGDFPAPMSLCAITSLIGVIITAMVQLLQDHELQLDWTLVSIRQMIGFSVLGGAVSGACVSFNGWAMRKRGPVLVSMFSPIGAVISVILSFFTLGEAASVGSLAGMFIMFTGLYFVLWAKGKEIYMDENSLESEFDPEKPLLS >OMO59644 pep supercontig:CCACVL1_1.0:contig13910:42483:51422:-1 gene:CCACVL1_24711 transcript:OMO59644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDDNDFQSQNLHLAGEGNNKFPPVLRPYALPRFDFDDNLHGHLRFDSLVETEVFLGIESSETNQWIEDFSRGSAGIAFSSSAAEPCSISRHNNVWSEAASSESVEMLLKSVGQDETIPGQTIRKDSDVGDELGCIIKQMEPSLKHEDSGLSKAGDDSVPALQTGEIPGEFSGLKADAGGNPLVEDVSQKYEGEPAVHGAFEDPNAIGRNNDLPVTERDESNDCEQIVNENQMDALVDRPVENSGEEDKFASGSQVDAVITHVQSTPTGSSLIDSQDTTHLKNDIIDENVDSLEREKNVDLSQEVHIDGKNLIDKSVASFSLNAERHSALDTQPKDDGHAIGNSTANLGEDFDGIVKGDSDLHTVEGCSEGLSVEVPLQTGKSEEIVLSEGKLLDISKLPSVGDTTLKEHESKIGNADTCTSSASNMDSMVQISCDAIEKKGLVESDCQPDMNLSSGAEESLLSVEDGKGSKAEGAAEPMRTSEDYMVTEHNDVYKCDQSVSAAENQNAKLPSGCGKTERGADGSPLVTKGFDPSSLSTDRKANELASNLPSDVAASGKSGKGTPCETHEQFSCEKVDLSSSVKDTSNAEAQSGDQKLDNDRVEDGNDMQASSLASASTVRETGDAEAQVISVSGSSEAAGDVSVQQNDKIPTNPAPSTTKEPSSNTGQDHEPKSASQMKISDHVDGDPGKITNSSFSYTHSSESQTKLPMMESGKSSADVDNPSCGSPIVIRTSEQPQTKIGEGVKRSTDQSASASGIISEETNKEQSISQGAKGNDASPGDRSFTFEVPPLANFSEKEASKNWQPFSTMQHDKISPVVEGTPSTSRSGKVAAKTAQDASQGKLQTSEKENVRGSSKGTSERKTRRAGGRSAGRESAKKGIAAKETNPTRQSDRNDRTTNAPLSSPGIGQLVQSNELQPYGHVDGGNMKPFGVLSTSVSNLPDLNTSASSSAAFNQPFTDLQQVQLRAQIFVYGALIQGTAPDEACMISAFGGPDGGRTIWENAWRVCMERLHGQKSHLATPETPLQPRIGAKASDQSIKQIAHQGKATSTQASRSTSKGTPTTIVNPMIPLSSPLWSMSTPSGDALQPTSIPRAGVLDYQQTLSPLHPPPIRNFVGHNASWMSQSPFRAPWVPQTSTFDANARFPVLPITETVTLTPVRESSLPHSSGTKQVSSVPIVQTGSPANIFAGTPLLDTKKSTVTAGQQSADPKPRKRKKSTATENPAQIVPQSQTEPLSATILTSHAAAPIAVTNPATSVSKSTDKFITSVSADHLKKGQRDSDQSATLSEETLGKLKEAQKQAEDAASLATTAVSQRQEIWNQLEKHKNSGLAPDVVTKLTSAAVAIAAAAAVAKAAAAAANVASNAALQAKLMADEALVSSGYRNSFPSNTTSSSNSVILAAREAARRKVEAASAASKQAENMDAIVRAAELAAEAVSQAGKIVAMGEPFSLNELVEAGPESYWKVPQASPQPDGVTREHIDNVASVEAPGSSARNLKEGQLDKREKEGANHATSPTLKEIARESMEGRSRLTDGNLGPAATGGKDKKGQKGRKSSDISKTKGVTSESLGFESPSMITQTEHEKAGDTSKDNNLREGSRVEVLRDGGGLKVAWFTAEILNLKDGKAYVCYNELRSEEDGDRLKEWVDLEREGDGAPRIRIARPITAMPFEGTRKRRRAAMGDYNWSVGDRVDAWMQDSWWEGVVTEKSKKDETSFTVHFPAQGETSVIKAWLLRPSLMWKNGSWVEWSTSGDNNGSSHEGDTPREKRVRVGSPAVEAKGKDKLSKSVDIKESGKPDDMRLLDLSASERIFNIGKSTRDESRPDSLRMMRTGLQKEGSRVVFGVPKPGKKRKFMEVSKHYVADQSSKSHETSDSAKFTKYLMPQGSGPRGTKGKIEPKEKRMAVSKPKALKSGKPPSVSSRTIPQKDNLSNSLVSEPDGDALASDVSKFKDSTSHSENISGKQSMMEFRSFSSSDRIAEGPFLFSSVGLSTDAPPKKASTSNARSERNNKGKLAPAAGRLTKVEEEKVFNGNSSKTTPDVAEPRRSNRRIQPTSRLLEGLQSSLIISKIPSVSHDKGNKSQSKSTKGEI >OMO59641 pep supercontig:CCACVL1_1.0:contig13910:29728:30456:1 gene:CCACVL1_24708 transcript:OMO59641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MEDSSRHVIGYPVQNVTGYSCTAAPPPPPPSSASGTAAYPNVNPKPYPSWVDYLFIAIMVSMLIFVIAISILSAVLDPHHPDFSIQSLSLSNYNSTTNHGVTATWTAQFQVSNPNKKATISYRGMDSFVLYKDFELAGTRMGPLKLGARNSSRVVDASYAMVDAYVDGKTVDAMNAEMRTSGQVKFNVMVFGYVKFGNIWLSGVLPSEKCIRVWCNDVALTNASVGKMTGGPKTCKVRAVRY >OMO59643 pep supercontig:CCACVL1_1.0:contig13910:40356:41318:-1 gene:CCACVL1_24710 transcript:OMO59643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVRGRLNLSLLKLPALALSDECPRFIPFVPPSDTKNAATVTIPASELEKLEVLGRGNGGTVYKVQHKGTSNIYALKVVHGVGDQNIYKQFLRERDILRKTESPYIVRCFETYEKPSGEVALLLEYMDGGTLDTFLRHHGWFSEPEVAHMTRQVLEGLVYLHSNKIMHRDTKPSNLLVTNKNMEVKIADFGVSKVTTLPLDPRNSYVGTCAYMSPERFDPDSNGGNYDGFLGDIWSLGVTAMELYMGHFPLLPPDQNPSWITLMCAICFGDPPSLPSGASDEFQHFLDCCLQKEPSKRWSASQLLGHPFLLKYQGIHIR >OMO49704 pep supercontig:CCACVL1_1.0:contig16431:9022:12786:1 gene:CCACVL1_30837 transcript:OMO49704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLQNPCLVLFHVVLLSLLPLKITCSTRTQAEALVQWKSSLSFAPPSFNSWRLSNLNNLCNWTSITCDSTGLVSQINLSNANISGSIAQFNFTPFANLTRFELINSGLEGPIPSTIGTLSKLLILDLSNNSFQGDLPPEIGRLTELQYLSVFNNNLNGTIPSQVTHLQKVSHLDLGFNYFVSSDWSDFLPMPSLTYLSLSYNYPLDMEFPPFILNCHNLTFLDLSLNQFNGSIPESLFTNLSKLEHLNLTMNLFEGPLPSNISKLSKLRTLQLATNQLTGPIPESMGTMSDLETIELFGNSFEGKIPSSFGQLKKLRRLDLHSSGLNSTIPPELGSCTNLTFLALAGNQLRGELPLSLSQLTKITELGLSENSFDGEIPPALISNWTNLISLQLQNNKFTGNIPHEIGLLTKLKYLFLYNNKLSGPIPSEIGNLNFLITLDLSGNELSGPIPPSIWSLSNLEGLQLFYNKLNGTIPPEVGKLTSLQSLDVNTNQLEGEIPDTISNLTNLGAISLFTNRFSGSIPRDFGKYSPGLFYVSFSNNSFTGELPPELCSGFALKNLTVNGNNFTGSLPSCLRNCTELLRVRFDGNRFTGNITNAFGIHPNLDYIAFSDNQFVGEISREWGECQNLTNLEMDNNRLSGQIPAELGMLSQLRVLNLGANELTGDIPVALGNLSLLFNLNLSQNHLTGDIPQIVGNLEKLQYLDLSGNKLAGDIPVDLEKCDQLLSLNLSHNNLSGQIPRQLGSLSNLQYLLDLSSNLLSGTIPQDLGKLASLEILNVSHNDLSGRIPTSFSTSMRSLRSFDFSYNELTGPIPADGVFKNASGDAFVGNAGLCGDLQGLTPCSSSPTKKKSNQTKVLIAIIVPVCGVIIVATISAVVFICRRQNKKLDEEIKVSKRSVPESTIWEREGKFTFGDIAKATEGFDDKYCIGRGGFGSVYRAVLPSGQVVAVKRLNLSDSDDIQLTNLKSFENEIQVLTEVRHRNIIKLYGYCSRGGRMYLVYEYVERGSLGSVLYGAQKGVELGWAKRVKIVQGLAHAIAYLHHDCSPTIIHRDISLNNILLEEEFEPRLSDFGTARLLNPNSSNWTAVAGSYGYMAPELALTMRITTQCDVYSFGVVALEIMMGKHPGELLNSLSSITLLSNNKELLLKDLLDQRLPPPTDQLAEEVVFIVTIGLACTRSTPEVRPNMRFVAQELSAKTQACLDEPLGSITIGKLTSFQK >OMO50399 pep supercontig:CCACVL1_1.0:contig16195:4324:6084:-1 gene:CCACVL1_30465 transcript:OMO50399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQWGGMPLQGRPDGRLCQGKSPTWDGLTRASRPPGVALPGQAAHLGRPCNGCAAPLGRQVHGGPRDGSVIPSFLGHVASRMFMCQMRGVLKCQNKKNICQRLCQLYENLPGKARAMVDRTRLQHLPSTMSGSIDPPLISAFHILGVPISGQLITFDLDFQELQLACMQQLSVDASLLSSKHWERGGVLTESVFSLCGVSRVAETHAIAWIWTMFGTTLFVDKSGNRIRPSPLHELITEGVAEVPTYSWGAATLAYLYRQLGVASRGDYQGLSGCMTLL >OMO71130 pep supercontig:CCACVL1_1.0:contig11722:22637:22813:-1 gene:CCACVL1_18425 transcript:OMO71130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTNEVTIIPLAVPGLEKEKNSDGEQGCKNTDNDNNAWFERRRSIRSCSIFFVHYCC >OMO71131 pep supercontig:CCACVL1_1.0:contig11722:51370:55597:1 gene:CCACVL1_18426 transcript:OMO71131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine synthase, vitamin-B12 independent MASHIVGYPRMGPKRELKFALESFWDGKISADELQKVAMDLRSSIWNRMAEAGIKYIPSNTFSLYDQVLDNTAMLGAVPPRYGWNGGEIGLDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPDVKFSYASHKAVDEYKEAKALGIDTVPVLIGPVSYLLLSKPAKGVEKSFSLLSLIGKILPIYREVVAELKAAGATWIQFDEPTLVLDLESHQLKAFTDAYSELAPSLSGLNVLIETYFADVPAETYKTVTSLKGITGFGYDLVRGTKTLDLIKSGFPSDKYLFAGVVDGRNIWANDLASSLSTLQALEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKELKSWLAFAAQKIVEVNALAKALAGLKDEAFFASNATAHASRKSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDAQQKKLSLPILPTTTIGSFPQTMDLRRVRREYKAKKISEDDYVKSIKEEINKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTVNGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSMAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRHETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEQSFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSSEEIADRINKMLAVLESNILWVNPDCGLKTRKYNEVKPALSNMVEAAKLIRTQLGSAK >OMO71132 pep supercontig:CCACVL1_1.0:contig11722:55913:55975:-1 gene:CCACVL1_18427 transcript:OMO71132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAADWAFGHLNYISTGYT >OMP11836 pep supercontig:CCACVL1_1.0:contig00786:230:316:1 gene:CCACVL1_00240 transcript:OMP11836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIREGLESGGVCSLTVALNLCAWSKPS >OMO72173 pep supercontig:CCACVL1_1.0:contig11494:21740:33593:1 gene:CCACVL1_17908 transcript:OMO72173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MSAPHVSDSLGEEIRRNSKKYKQTIQTMAADQGDFDLGLDQGAFVAGIAGDSKPAGQSRSFADMVRGGKKDAGGEYKITDLEHDYFIVRFEKKSDYTRILEGGPWIIGGHYLMKMGSHLGRVIKIDTVTWEGSRGRFAKVCVELDLTKPLLPFVIVEGKSVNMEYEGLSLICFECDIFGHRKDECLKLQSLDKEDVIPKKVPETPMEKEEQGFGPWMLVQRRRRFPAKSKFGGNKEGAEVTKERETRSNSRNSKDHVNKKSGVTKALLIEKGTGEANMGFMFQAVGLNIGSNYGPGKKGSNKGALNFANVKNKGVVSPTTTVNGNQQKGRLVVIQEEENTLSTSTSSEDVVIVEDTVVDSMADELAGQPSTEQIGVCYAKFSRTVKELIKDYRPDILCLLETKADTVSVERVGRFLKFSSLMEVPADGFAGGLALYWKAERVNLSIIGYMEQCIHTEVEVDSVKQLFSFAYVRPNGSWKDRFWRELRVFSNSVSIPWSVIGDFNDFAAANEKWGGNNSSDVFRRIVKFRERWDSCSLIDLDSSDTDCVPLAPKENRPFRFEAAWLVHPEFKHLFATAWNKGAGSLISAIEQVSTEVRVWKEEVFGNIYKRKRILVVRIRGIQQSPDYGRSSFLQELELCLQQEFHDVLRQEEVLWLQKSRLDWVLSGECNTKFFHLTTLMRRQQNTIASLKVDNVWVRDQEALGRHVVEYFNSFFAWQRCESFAPVYDTYSIVLNDEEQQHLCRAEENQIAVVRDVLSNFSKASGLHVNLDKSKLWVSPNVPRVKTQCLSRLCEIPLAAELGRYLGVPIIHGRVTKNTYNHAVDKVINKLASWKGKVLSYTVSVVDRLDQCNQNFLWSGEADKSGGHLVSWERLCRPKRNGGLGLRKARVSYVALLAKTSWKLQMREQNLCTEIFQKKYLKGKPFIESSSSRRCSSTWRGLLHTRDCIRRGTRWWIGNGIGTRFWTDWKGIVSKPLCRLCSSAVESAEHIFRMCPFAVGIWECVGRRFGIVSDESLDFTDWLMANGSNKVQVRNGIYWNYFFPALLWGMWKARNEFIFNATGPVADQIISYAFKLALEAGQVFSPNKVLAGTSGQMIKWQHPPAGCFLLNSDGSRRHSDKHASARGLIRDAVGLLIAKERGCMPLIVELDAAVVVNFLKTSMECLHPCYTLGATSSSGFFRKVSAKGPPVQSKPFIEIKKLILSLVWVKLWQRSKDKFKARRHVKVANQALEHLGDQTKHMGFQRSHVGAQLESGLPQPSHHKRQPMSLPLEASLILYRFGDSSLKEISKEEELEDEFVKSFLRTRFHPSVLIENFPSIAPAELSDEMKQLLQQWATEALPGLLTSLLSGMLNSLCYRPLDSASRDNIVDPPSSSQQNDIKRNGDGDGGDDDDDIEDLR >OMO72171 pep supercontig:CCACVL1_1.0:contig11494:13411:13803:1 gene:CCACVL1_17906 transcript:OMO72171 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEMGNMVQECDLYGHKEPWEIWDMFGGATHNQEDVYFFTQLKKKSCNGSRINRSVGSGTWKGEDSGKEIKDPRYSPTPLGFRKRFRYEDGNPEQIGRWILHEYRLSDSLIKNDMDYVLCRLRKNERGNKI >OMO72172 pep supercontig:CCACVL1_1.0:contig11494:20400:20582:-1 gene:CCACVL1_17907 transcript:OMO72172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIGAPGTTAPGIGALTPYAICAWVGRFTGVPTAETRGPPIGCPIARAPYMPTPGAAAGC >OMO72170 pep supercontig:CCACVL1_1.0:contig11494:6983:9398:1 gene:CCACVL1_17905 transcript:OMO72170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MANIDIDGILKGLPNDGRVPKTKIVCTLGPASRSVEMIEKLLRAGMNVARFNFSHGSHEYHQETLNNLRTAMQNIGMLCAVMLDTKGPEIRTGFLKDGKPVQLKEGQEITVTTDYDIKGDGNMIAMSYKKLAVDLKPGNTILCADGTITLTVLSCDPAAGTVRCRCENTAMIGERKNVNLPGIVVDLPTLTEKDKEDILGWGVPNSIDMIALSFVRKGSDLVNVRKVLGPHAKHIQLMSKVENQEGVVNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGSYPELAVKIMARICVEAESSLDYGAIFKEMIRSTPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDERPARHSLIYRGLIPILAEGSAKATDAESTEVILEAALKSATEKGLCKPGDAVVALHRIGAASVIKISIVK >OMO99834 pep supercontig:CCACVL1_1.0:contig06793:473:544:1 gene:CCACVL1_03592 transcript:OMO99834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSRSSYSSSSQISGDEAAPPH >OMO99835 pep supercontig:CCACVL1_1.0:contig06793:2231:2678:1 gene:CCACVL1_03593 transcript:OMO99835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIALAIAFYIGLNFMSTPPPTSLTTMFDEFSREPSSLMSDLEGDEQPIEPISDLGIDRINALMFDDAK >OMO59344 pep supercontig:CCACVL1_1.0:contig14005:58415:59043:-1 gene:CCACVL1_24905 transcript:OMO59344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med21 MDIISQLQEQVNSVAALAFNTFGALQRDAPPVRLSANYPEPPANPTEDSANFADQPKLMSAALVKAAKQFDALVAALPSSEGGEEAQLRRIAELQAENDIVGQELQKQLEAAGMFLILVAISATFHDIKSY >OMO59339 pep supercontig:CCACVL1_1.0:contig14005:19884:21923:-1 gene:CCACVL1_24900 transcript:OMO59339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MLSEEPKTAETAKLKKNKAKKMKKDKKEKKKLKETSVSNVEEEADEQQDEGYMKSDDGLDETDKEAAEVEEEKREENSVPSDKKKKKKKAKLLKEAAEADNRGVCYLSRVPPHMDHVKLRQLLSQYGEILRIYLTPSTHPTPVKGKRPRPSKVQEQEFSEGWVEFARKGIAKRVANMLNGEQIGGRKRSTFYYDLWNIKYLSKFKWDDLTEELAYKSAIREQKLALEISAARRERDFYLAKVDQSRAQSAIEERLKKKQKVQQESGTENSELPVSQKKVIRQVPQKKPVAVETSQSKPRLSKDLLAGIFAGS >OMO59346 pep supercontig:CCACVL1_1.0:contig14005:65304:65933:1 gene:CCACVL1_24907 transcript:OMO59346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCMNGSNFQKNRNQARASLSEEKEKNRILAAENVRASARAEHLRKSRVSAKKRELRLEGDTSRMKREVDSLKKEVQEAHNKIASLEKYWKEVLKKEAEKHEKIMKKTKEDHMQELMKRRAKILGKHLLLAGCKISNMVNSWEECDDTLSDVLAEIGEGVGMTKELKKQVEEVIGVVFPTGPCGQKLADCLQNVLDCNVFIKKHVIRK >OMO59345 pep supercontig:CCACVL1_1.0:contig14005:64138:64290:-1 gene:CCACVL1_24906 transcript:OMO59345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSHPEDVWQNGHGLGNIYAKRKRRGIRDGESREQAGILFWVEALPSTT >OMO59340 pep supercontig:CCACVL1_1.0:contig14005:22560:32036:-1 gene:CCACVL1_24901 transcript:OMO59340 gene_biotype:protein_coding transcript_biotype:protein_coding description:von Willebrand factor, type A MGGTSSKEGSWRQSSSSWGSSPGYPQSSYGQESQNYMPQQSYASPQYYAPPPQQEPQHYPPPQNYGGNKKTLDRRYSRIADNYNSLDQVTEALANAGLESSNLIVGIDFTKSNEWTGKKSFNRKSLHHIGAGLNPYEQAISIIGKTLAAFDEDNLIPCYGFGDASTHDQDVFSFYPEDRFCNGFEEVLSRYREIVPHLRLAGPTSFAPIIEMAMTIVEESGGQYHVLVIIADGQVTRSVDTQNGQLSPQEQKTVDAIVKASNLPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMSKNTATSRKETEFALSALMEIPSQYKATIELNILGSRKGNVPERIALPPPIYGAASFNSSKPSRSTSFQHGSASFSSSKPSHHTSFQPSPSVPPYPEDSPVSAAPAAPTSTYDNQLCPICLSNAKDMAFGCGHQRLKDEELDADDEIAERLIGKSRSWFKHRRVPVRRRFRLKIPSLKRILRRKIKLAKLSFGKVNPGTMKCFQKSCEGPGLKALPSRQGFLLFLVFGAKRSKPFTVLHSASSMKFLFRSISGHGRPLLRALGSRQFSDAAGVKAKRNYASNVSEYNNVLTSLSSRRRHYLLRDAYDDMMLDGVQPNRETFHALVMGTMKGARLQDALFFRDEMKAMGLVPEVALYNFLISTSGKCKNSNVAIQILEEIKRYDVKPNAQTYVAVLHACAADGRLDRVLAIVRDMTAAGAGLNKFCYAGLIIAHMNKTPRGDDVATKIIEFAEQSKGWSSVEVSSGNSGNIGIPEEELYNLPTADIVQRRAFFNRQLTVYHVAFHACADLKNVEAIETLMEMLKKDGQTPDIYITMQAMRCYLHAGDIDRGVRIFEEYLQGGKPPSIELFTTLIEGAMVGYTPRGMQIAQETLVNMTSRSFFLNPKLGSDLLLVAAGEKTGGYTNANYIWDLMQARKIVPNLPAVEAYYNGLRDREIPEDDPRLKLVSRTLENLRLRFGPGPGRP >OMO59341 pep supercontig:CCACVL1_1.0:contig14005:43712:50742:1 gene:CCACVL1_24902 transcript:OMO59341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uridine kinase MKQKNDQIHTRKLLTYLLIGLIGVLGLACLYYGSSFAPGSRRSDDTGFRSDGSDPVFGGFQKNHDLVDLLDEQVYYPEVPKSIPICDMKHSELIPCLDRNLIYQLKLKPNLSVMEHYERHCPPPERRYNCLIPPPKGYKVPIRWPASRDEVWKANIPHTHLAEEKSDQHWMVVDGEKIKFPGGGTHFHYGADKYITGLAQMLKFPGDKLHNGGRIRNVLDVGCGVASFGAYLLPLDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGLLLLELDRLLRPGGYFAYSSPEAYEQDPENRKIWNAMHNLLKRMCWKVVVKRGQTVIWAKPLSNSCYMKRDPGTQPPLCSSSDDPDASWNVSMKACITPYSAKMHKERWSGLLPWPQRLTAAPPRLEEIGVGPEEFQEDTRIWHFRVIEYWKQMKSVMQKNSIRNVMDMNSNLGGFAAALKDKDVWVMNVAPVKTSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWAVFSEIEERGCSSEDLLIEMDRILRPDGFVIIRDKHSVINHIQKFIPALRWDGWLSEVEPRTDALSSGEERVLIARKKLWTEELATIEGGHQHIHQKSIFLRFAQNKVNMSGVAGGTASGKTTVCNMIISQLHDQRVVLVNQDSFYRSFKEEQLKNVHEYNFDHPDAFNTELLLSCLEKLRHGEAVYIPSYDFKSHKSIGPSTLVNPADVIILEGILVLHDLEVRNLMNMKIFVDTDSDVRLARRIQRDIVERGRDTKNVLDQYARFVKPSFEEFILPSKKFADVIIPRGGDNDVAIDLIVQHIRTKLRQHDLCKIYPNVFVIHSTFQIRGMHTLVRDKKTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQITTPTGSVYPGVVFCRRLCGVSVIRSGESMENALRACCKGIKIGKILIHPDGKNGRQLIYEKLPADISSRHVLLLDPVLASGISAIKAISLLISKGVPETNIIFLNLIAAPEGIHAVCRKFPKLKIVTSEIDSSLDRVLGVIPGMGEFADRYFGTD >OMO59342 pep supercontig:CCACVL1_1.0:contig14005:51160:53346:-1 gene:CCACVL1_24903 transcript:OMO59342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus 2 MENGSDEKEASRSNKEGTGLLGPPTFTELPNGRLKCVETGHEMVVKDKDSYAQSKRCRLGLIDFALSHSKAPLNMFKQDPLSRSKLICKLTGDTVNKTEEHIWKHINGKRFLNKLEQKEMEKELKNETKAGEGEQKPKKEKKKKDKKKKKKEKAVEEIISEVRDPAENESDSEEPEFWMPPVGDRWDFDDGGDRWGSGSELEESDEENETEPGVEDGGKESEELSTRTKRMSIEIGPSSFASRKKKSRKNATS >OMO59337 pep supercontig:CCACVL1_1.0:contig14005:5776:15222:1 gene:CCACVL1_24898 transcript:OMO59337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MLSSLQCSNLLLDRYGRVFKSHLFGCPTVVSCDHELNMFVLQNEEKLFQVSYPKAMHGILGKFSLLIVSGDLHKKLRNIGASFISASKTKPDFLNSVEKMSISMMNSWKDCKEIGFYKEVKKFTLNLMVKHLLSIEPEEPLALKILEDFLTYMKGFVSLPVYLPGTPYASAVKARARLSATVREIITERKKEKLGVAKGDFLGVILSKGSLSDEETVSIVLDILLGGYETTATLMALIVYFLAHAPSALQKLKQEHYSIRQSKEDGEPLSWEDYQKMDFTCNVIYEAMRCGNVVKFVHRVALHDVKFKGYFIPSGWKILPVFTAAHFDPSLHENPMEFNPWRWTDKATIKKVMPFGGGYLTFEAGHLFFFTQLPPLCCGDRLTVKVSIDLKGKQKRREMSKSVIETPPKGGFSFDLCKRNEMLAKKGINPPTSFRKTGTTIVGLIFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRQSRVVTALTLLKRHLFNYQGHVSAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAVFESKYREGLTRDEGVALVTEAICSGIFNDLGSGSNVDVCVITKDGKEYLRNHLQPNPRTYISEKGYKFSKKTEVLATKITPLKEKVDIIEGGDAMEE >OMO59338 pep supercontig:CCACVL1_1.0:contig14005:16453:18854:1 gene:CCACVL1_24899 transcript:OMO59338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVTIDGRPIKLQIWDTLKIPLACISLLRETFNHLASWLEDARQHANPNMTIMLIGNKCDLAHRRAVSKEEGEQFAKENGLLFLEASARTAQNVEEAFIKTAAKILQNIQDGVFDVSNESSGIKIGYGRSQGPSGSRDGAVAQRGGCCG >OMO59343 pep supercontig:CCACVL1_1.0:contig14005:53950:55512:-1 gene:CCACVL1_24904 transcript:OMO59343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IID, 18kDa subunit MSNPSTGTSSKSKVGSSQPSETSFKRKRGVFQKDLQHMMYGFGDDANPLPETVALVEDIVVEYVTDLAHKAQDIGSKRGKLSVEDFLYLIRKDLPKLNRCTELLSMQEELKQARKAFEVDEEKLATLE >OMO69159 pep supercontig:CCACVL1_1.0:contig12102:1594:2934:1 gene:CCACVL1_19638 transcript:OMO69159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGNRGFGKKDTMGGTEDAYCSDINTMDNEKITSSLLISSLIDVLIGFGTSRRTSSQLFLSTNERDSKSTVRLQQDPNIEAANRVITYRISCYCVNDMSDALFVNNKIDFVNNTIPRPGVDLPNLQYWMRSNAMVKGRLKSAMHKDVSGSVRYANTAREIWADLEEIFGKGSDSRAYEIRRAVTLLRQEKMSVSSYHTKLKRLWDESSESASSFSQSSW >OMP06740 pep supercontig:CCACVL1_1.0:contig04816:42:122:-1 gene:CCACVL1_01449 transcript:OMP06740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKLSIGIGLKPIKSFKGLLTRADC >OMO89573 pep supercontig:CCACVL1_1.0:contig08673:3254:4099:1 gene:CCACVL1_07762 transcript:OMO89573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACEPVLSSTSSAALSSSFSSGHTTTSSLGFSLSTSNAATTNFNHPVQVRLDRNNFLLWSEPVTMDDLHNLLLGYEYRLEQHTLAEPITANIATKTNSSSSRPPRNQERGRGSFSWSWQRPWKIKS >OMO89574 pep supercontig:CCACVL1_1.0:contig08673:5696:12092:-1 gene:CCACVL1_07763 transcript:OMO89574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLWRSLSKRFSFLSPSRLLSLLQQAWKIAKARAIINSHGSLTPTLKQSSSVPPPASMEESESTSDNQQPWQSYHTVYTNAKAEEEEMGSCLDGNHDSLVMNQHFEKRKKYLEMDTEKR >OMO89575 pep supercontig:CCACVL1_1.0:contig08673:17453:29046:1 gene:CCACVL1_07764 transcript:OMO89575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDVHPSTVWGSGDENPAHGVGTRRRYGVLAMRIQLTVWEQEDGMGLGRNSKTVK >OMO85045 pep supercontig:CCACVL1_1.0:contig09671:10146:10395:1 gene:CCACVL1_10454 transcript:OMO85045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASSCSRLTRTPSSQISMHLF >OMO85046 pep supercontig:CCACVL1_1.0:contig09671:12860:14907:-1 gene:CCACVL1_10456 transcript:OMO85046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CVVYAESEQGVAQAILRGLIDFKRDPWPNISESAKSLVRQMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSMMNRFKRKALRVIAEFLSLEEVEDIKEMFKKMDTDNDGIVSIEELKAGFKNFGSQLAESEVQMLIEAVDANGKGTLDYGEFVAVYLHLQRMANDEHLRKAFSYFDKDGNGFIEPDELRDSLMEDGADDCTNVANDIFQEVDTDKDGRISYDEFAAMMKTGTDWRKASRHYSRGRFNSLSIKLMKDGSLNL >OMO79278 pep supercontig:CCACVL1_1.0:contig10453:6737:8168:1 gene:CCACVL1_13790 transcript:OMO79278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFPLPATVKAELDKIQRRFLCGGSNDLKKIHWINWNQVCKPKEIGGLDIVDLDLNNRGLLKKWVWRFGNERNSLWRMCNLILLLYISYGMTIIVGDGKNIKFWSDHWIGDSPLKLIFPRIFSLAVDKDARIADLRVRMARFGDGRLGCGGTFSTGRLINGIIFKLALRTLLLIRIFLTKSSGCLIAAGTFRFLPSANLAFSCWEVWSFFCKQWGVSWSAPSDAASFTRSWLKPAFYVPVIEFWKMLFFAIICLFGCIETRCPKNISSLLGDSGVRCKRAFSTWVKPPPGYLKFNVDASVRGKPGPGGIGGILRDHEGKYIVEFSKSV >OMO79279 pep supercontig:CCACVL1_1.0:contig10453:17916:18944:1 gene:CCACVL1_13791 transcript:OMO79279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAPTSTTPLSSTPKSPEQESETPTRIQPTKPVFTNGVLKRHQPHHHHHHHHPHAPPIVISYKKCLKNHAASLGGHALDGCGEFMPSPTATPTDPTSLKCAACGCHRNFHLREPEDPPPTTATATIEYQPHHRHHPPPPASQPHRSPNSASPPPISSSYYPSAPHMLLALSGGLTGALDNSHNNSHLPAGAATTGGAVTPNSTNLGSNSKKRFRTKFTQVQKDKMLEFAERVGWKMQKRDEELVQEFCSEVGVDRGVLKVWMHNNKNTFGKKDQSNGNGGVNGSGNNNNIDSGLNHEDDNNESHENNNNNNNNNIHGQNLNHHFESDSVAHVGTNGSSSSS >OMO79280 pep supercontig:CCACVL1_1.0:contig10453:43949:47070:-1 gene:CCACVL1_13792 transcript:OMO79280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRQVVLRREEPSRSTTNSSLTIRSVRYGECQKNHAAGVGGYAVDGCREFMASGEEGTSAALTCAACGCHRNFHRREVETEVVCILDPLPLHGFQPRHAYIW >OMO82100 pep supercontig:CCACVL1_1.0:contig10071:61200:62547:1 gene:CCACVL1_12074 transcript:OMO82100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQTESQQQAPQPQNQLTVQNSGSLSFSSHLSKEDEEISKSALSTFRAKEEEIERKKMEVREKVQLQLGRVEEETKRLATIREELEALADPMRKEVSMVRKKIDAVNKELKPLGHTCQKKEREYKEALEAFNEKNKEKVNLITKLMELVSESERLRMKKLEELSKNIDSIH >OMO82102 pep supercontig:CCACVL1_1.0:contig10071:88835:92425:1 gene:CCACVL1_12076 transcript:OMO82102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKHYLHELLQEDQEPFLLKKYIADRRCQLKKSSPTPRTQLQIKKRNPISQNPNFPANFCKNACFFSFHDSPDPRKSPLFEFQSPAKSPCKSPNAIFLQVPARTAALLLEAALRIQKQSSSKAKNNGGGTFGLFGSFLKRLRNRNRKREIANGAKVAVKDILRKMSPAMEEIKSSNGFEMGFSNCSYNGRPSSAVWSESNEEKSLDMDLDTSTTCSQSEDFEEIFMSNSKNDVFEKNSAFDSCDKHFCESPFHFVLQKGPSFGHRTPLFSSPATSPSRHQKQDKENYEVESLKKLQVEEEEEEKEQCSPVSVLDPPFEDDDEQHVDDDDENDGYDLECSYAIVQRAKQHLLHKLRRFEKLAELDPIELEKRMLEEEQDDDDDIDQENCIYEQEEEDELELESASSDNNEMNVNGFVHEVLKSSFHNLRHIPEDMKRLVSDLIAEEQTEQDCDIVKRVCKRLESWKEVESNTIDMMVEQDFRRELDGWKRNQEQIRETALEVEYAIFGLLMEELSEELVSLNEV >OMO82095 pep supercontig:CCACVL1_1.0:contig10071:12411:12503:1 gene:CCACVL1_12069 transcript:OMO82095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKAVVVNEEDNKNDINPNSGGRKPESE >OMO82097 pep supercontig:CCACVL1_1.0:contig10071:18916:23397:-1 gene:CCACVL1_12071 transcript:OMO82097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSEENSALFPIFVLTIMALPLVPYTIVKILNAFYKKTMTIHCQCSVCSNSGKYKKSIFKRISNFSTCSNLTLVLLWIVMVMLIYYIKNTSHEIKVFEPFSILGLEPGASDSDIKKAYRRLSIQYHPDKNPDPEAHNYFVEYISKAYQALTDPVSRENFEKYGHPDGRQGLQMGIALPTFLLNIDGASGGILLLGIVGVCILLPLVLAVIYLSRSAKYTGNYVMHQTISAYYYFMKPSLAPSKVLDVFIKAAEFIEIPVRRSDAEPLQKLFVLVRSELNLDLKNIRQEQAKFWKQHPAFVKAELLIQAQLTREFSALPPALLRDFRYMLELSPRLLEELMKMALLPRTAQGHGWLRPAIGVVELSQNIIQAVPLSARKIAGGSSEGVATFLQLPHFNDAIVKKIARKKVRTFQDLRDMTLEDRAELLTQVAGLSTAETKDVEMVLEMMPSLTIDITCETEGEEGIQEGDIVTMHAWITLKRGNGLVAALPHAPYFPFNKEENFWLLLADSVSNDVWISQKVSFMDEAVAITAASKAIEELKEGSGASLKEINAAVREAVERVKSGSRNGAVANGVADHKGNKSSSESSDDDE >OMO82096 pep supercontig:CCACVL1_1.0:contig10071:16511:18433:1 gene:CCACVL1_12070 transcript:OMO82096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTKKPRTVICIGDIHGYHTKLLNLWSNLQSQIDPESFNAATVIFLGDYCDRGPDTRKVIDFLVSLPEQYPNQKHVFLAGNHDFALAGFVGVLPGEFEPKETWDEYADHEDREGWYKEEGYEKMHLQARRWSGKIKAKFNAAKGTEYKGSIYDAAPTFESYGVPHGSFDLVKAVPEDHKKFLADMVWVHEEDDVCIETEGGLKHCRLIAVHAGLERRKNVQEQLNYLKARDCRIPKVTPLSGRKDVWNIPKELTETIVVSGHHGKLHIDGLRLIIDEGGGLANNPVAAIVLPSMKLVRDTDNNSS >OMO82099 pep supercontig:CCACVL1_1.0:contig10071:42258:44704:-1 gene:CCACVL1_12073 transcript:OMO82099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 8 MDLHLKQWRNNQHESDSEQHQPSAKIPKLLLDHHQQYPSASSALPLFVPAEPNSSKTLSAVPPDHSNPRFPRMGSYFSLAQWQELELQALIYRYMLAGFDVPQELLQPIKKSLVHSPSYFLHHPLQHYSHYQPAWYWGRAAMDPEPGRCRRTDGKKWRCSRDVVAGQKYCERHIHRGRNRSRKPVEMPTSSTPNNTTTTTMTNNNTTTAPFGGALGGDVGATIGCGGALNKASSPITASPLVGMANRANNNNFGLSRPSPPIDLLHLNHSNSDSKNEHKSLFEAPNEVKNRSDGHILRHFFDDWPRSVQEPENSRTNTNPMNSSTCLSISMPGNGNSSSDVSLKLSTGNGDPRDNARDHQPQLNWANGWSSNPVASMGGPLAEALRSSTSNSSPTSVLHQLPRGSASETSYVST >OMO82101 pep supercontig:CCACVL1_1.0:contig10071:77564:87086:-1 gene:CCACVL1_12075 transcript:OMO82101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMPPPDVRLRKRVAGEFVQEAFVVSEKH >OMO82098 pep supercontig:CCACVL1_1.0:contig10071:30309:41438:1 gene:CCACVL1_12072 transcript:OMO82098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKIFAKKSSYDSDSSSTTVAASEKVLVPGGQGDGTSSFTDQLENPSTVSDDPQVINDVDSDEMEDNKNVASALANNDEEASGEEPSVPLRQKVSTEKSEAKPRSIPPPGKGQKIYEIDPTLSGFREHLDYRYVQYKRMREAIDKYEGGLEAFSRGYEKFGFTRSETGITYREWAPGAKSAALIGDFNNWNPNADIMSRNEFGVWEIFLPNNADGSPAIPHGSRVKIRMETPSGIKDSIPAWIMFSVQAPGEIPYNGIYYDPPEEEKYIFKHPQPKRPKSLRIYESHVGMSSTEPIINTYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDRAHELGLLVLMDIVHSHASNNTLDGLNMFDGTDAHYFHSGSRGHHWMWDSRLFNYGSWEVLRYLLSNARWWLEEFKFDGFRFDGVTSMMYTHHGLGVGFTGNYNEYFGFATDVDAVVYLMLVNDMIHGLYPEAVTIGEDVSGMPTFCLPVQDGGVGFDYRLHMAIADKWIEILKKRDEDWQMGDIVHTLTNRRWLEKCVSYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGEQHLPTGEIIPGNNNSLDKCRRRFDLGDADYLRYRGMQEFDRAMQHLEAKYGFMTSEHQYISRKDEGDRMIVFERGNLVFVFNFHWNNSYFDYRVGCVKPGKYKIVLDSDDALFGGFNRLDRNAEYFTTEGWYDDRPRSFLVYAPSRSAVVYALVENEPEPEPEPVNKSQLVKSSETVEEADVEQSEPVEESEPGPLET >OMO82094 pep supercontig:CCACVL1_1.0:contig10071:996:8128:-1 gene:CCACVL1_12068 transcript:OMO82094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVSKTEFSLQKYTKLAEAQLLWLLDAPQLRLLLIDLARSSPAKAEAMNALFYDTNQQNLDSGINI >OMO69325 pep supercontig:CCACVL1_1.0:contig12064:211:6964:-1 gene:CCACVL1_19554 transcript:OMO69325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MKTYLQAFDLWDVVDTGRDPPPLRANPTLAQMKQHADECAKKFKAMACIQHAVSDVIFTRIMACDTPKQAWDKLKEEFQGTEKTRQQQIINLRRDFENLKMKENESVKQYSDRIMAIVNSIRLLGDEFSEKRVVEKIITTLPEKYESKISSLEDSRDLGSISLPELVNALYAQEQRRASRQEDVTEGAFQVKGKEKAHFVGKDKKKFANRKQNNKRSGESSTNKERKPFPPCPHCKLTSHTKKFCWYSPDAHCKICNQLGHVDKVCKNKGNQQNQHAQVAENQREDEDQLFVATCYATTSNNNVWLIDSGCTHHMTSNAASFKSLDSSYTSIVRIGNGDHLDVKGKGVVAVDTPSRTKFIPDVLYVPMIDQNLLSVGQLPCSGVCEICQLGKQSKLPFPVDKAWRATERSDNGTKYTSEQFGKFCEEAGIEHQFTVSYTPQQNGVSERKNRTVMEMSRCLLFEKKLPKKFWAEAVSTSVYLLNRLSTRAINGKTPYEAWYGVKPSVDHLRIFGCICYAYVPEVKRDKLDHKADTGIFLGYSSNVKGYRVYNLKTEKVILSRNVKFDEFAAWDWNSHEIQATGRQSTMSDQSLELSADEDFYDDYPVRGTRPLTEIYERCNVAVLEPDTYKEAISVSGWFEAMQEEIGMIEKNQTWILVDTPSHKNVIGVRWVYRTKLNANVARHDTIRLLLALAAQKSWKIFQLDVKSAFLNGFLEEEIYVEQPEGFVVKGSEDKVYLLKNALYGLKQAPRAWYTRIDTHLLQLGFQKSKNEATLYIKQSTSDLLIVSLYVDDLLVIGSNSELVQQFKSEMFEVFDMTDLGEMSYFLGMEISQSEQGIFINQNKYAGEVLKKFKMFNCKPVPTPLVVNEKLSKDDGCEKVDETLYRSLIGCLLYLAATRPDIMYSSAELKLHGYVDSDWAGSSDDMKSTSGYLFSLGSGVFTWNSKKQETVAQSTAEAEYIAASSAVNQAIWLRKLLLDLRQVQMQATEIKCDNQSAVAISKNPVFHGRTKHFKIKFHFIREAQQNNEVSLVHCSSDEQLADILTKALPKGRFEKLREAIGVCCKLAKEEQDPRYLNHSLSAVIFDEENCAMCISERNRFSVPSFKSHGLNDSQEAAIIARAQRKRDEKQKKEEDICDDNHKDKESITQKMEGVNYGKKLIDKKGRYAWKKVINETLKQKETKKKLINSEQENYLQPGKKEEKDGASLERKNAQEAKTCMDNPMTFEEFVKKRFNELNEQLRSPPCCSHRGGDERQLPAMIRSKISSEAEFGRSMFERLALVGQKKQLLNMQYRMHPAISSFPNKEFYNGEILDAPTAKNKSHERRFLQGRMCGPYSFINVACGKEQSDHLHSVKNMVEVAVICKIVANLFEEFTRTTQRISIGVISPYKARVHAIQEKLEEKYSECADSEFAVSIRSVDGFQGGEEDVIIVSTVRCNINGSVGFLSNHQRANVALDTCKALPVDIGE >OMO51740 pep supercontig:CCACVL1_1.0:contig15728:911:2806:-1 gene:CCACVL1_29619 transcript:OMO51740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVVRHESIPDILQEIAKEDEKEKRHLLMIFLKSE >OMO89683 pep supercontig:CCACVL1_1.0:contig08651:269:2614:1 gene:CCACVL1_07687 transcript:OMO89683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATSSTPLTHSISLPTQLGQPVQIVAAPGLSDTEFRNAIESSLFKQWLKNLENEDGILANGDMTLTQVLIQGVDMFGKRVGFLKFKADIIDKGTGKKVPGIVFARGPAVAVLILLESEGETYAVLTEQARVPTGRVVLELPAGMLDDDKGDFVGTAVREVEEEIGIHLNLEDMVDLTAFLDPSTGFRVFPSLGGCDEEIGLFLYRGHVEKNTITQLQGKETGLVEHGELIKVRVVPYEKLWRVTPDAKTLMAIAIYEMAKKEGLLPQKS >OMO89693 pep supercontig:CCACVL1_1.0:contig08651:26680:29660:-1 gene:CCACVL1_07697 transcript:OMO89693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDTARENASVVDSSVTEWKNDMGNSDDPENEDGYPLRAQEPDHSHIADKRGKPYNTRYFIIKSLNHQNIQLSIDRGIWATQVMNEPILEEAFHNSGRVILIFSVNMSGFFQGYAEMMSSVGWRRDHVWSQGNGKGNPWGRSFKVKWLCLNHLPFQKTLHLKNPLNDYKPVKISRDCQELPQDIGEALCELLDGSDDVDVLLKRDDLPSKRPCMEPPFSLGVDDYNVPLLSMSWASTQMPYHPFFYQQQADSSRFHSKHLRTLATDYHAATTGASKVASLKNSRTNRNLTNLRVNHDMSTQCDAWGLSAESPLGSTLTEDDFLEMTYEEYLEAHGRISKQICHPGTASSPTIQESTSRKHGDESNSSVKTERRPSRKRTHNSS >OMO89694 pep supercontig:CCACVL1_1.0:contig08651:31421:32372:1 gene:CCACVL1_07698 transcript:OMO89694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLIPNSSDHQDAINVLISNISHIRNDSRQLRRKAAIAFGVNDIDDGKLNNNKRKKIIHRDIERQRRQEMAKLYETLRSLLPVEYLKGKRSLPDHMNEAVNYIKHLQKKMLKLSEKRDELKKLSSNSYGSSSSALEISQDSNSKGSSIMVRPCLAGVEVVISTSFQLSSVLQLIVAEGLSVLTCISTKVNTERLIHTIVSEVNDGRSIELCELQQKLRNISPFI >OMO89684 pep supercontig:CCACVL1_1.0:contig08651:3786:5917:-1 gene:CCACVL1_07688 transcript:OMO89684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLRSLTTATTIAAASALPTAFAASSSSSKSSHFQNTQNLPFLSSPPNRLGLTRTFTSPPTALHMDTPTSDHNTAQENGSLPDLLTEYMVDMKCEGCVNAVKNKLQTVNGVKSVEVDLSNQVVRIRGSTPVKTMTDALEQTGRKARLIGQGVPEDFLISAAVAEFKGPKIFGVVRLAQVSMELARIEANFSGLSPGKHCWSINEFGDLTRGAASTGKVFNPSNGGTDNKPLGDLGTLNVDEKGEAFYTGVKEKLRVADLIGRSIAVYETEDKSDPGLTAAVIARSAGVGENYKKICACDGTTIWEASDKDFVTSKV >OMO89692 pep supercontig:CCACVL1_1.0:contig08651:23496:25698:-1 gene:CCACVL1_07696 transcript:OMO89692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDESKKISKEEVIEKLKDDGDFDKLRLRIIRKLKNNEELRNNIIAAVKQSAALNRPGTENMKRRQLSDAIHDEIGNKVMSQISDSLWEIIRSEDGMKNEITETVQSVYNTLVNLQGKEKGESSIHDTMSIEKEAENNGSVKASAGRLDDTLSDGEPKEPPGFSVSNNRLSNHHHQQKQQHEAEHQLPRPYENGPVKSRKDEQNGQEQDDNDICVPPGFSEDQKQPCDVSDEDPDVPPGFG >OMO89686 pep supercontig:CCACVL1_1.0:contig08651:11072:13183:1 gene:CCACVL1_07690 transcript:OMO89686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MECEADRGTRSLTRTVRGFDVVSGHLGKLALADGSFAIDFANITCYSVLSGLVMGMEPICGQAFGAK >OMO89687 pep supercontig:CCACVL1_1.0:contig08651:15598:15849:1 gene:CCACVL1_07691 transcript:OMO89687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGQLSSPKDTNSSSTLLLFHGFDIVSWPSKGSSLAIGFASIIGYSILSSLAMGMEPICGQASGAKRYNLFDLTMQKIYCRFS >OMO89688 pep supercontig:CCACVL1_1.0:contig08651:19378:19467:-1 gene:CCACVL1_07692 transcript:OMO89688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQKAGPTFVTVLVYVCKPDYGMLKGDS >OMO89689 pep supercontig:CCACVL1_1.0:contig08651:20132:20773:1 gene:CCACVL1_07693 transcript:OMO89689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I9 MPTPDLSQPVRLVKRNRPCFSSSLVYDIGSKNGLKPQKTNSPFSKLLGRSHPPSVMMDITHFLCLIFLFNFCSPTVQGSELSSTTDFGKKKVQTYIVHVEPPEVGVLGQSDKLDLENWHKSFLPFSTASSDGQQRMVHSYKNVISGFAARLTEEVQAMRTKKGFISARPQRILRTQTTHTPKFLGLQQEMGIWKRIKLWERSDNRHAGHWSFA >OMO89685 pep supercontig:CCACVL1_1.0:contig08651:6729:8957:1 gene:CCACVL1_07689 transcript:OMO89685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKNVQLLPKLVPVFILALVFMLKNHVVAEEKEGENNLSTYIVHMKKPEGVLNGVELDSWYQSILPSSQQKRMVFTYRNAITGFAAKLTSEEAEAMKRKEGVISTRPEKVYSLHTTQTPSFLGLHENLGFWNQSSYGEGVIIGVLDTGITPGHPSFNDEGMPPPPAKWKGKCEFEYGCNNKLIGARNFAEDGTPATDDEGHGTHTSSTAAGNYVKGANVFGNAFGTASGMAPLAHLAMYKVCGDEGCAESAILAAMDAAIEDGVDVLSLSLGGGSVPFFDDSIAVGAFSAIQQGIFVSCSAGNEGPYYGTLSNEAPWILTVGASTIDRSIAAIAKLGNGQTIDGESLYQPKNFPSTLLPLVYAGANGKASSSFCAPGSLSNVDVKGKVVLCERGGNIGRIDKGQEVKDNGGAAMILMNDKDDGFSTLADPHVLPATHVSYAGGLSIFDYINSTSNPTATILFKGTVIGNPFAPAVTSFSSRGPNFQSSGILKPDIVGPGVSILAAWPVSVENKTNTNSTFNMISGTSMSCPHLSGIAALLKSSHPDWSPAAIKSAILTTASLVNLGGKPIIDQTNAPADIFATGAGHVNPSKANDPGLIYDLQPDDYIPYLCGLNYTDDEVGTIVQRTVDCSSEPAIVDTELNYPTFSILLPESGSQTYTRTVTNVGPASSSYTCEVIAPAGVDVTVKPNQISFTAVNQKVTYSVTFSRQENISLQFSQGLLTWVSAQHNVSSPIVAIFAE >OMO89690 pep supercontig:CCACVL1_1.0:contig08651:21423:21581:-1 gene:CCACVL1_07694 transcript:OMO89690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPASFTSCPLAILAIPPPLSHKTTLPLTSTPFNDPSAQSADSGLPFMPA >OMO89691 pep supercontig:CCACVL1_1.0:contig08651:22154:22561:1 gene:CCACVL1_07695 transcript:OMO89691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S8, subtilisin-related protein MTIFHISGLGYKDEEVGILAHKPVKCSENPSIPGELNYPSFSVKLGPSQTYTRTVTNVGEANSSYEVIIIAPKGVDVIVKPTKLYFSELNQKATYSITFTRVESDYRIGEFTQGYIKWVSANYYFVRSPIAVRFE >OMO93535 pep supercontig:CCACVL1_1.0:contig08094:42639:45301:-1 gene:CCACVL1_06451 transcript:OMO93535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MKQSNYQEYLRLKGKVEVLQRSQRHFLGEDLGELGGKDLEELEHQLDFSLKQIRSTKMQFMIDQLSDLQRKEEALLETNRGLRRKLDESTSALRSSWEAGEQSIPYNNNNNNPPPHRQSEGFFEPLQCSSSMQIGYNPAVTDQVTTATASPPNGFIPGWML >OMO93529 pep supercontig:CCACVL1_1.0:contig08094:1781:8455:-1 gene:CCACVL1_06445 transcript:OMO93529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar protein 14 MAKPSTSEAKTKKKAKKKGGKKSGPDAVSMKLKADKKPSPFESIWSRRKFDILGKKRKGEERRIGHARSVAIEKRKKTLLKEYKQSTKSSVFVDKRIGEQNDELGEFEKGIMRSQRERQSKLGKKSKFNLSDGEEDEFDDALGFGSLPEKEDFEDEMLSDDDYDDGGEGAKRSAILKQINSHGAQDSSEMGLLEGEDHKHKTKKEVMEEVILKSKYFKAQKAREKEENEQLMDDLDKNFTSLVQSQALLSLTEPGKMNEQPDSYDKLVNEMVLEMRARPSDRTKTPEEIAREERERLERLEEERQKRMLATDYSSDEDGENVEIDSAQRPRAISGEDLGDSFALDEEPRSKKGWVDEILERKDAEDSENEDEDASEDSESAEDTDEDEGSEEQDDEEREKTLSLKDWEQSDDDDIDTDLDEDEDEQEHDDAIGDKEEPKGHIKLKKSEIRKDGKSVDVKKPKSGVKHTSTESEMPYTIEAPRSLEEFSSLLDNLSNGNIIEIINRIRGYNAIKLSAENRKKMQVFYGVLLQYFAVVANKKPLNFELLNLLVKPLMEMSMEIPYFSAICARQRILRTRTQFCEAIKTQENGCWPTLKTLFLLRLWSMIFPGSDFRHAVMTPAILLMCEYLMRCPIKSGQDVATGSFLCSMVLMVTKQSRKFCPEAIMFLRTLLMAATDQKLASEEDCQFYHLMEMKALRPLLLINGSVDEINPLNFLTVMNMPDDSSFFSSNNFRASALVTVIETLRGFVEIYDGLNSFPEIFLPIATLLLKLSQQRHIPEALKVKFNDVAQLIKKKADDAHDLRRPLQMRKQKPVPIKLLNPKFEENFVKGRDYDPDRVRSEIKKLKKLLKREAKGAARELRKDNYFLYEAKQKDKELVEQERAANYGKAVAFLQEQQHAFNSGQLGKGRKRRR >OMO93532 pep supercontig:CCACVL1_1.0:contig08094:20093:22036:1 gene:CCACVL1_06448 transcript:OMO93532 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP22-like protein MSKGSQFSQEAEEIEETESIERWSEPYFLRKVLKEGLGDDRNMHNLMAMAVHAVLLDAGFVGFDPVSKLQIDHMPDKWPSSPVPIYYSLPELSRHDDKFGSNMTDYVVIKFQTLG >OMO93528 pep supercontig:CCACVL1_1.0:contig08094:1115:1390:1 gene:CCACVL1_06444 transcript:OMO93528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKESTERRRNNADGTENGDLECCTGSTEMATGLAVAAGAVILVCCVAGLLSGSEASSSRKTMKAPGRNYRIFRDDFEDDPAGYFRRLRE >OMO93530 pep supercontig:CCACVL1_1.0:contig08094:10724:12038:-1 gene:CCACVL1_06446 transcript:OMO93530 gene_biotype:protein_coding transcript_biotype:protein_coding description:UspA MVRTGTRLPGFCLNRIRPHVRVRSPPIQAKPADVNSAKNSDQKPEVCNNNGGEEKEKPSNGGEMKKPGLVIGRKIMIVVDSSIEAKNAIQWALSHTVQCQDTIILLYVTKPSKQVANNESDKNRAPRASELVWSLKNMCKQKRPEVEVEVTVVEAKEKGPKIVEEAKKQGISLLVLGQKKKSMTWRLIMMWAGNKVMTGGGVVEYCIQNATCMAVAVRRKSKKLGGYLITTKRHKDFWLLA >OMO93533 pep supercontig:CCACVL1_1.0:contig08094:23315:24549:1 gene:CCACVL1_06449 transcript:OMO93533 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP22 MAASSKTITDYSQANPGPAKRQKLSSPSDHQPLPSLAMSKGSQFSQEAEEIKETESIERWSEPYFLRKVLKEGLGDDRNMHNLMAMAVHAVLLDSGFVGFDPVSKLQIAHLPDEWSSSPVPIWIPPRQKDASPFPPIPPIPWGGIPQKDVSFSFYSSYSCWRDSSKEAIAEDASPKASYGT >OMO93531 pep supercontig:CCACVL1_1.0:contig08094:15818:17116:1 gene:CCACVL1_06447 transcript:OMO93531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MACLCWCFQVRDPEDDVSANQNSIFPIGNIRNFFGKYKAICNKEQVDDIATTSTTAQVGGSVAPLNSDAESNNNVDSSNITKTPPRALHFNSNAAAVACSSQNQADLREEENVQVIDMELAREGLESEEEDEDDCPVCLEEYIPENPKIVLQCSHDYHLSCIYEWMERSETCPICSRVMIFDETTSH >OMO93534 pep supercontig:CCACVL1_1.0:contig08094:27334:29281:-1 gene:CCACVL1_06450 transcript:OMO93534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MEKILERYERYSYAERQLVNAAEPETQANWSIEYNRLKAKVELLQRNHRHYMGEDLDSLSLKELQNLEQQLDTALKHIRSRKNQLMYESISELQKKERAMQEQNSVLAKQIKEREKAVVPQSQWGQQDHGLNTSSFLLPQPHPCLNIGGTYQEEATEVRRNELDLTLEPIYSCHLGCFAT >OMO87933 pep supercontig:CCACVL1_1.0:contig09156:78947:82110:1 gene:CCACVL1_08663 transcript:OMO87933 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MALSSPQLQRFLSTEFNFASNTKTANRNILTTTTAVRARSPCRCSAIAIDAPSSLTDVAGIRWGSTSVQGAREEMEDDLIIRSDGLDGFSFAAVFDGHGGDSSVKFLRDELYKECVTALQGGILLKGGDFNTIKNALTEAFENADKKLLNWLETIEDGDDESGSTATVMLIGNEVLLISHIGDSSVALSRAGKVEVLTDPHRPYGSNKASLQEIKRIREAGGWIVNGRICGDIAVSRAFGDTRFKTKKNEMLKKGVEEKRWSEKFISRIAFSGDLIIASPDTFKVALGSDAEFILLASDGLWDYINSLDAVSFVRNQLREHGDVQLACDALAQAALEKGSQDNISIIIADLGNTDWQSLPLQQQNIVYEFVQAVATVGIVSLGIWFTSQVSF >OMO87921 pep supercontig:CCACVL1_1.0:contig09156:5137:9247:-1 gene:CCACVL1_08651 transcript:OMO87921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTENLHMKPLLQHSGMRKMRGKHAKPLLDHLILPLLFKVGLCQLSVSPDKNQNVICAHNLIKVAAEQGARLVVLPEMGNCPYSAQSADNFAKFAEDFGDILFKESDSFAAGDEPTILDTEIGRIGIGICHDIRFPELATLYRAKGAHLICCPGAFNMSTGELLWDLCSGIHFIEQAARKQHCFDMYAKLKFVLDAQRVFDAMEEKDIVACTAMICRYTNKVGLMEQARCLFNAMEESNVVSWTAMIAGYANYGYMESAKELYDRMVEKNSVALARPAMIAGYG >OMO87926 pep supercontig:CCACVL1_1.0:contig09156:40387:42543:-1 gene:CCACVL1_08656 transcript:OMO87926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEARTARKHSANPCSVKEDAGSASRFSGIPSSSSPRLYSDGTPRTRSAASGPDQATLSVPDNEKLLHAHDSPWWLHSRYHIGNQKDFMNERPKFIKNHYFDESSHCMEAVVCEDLEKAHAECVGNEELNQELNSNAQKPSNKNKGESWYMDGHLTGLEQNCLISEPKTLYSDFESQLAQAQKTEPWWRMTDKDELASMVAQKSLEHIENCDLPRPQTKDFRKGQLPSLDSSGHYKTLPSSLDWTIQTGFSGLSNHHQGRTTSNSLDESQSMLSYIKHSLADSDSLLSCKASSTTKTDHGFPSKAQLLEALCHSQTRAREAEEAAQKANDEKEHIITLFFRQASHLFAYKQWLRLLQLENFSLQLSYKNQPISTLCPNKGMQMKKGEHKVVKQKHSSTNHGTDMSTKAFLVGLSLGGAGLLLGWTMGWLLPST >OMO87931 pep supercontig:CCACVL1_1.0:contig09156:75681:76142:-1 gene:CCACVL1_08661 transcript:OMO87931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MDLWPSVCSWGIKIPFMQEEGSKSFLQEKSGFLLQNCSFTISPEFTEQQGKGIALLGRAWGKYSTVIVMESWLDSIIDPRGWDKWDKSAIDLLSYLEFSNRGPASNTSARVSWAKVLPDAAAAKQYTLTIYIDGDKWIPGTGIPYYGGFATLV >OMO87925 pep supercontig:CCACVL1_1.0:contig09156:33013:39745:-1 gene:CCACVL1_08655 transcript:OMO87925 gene_biotype:protein_coding transcript_biotype:protein_coding description:EKC/KEOPS complex, subunit Pcc1 MPFCEVGKPQSGVDVALNNGIQIFYRTYGRGPTKVLLIIGLAATHEAWGPQIKGLTGTDKPNDDETITVDREPRGADNEFGGIEVCAFDNRGVGRSSIPTKKSDYSTSIMAKDAIALMDHLGWKKAHVFGHSMGAMIACKMAAMVPGRILSLALLNVTGGGFECFPKLDRRTLSIAIRFIKAKTPEQRAAVDLDTHYSKEYLEEYVGSNTRRAILYQEYVKGITATGMQSSCGFDGQLNACWTHKVTRAEIELIRSGGFLVSVIHGRQDVIAQINHARRLAEKLHPVARMVDLHGGHLVSHERTAEVNQALLDLIKASEMKMSPHDWNNFPKKSSDAPNQWEFSCDLEVDFESEEKASIVYSALAVDKELQPDKVKREMSISDGKLSVHFEAVEARFLRASFSAFVDVLTLATKTIEELGPGMEL >OMO87929 pep supercontig:CCACVL1_1.0:contig09156:73146:74100:1 gene:CCACVL1_08659 transcript:OMO87929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVLSFENKKLSIHYFTDQGKTWLGSYNEAEATDGALS >OMO87932 pep supercontig:CCACVL1_1.0:contig09156:76873:78264:1 gene:CCACVL1_08662 transcript:OMO87932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAVSNAISISSPISPLLKPGSQGRTNSWRLNSNLGSQVVSRLKSEAGGRRVWRRRKLSKKDEMLQYKLERIPFLEEQVRKIREGGKLLTMDIHKLLLSEDNRFDFVNDVAAEAKEYVESNRDEYGGTKKAILHVLSNRVNDSGFYRPEAYAESDPYKPGPSYMKQEFT >OMO87934 pep supercontig:CCACVL1_1.0:contig09156:84043:84584:-1 gene:CCACVL1_08664 transcript:OMO87934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALNCFKTFLLLSLLLMPLSYGSLEDGGMQVQQAKLYSLEKGGILLEMKPISRKVLMAGAMLDYDDAGANRKHDPPSRKPGGKP >OMO87930 pep supercontig:CCACVL1_1.0:contig09156:74611:74886:1 gene:CCACVL1_08660 transcript:OMO87930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGSSSQSPGSRYTHCVVRSRAPDDAELVTVESTSYDSDLPSLSTGVARNQFHECFGFDPSASSSSAVVDESFGALPHHTYFPRSRTPQT >OMO87920 pep supercontig:CCACVL1_1.0:contig09156:2182:3282:1 gene:CCACVL1_08650 transcript:OMO87920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MSFLMHFLLILLLTYVSNGEEEFNATVAVDGSGNYTTINEAVANAPINSNSIYKIHIKEGVYDEIVQIPANKKNIMLIGDGADKTKITGNRSHAGGFRTLDTATVAVRGDGFVAKHLTIENSAKPSDGMAAAFMNKANHSAAYNCVFLGYQDTFHAREGFQFYRDCNIHGTVDFVFGAAKAVFQNSNFFARLPNHTITFTAQSKNSINQNSGYVFQNCSFTVAPEFSQRKEQVKALLGRAWGNYSTVIVMESWLDSIIYPRGWAQWDKPVVDLITYVEFRNRGPGSNTSGRVSWSKVLGDVASARQFTVVEFIGGDNWIPTTEAPYYGGFATNSSEFSLA >OMO87924 pep supercontig:CCACVL1_1.0:contig09156:29449:31825:1 gene:CCACVL1_08654 transcript:OMO87924 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Protein ABIL2 MATAAAMPMSREASNYDEISMQQSLMFSDSLKDLKNLRTQLYSAAEYFELSYTNDDQKQMVVETLKDYAIKALVNTVDHLGSVTYKVNDLLDEKVDEVSGTELRVSCIEQRLRTCQEYIDHEGISQQSLVINTPKYHKRYILPVGETMHGANRTKSKYIGCSLDDEDDWHQFRNAVRATIKETPTSSVRETPTPSVRRGRSPSPSPRPPGPPQRSATFSFTSTMPKKELEKRTVSPHRFPLLRSGSVSRPTTPNKSRPTTPTSAGARRRYPSEPRKSASMRIQPEKESPKDIEQFPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >OMO87928 pep supercontig:CCACVL1_1.0:contig09156:46929:56870:1 gene:CCACVL1_08658 transcript:OMO87928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSRSKRNYYYDQDYDGETMGRTKPRYNNHHYIPNNHRHRGNNPNNNGGNNGRPSNKGGSGGGGGGGGQDSSLMVTTSYRILCHDMKAGGVIGKSGSIIKSIRQHTGAWINVHELIPGDEERIIEISDTRRRDPDGRMPSFSPAQEALFLIHERILESDSQFGFGGGGLEEEEDYGGVVRGGGNRVATRLVVSRMHVGCLLGKGGKIIEQMRMETKTQIRILPRDHTLPRCVTMAEEIVQVVGDMNAVKNAIAIISSRLRESQHRDRSHFHGRMHSPERFFPDDDYMPHLNNASRRSAMDGPSFGSRMSNTNYRGNNYSSRPSGFIEAGTAPMADTAQPLYGEDLVFRILCPIDKVDSVFGEPDGIVDLLQNEIGVDVKVADPVAGSDEQIITISSEEGPDDELFPAQEALLHIQTQIVDLVPDKDNIVTTRLLVPSSEIGCLEGRDGSFSEMKRLTGANIQILSRQELPSCVSGPDEIVQIVGEIKAAREALIEVTSRLRSYLYREFFQKGTPPPPISAASSHGNVSGLENASPNLTPARDSQTASDPPTGTCQNVQPLATAPSSKEVVNSGTETVKQTETERREDVPSTISRIPVTLVTRSTLEVVIPEHAIPKLITKSKNKLAQISELSGANVTLVEDRPDDTQKIIQISGTPEQSERAQSLLQGFILSSAKQFVCLFTLVTSILDHVCRKGGESSIVNVTSGALGLRTSLNLHSQQWHKSISCFSVSLPTSKSNLKLYMIPTIPTVTRHFLLKPFAAVDSLEAHVSPDHTHAVSGVSSQNGFQRSNTFLDKASNSWDGTSDFERQLEELFNEVKTLIMAGNKNDAIDLLQANHEVVKEQMNAGAKGIEEAAILDVIALGYMAVGELKFVRSLLNVIFEVIVDLKDDEPLLDSILVHMGSMYTALGEFKKSMLVNQRVTGILENRHGKNSVVLVTPLLGMAKVLSSTGRTKKAVKFYHRVISILESSKGAESEDLVVPLLGLGNLLIKEGRATDAENSFSRILNIYTKLYGENDGRVALAMCSLAHAKCAEGNANEAIDLYKKALQIIKGSSYMPIDDRIMENMRIDLAELLHAVGRGREGRELLEECLLITEKLKGKDHPTLVTHYVNLAASYSQSKDFAMAERLLRTSLEIIKKAEGPDNPSITFPMLNLAVTLYHLKRDEEAEQFALEALCIREKAFGRESLPAGEALDCLISIQARLGKSEVELLEQLKRVLRIQEKEFGYDSEEVMITLKKLVFYLDKLVAAEDSKASDKDLVQELCKRTSNSTLCSTVIKSDPRSKSTSDYRGFLGIILDQILPTTKATKAYLVDLLKKNTTDKVTHECLQICNRLYDEAIEDLNSAMGLVYFKNREGYIYLNDALGSFLHEFLDCENTFKEPPSRPSPITSVDNHLVNIGLIALDIVNLIECNHIAFCSEA >OMO87922 pep supercontig:CCACVL1_1.0:contig09156:11159:11233:-1 gene:CCACVL1_08652 transcript:OMO87922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDILGKQNLGYLAQLEKVAKAE >OMO87923 pep supercontig:CCACVL1_1.0:contig09156:22445:22516:1 gene:CCACVL1_08653 transcript:OMO87923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIKKKGAGPVERKMEFGKENN >OMO87927 pep supercontig:CCACVL1_1.0:contig09156:43478:44026:1 gene:CCACVL1_08657 transcript:OMO87927 gene_biotype:protein_coding transcript_biotype:protein_coding description:14.7 kDa heat shock protein-like protein MALSRVFSRPKMLSHVICPIRSVHVVAKESNIAPPPPGHKCYPFLVKGCPKETTFNKTDEKGHCFRIDMPGVKKDGLKLTILKGSTLFFQGDAPVEPEFGDFETTGRKYGGSIEFIPAEIFHLDKIQNKISAGVLRLFVPHKNL >OMO80908 pep supercontig:CCACVL1_1.0:contig10259:27159:28793:1 gene:CCACVL1_12703 transcript:OMO80908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MVLYDSAMSAGSSSGSSSSSSSKPPPSSLQQDIDGLLAGAGYKVRSSELRQVAQRLERLETAMGNSPADLSQLASDAVHYNPSDLASWVDSLLSEFTQPPCQSEFMMDPVTNQPVVNNGWTTNEPHAPQVHQNISYEQQNLNPQLTVVTAMEEDSGIRLVHMLMTCAECVQRGELSLATSLIDDMQGLLTRVNTGCGIGKVASHFIDALSRRIFQGIGGGGGSILGGSAYENEILYHHFYEACPYLKFAHFTANQAILEAFEGHDCVHVVDFNLMHGLQWPALIQALALRPGGPPLLRLTGIGPPSPDGRDSLREIGLRLAELARSVNVRFAFRGVAASRLEDVKPWMLQVNPKEAVAVNSIMQLHRLLGSDPTRTSPIETVLSWIRSLNPKIMTVVEQEANHNQPGFLDRFSEALYYYSTMFDSLEACTVQPEKALAEIYIQKEIANVVSCEGSARVERHEPLAKWRTRLNGAGFKPLPLGSNAFKQASMLLTLFSAEGYAVEENEGCLTLGWHSRPLIAASAWQAVTDPAAEFPTWDNRQPS >OMO80909 pep supercontig:CCACVL1_1.0:contig10259:32499:45718:-1 gene:CCACVL1_12704 transcript:OMO80909 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MDSKLQNEKLEPNMGSNSCKSLMFHKRPGYGQLGTKCLVKANHFLAEIPGSDLSHYTVEITPEVASRKLNKAIMTELVKLHRNSDLGMRLPVYDGRENLYTAGSLPFTSKEFTVILVDEDERTGNVRTREFKVMIKFVALASMAQLRNLLSGKQVDTPQEALNIIDTVLRELVAQRYISVGRFLYSPNIKKPQTLGGGLEAWRGFYQSIRPTQMGLSLNIDMSTTAFFEPLPVIDFVAQILGKDVYSRPMSDADRVKVKKALRGVKVEVTHRGNVRRKYRVSGLTTQPTRELIFPLDEHMNMKSVVEYFQEMYGYTIRYAHLPCLQVGNEKKVNYLPMEACKIVEGQRYTKGLNEKQITSLLKVSCQRPREQELDILQTVQQNDYDQDPYAKEFGINIDSKLASIEARVLPAPWLKYNDNGKEKEYLPQVGQWNMMNKKVINGSTVRYWACINFSRSVQESTAQSFCQELVQMCQISGMEFNRDPVIPVHSARPDQVKKALKYVYHAATNKLEGKELELLIAILPDNNGSLYGDLKRICETDLGLISQCCLTKHVFKISRQYLANLSLKINVKMGGRNTVLLDALSWRIPLVSDIPTIIFGADVTHPESGEDSSPSIAAVVASQDWPEVTKYAGLVCAQPHRQELIQDLYKTWQDPQRGTVTGGMIRELLLAFKKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLESTYQPPVTFIVVQKRHHTRLFASNHSDRSSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYRARFYIEPEATENAKGQCTRTSNGSSVKPLPALKERVKNASRSAKRIKDNSSSVMDCSDHLPASDRHCSMDTEIPSFPQLLNNANVSSYRDMLIADQDEAEISYYCNASFLNEIFDSDSKSDEEEGVASISLSKEEKRRIRTPWANGLIAKAYGRNARLPGLSIELFDSNILKRIGNQLGVLLRLDATTASNSRGKYARMYVQVDLDKPLMRTVKIGKFRQPVLYETVAGLCFKCGCIGHNEASCKRNETQQNDDMVINDTINNQNQNTLDTEQEKEEQKGCGPWMVVTRKRNQKAKNPHPKGGNTVTGSRTGFPATANHTKNQSSSSDKRNGHWQADKKILNGKIFTNSKNEKGSSSRPYSENHMDHVGLANSKAQMLQPSTKEINTSPHVTSDPALFSSFDGSDITQPSMVIDNSGSLKTSITHSSQALKPFKPSVSHQPPQCENEPIYRPQPTQIGVTPNHSHCEKDPTTFPEIGSIPVLQGHRNLFGPATIQHSTSREHSVTTKHSDSTQQGLAQSGGRSQGPSGEGANESKPGTLGDGQHDESDIRIRDGIHQSDSNTLGNHGDNRYRAVLQQARGANSDLRFCDNAGVSPQCQLNLEELPSVMFISETRVAGTRAHDIAMSLGFTDVHLIDPVGFAGGLWMFWNHEDTSVNIIPHGDQAIHAEIQVTLPNFGSFTWLLSGIYASPKLNKPKLLWNEHASLSPNVLGPWTIIGDFNDVISTDERFGGNTLNPYRIRLYRECMDACNMTDLGYSGSKFTWINCRFSSILIRQRIDRAWGNADFNIVFPNAKVTHLPRFNSDHNPILLDLCPILSPIVNKPFRFEWCWFQYPDFMEFMRNIWQNSKSNLMGTIDSFTSLVKQWNVEVFGNIHVRKCKVLARLKGIDESLDNHHSTFLVNLQKDLFREYQDILKQEEDLWKLKARINWIVDGDRNTNFFHTTTIIRRRRNMIDSLRDTVGNWYHDPEELKNMITYYFNSLYTTSLISCSRFDSFGSPPGPKIDVFCHDSLIAIPIKQEIFKALFDMKPHKSPGPDGLHPGFFQRCWKATGPTLVNEITEILVNRIRPLLADIIGPNQVSFLSGRKGADNVIILQEIVHSFNKKSGKVGDMVLKLDLEKAYDKLEWNFIRNTLSFFNFPAELIDLIMNCVSSVNMSVIFNGERTDTFHPSRGIRQGDPLSPYLFIFCIEYLSLLIRDEFDKGNWRTFRFSRSGPPITHLFFADDLILCAKATTDNCILINDILKKFCMLSGQHVNVEKSKILFSRNTDPVLKNAIADNLGFEAVKDLGKYLGFPISNKRPSKQTCHFIIEKINNKLAGWKSKFLSLAGIATLINSTIFSTSAYYMQAMKLPAATLNQIDSICKNFLWGSTAEKKKLHLISWEIATLHKDQGGLSLKEAKHLNLAYMAKLAWQVIGPQNLPWLQILRDKYLKTTNSRNTSSASPLWKENVVDIKVQDMIDVDGVWKLDSLAFLPHDIIDNIKSTPIQFSGAVDDSISWKFSADAVRELLYNRGISNVANCPCCNSTVESVEHVMRNCKKAMKIWRALKPPDAAAQTFNLPLSDWLKENSKIHTPSHGHLYRIINSIHEHAAEFYAATSATSNKVHSVVQVKWVPPLHGIIKINTDGASQGNPGDVGAGRLFRDSHGNFILGFQRKIGKATSISAELWAIRDGLKLAKNNCFEHICIETDSQLAIQLITNCFDSKHPFIALLDDCRYLLDLLNIQTISHTFREGNQ >OMO80905 pep supercontig:CCACVL1_1.0:contig10259:8368:8601:1 gene:CCACVL1_12700 transcript:OMO80905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKCIVMHDDDNSSTKSMIIMHYDMHDDKVHHGYGSNSKSLPKGDHGSYDLAPSASSDGDGDDDDDGGYDFAPAA >OMO80907 pep supercontig:CCACVL1_1.0:contig10259:16134:20312:1 gene:CCACVL1_12702 transcript:OMO80907 gene_biotype:protein_coding transcript_biotype:protein_coding description:UAA transporter MSTVRFDSTTKYYATSSLVVGYALCSSLLAVINKFAITKFNYPGLLTALQYLTSALGVWVLGRFGFLHHDPFTLDIAKKFLPAAFVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTAFRRQPCPSKLTFLSLVIILGGAVGYVATDSAFTLTAYSWAVAYLVTITTEMVYIKHMVMNLGLNTWGFVFYNNLLSLMMAPIFWVLTGEYREVFDALGANAGNWFEPVAFTAVSLSCLFGLLISFFGFAARRAISATAFTVTGVVNKFLTVVINVLIWDKHATPFGLVCLLFTLAGGVLYQQSVTGPPRESTASKQTNDQNEDGDVEQNQSVPDTNNNNNPLGFCLLPSELIQSILFSLALPEIIRMKLVNKFLAYVISDQNFIRECNLRSRSATWLFVYKKRWRRDAIIHGFSDQSNCWFKISVEDLIKQVVLYPGEDVYLLTASGNVFLFASNSQKAVFAFNLVTKAVKKIPPCPLGPRGTSSWRRSGMKLVPQGSGSGNFRFLFVEMVENRPVLFEYDLEIDKWQSTEAREVLHENLPGNDCMFLNVVNGPYESLVVAVGSEYKNFPMILRPRLGNRGQQSSTAFSWVHINDRKHVYGDGHMMIMRSRGIDDDNVDRRVKMVSSIEIWGISINSGNWEYVSNVPSDIMEQIGKPYGVMIGCLEARNGIVRAVLMSNFKGSWDIIWLTYDKKSGLWTWVPLPDCKMMGSNLAGITFSSGLSLT >OMO80904 pep supercontig:CCACVL1_1.0:contig10259:2897:7024:-1 gene:CCACVL1_12699 transcript:OMO80904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geminivirus AR1/BR1 coat protein MAIAQLSTQILRPIPAACGSFRSTSPSPPTAIFRTRKEAIPTKQKLKWALKLSLVEQSSPPKPTIDVEGLISFLNEDLPHLFDDQGIDRTAYDEQVKFRDPITKHDTISGYLFNISLLKVLFRPLFQLHWVKQTGPYEITTRWTMVMKFMILPWKPELVFTGTSVMGINPNNGKFNSHLDFWDSIKNNDYFSLEGLLDVFRQLRIYKTPDLETPEYQILKRTANYEVRKYAPFIVVETNGDKLSGSTGFNDVAGYIFGKNSATEKIPMTTPVFTQTLDPEMSDVSIQIVLPMDKDLGSLPVPSQETVKLRKVEGGIAAALKFSGKPTEEIVHEKEKELRSSLMRDGLKPKKGCMLARYNDPGRTWSFTMLPFICFVNMYSRKGIRYSNNTMTRAKYARPVGRRSFVYRRGPKVRVNQSAPKVQGAKMTRQRIHENQYGAQYALLNNTSSVSFITYPRLGGPEPNRSRAYIKLNRLRYKGTVNIECADPDVGMDPNRGGLSGVFTLAIVVDRKPHVGPTGSLPTFDDLFGCNLYSNGSLDITPQMKQRYYIRHVHKRVVSYEKDSIMMNISCSMGLSSPKYVCWSSFKDLDVDSCSGSYSNLAKNALLVYYCWVSNMPSKASSFVSFDLDYLG >OMO80906 pep supercontig:CCACVL1_1.0:contig10259:10781:10993:1 gene:CCACVL1_12701 transcript:OMO80906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPISKVVITPWYKKHADGDDDGDDGYDFAPAACIEGNGDDDDGDYDYAPAASLEGDDDDDGTYDYAPAA >OMO98823 pep supercontig:CCACVL1_1.0:contig07015:11730:11798:1 gene:CCACVL1_04048 transcript:OMO98823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WDYITIYKGEEAGRAVVRTPAS >OMO61789 pep supercontig:CCACVL1_1.0:contig13413:92308:105374:-1 gene:CCACVL1_23250 transcript:OMO61789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKLRYSFFGKPGTILDLHENCPTKEPFCQNTLVDWWGSSDPSAFSSMASHPNSRKSFIDSSISIARSYGFHGLDLDWEYPSDATQMTNLGFLLNEWRAAVNSESTATGKPKLFLTAAVFRNSDYYTLNYPIQAVKNGLDWINVMAYDFYGPGWSNVTGPPAALYNPGTQISGDYGITSWIQSGIPANKIVLGFPFYGYAWRLVDANNHGFFAPTSGAALTPDGSIGYGQILSFISSNKATEVYNGTVVSNYCYCGTTWIGYDDVQSITAKVSYAQGKALLGYFAWHVGADSGWTLSQAGDKEDFWKRIFGLKAGLSPSFPMQTTMNSFCMV >OMO61785 pep supercontig:CCACVL1_1.0:contig13413:54444:57386:1 gene:CCACVL1_23245 transcript:OMO61785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKVAPFFLAIVLILGAKIPYSSASFPTLLTHDHRQNSRRTNLIGWRAPSPPSSDEGIKGGYWPSWLAYSFPPSSIPTSYFTHVFYAFVGIDASTYNLTITQPDDEWMGNFTATLHSKKPPAKSMLSIGGANSGSGTFSTMISDPKNRATFIKSSIATARKYGFDGMDIDWEFPGNQNDMSNLALLFKEWRLGAESEAKNSGKPRLLISAAVYFASTVLLDQPPETYPGETIGKYADFINPMCFDYHGSWDLSVTGEHALLFDKSSNISTSYGILSWIKIGVPPNKLVMGMPLYGRSWELKDPKKHGIGAPAKGVGPGNDGYGVMFYDHIVEFNAQHHTHQVYDETTVSEYSYSGTDWIGYDGPTSVKKKVEWAKANHLGGYFFWALGYDSNWTLSAIASSYGNLLCRKYMSVTARAEVIAYPEVIDQARHSLTQIYKFMGIAPEASVSAHSIYKAALYLGRQQITRARFYFNLAEEGASQLDKASRWPVCSFVSKNPRYD >OMO61788 pep supercontig:CCACVL1_1.0:contig13413:91944:92214:-1 gene:CCACVL1_23249 transcript:OMO61788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FIPLFVRHGACQSVAFRFDNVCYISDVSKIPEKVYKLLQGCDLLILDTYHPSAYTDEHFGLTQ >OMO61782 pep supercontig:CCACVL1_1.0:contig13413:40712:45053:-1 gene:CCACVL1_23242 transcript:OMO61782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MASGGDISLEELKNETIDLERIPIEEVFEQLKCTREGLTTVEGEKRLSIFGFNKLEEKKESKVLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDVVGIVVLLIINSTISFIEENNAGNAAATLMAGLAPKTKVLRDGQWSEQDAAILVPGDVISVKLGDIIPADARLLEGDSLKIDQSALTGESLPVTKNSGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAVGMFIEIIVMYPVQQRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGSLTLNKLTVDKNLIEVFVSGMDKDTLMLLAARASRVENQDAIDASIVGMLGDPKEARAGITEIHFFPFNPVDKRTAITYIDKDGEWHRCSKGAPEQIIDLCNLTGELKEKAIGVIDSFADRGLRSLGVARQTIPEKIKESAGGPWEFVGLLPLFDPPRHDSAETIRRALELGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSYLLGESKDEAIATIPVDELIEKADGFAGVFPASFLSPSPYSNSD >OMO61781 pep supercontig:CCACVL1_1.0:contig13413:34764:40226:-1 gene:CCACVL1_23241 transcript:OMO61781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance, DNA-dependent ATPase MAALKVQSKAASLAATSKRPRECSTGLSRKGYAGTSGTITGVGKYLKGQNPNIKLNGVELVESPVLSGRKAGKFLVCTDITDAACNNLGTWVPTEAMQLSAKILEFLKLVEKTAPIAVYTCGKGSSAAGLIASVIRDRDSSSNLPEGVRLRGDISVLLLSDPSTAKSQFLKFVEKTAPSAVYTSGKGSSAAGLTASVIRDSSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTALNSRTSVLALLTLLQDSTGQYDLQTTILSRFDLIFIVKDIRMYDQDKVSDAWSLFSWSFQKRNGWDGLVKCVEEHANFAYN >OMO61786 pep supercontig:CCACVL1_1.0:contig13413:78128:79471:-1 gene:CCACVL1_23247 transcript:OMO61786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSFCRLGPKSKSGISLPFAIMAGPITYHPKLFSILIVSLLITIIPTAVVMASPPPVKAAYWPSWATSFPPSAIDTSLFTHIYYAFLMPSNATYKFEISTSTALVLSDFTTTLRHKNPPAKTLFSIGGGGADPLLFSRMASDAKSRKTFINSAIEVARKYGFDGMDLDWEFPKTPKDMQDLSLLFMDWRRAINYEARTTHRAPLLLTAAVYFSVDFFLDEVYRKYPVYSINKNLDWVNAMCFDYHGGWDTSQTGAHAALYDSTSNISTSYGLRSWIKAGLPRGKLVMGLPLYGRTWQLKDPKSHEIGSAAVAIGPGEDGVLTFVQVEAFNKNNGAKVEHDMETVSTYSYVGSNWIGYDDAISTTLKIGFAQALGIRGYFFWALSYDSDWKISKQASRAWILGE >OMO61783 pep supercontig:CCACVL1_1.0:contig13413:47084:47543:-1 gene:CCACVL1_23243 transcript:OMO61783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L39e MPSHKTFMIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >OMO61792 pep supercontig:CCACVL1_1.0:contig13413:121733:126113:-1 gene:CCACVL1_23253 transcript:OMO61792 gene_biotype:protein_coding transcript_biotype:protein_coding description:opioid growth factor receptor-like protein 1-like protein MVLLSGCSKDIPRTKNGKKTEDSSSSSDDDEEDTPPAMEDGFDEIFFFDGDRAEMAATGKATGAPVLFEPIRDSTYAFPDGGGVVDTLRKYLPF >OMO61791 pep supercontig:CCACVL1_1.0:contig13413:119719:119924:-1 gene:CCACVL1_23252 transcript:OMO61791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKLEDGQEIAAERLSTASGQGARARRVHE >OMO61793 pep supercontig:CCACVL1_1.0:contig13413:128687:130221:1 gene:CCACVL1_23254 transcript:OMO61793 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein kinase-like protein MAELEARKLKCPNTLGILVEGYVPFCCCIIDKIFSRSEAADSQDKANSPIKSSNEKNYITENIYSAKLINSATDCFSPENLLGKGGFGPVFK >OMO61779 pep supercontig:CCACVL1_1.0:contig13413:20124:22685:1 gene:CCACVL1_23239 transcript:OMO61779 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD dependent oxidoreductase MLLFDSLSPLTHSVKISSPVLLSSPSHRPLRYAVLGAGFAGLSVAWHLLKQSPKDMNLHIDLYDEVGIGGGASGVSGGLLHPYSPKVKLLWRGAECWKEGLNLLSIAEQAISSEELDSETGEFSEGFGGFIVRRRGILRPATNMKTLNILNDNAQNYLPSCKIETIDKDAALKLVPHLHVPFNLAFYMPEAVNVNSLRYLKALFLACQNLVKEISVSGDGKKRLHLQKKSVSELRELEGEYDAVIICLGAKADLLPELAGRLPLRTCRGVILELQLPDKIGEDYPNHGPSILSDAWLAIQGNRHLYMGSTWEWKSRNSSPNVSTDEASNALQELLPKASAVYPGLRSWNFAGARAGLRAMPPLTPHGSLPLLGCVNNFLGENLKCKYWLLGGLGSRGLLYHGWLGKLTAEAVLSCNEQIIPSELTSWKNK >OMO61787 pep supercontig:CCACVL1_1.0:contig13413:91660:91737:-1 gene:CCACVL1_23248 transcript:OMO61787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRERSSTTYTIVDHELTLVVTYIY >OMO61784 pep supercontig:CCACVL1_1.0:contig13413:48147:51176:1 gene:CCACVL1_23244 transcript:OMO61784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRSTKRKSAPPNSSSVTSSDGRSVSSKAKTKGMEKVDRLFHTYANSSLNMIDPDGIEALCSDLGVDYTDVRILMFAWKLKAEKQGYFTLDEWQTGLKALGVDSLSKLKKALTELENEVMEPSNYNNFYSYAFRYCLTEEKQKSVDIESICELLNLVLGAQFRSQVDLLIEYLKVQNDYKVINLDQWVQFLRFCQEISFPDLENYDADQAWPLILDNFVEWMREKHKC >OMO61790 pep supercontig:CCACVL1_1.0:contig13413:106991:107059:-1 gene:CCACVL1_23251 transcript:OMO61790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVELTMTMIQNLFKVVLIGN >OMO61780 pep supercontig:CCACVL1_1.0:contig13413:23080:25563:-1 gene:CCACVL1_23240 transcript:OMO61780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQKLTEYERKRLENIKRNEEMMAALKVQSKAASLAAISKRPSVKSYQESSVKKPKTETPVVIRRSLRTRGMPPDSKGLDNEFRENTDKIPKIEKQSPRPLGPLSMNDAFCGDNMESNKLLIGTILSVAKENQVGVSVKGEFNDGEDVKEDNLSDDKKEKLGSYESEVLECPIKVEKFDENLSGESGLAACGLVKRVVQNENLNGSVEIENSDTLLESMDLKPENVARVFPGRAMLVKFFPCSNMRMIAAGNKSGNIAFWNVDCEDENGDGIYLYRPHEAPISGILIQQHSMSKIFTSCYDGFLRVMDAEKEVFDLLHHSDDTIFCLSQQASNLRSLYFGEGLGGLNMLDIRTRKCSKSWHLHERRISTIDFNSQNPNIMATSSTDGTACIWDLRRMSANKPQTLKKVSHSRAVTAAYFSPSGNSLATTSYDDKVGIISGNNYEDISMIYHNNQTGRWLSSFRAIWGWDDSHIFIGNMKRGVDVISSSQKKIVMTLESPNMSAIPCRFDAHHHEVGVLAASTSGGQVYVWKPC >OMP11484 pep supercontig:CCACVL1_1.0:contig01248:653:10298:1 gene:CCACVL1_00496 transcript:OMP11484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASMDLNASPLPEDDEETYERHIEHYSAPEDHAESAVEISRREREERRKRMKKDHDRPVHVSQPPIHDNYYQNRNPYTKYYDKSRIPPGWLDCPAAGQEIGCIIPSKTPLSESYNDCVPPGKRYSFKQVMHQQRVLGRKLGLVIDLTNTSRYYQTTDLKKEGIKHVKIQCRGRDAVPDNVSVNTFVYEVSQFLLRQKSKKYILVHCTHGHNRTGFMIIHYLMRSQSMSVTQAIKFFSEARPPGIYKPDYIDALYAFYHERRPEMVVCPPTPEWKRSSDLDLNGEAVPDDDDDDGPPTKVQENHEPDVVMTNDDILGDEIPPDQLESLRMFCYQTLKLTSGGRGHTQFPGSHPVSLNRDNLQLLRQRYYYATWKADGTRYMMLITVDGCYLIDRSFNFQRVQMRFPCRKENEGIGERTHHFTLLDGEMVIDTLPDSQKQERRYLIYDMMALNHLPIIERPFYERWKMLEKEVIEPRNYERHNIYQSRNPYYRYDLEPFRVRRKDFWLLSTVNKVLKEFIPKLSHEADGLIFQGWDDPYVPRTHEGLLKWKYAQLNSVDFLFEIGNDDRELLFLYERGRKKLMEGSIVEFRDVSDPPSAFSGKIIECGWDPDKQVWIYMRIRTDKSTPNDFNTFKKVMRSIKDNITEEILLNEINEIIRLPMYADRIRSDSKAHLHTNSARRRWYTLNISAATFSDDLFKVLFLKKELGHDPQMIPKELILEIFLSLSAKDLVRFRCLSKEVCQEIDSAALTTAHLNRSKKTKTHRKVVVYGKSYGDKSKSGLYVADFDDEDEISKLGNPLNPDDDGCFIRDGFKVYGSCNGLLLLYDKTRKEYGDHQFRIKYMGKKAVPFCHDKFFPPRCGKIGVFADGALHWLSLRNDDDEETATQEIVTFDLSKEVFVNSVHSDSLTPSRYLGNLLDIGGSLALLLCHFNLNDVVELFLAVKCEEHYNWTKLYNISLELSHCCILTPRILRLMELKKNRMLVPFSDRIAQRGFGGGGLCWVTFCWETLVWPHSSL >OMO65434 pep supercontig:CCACVL1_1.0:contig12652:10346:11207:1 gene:CCACVL1_21524 transcript:OMO65434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKAAEAAVESIGLGYDLTADLKLKYVKKTSKLISIPDHDYVRDIAIPGGFLVRNVPKSIKCDKGERIRFASDVLSFQQMSEQFNQELSLSGKIPPGHFNAAFEFTAGWQKDAANTKTLAFDGVFITLYNCALEKSQVMLCDHVKQAVPSSWDPPALAKTNLE >OMO60692 pep supercontig:CCACVL1_1.0:contig13682:44173:44430:-1 gene:CCACVL1_23951 transcript:OMO60692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKKISSSSYENSSNNNKNIAIRDEDGYKGVPIHSQVMKVKQEFEKIKHPSLQQADMRRVLREINRQRSRSPLGLAERPISVGN >OMO60690 pep supercontig:CCACVL1_1.0:contig13682:37272:37835:1 gene:CCACVL1_23949 transcript:OMO60690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MIPPNGSSFLQSPMRTPARNLTQTQIQELEEVFKKFDVNGDGKISSSELGSIMGSLGQKPADDELQKMIREFDSDGDGFINFKEFVELNTKGVDSDEVLANLKDAFSVYDIDGNGSITAEELQEVLQSLGDECSLAECRKMISGVDSDGDGMIDFEEFKVMMMAGARYDAMESQRGGGGGGFAVKID >OMO60688 pep supercontig:CCACVL1_1.0:contig13682:19111:25798:1 gene:CCACVL1_23947 transcript:OMO60688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MADALSVIPASVLRNLSDKLYEKRKNAALEVEGIVKQLASAGDHEKISAVINLLTTEFTYSPQANHRKGGLIGLAAATVGLTSEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIIFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPAISDKEEKIRVVLQFLNDIFDTLLKALSDKADAVVLLVLDIHACIAQDPLHFRQLVVFLVHNFRVDHSLLERRGALIIRRLCVLLDAERVYRELSTILEGEADLDFACIMVQALNLILLTSSELSELRELLKQSLVNPAGKDLFVSLYASWCHSPMAIISLCLLAQTYQHASAVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYIWLLKALYGLLMLLPQQSAAFKILRTRLKTVPSYSFNGDQLKRASSGNPYSQILHHSGSQITEDGDISHDKGNLQNGINFASKLQQFEQMQRQHRMHAKLQAQSRNSSTSLSKEVPKEEEPRQLPTIDTSRPPSRSSRRGPGQLQL >OMO60689 pep supercontig:CCACVL1_1.0:contig13682:27345:29842:-1 gene:CCACVL1_23948 transcript:OMO60689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESKPLFFLTQQSLFAAIRSGDFESVKQLLDKLTKDEPPDGSSTVSDLMAAQNESGATGLYIAAENNFQEIFSYLLKFCDVEVVKIRSKSDMNTFHVAAKLGHLAIVKELLGIWPELCKICDSTNTSPVYSAAVKDFLDVVNAILNADPSCIRIVRKNGKTALHTAARYGLINIVKALIDCDQGIVSIKDKKGQTALHMAVKGQSTASVEELLLADHSILKERDKKGNTALHIATRKSRPQIVSLLLTYRSIDVNAINNQQETAMDLADKLQYGESALEIKEALTEAGAKHARYVGQVDEQIELKRTVSDIKHEVHSQFIQNETTNRRVSGIAKELRKLHREAVQNTTNSVTVVAVLFASIAFLAIFNLPGQYIDDGPDSGKARIADHVGFQVFCLLNAISLFISLAVVVVQITLVAWDTTAQKQVVSVVNKLMWAACACTCGAFLSIAFVVVGKGSSWMAITITVVGAPILVGTLASMCYFVFRQHFGIFRSDSQRRIKRASGSKSFSWSYSANISDVDDYNSDLEKIYAL >OMO60691 pep supercontig:CCACVL1_1.0:contig13682:39713:43550:1 gene:CCACVL1_23950 transcript:OMO60691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKMLIPSLTHFRPLTCAASAAAASYPARLVPHPPDLVKWVKREGGFVHDAVKIGHDTTFGLGLVASGQIPKGSDLIVLPDHIPLKFQSDNQDGAADSVLLHLSQQVPEELWAMKLGLRLLQERAKVGSFWWPYICNLPETYSVPIFFAGEDIKNLQYAPLLYQVNKRCRFLLEFDQEVKNALKNLKPSEHPFGGQDVDASALGWAMSAVSSRAFRLYGKKLPDGTRNDIPMMLPLIDMCNHSFNPNAQIVQEQEQDAGNPKMLIKVIAEREIKQNDPLLLNYGCLSNDFFLLDYGFVIPSNPHDSIELRYDGALMDAASMAAGVSSPNFSSPAPWQQQVLSQLKLDGEASNLKVIIGGPELVEGRLLAALRVLLSTDMELVQRSDLNDLKSLSAEAPLGIATEIAAFRTIIALCVIALGHFPSKIMDDESLLKQGVSPSTELAIQFRIQKKSVIIDVMRDLTKSVKLLSGKETATA >OMO60687 pep supercontig:CCACVL1_1.0:contig13682:8257:16133:-1 gene:CCACVL1_23946 transcript:OMO60687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin protein MSWEEEIVMRDVTNAGLVVGDRIGREVAAQLDLEESLEASRYASHPYSTHPREWPPLIEVVDTRELPPVLIERYNTAGGEGTALCGIFPEIRRAWASVDNSLFLWRFDKWDGQCPEYNVEEQAICAVGLAKSRPGIFVEAIQYLLILATPVELILVGVCCSEAGDGTDPYSEVSLQPLPEYTIPSDGVTMTCIISTDKGRIFMAGLDGHIYELHYTSGSGWHKRCRKVCLTAGVGSVISRWVIPNVFKFGAVDPIVEMVVDNERQILYARTEEMKIQVFVFGPNGDGPLKKVAEERNLLNQKDAHHGGRQTATPRASNRSAKPSIVSIAPLSTLESKWLHLVAILSDGRRMYLSTSSSSGSTGTIGGLGGFNNHHHRPSCLKVVTTRPSPPLGVSGGLTFGAMSLAGRTQTEDLSMKVETAYCSAGTLVLSDSSPPTMSSLLIVSRDSSSQSSLSGSLGASARSSRALRESVSSLPMEGRMLFVADVLPLPDTAATVLSLYSELEICGFESSAESCEKASGKLWARGELSTQHILPRRRIVVFSTMGMMELVFNRPVDILRRLLESNSPRSILEDFFNRFGAGEAAAMCLMLAARIVHSENLISNVVAEKAAEAFEDPRIVGVPQLEGSSGLANTRTSAGGFSMGQVVQEAEPVFSGAHEGLCLCSSRLLFPVWGLPVMVVKGGYDAASENGVITCRLSVGAMQVLENKIRALEKFLRSRRNQRRGLYGCVAGLGDLSGSILYGSGSELGLGDRSMVRNLFGAYSRSVESSGGGSSNKRQRLPYSPAELAAMEVRAMECIRQLLLRSAEALFLLQLLSQHHVTRLVQGFDANLRQALVQLTFHQLVCSEEGDRVATRLISALMEYYTGPGGRGTVDDISGKLCKGCPSYFKESDYKFFLAVECLERAVATPDPDLKESLAREAFKFLSKVPESADLRTVCKRFEDLGFYEAVVRLPLQKAQALDPAGDAFNEQIDAGARQYTIAQREQCYEIIVSALRSLKGEGSQREFGSRGRPAAMRSALDQASRRKYVCQIVQLGIQSPDRLFHEYLYRAMIDLGLEDELLEYGGPDLVPFLQAAGREPAQEVRALSAWTSTTSVGQPGAPIHSDQAKYLYLLARYYVLKRQHVLAAHVLLRLAERRSTDGSNAPTLEQRRQYLSNAVLQAKSASNNDGLVGSSQVAFDSGLLDLLEGKLAVLQFQIKIKEELEAIASRLEATPGTSESVPNGSVPDSRYNVDGNFANAAREKAKELSLDLKSITQLYNEYAVPFELWEICLEMLYFANYSGDADSSIIRETWARLIDQALLRGGVAEACSVLKRVGSRVYPGDGAVLPLDTLCLHLEKAALERVESELEAVGDEDVARALLAACKGAAEPVLNTYDQLLSNGAILPSPNLKLRLLRSVLVILREWAMSVFAQKMGTSSTGASLILGGTFSLEQRTVLNQGIRDKITSAANRYMTEVRRLALPQSQTEAVYRGFRELEESLISQFSFDRF >OMO60686 pep supercontig:CCACVL1_1.0:contig13682:567:6840:1 gene:CCACVL1_23945 transcript:OMO60686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSSSDTTAASDPHAPLLRPRQPDARPASLALLLGRATGRRGASMLVRETAARELEERRADWGYSKPVVALDMLWNTAFVAVSVAMLIWTVDERPNTPIRLWICGYALQCLVHVVLVWLEFRRRNSRRGSARDEERGAAGSGDYNDSEDEEDGIERSSFGLNQSSVTKRCESVNTMASFLWWIVGFYWVVSGGDILLQNAPRLYWLAVVFLAFDVFFAIFCVVLACLIGIALCCCLPCIIAILYAVAGQEGASEADLSILPKYRYQIINDGEKPCVGAGKMVPIETSSGYLANERILLPEDAVLNSNSMAGWSLTANGSSLDCAKTPKNLQHSWLLIEPSSEGSLSEYEHEKFRFSFNKILESFLKEICAQSWFWPLPPMLGDGKPMDLLKLFLVVREKGGYDVVSKSGLWDLVAKKSGMGTGLASPVKLVYVKYLVLLEKLLEGTINNKQSKSESSNNNHLMELGAELKGFLEDSVDDVVILESNDMKEEFSSLKSESSNSNHSMELGAELKGFLEDSVDDVVILESNDIKEKFSSLKRKRDSTWGMLNRFTEIGKVPCDPVIGSLPDMSRWKSFGAEREVALQDDHKYYQKMNQTKCGYNLRDRSSCSKKQQHDYSSGNHSDLEHCAVPIGPLFQAEVPKWVGLASESDSKWLGTRVWPLNKKELRNLVEVDRIGKGRQDSCGCPLQGSTECVKFHIAERRRKLKLELGSAFNKWKFDKMG >OMO83850 pep supercontig:CCACVL1_1.0:contig09846:79394:83621:1 gene:CCACVL1_11142 transcript:OMO83850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLRKVWESVSNRSRSTSDSPTHRPTLPTASSATTLGPLFDEIPVDILVRIVSLVGPKDAVKLSCVSRGWRFLVSDNRLWIYFLQNHHHDPWDSVFFSELNLRSGYPLQAFPSQTGTGELSFMRIYAQRAQVPGSVIIDGGSGYCKFGWSKYACPSGRSATFLEFGNIESPMYSRLRHFFATIYSRMQVKPSTQPIVLSIPICHYDDTESAKASRRQLKDAIHTVLFDMNVPAVCAVNQATLALYAAKRTSGIVVNIGFQVTSVVPILHGKVMRKVGVEVIGLGALKLTGFLRELMQQNNVNFESLYTVRTLKENLCYVASDYKTELSKDTQASLEVPAEGRFTLSKERFQTGEILFQPRIAGVRAMGLHQAVALCMDHCHSAELTGDDAWFKTVVLSGGTACLPGLAERLERELHELLSPSLSNGVKVIPPPYGADTAWFGAKFISNLSTFPGSWCMTKKQFRRKSRVNLMW >OMO83854 pep supercontig:CCACVL1_1.0:contig09846:103580:104764:1 gene:CCACVL1_11147 transcript:OMO83854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MDEDCNGAIDHEELKKCLRKLDVSFTDEEINDLFKACDINEDMGVKFNEFIVLLCLVYLLKDNATALETKSRMGMPNLEATFETLVDAFVFLDKNKDGYVSKNEMVQAINESGERSSGRIAMKRFEEMDWDKNGSVNFKEFLFAFTRWVGIGDLEDEEEEV >OMO83848 pep supercontig:CCACVL1_1.0:contig09846:68972:71584:-1 gene:CCACVL1_11140 transcript:OMO83848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYQEDEADYMADEYDMEDIDDDMDEEFRGRDMSGSDSDVDEYDYSNNKISDTSAAQARRGKDIQGIPWDRLSITREKYRQTRLEQYKNYENIPHSGEGSGKDCKVTKKGASYYDFRLNSRSVKSTILHFQLRNLVWATSKHDVYLMSHFSVVHWSSLTHSKREILNVSGHVAPSEKHPGSLMEGFTQTQVSTLAVKDKLLVAGGFQGELICKHLDRPGISFCSRTTYDDNAITNAVEIYVSPSGAVHFTASNNDCGVRDFDMEKYQLSKYFHFLWPVNHTSLSPDGKLLIIVGDNPDGMLVDSNTGKTVMPLRGHLDFSFASAWHPDGVTFATGNQDKTCRIWDVRNLSTSIAVLKGNLGAIRSIRYTSDGKYMAMAEPADFVHVYDVKSGYENEQEIDFFGEISGLSFSPDTESLFIGVWDRTYGSLLEYGRRRNYSYLDSLI >OMO83852 pep supercontig:CCACVL1_1.0:contig09846:95033:95104:1 gene:CCACVL1_11145 transcript:OMO83852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRQRQMAMVAMKIPARGRESF >OMO83858 pep supercontig:CCACVL1_1.0:contig09846:129739:130323:-1 gene:CCACVL1_11151 transcript:OMO83858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRIDFQLQRSNATVPYVTSKQDEKDDTVDKIKLNLSRAMQEPEILPLNKPWESTAATTANFVGVFPASVSGFQYGPSSFGNEGEGAASPWSQSMCTGSTCTAGEQGRSLEKLKDENGEESHGGKEIKNASSIFNTDCVLWDIPSDDLINPIYREAFNNKE >OMO83837 pep supercontig:CCACVL1_1.0:contig09846:386:8236:-1 gene:CCACVL1_11129 transcript:OMO83837 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MASSSSSGLTFKLHPLVIVNISDHYTRVKSQMNPPPTSSSSSAAANNNSSNGVEHQAPRVYGCVIGVQRGRTVEIFNSFELLYDPSTHSLDRSFLEKKQELYKKVFPNFYILGWYSTGGDAQESDMHIHRALMDINESPLYVLLNPSINHAQKDLPVTIYESELHVIDGIPQLIFVRSSYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRIRVLHHYLVGMQKGDIPCENSLLRQVSSLLRRLPAIESEKFQDDFLMEYNDTLLITYLAMFTNCSSTMNELVDKFNIAYDRHSRREKGMSMRELVTGGAACAVPGSSSSSNPLGALANALIGSSSKTQERLKEIPTAAAATSQTQLYPRAGDPVAALPGSELDRPFLQSSAQGSEFIRGFRTADDNGLADAWDEIQRQPHFDHVYDASPAPPIQPTLDGPPQRVLSSFLHSFVDSSRSGIPLHPTPLPLLGLSKGDKQCIRDRSSIMARHIFADRSEEFINAQVNALLSSLEIDNDIHVRGPMPGRFRELEDYWNESQGMLKPGAHAADRWANEFSQHTAQHGDPDAWAHSFELQHGAAGWASEFEQEQAQLTSVDQMRGGNIANLAAIEQTRMLAHTLSQNDDPKFQNSKFLQFVSKMSRGELMIDDNQFKPASGSWATEYQQQYNTGATWADEFVHDEVSRGPDQWVSEFATERVQQEPVDDQWVNEFSKLHVDDWVEEFGRQVGEGALGDSSSDNWANAYDEFLNEQVAAKQRSDASRGVYVFSDMNPYVGHPNPLKEGQELFRKGLLSEAVLALEAEVMKNPENAEGWRLLGITHAENDDDQQVILLYF >OMO83839 pep supercontig:CCACVL1_1.0:contig09846:25123:28098:1 gene:CCACVL1_11131 transcript:OMO83839 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MVGVDDNVAIIGDWIPPTPSPRTIFTAMLGDDDGSKSVSETPGENKTEGLCLGSRGMMTAENGDKKVVHQGCEQLNELGLISEQKPSSRGGLVERMAARAGFNAPRLNTESIRPSDLSVSGNGSPYLTIPPGLSPTTLLESPVFVSNSLAQPSPTTGKFPFIPNGGRSFTSESPDKSKDNYFEDINPPSFAFKPVAEENSSFLLGAMNRITPASLPQHSNLNIEVSVQSENSLPSQNVDPVKVHSKNSNMLGVHADFSRSSTEKDSGSNNSADQRVFDPAGGSAEHPLPLDEPQDEEGDQRGSGDCMAGGVGGAPSEDGYNWRKYGQKQVKGSEYPRSYYKCTHPSCQVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRSAIGSSNPVTDMKLEVPEQTGPQNGADGDPLWTSAQKGAIATTHDWRHDSIEVTSSTPLGQEYGSGQAPNSTHFESGDAVDASSTFSNDEDEDDQGTHGSVSLGYDGEGDESESKRRKIEAYASEMSGTTRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARSSSHANSATSSTVTAQVASSVHTHVHRPDPSQLHNNMVGPASFGPFTLPGRHQLGPSPGFSFGMNQSGLANLAMAGLCPGQVKLPVLPVHPFMPQQRQTNEMGFILPKGEPKMEPMSEPGLNLSNNTSVYQQIMSRLPLGPQM >OMO83841 pep supercontig:CCACVL1_1.0:contig09846:37588:43056:1 gene:CCACVL1_11133 transcript:OMO83841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIENPPPEPDPPYPCQFLQLKSGSDEIETPPHKLPLPEVDLLDHHHRHHTPLPKFSIRDYVFTARSKDIKKNWPFSPKNLQLCLKHGLKDPLPPFQPLGIVRSQSLKKCTVETNPFEKQDTRKFDEVPSGSNDHVVLESSNDAHSNHILAGACVDISSRSGEHENDLPSTTTSVSQSEIDSVLVNKPSNLPLQTDTSVEVSPEFQTAGPFKSHKTENISRSSSKKCRLIVKFGSHSDRSSTEDIASNCTTVSESMASKVCPVCKTFSSSSNTTLNAHIDQCLSAESTPKWTAGSKLTRHRIKPRKTRLMVDVYATARPCTLEELDRRNGTSWATATNVPRQDYERVATYVEGKSQRVSPIHPEDTSDVGAVYIDANGTKLRILSKFNDVQPVSKVGEDLGLHKSLKGGKGSKFFSAKKKRRHATKHHKSLKLAPQSRKLFSNKTRPSTIVGGQEGYCGEEESCKSEGPPVPKQIKTSDSRKVRGRLWTKRAGLLSKSNNQGRHQPLICKWHVSRDMRVQGDQSHLVDNVVERHCIHKFKTSSESVSSPEKCESTEKSVYEAPVTDKRERSFGRKRVRSPLFGARICDKMCRSFDPLNQKGIQLSKDSLYVNEDHIIKSLKSGENCSSSLSKKMVDIDANGNPSSPVNATTTVSHHSFPSKCFRISSPKKTALSASSRSSMVHSRSNLVKKYPTKQSRLQFMADIDEDVVVCSPEGDKECDLGHDGAKNYHDGKENTGELSYGGSIVQGAGEQSVRVSISGRDDTLDLKSIESAPYCYDHDGRENADSSVRGNEDILGKVDGLEPLDETITSLNQSIESKFSKLSDPSKNRSNSLQHIEDYDGVLCGGEGLADPNGPNLVDKPNMFCSEVGDGLIGQTANMGGELDCDAAQGNSFPEVDPIPIPGPPGSFLPSPRDMSSDEFQGNSSLTTSRIQSSQDQLDFVDGESSDSPISTVSTISNCAEARSNLKNAEPLIFTGAPAALSDPLVENGAANSQTGAGPQRTFEGEKLRVHKLSMEKKPFIFKNDDQPCCCQRRDRSSQGFALNYQESQLLRRRTMASTMVPATGMQIGSSPNFRPYNLDTRPEIFSLSSCTNLGSEQMVLPPVVKPPAGPISFEACPDAGIKLSARGDSDSASPSTCNPILRLMGKNLMVVNKEEDGSVPIGLAQSSPQSNRLTSNFATSSGISPSNIWKQAGGFNFHHTMPQGSLFFDRNPNDLLGQSLDVQKTNGYRNCENLATSQTPVQFPVGMFLNEHMGCGFTASMEQNKYEGNCNLPSQVNRPKNKLGPSAIYEMKKVRTVDCRQHGDSAVSSKEVIVIDDAPETETNERNDIAEHLEGSRESQLVSYGISMPLVPNHIMRPGNPYAHYHQSEEPPLLRDQTVVHNNNFYAIPPRGGNTSPVGWDCTSGGSGVLQRGPLMAVSPSTSHLRSALYYSPSLS >OMO83853 pep supercontig:CCACVL1_1.0:contig09846:99304:100509:-1 gene:CCACVL1_11146 transcript:OMO83853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MASKRINKELKDLQKDPPASCSAGPVADDMFHWQATIMGPADSPFAGGVFLVAIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMN >OMO83842 pep supercontig:CCACVL1_1.0:contig09846:46301:46864:1 gene:CCACVL1_11134 transcript:OMO83842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MEQSAAATYTTIPISASDVISRSLYNLTVTLSRHLRPWPQLLGSGSRSFSRPPHFFLSRTTSNIHYFRANYSIVVTATSALSLIGSPLSLLVCCGVFSLWLLLFFFREDPLVLWGHCVSDRLVIFFLAFLSVLAVWVCGALQNLALGLVIGVLVCGVHAILRNSDGLFLDENDAISTGLVRSAAANQ >OMO83843 pep supercontig:CCACVL1_1.0:contig09846:47608:50517:-1 gene:CCACVL1_11135 transcript:OMO83843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLMALGEEEEEEENHPTGLTIKTGSTCCSKTQTSIESSPFNSPSLVSPPSSAFVSALQSPYISPRATNPKPQDQDQLDQDLSYRGGGGSQSDDIPSSSYTPPSDHYEYSDHDPKLKFVTCVPVPADPAPRISFSFPVPVPRISFAKAPISPSSNSNAKLRSCDVFIGFHGQNPNLARFCKWLKSELELQGIACFVADRAKYSDSQSHEIADRVICSVAYGLVVVTNSSFLNHLSLEEIRFFTQKKNLIPLFFDTGPAEIMGLVNCNSIDKECKEAMDGLIKCSHEFNLEANQGNWRSCVAKAAGILRTKLGRKSVAVAERFDFEELPFPRNKFFVGRDKEIMEIEAALFDSLEQDYCCSMPIIKAEASGQSEGLADEESDHVSTRGSYINLELGKCKEPTLEPVMGIRNSMKRSKYKKSKSGNYKSLGSSSVICINGVPGIGKTELALEFAYRYSQRYKMVLWVGGEARYFRQNILNLSLNLGLDVSADDDKERGRIRNFEEQEFEAFKRVKREVFRDMPYLLIIDNLETEREWWEGKDLHDLIPRNTGGSHVIITTRLSKVMNFDTMQLPPLPSADAMVLARGRRKKDYPSEEVEFLRKVDEKLGRLSFGLWMFGSLLSELSISPSALFEAVNQVSLEDGSTSSYMSTNTSSEQYCKNNPFLMKMLSFCFAVLQQVNGRNNILASRMLLVGAWFAPAAISANLLATAAKYMPVAGNRFRRWTKCLSVTLGCCGGCGLATQSEEESAILLVKLGLARRANRQGGCWIQFHPITQAFAKRKEGLSAAKATVQGIRKSGNMLNSDHQWASAFLVFGFKSEPPIVQLKAIDMVLYIKKTALPLAIRAFTTFSRCNSALELLKVCTNVLEEVEKSFVSQIQDWCHGSLCWKKRVQGNQRVDEYVWQDVTLLKATLLETRAKLLLRGGHFDSGEELCRTCISIRTVMLGHNHAQTLAAQETLAKLVRMRSKI >OMO83851 pep supercontig:CCACVL1_1.0:contig09846:85153:85652:-1 gene:CCACVL1_11143 transcript:OMO83851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDITASAKPEYPVLDRNPPFTKVVGNFNTLDYLRFVTITGVSVTVGYLSGIKPGLKGPSMVTGGLIGLMGGFMYAYQNSAGRLMGFFPNEGEVAHYQKRGFNN >OMO83838 pep supercontig:CCACVL1_1.0:contig09846:16244:19900:1 gene:CCACVL1_11130 transcript:OMO83838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLSSQEQGQGLIGIFGLFLLLLFHHLVMWADARQLLSGQSQKQSNDDVMKLMAFKGFSVTSDPHGALANWTHDSPTPCSWLGVSCSLDGRVVALNLSYAGLVGALHLPNLTALSTLRYLYLRGNSFSAADLSASTAVSCNLETLDLSSNIISNPLPPQSFFSACNSLVYVNLSRNSIPGGSLTFSPSLLQLDVSRNRISDSALLNYSLSNCQNLNLLNFSDNKLTGKLGVAPLSCKNLIVLDLSYNFFSGSIPSSFMPDSLVSLKHLDLSHNNFSGNFSSLNFGQCINLTWLSLSHNSLLDSAFPTSLRNCHLLEVLDLSHIGLEGKIPGGLFGNFKNLMRLSLSHNQFTGGIPSELGQACGTLQELDLSSNKLTGGLPQAFTSCSSLQVLNLANNLLSGDFLDKVVSTLPSLRYLYVAFNNISGFVPLSLANCTQLQVLDLSSNGFTGNVPAGLCSSTSPLAKILLANNYLSGSVPVELGNCKRLRTLDLSFNSLSGPIPLDIWKLPNLSDLVMWANNLTGEIPEGICVDGGNLETLILNNNLITGSIPQSIAKCSNMIWVSLSSNHLTGEIPPGIGNLLKLAILQLGNNSLTGQIPPELGKCQSLIWLDLNSNDISGVLPPELANQASLVMPGIVSGKQFAFVRNEGGTACRGAGGLVEFEGIRAERLESFPMVHSCSSTRIYSGMTVYTFANNGSMIYLDVSYNNLEGSIPDNLGAMSYLQVLNLGHNKLMGHIPDSFGGLKAIGVLDLSHNDLQGYLPGSLGTLTFLSDLDVSNNKLTGPIPTGGQLTTFPASRYENNSGLCGVPLPPCGFGGHSTNLHSQNKRPSMAVGMVVGITFSLLCILGFICALYRLKKQHLKEEKREKYIESLPTSGSSIWKLSSVPEPLSINIATFEKPLRKLTFAHLLEATNGFSAESLIGSGGFGEVYKAQLRDGCLVAIKKLIHITGQGDREFMAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKWGSLESVLHDKAKGKGSRLDWEARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDENFEARVSDFGMARLVNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVILLELLSGKRPIDPSEFGDDNNLVGWAKQLYRERRIDEILDPELMTQKSGALELQQYLKIAFECLDDRPFRRPTMIQVMAMFKELQVDSESDILDGFSLKDNNVIEES >OMO83849 pep supercontig:CCACVL1_1.0:contig09846:76720:78010:-1 gene:CCACVL1_11141 transcript:OMO83849 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcriptional regulator MKSMASTAISFGRWRSVPVLLLLISSSSTITRFQRNNRSLLNSFNTFKSTKRDPIDPTNNRSDSKVNRHEPSHQAQHKGNQIAFKTKQKPPRPDRQPRGARHRRLELTSHLATEPTSISGD >OMO83846 pep supercontig:CCACVL1_1.0:contig09846:63564:66675:-1 gene:CCACVL1_11138 transcript:OMO83846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYPWPTASYEDVAQISDLFWEKLKTFHKSLGQKFKVPVVGGKALDLHQLFVEVTSRGGLEKVIKDRRWKEVIVVFRFPTTITSASFVLRKYYLSLLYHFEQVYYFRKQGSPVSTSGTSSGSLMNGYANTKGDTPTNQSIAPGTTELQIGSSVTGTIDGKFDNGYLVTVRLGSDQFKGVLYHIPQMLQLSQSSNTSDVPPRRRRKRSRLALRDPTRPKSNRSGYNFFFAEHYAQLKPMYYGQEKAISKKIGHLWSNLTEAEKQVYQDKGMKDKERYRTEMLEYKSSYDSTPQ >OMO83844 pep supercontig:CCACVL1_1.0:contig09846:56336:59056:1 gene:CCACVL1_11136 transcript:OMO83844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDCSALEFVEDNEATQHTAPQVDGNKIKDNHVQSYMAKDNGSSVNDNETQMLATDHSVHPDALTADNHVKGAIEVLQPMHSPPLAAKSPGAPSPPTTKGYGLKKWRRIKRDFAKDATATADSSKILKRGLSSSANPNKPQHMGSPEIKPNSEVPVAPLNMLKNTNVAQEFIMRTPNSDSRFAVGAAFAAATDSENSEDRSSKSSTAASVPKARYDLPAVLGYMHEKNQMKTLGGKTGGNSSQRIQQGKGRVESSKKPRGERIKIEKENSHSSVESDSRSSNFVFMQGTFSVTSNGKQSGKSMSYDGENSDEAHEGEHQFSEEVQTPLRKENSGEIEELSLDDLAPDLSWEGKEERSENHRPSPGQDPLIESILALQSVQEALGKEVQKFGEIGKEPTSLHDGSDNINNVVMHSTLADGEIHETSSSDQLASENTRESTSGPLETQVFTLTQKVKYLESKLEEARAVLQTKESRISELETNVNSNRSPKEESGSTAELQQDKHREIEFDLEGVFLQKMEAEIEFLALTRAVEKLRLSVGNQITLYEEQTSLAGEQAQTLDKLGEAESKAAMLKKQAEELERYCGDVLGTEEVLKMQRRCF >OMO83847 pep supercontig:CCACVL1_1.0:contig09846:67770:68273:-1 gene:CCACVL1_11139 transcript:OMO83847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaG/PsaK protein MAMAASSSTLLSPAGLWSIQKKDGIANPTIVSFQGLRALRDGGQGQGQVRLSKAKAKSVGRRRFGVKAELNPQVVISLSTGLSLFLGRFVFFNFQRENVAKQVPEQNGLTHFEAGDTRAKEYVSLLKSNDPVGFNIVDVLAWGSIGHIVAYYILATSSNGYDPNFFG >OMO83857 pep supercontig:CCACVL1_1.0:contig09846:116493:129536:1 gene:CCACVL1_11150 transcript:OMO83857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MHLYNAWLPPPVAEETKKEQKSFSRVVSSVRDLYRPDDPDCVYSTLKWISVMDLFIKAKSDISLEDVNSVVEIGLDLFHKSQSKLYAQVRWGNILVRLLNKYRKKLSLKVQWRPLYDTLIHTHFSRNTGPEGWRLRQRHFETVTSLVRSCRRFFPAGSSSEIWSEFRSLLENPWHNATFEGAGFMRLFLPTNADNQDFFSDNWIRECMELWDSIPNCQFWNSQWTAVMARVVKNYKSINWEGFLPALFTKFLNMFEVPVASGSGSYPFSVDVPRNTRFLFSNKTVTPAKAIAKSVVYLLKPGSMAQEHFEKLVNLLEQYYHPSNGGRWTYSLERFLLYLVITFQKRLQHEQQNTDNDNQAEFYLGKLERSAFVNALLRVIDRGQYSKNEHLSETVAAATSILAYVEPALVLPFLASRFHMALETMTATHQLKTAVMSVAFAGRSLFFTSLSSGSIKPVDLGGGADTFIDLLMISLSNALLGMDANDPPKTLATMQLIGSIFSNMSMLDDNMDELSFMPMIRFSEWLDEFFCRLFSLLLHLEPSSVLNEGLHSSATSGTFLVEDGPYYYCMLEILLGRLSKQLYNQALKKISKFVRTNILPGAIAEVGLLCCACVHSNPEEAVVHLVEPILSSVLSSLNGTPVTGFGGRGILDPMVLTKTKPSLSPALETAIDYQLKILSVAISYGGSALLHYKDQLKEVIVSAFDSPSWKVNGAGDHLLRSLLGSLVLYYPMDQYKCIFNHPLATALEEWICTKDYTDDGALKAPKWHIPTNEEVQFANELLVLHFQSALDDLLRICQTKIHSDPGNEKEHLKVTLLRIDSSLQGVLSCLPDFRPSSRNGTIEDSSYPSFLIAGATGSSVGSTQLREKAAEVIHTACKYLLEEKSDDSILLILIIRIMDALGNYGSLEYDEWSNHRQAWKLESAAIIEPPINFIVSSHSKGKRRPRWALIDKAYMHSTWRSSQSSYHLFRNSGNFSPPDHVTLLMDDLLNLSLHNYESVRMLAGKSLLKIMKRWPSLISKCVLSLSENLRKPNSPDHAVLGSCAVLSTQTVLKHLTTDSKAFSSFLLAILLSSHHESLKAQKAINELFVKYNIHFAGVSRSIFRTVDNHRDTPDFADLVSQIGSMSFDSTGLHWRYNLMANRVLLLLAMTCRNDPSFSPKILSETAGHFLKNLKSQLPQTRILAISALNTLLKDSPYKTFADDQPLYSENSQENVESSLEGALREIFQEEGFFSETLNSLSHVHIISDTESASSRGNHGNSSFLSLADKSITRFYFDFSASWPRTPSWISLLGSDTFYSNFARIFKRLIQECGVPVLLALKSTLEEFANAKERSKQCVAAEAFAGVLHSDVNGLSEEWDSWMMLQLQNIILAQSVESIPEWAACIRYAVTGKGKHGTRVPLLRQQILNCLLTPLPPTVTTTIVAKRYAFISAALIELSPQKMPVPEIELHNKLLDELLGNMCHSSAQVREAIGVSLSVLCSNIRLHMSSLQYHLVERGSDIYVQLKEENWVQLLTDRASKVVVNIQNSSLSDVLDSLTDINARNGYPNADSQDDVKWMETLFHFIISTLKSGRSSYLLDVIVGFLYPVISLQETSYKDLSTLAKAAFELLKWRIILEPHLQKVVSVILSSANDPNWRTRSATLTYLRTFMFRHTFILSKGDKQKIWKTVEKLLQDSQVEVREHAAAVLAGLMKGGDEDLATDFRDRAYIEANCIQRRRKTRNATSGHSVASVHGVVLALAASVLSVPYDMPSWLPDHVTLLARFGGEPSPVKSTVTKAVAEFRRTHADTWNLQKDSFTEDQLEVLADTSSSSSYFA >OMO83845 pep supercontig:CCACVL1_1.0:contig09846:61368:61973:-1 gene:CCACVL1_11137 transcript:OMO83845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVKLRLEDTAAYRHLTTILSPFLSKTLHQQNLFFDTPTNTLTSQLSVLRLRFLNNDARCIVSLKSKPTLVDGVSRVEEDEEELDPVIARACVEDPARLGKIESRISKRVKDEFGVGEEMGFVCLGGFENKREVFHWKNLKLEVDETKYEFGICYEVECESEDPDGVKKMLEEFLKENGIGYAYSKMTKFAVFRSGKLP >OMO83856 pep supercontig:CCACVL1_1.0:contig09846:111360:112040:-1 gene:CCACVL1_11149 transcript:OMO83856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGHIVEIPVDEEHHEKLSGGLKRMTSRAMQNHPLMEISESPGHLLLLKLWQREEHVFGRRMGVKEWRLESIKRDIFQLCCFFVMFHGFFFNILFASSGSGKEKHGHGCRKWWIPSLISLSTSLVFVFLGQVKVCRYWKVWRQLQRERNDNRALTRCIQELRMKGESFDLSKEPAQMGMGRRIKSSSVEIKWNPLTCCSHYLITISLVCISALLFPASNFILCGF >OMO83840 pep supercontig:CCACVL1_1.0:contig09846:28998:35025:-1 gene:CCACVL1_11132 transcript:OMO83840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MTGGGEYSDQRYLLRSNGHNDEEDGDGEKDEDDVESQMSSSSSSNKNNSLKDLFKHLDRGFSSRRLSFKRPDRDRSSPPSLDHRQHHLVDAADALGDSAPPEWALLLIGCLLGVASGLFVAAFNKGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVIVGMMHGLLEILSQIRQSSSSQQQGFDLVAGVFPTIKAIQAAVTLGTGCSLGPEGPSVDIGKSCANGFSLMMENNRERKIALVAAGAASGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNALLGTESTFTVPSYDLKSAAELPLYLILGMLCGVVSVVFTRLVSWFTKTFEFIKEKFRLPAVICPALGGLGAGIIALKYPGILYWGFTNVNEILHTGKTASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAEVINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVTNQNKETETSDMRNSARGYSSLSAAEELYVLEKAADHEAIDEDMLLEDLRVSKAMSKKYLKVSMAVTLKEAMKCMHDSHQNCVLVVDEDDFLEGILTYGDVRRYLSKKPSDISKGDLTAVDANTCLISSICTRGISYRGQERGLLTCYPDTDLAIAKELMEAKGIKQLPVVKRGREPHRGRKRKIVAILHYESIWNCLREEINHRKSVYQHKENNMEVMANGD >OMO83855 pep supercontig:CCACVL1_1.0:contig09846:105900:109847:-1 gene:CCACVL1_11148 transcript:OMO83855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSGGGGGRYMAYSPSPSAPHSPHLSGLRSAAAAASSAALLDQEKYLSELLAERHKLSPFMPVLPHTYRLLNQEILRVTTLLGNASVLGQSGLEQASPLASGGIFSNGGADMNGWTSRFQSELALRFSYDRQSEANIKKFCLVQPSSAQNWLSSQGSSSGLIVKRTIRVDIPVDNYPSYNFVGRLLGPRGNSLKRVEANTECRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVEAELPVEIVDARLMQAREILEDLLKPVDESQDFYKKQQLRELAMLNGTLREEGSPMSGSVSPFHNSLGMKRAKTRG >OMP07837 pep supercontig:CCACVL1_1.0:contig04170:1386:1454:1 gene:CCACVL1_01209 transcript:OMP07837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVRGKISKREDRFRPLNKAWYG >OMP10690 pep supercontig:CCACVL1_1.0:contig02031:620:679:1 gene:CCACVL1_00816 transcript:OMP10690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSTGPFLANQTKNEEAGPVE >OMO70703 pep supercontig:CCACVL1_1.0:contig11795:189:2421:1 gene:CCACVL1_18692 transcript:OMO70703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRTSMSFNRLFQDLKGGTYTVSSLGAPFGICAIINPPQAGILVVGSAEKRVVHGSGPEQFKFASFMSVTLSCDHCVIDGAIGAEWLKAFRGYIENPESMLL >OMO70704 pep supercontig:CCACVL1_1.0:contig11795:14439:15596:-1 gene:CCACVL1_18693 transcript:OMO70704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYKYPYNLKPLAKTQEKAFRKKKKKRRDLAMVGRDSTSFTKFELNEMVKRGKGNPFVILDYYMDWEDRRIIQRPRCSCLPLQTVALHWILRL >OMO49386 pep supercontig:CCACVL1_1.0:contig16520:4609:8827:-1 gene:CCACVL1_31037 transcript:OMO49386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein (NAP) MVADKAKKIKVGDKAEEENSEQIDGDLVLSIEKLQEVQDELEKINEEASEKVLEVEQKYNEVRKPVYDKRNDIIKSIPDFWLTAFLSHPALSELLTDEDHKIFKHISSLEVEDFKDLKSGYSITFNFSPNPYFEDTKLTKTFTFLDEGTKITATKIKWKEGMGLPNGVNHEKKGNKRQLPQESFFAWFTDAQQKDEMDEIHDEVAEIIKEDLWPNPLTYFNNEADEEEFDGEGDEGKDDDDSDDEDEDDDEDDDDDDGDDDN >OMO71515 pep supercontig:CCACVL1_1.0:contig11610:25699:26639:-1 gene:CCACVL1_18187 transcript:OMO71515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAIGFDRWRSVSMLLFLIFHNHNSQTTRFILLLPLPYQNIYQADLLELLELVHDNLHGRIILQLLHPPNIQDPTNDRLRPCPVDESRTHRPTPCNRADEGQLRQFQLVESILTDPCIAIPF >OMO71517 pep supercontig:CCACVL1_1.0:contig11610:45606:45701:-1 gene:CCACVL1_18189 transcript:OMO71517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTSKQVVNPSTKPMRVDYGATSEIQATKK >OMO71513 pep supercontig:CCACVL1_1.0:contig11610:1876:2172:1 gene:CCACVL1_18185 transcript:OMO71513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily ELQKHRSLDIVMALVGNKADLQEIREVTVQDGKDYAEKNGMFFIETSAKTADNINRLFE >OMO71514 pep supercontig:CCACVL1_1.0:contig11610:10193:15136:1 gene:CCACVL1_18186 transcript:OMO71514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGVRKHGVEGMLASLRIQPTLIERIKEAQLVDSALQKVRANIETGLSVVGCNRPNWSHSTQSTRLAQLRGVGWWRRIPLTVKVG >OMO71516 pep supercontig:CCACVL1_1.0:contig11610:37235:41080:-1 gene:CCACVL1_18188 transcript:OMO71516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDLEPWMLKTIQTFETEEKWRLEGTYGVEIHPDSLPLCCHFITTHSKDFMEASDFEKERLLKEYMVKLLDAKENAINYNVADFVSKMFQQLESLRIKIELLIDKDMRRLLMVGPSEIEEGIIKSWSEENIGPKLLDIREGARMVVSANNQPAKLRQMEAPKRTDKKEMEAVQTKLYTSLLDGHYVFDTVTRALISCIDCYIRSEVMANRSDVFLLLGLTTADKEDLLKCLTKLVASENDTSLLLQPERKQFRSELLNQVDEIVFFDPCASHGEQLTNFARLPMSAGPHIDWISLYLNLFFTETIPKDKVKETSNFPYKS >OMO65823 pep supercontig:CCACVL1_1.0:contig12618:35103:35177:1 gene:CCACVL1_21388 transcript:OMO65823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGHMINPLILVKNLESINVDFQ >OMO65820 pep supercontig:CCACVL1_1.0:contig12618:4825:5785:1 gene:CCACVL1_21385 transcript:OMO65820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L41 MRAKWKKKRMRRLKRKRRKMRQRSK >OMO65821 pep supercontig:CCACVL1_1.0:contig12618:7199:8914:-1 gene:CCACVL1_21386 transcript:OMO65821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLNSMPSITKNPSPESTRKPYGFFHQIPYIYSFSLNKGFTRVLAATHITIFPKDPVFTLPNGKTVRSDTKSKELRLNGAFYHMESMVRKGQKPDVVQATQLLYDLCKAKKMKKSIRVMEMMVDSGLTPDAASYASLVKQLCKRGYVGHAMQLVEKMEGHGYPTNTNIYNSLVRGLCMHGNLNQSLQLLDKLIQRGLVPNECTYSFLLEAAYKEKGVNEAMKLLDEVIAKGWKPNLVSYNVLLTGLCKEGRTDEAIRFFRDLPAKGFDPNVVSYNILLRNLCYEERWKEANELLAEMDGEDRYPSIVTYNILIDSLALHGKIEHAMDVLDEMIRNRFRFNATSYNPIIARLCQDEKVDLVFKCLDQMIYRRCKPNEGTYNAIAVLCERGMVQEAYSIFQRLGSKQGSSPYDFYRSVISSLCRKGNTFAAFQLLYEMTKDGLNPDSYTYSSLIRGLCMEGMLLEALEIFMVMEESIYKPDVDNFNALILGFCKSHRTDLALKVFEMMIEKGFMPNETTYIFLVEGIAREGKIELAAEVLKELHTREVISQHAVERLVMHYNFSALDSFDF >OMO65819 pep supercontig:CCACVL1_1.0:contig12618:1726:2706:-1 gene:CCACVL1_21384 transcript:OMO65819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MSTDTSLTIDPFKNLQITLNPDGTLTRHNNYARTPAKPEDSSDSSTSVLSRDILINNSNKTWVRIYLPKQALKSSEKLPVILAFHGGGFILFSPDESMSHQFCSNMATELSVIIVSASYRLAPEHRLPAAYDDAMEALLWIKNTHDNDWLENYADLSKVFIMGGSAGGNIAYHLGLRAADQQVDDLLPSKIRGLILHQPFFGGVERTESELRSMNNPGFPPCVSDLMWELSLPIGVDRDHEYCNPIGHGSTSLEKIRRLGWKVFVGGCHGDQLIDRQIELVKMMEKEEIQVVSRFLEGGFHGLEIFDPPKAKAMFVALKDFIFPSM >OMO65822 pep supercontig:CCACVL1_1.0:contig12618:9515:14948:1 gene:CCACVL1_21387 transcript:OMO65822 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MKSSKRAADNNRGSGSSSGHLHTLHHRLKHALSLGTTKFVDNKEKKWQCTDIEIQRHVIRSLAAFLDCISGDPSHYRLLKIIGNDHFATVMGNMLFYGYKLDSLTDIVGALVWILQCKNGAIVGMAANVVVKLVGTNSSMMQFYLTDLIYPLSSLLSSNDLEVATSCATALNMTVSNLSVRSEKKVWEMIKEAKTVIQISRIIHEFPCGTLPIEYFQEMASLLSAILWRWPPSRYSVWNDAIVMKALEDSWIKSNISTKVAVLKLYSALALCNKVAQQLVEDGGILLPMMVQSMGSSEPLSVRVEGFRLAQHLAADEQRCIKMTSLYSGPLAKAITGAMRGWRGSENIANDQMSLLVEACRLALITRWPGKHHSCFWEQGIDKLLLDLVLENFDKQVSDHRLSPGEQISIAQKGLDRNFLLALRPYIWEILGWLSVHCEKDFRPSTHRNELHVNILITCACLSFVEAIRRGCQICENDDTSSCESSTRPVLMMMHSASTYITSKVRYILSGILEPNGNEYLKRLLHLLTYAASTNNSGLPNVSKTVIKLVGLTCYSGLPQYQKDVIKGDVMEILVTLITQCLSNQVCIRRSFAPHFLNVYYERACCWITSEEWEGKDALLFYSLWALAELVQHSSDINYTNSNLVKIVQDVIDNVSAPGPRWFAAHILSYFGVYGFPNKHDKGFGKALEDKDQTDVQLLFANGESVRAHGVILAVQCPSLLPPEKFSHNAKTTDEFSVRDIPEKLRPMSQKEVRLSAHVDQQALLKLLDYVYFGYVEAGEDVARKVKTLAKFCNLKPLFLMLCRKIPKWGTPIPSTDLTHALGPAGFHFSDVILEAKAAEKMPWACGFCSLLEPHMHAHKIILQSRCNYLRALFQSGMQESNSQTIKVPISWEALNKLVHWFYSSDLPNPPTGCLWDNMDIKERLFELKAYIELYWLAEFWMMEDVQDTCFGVIERCLDSDRQLSVEVIKLASSFSLWKLAELAADYMAPLYNKLRDSGDLEELDEVLVELVRDASVRLSRQHGVSTVSLVLVLDYDGYISRI >OMO63481 pep supercontig:CCACVL1_1.0:contig12958:837:1519:1 gene:CCACVL1_22399 transcript:OMO63481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDKDREESYRDVRVSRLPNHPFQNCLELI >OMO70565 pep supercontig:CCACVL1_1.0:contig11812:86399:86843:-1 gene:CCACVL1_18804 transcript:OMO70565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancyauxin associated MPETGLGKLRKYDSFSTTRSSPAPVVDPNMVITRSITIRKSNSGFRNLSVDPGSAPDSPSGSSCSTPGTPLTPGTPRGDFRKFTRRKSPAEALESAEQRSPTVYDWFSLFCLLFL >OMO70555 pep supercontig:CCACVL1_1.0:contig11812:22182:24765:-1 gene:CCACVL1_18790 transcript:OMO70555 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-dependent dehydrogenase MAVEPVNVDEFRELARQALPKSYYDFFTGGAEDEYTLKENEEAFQRIIIQPRILVYKRRDISAKLVERAEKNGYKAIVLTGDAPRHGRREANIKNKIIVPQLKNLEGLLSTSNVVNDGGSNLDSLANSTRDQSLCWKDIGWLKSITKLPILIKGVLTHEDAIKGLEVGVDGIIVSNHGGRQLDYCPPTISVLEEVVQAVDGKVPVFLDGGVRRGTDVFKAMALGAQAVLVGRPIMYGLAAKGEYGVRRVLEMLKDELELTMALSGCSSVKEITRFHVTTKHDHQLLSML >OMO70559 pep supercontig:CCACVL1_1.0:contig11812:35744:35854:-1 gene:CCACVL1_18794 transcript:OMO70559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHGCDRDQKNNLREASFHPHDLCSPSLVDRGRDS >OMO70572 pep supercontig:CCACVL1_1.0:contig11812:117816:124738:-1 gene:CCACVL1_18811 transcript:OMO70572 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor MSKPKSPQDAELLKSDIASFASSLGFSTDASVPYSGFNDVDFRKAGPLKPPKPLKTPKSKNQSSQVEKKPNNTQIQKNDKNTRNNQRPKPMPPVLSLEDTNKSNSFSREHDKFKNLPALPLVKASALSVWYEDELELEKKVFGEEGKAKKAVEVRNVDEWKKLVDKKRELGERLMFQYAKDYELSKGKSGDMKMVMASQRSGTAADKVSAFTFMIADNPVANLKSLDGLLGLVTSKVGKRYAFTGFDALKELFISNLLPDRKLKTLLQRPVNELPENKDGYSLLLFWYWEDCLKQRYERFVIAVEEASRDMLPALKDKALKTMYILLKSKSEQERKLLSSLVNKLGDPQNKGASNADYYLSNLLSDHPNMKAVVIDEVDKFLFRPHLGPRAKYHAINFLSQIRLSQKGDGPKVAKRLIDVYFALFKVLITEAGRSQELDNKSNKLVKHTPSSKENKLKVGEESHIELDSRLLSALLTGVNRAFPYVSSNEADDITDAQTPMLFQLVHSKNFNVGVQALMLLDKISSKNQVVSDRFYRALYSKLLLPAVMNSSKAKMFIALLLRAMKSDVNLKRVAAFSKRVLQVALQQPPQYACGCLFLISEVLKARPQLWNMVLQNESVDEDLEHFEDIVEDNNTEPSSASKKEDNNADIHGVEDANFDGDSSEDDDAVPPHSDDDASDDADEFVIREVPNDLQKPRTVSDQNAFKPQVSSTQSFLPGGYNPRHREPSYSNADRASWWELMVLSTHAHPSVATMAATLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKASSWHGGSQIEPAKKLDMSNHLIGQEILSLAETDVPPEDLVFHKFYMNKMNSSKKPKKKKKKGAEEEAAEELFDVGGNDDDDYMGGADESDNEEIENLLDSTNPSLDADGDYDYDDLDQVANEDDDDLIGDASDAEMEIPSDDADGDDFDVAAGDDGIDGDDDAIDIGDVDDISDDENEVHPRKRKRKSGKKASASPFASYEDYEHLLNEDSPASERKKKPNSVNEDGPSDKGSKRKRKPKSGKRKKSSK >OMO70576 pep supercontig:CCACVL1_1.0:contig11812:150274:157241:-1 gene:CCACVL1_18815 transcript:OMO70576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENCSDGGPGPNPLYLQKFRLYETRSNFYMIGRDKNRTFWRVLKIDRLDPSELTILEDSTTYSEVECFDLLRRIHEGNRSTGGLKFVTACYGIVGFVKFLGPYYMLLITKRRKIGAICGHTIYAITKSEMIPIPNSPIQSNMAYSKNEKRYKKLLCTVDLTKDFFFSYSYNVMHSLQRNLCRNETGLVNYDTMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQVNLSVSGRDFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGCPTQISSVVQNRGSIPLFWSQETSRLNIKPDIILSKKDLNYEATRLHFESLVRRYGNPIIILNLIKRSEKKPRETILRAEFANAIRFINKSLTKENRLRFLHWDLNRHSRKATNVLTLLGRVADYALNLTGIFYCQVTPNFRPEGLLNLSCLVQNNECSTQIVSDRSVDLEKLEISICNEEQDSSERHHVKPPMFQNGVLRTNCIDCLDRTNVAQYAYGLMALGRQLHAMGFTESQTLDQNSPLADDLMGVYETMGDTLALQYGGSAAHNKIFCQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQSAINLFLGHFQPQQGRPALWELDSDQHYNVGRHGPNLDNENARSTIKRSLSDGNILCGTDSPMAASNVGHYQHLSEKTEGSTHGHSEMPTCEISYSRFAPRMSCRQLFGDVEKDHFVESNRVCYDENGVECNCTNFDMDWLSSSGNSCDEDMYERSTIGLSSENLGAEFKIDTITSPSESGSSIKGGDRTASELTCDGIPDEFSESFANWVTNGDMLIPLKFTSKVV >OMO70553 pep supercontig:CCACVL1_1.0:contig11812:9777:9860:1 gene:CCACVL1_18788 transcript:OMO70553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLRISDVKRDSHSWSPSSATDKTNG >OMO70570 pep supercontig:CCACVL1_1.0:contig11812:111981:112388:-1 gene:CCACVL1_18809 transcript:OMO70570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAKVGKLTKLKSAIKRWPSLTKLTRSSSAIAAAESEGGVDDGANSIPKDLHAVYVGKSRRRYLVGSEIICHPLFQELIDRSSSGATAGGGNIDDEEGGAILVSCEVVLFEHLLWMLENDGAQLGSMEELVEFYTC >OMO70558 pep supercontig:CCACVL1_1.0:contig11812:30474:33223:-1 gene:CCACVL1_18793 transcript:OMO70558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptobrevin MVKMTMIARVTDGLPLAEGLDDGRDLKDADMYKQQVKALFKNLSKGQNDASRMSIETGPYVFHYLIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGAQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSESRVYADKARDLNRQALIRKWAPVAIVLGVVFLLFWVKNKLW >OMO70575 pep supercontig:CCACVL1_1.0:contig11812:146369:148002:1 gene:CCACVL1_18814 transcript:OMO70575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPCPKASSTSNPNAPAAYFTIKESPGATILASPMALNKGSEYAITANPNTWNMGKTHKKTRCILPDTQLGEELNKGRDLADPRFGGPQAGILFGKREVVMTRRIAGGFGNMR >OMO70563 pep supercontig:CCACVL1_1.0:contig11812:62888:71033:-1 gene:CCACVL1_18802 transcript:OMO70563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDTHLDYAMFQLSPKRSRCELFVSSNGNTEKLASGLVKPFVTHLKVAEEQVALSLQSIKLEVEKRKNAEAWFTKGTLERFVRFVSTPEVLELVNTFDAEMSQLEAARKIYSQGVGGQPSLGGDGAGMAAAADETKKELLRAIDVRLIAVQQDLATAFARASAAGFNPDTVSELQQFSDRFGAYRLNEACSKFISLCQRRPELISPWRPGADDHVVRASWGSDMSIDDLNEDQVGSHVNSRPHQPPKKQQEQQLQPNAMQTQHHIDQSKPATSQQPKPSSTIIQQGSQNENKEEEKKEEEVTESPPPIQTGQHVRRLSVQDRINLFENKQKETSGGKPPSVGKSVELRRLSSDVSSTPAVVERAVLRRWSGASDMSIDLGNDKKDSSTDSPLSTPSSSSVSQGKSNPFQGLSGDKEQKEEKSSRDKVSSVKVEPKSDPGRVADSGLKDHREVQVQVGTGLGKEEDVGLKERINWRDQPGSQNSQYRGFTGKPEQIEVGDQVVTQEKKVNISLTGGEKGGSEVQSRGFPERAGIVGVKTKPTSQAEGGIPGDAVGDATSGGELKSKVEAQGKDQMMIQSRIRPQGHSRKLSGQFEGGFGLKPKETLYKSNEGDQFTPQPQWRSSTGEVEEVGKKDLGSSEKQISKVEDPGVQRMKFKKQVPVGPEQTKKSLGRRDESASVYANNKSALGKKVPESEESFSTPTMPVDQSQRIRQSRGNQELNDELKMKANELEKLFAEHKLRVPGDQFSSARRTKPADAQIEQERSSQYNKTAVVDASPAQMLDKNSASEPMGSLSNMSKFYTPPAKMADNQDYADTMTQNFSGISFSDDSRGKFYERYMQKRDAKLREEWGSKRAEKEAKLKAMQDILEQSRAEMKAKFSGSADRGDSVSTARRRAEKVRSFNFRSQREQHPISSFQSEEDEDLSEVPDQKYYGQDRSSNEASLVDGSSRSSNTKKLLPNRNVSLSTPRTTAVAVPRSAAKVSNASSGRRRAQSENPLAQSVPNFSDLRKENTKPSFGAGKMSSRSQVRNYARSRSSNEEVALGKDDQPRRSQSLRKSSAGPVEFSDLSAVNSDGIVLAPLKFDKEQKNVEAKPFLRKGNGIGPGGGVNVAKFKASGASETSKDDDESDEQTFEVDDSMDMSKEDEEDELETMVVENSADMENGRSRLSQESDKLDNSGSENGDSLRSLSQVDPTTVAELPAAVPIRFHAAASLQDSPGESPVSWNSRLHHPFSYPHETSDIDASLDSPIGSPASWNSHSLVQTEVDAARMRKKWGSAQKPFLVANATHNQSRKDVTKGFKRLLKFGRKSRGTDSLVDWISATTSEGDDDTEDGRDPANRSSEDLRKSRMGFSQGHPSDDGFNESELFSDQVQSLHSSIPAPPANFKLREDHMSGSSIKAPRSFFSLSTFRSKGSDSKPR >OMO70569 pep supercontig:CCACVL1_1.0:contig11812:106999:107310:1 gene:CCACVL1_18808 transcript:OMO70569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGVGVSKLNRLIGARKIKQFEVNPPLLTPKGYIPVCVGVDDDTRKFIVHMTTLRDADFLELLCKSAEEYGFSNEGVLRIPYEAKAFEEWFMRRAAKRKIVRVS >OMO70557 pep supercontig:CCACVL1_1.0:contig11812:27092:29789:1 gene:CCACVL1_18792 transcript:OMO70557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSSSWLVGSYTIKANRKTPNVKSHKFYFNLPNRPGQARANFAQPDSHRRVPRQKRRVVVTGIGITTPLGHDHDTFYDNLLQGISGISKIENFDCSQLPLKIGGEIKSFSADGWVSSKLAKRADKFMLYLLTAGKKALADGGITDEVNKELNKTRCGIVIGSSFGGLRIFQEWLTTMMTPSKMPNPFSIPLSANNMGSAFLAIDLGWMGPNYVVNAACATSNTCILSAAGHIFDGQTDMMLCGGSDAGVHPILLGGLASCRVLSKGQNCDPTKASRPWDTDRDGVVLGDGAGVLLLEELEHAKRRGANIYAEFLGGSFVTDPYHMTQPHPDGDGMVLCMEKALADAGVANEDVNYINAHAPSTKIGDLREFRALIRCFNNNPELRVNSSKSMTGHMLAATGAVEAIATIKAIQTGWIHPNVNLDNPDKEMDKNILVGPEKERLDIKVAMSNSFAFGGHNSSILFAPIK >OMO70560 pep supercontig:CCACVL1_1.0:contig11812:36450:38195:1 gene:CCACVL1_18795 transcript:OMO70560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MSPASGSRYSKHDVSPVYEYRPKPLPDGSGIGKQNHLPEATGTSNTVLKDDFPSLSCQSGYKGPWPDPRRTQFEPLRVEEETESCASLLHHDLSRKVNISYSVDEYKPTQERSPQISTSTGDSVDDLQAVIKPFDICPVKTGTLVMLKPSLLVKNREKRNEMKRSMEGESGIVLRSGMVLLKNYLSLSDQVKIAKTCRELGLASGGFYQPGYRDGAKLNLKMMCLGKNWDPESGNYGDLRPFDCAAPPGIPRQFYLLVEKAIKDSHALIQQKVRVSRVEDILPSMSPNICIVNFYSASGRLGLHQDRDESPESLQRGLPVVSFSIGDAAEFLYGDQRDVDKAEKVELESGDVLIFGGKSRHIFHGVTAIKPNTAPKALLEETNLRPGRLNLTFREY >OMO70564 pep supercontig:CCACVL1_1.0:contig11812:82100:83272:-1 gene:CCACVL1_18803 transcript:OMO70564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDEEIQSHRSPGATGSQSPSSPSPTSNGRITVTVAAVPPCEPPLSEEPQQHENKLALALVPPQPQPLQTKNNGGGGGREDCWSEGATGVLIDAWGERYLELSRGNLKQKHWKEVADIVSSREDYMKTPKTDIQCKNRIDTVKKKYKLEKTKMASGGGPSKWVFFEKLDRLIGPTAKVSVNAAAVGGGGSPGFMSKVPMGIPVGIRSSLNPLRASQLHERQQQQQQQKQPRMVALKNQNKMQLRKRGPVETDSEDEDEEEEADSLDSFPPPPTGKRAKRTVHKGVNSGGKGKKWGNSVRELTQAILRFGEAYEQAESAKLQQVVEMEKQRMKFAKELELQRMQFFMKTQLEISQLKHGKRAGGHGNSSNHHSNINISNNNNNNNNSDSN >OMO70567 pep supercontig:CCACVL1_1.0:contig11812:103100:105465:-1 gene:CCACVL1_18806 transcript:OMO70567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFRGIWQASLNATKRALTWNVEDWIPPAEKCIFNFNSKEELKKWHLYSDSEYGGLSTASLEIKDDGNKSSGVFSGNLSLDVSEDTKWKISRSGFCGMRSKKFDGFIDLDAYDTVALRLKGDGRCYISTIYTENWVNSPGQLEDNSWQSFVFVPKDNWYIVKIPLAHYLPTWRGNVIDANLEMNPSRILGMSLSVNAGGGVPGAKTGPGDFRVEIDWIKALRTE >OMO70561 pep supercontig:CCACVL1_1.0:contig11812:40245:48938:-1 gene:CCACVL1_18798 transcript:OMO70561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQPCLIPGTPTKPERPQTRKELSWTLSSCGLEEEHKEEESCTFNGVESSEFFIDLNKPACSWGDNAESSHETQATCLVSGGAYADTKQLDHSEKLSSKLDFVPGSDDAIMLEKWEEIHTKEPSEKVTSKLDFVQDDLDSDDAEYCEEIQVKTTRKPKRKRYMPKVVIDDKLAPKKLPKSAAPKEKKPRTRKTPEQNLQIPATPKQTKEKKSLKEANLPVLEEANLPNLEFQSQHLEAKDFQSEQFPVKPNRQRSKRRRRLHLFNLIDDMHEIERRKCKESNKRSRRRRLDLFNLIIDMHEIIRWSGSKKRSSMNRPLRPLLAINWRWSGRKKRSINWRWSGRKKRSPMNSGDEAALVLYDPRKKKSPEVLLDSESLKIWNLLMNTDDDKSKETPSEEFKKEREKFVERISSFNACMYQIQGDRGFRKWGGSVIDSVVGVFLTQNVSDHLSSSAYMKLASMFPLRNKFQAITWSQESVGRSLSQESIGSNLTEYDAQGNLYFVNEPEPESKKEVREVTNMLDDAPELCVQEVAQMDENTKLENEDGKSPKATEHESSKHEDATTIGKSPQVMKHESPSMDFVKKNNSTSRGKTRKTKKSKKGDDKFDWDSLRRDYSTSGTRSSDQMDSVDWNAVRLADPNMVATAIKQRGQHNMLATRIQKFLNRVVKLHKSLDLEWLRTTPPGLARKYLLGVKGLGLKSVECVDTNVARITVRLGWVPLQPLPEELQIHLLEQYPIMDSIQKYLWPRLCELDHKTLYELHYQMITFGKVFCTKRNPNCNACPMRTDCKHFASAFASSRLALPGPSDKREAALAIPQVPTTIFNPLPLAFPHPTQQSKQSKICELVIEEPNDIEELPTLEAAQSQENDDEIEYDSEGIPIIKLNLENFKTSLRSFNGDYSKALVALNPYAASISRPKLKNVSRLRTEHQVYELPRNHPLLHKLDQTETDNETQYHLAIWRPGETVDSLDPPKTSCNSIELYGVFCNDQTCFPCNSKREEADDIIPCRVAMGSKFPLNGTYFQMNEVFTDYETSRLPLKVPRKWIGNLRTKIAYFGTSMTAISRGLSTEEIQKCFWNGVVCVRGFEQGTKIPKPLGNRFHKKITSVQKRS >OMO70566 pep supercontig:CCACVL1_1.0:contig11812:94045:96844:-1 gene:CCACVL1_18805 transcript:OMO70566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGGEKSNDFYAVLGLDKECTPTELRTAYKKLALRWHPDRCSASGNSKFVEEAKKKFQAIQQAYSVLSDANKRFLYDVGAYDSDDDENGMGDFLSEMAVMMSQTKSSENGEESFEELQELFEEMFQSDIDSFSSNGQSSTSCSTSSSFASYGESSSSNKRNSSEMSSGNTRLESSSSFEAQFHSFCLGVGHGRDMNRPRGARGGILGATGGSSSSSRRRNGRKQKVSSGHDVSSNDCGISAS >OMO70574 pep supercontig:CCACVL1_1.0:contig11812:127892:129404:-1 gene:CCACVL1_18813 transcript:OMO70574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFEQAFKLTRFCKTKTTVKSKSSSNANTVKQTLEPPEANPRTTEAEQERMEANFERVRENGAT >OMO70571 pep supercontig:CCACVL1_1.0:contig11812:116530:117102:1 gene:CCACVL1_18810 transcript:OMO70571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVADAINHWFSFPENIEKLMFPASRTHETQDNENKGFSSIPADILDTPKEFIFYLDVPGLSKSDIQVTVEDENTLVIKSGGKRKRDEVDEEGCKYIRLERNPPQKLMRKFRLPENANVSAITAKCENGVLTVVVEKLPPPPKPKTVEVAIA >OMO70568 pep supercontig:CCACVL1_1.0:contig11812:105535:105663:-1 gene:CCACVL1_18807 transcript:OMO70568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKWKKKERKKRLRLHLLATVTVNGDRRRYCNSFSHCCSFL >OMO70556 pep supercontig:CCACVL1_1.0:contig11812:25838:25900:-1 gene:CCACVL1_18791 transcript:OMO70556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPMAKAQAQVQVGFSREIR >OMO70562 pep supercontig:CCACVL1_1.0:contig11812:52032:61318:-1 gene:CCACVL1_18801 transcript:OMO70562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYSSFEIDYSSSLNFNQQQRQRHNPPANSRYASNSVTPLHSSRRMPRTTPRRLRHRTRAPTTPFGTDDDMSWQSEVSWQFEPSGWRDSHNLGVALSPWAASSASSTNSQSIRQRSASEYYFSRTSGGLRSITNSYYEFSGYGAVPSGGRLELQSYVARDNDSSSHFHLVDNHSKSHREIISRLDTIREGSGRYSSTSPLADEDELSNIDYDTPEDVERQIRLLQTDPNRHSSAGSRWFSSQAFTDDEDDVSLGGHHHHHGHGRLSHDHGGHDGHGRHKVDNNLDAVMQQELHYDGGGHHMYDDLGLSSLDFSEDDNAGHGHGHGLSHHSVHNGLGGHNQMRHKVDGLDHKLHQGHHGHDDKSWKSASHDQFGSDHKYDDDHFVSAQDFNGDDDDEEEDEEPPRPVSLFSLFKYSTKFDMFLVILGCLGALINGGSLPWYSYFFGTFVNNIARESKSDKIQMMKDVEQMAHFIHHVCTFICGYVVGFLRSWKVSLVVFSVTPLMMFCGIAYKAIYGGLAAKEEASYRRAGTIAEQAISSIRTVFSFVAEDNLAARYAESLAKSKPLGAKLGFAKGAGMGVIYLVTYSTWALAFWYGSLLVARGEISGGDAIACFFGVNVGGSFRSVLGDRYSVLLMGVSSAAVGLGVSLYYEWRLALVAAAVTPFTLGASYLNLIINIGPKLDNDAYAKASNIASGAVSNIRTVTTFSAQEEIVNSFDRALSEPRKQSVKSSFSVGQLAGLAPDTTMAATAIPAVFDIINRRPLIGNFRDKGVLIKLLQSINSNVKVRGEYRSEDNELILNNKLQLGQFFYVEKAEAGTPVPILVGVRPVPGRNPFIGNPKDLMQMLVPSEGPVAPVDNNNNEEKINNGSKSKELVDVNKDESPRQKIVIKEEKAVVASRYMQGVSPSNCKASGPDSNSNCVKITENENGGTGKKTKSKQQQQQEPKGQARPATPSRSRPAPETAVSKPEIVASNTKETMVTQKSTAVKRSLIKQENMNSNGLVTNKEKNNVPETGSWNSLPACLLKPGKGMVRRRHLASLVAAEAQREASMATNLVKCLSMFSDLCSSASPENPHNSLTKFVTLQNLIDQKSVPTHLKDKPLHLSLIPSLQDTEKSNKRKGLTLTHEKSTLKSPNPSIQVSGAEKLEWAKGDGSKEIKELREMLAHETRTWFLKFLEVALDVGFQVGSQEKKGKSAAAGRGMEQDNQIAVTLSQLKYANEWLDKVRSNLSSDNNGLIETVERLKQKVYACLLSHVESAASALENRS >OMO70577 pep supercontig:CCACVL1_1.0:contig11812:162721:162870:-1 gene:CCACVL1_18816 transcript:OMO70577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPRFATSSCPAVSSFARDLCHVETVIHLRRPIVIPPPTGPTSLSILS >OMO70554 pep supercontig:CCACVL1_1.0:contig11812:19176:21518:-1 gene:CCACVL1_18789 transcript:OMO70554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISDSDQESSEDYSDGFEEEDFSSNYGSDPDYDSGTDPSFSIDEGTRAKFQNFNVAKNSNLSAKKESEELEINLEKVDEKSYENVQKIIQMVFPGKVEKLKLEQCKVYLRENGLRLSGNKDILIQRIKEHLEILNGGEKKYPPSSFVLNCKGDACTGDVVMFEQNVYEMFNIASRSASGPPCGTRIVAGRIVKESYGAAKQQHTFTIEVLWSKGEKPRPPLHPLLIKGRNLYKLKTLRQRWEDEGERQKVLLEKHSRGSLARSDREVRIQEKEKRKMLRTNRILKREESNKNQS >OMO70573 pep supercontig:CCACVL1_1.0:contig11812:125161:127003:1 gene:CCACVL1_18812 transcript:OMO70573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S2 MATQAPAAARQLSPKEADIQMMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIAPQQKWDVMVDLFFYREPEEAKQQEEEEAAVPAEYGLPAADYGMGLGGEWSAPIGDQWTSDVVQQPISGVPSVSWIPEQGAADGWDTAIPPPASIPPQEMAAPATVWE >OMO61070 pep supercontig:CCACVL1_1.0:contig13607:25109:29209:1 gene:CCACVL1_23750 transcript:OMO61070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGEPGLARGELASTRSLAAAFWLGL >OMO50242 pep supercontig:CCACVL1_1.0:contig16245:7978:20797:-1 gene:CCACVL1_30553 transcript:OMO50242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin MSTLSVPPVPISPRDDAIQLYRAFKGLGCDTAAVINILAHRDARQRSYIQHEYRAMYSDDLLKRLASELSGKLETAVLLWMHDPAGRDALVIRQVFLADRVNLNAATEVICSRTPSQIQVIKQIYHSKFGVFLEQDIEAYTTGDHNRLLLAYIRTSRYEGLEVDREMTMKDAKALYKAGEKKLGTDEKTFIRIFSERSRAQLAAICSAYHDMYGNSLKKAVKSETSGYFEHGLLTILKCSENPAKYFAKVLHKAMKGLGTDDTTLMIIDRYSASLILVLSLFVGILDASAGDFDPVYRACVAECEKTGCVGERCFPHCKFLSDSVAADGPWYMQEPLYLKWKQWDCQSDCRYNCMVDREKEREALGDGPVKYHGKWPFRRVFGIQEPASVVFSALNLAMHFHGWLSFFILLYYNLPLRQDKKAYYEFASLWHIYGLFSMNSWFWSAVFHSRDVDLTEKLDYSSAVALLGYSLILAILISFNVRDEAARVMVAAPLLAFVTTHILYLNFYKLDYGWNMKVCLVMGVAQLLIWAIWAGMSKHPSRWKLWVVVFGGGLALLLEIYDFPPYHGFFDAHALWHATTIPLTCLWWSFVRDDAKFRTSNLLKKTKGL >OMP10250 pep supercontig:CCACVL1_1.0:contig02679:319:408:1 gene:CCACVL1_01006 transcript:OMP10250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFYYDPFSGDLGFNEDHFRQINDGALKAVA >OMO53862 pep supercontig:CCACVL1_1.0:contig15141:6397:8318:-1 gene:CCACVL1_28281 transcript:OMO53862 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MSWDKKRAIEELAKGKDLTNQLRDLLNGSNYLVGSEDLVMKIFNSFSNTLSILRNSSSGDYDEVSQLNPRNNNSYDGRKDRRGCYKRRKCGDSWTRDTLTLFDDGYAWRKYGQKTILNANHSRNYFRCTHKNDQGCQATKQVQQIEDDPPKYRTIYYGHHTCKTLLKASQLILDSTTSNDSSILLSFTNNTKQDDPFFSCFPSPVKQETKESDLQQPASDITCNRSSSSSSDYLLSSPHNVRLISSNHNVVC >OMO53863 pep supercontig:CCACVL1_1.0:contig15141:24265:29782:-1 gene:CCACVL1_28282 transcript:OMO53863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MISGHPLHSSSESSSVVVHRRGASARNLPTFHSICPSVSFSSSTYDETETSCLKDQKEEGEETTLSAGATPRYPLEPLTSLACSASVLPSKQLFSSDARSSQQFSLECPTKNRGSRVSWGGSMELHDNNNTCTSFDISGGSSVLQDKLSKSRRVRNKSVDFDENLLYAENPRLIYINDPRRTNDKYEFTGNEIRTSKYTLITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVLQFGEFQLKKWKKIRAGEVVKIHADETIPCDMVLLGTSDPSGLAYIQTMNLDGESNLKTRYARQETVSSVFEGCNISGLIRCEQPNRNIYEFTANMEFNGQKFPLSQSNIVLRGCQLKNTDWIIGVVVYAGQETKAMLNSAISPAKRSKLESYMNRETLWLSVFLLVMCSVVALGMGLWLNRHKDKLDTLPYYRKRYQVNGKDNGKTYRYYGIPMETFFSFLSSIIVFQIMIPISLYITMELVRLGQSYFMIEDKHMYDSSSGSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFRKASVCGKNFGSSNLTDDLQHEHNIRDAADFRSRWKLKSEVSVDSSLFDLLHKDLVGDEKIAAHEFFLTLAACNTVIPIVSQDTSSGHRSSESWEDVDTIEYQGESPDEQALVSAASAYGYTLFERTSGHIVIDINGNKLRLDVLGLHEFDSVRKRMSVVIRFPDNSVKVLVKGADTTMLSILANDGERDDQIKRATQRHLIEYSSEGLRTLVVAARDLTDAELEQWQCRYEDASTSLIDRAAKLRQTAALVECNLNLLGATAIEDKLQDGVPEAIEALRQAGIKVWVLTGDKQETAISIGLSCKLLTVDMQQIIINGNSEEDCRNLLADAKTKYGLQSSNRKKQNLESRKNSEIGYLEIPDDTKSSSVPAVCVPLALIIDGNSLVYILEKDLESELFNIATSCRVVLCCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFQFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVLMLFWYILCTAFSTTSALTDWSSVFYSVIYTSIPTIVVGILDKDLSHRTLLQYPKLYGSGYRHEAYNMRLFWITMIDTLWQSLILFYVPLYVYKESSIDIWSMGSLWTIAVVVLVNIHLAMDIQRWVFITHVAVWGSIIITYACMVVLDSIPIFPNYWTIYHLAKSPTYWLTILLITIVALLPRFVVKVVHQIFWPSDIQIAREAERLRAS >OMO53861 pep supercontig:CCACVL1_1.0:contig15141:1796:2590:1 gene:CCACVL1_28279 transcript:OMO53861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTLYLDTILIPSSIFLTLGYHVYLWQCFKHKPSATKIGVDKLIRTTWFSDIRQGDDKKGMLAVQSLRNALMATILTASIAIMINLALAALTNNAFNAGARLFNSEIFGSQSGKMYSLKYGSASLLLLVSFLCSSMALAFLIDANFLINASSARADGDHKELSFSSRAYTQEIFERGFGLALIGNRVLCVTFPMLLWMFGPLPVALSSAALVWGLYGLDFAAVPAKFS >OMP10426 pep supercontig:CCACVL1_1.0:contig02527:203:445:1 gene:CCACVL1_00972 transcript:OMP10426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVQKDPPFFHQIFCFFLDTRVQIPMDLLFAYRLHIIRKTLVFSKPLVHISHQTVLFDNVYLVDSLLTQASIQISVLHSFVK >OMO61295 pep supercontig:CCACVL1_1.0:contig13537:64726:64860:1 gene:CCACVL1_23616 transcript:OMO61295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKWKEIFWTLEQLGKKPFKWDTHATRVPRVSLTLTSWPFGHVR >OMO68983 pep supercontig:CCACVL1_1.0:contig12145:6554:10057:-1 gene:CCACVL1_19728 transcript:OMO68983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MAESSSLEFKPTWVVAAVCFVIILISLFAERGLNHLGKCLRHNNQDALFEALQKLKAGEGPFVINGIISSAAHFHICSGSCSCGIMCHYTGSWRSKGIAENSQSHHRRKLLEQHAMGYWRKAAVVSWIMSFFKQFYCSVTESEYIALREGFIMKHCPDDREFNFHKYMMVSLERDFRHVVGISWYQWLFVVVFMTLNVDGWHTYFWLSFLPVILLILVGAKLEHIIVRLAQDVVEMRKPLEEQETIKPSDEYFWFTRPRIVLDFIHFILFQNAFEIAFFFWILWTYGFNSCIMEGKGYIIPRLIMGVIVQVLCSYITLPLYALVTKMGTSFESVQVPLQSPGMTI >OMO68985 pep supercontig:CCACVL1_1.0:contig12145:14077:16683:-1 gene:CCACVL1_19730 transcript:OMO68985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLMRSGNLRPEPEATTCRDKPPVKLEIVEDSLEEEHGPLNKRSKPSPNLQQWNSDANDFPIPPSQYNPLDEPSPLGLRLRKSPSLLDLIQMRLSQSQSSGTAAQAENLSSGVKKEVKASSNTDKLKASNFPASILRIGHWEYKSRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDIMALKANCPENGPGTLNVVLARQPLFFRETNPQPRKHTLWQATSDFTDGQASIHRQHFLQCPQGLLNKHFEKLIQCDMRLNCLSRQPEIILDSPYFDSRPSVFEDPDESKGRDFVQGDNGKGSASSGFQNMSSPAAAHSSSFEIDRGDSTGMTSENISREAPSPSSVMDSRAIEGSGVCDAVDSKGPRNWDQIKVPGLHPSMSMSDLMNHIENCISEQMTSGNPSSENKPDCQEMLEEIAQYLLNDNQLAAASDEKSLMSRVNSLCCLLQKDTTPGTTSQANIENYDGVDDGKDVQLNKAPETGFSFPSKGDVKASEGDTKEVSSSKQAPGMSRKDSFSELLLHLPRIASLPKFLFNISEDGESQAR >OMO68984 pep supercontig:CCACVL1_1.0:contig12145:11413:12914:1 gene:CCACVL1_19729 transcript:OMO68984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDDQTGKDQRKNSRLGFLRNPNRQRLSLLRLFAWFDFQKKKVLIYVLLNEIANTLKLTFSRKVKVIDGNKLFKAVVASGSSSAQGGSGTMSSSHTDSEDKTLESKQQRLIKPVKAAAEGVAAKTTASSRIRSYWNSLKNGKKTQTCQIPRDLIKKKETVPQVSNDSAAVDQLVNKNIIVTNKRVVASSKEKKETVVEPPKDPAAGGLVKKTGGGSSKIPAPKPPFKQKKETTKDSAAISLVKNKKSRILEQQKLSDQNTELLLTKQLLGLLRKYQTDGAGPSKLLSEDRESIDDEYYDHIEWGGFDEDIDQPYEVMTCQLCEGDINGEEAEYDPEIERVNPAVTAAVLSCGHVFHCHCLECATPEDNSTDPPCMICISLSS >OMO68980 pep supercontig:CCACVL1_1.0:contig12145:223:751:-1 gene:CCACVL1_19725 transcript:OMO68980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGCAQLGIACVARSKEGFASNGKGYGNGWRFIFIFVQPINIGRDFGQRIGLAIVETKRNFLAYKALSKEF >OMO68981 pep supercontig:CCACVL1_1.0:contig12145:1171:2437:1 gene:CCACVL1_19726 transcript:OMO68981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYTDGSLVGIPYTGTARGYYISCTTMWVYDRQKQKVRLIFVIRDGSPS >OMO68986 pep supercontig:CCACVL1_1.0:contig12145:21517:28414:-1 gene:CCACVL1_19731 transcript:OMO68986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITGTQNPSQVHRIPQSKYIDGVRWLPPVSAFDRFAAIAYFDADSNSPSIEIHYLNTNPQDPSPVLDPQSSWTSPSRISSLKTAQSTPQPLLAAATFSGSLHVLAPDLINGGAIESKASIEDTGFHSGPVAAVDLRDGGNECVTVGDDGRINLVSFSGNSWYRRFFDANGLVGYSAVKWASPTEFVTGGYGFGLQWWDQRRPGGPVSQFKGNWTQGKTSGIVHSIDIHPSRKHTCLAGGSSGTVFAWDLRAQQQPIVLSGAGTGEAAAPSLSESDVWEVQYDRFTRSSNISNMSSARILPVMICSEDGILAVIEQGEEPIELLAEPCAINSFDIDHQNPSDVICSLEWESIAILTSSRSSSTRLSRGSVQLPRFNKVGNALKLSSTQAISGFSSLVSQKLFSSTPPPQRLQSLTVFAAKGYKMKTHKASAKRFRVTGRGKIVRRRAGKQHLLAKKNTKRKLRLSKMHPVSRSDYDNVIGALPYLKVNRKAK >OMO68982 pep supercontig:CCACVL1_1.0:contig12145:2781:2870:-1 gene:CCACVL1_19727 transcript:OMO68982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGVKAEYRARKADGGDSTTPSTESPR >OMP03449 pep supercontig:CCACVL1_1.0:contig06071:8213:8311:1 gene:CCACVL1_02412 transcript:OMP03449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAEGMRSFTAHQFSSARSNMIITDYSLQQAE >OMO55175 pep supercontig:CCACVL1_1.0:contig14772:5132:5275:1 gene:CCACVL1_27358 transcript:OMO55175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METERSTVAAMVVEVEVLGGAAKVHLREKETLFFGTKTMDGFRLKRE >OMO89824 pep supercontig:CCACVL1_1.0:contig08599:25610:29731:-1 gene:CCACVL1_07605 transcript:OMO89824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYNLTLQQATGIVAAINGNFSGSKVQEIVVARGKILSLLRPDDLGKLQTLHSVEIFGAIRSLAQFRLTGAQKDYIVVGSDSGRIVILEYNKEKNVFDKVHQETFGKSGCRRIVPGQYLAIDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTIVYSICGVDCGFDNPIFAAIELDYSEADQDSSGQAANEAQKHLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDIRAVIPRRADLPAERGVLIVSAATHKQKSMFFFLLQTEYGDIFKVTLVHGDEGVTELKIKYFDTIPVTASMCVLKTGFLFAASEFGNHGLYQFQAIGDEPDVESSSSTLMETEEGFQPVFFQPRGLKNLVRIDQAESLMPIMDMKIANLFEEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKKNVNDAFDAYIVVSFANATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQVHPNGIRHIREDGRINEWRTPGKRTIVKVGSNGLQVVIALSGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQVLSVQSVSSPPESLLFLEVKASVGGEDGADHPASLFLNAGLQNGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFSVKVRGRPAMLCLSSRPWLGYIHQGHFLLTPLSYETLEFAASFSSDQCAEGVVAVAGDALRVFTIERLGETFNETAIPLRYTPRKFVLQPKRKLLVIIESDQGSYTAEEREAARKECFEAAGMGENGNGNVDRMENGDDEDKEDPLSDEQYGYPKVESDKWVSCIRVLDPRTSTTTCLLELQDNEAAFSVCTVNFHDKEYGTLLAVGTAKGLKFWPKRSLAAGFIHIYRFLEDGKSLELLHKTQVEGVPLALCQFQGRLLAGIGPVLRLYDLGKKRLLRKCENKLFPNTIVTIHTYRDRIYVGDIQESFHFCKYRRDENQLYIFADDVVPRWLTASYHIDFDTMAGADKFGNVYFVRLPQDVSDEIEEDPTGGKIKWEQGRLNGAPNKVEEIVQFHVGDVVTSLQKASLIPGGGECLLYGTVMGSLGALLPFTSRDDVDFFSHLEMHMRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPLDLQRKIADELDRTPGEILKKLEEARNKII >OMO89822 pep supercontig:CCACVL1_1.0:contig08599:14507:14896:1 gene:CCACVL1_07603 transcript:OMO89822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAATNNIVSRCVISRKAEEENGGSKFGELSRRVMVLFTNLCIGDMIPCLKWLDVITGFIPRLKATAAELDAFFDQVIEEHHKALKGDDEADKMDFISIILQLQKDGLVEMELAQDNIKAILLVCQKLCF >OMO89825 pep supercontig:CCACVL1_1.0:contig08599:30605:31324:1 gene:CCACVL1_07606 transcript:OMO89825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MAKVSFLLTIILLLIFLMTFMNKSSSARTLGKPTSTHHHNNHKYHKFSFLMQDVLNVTQPTATTKVTSQLPFSKPLGYFPPSGGIPIPESDNPTNPGTGISSQTLDVSTIGLYFPARATLEELELGAVVTIDENLFDGTVNKSPVGKAQGLYVASSEDGTSHMMAMTTLFANNEFKDGLRFFGLHRRDVAESHIAVIGGVGKFVGANGYATVKPVKLRSINAGKQGANNKLLLFNVYLS >OMO89820 pep supercontig:CCACVL1_1.0:contig08599:877:3461:1 gene:CCACVL1_07601 transcript:OMO89820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MALVPPDENVSYDEEFIKNSRGLKLFTCKWIPAKKEPKALIFICHGYAMECSITMSSTAIRLVKEGFAVYGIDYESHGKSSGLEAYIQNFDDIINDCSDHFTTICEKEENKGKKRFLLGESMGGAVALLLHKKMPEFWHGAILAAPMCKIADDIKPSPLVTYVLRGLCWLAPTSNAVVTKDIIEVAFKEPEIREEVRKNPYCYKGPPRLKTSYELLRVSLEIENTLNEVKLPFLVLHGEEDKVTDPQASKELYNAASSKDKTLKLYPGMWHGMLYGEPLENIEIVFSDIIGWLEERICIGSPTSEIQRKHENDNSLLNNNL >OMO89831 pep supercontig:CCACVL1_1.0:contig08599:56532:58250:1 gene:CCACVL1_07612 transcript:OMO89831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIELGDVSIPDWLGIKKPR >OMO89821 pep supercontig:CCACVL1_1.0:contig08599:3836:4276:-1 gene:CCACVL1_07602 transcript:OMO89821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin beta-like protein MASKAEGKEPVNEQMVANMYGAMRSELNQIYSKITELEMEVSEHSLVINAIQPLDQSRRCYRMIGGVLVERTIKEVLPAVQRNKEGLEEVIARLNEALEKKKKEIADFEAKYKIRIKKSDSDVKDESSKKEGTAQGVLVGPASSSK >OMO89830 pep supercontig:CCACVL1_1.0:contig08599:53679:54598:-1 gene:CCACVL1_07611 transcript:OMO89830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEECMEALSKHANIKPVITSTVWNELEKENKEFFEAYAQSQTKQDRMSEEETSQMIQKMISDSSKDPDE >OMO89828 pep supercontig:CCACVL1_1.0:contig08599:44352:46513:1 gene:CCACVL1_07609 transcript:OMO89828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEVCVKAAVGAPDKLGDCPFSHRVLLTLKEKGIPHEMHFINLSDKPQWFLEISPGGKVPLLKLDDKWVGDSDVIVGILEEKYPDPSLKTPPEFASVGSNIFGSFSTFLKSKDASDGSEEALLNELKALEEHLKAHGPYIAGEKISGVDLSLGPKLYHLEVALGHFKKWTVPENLTCVHNYMKLIFSRQSFVETKAAKEYVIAGWAPKV >OMO89832 pep supercontig:CCACVL1_1.0:contig08599:61311:69060:-1 gene:CCACVL1_07613 transcript:OMO89832 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate anion transporter MEISYASPSSADLTVSSSTASSGSSMPNRPVKIIPLQHPDTTSYGGSGGGSSSFWSNSLFSGWRSKIKRMTVIDWIETLLPCCRWIRAYRWREYFQVDLMAGTTVGIMLVPQAMSYAKLAGLAPIYGLYSGFVPIFIYVIFGSSRQLAIGPVALVSLLVSNVLSKIADSSDALYTELAILLALMVGILECIMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQAKYFLGYDVDRSSEIVPIIKSIIAGADKFLWPPFVMGSIILAILQTMKHLGKSRKHLRFLRAMGPITAVVLGTTFVKIFNPSTISLVGEIPQGLPSFSIPKSFEYAKSLIPTALLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVSNIFGSFFSAYPTTGSFSRSAVNHESGAKTGLSGVISGIIMGCALLFLTPVFQYIPQCALAAIVISAVISLVDYEEAIFLWRVDKKDFLLWTITSTTTLFLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNIQQYPEAYTYNGIVIVRIDAPIYFANISYIKDRLREYEVVVDKSARRGPEVERIYFVILELAPVTYIDASAVQALKDLYHEYKSRDIQIAISNPNREVLLTLSKAGVVELIGKEWYFVRVHDAVQVCLQHVQSIKETSKTLNSSPEEKSSFFQRLFRQRGEDLSAAELESGDNTSSDSKHSDPQLEPLLFRKS >OMO89829 pep supercontig:CCACVL1_1.0:contig08599:47683:51038:1 gene:CCACVL1_07610 transcript:OMO89829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEICVKAAAGAPDVLGDCPFCQRVLLTLEEKKIPYELKLINLSDKPQWFLEISPEGKVPLVKFDDKWVPDSDVIVGILEEKYPEPSLKTPPEFASVGSKIFGSFVTFLKSKDASDGSEEALLNELKALDEHLKAHGPYIAGEKITAADLSLGPKLYHLEIALGHFKKWTVPENLTCVHNYMKSIFSHESFVKTKAAKEYVVAGWAPKVNA >OMO89833 pep supercontig:CCACVL1_1.0:contig08599:75036:75721:-1 gene:CCACVL1_07614 transcript:OMO89833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPNNMLRPNPHLLHSSSLTLYKAIKPLSHNTTGLLSRTRLGVVDWYRRRLREEYVGWSLSLDAPIADAISTCMLGSLLLPVPAADTGEEDGDSVIDEGIRGLRLWRLSDAYLTSIGWLETEFVMPILSSSIMKVYRGSH >OMO89823 pep supercontig:CCACVL1_1.0:contig08599:18147:18215:1 gene:CCACVL1_07604 transcript:OMO89823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRIRYMAQDNPANTACPMPP >OMO89826 pep supercontig:CCACVL1_1.0:contig08599:32844:33920:1 gene:CCACVL1_07607 transcript:OMO89826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MAKNAILTSKAFKAITYFLFLAIIFTCANSARLLDQVEPQPQVVGDIPQASNPVATTVPPNTLPSGQVPAATPTAPEVDVADPPLADEQAPVATPAAATSSTTGGGAAAAASATVANPGPHEPALSFFMHDILGGTHPSARVVTGVIANSEINGIPFSKTNNDLFPIQGASPLLTGSNINNLRNINNLINPNNVPFLTGLTGAQTNAILQNTGNNDNVLNTGSQPFVQAGQLPPGSLQRLMFGTITVIDDELTEAHELGSAVLGKAQGFYLASSLDGTSQTLALTVLLHGGDHGNEDAISFFGVHRTVSPDSQIAVVGGTGKYENARGYATVETLHQEDQHITDGVDTILHFNVYLLD >OMO89827 pep supercontig:CCACVL1_1.0:contig08599:34621:39383:-1 gene:CCACVL1_07608 transcript:OMO89827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MESKPTTPSVIARLMGLDSLPNQQAVKKQKVQRVLSENYRRKVASIGAWEKRSFVERRSYRFNIEEQKEFRDVYEVIESLGKESDSSAEKGRLDFKLSQEKVPFFSGSLESEYVPAGIKCRPSKEAGEAENATNCISSPSTVEGLYSGNKKDRGFLSHGKGNSHVQVERKNLSNVVKLTRHRSTVSCETEKEITSKTRHNTSDISLEPPRSGFSGVHCLNEETQITMVSSPNYTGLNNWYKPSNYYLDESYVAQEAKKQISERCRMTKEFRENGLAAGGSGKRTTLGEMLALPDNGKHTNSRTPLGISSKDGWNKKGERPKTRTSYKAFSDDSFMTVRPVFSPNRSRLKSSKQSSIGKDGVEQRDSESNCMKSPSSPQLESEKNDLLEDKYVIHDILKNNLEKQDPPEQNSIESKSLEHDVVCIDSGNVRRQLEFLKSESFERDSEEPGMVVSSDDDAGDESLEDGEVNEDSTSMFGVEEDRDFSYMVDVLTVAGFHSRNQDKAFDRWHSPECPISPSVFQTLEKKYGEQKSWRRSARRLLFDRVNAGLMEILQPCLGEPMWAKPVTRRFSFSQDLKAIEKQLYMFLVSQENEAGKHSSEKVLAKDDARMLDEQPANPVNGVVTPGTNVPPLPTPSGTQPNPSVGATSGANPINPDPEHTLTFFMHDILGGSNPTALAVTGVVSNPAINGQLPFAKPNGANLPVNSGINQNSGNTGLINNNNVPLLTGLGGNTQAMLQNNGNNLNGALNFPITTGGQLPTGSALQKLMFGTMTVIDDELTEGHELGSGFLGKAQGFYVASSIDGTSQTMAFTAMFESGHYADTLSFFGVHRTGVSESHLAVMGGTGKYVNAKGFAIVKTLPAGTNQQETDGFQTVLEFTVYLTY >OMO51003 pep supercontig:CCACVL1_1.0:contig16002:19567:20720:-1 gene:CCACVL1_30075 transcript:OMO51003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MASRTSFLFLTFVWLALATTALSLTPNFYDKICPQALPAIRKVVQAAVHKERRMGASLLRLHFHDCFVQGCDGSLLLDSTSNFETEKNARGNLNSVRGFEVVDQIKAEVDRVCGRPVVSCADILAVAARDSVVAVLTLPWKGTWKKLDYRPD >OMO51002 pep supercontig:CCACVL1_1.0:contig16002:5436:6583:-1 gene:CCACVL1_30074 transcript:OMO51002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MASHTNFLLHAFVWLALATTAFSLSPKFYDKVCPQALPAINKIVRAAIHNERRMGASLLRLHFHDCFVNGCDGSVLLDSTSNFETEKNARGNLNSLRGFEVVDQIKAEVDRVCGRPVVSCADILAVAARDSVVALGGPTWKVRLGRRDSTTANRALADSALPSAAMDLPALIEKFKNQGLNQRDLVALSGGHTIGLSQCGIFRSRIYNATNIDPEFAKERRLTCPRVGGNTNLAPFDPTPARFDTAYFQNLVKKRGLLTSDQALFNGGSTDKLVKTYSTNPEAFWDDFGKSMIKMGNIKPLTGKQGQIRVNCRKANY >OMO60672 pep supercontig:CCACVL1_1.0:contig13686:1895:1960:-1 gene:CCACVL1_23965 transcript:OMO60672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTKFGRNEIENTIVYSRHN >OMO90642 pep supercontig:CCACVL1_1.0:contig08454:1613:1678:-1 gene:CCACVL1_07329 transcript:OMO90642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRIKCGEHLVVLISERSEV >OMO80385 pep supercontig:CCACVL1_1.0:contig10328:12699:15602:1 gene:CCACVL1_12997 transcript:OMO80385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPNKPLIIQQGRGGLADAEVPTDGFHRQLAVNLFQKLIREVGPEICLLKTHVDIFPDFTPDFGSKLRVIADKHNFMIFEDRKFADIGNTVTMQYEGGIFRILDWADIINAHIIFGPGIVDGFASWSPGRGLLLLAEMSSAGNLAKGDYTAAAAKIAEEHSDFGLAWVNPASWPGAPVNPAFIHGTPGVQMVKGGDALGQQYDTPYSVIFDRSSDIIIVGCGIIKAANPA >OMO80386 pep supercontig:CCACVL1_1.0:contig10328:16511:17782:-1 gene:CCACVL1_12998 transcript:OMO80386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEPKMSKHAKPSYYIPEEILLEIFYKLPIKSLGRCLCVCKTWLSLIKNPSFISMHLNNSKNSNTGLFLLKLVDKEYNYNRADCVLHLDNQEFGEYTHLMKSSPSDLEFQYKIVGSCNGLLCLAKRLEFVIWNPIIDKYIKLPNPHSVYSVWAAVGKVSIGLGFDSTRDDYKVLRISSMGPQSQRIVARLYSLKNKCWEKLAPPKYHLYSTGWDDGMVFVNGVVHFVGYDEIVNKRGRHKLLVVGFDMSKEVFQRISLPECLSNNESHMTTLQVKEHGESSSIAAIQVELEPVFGHRTHVWVMKEYGVMESWSKIFRFEETTPTMFRTMGFRKNGDLIGCVKGLGEGQGQVASCDIIMGTNKNKNITKNLGVRGYFVYSYVYTYVESLVLLDLNLPEDAEEIDYSTDEYSYTTRTWEVERRKA >OMO80384 pep supercontig:CCACVL1_1.0:contig10328:11196:12260:-1 gene:CCACVL1_12996 transcript:OMO80384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSWVQKENPPRYDLYSNEPMLFLNGIAHVIVLERSESDYNWTVKLLGVDLNSEEFLTITLPGSLATYHHHKHELHLIETNSRLGVVDSEPTAAGHRIGIWLMTETDGVAYWSNIFSLTVPKMQVFRAVGIRDNGDLLAYGRNAKVKGLLSYGNQGTKDLLLGLGCRRFCNSLRFVDDGIYKLTLRLGLF >OMO80383 pep supercontig:CCACVL1_1.0:contig10328:6296:9343:1 gene:CCACVL1_12995 transcript:OMO80383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpv17/PMP22 MASLHTTISPQSLLSLSKPRKPNSRQISASLLTGSKPPEGLSLSIHKHKRNSNKKANSVVVNSIAEELDVIPVQSEDVTDMQEGVAVSQVENELPGGELVSQVGGFGTEGRLSFEGFSSSGPASGSGFGGDGNNGETERLIDRTINATIVLAASTFAITKLLTIDHDYWHGWTLFEILRYAPQHNWSAYEEALKTNPVLAKMVISGVVYSLGDWIAQCFEGKPLFEFDRSRMFRSGLVGFLLHGSLSHYYYQFCEELFPFQDWWVVPVKVAFDQTAWAAVWNSIYFTVLGFLRLESPITVFNELKATFWPMLTAGWKLWPFAHLITYGVIPVEQRLLWVDCVELIWVTILSTYRLRMTIRPIIELVGLVIRMKNRRQESLRSKFGAKQRLRKRRMSGNNTSVEPYSEVLNHLLKAVVK >OMO64505 pep supercontig:CCACVL1_1.0:contig12818:21005:21902:-1 gene:CCACVL1_21707 transcript:OMO64505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSTENQYLVMPTLEEYLRALVRAHGGLNPEKN >OMO64504 pep supercontig:CCACVL1_1.0:contig12818:16202:18664:1 gene:CCACVL1_21706 transcript:OMO64504 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MLAVEELLCELVGDEVNEQGLPPGFRFHPTDEELITFYLASKVFNGSFCGVEIAEVDLNRCEPWELPEVAKMGEREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVYSASSGALLGMKKTLVFYKGRAPRGEKTKWVMHEYRLDGDFSYRHTCKEEWVICRIFHKAGEKKNGLVQGQSYILEVSSTPTTSLPPLLETTTILESQTQTRSPMQNPYLIHRQENDLKSLININPVVSQSQSPLFQGNGLIQVQPSFSVSATISNSSTTDKKNTVTNANNNNPNPNPNPNPSASMLFKSLLSHQDYYYCNLNKEQEATVPKQCKTEASFSHFQLPLVDQHATLNSVHHQNQNQNPLFFDMDSSSGVLGFSQGQGVTAGDNTTPSHDMSTSIAFNRATLQMLLDPPFRPSAESWPLDC >OMO64506 pep supercontig:CCACVL1_1.0:contig12818:23647:23784:1 gene:CCACVL1_21708 transcript:OMO64506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGSTAYLAKAANLVTKGSPFNSDILLSCSTDANSSDRRQPRAA >OMP10305 pep supercontig:CCACVL1_1.0:contig02642:679:795:-1 gene:CCACVL1_00996 transcript:OMP10305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFGYNSDIGRITIYNTKFPRRFLLVEPPGLSLYPEK >OMO90736 pep supercontig:CCACVL1_1.0:contig08438:35553:36599:-1 gene:CCACVL1_07307 transcript:OMO90736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MNVMESSNRRLTLFEQMSAVDNGRSALAGLTLDAVLGNPKRSQPPPTHNRTLLDIIRDDGHTKDKKTWKTFRDKLRLKRAGAAWTSSVPIPASDVNDYSNRSQRRTGTTFRLDDGGGSDPPVANSRMQLARRTSVRLGPNQAHSDRDDSVDATMPSDGPPSRSFRPQFARHQSTRVPSDDDDNSDGDSPGARRLGTALAEERQLSAREAVAAQEAAEAAAAEQAAAAAAGEENSPPAEEPVRMSLMDLLEETDRQMGLSGSRYTMGDEDDDEYYDEDEEDEEEEEEEEEAVSGGGIEYTCCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGNCPLCNGFILEILDIF >OMO90734 pep supercontig:CCACVL1_1.0:contig08438:23001:24225:-1 gene:CCACVL1_07305 transcript:OMO90734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRELSSYGFDAARPGLVGTRTPNIRELTARKKEERGQIQTNKF >OMO90733 pep supercontig:CCACVL1_1.0:contig08438:15182:21775:1 gene:CCACVL1_07304 transcript:OMO90733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPIGFLSPDCGERSQVRDLAYAAASVGRLTHHNQVRDAGRIQISQDQALDTGWDTKKNRIKNLMFEEFESDDLIIDNEDETSGASISQNHVKVNVTQSQLQQMARVREEVATQLWEDYQHAGKKTTALLNFSPNGVSKNESRPPSIGLPMEEYESQGMGIIPDWCLCSSTTGNVGKQISSTTTSTLSIIIVAMYRCPVCSNLTGKAGEPWNWHGENYSVQKNSNFDAPQAVWTQVTLNEEDLSYMFDETTPVKECGDLSHHVTYNDNANNDPEDRRETSSQIKRRRMLQFDTNASDSSLICEEMPSAFLKSRERDNLIEEVLPDASQWIAGFSEDASASSYEGLDQSCEGWLAEYFNDAEMILSSDDMNVTGTSDVQMDISEVSNSQPELGADAIQKQATRTPRNVVIKGRQSFIRPPPKLASSVAYPFAFIKPCGFHGDVTLKDINQRIRTPPPSKSKQSNEELAADFPTSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >OMO90735 pep supercontig:CCACVL1_1.0:contig08438:26055:30225:1 gene:CCACVL1_07306 transcript:OMO90735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTTTFWLSFAFALSIVALTDASGRIPSTLDGPFDPVTIPYDVSLRGYAVDLPDTDPRVRRRVKGFEPEQVSVSLSASYDSVWISWVTGEFQIGNNLKPLDPNSVASVVRYGRSRFPLTHKASGYSLVYNQLYPYEGLQNYTSGIIHHVHLTGLKPSTLYYYRCGDPSISAMSDIYRFRTMPVSGPRSYPERIAVVGDLGLTYNTTDTISHLTSNKPDLVLLVGDISYANLYLTNGTGSDCYDCSFPDTPIHETYQPRWDYWGRFMQNLISKTPIMVVEGNHEIEEQAENQRFVAYSSRFAFPSKESRSSSTFYYSFNAGGIHFIMLGAYIAYNKSAEQYKWLEWDLANVDRSMTPWLVAAWHPPWYSSYKPHYKEAECMRVAMEELLYSYGVDIVFNGHVHAYERSNRVYNYTLDPCGPVHITVGDGGNREKMAIEHADEPGNCPEPSSTYDVYMGGFCATNFTSGPAAGKFCWDRQPDYSAFRESSFGHGILEVKNETWALWKWYRNQDSKSKVGDQIYIVRQPDMCPVHPTLQKSWFADS >OMO90732 pep supercontig:CCACVL1_1.0:contig08438:3539:3715:1 gene:CCACVL1_07303 transcript:OMO90732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCNRSEPSRVVLSSSSARLKNTRLEARLEFGRASFWRLEFGRASFWRLELDSRPSLSL >OMO57295 pep supercontig:CCACVL1_1.0:contig14411:20481:22990:-1 gene:CCACVL1_25849 transcript:OMO57295 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MAPVGLPPGFRFHPTDEELVNYYLKRKINGQEIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVNSQSRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECEDTCGIQDSYALCRVFKKNGICPETEEQVQCSISLMECSQGVINESETMSPDLPLASSSCIEEEDKDDSWMQFITDDAWCSSNNTIMPGDELSNVGFTN >OMO57294 pep supercontig:CCACVL1_1.0:contig14411:10689:18501:-1 gene:CCACVL1_25848 transcript:OMO57294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSLRKPPSNFQGNLQVSILANPRMPIKI >OMO50000 pep supercontig:CCACVL1_1.0:contig16329:72304:72549:1 gene:CCACVL1_30716 transcript:OMO50000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVLLLSELLRHHEPNFAYATPPRSSSSCSFASAPPPSCGASMSFTAKKPLRSDRNKQEPVIVEEDPQDLRVSVDLVWP >OMO50001 pep supercontig:CCACVL1_1.0:contig16329:75594:80013:-1 gene:CCACVL1_30717 transcript:OMO50001 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylinositol-4-phosphate 5-kinase-like protein MEDPQDFLSKKPARNFSTTSARKSTQAKTICTVPEQFRSRALIKYRVATRQYKDARGVLTCGEDDIDGGGGGGVIVAAAVAPGI >OMO50005 pep supercontig:CCACVL1_1.0:contig16329:102560:106785:1 gene:CCACVL1_30721 transcript:OMO50005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRRSLLTCPDPNPYLQINVTNNADLSDDQFVTVNVSGVLLPSDSDWVAMISPSNSDVTTCLENEAYYLQTGDTSSLPLLCHYPVKAKYMSSDPNYVSCKNQECQKYGSDGKCEVTTCSGSISFHVVNIRTDIEFVLFTGGFGTPCILTRTDTPLKFSNPNSPLYGHLSSTDSTGTSMRLTWISGNNEPQQVKYGDGQSETSDVSTFSVDDMCSSVIPSPAKDFGWHDPGYIHTAVMTGLQPSSTFFYKYGSDSVGWSDEIQFRMPPAGGSDEIKFLVFGDMGKAPLDDSAEHYIQPGSISVIKGMIEELENGNVDSIFHIGDISYATGFLVEWEFFFHLINPLASQVSYMTAIGNHERDYTDSGSYYSGPDSGGECGVAYETYFPMPTPAKDKPWYSIEQGSVHFTVISTEHDWTEQSEQFQWMKADMASVDRSKTPWLVFTGHRPMYSTLGADDDFLENVEPILLDNKVDLVLVGHVHNYERTCAVYNSECLAMPTKDENGIDTYDNSNYTAPVQAVVGMAGFSLDKFSNNAASWSLSRVSKFGYVRAHATKNELKLEFVNSDTKDVEDSFRITK >OMO49989 pep supercontig:CCACVL1_1.0:contig16329:31799:32942:-1 gene:CCACVL1_30705 transcript:OMO49989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MDSWSDRDRIPLAQVVSELVKSWFQDALKEAKAGDINMQVLVGQMYCSGYGVPKDVQKGRAWIGKASRSRSSVWKVSDKRPGYNASDSDSDSDELKDDAK >OMO49994 pep supercontig:CCACVL1_1.0:contig16329:51728:53484:1 gene:CCACVL1_30710 transcript:OMO49994 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter MIETGIAPLLADVNSLEGQSSRGASVSGAVFNICTTMVGAGIMSIPATIKVLGIIPGFVLIFLIAFLVDITVELLLRYTHSGKATTYAGLMAESFGSLGATAVQLSVIVTNLGCLIIYLIIIGDVLCGSQSGGTLHLGVLQEWFGIQWWNSRAYVILFIVLFVMLPLVLLPHMNSLRHSSAISILLAVVFIAICSAMAIYALCKGKTENVRLLPDFANQVSVFDLFTTIPVLVTGFGFHVNIHPIRAELGRPSEMSTAIRISLAICVAIYFSIGFFGYLLFGDSIMADILVNFDQNSDTAAGRIVNDIVRLSYAMHLVLVFPVINFSLRANIDELFFTKKPVLANDTPRFAVITCILLAVTYIIAIVIPNIWYFFQFLGSTTVVCLSFIFPGAIVLRDVHGISTGKDKMMAIVVIILAIGTSTIAIITNLWSS >OMO50002 pep supercontig:CCACVL1_1.0:contig16329:86417:89131:1 gene:CCACVL1_30718 transcript:OMO50002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRKLPNKLGIQADNMKAEKRLGSLKPSSCQHLDGKNKATDLTKKMKKSRSIKLSDIEGLRSSPARKTIAQPGKPPPPLNVSAAASPQKKSVMKAMDGSSPNYMKSTSSSEAKKEISPVSSRNIQTGSDSKYLRRRSSTGSKISSGSCNKPARTLTKTSSLKFVRTLTKSPSFKPARASAKKCSRGVALCADMDAQRATCSSTLKDSKFPAYLMLNPGGTESEGTSVLKVCPYTYCSLNGHHHTPLPPLKCFLKARRRSLKTQRSMKMEALSPRRLKPSGDGTEELKAESVVFGSNGVDLDDVPMSPMMQERSMDFFIEIYAKGKGNDAEADRGSPEMKTEGTDGTEQEQEHDTEKPVSESLSEGSPRSEIDFEENLDHCSEIISKVESTETHHGDLKHNDADEDFGGIMVKEESTPWNFHDGDEQECPSSIVMNHAMFEVIDMEWEEWRFSASEPDNESHCSTETDDQSDLIIGDSSESDENSLHTGETEEILAAGAEQEVFGEDVACIDTDSQVSETISYDQVSLAEDMFQIFVAMEDEEDRNTDIDFTPSSTEELNEGQKILEDGISSTINEVSEVDPPQEVPEISCIIDVNDESLETTEQFQLQSSDVLEQDKTNEEQTDSIGDFCPEDLPDGEAGADEDNYAEDDNQKNQLTEVNNAVDESFSTQAIVDESLVSESQDLQSDSQHEDPNVVDNQSILEEDQGEAKSKVPTCLELEEQNCSMMLRTSSAEGSEKIGEMDLEDSTSVGLDLAEMLPEEGDSTRHEGRSRYTRSHAKEELPGDENNRKWTICRKKHEENYEEERQFNPREPNFLPVVPEPDAEKVDLRHQMMDDRKNAEDWMLDHALQQAVSKLAPARKRKVALLVEAFETVLPVPINKYETRLRHTSTGFVHGRPVQACN >OMO49990 pep supercontig:CCACVL1_1.0:contig16329:35487:36261:1 gene:CCACVL1_30706 transcript:OMO49990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYDQMIEVVGHRFCVPYTMELLVKKKVQSFSKAHYDVYDTTGNVLLEIDGGVWNLQKKRVMKDPAGLPVITLREKPLSWRNQWVIHEGESSERNHLICSVQRSNALQIKNRLDVCLASNCNPKENGVDFRVTGSLTSLSFKVRRGNSILAEVSHNFTWGSCKGKETFKVKVYPEVDYAFIIALLVIVHENDNS >OMO49996 pep supercontig:CCACVL1_1.0:contig16329:57307:58180:-1 gene:CCACVL1_30712 transcript:OMO49996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDQMAYGVPMVSVVAGGLCVPYPVELIVKKKHHGFFDVNYQVLDVKGNLFLQVDGSYMSLYRKRIMRDPAGFPILTMREKAITGQKWAVHRGESSDRGDVIFSVQRSHCNHMKTRLQVFLPSNITQDICNFQVVTSYPFKSATVYKGDSAIAEVNYNLLWKSFCKGTKEDFRVKVYPGVDYAFIVALLIILVESNIMFCAA >OMO49986 pep supercontig:CCACVL1_1.0:contig16329:11422:21798:-1 gene:CCACVL1_30702 transcript:OMO49986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MEGAGNSSSSANNELEPEFRVDGSCWKFIPAISEGFFSRSSRGDILKHPLTILQLQLAIVFILDSSIHFCFFKRFKLPRLISEVLAGMILGETGLAKFFPEISALLFSRNAGRTLDTLTRFGYFLFMFLIGVKMDVSLVAKSRRREWTMGAIIIIFPMLIIVSFSKHIALKNDRLDSSSLEGVGLIGGTLMLTSFPVVACFLMDQKIINSEMGHLALSVSLISDLLSILIVNLNSYGVILRFATPRASLKSMFLSIAVVMFIISFLRPMMFWMIRQTPEGKPVKDSYIYIFLISLLLLGIVGDNVGLQYYYGPFFLGLAVPTGPPLATTLVEKLDTMVTGWMLPLMSTYCGFKSNLWELNRRPLLSTIFVLTAGTVMKFSCGFIPAILFRMPWKDAAVLTLILNSQGIIELGTIATNVEKMPVVSQEFTLVVLVVLFYAAVVPILIRILYDSSKTYTGYQKRTIFNSCAQEGIRVLACAHRQDDAMSAIRLLELMNPAKASPLSVHGLYLEELVGGSTPLLLNHQLGQKSSEDGSHWQPIVDVFNYFKSQNIKQTQVQVFTAITPPKLMHEDICWIAFSHLVALLILPFHRKWTSNGNLISDSKELRVLNIKALNKAPCSIAIWIDRSKIRGPSFLAKSPEYNICVVYLGGKDDREALAIARRMRGWTSIHLTVVRFISIDVCPMQGWESMLDDECLRDIRHPSPINTNVVYREQRVKDGADTSMVVLSLLEENFDLILVGRHAVTKSPIIEGLSDWAEFPELGPIGDQLASAEVNKAVSVLVVQQQGGMLFGPSFIGYNQEIGEKFFPVQSHTVLSTFAGFGIMYFLFVTGVKMDVGNMVRPGRKAVIIGLSSFFVTMGSSVGLAFILKNCFRISPSLDKSIVLIASSQSLTGFPVIVTLLSELKILNTDVGRLALSISMFCDLIGISIIATMFSISQSKLGHDTFGFLPPFLSVVMFVAAIFMIVRPRLVKMYKRTMDTKAVDEKTIVFIFILVMVCGFVTDAIGQHFIFGPLVLGLAVPDGPPLGAAISSKLDTLATVFLYPAFCAVSGAKTNLWTIDLQSLLVMAILIFITSLIKLLVVMLSAMVMGLHFMEALVLGLILNAKGIAELTVYNLWFDEKLIEEKEFALVMLAVIVITAIVTPLIKKLYDPSKQYLSAKRSTVYQARRDSEFRVMVCIHNNENVPTIVNLLEATHATRETPVAVTAMALVELVGRSTPALISNQSGKYLQSKNSSVGSRVFKALSLYEEQNQGCASVQSYTSISHFQTMHNDVCRIAYEKLSHIVIVPFHKQWAIDGSIESVSRPIQNLNLNVLDKAPCSVGILVDRGNLTGFASVRTPTTQFQVAVLFIGGPDDVDALAYGCRMAKHECVHLTVVHFLVFGDENSKDRKNDSHLINEFRQEHIGNNRFVYVEEVLRDGEGLTSYITGMAEYYHLMLVGRDHPDSPLLFEGLGAWSECPELGIIGDILASPDFKTKASVLVIQQQRIGGKMNNLPCVVRNRNAMVAPEEFHRPPLIVDPKEESKQEQDQFIAESQQDRAFLESNHKRKVQAMNEYYDQLQQHYSEVDAFDTFTAKRARKSASSMAVTAAAAAVTEDSNDNDNDNVNAGNETGTSSSGPQRRLWVKDRSKDWWDRCNHPDFPEEEFRKAFRMSKATFEMICRELEPAVMKKNTMLRDAIPVRQRVAVCIWRLATGEPLRLVSKRFGLGISTCHKLVLEVCSAIKTVLMPKFLQWPDEKKMKEIKQEFEMISGIPNVAGSMYTTHIPIIAPKVNVEAYFNRRHTERNQKTSYSITVQGVVDPNGVFTDVCIGWPGSMPDDQILEKSALYQRANKGLLKDVCIVGNAGYPLMDWVLVPYTHQNLTWAQHGFNERIGEVQKVARDAFARFKRRWSCLQKRTEVKLQELPMVLGACCVLHNICEMNNEEMDPELQYELFDDEMVPENNLRSANAVHARDQIAHNLLHHGLGGTGFL >OMO49998 pep supercontig:CCACVL1_1.0:contig16329:62268:68471:-1 gene:CCACVL1_30714 transcript:OMO49998 gene_biotype:protein_coding transcript_biotype:protein_coding description:P60-like protein MGKKSKSSRKGKKAWRANISTEDIHDFYEKSTKDALSGGSLASAPAESLFTIDKSKDLSVKRKIEKKREKVLRVDSLLQKNPFVEAVPSSKQKQKKSKKEKKETLKAKDVVVQDVPKDDSAPDSSMVPLWGNEGQHSGKARQVSKQSIIPAVEVEPPGCSYNPTFESHQDSLAQAVAEEMQIAYKIELGPQPVPLTVMGEAVEEEDKYFLDADVGSDDEMDEENLSENEDPATEKRPSKTKRVTRVELNKRARRKEVQRKEAEAKKKKELSKEIDSIPDILQEIAKEDEEKEKKHLRRVIAKQERLKACPPRLGKYKFKPAPPQVLLSEELTGSLRKLKGCSTLARDRFKSLEKRGLIPPSAKSGRPYSPRVASSRSLKFKPELLFKRKPCNVQSRNFSSSDGKDEAYLEQEAERKIGWVLKLIFAGTATYVGYQFFPYMGDNLMHQSVSLLHVKDPLFKRMGASRLTRFAIDDERRMKIVEIGGAQELLNMLGSAKDERTQKEALKALNALSKSDEAAKALHHAGAISILKSTPDTYQDAEIGFYKSHLLKRFEDLH >OMO50006 pep supercontig:CCACVL1_1.0:contig16329:108588:112151:-1 gene:CCACVL1_30722 transcript:OMO50006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ionotropic glutamate receptor MILYNGFPIIGVNTNVSARPEVVNIGAIFSFQSVIGKAAKVAIEAAIEDVNSNPDILQGTKLNIQMKDTKYSGFLGIVEALLFMERDTAAIIGPQSSVTAHVISHIVNVLRVPLLSFSSTDPTLSPIQFPFFIRTSQNDLYQMTAIAEIIHHYEWREAIAIYADDDHGRNGIAALGDKLAERRCRISYKAALSSDPTRDEITDILVRVALRESRILIIHVPGTWGLTVFSVAQYLGMLGTGYVWIATNWLSTILETNSPLSQDTMDDIQGVITLRMYTPDSEQKKRFVSRWSNLTRENPVGMNTYSLYAYDTVWLLAHAINEFLNQGGNISFFNHSRSTELHGGNLHLDALGVFQGGHLLLDNILKTNMKGVTGNVRFTSDRNLIHPAYEIINVIGTGHRSIGYWSNHSGLSTVPPETLWEKPPNHSSSSPKLHGVVWPGQMTDKPRGWVFPNSGRYLTIGVPHRVSYREFVSVRGPDAITGYCIDVFTAAVNLLPYAVPYKLVAFGDGHTNPSGTELVRMITAGEFDAAIGDIAIITNRTKMADFTQPYIESGLVVVAPVRRKDSNALSFLRPFTRRMWAVTAIFFLVVGTVVWLLEHRMNDDFRGPPRKQVVTILWFSFSTWFFSHRENTVSALGRIILIIWLFVVLIINSSYTASLTSILTVQQLVSPIKGIETLVSSNDPIGYQHGSFARNYLIDELKIDPSRLVPFNSPEECAKALKDGPHKGGVAAMVDDRAYIELFLSTRCEFSIVGQEFTKNGWGFAFPRDSPLAVDMSTALLKLSENGDLQRIHDKWLLRRACSFQGAKMDVDRLQLKSFWGLYVMCGVACLIALSIYFFKIVRKFYRQYSEELEFSGQSSQSTRIQTFLSFVDEKEEEYKSRSKRRQMEKASNRTDDGSICSPRSISNKRDLSSNSEFASNKSLGTSEV >OMO49999 pep supercontig:CCACVL1_1.0:contig16329:68863:71353:1 gene:CCACVL1_30715 transcript:OMO49999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anticodon-binding protein MLRRNIRLRREYLYRKSLEGKERLQYEKKRKIREALEEGKPIPTELRNEEATLRQQIDLEDDYTAIPKSHIDDEYANATERNPKILLTTSRDASAPLQQFVKELKLVFPNAVRMNRGNQVISEIIESCRAHEFTDVILVHEHRGVPDGLIISHLPFGPTAYFGLLNVVTRHDIKDKQDVRPMSEAYPHIILDNFATKLGERTANILKHLFPVPKPDTKRIVTFANRSDYISFRHHIYQKRGGPKSVELEEVGPRFELRLYQVKLGTMDQSEAQTEWVIRPYMNTSKKRSFIGDDEPKESKKTKKH >OMO49993 pep supercontig:CCACVL1_1.0:contig16329:48648:50324:-1 gene:CCACVL1_30709 transcript:OMO49993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDIGNQNEQIEESVVTKPNGQAPLHISTSQRVLLNDGNPQRKSLSSFMSSPNRLNFLKFGSASAKFMRLAKERDDASRLVVSSSGHGIRERLTGVFSKKIDWASLTKMCKEWIKDPMNMALFVWIMCVAISGAILFLVMTGMLNAVIPKKSERNVWFEVNNQILNALFTLMCLYQHPKRFHHLVLLCRWRPEDISRLRKIYCKNGTYKPHEWAHMMVVVVLLHINCFAQYALCGLNLGYRRSQRPAIGVGICISVAIAAPAMAGVYTIVSPLGKDYHSEIDEESQRHLDMESRRPESSRRKTLEKRYSFASGDEERIVESSPLWSGGIFDFWDDISLAYLSLFCAFCVFGWNMERLGFGNMYVHIATFILFCMAPFWIFNLAAVNIDNETVREALSVTGIVLCGFGLLYGGFWRIQMRKRFKLPAYNFCFGNPEASDCTLWLCCCWCSLAQEARTGNSYDIVEDKFLIKQTNEGNQLPISPLPREDGIVQFSSSPGYNSSPSPRFAANSSSPKRVSKEYYSPERQLSTVKEESSNRGKDETMTPPAPSLIQRDTT >OMO49984 pep supercontig:CCACVL1_1.0:contig16329:226:309:1 gene:CCACVL1_30700 transcript:OMO49984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKLMSGILKRSTITASTYKRNVIKA >OMO50004 pep supercontig:CCACVL1_1.0:contig16329:95614:97044:-1 gene:CCACVL1_30720 transcript:OMO50004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MIQEGPSSVTSSPLQFFPWMSLSPGLGSPFPWLRELKSEERGLCLIHLLVACANHVAAGSLENANIGLEQISHLASPDGDTMQRIAAYFTEALADRMLKAWPGLHKALNSTKISSVSDEILVKKLFFELCPFLKLAYIITNQAIVEAMEGEKMVHIIDLDSSEPAQWINLFQTFSARPEGPPHLRITGIHEQKEVLEQMALRLTEEAEKLDIPFQFNPIVCKLENLDLENLRVKTGEALAVSSVLQLHSLLATDDEMLRRNINSPSISRNLNTNLSHRVLQMNHRTLGEWLERDPVHIYSPSSSDLPSPSSPLSLAPAPRIGSFLNALRALSPKVIVVTEQESNHNGATLMDRVMEALNFYAALFDCLQSTVSRAQVERQKVEKMLFGEEIKNIIACEGLERRDRHEKLEKWILRLELAGFGRVPLSYHGMLQAGRLLQSNNYDGYKIKEENGCLVMCWQERALYSISAWGFRRYD >OMO49987 pep supercontig:CCACVL1_1.0:contig16329:23755:24278:1 gene:CCACVL1_30703 transcript:OMO49987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFSQRSSSSTLNPNAPLFVPLAYRTVEDFSDQWWALVQSSPCFRDYWLRERFQDPQNDDEPLFPDDLDAIFDEYDDFFADPTQEEKEGDLNKELVPIGALKWRKGRAMAESPRFLEKAPKIVNVKLSPRTINQPR >OMO49997 pep supercontig:CCACVL1_1.0:contig16329:59559:61449:1 gene:CCACVL1_30713 transcript:OMO49997 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MPLATTSTGGNEPLLQENKPAAAEKHTSVSGAVFNVSTTIIGAGIMSIPATLKVLGVIPAFILIVVIAWLADISVEFLMKFTYAGKSTTYAGVMRESFGRLGSVLVQICVMTNNLGDVLSGNQPEGSEHLGVLQKWFGIHWWNSRAFALLFVLVFVMLPLVLFRCVESLRFSSAVAVFLAVLFVAISSVMAISALFQGKTETPRLLPHLDNKASFFDLFTAVPVIVTAFTFHFNVHPIGFEMDKPSDMTSAVRISLILCGTIYFTVGIFGYLLFGESIMSDILVNFDQNSGSPLGSVLNDIIRISYALHLILVFPLLNFSLRANIDEFLFPNWPVLAKDNTRFLSLTFVLLVVSYLAAIAIPNIWYFFEFIGSTSAVSLAFIFPGAIALRDIHGISTSRDKIMSTVMIVLAAVTSVIAISTNVYSFFS >OMO49985 pep supercontig:CCACVL1_1.0:contig16329:5007:10679:1 gene:CCACVL1_30701 transcript:OMO49985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment MATCCNSDVFGWIQNLPPITQWKTNSMSICICSSSISSHPSLNLSVSKNLHSSTLSISIVADFNLPFPLWTSKPLNPNPMSSKLLDEETIYSLMINFIKDVLGYGSNNNSSLIRFPKLESLPGFKDIFNLSFLTLALLICIYEAPVDLRSVCLSSLKNQLVGCQSRAATKSLMRLLGSNLEEQWMRSMNLAITNWILELQATHRTLMKTPSPLFSYALATFGLWKVQLFCPVIAMDVENSSNPSMDDQRLLFSLNYHQLEGVIQFNYKVIVREKWIDVMLNIDNIRCDIIRLVNEALMKERGVGTDEKHFPSRVSLQVTPTLQSDILSVSVGKSTENPERGIEIEKGIEGSFDPPNSFLGLKVSAAETMTMSMKPWKFEQSVHGYSGTLNWFLHDSVDGREVVSSKPSKFALINPKAWFKDRYSSVYRPFTRQGGVIFAGDDYGEKIWWKIDKSAMGKTMEWEIRGAVSQVHCTLKRPSTVHMYSGSWPTSMKLSVHGLMFSGKNGSSTKRNVSQISCAKTPEATSTAKSNVPSDSKTQGSLEKTPRNATFPHGFEALVLEVCDDTEVAELKMKIGDFEMHLKRNVGATKAPLSNISPMIAPPIPSEPMNETAAVTPPPSAPKPSPEKPSPFSNASFGRSKKLAALEASGSNNYVLVPSPIVGKFRRGRTVKEKQLPPSCNEGDLIKEGQVIGFVDQFETELPIKSNVAGEVLKILFDDGDAVGYGDPLIAVLPSFHPIK >OMO49995 pep supercontig:CCACVL1_1.0:contig16329:54988:56824:1 gene:CCACVL1_30711 transcript:OMO49995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MSPAAGVTAPLLPESKPGAKHASVSGAVFNVSTSIIGAGIMSIPATLKVLGVVPAFLLIVFIGWLADISVEFLMRYTHAGDSTTYAGVMRESFGKVGSGLVQICVIITNLGCLIIYLIIIGDVLSGDVDHLGVLQQWFGIHWWNSRAFALLFTLVFILLPLVLFRRVESLSYSSAISVFLAVLFVAISSVMAILALLEGKTNTPRILPELDNKTSFFDLFTAVPVIVTAFTFHFNVHPIGFEMDKPSKMILAVRISIILCAAIYFTIGLFGYLLFGDSIMADILVNFDQNSGSAVGSLLNDIVRLSYALHLMLVFPLLNFSLRANLDEFLFPNKTVLSKDNTRFLSLTLVLLVFSYLAAIAIPNIWYFFQFMGSTTAVSLAFIFPGAIVLRDAWGISSARDRILAGVMIFLAIVTSIIAISSNIHSLMTGNES >OMO50007 pep supercontig:CCACVL1_1.0:contig16329:114891:117793:-1 gene:CCACVL1_30723 transcript:OMO50007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAMVVDPKSATEPPFPTTRSDLGQGSAVDPTAADEDDLYSRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLGDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >OMO49988 pep supercontig:CCACVL1_1.0:contig16329:26541:30919:1 gene:CCACVL1_30704 transcript:OMO49988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNALRFLYGQCCKPSSGGEQGSYAPHGVSTANVGVSALANDIFQFENTSQVPEGLSKHVVSSKKAQANWYKKLLEAWREAKPPPKTPDEASRFVIQTLKRHQKADVEGLLAFYGLPLPHTLVQPSASTAPTSLPHGVKFELQTLPVDVKAVPDGDTITVYVSTKDPRESSMVPRDVQLAASQRSKARADKNYTKADALHKQITDAGYRVLNIQNQEVLARKYRIRLRGIDAPESSMPYGKEAKEELANLVQGKCLRVLVYGEDRYGRCVGDIYCNGKFAQEIMLKKGLAWHYSAYDERMELATVMGKRGSRKTSSTSEDFDSEGASYSYTTTTSGVSALAKDLFNFEINSQVPEGLSQYVVSSRKAQARWYGKLLQAWREAKPPHKSPEEVARLVVQTLIRHQKADVEGLLNFYGLPHPSTLAKVSAGESTRLPHGVKYEMHTLPIDGNSVPDGDGLNDVYVSAADPRESSIVPRQVLVAAVRRAKARAKKNYARADQLRQKIIESGYQVFDLQNDETILARKYRIRLRGIDAPENSMPYGKEAKQELVKLVHGKCLRLLVYGEDQYGRCVADVYCNGIFVQEVMLKKGLAWHYVAYDKRIEFATWEKEARAKRIGLWALSNPEKPWEWRRDRREGR >OMO49992 pep supercontig:CCACVL1_1.0:contig16329:42011:42358:-1 gene:CCACVL1_30708 transcript:OMO49992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-induced protein, Wun1 MRLLTGASADDSFRFDVDPNSVTTFGSTVIVEGCDHSRSISWVHAWTVSDGIITQVREYFNTSLTVTRLGNSTQSPPSNYASSTAEITSVHCPSVWESSFSNRVGKSVPGLVLAI >OMO50008 pep supercontig:CCACVL1_1.0:contig16329:120541:120869:1 gene:CCACVL1_30724 transcript:OMO50008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAVWKLVFKVEHFIYRRVILVNFLFSAIILLRKDVAEFSAVLTARMAIGH >OMO50003 pep supercontig:CCACVL1_1.0:contig16329:90342:92540:-1 gene:CCACVL1_30719 transcript:OMO50003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSSSQKMPLTIFITWKLGLWSSKRNWKDEGVIYTRRMDNSLSEELYSESLQLSNLHLGHSSTANGFNESDIQDEDGSLWGSDEELDKASELDREWQRRHDEFHTIGYRDGLIAGKEASAQEGFNIGFKQSVFSGCNWGLVRGITSALACLPDELRERLIETQEKREKSRELYESVNSISTTDALKLFHDDIMTKKDLEQRETTEIGVTVGGSQEQSSSSGTLGNLTAELQSLLLESPQIKVEFFHQQVSSS >OMO49991 pep supercontig:CCACVL1_1.0:contig16329:40809:40877:1 gene:CCACVL1_30707 transcript:OMO49991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEAEGGDPWPAPVSHKVKTF >OMO55264 pep supercontig:CCACVL1_1.0:contig14749:17499:17612:1 gene:CCACVL1_27323 transcript:OMO55264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIHIFNSAMTHNSTQQSHKSAPNLEATIATNPGPK >OMP06686 pep supercontig:CCACVL1_1.0:contig04853:1972:6178:-1 gene:CCACVL1_01471 transcript:OMP06686 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein odr-4-like protein MVKAVIGEETRLQLTEDRLSQSSLPAQVGLVIGKLSSTLDRGFVFDLVPTPLNDAGEPACSVLEPAKSDRKKGSKPKSQTSDSSSSLVIDKDWVAEHARQVSRMMVGGIKVVGIYVWASEAAFKNSTMVLCQTVKVVAEAAHILEDVMDERLLIYICYSPRRWICRNCTLSSNITSSSLRPCDFKMGRVLTSLQTFKCLYNFDLRLPIYQEKASRHTLSDVLRNGISVYAKELQDAKAMIDGNLVINDDTCITDGLHEVELLLPFMKDLYIEACSQKDVVGVVTFTGSVCSYSFLNSKEPVSQAIADIKDDIIRSLQSRLDIVCDEADEDLGPNADGIGEARNDTSPEKPVSQLVLHSLRKNCNLSLPRRVFVPWLAGTFISDYLQSSETLEVLKDHCVELMSMEAPDDVSTILEPEEEALRVITRSFWDVVIPYSSAPSSSLEKGREIISTESSRKDKKSHNFNFIAALLFLLLSVLVGYMLIR >OMP06685 pep supercontig:CCACVL1_1.0:contig04853:248:1014:1 gene:CCACVL1_01470 transcript:OMP06685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MAVELCRDRLGVRPCDMRRRVSECQALFPCIDFSMMDGEDDSMWNPDVREPEEEISARMSQFMKWLWTRPEQEIAIVSHGIILQHILYVLRLSHLEPHDRSALCQRFGNCELRSVVIVDKR >OMO80986 pep supercontig:CCACVL1_1.0:contig10253:32456:34004:1 gene:CCACVL1_12669 transcript:OMO80986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene/phytoene synthase MSITARAEVIAAPKISVTFPGLTKQGIPHTDLQVQEIVTRQSWPNNLAKEGGCRKPQFHPMFLEEAYNKCRDICAEYAKTFYLGTLLMTEERQKAIWAIYVWCRRTDELVDGPNADYMNSGVLERWEERLHDIFDGRPYDMLDAALSDTVFNFPLDIKPFRDMIEGMRMDTRKSRYKNFQELYLYCYYVAGTVGLMSVPVMGIAPESSVSAHSIYNAALYLGIGNQLTNILRDVGEDASRERVYLPQDELAQFGLCDKDVFSRKVTDEWREFMKEQITRARFYFNLAEEGASQLDKDSRWPVWSSLLLYRKILDAIEDNDYDNLTKRAYVGRTKKLLMLPLAYTRALSKPGFSFH >OMO80981 pep supercontig:CCACVL1_1.0:contig10253:13401:14819:-1 gene:CCACVL1_12663 transcript:OMO80981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MGDSESEQLLDHQEALPTTTASAAATGSKSSKKLSILPLIFLIYFEVSGGAYGEEAAVGAAGPLWAILGFLIFPFIWSIPEALITAELATAFPGNGGYVIWANHAFGPFWGSLMGSWKFLSGVINLASYPVLCVDYLKLVFPLFSSGVPRYVAILFSTCVLSFLNYTGLVIVGYTAVCLGVISLLPFALLTLISIPKIDPSRWISLGQNEKNWPLFFNTLFWNLNFWDNASTLAGEVEKPQKNFPKALFSAGLLTCLAYLVPLLAATGALPLEQEKWVDGYFADLAEIIAGKWLKIFLEIGAVLSIIGLYEAQLSSCVYQLLGMADLGFLPQCFSARSKWFNTPWLGILVSTLITAGVSFLDFANLISSVNFLYSLGMLLEFASFLWLRRKLPTIKRPFKVPMGLPGLIVMCLIPSGFLVYVMSVATGTVFLMSSVLTALSIFWYFILKFCQSKKWTEFNHGGEKLDEEDLE >OMO80989 pep supercontig:CCACVL1_1.0:contig10253:85453:85566:1 gene:CCACVL1_12672 transcript:OMO80989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIRRSIRIDNIEAFLELQQGKLLVAIAELLQWRLK >OMO80983 pep supercontig:CCACVL1_1.0:contig10253:19285:19869:1 gene:CCACVL1_12665 transcript:OMO80983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKLATNVVTQGCHLCSIKDRCSMCTNDSPCLDRRSTLGKLDCNFKIGNLHSKIFIQQHIKRDLMSRCNMSWL >OMO80982 pep supercontig:CCACVL1_1.0:contig10253:16513:17927:-1 gene:CCACVL1_12664 transcript:OMO80982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MEVEIQSGSLQLPTAAMNGEKENHVKALEQDKLPVTVATNPKTPKKLTLIPLIFLIYFEVAGGPFGEEPAVQAAGPLFAILGFLIFPFIWSVPEALITAELSTAFPGNGGFVIWAERAFGPFFGSLMGSWKFLSGVINIAAFPVLCIDYLEKLIVALASGWPRKVAISAFTLEKEDF >OMO80988 pep supercontig:CCACVL1_1.0:contig10253:75007:77934:-1 gene:CCACVL1_12671 transcript:OMO80988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHLPYLVLLISLFQLLTFSFQSSLQQLCHGDEQLALLQFKESFNIDTNGSADADHLCVYPKTDEWNGVVDCCSWAGIECDHETGHVIGLDLSKSCLHGSIHSNSSLFRLVHLQKLNLAVNNFSYCEIPSAIGSLPRLNYLNLSYSSFSGQIPSEISNLSSLKTLDLSFNFDPDNPYQGLLELKRPDMSSLVRNLTSLTYLDLSLVDVSSPVPSFLANFSSLESFLCQNCNLFGTFPVAIFQLPNLELLELSKNKDLKGHLPEFHFGSRLQELSIWNTSFSGELPASIGNLRSLEFLGVGRCNFTGSLPPSLSNLTKLFHLGLGNNSFRGEIPSFLSNLTRIKFLSLGHNHFTTSEIPSSLASLVHLEMLDLASSKFSGPFPAWLTNLTQLRFLDLSYNKLQGQLPSSVTRLKNLESLDVTSNSLRGVVELDTFLELKNLQSLSLSLNKLSVFSKRTSVISSNSLPKLVVLRLASCNLSTFPDFLRNQDRLQILDLSYNQIHGLIPKWIWQMSTKTLLLINLTKNYLTSFEESPVVLPWSRLVVLNLRNNMLQGSLPIPPFSTAVYLVYNNLLSGEMSPLLCNATSLQVLDVSGNNLNGMIPQCLGNFSKSLQVLNLHKNKFSGPIPTNWTNMLQLIDLGKNMFEGLVPRSLIRCKKLQYLHLGHNQINDTFPSWLGNLGNLEVLILRSNRFHGEIGITNDLESDSLFPLLRIVDLSNNEFTGFLPSEYFKTWKGMTLASLSSEQLKYMHASEVVFTTWYIYHGSYTYSVILTNKGVNMKFLKVNELLAVIDLSCNRFQGEIPESIGKLDGIQLLNLSNNLLVGEIPPIMGSMSSLEALDISQNELVGRIPWELQQLNFLSVFNVSHNNLTGPIPQGSQFHTFDNSSFNGNPGLCGTPLSKKCENSEPSSTLEGKEDSATFFRFGWEAVLIGYGIGTTFGVIMGHIAFTRKPDWFLKTFGKKQRRKPELGRMRN >OMO80991 pep supercontig:CCACVL1_1.0:contig10253:86870:92401:-1 gene:CCACVL1_12674 transcript:OMO80991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSVSSNSHGNLDEQISQLMQCKPLSEQEPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >OMO80980 pep supercontig:CCACVL1_1.0:contig10253:723:824:1 gene:CCACVL1_12662 transcript:OMO80980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKFTFIITTTYFIIVIIYPDQKGCPHTEDVGAG >OMO80984 pep supercontig:CCACVL1_1.0:contig10253:20350:20499:1 gene:CCACVL1_12666 transcript:OMO80984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLILGFFKAFKLDFFKGFLTITILTIPCFSFSKNFAAAHTAPRNRPSR >OMO80985 pep supercontig:CCACVL1_1.0:contig10253:27930:29312:1 gene:CCACVL1_12668 transcript:OMO80985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase, LH2 MHHELSGYCSSDFQGYAKPLRLFPSTEPKVCTETLEEKIFSSTRLNGARSLYKIRLQTSNMYGSSLSNLNAGILLCLIDEKGDSILQRIPSYLLIEHSSESENLLEPETPYFQRGSVDEFVFEGPKLGKVESLWISLESGQWRLGGVSLIVFNLSEPSLEKDYGETVEYLGLKYDFNVDDVLLGEDLDKSMAELRPSFITKLSGPNLVSMLSKGFSQTTSPLGDQISNEESMKEYADLKLSLLLYDTVLIIAGTSFASFSIGESSAFAFLLGGISGFLYLLLLQRSVDGLPASELTSKNPGDKVAGYKGPLSTLALAIAVSLLVAAKYGMGDAPVVLTPKELLAGMVGFLVCKVAVVLAAFKPLPVSIEEKK >OMO80990 pep supercontig:CCACVL1_1.0:contig10253:86133:86237:1 gene:CCACVL1_12673 transcript:OMO80990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVATYFHVSQIQICSDLPSLFYVLTSGKFSRL >OMO80987 pep supercontig:CCACVL1_1.0:contig10253:43598:44936:1 gene:CCACVL1_12670 transcript:OMO80987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene/phytoene synthase MTEERQKAIWAIYVWCRRTDELVDGPNADYMNSGVLERWEERLHDIFDGRRPYDMLDAALSDTVFNFPLDIKVCSSLLLYQKVLDAIEDNDYDNLTKRAYVGRTKKLLMLPLA >OMO80992 pep supercontig:CCACVL1_1.0:contig10253:94078:94140:1 gene:CCACVL1_12675 transcript:OMO80992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTIQVQHRSALFSGYPG >OMO55645 pep supercontig:CCACVL1_1.0:contig14638:1826:15420:1 gene:CCACVL1_27119 transcript:OMO55645 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kinase MAPFISKIDLKLLSISFMHGKVQVDHPVTYSNCGGDRDRDNDNNGTSVDLRGTPFYLSNIFTRFASVGCGNFATTSRNQTDVIGGLKLFRVKPFIEDLAYGYSDYCG >OMO82715 pep supercontig:CCACVL1_1.0:contig09981:6727:11698:1 gene:CCACVL1_11789 transcript:OMO82715 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexokinase MGKVAVGAAVVCAAAVCAAAALVVRHRMKSSGKWSRAMAILKEFEEKCGTPIPKLKQVADAMTVEMHAGLASEGGSKLKMIISYVDNLPTGDEKGLFYALDLGGTNFRVLRVLLGGKESRVVKKEYEEVSIPPHLMTESSDALFDYIASALAKFVATEGEGLHASPGRQRELGFTFSFPVRQTSISSGTLIKWTKGFSIDETVGQDVVGELTKAMERVGLDMRVAALVNDTIGTLAGGRYNNPDVVAAVILGTGTNAAYVERAHAIPKWHGLLPKSGDMVINMEWGNFRSSHLPLTEYDHALDAESLNPGEQIFEKMISGMYLGEVVRRVLLKMAEEAAIFGDDVPPKLKIPFILRTPHMSAIHHDTSPDLKVVGTKLKDILEISNTSLKMRRVIVELCDIVATRGARLSAAGIVGILKKLGRDTVKDGEKQKSVVALDGGLYEHYTKFRTCMEGTLGELLGEEVFENIVIELSNDGSGIGAALLAASHSQYLENIFMHLMIQEFMPENPFKLCHPGFVLSNGSHLIECLMVIARASLLQIVTQLSSVDGVEDYSGTTMYNLLSPESEENKIGSC >OMO82714 pep supercontig:CCACVL1_1.0:contig09981:3513:3590:1 gene:CCACVL1_11788 transcript:OMO82714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFHRCTLGCLALAGAACLRLCDN >OMO82713 pep supercontig:CCACVL1_1.0:contig09981:820:2121:1 gene:CCACVL1_11787 transcript:OMO82713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADHQQPEPKRRKILKPPSRRTVSSSQRGKDATSVSSLTVPAVQTSGANIRIQQAKNFAVAQAQQDGCMGSFKTFDSQYGNFLVPVVPSRAELTG >OMO73123 pep supercontig:CCACVL1_1.0:contig11300:4462:6302:1 gene:CCACVL1_17458 transcript:OMO73123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGCGVVMVYESTVYDKLRRSTNGFSLCTDHLVRVDYTDAAA >OMO70044 pep supercontig:CCACVL1_1.0:contig11889:10253:10595:1 gene:CCACVL1_19127 transcript:OMO70044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPIIELPLTDSLSEVMRGFIELIECQFQISYPTTGLAASPQIREHPALINIIKVIHKVVEQHTAEGRNGCRLLIL >OMO70045 pep supercontig:CCACVL1_1.0:contig11889:20756:21701:-1 gene:CCACVL1_19128 transcript:OMO70045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKYRIYLLLVSGISNIRLLGLSLEINLQVLNNGLALQIPDLDARLGGSAKPVPVRTKAKRVNYRTSIKTCQRMIDLSREEVTIMSELSIGVAMAVTMSVWALMVPRRTNASAPAIFVSLGVWRRSGF >OMO70046 pep supercontig:CCACVL1_1.0:contig11889:23669:25556:-1 gene:CCACVL1_19129 transcript:OMO70046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYFPSYWLYDVKTQNHVNHLEQLLIATSVFITRRWKTLHPKVVPLLQEARRIRKTTLISNFVDRESERPALTPNDFCNSLPMITATSEPGTTDQPSKEKRKARLCKGKRRHKSCRVKARPLEVQGMINENEDEIGSGHDAVWEKRRNTNSENNNSNEVAEDVASTKKRKRKPPSGGANFSELALGVATQKKRRKERSSGHTNCGDRMQDDAVQTQKTKQQSETNKCIEPMQDSSSHQLSTLCMTTNNEAESFGSSLTMKRKTLPKPSPKQYSKRRVHSESGEEPRSVCSENSVFSGASDGAEVVQNNGVEVDTLGMDDVSSKKSSAIPGSKRKRCMHLSTSQSSRISSPEGFKKISSNKSMEKRRNGSSMQQHRSRKRNEPSEAEDDITLASFLHNKEKRIPSLADEDEMTLSCFLNKKSNKEKHQMVENAHLPILERVYQRRKTKKMPSGLQHCEAKTNCDGPTPENSMFHAIGKQTVVPSDKETGGRGIDVGNETAEVVREPGRISDCEADDISLASFCNKLNKRQPANKSEKLNG >OMO70043 pep supercontig:CCACVL1_1.0:contig11889:7349:8167:1 gene:CCACVL1_19126 transcript:OMO70043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGFINQISYPSTRLASSNELRQDPSLVTIVKIILKFLEDYTPLGKDGSLLLKLWLGVMGNFDMDSFNLPTILNDNFVLEQLYTEGATDYWPPRLSLQDCYKEIIDLDATERVIDMDIVGEHRLGFIITSPPTLAATLYTSFNLDLDDHSALHNLKLIVPTTPQQEQSQNMQPIKILILNAGGIRNPAFTPSFTHLCNHHNPHVALVTETRVGGVESRTHRMSMNFPYSSYLQPVGYFGGQWLLWNSNFFTCQLICRTDRSLVAKLRINTP >OMO79474 pep supercontig:CCACVL1_1.0:contig10410:27159:35849:1 gene:CCACVL1_13653 transcript:OMO79474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG DNA binding protein MTGKTSPDWLPTGWALQSKIQKTGRRVTQYVNLATGQKFFTKDDLIRYTKSESYQCLEQQLNQRQTKKPSAKSHMNIAVSENDRPEWLPKNWFVEFKTFKSGMRMGKLWKIYVDSSTGFRFYSKPQVFRYLKQVEQKISKLKQKKLASQSTSKTAVNENERPEWLPKNWLMEFKTRQGGATIGRRFKIYVDPSTGSKFFSKPQVLRFLNLVEQKNSKSEEKERAYSTRKAVTEKSTVDDLPEGRIEEVKIRSANKVKLDLTTVKENEHPEWLPKNWLMECKTRQGGATIGRKFKIYVDPSTGSKFFSKPQVLRFLNLVEQKNSKFKQMEQAYSTRKAVTEKSTVDDLPEGSIEEVKIRSANKVKLDPTAEKENEHPEWLPKTWFIEFKTHQSGAKIGKRYKIYVDPSTGSRFFSQPQVLRFLNRVGQKNRKSKQKKRAYSTRKAVTEKSTVDDLPEGWIKEVKIRRSAEKVKQDPYYTDPATGYVFRSKKDALRYIETGEISKRAFLPKENHCDDQNLINNEKSQLPAAKRQKVEHLGTSTMGQLCTAKHASADSTVKSHLGSVKKTVEPIENKDVLGNQLQILQTGKTCDTKSKVQPLVCSDPSPAFKNLTGAIPLQAAPSEGLVSAPASNVQQKKNRGKTRMDANKRSRKRERKSSSASVDKATRQLKFGCNNTKLPNQDSAAAVNPEINSVRHHEGAILGEQPQMSRTQKGSDSKLELQPLFSSDPCLEFAIKTLTGAIPLEDAINKGLTSTPVANIQLQKNPPETRIEHGTCRRALFKSTRSEKKDFSLPHRSSKRLAGHNTPELVANSGLQSAIPRFEFASKSVTGSIVEETFTLHNYFPQQVGSSGSQRDGNLPPPDNGLPNLFQRGFSSHIGAMAQPVVQHQVPVNPSFPSPGNLSLPTCSTVVTQQPYTKEKELPGNSKVKS >OMO79473 pep supercontig:CCACVL1_1.0:contig10410:24838:26375:-1 gene:CCACVL1_13652 transcript:OMO79473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNNAAKSASSRTTITLNPAPVAASPFPSAGTPAPVKFSIDTQRFQQIYNIRKAPVGAQLKRVIDLLFKTRKAFTAEQINEACYVDVNGNKDVFEGLRNNPKKVEYDGMRFSYKAMKAEGSIWLLPGSEPKDYIAFPNDPNPRVSIKVDDDLKALFHEIDVKEQDMSQIEKDLLKYGMKPATNTAKRRSIAAQMQGTSSKPKPRKKNKFSKRAKLTNSHLPELFQDP >OMO79475 pep supercontig:CCACVL1_1.0:contig10410:36695:38056:-1 gene:CCACVL1_13654 transcript:OMO79475 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MPHGTLEVVVVSAKGLENTDFLCNMDPYVILTCRTQEKKSSVASGKGSDPEWNETFVFNVSEGVSELNLKIMDSDAGSNDDFVGEVTIQLEPVFAEGSMPPTAYRVVKDEEYKGEIRVGLTFTPEMRNDGDFQFENLGGYQQSTCRDY >OMO79470 pep supercontig:CCACVL1_1.0:contig10410:3338:4365:1 gene:CCACVL1_13649 transcript:OMO79470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MAFSGTTEKCKACDKTVHFIDLLSADGVSYHKTCFKCSHCHGLLVMSSYCSMEGVLYCKPHFEQLFKETGSYTKKFQSGKSDNPNGMANKAPSRFASFFSGTQDKCGVCSKTAYPLEKVTVEGEIYHKNCFRCSHGGCFLTPSSYAALDGILYCKHHFAQLFKEKGSYSHLTKTASMKKNSAASSPEHNSDAEAESDSETKQEAEEAEKEANSEEPVAQDQ >OMO79471 pep supercontig:CCACVL1_1.0:contig10410:5373:18516:1 gene:CCACVL1_13650 transcript:OMO79471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLACAATTSAAAASFSVNKFPLFPSVSVSRLRVKRRSKRVSFRVFAQKEEPKFDKWDQMEMKFGRLLGEDPKLTLAKIMGRKANPEASYIEIEKAFYKNKGKIVEVEELPFDVEPRRGAKSKIDSTGKSPTSGSDGLNLVRPVPKKGVKFQTDDKPVVSERKRPTFSDGHAMPMDSGNKGRVPNVILRKPTLINEDDVEDRPRFRMKPNLSLKMMNQNAKEHFSDMTLLRRPEPMSVDTSLDGNQGSDDIIPEKKVGDTIADVTLLNRPEQVSVNRTVGEKEEQLEDLDIEEVETNMLANARETSFQDSLEAGDSSMPKKPETVDDTIIELSNRVSNEESSISPSIEAALQGKPKRLDQSAKETSNSSRAEDVPASPEDFGDLPSVSPQEESDWTRVEDLLQNGKRAEVELISSSTRGFVVSFGTLIGFLPYRNLAAKWKFLAFESWLRQKGLDPSAYKQNLGVIGSSGIVSKNYSPDSNSENNQQCGGKLTPDMKLEDLLRIYDQEKLKFLSSFVDQRFKVNVLMADRKLRKLIVSMKPKEKEELIEKKRNVMAKLRVGDVVKCCIKKITYFGIFVEVEGIPALIHQTEVSWDATLDPASFFKVGQIVEAKVHQLDFSLERIFLSLKEITPDPLIEALESVVGDRDNLDGRLQAAEADSEWPDVESLIKELQQIEGVQSVSKGRFFLSPGLAPTFQVYMASMFENQYKLLARSGNKAQEVMVEATLDKEEMKSTILSCTNRVLLAIAVVIFGVWMSTHHDGCRKSLTLPVLGLGAFIFIVSIIGFLGAVKKNTILLWIYLILLFIILVAILVFTVMAFIITNNGSGHRVSGLRYKEYQLKDYSSWFLKQLNNTDNWKRLKSCLVKSEDCNNLAKQYKTLKQYKMAKLSPIEAGCCRPPSECGYPVVNASYYDLSFHPVSSNNDCKLYKNSRAVKCYNCDSCKAGVAQYMKTEWRVVAIFNLALFVVLRSKTLSDHLRTRIFTRPMGGGPRTFPGGLNKWQWKRLHEKKAKEKERRLLDQEKQLYQARIRSQIRAKIAGNQDPSSNSTTHGAMSPNDHVKALADRFMKEGAEDLWNENDGPLKSDEQERPRLTETARNQRSGLIHSPLDMKKLISDNRGQNANFSGGNGHHFVKSRSYSVQSEGKFRVNGTYFGGTQLGLGSNDDSLKHSGRHVERRGFKSFSSQRESDLVLKDKSLKHFDRDGKRENEDSRNLRKFKKSGNGLERKRFRRNESSSSDDESDLEDGVEGWRDVRKLGSRASLGKYDMKITKRVPLKELEKEIDFSEQVELLRKELEMKKLAGNEKKEEGETIYSEKRFDECGISPLTVKALSAAGYVQMTRVQEATLPVCLEGKDVLVKAKTGTGKTAAFLLPAIETVLKAASSNTIQRAPPIFVLILCPTRELASQLAAEANALLKYHDGIGVQTLVGGTRFKVDMNRLESEPCQIIVATPGRLLDHVENKSTLSVRLMGLKMVIIDEADHLLDLGFRKDVEKIVDCLPRKRQSLLFSATIPKEVRRISQLVLKRDHAFIDTVGLGCVETHDKVKQSLLVAPHELHFQIVHHFLKKHISEEPDYKVILFCTTGMVTSLTCLLLREMKMNVREIHSRKPQLYRTRISDEFRESKRLILVTSDVSSRGMDYPDVTLVIQVGIPSDREQYIHRLGRTGREGKGGEGILLIAPWEEYFLDEIKDLPLEKLPLPHLDPNIKQQLESSMGKIDGSIKEAAYHAWLGYYNSIREIGRDKTTLVELANQFSMSIGLQKPPSLFRKTALKMGLKDIPGIRVRK >OMO79469 pep supercontig:CCACVL1_1.0:contig10410:350:2101:1 gene:CCACVL1_13648 transcript:OMO79469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEACKLEASEPKEQQQESVKEDKDSAAKPKLEAQDKSLIPVPEKVEAPATEKSPNNRDSVLARVETEKKLALVKAWEENEKAKVENKAHKKISEIGSWENTKKAAVEAELKKIEEQLEKKKAEYAEKMKNKVAEIHKQAEEKRAMIEAKRGEECLKIEESAAKFRASGLLPKKFLGCFGS >OMO79472 pep supercontig:CCACVL1_1.0:contig10410:22675:22944:1 gene:CCACVL1_13651 transcript:OMO79472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIWNPKFSFMFFIIIILLLTTTIQLSSGRYIHRSLSSSETEAEETEFSTNHSWHSSAKSPEASMADKSQPIYDVSYRTVPGGPNPLHN >OMO71310 pep supercontig:CCACVL1_1.0:contig11671:50598:55092:-1 gene:CCACVL1_18299 transcript:OMO71310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MSKSGALDLVSGVGGRIEKKEVLPAVQKYEKYHVCHGGNEEEMKANYSDMVNKYYDLVTSFYEYGWGESFHFAPRWKEESLRESIKRHEHFLALQLGLKKGQKVLDVGCGIGGPLREIARFSGAFVTGLNNNEYQISRGEELNRIRGINNSCTYVKADFMRMPFSDNTFDAIFAIEATCHAPNQVICENDLAVDSPLPWYLPLDKNHFSPSNFRVTAVGRFITRNMVKVLEFLGLAPEGSQRVQHFLENAADALVEGGRKGIFTPMYFFLARKPIL >OMO71307 pep supercontig:CCACVL1_1.0:contig11671:22389:26301:-1 gene:CCACVL1_18296 transcript:OMO71307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MSKSGALDLVSGVGGRIEKKEVLPAVQKYEKYHVCHGGNEEEMKANYSDMVNKYYDLVTSSYEYGWGESFHFAPRWKEESLGESIKRHEHFLALQLGLKKGHKVLDVGCGIGGPLREISRFSGAFVTGLNNNEYQISRGEELNRIRGINSNCTYVKADFMRMPFSDNTFDAIYAMAATCHAPNLVICENDLAVDSPLPWYLPMDKNHFSPSNFRGTAVGRFFTRNMVKVLEFLGLAPEGSQRVQHFLETAADALAEGGRKGIFTPVYFFLARKPIS >OMO71309 pep supercontig:CCACVL1_1.0:contig11671:44645:46668:-1 gene:CCACVL1_18298 transcript:OMO71309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAESFAFDIAGKVLGKLLSGAFKEISLAWGVKDEYQKLQDTLTNLLDEFEIHALRKQVLQRGSAGRKVCHFFSSSNPLAFRLKIAHKIKKINEMLNEVAVNKAKFSLTEKHETKKIVMHSTERETYSFVKTSDIIGRDREKDNLIEFLLTEPTDDKEDIHVLPIVGIGGLGKTTLTKLAYNDERIGKHFDLRVWACVSEDFSIKPLMMKIIESATGLLQSSDKNEELENIGRRYLNELCSRSFFQEVAGLFWIVSFKMHDLLHYLALSVAQNELKSLDQDLTPTVRHLWFDYKLKQEASVEVLNNLGGVRTFRFSCQNESLMETCISRSKHLRVLDSNYSSFEKLPKSFGNLKHLKYFSMLGNGIIKKLPNSICSLQSLQTLLLDGCKGIEELPSDMRHLVSLRTLQITTKQLSLQKDGIGCFTSLRYLELAECENLQYLFEDMQNLKALRTLCIRNCNNLVSLPQGLKSLTALETLVIIHCARLDLSMELVEFGGRLEKEEEEGSLRKLWIGGLPKLEALPQWIVLGSTKTIQHLWITNLDNLSTLPPWLQQLKSLQELKIGNCPKLSSLPQGFQHLTSLK >OMO71308 pep supercontig:CCACVL1_1.0:contig11671:38878:38946:1 gene:CCACVL1_18297 transcript:OMO71308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWKGENVSRAAGSVPAFTSDV >OMO71311 pep supercontig:CCACVL1_1.0:contig11671:75051:76648:-1 gene:CCACVL1_18300 transcript:OMO71311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRLLSLCFTLIFSLCNGANTPPKSPPYLDGFLNNGNFEQAPKKENLNKTVIIGKYSLPGWEIDGLVEFVSGGPQPGGFYFAIPRGAHAVRLGNEASISQHVNVKPGHIYSLTFGTTRTCAQDEVIRVIVPGQKADISVQTLYSSDGGDTIAHAFKAISKVVKVTFHNPGIQEDPTCGPLLDAIAIKEMLPLKLTKDNLVKNAGFEIGPHTFENFSTGVLLPPKIQDIISPLPGWIIESLKPVKFIDKKHFQVPSGLYAIELVAGRESAIAQIIRTVPNKFYTLTFTIGDAKNGCHGSMMVEAFAGKQTLKVPYQSQGTGGFKKASFKFQAISDRTRITFYSAYYHTKLHDYGHMCGPVLDDVRVLPVKS >OMO71306 pep supercontig:CCACVL1_1.0:contig11671:13070:18649:1 gene:CCACVL1_18295 transcript:OMO71306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAEIATSVAAGVAENLVEQYAVNPTLKHLRYVFCFESIVGEFKDQKQNFSSALSRLKHSVDEAKRRKILDIYEDVKEWISKADNIQNEVESLEIEIQEENKTCFSWCPSWRCRYRLSKKIARKTLEIMAQLERSSKFDPNSLGHAATLPKTAEFLSTEDFLVSKSSESVLKRIWTELGNNENGVHYLIGVRGMGGVGKTTLVKQVVKKAEQEKLFDAVVFTIVSQNPNIDELQDDVAGQLGLEFDKKSGLHKAKQLWLRLKNEERVLIILDDIWSPIDLKAIGFPIGDDHAGYFDISIEDLTRYGIGLGLLPNDSSIDYARTSMRVNLQTLQNSALLLKGRKDNCVKMHDVVRDFGHWIMYKEQKVVLVRAGQGLKDWPRNVTFDCHMAISLMNNKIERLPCGLQCPKLETLLLSGDGSTKVSSEFFQAMKALRILMLEGVLLSLDGLHFMENLRTLRLQNCKLENVSSLGDLKKLEILDLRGSYIYELPIELKESTELRLLDLSGCGMLQRIPRDLLPKLLSLEELYMDYPSFDQWTADEENIAQGSNASLKELNRMRLNALTLCVRSNCLSEYDFPNLERYAIVVNRWQNDHYPTSKTLKIKDSSLDAFKNLLPKVEDLSLDSIAGYNNLVPKLDPRGLRELIFLELQDCKDMECLLDTTADEMPAPAFSKLIKLTMNNMVSFRRLCNGQPPKEFLQNSKELTIRNCMDMISAVPGVQNLRKVTITNCVGLKAVFQMEIITKKESKQLPLLSNLANLELESLPELWCIWKGVAHHVRLQSLKVVRVQHCDRLTSFFSPSLAQSLIELEELEILHCSELKQIIANYEEDDNEISANSLLESLCLPKLTTIRIIDCSKLEYVFPMSMAEGLPKLKSLCIIDSSQLQQIFSSEKGNDVKDIALSQLQSLVLQNLKKLKSFCPENCIVTLTSLVELKVYKCPQLTHFTGQLPTKAWGAQLKELYLFKVGHNSQLYNRIGSRLRQSSSDSEYLTIGNCQEIFQLQGGYLLSSLSTLHLEDLSELKVIWKGPMKVATLQNLKNLILINCKSLRYVFPPMLSKYLSNLSFLCIKDCEALEQIIYDDQSSTSSSNASLQPTSFPGLRKIWIIGCNKLKILFPISVAHRLFKLEEFKVEEASELEQIFGNEGETDLHKDEKEIVLPQLKRLFLRKLPCLTRFITECYHFIFPTLEYLEVKECSKITTSFLVDSEYSMHAQMKESSCLFNMRNRSQHCDAIVLGQKSSHFEHMSVDQNHKRTHQVQGGHNLSSLRVLRLETLPGLCIIWRDLVQQVTLQNLITLKVIGCKSLRHVFSPTIAKSLLHLKHLKIWGCDTLEQIIAADQISSSSSSEVHPQACFPELTKLQIGRCQNLKRLFAASCVAHLSKLRYLIVEEAFELEHLFVHESEASSQGGQEKKIVLPQLEVLFLGKLPSLLSCSPQGYHFIFQSLRSLTVEECRKIASTFSVDSNLYVHAITEASKLVEQGAMKYAIEIQDMEDTTNGSTRTSKDIYWYRWYQPNELPQYTEVVAE >OMO89745 pep supercontig:CCACVL1_1.0:contig08631:26350:27133:1 gene:CCACVL1_07663 transcript:OMO89745 gene_biotype:protein_coding transcript_biotype:protein_coding description:chaperone protein dnaJ 2 MEAKQSIIASSASCGEDRPPSLSLHSPSPLALCRKGGSGRGRRQRHGNDVLHPLNVSLRTFTLGHQRSFLFLALSWLLIYIDVTLSSSNDAISFQSS >OMO89746 pep supercontig:CCACVL1_1.0:contig08631:33005:35409:1 gene:CCACVL1_07664 transcript:OMO89746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLQYAGPASLVWGWVVVTFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPKWGPFSSWCCAWLETIGLIAGIGTQAYAGSQTLQSIILLCSGTNKDGGYFAPKWLFLCMYVALTIIWAVLNTFALEVIAFIDIISIWWQVIGGIVIVIMLPLVAMTTKSASYVFTNFDLGADSTGVSSKPYAVILSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIISIFGWAYILALTFSIQDFGYLYDPNNETAGAFVPAQILYDAFHGRCMLYQEIKGIPSHSIWRQVHPKRKVPSNAVWLCAAICILLGLPILKINVVFTAITSICTIGWVGGYAVPIFVKDVFHLPTYYPIAWDTFNYAPVALGIGLSLIMLWWVVDARKWFKGPVRNIEIQNGKV >OMO89743 pep supercontig:CCACVL1_1.0:contig08631:18707:19929:-1 gene:CCACVL1_07661 transcript:OMO89743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDCPEKARTGHNPNLEATVLAIKLGKVAPYRAEIEWYKTLCDEADDQMGYYDSFKLNAGRSIRESRVNMDRHRLAGFWNNVIHMLENNKLPHDFHRRSKWVNSSQSYKLLVEPLDIADYYRRGMHRERGHYLRHGREMRYEIFDKWWKDRSVPNKEYKMSKYASLTQDSCLWARLEEAREWLDSVRTERDVTNRNLLWLKIEEFERYANQMIENKEVSKDVLFKNSSFTQWMEDLKEFKSQVKQFPPLFPGFLDGEVVP >OMO89747 pep supercontig:CCACVL1_1.0:contig08631:35644:40479:-1 gene:CCACVL1_07665 transcript:OMO89747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MSETLATFLASTPLLEESWRLCSVANMTFPGSYVVEQIGNVAYVAFSGRQMDSGSDHNRENPVRLDAEDGGLFAHLYRHSETEEPIRVHHGMLKLFLSLHQSLQSQNDRRDENHEMIFVHKSVRKSILYMFPYDQWEKDSKKNIIAELMGKVKSVVITGHSIGGTTAALSALWLLCHLQQYSLSSAIMPVLCITFGSPLLGNEALHRAILRERWGGNFCHVVSKHDILPRLLFADVGPYANAPNSKIHALLNFWHFCMASPHLIVAGLSSQLAADVEDLFNCVLRDMELLAQSEESSPSESSFQPFGSYVFCCNEGAICLENSAAVIKMLYLMLATGFPSCSIDDHLKYGDYVGKISSQFLLARNLDDLHQYSSFEAGVALALQSSELDKKEVEIMAKDCLEKARTGHNPNLEAAGLAIKLGKAAPYRAEIEWYKARCDEADDQTGYYDSFKLNAGTSIRESRVNMNRHRLAGFWNSVIHMLENNKLPQDFHRRSKWVNASQSYKLLVEPLDIADYYRKGLHRERGHYLQHGRERRYEIFDKWWKDRSVPEKEYKRSKYASLTQDSCFRARLEEAREWLDSVRTERDVTNRNLLWLKIEEFERYANQMIENKEVSKDVLFKNSSFTQWMEDLKEFKSQVKQFPPLFPGFLDGEVVP >OMO89742 pep supercontig:CCACVL1_1.0:contig08631:9897:10157:1 gene:CCACVL1_07660 transcript:OMO89742 gene_biotype:protein_coding transcript_biotype:protein_coding description:periplasmic oligopeptide-binding protein MEGRKLTFLFAAIAVLLLVTVTTVDARSPFSDVQHPFHTVRKDYVSCWVGAYCDDSVGAYCCPELYCLYNVLSTGNCIEWPEFTNP >OMO89744 pep supercontig:CCACVL1_1.0:contig08631:22729:25969:1 gene:CCACVL1_07662 transcript:OMO89744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPIVEYESHTVGEIDSGDSMTETSVDIPAVENCDTATVNVNDSVIETVDEPTAAENRVEVRRSQREQKQLSILMVLKLICHPPLHHPSLLLHRLTQCTFVGRV >OMO69392 pep supercontig:CCACVL1_1.0:contig12048:4903:4983:1 gene:CCACVL1_19533 transcript:OMO69392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVTRGRNARQSIGYFGGFFGTETI >OMO69391 pep supercontig:CCACVL1_1.0:contig12048:2044:3372:1 gene:CCACVL1_19532 transcript:OMO69391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSQQRGDDGTVQVGTYFYTAPEIEQGWPKIDEKVRSR >OMP03751 pep supercontig:CCACVL1_1.0:contig05987:5621:5749:1 gene:CCACVL1_02276 transcript:OMP03751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RNFEEGNKRETDKRRVVEDLKKEGMELRKGRVRITQFTTKKER >OMO54787 pep supercontig:CCACVL1_1.0:contig14900:9247:10812:-1 gene:CCACVL1_27568 transcript:OMO54787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMAAHELQFPLDSESYKIIDEIGAGVSATVYKAECVPLNSTIVAIKAIDLDQTKADHFDNIRREAKTMSLLSHPNILNAHCSFTVDRRLWVVMPFMSGGSLQSIISSSFPDGLSEQCIAIVLKETLNALSYLHNQGHLHRDIKASNILMDSNGSVKLADFGVSASIYESGSGYGSGSSTSSSAAGLMLTDVTGTPYWMAPEVIHSHTGYSYKADIWSFGITALELAHGRPPLSHLPPSKSLIMKITKRFRFSDYENNSTTNSKKGRSKKFSKAFKDMVALCLDQDPAKRPSAEKLMKHSFFKNCKNSEFLVKNVLQGLPSVEERFRVSKIVKKAEVNDEDEVESGSDQNIVKHRRISGWNFNEDGFELEPVFPPNEPKDDHDDDDSVVKQVRFGGETIIPGESDVSGDSGGSNLNSPPGDYSPGGVKNEENVQGSVVNPETMVGGLMTLKKSLDDQRQKVSDLITLFGGEVMSREDQLVQLIERLRQELENERQKNFELQMELEFLKIQISGASTTDETD >OMO54788 pep supercontig:CCACVL1_1.0:contig14900:11829:20643:-1 gene:CCACVL1_27569 transcript:OMO54788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MSNSPYILVLDCDMYCNDPTSARQAMCCHLDPKISPSLAFVQFPQTFRNISKDDIYDSEIRYIFKIQWQGLDGLRGPVLSGTNFYIKREALLGNSSQDGNVDLMALKRSFGPSNEFIKTLRRDFKPSFFNDGKSSSMLLEEAKFLASCSYQDQTTWGTEANLLIQGSRWTSGITEVAISKFCPLIYGLRRMSLLQSLAYAELAFWPLLYSLSSWGFAIIPQLCLLNGIPLYPEVSDPYFRIFLFIFISSLAKNLYEILMSGGGIRTWNNERRIWMIKTVTSFSYGFLDSIMNKLGLGEASFLPTNKVADDEVVKRYEMGIFDFRAPTIFLVPLVTIILVNIASFVGGVVRVMFMDSFGDWKKMVGQISLSFYILMVNYAVIEGMVIRKDKASIPKSVTLLSVVFSVLIFQAYLWKPISRKTFPERLLQEKLPGIDVFICTADPKKEPPLEVMNTVLSAMALDYPTGKLSVYVSDDGGSALTLHAMKEAWIFAKSWLPFCKKFGVKTRCPKVYFSRYEDECLGQKDGYEEELEKIKQKYKSFEEHVKKAESDSEMLEETRCKSNSKNYPAHVEVIQDEISNTSTETNQAKMPLLVYVSREKSPTYPHHFKAGALNVLLRVSSIISNSPYILVLDCDMRCNDPTSALQAMCFHLDPKLSPNLAFVQFPQKFHNLSKKDIYDGQLRSTFSVRWPGMDGLQGPMLSGTGFYLNRKALFGDIVQEDIDTIQLKQHFGPSNELLKSLRQNNHQNSVSSYWFMIFSYIFVMSQLKHLEEVLSTGDPIRTWWNEQRIWMMKALIFYTIGSVNAMLKLFGLREANFVPTNKVADDEQVSFYQKGIFNFQASTIVLAPLVTLVTLNMISFAGGVARVIIKGNWNEMFGQIVLSFYILMAHYPIIEGMLLRKDNGRIPYSVILLSLALSTSFLCLGSLILVMS >OMO51044 pep supercontig:CCACVL1_1.0:contig15992:25243:25317:-1 gene:CCACVL1_30040 transcript:OMO51044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKDDQKEAPVVVINDYGALSST >OMO51041 pep supercontig:CCACVL1_1.0:contig15992:3232:12936:1 gene:CCACVL1_30037 transcript:OMO51041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin interacting component Nup93/Nic96 MASEQDMGSWTDLLHSSTKLLEQAAPSAQFPPLQRNLDQLEALSKKLKAKTLRNEAPSQSIAATRLLAREGINAEQLTRDLKSFELKTTFEDVFPAEATSVEEYLQQVHEMAMVSAIQEAQKDNLRSFNDYMMKVLEEDWQKEKRDFLQSLSRISTLPKTNMIDKSISGARSGQIVSMASSPQISSGTSGMELVPLANRPVVEKKASVYGEVVRNLNNARQKGLPFKPATAFKAAYESLGTESSGGKSVNMLKMWHLIQTLMGEDSTMQRSNSRKMSLVIGARRHLEWGHEKYIMDTIQSHPTQAALGGAVGNLHRVRAFLRIRLRDYGILDFDAGDARRQPPVDTTWQQIYFCLRTGYYEEARQISLSSRASHQFAPLLTEWINSGGMVPADIAAVAAEECEKMFRMGDRVGRATYDKKKLLLYAIVSGSRRQIDRLLRDIPSLFSTIEDFLWFILSAVRDLPGGTSSVIINEGLVPYSLDDLQAYLNKFEPSYYTKNGKDPLVYPYVLLLSIQLLPAISYLSKEAGDEGYNIDAAHIAIVLADYGVLSEGAGAGKKLGVMDAYAEASSIIRQYGSMYLRLGDLQLALEYYAQAAAAVGGGQVSWTGRGNADQQRQRSLMLKQLLTELLLRDGGIYLLLGSRGAGEEGELERFLTDHKARQQFLLEAARQCQDAGLYDKSIEIQKRVGAFSVALDTINKCLSDAICALSRGRLDGESRTAGLIHSGNEILETFKYYPEVSPQEREQVMEQETVLRQLEAILFIHKLTRQGQYLDALREVAKLPFLPLDPRGPDITPDVFQRLSPHVQACVPDLLKGALTCLENVPDTDGSLRAMRAKIATFLANNMHQNWPRDLYEKVARSL >OMO51042 pep supercontig:CCACVL1_1.0:contig15992:13883:14374:1 gene:CCACVL1_30038 transcript:OMO51042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQDISNWTDLLQQAAPSAQFPLLQGIKQWLC >OMO51043 pep supercontig:CCACVL1_1.0:contig15992:15481:18446:1 gene:CCACVL1_30039 transcript:OMO51043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEDWESCSDNEVYFQDTNKDDDEENYYSSAFLSKLQFRKDISKARWIDDLAMAEVVEKRGKMWVTMGISRSGKTYCSIEETLFLIEIGALHLLDEKGKCISLMEVYEKLSVEKSGCCWELFEVYKHLKSLGYVVGRHGIPWSVKSVKTISQTCSLQGCEEKKELLEMEPKDKSSIVELFASMQINEVNPAFDVYLPNSKFRKSSPGAPSFVLCLSRGNPPSRVEIEAIETKHGGIPLKFCHVENGRVSFFSFDKAELAVLP >OMO51045 pep supercontig:CCACVL1_1.0:contig15992:26531:26599:-1 gene:CCACVL1_30041 transcript:OMO51045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVAVLAEAQQKRPWPESKSMS >OMP11470 pep supercontig:CCACVL1_1.0:contig01266:3476:5002:-1 gene:CCACVL1_00503 transcript:OMP11470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MAMSTMIHNHLPTTLNAGANRVPVICAHQQFVHDHHPKAKLSNSGARLVESLSHLLHLHIEPPSRKNNVPHDQFPNWDLFIEEKHNTPTISPKEVISDKWRDLHGSMDWDNLLDPLHPWLRREIVKYGEFAQATYDSFDFDSFSEYCGSCHYNKNKLFEKLGLDKNGYKVTKYIYAMSHIEMPQWLQRSNVMETWSKDSNWMGYVAVSDDEETRRIGRRDIVVAWRHEWYEDFQRNLEPIGMGDSKVEHGFLSIYASKSESTRYNKSSASEQVMKEVRKLVKFYEGRGEQVSLTITGHSLGGALALLNAYEAAAIFPGLPVSVISFGAPRVGNSAFREELDELGVKTLRVVIKQDLVPRMPGIVFNESLEQFDEITGTLEWIYTHVGTELRLDVSSSPYLKRGFNPLGFHSLETYLHLIDGFLSTNSAFRPDSRRDIALVNKACDMLVDELRIPHFWYQLANKGLVCNQHGRWVKPKRDPEDIPSPIGEACNYALKIEGQESYQIKVV >OMP11471 pep supercontig:CCACVL1_1.0:contig01266:6450:8000:-1 gene:CCACVL1_00504 transcript:OMP11471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MAFSAMIHNHLPATVNAGINRISAINAHREVVFDHPKTNKASNNGARRLAESLSSLLNLHIETPLRKTTNLQQSNNWDNLFHEEKHNTPTTSPKESVSEKWRELHGSMDWNNLFDPLHPWLRREIVKYGEFAQATYDAFDFDSHSEYCGSCRYNRNRLFEKLGLTKNGYKVTKYIYAMSHIEMPQWLERSHLMDTWSKDSNWMGYVAVSDDEETRRIGRRDIVVAWRGTVVPSEWYEDFQRKLEPIGLGDSKVEHGFLSIYTSKDESTRYNKSSASDQVMKEVMKLVKFYEGKGEQVSLTITGHSLGGALALLNAYEAALHFPGLPVSVISFGAPRVGNCAFRDELDELGVKTLRVIVKQDLVPRMPGIVFNESLEKFDDITGTLEWVYTHVGAELRLDVNSSPYLKRGFNPLGFHSLETYLHLVDGYLSAETTFRSDARRDVNLVNKACDMLVDELRIPTCWYQLANKGLVCNEHGRWVKPRRDPEDIPSPIGSETHDHSLRLEMQQSYKMLYAS >OMP11472 pep supercontig:CCACVL1_1.0:contig01266:9821:9898:1 gene:CCACVL1_00505 transcript:OMP11472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGWMMDPVPPIKVMDSLTARPET >OMP11469 pep supercontig:CCACVL1_1.0:contig01266:359:3062:1 gene:CCACVL1_00502 transcript:OMP11469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRLAVGNPLSYVYVEVEPRKYRPSFKCIAKSSSSSEVAIEKWKREGVYIDRSGKLRTFHHKKLSRKRCGSLRGQGWKYGSGFVDGIFPVLSPIAQQILEFLQTEVDANKVWASLDNLSPTHNTWDDLINVAVQLRLTKKWDPIVMLCEWILHRSSFQLDVMCFNLLIDAYGQKSQYKKVESTYLELLEARCVPTEDTYALLIKAYCTGGMKLKAEAVFAEMRKYGLPPSANVYNAYIDGLMKGGNSQKAIEVFQRMKRDGCQLSTETYTLMINLYGKARRSYMALKLFDEMRVQKCKPNICTYTAMVNAFAREGLCQKAEEIFEQLQEAGHEPDVYAYNALMEAYSRAGYPYGAAEVFSLMQHMGYEPDRASFNIMVDAYGRAGLYEDAEGVFEEMKRLGITPTMKSHMLLLSAYSRVGNIAKCEDIVNQMHKSGLEPDTFVLNSMLNLYGRLGKFENMEKVLIEMEKGPYEADISTYNILINVYGRAGFIERVEELFQLLPAKNLTPDVVTWTSRIGAYSRKKLYTRCLEIFEEMVDAGCYPDGGTAKVLLSACSSEDQIEQVTTVIRTMHKDMKTLLPIE >OMP07024 pep supercontig:CCACVL1_1.0:contig04720:13:75:1 gene:CCACVL1_01394 transcript:OMP07024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSCQEAQAGKDNMGSGHAF >OMO79296 pep supercontig:CCACVL1_1.0:contig10449:4591:13516:1 gene:CCACVL1_13772 transcript:OMO79296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELILDLSADPPLTEVNHRSMAIEKIIAERTLNWGAVRAIIGNIWLEKTAPVIGEVVPNVYSLAFSSVMGFCFNVKVRPPELSATAVDLSDVASWVQIRNLPIEMFSMANELVAGGRNSDNSVVRGRTGEFFGIVVSFTWRRYLLLGMEAGNREKNCVDDTMSELPTLDEQNTAKVLVEIIDGSHKWALPPIRWGKMNIDGGFDMDAGSTVTYGILIIVIITKLLLLPSGLLAPLCSWKFHLFQRKAIIAIIQMEMCPSMGWDSHPPSPSCWIVDLQPLLFPYEGSLLVSVDSVWVLDGCKLTYPTRRSSGTVENPMDSLGPSRFDIFEIYRRFCELRNVYVCGEKGYRQDEESQRAKFSRDALNQLLKMVESRMRTRTVIFDELLKLMSQLDLMVDFSEFSRFYDFVFFVCRENGQKNITVSRAVAAWRLVLAGRFRLLNQWCEFVEKNQRHNISEDTWQQVLAFSRCVHENLEGYDPEGAWPVLIDDFVEHMYRISGSNTDSNIFCSCGDSSELQSCAYEDSLPGLRSFPGLKRKLPDCQDDRMETSDSFLSNSPDSNCTPNVKRNRLTAHKPASKEYNPPWTSTDDCMEVKHSSPMGSSKSPCAVEGCLSKGFAGLFSGRSYLQFGRERRVSYI >OMO79298 pep supercontig:CCACVL1_1.0:contig10449:21064:23052:-1 gene:CCACVL1_13774 transcript:OMO79298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34Ae MEDWSFCLHKDYTADDSSSFCMSSPSMFGEAYLNEYSPLFSSFSSPLMKDYSLPPASNSGQSEVIATARDEEIESEVFYKKYSERMGWFDVLNHDRTCGLGAILNKEVGIPSSLESIRVKDFSIPYISWNKVDKKQLLKSFQSDFELVYVGQSCLTWEALHHQYRKVKFLTFSNCLFPENVAVEFQNFQVWLERFLEEERYIYQGTRVWNYAQARFASKTKTLLQVPKVPGFLEEDKEGIGGETVHAKEVLKAIDKCMKTFGNFITADRKKPWWKFKTSLLWTYPPVEDPKDLELLAELTKKLQRKELWLKDLQGKQKCWFNKAVNRPSEESQKEAVFFTVIEMKLISRVLQMPILSSSQLNWCQEKLDNIVFRRGRLLRTASGPLFPPS >OMO79302 pep supercontig:CCACVL1_1.0:contig10449:32592:35196:1 gene:CCACVL1_13778 transcript:OMO79302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M41 MAPALSLSVSHLPVCKSKEFSNIPKGHVRENTTCPKIASDIKLSKRKLLSSTALGLIGGGLTITQPSKAEPEAPNESTSSRMSYSRFLQYLDEGAVRKVDLFENGTVAIAEIYNPALDKIQRVRIQLPGLPQDLLRKMKEKEVDFAAHPMEMNWSVALLDLLGNLAFPLLLLGSLLLRSSSPNTPGGPNLPFGLGRSKAKFQMEPNTGVTFNDVAGVDEAKQDFQEIVEFLKTPEKFAAVGAKIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKANSPCLVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFTGNTGVIVIAATNRPEILDSALLRPGRFDRQVTVGLPDIRGREEILKVHSNNKRLDNDVSISVIALRTPGFSGADLANLMNEAAILAGRRGKDKITMKEIDDSIDRIVAGMEGTKMTDGKSKILVAYHEVGHAVCASLTPGHDPVQKVTLIPRGQARGLTWFIPGEDPSLISKQQLFARIVGGLGGRAAEEIIFGESEITTGAAGDLQQVTQIARQMVTMFGMSEIGPWALTDPMAQSSDVVLRMLARNNMSEKLAEDIDSSVRKIIESAYEVAKNHIRNNRDAIDKLVEVLLEKETLTGDEFRAILSEFVDAPVLKVNRTPIREMINA >OMO79299 pep supercontig:CCACVL1_1.0:contig10449:26698:26769:-1 gene:CCACVL1_13775 transcript:OMO79299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAGSEKVNEKKVDKCKAANGE >OMO79297 pep supercontig:CCACVL1_1.0:contig10449:14350:19762:-1 gene:CCACVL1_13773 transcript:OMO79297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MASIGSSSSPPFIIEKKGESRRVFSFSTFRPIKNRSVGFLNGSTCGVSLFSDKRQLWRFKPMIVAASADGVGGGGDDSEDTLQATIEKSKKVLAMQRDLLQQIAERRKLVSSIKSSITDQDENEIFHEQKDISFPNVDPPSSSSDRMDEDKNGNILSSSRVNSIVKDVPEVLSSDEVGEEPKKHLPSEKTSSNIGPTKQLKTTNSEAPKSDVLPSYLSGSSDTAQVKVEDNENLTEAGLEEVNGAVDTPAVEDEKPPPPAGANAMNVILVAAECAPWCKTGGLGDVAGSLPKALARRGHRVMVVVPRYAEYAETQETGVRKRYKVAGQDMEVTYFQAYIDGVDFVFIESPIFRHLQSNIYGGNRQDILKRMVLFCKAAVEVPWHVPCGGVCYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMSFTRSVLIIHNIAHQGRGPVEDFYNVDLPEHYLDLFRLYDPVGGEHFNIFAAGLKTADRIVTVSHGYAWEVKTSEGGWGLHNIINESDWKLRGIVNGIDTKDWSPQYDVHLKSDGYTNYSLETLQTGKAQCKAALQKELGLPVREDVPLIGFIGRLDHQKGVDLIAEAMPWMMDQDVQLVMLGTGRPDLEEMLRHFESQHRDKVRGWVGFSVKTAHRITAGADILLMPSRFEPCGLNQLYAMSYGTIPVVHAVGGLRDTVQPFNPFEESGLGWTFDSADASKLIHALGNCLLTFREYKNSWEGLQRRGMMQDLSWDNAAQKYEEVLLAAKYQW >OMO79300 pep supercontig:CCACVL1_1.0:contig10449:27607:30083:1 gene:CCACVL1_13776 transcript:OMO79300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVAKKQQSEGNQSSVDQNAKEKQCPHFLNKMNDANFGNGGYKLNIPCGLIQGSAITIIGIPDGLLGSFRIDLTGEQIEGEPNPPIVLHYNVRLNGDKVTDEPVIVQNTWTAAHDWGEEERCPSTGQGNNKKVDDLKLCNDKVGKIDSRRSATNESSVISLWSSKEDNKTRSKQFFPFKPGYLSVMTLRVGEEGFQMTVDGKHITSFAYREGLEPWLVTEVKISGDIKLTSVLASGLPTSEDLEQINDLDALRVVPLPLDRKLDLFIGVFSTANNFKRRMAVRRAWMQYPAVKSGTVAVRFFVGLHKNQIVNEELWSEVKTYGDIQLMPFVDYYGLITWKTVAICIFGTQAVSAKYVMKTDDDAFVRVDEVSASLNKQNISHGLLYGLITYDSQPHRDPDSKWYISPEEYPGQTYPPWAHGPGYVVSNDIAKAVNTKHREGHLQMFKLEDVAMGIWISEMKREGLKVYYINEERIYTDGCKDGYAVAHYQSPGDMLCLWQKLQEERLAKCCS >OMO79301 pep supercontig:CCACVL1_1.0:contig10449:30888:31535:-1 gene:CCACVL1_13777 transcript:OMO79301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTEEAIGIKVYNATPPEGPTPSASVSRRTQEPGRRRKAVAKGVQKTISKTSMLVNFLPTGTLLTFEMVLPSIYHHGDCSPVNTMMIYVLLGLCALSCFFFHFTDSFRGPDDKVYYGFVTPKGLAVFKPGIEVETPKDDRYKVGFNDFVHAIMSVLVFVAIAFSDHRVTSCLFPGHVKEMDQVMESFPLMVGIVSSGLFLVFPTTRYGVGCLAA >OMO82091 pep supercontig:CCACVL1_1.0:contig10072:3048:3701:1 gene:CCACVL1_12077 transcript:OMO82091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSNMEPKLEVGSSSAQSQKRKAGRKKFQETRHPVYKGVRKRNGKWVSELREPSKQSRIWLGTFSSPRKAARADDAAALALKGDSASFNFPELASTLPRACSSSIRDIQLAAVEAAEAFEDSTVSKSTSSPSPSLSSSPSSSPPPPLPCLETSSDVNVEKGSEKLYLDEEEVFNMPGILDSMAEGLIVTPPAMQKGYYNWDDDDEDDSVEFSLWGD >OMO82092 pep supercontig:CCACVL1_1.0:contig10072:8185:9624:1 gene:CCACVL1_12079 transcript:OMO82092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPDNSYQNSREIGYSFKSFISRMRSTPTAEAGETNIDHVINISSCTNLDINEDRLASIHQKLSEPPRLLSMAAGKSSCCIFRVPDSLVDINGKAYHPRIVSIGPYHHGKSQLRMIEEHKWRYLGLLLKRMKQNKGLGLEDLLKAVQPLESKARECYSETISFSSDEFLEMMVLDCCFIIELFRKVGNLIPFEPDDPIISMLWILPFFYRDFLRLENQIPFFLLQCLFDLTKMPGDKSIPSLSTLALEFFNNAMLRPDDVISKFYSLKGQHLLDLVRSSFLPFDQIREPGEVPSPTRMIHSVSKLRRAGIKLKPAKADSFLVIKFKSGIIEMPPITIDDFMSSLLLNCVAFEQCHSSRTKHFTDYATLLDCLVNTYKDVEYLCESNIIENYFGTEGEVARFINDLGKDTAFDINNCYLASLFKDVHQYYRNSLHVQLASFKHTYFETPWSFISALAALILLLLTVAQTYYTIYSVYKP >OMO82093 pep supercontig:CCACVL1_1.0:contig10072:12936:13454:1 gene:CCACVL1_12080 transcript:OMO82093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFPQPTRPVQSYDDLAPIPTQINPASENVNMGNFYSSDHYSSIPEQEFHQFDIPEFSSTEETEEEEKKAIEDLIRVIFEWYEKLPESIRSQINLEKFNVSAPSDSMAEHGHNEQLEELKQKLERFKIRTMGINTTSRGVNGADDELRQKLERFKIRTVQLGEVAQEEVKR >OMO51343 pep supercontig:CCACVL1_1.0:contig15872:6255:10098:-1 gene:CCACVL1_29841 transcript:OMO51343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITSLSGAASDKEPELMLMEAGKRLVELIPFSVKP >OMO60632 pep supercontig:CCACVL1_1.0:contig13698:30784:30873:1 gene:CCACVL1_23995 transcript:OMO60632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPAQGLSRLLRQLVNLDSPAPGCTED >OMO80465 pep supercontig:CCACVL1_1.0:contig10320:26137:28612:-1 gene:CCACVL1_12947 transcript:OMO80465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein A MNPSDNSDHPQLPTIKIHHPSSPHHPTSNSSATPTAGARRKIGVAVDLSDESAYAVQWAVQNYIRPGDAVILLHVSPTSVLFGADWGPLPHTPQSPETPQTQKQLEDDFDAFTASKAADLARPLKESGFPFKIHIVKDHDMRERLCLEVERLGLSAVIMGSRGFGAEKRGSDGRLGSVSDYCVHHCVCPVVVVRYPEDKDGGSGEPVVTIKQAEVEEEGGKGTAQIGSMTGQHLMLSKFLVIAAFLSVEDCGMEGAVSRDYGLARSKGSHQNQWRCGGL >OMO80462 pep supercontig:CCACVL1_1.0:contig10320:70:7453:-1 gene:CCACVL1_12944 transcript:OMO80462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFELGFFRPGDSIHYYVGIWYKNIPVQKVVWLANRDYPVTDSAVLSISFDGNLVIRQGKMIYLVTDIPASSSNVTATLWDSGNFVLRDEKSTILWQSFDFPSHAFLPGMKLGYDSGNNKSWSYVSWKSVDDPSPGNFTLEVDPTGGKHVRVLNDDEVYWETGSWTNDTDLFNFTDDLRLSIYKFSFGIDYLTYELLKNDTISIFVIDVTGQFRLLEWSENQWIVYYSQPGQQCDVYAYCGSNSNCSNFALPFCSCLPGFEPTSPQPWNNSVYAEGCKRKNPLLCGNKTDIKGAGDEFSLLSKVVLPNKPVPREAKSIRECESSCLIDCECAGFSYIDHNCSIWNGDLFNLQQLSNDNIHGTDFYLKLAAAEFNTKKGTGNKKKEILIISLTISLTLLTSALILWQVKKRKSKRKGENLLSFEYSTVSPAAPTRNEQSQDKEVEIPVFSFSSVSAATNNFSVSNKLGEGGFGPVYKGKLLNGNEVAVKRLSRKSGQGWDELKNEAVLIAKLQHKNLVKLLGCCIEGDEKILVYEYLPNKSLDFFLFGTKNIFTLAWGTRVHIIEGIAQGLLYLHEFSRLQIIHRDLKASNILLDEDMNPKISDFGMARIFGGSGPRATNRIVGTYGYMAPEYALEGVFSVKSDVFSFGVLLLEILSGKKNTGFYQSNSLNLLGYAWDLWTSNEPLELMNTNMQDNSCANAAIRYINIALLCVQERAEDRPTMSDVVLMLSNELTILPSPAQPAFSSVRSLVHPNSRQSLKDGETLVSSGGLFELGFFSPGSNSKSRYVGILYKKVDSGTVVWVANRGSPVFDRSGILVFGDQGILTLLNGTGTVVWSSNTSRTVGNPVAQLLETGNLVVKDRNDDNPDKFLWQSFDYPCDNFLPGMKLGKNFVTGFESYISSWKSVDDPSPGQYSMRIDPTGFPQLLVRKGTEIVFRAGSWNGLYFSDRKPNNKPNPSFSYQFVYNKNEVYYKSELLNSSVISRYAINPSGLVQRFNWNERKQEWEIFSTAQADQCAVYGLCGAYASCNSDSSSDPCSCLEGFVPKSPTSGDWSDGCVRRTPLVCDNGGDSFLKYTRLQLPDTSKSWANKTMSLEECEEMCLANCSCTAYANLDMVSGTGCLLWFDQLIDMTEFTADGQELYIRLAKSEIDHIQRKMKSKEKKKVVIIAVSLTMVIITGMIAIMVLIYKRKTKLIKKGKHEEEMELPLFDFATISDATDKFSSDNKLGQGGFGHVYKGILEEGKEIAVKRLSKDSGQDKTRNKLLDWHKRMNIIDGIARGLLYLHHDSRLRIIHRDLKASNILLDNNMNPKISDFGLARKFGGDQTEDKTRRVVGTYGYMSPEYAFHGCFSMKSDVFSFGVLVLEIITGKRSRGYSNNGYNLFGHAWRLWIEERPRELIDNALGDSYIETEVLRFIHVALLCVQRRPEDRPNMSSVLLMLGGESKLPQPKQPGYYIVENNLPSDEYPSGSLNEFTITILDAR >OMO80464 pep supercontig:CCACVL1_1.0:contig10320:22456:23866:1 gene:CCACVL1_12946 transcript:OMO80464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MKKCELCEGLARMHCESDQANLCWDCDVKVHGANFLVAKHPRTLLCHVCQNPTPWLASGPNLSPAVSVCESCVGNNNKTNSNCEVAATPEESSEEEYDEEEEDYDDEDEDEEEEVEDGENQVVPWSGESSSSLSTLKPVASSDSFSSNEDGGRRFGLKRMRENHSFCSDDEIACSSSHVGSGGSTNGEASSMASSRLLKQPRLYENQDHGETESRSTAIISSLQRLQRHMITDDGDASATILRICRLSRDQSH >OMO80463 pep supercontig:CCACVL1_1.0:contig10320:17460:17801:1 gene:CCACVL1_12945 transcript:OMO80463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDIAVDFEDYFPSMVERLGAEGFIGELCNGFRLLMDGERGLITFESLKRNSIMLGLNDMKDDEIVCMLSEGDLDGDGALNQFEFCILMFRLSPGLMDYGSRQWVEEYDIVV >OMO80466 pep supercontig:CCACVL1_1.0:contig10320:31052:32647:-1 gene:CCACVL1_12948 transcript:OMO80466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant transcription factor NOZZLE MATPFTLLSPNTNNPASRSLEDDEAAKAGMEFVKSSKGKKASGKGQKQKKPPQRGMGVAQLERLRIQERWKKMTGTTVQFPPDPVKVKGKTSNIVPVLQGVGVANYAAAVNPMMINGGNGGLWGWGCSTDSTAGLVNIPRVNVGNGGFGGFNGGFQKMRYKGENVNFDGGFNKFGQVLPIKGSTEYVHGFNLENNQNIIKEEMNNNGFTPTPKVVRTAAAAYAGQNQIDINEAVEVSVIHRKGNTMGNGSVVMEYELFPGKSSRSTSSKEWERLPAQASVAVGDDHEASYVNPSNGVDLSLKLSYN >OMO66270 pep supercontig:CCACVL1_1.0:contig12563:9932:10036:-1 gene:CCACVL1_21233 transcript:OMO66270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVIMAPDAAAACNFGIFYIHNIVIIIGLLYLAKL >OMO66269 pep supercontig:CCACVL1_1.0:contig12563:3209:4808:1 gene:CCACVL1_21232 transcript:OMO66269 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MHYAADGFGFRRSEAYRAIENYLNSKSTEQASKLKANVFKDGKCIVRHMEDHEEVSDVFQGIKLSWSKVKSIPRAQVISLYASATEKRYYKLSFHKRYRDQITKNYLKFVLEKGKEIAAKNRQRKLYTNVSGQSYSSRSRKNMWSHVVFEHPASFDTLAMDPVKKKEIMDELVNFSQSKDYYARIGKAWKRGYLLYGPPGTGKSTMIAAIANFLSYDIYDLELTAVADNTDLRNLLIQTSSKSILVIEDIDSLDIASQRRKIGKKKEAKEKKTSAMEEEEDKSSLRTAKEEARNKAEEEE >OMO51749 pep supercontig:CCACVL1_1.0:contig15725:11195:20558:-1 gene:CCACVL1_29613 transcript:OMO51749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHQLFFFIFLSIFFTTTHGNNISLPSTCLVHDCGNGLTIRYPFWINDSNTSEQYYCGYPNFGLSCATNGEPTLTLPNDKYYVKNINYDNFTISLVDADVVNRTCPRARHNLTIGTLPLGHSELNLNLNFYFNCSSRPSNLSPIGCLGSYGALRSYVVSEDNEGGVDVSDLEHNCEEKVVATVMKREINIGELINGFGAAMNKGFVLDWRTMRDCGACEASQGFCGFNNTASKFLCFCDDGQIGEGNCTLVLLPIAYSHDDNQQFLECNKTFNCGLIQEIGYPFWSISRPRYCGHGALEFELICEDNQLPVINIQDQRFRVLNMTQPGIISIAPLDIWQNPCPQQFHNLSLIHHLFDFSTTIRNLSVFYGCPLLETDIPEENRFTCAAINGNDIYAYYLDESLLRMHSSDLVDCNTSIIVPVYQNELDELLSGNDTIDDAWNKGFDVMYHKDIIPCMACRNSGGVCGSDNTTLEFLCFCHDNPHPQFCQAPATETLSGVSHFESCMPRSCGNGPDISYPFWISEEQESFCGYPNLKITCKQENPVLTISEYRFIIKDIFYNNNSFLVVNASVDEDDCPTPRENVSLDGTPFSLSSDNVDLSFLYDCEELPDDYHTYPVSCASNDSFHSFAVFHMEGLENRNYSLESCQTIVDAPVYINDEVDFGSLLDMNYTEVLRMGFVLNWAAHNCSNCWASGGRCGPSDSNEFVCFCSDGSHTNNCNVVHVDAVGLIFAGKSGINLRAKLGIGFGAGFGGILLSCIAFYFWQRRRRGKAFTKSSYVTSKSSSTLSFMMDPEKGDSFAGIHVFTYNELEEATHSFDSSKELGDGGFGTVYYGKLRDGRAVAVKRLYENNYKRVEQFMNEVEILTRLRHKNLVSLYGCTSRHSRELLLVYEYVPNGTVADHLHGQHAKPGALPWCIRLQIAIETAEALRYLHASDTIHRDVKTNNILLDSNFCVKVADFGLSRLFPTDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVFSFGVVLIELISSKPAVDITRHRHEINLSNMAINKIQNRALHELVDPSLGFESDYKVRKMITGVAEVAFQCLQNEKDMRPTMAEVLEALMGIQNEDHNKSKAEEMDISADEVVLLKSGPLTNSPDSVMVKWVSNNSTIPSMSN >OMO51748 pep supercontig:CCACVL1_1.0:contig15725:3172:3621:-1 gene:CCACVL1_29612 transcript:OMO51748 gene_biotype:protein_coding transcript_biotype:protein_coding description:eukaryotic translation initiation factor 3 subunit A-like protein MIYPPLEKASKLAEILPGLGEVVDKEHKRLLARKSIIEKRMEEQERQLLEMEREEESKRLRLQKITEEAEQKRLAAEFEQRRAERIRQEIEERELEKTHALLEETGKRLRKGGKKKPVLEG >OMO68112 pep supercontig:CCACVL1_1.0:contig12282:13155:13814:1 gene:CCACVL1_20078 transcript:OMO68112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I3, Kunitz legume MSATALLLAFFLVFSTKSSLFGGVANAAPVLDIDGNEVLTGVEYYAVSIIRGAGGGGLALDEFGFGRCPLAVLQRTLDTDRGNPLTFWPVNNESVVSLSTDLNIEFVPAFSAWCRSSNTWRLDNYDPSSGKWWVTTGATKGEPGANTLTNWFRIENVSGNVYKFSFCPSVCESCISLCNDVGIYNDEDPELGKRRRLALSPQPWRFQLIKTSRVIKQVA >OMO89772 pep supercontig:CCACVL1_1.0:contig08620:14701:15086:-1 gene:CCACVL1_07641 transcript:OMO89772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNDGGYEIIKTAIEKLKLRHKEHISAYGEGNERRLTGKHETADINTFSWGVANRGASVRVGRDTEKDGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >OMO89770 pep supercontig:CCACVL1_1.0:contig08620:3273:6822:-1 gene:CCACVL1_07639 transcript:OMO89770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MAKENETIPPTITDFPAATKTGQSSNNAAAPLPISAPVTFVKPFPDISKIEVFDGNNFRRWMERVYSTLDMNGMVFALTDAKPTDDSLFDQWTHANKVCRHTIISTLSNELFDVYSPYKEAKQIWDSMITKYTAEDVGKQKFVIGNFYRWEMTDGKDIKGQINEYHKLVDDLKAENIELLEEFVAGILIEKLSESWNDYKQQLKHKQKQLSLTDLNVHIIVEATTRKEIQANKAKEITTKANLVQHQQPRYKNKKPDFKPKMTNPTFKKQNTCFVCGKPGHYAAQCRNRKMGNDRPARPRVNLVEADEPDDIIAAVISQANIMANLKEWIVDSGATRHICANRDAFTSYTTVGEGEETIYLGDSRAAQVQGKGKVLLKLTSGKTLALQDVLHVPNIRANLISVSLLGKVGVKVSFESDKIVMTKNNVLVGKGYCNQGLFVLNIVNVIMNGNASSSAYMVDSMELWHARLGHVSISYIKKMQSLGLISNIDEAFDMFLKYKAEVENQLNKKIKRVRSDRGGEYVLLNNYYEKEGIIHEVTPPYSPESNGVAERKNRTLKEMMNAMLVSSNAHDNLWGKALLSTCHVQNRIPYKKTSKTPYEIWKGYAPNLKNLKVWGCLAKELLPDIKKRKVGSKTSNCLFIGYAEHSAAYRFLVLKSDAIECNTIVETKNVEFFEQIFPLRTDTISHAPFHRDDLTVVNDDLRQSKRPRKESSFGNDFYTYVVDNDPVTFSEAISSKESCFWKNAIKSEIDSILQNQTWELVNLPPGAKSIGCKWIFKRKFNPNGSVDKYKARLVAKGFTQKENIDYFDTFAPVTRISSIRVLIALASIYKPVIHQMDVKTAFLNGDLEDEIYMMQQKVVLFRVKRTSLYVDDMLIFGTCIDVVNETKRFLTSKFDMKDMGEANVILGVKIIRCDGSLMLTQEHYVERLLKRFGHFDVKPMSTPYDTTTHLKKKSRRQCVSTKLCSDNWESDALDELHKTGYCICGYSDANWISDSDETKSTSGYVFTFGGGAIAWKSTK >OMO89771 pep supercontig:CCACVL1_1.0:contig08620:8169:14154:1 gene:CCACVL1_07640 transcript:OMO89771 gene_biotype:protein_coding transcript_biotype:protein_coding description:inosine-5'-monophosphate cyclohydrolase, archaeal-type MVQLEEDNDELTFSCLFTTATDSSSAAAAGILVESLDGEVASGEPIDSDDG >OMO88709 pep supercontig:CCACVL1_1.0:contig08961:16156:17319:-1 gene:CCACVL1_08245 transcript:OMO88709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MEDGEDQQMVKKVLKKEDMLVAETGDQPIPNNHSSLLIHQLGRDMSINCLLRCSRSDYGAIASLNKGFRSLIQSGELYRLRREMGIAEHWVYFSCNLMEWEAFDPIRQRWMHLPRMASSSNECFMCSDKESLAVGTQLLVFGKEITSHVIYRYSILTHTWSSGMKNMMNTPRCLFGSASLGEIAILAGGCDARGNILSSAELYNSETGLWETIPSMNKERKMCSGVFMDDHFYVIGGIGVANSNSKQLTCGEVYDLKTKTWREIPDMFPARNDRATAAADAPPLVAVVNNELYAADYAQKQVRKYHKDSNLWVTLGHLPERAVSMNGWGLAFRACGDRLIVIGGPRALGEGIIEVNAWVPDDAPPQWNLLATKASGSFVYNCAVMGC >OMO88710 pep supercontig:CCACVL1_1.0:contig08961:17789:18782:1 gene:CCACVL1_08246 transcript:OMO88710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKRESPFKNCGPSPQRSETANGRFCTCFNSNPRFEQ >OMO88708 pep supercontig:CCACVL1_1.0:contig08961:2102:3469:-1 gene:CCACVL1_08244 transcript:OMO88708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle CX2CX4HX4C MMTHMVGYAIGSSVGIVQDVDDSDGQFLRIRVESDVHQPIKKGTTVTGPTGDLEVTFTFEKSPDFCFVCGVLAHQQGDCPVAVKQIMEQGFSVHQFSPNLKAESLSPKPRMAEREAASKTVDHSHRLGEGGFQMGSKSPTTSFRSLRVDGNRVRREGKQPVQAMSEIGGSESAVPSRFKNHVDSLILRSRRVAREIETDERSCEIVYDFQGIGNQRDIRQLEDVEEAVNLGKGKAKITDAEAESTDRGEEPRRHNCVICAGMPDLNEIEDAEEGEEVVDSPVLVAAKKKQIHGPLIEDELGPFIPGVGSSKGTVSEPTKLRKWKKIARVSDKYAFDSLCQSSDMQLGVKMRGVGPTPMETDGGSMAKRSKDRDEDYLPLTVEENEAAKDSEDDEELVVEIAK >OMO92332 pep supercontig:CCACVL1_1.0:contig08234:17602:18363:-1 gene:CCACVL1_06876 transcript:OMO92332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLANGNSDQVTNNGDVKKPFKIFVGYDPREDLAYEVCRHSILKRSSIPVEITPIVQSDLRKQGLYWRERNRLESTEFSFTRFLTPYLANYDGWAMFVDCDFLYLADIKELTELIDDKYAIMCVHHDYSPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNKALTPEVVNTQTGAFLHRFQWLEDDEIGSVPFVWNFLEGHNKVVESDSTMFPKAIHYTRGGPWFEAWKNCEFADLWISEMQCMEKKKLSTS >OMO92335 pep supercontig:CCACVL1_1.0:contig08234:56023:60011:-1 gene:CCACVL1_06880 transcript:OMO92335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AMENVRRSSPPIKRIYYTFKG >OMO92334 pep supercontig:CCACVL1_1.0:contig08234:43186:53251:-1 gene:CCACVL1_06879 transcript:OMO92334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRFMTSPISVVKVFGMKCGPDPSEGTIQTTTLLSGPDTLEGTEADTEQLVGGMLMMRY >OMO92333 pep supercontig:CCACVL1_1.0:contig08234:32314:37308:-1 gene:CCACVL1_06878 transcript:OMO92333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex, subunit 3 MAAPGNDAPTTPSIDDAITDNNLQPCFVLQKGSLRKSERNLSGAVKTRRKIDLSSASPKASEDVEDERKEENMKLRMEAFEIVWSKVESTIKDVLRDINTSVFSEIQTWVHESFNTIKSLGTPDFPEATQSFPIVRDANSKKLFTGLFFTKNMEFVDDLLTFEELGKHLKSQGCHVANLSSLDFTTKNGIGGCLRSLLRQFLMSTLDVTDMSILASWYGEQNYANPVVVVIDDIERCSGSVLSDFILMLSEWVVKIPVIFIMGVATTLDTMRKILTSNALQHLCPYEFTLGIPAERMDAIVKAVLLKPCSGFTIGHKVTVFMRNYFVSQDGTLTSFIRALKIACSLHFYMEPLSVILRECVLEEDNWELGIEKNGLSPELMLKYAFDLPSCQSDEMTEQTSESLAQAFSELKRLRNEWRAVVLCLHEAGKGEKVRLLDLYCEASDPESYNKRKAELDKDPDVPPSGPILIKGHAISEAIRLVRDLSTAQLGKLISIWESLTTGTEVYHRVFALKLLLKMEDGKSSKKDFTVTPK >OMO66102 pep supercontig:CCACVL1_1.0:contig12585:11357:12142:-1 gene:CCACVL1_21296 transcript:OMO66102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILGQQRVSPLSLSFMRFPSDM >OMO99748 pep supercontig:CCACVL1_1.0:contig06818:44875:48727:-1 gene:CCACVL1_03651 transcript:OMO99748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSETGVMTTREPYSLGMQQKSPVNSQPMLQSMRLGFSADGTAMYKPVTASSPTYQPQSSGGGGAEGSTGGAPGSQGQVQVQALNMNMNMNMNMGSSEPLKRKRGRPRKYGPEGAMPLALVPTPSPVSVSQSNGGGFSPTLPGGGAADGGGPPPPPSQSGGQSPTSIKKARGRPPGSTKKHQLEALGSPGVGFTPHVITVKAGEDISSKIMSFSQHGPRAVCILSANGAISNVTLRQPATSGGTVTYEGRFEILSLSGSFLLSENCGQRSRTGGLSVSLSGPDGRVLGGGVAGLLMAASPVQVVVGSFMADGRKEPKSAYQTEPLSALSKHGQGGGVPTGAVSPPSRGTLSESSGGPGSPLNQSTGACNNNNPQGMSNLPWK >OMO99747 pep supercontig:CCACVL1_1.0:contig06818:41557:44160:1 gene:CCACVL1_03650 transcript:OMO99747 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase MHLSENEGIEGNTFVVTGGLGFVGSALCLELLRRGARQVRSFDLRSHSPWSQLLADRGVRCIQGDLVLKKDVENALRGADCVFHLASYGMSGKEMLQFSRVDKVNIDGTLHVLEACLEFGIRRLVYVSTYNVVFGGKEIVNGNEALPYFPIDDHVDPYGRSKSIAEQLVLKYNGRPFKKNIGKCLYTCAVRPAAIYGPGEERHLPRIVSLAKLGLVPFKIGDANVKTDWVYVDNLVLALLLASMGLLDDIPGKGRPVAAGQPYFISDGSPINTFDFIQTFLRSLDYDLPKSWLAVSHALILAKIFWTVYTMLYPFLNRWWLPQPFILPAEVHKVGVTHYFSILKAQHELGYVPMVSPREGMAATISYWQDRKRKSLDGPTIYTWGAVMIGMIVLFACGWFPGPVPLRSLALFLYRSIFGIRLAFCLAMAAHIVEAILAWRLAKRVDPVNARGWFWQTFALGYFSFRYLLKRAK >OMO99749 pep supercontig:CCACVL1_1.0:contig06818:61759:63531:1 gene:CCACVL1_03652 transcript:OMO99749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFDCGGSRNRNLDPRPDQSNSRLGP >OMO99746 pep supercontig:CCACVL1_1.0:contig06818:37160:37521:1 gene:CCACVL1_03649 transcript:OMO99746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVELKVEMVGLHEKRLRKCLSKLKGIEKVEVDGNSDKVIVTGYAHRNKILKAIRRGGLKAEFWSAHNEFLNAYAVATASNYGAFRFNNFNFF >OMO83713 pep supercontig:CCACVL1_1.0:contig09862:29212:30981:1 gene:CCACVL1_11259 transcript:OMO83713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERQAKRNRKTLPSAAPASIPTVSDADHRVYDPVQVPDRPKVISEKVRFEEVKSELLPDEKIPDSLKEVFRYPGYPKVIALYNSDPNRCEFHKERTGWWIQIKNLPEDDLQMIVHLRCDCGAEGCVRWYNDGFARWSQEKLVSIINQNVTYYGTRCQCGSRVSYCLIDRRLAKRKRVLIQMGKTLPSADRNSTDADDHPGFNFTASDLALFKHIYHVNLILLLEGKLDASQYDKKDRNKPKFNRNAVFNDGDYPQVIPLNKHAPDCFEFEKKRIGWWIQKTELPEDDLRMVVHLDCECGAKGCVCWYNDGGFAWSQDELRAVISRKFAYFGTLCFCGSHSSWCAIRREPVTANEQMEAEIEAETETETEGEETETEGETETETEGEEIIEAETETEGIQTPKILPSSLGGHPAFNFTASDIGLFQKFYFYNLMLLFEGVIHRSRYNKIVDPDCHILTKYFIEPHPNPNAVFHYGDYPKVITLRRLALDRSEFHTETYGWWIDKEEDIDLRLREDDLKMVVQMVCCCGAEGRVNWYKDGRCSWRKDQISAVLSRKVAYFATSCMCGSTVPHCGIQLDKSIDEFLVAGGS >OMO83715 pep supercontig:CCACVL1_1.0:contig09862:36410:37688:-1 gene:CCACVL1_11261 transcript:OMO83715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPPPRPQPRAMDPRLQTESVIGGVSSADKITLGDIARERVDVISEKMHRLPDEYLDHLKIQLRAILESHNRDEFMILHNFVQTRSDLTAQTLIKAHQAQLEILVAINMGIQAFLHPTISLNLSRDCLIEIFVCKRCRNIACQSQLHCTCQICANRVGFCNLCTCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQICPSTEMLYFRCGSCNGTSGLLGWVKDVFQYSAPAWDRDALSLILHHHHYQAFGNGTGRLLMAPQEACHRVAEVAREVLRKIEMVGNEKMRMVKNARLSLDACEREVEDKAKQLEELKLERQKQLQELDRIVRLKQQEVDIFQLKADAAKRQAERLQRFALAKSADQSQQHYATTYNSFE >OMO83708 pep supercontig:CCACVL1_1.0:contig09862:1616:4792:1 gene:CCACVL1_11254 transcript:OMO83708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGHCFVEWKEEFVSQERGNRVVHYFLKDSSGDSIRAVIGTERSVRHMFYVVAEEFVRVYGAEHSIHAGFKWRSRREVVDWLTSMLSKQHLQGDRSKSPKHDVLLALASTDCAMNEISARRARGHLSRNLNGHSSDIVWSGTAWMCGKQLKHFPAFCRNGTTIAVQSFVFVMAKGENHYLAYLEDMYEDKRGQKKVKVRWFHHTKEVKGVIPVRNPHPKEVFITPYSQVISAECVDGLAGVLTREHFEKCSAVFPDALLARVHVCSRQFRSNKVKPFDLSKLRGYFNQPILSCLNSSVFSEPDSMNHGLNGEEEEELSPSEYVKVGNKRTRTNRNSQRSVIDHSGNRISKNKLTAYETSYKRIKYALSGKRLLSRKHVECQPWYGSVFKVDEKIELLCQDSGIRGCWFRCTVLQVSRKHMKVQYDDVQDEEGYGNLEEWIPIFKLAMPDKLGMRYSGRQTIRPTPASSQTSLTLEVGTAVDAWWSDGWWEGVITGVNNSSVDDMQVYFPGENLFLNIPKKDLRISRDWDGDHWIDIEARPDILSGISAAVSPDMDMKVSMSSTVVIDAKLDGSTVPIEVVAAKTKVDVVEGEKPELAMQDSSNNLIEDTGGKELQSSKDEKDEDGSDGKKPPSENDDKTSANANADADAVNTIDDKPENVDGNDENKTITNSNDNKEGKLETENDAEQNGRTTEPVEVTT >OMO83710 pep supercontig:CCACVL1_1.0:contig09862:10844:12464:1 gene:CCACVL1_11256 transcript:OMO83710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S10 MAISSISSTLIPSLTIPNSPSPKPKFSFLSHSSPLSFNPLKLSHSTLKLSHSPTKVFAAPEALEQTPEVGGSEVPSSSSVSAETDKAAPKQKIRIKLRSYWVPLIEDSCKQIMDAARNTNAKTMGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >OMO83714 pep supercontig:CCACVL1_1.0:contig09862:32665:35037:1 gene:CCACVL1_11260 transcript:OMO83714 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSGAKEDFSVKEINPKIGGRISGGNQNLTSSYDLVEQVQFLFVRIVKARDLPLHPYSGLCDPYVEVSIGNYLGTTLYLDRKPGLEWNQVFALTRDRMQSISVKLSVREKQLMIHENLIGEVTVNLPDIHVGMTPDSAFAPQWYPVQPDKKGVVAGTGELMVAVWFGTQADEAFPDAWHFDTSTVSRESLLNTRSKVYLSPTLWYLRVHIIQAQDLVPGTRNRKPEVYVKVNLGDVTLRTKVSSNKSVDPKWNEELMFVTEEPFIHPLVFSVEDRLGNDMEECLGKCKINLSQVEQRMEPKPAGIKWYNLEKVLLGDDGLNKEVPFSSKLNMKISLDGGYHVFDEPIHYSSDYRSTLKKGWKPMIGVLELGIIGASGLQPMKLRNGYETTDAYCVTKYGPKWIKTRTVTNTFSPKWNEQYTWEVYDPYTVLTIGVFDDSHLQGGQVLGSGKDPSIGKLSLLDSATADELDEELDSFPSSREADVLGMRYDRLRNIAGRVMCTMGDLANQADKVHSLLNWHDRRITSMFLISCLLASIFLFFLVRHAPSHRVIQNFLTFSVTVYVVSKYPSIRVDIPTVLLNVLSRLPTQADYML >OMO83709 pep supercontig:CCACVL1_1.0:contig09862:7726:9423:-1 gene:CCACVL1_11255 transcript:OMO83709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MEQSCILLSVFVMVYVVGFCDGVIRVRLIQNEMFNGNKTDFISWDDMEVDENKLVERLSSRVDCNQSRVIVVDQNGGGDSLTVQGAIDMVPFGNKQRVKIYILPGIYREKVIVPERKPYISFVGNENEMSNTIISWDDKASDVDCDGRDLGTYRSASVTILSDYFCATEITFENTIVAAPGGAGMQAVALRIVGDKAMFYRVKVVGSQDTLLDETGSHYFYQSHIQGSVDFIFGRSRSLYQDCVIQSTAMNFGAVAAHHRNFPDENTGFSFVNCIINGTGKVLLGRAWGNYSRVIYSNCYFDDIIIPKGWSDWNNPSRQKTVVFGEFECRGNGANTTMRVPWSKKFSYEEAKPFMDLKFIDGEEWLRL >OMO83711 pep supercontig:CCACVL1_1.0:contig09862:21287:22315:1 gene:CCACVL1_11257 transcript:OMO83711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACIDTSRTTEPSQISQRPNRSQPDENHYKFANFCRPTFPDHVSTIPFNKNHTKNIHTRKLVDFSFEEEEEADAFWLNMKEEARASEVFAVDIHPGAKIGSGILFDHATGVVVGETAVIGNNVSILHNVTLGGTGKASGDRHPKIGNGVLIGAGTCILGNIKIGDGAKIGAGSVVLKEVPPRTTAVGNPARLVGGRDNPVKLDKIPSFTMDHTSHISEWSDYVI >OMO83712 pep supercontig:CCACVL1_1.0:contig09862:23286:24344:-1 gene:CCACVL1_11258 transcript:OMO83712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHVERIKVVGEGAYGKEKEILDKFKGNPHIVQCYDCDTTTNYETNATSLFLEFANGGSLRDLMKYYGHGQTKPKQIPEQHVKCYVEMILKGLVEIHSRGYVHSDLKPDNILVFHREDCNGLPVLKIADFGSSSEVSTGVKGIKGTLAYMSPESIHGNISGAWDVWSLGCIIIEMITGRKAWNKYRGRGQGDLDLRNELLRGEIPDIPENMSSLGKDFLMKCFIRDPDKRGTARMLLQHPFLQSETIVLPHKFGFLMSSTTKLADNNEEKSTDVGTIFYSSITTFGSTNCKAVKKRMRCWYHQLKKILNIVISSRQDIGR >OMO62679 pep supercontig:CCACVL1_1.0:contig13216:26627:31477:-1 gene:CCACVL1_22693 transcript:OMO62679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMNNWLAFSLSPQQLPSQSQPSSVDHHHQDHQNGAVSRLGFNSNELSGECFDLTSADSSLPSLNLPPPFGILEAFNRNNQSQDWNMKGLGMNSDGNYKTSSELSMLMGSSCNSSNTQNNQQQQQPKLENLLGNHSFSNSNNKVVHTLYPGPGPGPGEYMFPNSSNLQLPSHPEDSTSNEGDDNNNNINTGNGSTSSIGLSMIKTWLRNQPAPTTTTTTAAAAAQPAEPKNNGGGGSQSLSLSMSTGSQTGPPLPLLTTSTGGGGGSGGETSSSDNNKQQQNTLSGLDTQSGANAIEAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGTTTTTNFPISNYEKELEEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESSTLPIGGAAKRLKEVEQAEMAIDGGGARADDEEMSDHGININYHGHGWPTIAFQQQPFNQYPNYGQGQRVWCKQEQEQDFRQDLHNLQLGGSTHQYNFFQPTSVLHNLMAMDSVSSSMEHSSASNSVNIYSNANNQGGVPVPVPVPVPVGYGIGNNNINGGYNVNVIPLISTVVASDNSNQNQGSNNCFGDNDIKAIGNYENMYGSAHDPNYLSRNSYYVSQGGGGGVKASNNTYDQPSPCINNWVPTAVPTIAQRSSNMGVCHGAPTFTVWSDT >OMO71098 pep supercontig:CCACVL1_1.0:contig11727:16641:16856:1 gene:CCACVL1_18443 transcript:OMO71098 gene_biotype:protein_coding transcript_biotype:protein_coding description:[2Fe-2S]-binding protein MASTLFKKDSLVSMLPNVAFVLLEYVFLFSTLVNADKKTNRPPEPRPGFSKLTVFEAEKAIAGNLCRCTGY >OMO71099 pep supercontig:CCACVL1_1.0:contig11727:18982:21986:1 gene:CCACVL1_18444 transcript:OMO71099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde oxidase/xanthine dehydrogenase, a/b hammerhead MKIVVGNTGMGYYKELEDYDKYIDLRNIPELSIIRKDLTGIETGAAVTISKAIEEGNEAFLALVSHCKNPVERMLWDCRLAFDAYGTKHSIRVREVEEFLYGKLLSIVVLSYQDLIENQEHDQLSQLKCPTLLSSSKQLIQFNNEYKPVGEPITKIGGVLQASGEAIYVDDIPSPNVGQRIAFGVADTQKRAGAAANLAVIDYDKDNLEPPILPIEEAIERSSFYTVPPFLYPEQVGDFSKGMAEADHRILSAGVATACALAAYKLHRPVRMYLDRKTDMIMAGGRHPMKITYGVGFKANGKITALKLDILIDAGMFVDASYIAEVVIEHVAPTLGMDVDAIRNVNLHTHKSLDFFFSSVAGEPLEYTLPLIWDKLATSSSFYNTIEMIKEFNQCHTWQKRGISRVPIVHEVVMRSTPRKVSILRDGSIVVEVGGIEMGQGLWTKVKQMTAYGLSLVKYGGVEQLLEKVRVIEADSLSMIQGGFIRGKL >OMO71097 pep supercontig:CCACVL1_1.0:contig11727:3591:3656:1 gene:CCACVL1_18442 transcript:OMO71097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFNQWPSDSPMSVTRAEEA >OMO61043 pep supercontig:CCACVL1_1.0:contig13610:12624:14158:-1 gene:CCACVL1_23765 transcript:OMO61043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MADLVSDQDKQISFLKTCINGMNALSGIGILSIPYALSSGGWLSLAILLLIAAAACFTALLISRCMDANPESRSYIDIAGQAFGRKGRVIASVFICLELFFVATGLLIMEGDNLTKLSPGLAILPSMWLNDLSALSYVSAGGVLCSLVILVCVFCVGVTKEVGFHGKGRLINLNGIPTAINLYTFCYGAHAVFPPIYSSMKKKSQFSKVLLTSFIIYTITYMSMAIVGYLIYGQNVQSQVTLNLPTEKVSSKIAIFTVLAAPIAKYALTVMPIATAIASRLPANYRGRKAINIVIRMSILISTVVLAVVFPSFQSVMSLCGAVLVVFVSFLFPCLCYLKTFEIYKKWGIELVGIVIIMLLALAVGSVGTYSSIAQTVKQI >OMO61042 pep supercontig:CCACVL1_1.0:contig13610:10189:11855:1 gene:CCACVL1_23764 transcript:OMO61042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MDYYKPVLAMIGLQFIYAAVALYTRVAFLKGMSTRVFVVYRQGIATLVFAPMAFASRRKNPARCSLGLKGFAWILLASLLGVTANQNAYFEGLYLSSSTIASAMTNLIPAVTFVITAILGMEKVNIRSLTSLAKIFGTLICVGGALTMTLLKGPKLLNSQLLLPTKSLLNLTPGADTWLLGCLLLLASCFFWSLWMVLQVPISANCPDHLYSSTWMCFMATLESATVALLTEKDPQAWILSSYLELSCCLFTGVALAVSFFVQAWCIPKRGPLFCAMFNPLCTVIVAIYAAIFQHEETYTGSLVGASAVIIGLYVVLWGKAKDIEEIKQETDPKLDQKKIVQVIIDESSEKTCKIDLEEPLLSDKSTKDDH >OMO83916 pep supercontig:CCACVL1_1.0:contig09828:1224:1409:1 gene:CCACVL1_11088 transcript:OMO83916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KYLFDERFILEDEAAAAVHKSIAMVARWARAIITPLIVYACLAFLVAATITSTAAHIFRWI >OMO73925 pep supercontig:CCACVL1_1.0:contig11172:22363:22443:1 gene:CCACVL1_17078 transcript:OMO73925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREPWKRLFPLLMAKPTEFGRTQSA >OMO73920 pep supercontig:CCACVL1_1.0:contig11172:2728:8327:1 gene:CCACVL1_17073 transcript:OMO73920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVAALSQPPTPSQPPVQESNTHQEENPTSTTTKRPPLKDNGSTVTRKPRGRQVPSRYMSPSPSSTSSSSSSSAAATTTAAAKTTTRFPSPLISRSTNTTATANKTTTTARFPSPLISRSTNATSTSKPTPTMLPKRSQSVDRRRPGSQVSQGNNVNTTELSAASKMLITSTRSLSVSFQGEAFSLPISKTKAQVGSTLTRKATPERRKATPVRDHGENSKPADQHRWPARARPGNLGSNPLSRSLDYSGERNMFGSGAMLTKSLQQSMILDDSSRRVSFDGGSRLSLDLGNSAELLKEANKQNPDANSVNEASCASCDFTASDTDSVSSGSTNSGMQDGGSGILKGRSLPRNIVVSARFWQETNSRLRRLQDPGSPLSSSHSPGSRIGASAKFSQSKRFSSDGAVNSPRTMASPIRGSTRPASPGKLWTSTASSPMRGLSPARVRNAVGSQMNGNTVNSPSILSFSVDIRRGKMGEDRILDAHMLRLLYNRYLQWRFANARADATFMLQKLSAEKNLWNAWVTTSELRHSVTLKRIKLLLLRQKLKLTSILKGQIAHLEEWALLDRDHSSSLLGATEALKASTLRLPVVGKAVADIQNLKDAVSSAVDVMQAMASSICSLTSKVEETNSVVAELVSVTAKERVLLEECKDFLSALAAIQVKDCSLRTHIIQLNRVPTTSSLTTRV >OMO73923 pep supercontig:CCACVL1_1.0:contig11172:13660:13758:1 gene:CCACVL1_17076 transcript:OMO73923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRLRVSAEEEDWRGTRQAGRAVGFSFVDV >OMO73922 pep supercontig:CCACVL1_1.0:contig11172:12608:13367:-1 gene:CCACVL1_17075 transcript:OMO73922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSENCMLRQSLQCIDNPQAQANATVFVAKFFGRAGLMSFLSSVAQPQRPAVGRAINPVSGAVGLLWTGNWNVCQSAVQTVLRGGTLQPLPEFSGGVSGSDLEDVAETVGGNLGQCFRTREEDFVKRKGFCDVVKCEPLPNDLDLCLMIGDSNRAAKRRREDTPSEESETTTLGSRSNSGDGGSSKDGERQLLRLFI >OMO73924 pep supercontig:CCACVL1_1.0:contig11172:17859:21987:-1 gene:CCACVL1_17077 transcript:OMO73924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDTFQSDGNDVRYVASELATDITVIVGDVKFYLHKFPLLSKSACLQKLVAISNEEKSDEIQISDIPGGPAAFEICAKFCYGMTVTLNAYNVVSTRCAAEYLGMHETIEKGNLIYKVDVFLNSSIFRSWKDSIIVLQTTKTLLPLSEELKIVSLCIDAIATKACIDVSNVDWSYTYNRRKLPEENGNDPNYNGVRSRVVPKDWWVEDLCELEIDLYKRVIMNIKSKGILSHEVIGEALKAYSYRRLPGFSKGVIHSGDVVKYRSTVDTIVWLLPAEKGSVSCSFLLKLLKAAIIADSGEMAREQLVRRIGQQLEEASVNDLLIRAAEGEDMIYDVDTVQKIVKEFLMQDQNAEIESEEIEVQEIRRPGILTDASKLMVAKLIDAYLAEIAKDPNLPLVKFVDLAEMVSCIPRPAHDGLYRAIDMYLKEHPGISKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRAAASSGSSTPDLPKGLRELNSGSHGSARSAATNPEEDWDAVATAEELKALKGELAALRLSHGVAGNERNGGDSRNSNVDKAAISKMKGLLKSKRIFSKIWSSKGAQGENSGSDSSESLGSANPEEAKSTPSRNRRHSVS >OMO73921 pep supercontig:CCACVL1_1.0:contig11172:10419:11736:-1 gene:CCACVL1_17074 transcript:OMO73921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLAGAAAAASALQMPRIKACMEKLNGLFVPIDGHAG >OMO73927 pep supercontig:CCACVL1_1.0:contig11172:36051:36842:-1 gene:CCACVL1_17080 transcript:OMO73927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MALEALNSPTTATPFSNKYEDIDSNYLESWKKGKRSKRPRSDSPPTEEEYLALCLIMLARGSTNASSSSAVNGSDQSDHKAAAAPPAPPALKLSYKCSVCNKAFPSYQALGGHKASHRKPSTDAAATATTGDNNPSTTSTTTNSGVSGSGRTHECSICHKTFPTGQALGGHKRCHYEGGNNNSNKSGSASVSVSGVTLSDGGALSQSHRVDFDFDLNLPALPDFCGENKDGRLSQIYAEEEVESPLPTKKPRFLITKEEKLLD >OMO73926 pep supercontig:CCACVL1_1.0:contig11172:23167:27522:-1 gene:CCACVL1_17079 transcript:OMO73926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGQTSYNGGVNFLGEGV >OMP12075 pep supercontig:CCACVL1_1.0:contig00468:2364:2561:1 gene:CCACVL1_00141 transcript:OMP12075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQLCMRTLLHTVNIIAIALALLLLIMSIMTPLGALAKGPMPPIGCDPQPRRHLLKLPCPRPPP >OMP11858 pep supercontig:CCACVL1_1.0:contig00763:191:256:1 gene:CCACVL1_00234 transcript:OMP11858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGAEFGICQSTLQSLPLHRWY >OMO84701 pep supercontig:CCACVL1_1.0:contig09717:34567:34677:-1 gene:CCACVL1_10699 transcript:OMO84701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQERSPEVTLFHLVEAMPSYMAQAQGSQRPTGYRV >OMO89327 pep supercontig:CCACVL1_1.0:contig08774:6198:9216:-1 gene:CCACVL1_07913 transcript:OMO89327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTSYCMPAAAMVSATFPDHGVFRYDHHDHKDLRRWRIRSTAATSASASGYPLFSSQIQLTKDSSSRHKFYQEVLKTARDKFTREISFQSKDKDISLAKALLHVAAEDEAFMAFNREMDALSLLNERRNVSSTSDAKDWDSVERMPLGGKTISEWLSELDAISKEVEAELVSRDIGCHLVEVLEAVNVVLFELRGFKRSPVLVDSKHSYLHSVLSSGCGSAILLSIIYIEVCRRLGLTIVGSRVGEDFLIWPQTGYPEELFKVTSGHSLFAIVNGRCVEDPRSMASDLTGTSLLGLEIATNRDIIGIALANLIRLHWKRASRSNHGLMLTSPLRHVHNADEKPNTTDKSNFPLLRPQSLRLAIMASERLLILQPHNWALRRDHGMMLYYNREFGKAVQELSICMAFAPEEEAEILEPFVEKLHLMRLESSWKSLGHAGRLAVP >OMO89328 pep supercontig:CCACVL1_1.0:contig08774:35201:37938:1 gene:CCACVL1_07914 transcript:OMO89328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPAKLRRCSSSDPELSGTRLKAESFIVGRRQWSGSSASSNRPRKSSYWTSWSNINKLGDYISLGGKGGKDSCSCNNNSKHSDLTEESLVAHNKLHGVLSHKAHISNYIIGTGSDRNSSPYYRGLIDLTLSISKERNMSMSDSPALPPPPPPPPESHVSTISYAPSSISSFLNKVQEYGSSCFTCTIKPAGNNNKDPSPKTKPVASNSSTSFAKGDEKKEAPEGKPLRERVLEPPKPTIILPKKEANIQMEYQKFILADKYRPKALKDFICNRSEAARLQALVKQGGCGHLIFEGPPGAGKRTMILAMLREAFGADRVQTRDGCKAFELKGESVGRIEVQLKESSQHVEVNLSDLRGYEKHVIVELMKETQSLTTIKSNKASSSFYPDNCRAIVLCEADKLSTDALLYIRWLLERYKGSNKVFFCCSDISKLQPIRSLCTLIKLQPPSQEEIVEVLEFVAKQEGIFLPRKFAEKIADSAKNNNLRQAIRSFEACWHSSYPFKEDQEILTGWEEDIANISKNIVEERSPKQLYIIRGKLQILIEHDVSPDFIFKSLVEELKKHLHENLHPQVDSLYDEYS >OMO89326 pep supercontig:CCACVL1_1.0:contig08774:313:3730:-1 gene:CCACVL1_07912 transcript:OMO89326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTCCCSRPLFLSSPFAFPPSSSLRPTFPFSVSQLTVSASSKPIIAASANSNSFPFPLLSHGGDSGGNNNGNNDGPFGFGSWCWDDDSSSSHSHAFLLFLSSVFACFCASQLSSALARTNGNAEEDDVVWEVKGSKWTKFIPNFSADEFVASNGIVNLTKSFSVSTIWWQCRDLVMRLLLPEGFPDSVTSDYLDYSLWRGVQGVASQISGVLATQSLLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIVLSKFGRHFDVNPKGWRLFADLLENAAFGLEMLTPAFPQLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKSIGIALGIALANCIGTSTSLALAAFGVVTWIHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQAPSIKEVNDEEPLFPAVPFLNILSANRERSVVLSSEAKQAAAQIEGRLLLGSKLSDIVNNKEDTLALFSLYKDEGYILTEKEGKYCVVLKESSSPQDMLKSLFHVNYLYWLERNAGVEASGASTDCKPGGRLQISVEYVQREFNHVKIDIDLEMDRGSGFYTVE >OMO59060 pep supercontig:CCACVL1_1.0:contig14059:73338:73520:1 gene:CCACVL1_25123 transcript:OMO59060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSLYFDDDDRSVSRESSISRSRSPDYTGSPDYAGHTRDSNVKRRRSISRSPLEVDEE >OMO59056 pep supercontig:CCACVL1_1.0:contig14059:13770:14615:1 gene:CCACVL1_25118 transcript:OMO59056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MSNSSNLFFFFFFFFCIFISFSLTDGTQLILVNNCQESIWPAILGSAGHETPREGGFHLSCGEQTVLELPEKWSGRIWPRQGCCFDQTGKGSCQTGDCAGLLQCQGTGGKPPATLVEMTLGGPTSALHYYDVSLVDGFNVPVSMVPIGGGVGCGVAACEADLNVCCPATLAVKKNGKVVGCKSACLAAKSDRYCCTGQFANPKSCKPTVFANLFKSICPRAYSYAFDDSSSLKTCRAPRYLITFCPPN >OMO59055 pep supercontig:CCACVL1_1.0:contig14059:4774:7428:-1 gene:CCACVL1_25117 transcript:OMO59055 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MFKNGERVQLKCLYCGKVFKGGGIHRIKEHLAGQKGNASTCLRVPADVRIVMQESLDGVVVKKRKKQKIADEITNVNPISSEIDTFTNHVVDVNTGLLMLGEPGTVEASSSMLVSQEGTSNVSGDRRKRGRGKSSAVEGNALSVSTVGLGAKRLNNPIHMAIGKFLFDIGAPLDAVNSVYFQGMVDAIVSGGSGASLPSWNDLEGWILNKSVEEVKSDNDKIIAAWARTGCSILVNKCSTQKGRILLNFLAYCPEGTVFLKSLDGSGIINSSDALYELIKQVVEEVGFKHVVQVITSGEEQFIVAGRRLTETFPTLYWTPCAAHCIDLILEDFAKLARINAVIEQARSITRFVYNHSFVLNMVRRYTFGIDIVDPAVTRSATNFTTLKRMVDLQSNLENMVTSEEWMDCLYSKKPGGLEMLDIVSNKSFWSSCALITQLTNPLLQVLRIAGSKKRPAMGYVYAGMYRAKEKIKKELIRREEREDYMVYWNIIDRWWEQQWHHPLHTAGFYLNPKFFYSIEGHGPNEMHSGMLDCMEKLVADGNVQDKISKEMNSYKNAAGDFGRKMAVRARDTLLPAEWWSTYGGSCPNLARLAIRILSQTCSTLGLQQNHFYSEKLHETKNLLEQQRFKDLIFVHCNLQLRQIGSETKEPASMPLMSFDATNMVEDWVMGNDTFLEDYTYSEWTALDPVSGNKMLLGPSTDEFEELGAGFDDYEIFNRVKDGDN >OMO59061 pep supercontig:CCACVL1_1.0:contig14059:80005:80823:1 gene:CCACVL1_25124 transcript:OMO59061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAYDPSKSPAPERKKSISKSVTSPVRKDSSTSSESDSSSSDDSSSISRPSIKKRSPSPVEKNSSSSSDDSSSSDDYSSSDDSSSSDDSSSSSESDDPISEDSDDDDSSSSDDFSSDDFSSTSSESDDPISDDSSSDDDCSSPSGPKRLKHDEEWGVMNVSVSEDLLRTDWKETATKFVFKADVPGLKKEDVSVRIKDKKLLCLRGERKKSKSKKLDTKNQHSERKTGKFVRRFMLSHNVNVGATTAVLKRGVLIVTLPKEAAEVRDIPVSD >OMO59059 pep supercontig:CCACVL1_1.0:contig14059:71092:71634:1 gene:CCACVL1_25122 transcript:OMO59059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPPYRPSYSSSESERSVSGERSLSRSHSPIRRRSRSRSPLEVDEEWGVMNVKLSSAWSWSSSRDLLRTDWKETATKYVFKADVPGLTAEDLTVEVEDGRLLNLRGEKRVSSRDNSTTSSETINRHTERKSGRFARRFLLRHNIDVAAVKAEMERGVLTVTLPKAGKKIIAIPLSLVN >OMO59057 pep supercontig:CCACVL1_1.0:contig14059:18491:18787:1 gene:CCACVL1_25119 transcript:OMO59057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MVPISGGVGCGVAACEANLNVCCPATLAVKKQGKVVGCKSACLAAKTDRYCCTGQFANPKSCKPTVFANLFKSICPELIARLLMILQVLKLAGLLAIS >OMO59062 pep supercontig:CCACVL1_1.0:contig14059:84010:84433:1 gene:CCACVL1_25125 transcript:OMO59062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MANPRVEATVTCPQVTSALAPCLAYLTTGAGAPPPLCCSGLGNLQKMAQSAADKQVACNCAKDAAARVPNIKEDAAASLPAKCNIQVNFPISKNTNCQDIH >OMO59058 pep supercontig:CCACVL1_1.0:contig14059:36162:36617:1 gene:CCACVL1_25121 transcript:OMO59058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPDKDSAYYNFFPSHDEYYGDFLVEKENSNCLPRTDYKETPTAFVFKADVPGLKKDELTVEIQDGNILCLRGQKKMKRSEVYSSGRNSEDTWHQKERTFGDKYSYFSREFKLHGNVNVDAVTVKVKDGVLIVTIPKRDGRHIRVIPISD >OMO59063 pep supercontig:CCACVL1_1.0:contig14059:88360:88506:-1 gene:CCACVL1_25126 transcript:OMO59063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPAASLGEVNDQNPTSPTLTLSHNVNFLSQTCSLNADSSYPTKSKF >OMO59064 pep supercontig:CCACVL1_1.0:contig14059:96508:97548:1 gene:CCACVL1_25127 transcript:OMO59064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMYELKSFSKWKNYCQASMKSPYHPSNSSPERKSSRSRSPSSVNTRSRSGSPIRRPISRSRSPIHRRPISRSRSPIHRRPISRSRSPIHRFRFSMSRSGSWCSCNSLNPHSSMSPIHRSPIRRRRHSMSISRSPIRRRSVSRSRSPRYSRSPIRRRRIRPDYSSDSSSNEDGEYRRIRRKRRRSLSSYSDYSSWGNYSSDYGFRRKRRSRSRSRSRIAPDEGWGVMNVRSKSSSSSGDFLRTDWRETDKEYVFEVDVPGMTKKDLSVKIKDKKLLCLRGEKRRRTSMWDTTNHHSEKKSGKFERRFMLCHNVDAAAVKAEIKHGVLIVTLPKEAAGEVKDIPISD >OMP03847 pep supercontig:CCACVL1_1.0:contig05939:22:1962:1 gene:CCACVL1_02243 transcript:OMP03847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MASKCLKDRKQEALRIVQSRFLLEIPKRWVFLNLTKKWRDYKHDLKKLCFDNSSLLVTKNLRPPNSIKGEDVGPIAMYCKTHTKKNGDPLNNETLSVMTQFSEGTVEEHDRQQVENRIMTGILGPERYGRMRGRGLGPIPSVIYGPNSEMFSSRKGGSAAEVQQLRDEISRKELERERQLARIEEENRQEIARIEARFEAQRQEESARHETESARHEAERARHEIERARMQRQLDQLMKIVQSNFPVDGLSVPSIDQLQGLQDGSDNSGSGGGSAHFVNTSISSAQSRCGKQLDTS >OMO61572 pep supercontig:CCACVL1_1.0:contig13445:29510:30394:-1 gene:CCACVL1_23417 transcript:OMO61572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 MRQEAIKKAALRQFVLGKGKSGGRNSAGRITSFHRGGGAKRLQRTVDVKRSTSSMGIVERIEYDPNRSSRLALVRWIDGEAVRQRKSITIDDKFPPPRKILEPATTTIRGVFSFSSQPGKVDQRFYNTFSPGTKAAEYVVVGGDGDGAPSRSNGAALCSEGAKTKLTSARDVFFSAPSVPEANNSSFVLPRVAVAGAKPAFFAPRVRDNAIGEKAAAFEVQRHSISSSKQKAAISWQDTLGLVGAVESKPKTVHGEKPKHRVDRAAVTYIIASNHLERGKMVMNCDWSSKPSTS >OMO61569 pep supercontig:CCACVL1_1.0:contig13445:15673:22296:1 gene:CCACVL1_23414 transcript:OMO61569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MGSSEIHSQQVHGHPVDSSPYMFKMGEPQTCKVLCRIILDAKSAKEIKEKLRYQYRVNMMPDDLPLVYPIPLFNNEDSPDSYHLEPGFPVGVTGMYAGSVLSVYLIYNHLAFTVNYHRDLETDSARIVGFEVKPSSIKHKYKGKWNDNTRLMTCSSKEEVSYLESSPQEVKEEEEIIFTYDVEFKETNLKWASRWDAYLLTSHRETQRLSIISSLTIVLLLSGMVAMVMLRTIYCDISKYDELDSQKETQEKTGWKLVHGDIFRPPCNSDLLCVFIGSGVQIFGMILAVLILAIFGILSPSNRGFLMTAMLCLWVLMGVVAGYASAQLYKKFKGIKSWKRIALGTALMFPVIVFAIFIALNSLLLSQKSSKAVPYRTMFPLAFLLLGISTSLVFVGSFFGFKKPVLEDPVKTNSIPSQIPKRACRFAALKSLPDTTTEMACFFCKTTEGPYLDSSFWDGSRYVKDRHQAVASVGWVSNASGDLGNIKGSGFVLFSRVQNGISYGGANEEAADDHGCGVHGKLASLVQHFSRVVAWWPLGCFHPCRSYLFRAHLVEAKEILVGGKENAWKIPSSESDSLNKWAENSRFRIGDSLVWKYDGSKDSVLQVTKEAYGSCNTSEPIAEYKDGNTKVKLDKSGPHYFISGAKGHCEQGQKVVIVVLSQRHNRFTGISPAPSPAEIEGPAVAPTSSADGLKASFLITLAVLALGLF >OMO61571 pep supercontig:CCACVL1_1.0:contig13445:27236:28663:1 gene:CCACVL1_23416 transcript:OMO61571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNSSPDLLTYNTVIYGFIKENRVKDAIWVFHQMKKLLYPDYVTLCTLLPGVVKDGQIIGAFKIALDFVHQDGINTSRSFWEDLMSGVLMEAGMDKTVLFAEILACNKICKDDSLLVPLIRNLCRHKKAVMARHLFAKFTKNMGVIPTTRAYNLLIDGLLEVHVTEMAWDLLEEMKIIGCSPDVSTYNLLLDACAKTGSIDKLFEVYEEMSFRGCKPNTITQNIVLSGLVKSNNVDKAMNMYYDLVSGDFRPTPCTYGPLIDGLLKSGRLEEAKQLFEEMVDYGCKANCAIYNILINGYGKTGDVDTACELFKRMVKEGIRPDLKSYTVLVDCLFLVGRVDDAMHYFEELKSTGLDPDLIAYNLMINGLGRSGKVEVALSFFDEMRSRGITPDLYTYNSLILNLGMVGMLEQAGKFYEELQLMGLEPNVFTYNALIRVYTVSGNPDLAYAVYKQMMVGGCSPNTGTFAQLPNQS >OMO61570 pep supercontig:CCACVL1_1.0:contig13445:23033:24288:-1 gene:CCACVL1_23415 transcript:OMO61570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/Utp3/C1D MKGGGSSVIPENVLDSVKTTLLNVENVKTHLLEFLSLSDPDVLAQMPPLQRAQALFLLAKATTTLFTLRLRCSGVHPDDHPIKSELERLSLYQDKLERFIDISKAPLRPSTTLNSRAAARFIEHSLPDLTPEQRQSMRQISKGEGPRIKYSDSGVKKRKYQSSEKQSVQAAAKEFLEKAARELFGDDKDGFKGPLRLEANDDDEPLS >OMO61568 pep supercontig:CCACVL1_1.0:contig13445:7244:14533:1 gene:CCACVL1_23413 transcript:OMO61568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MEFETLFLGELLNLLPLGQEMKFKIHISVELTRHVHLDDRDKNPDQQIRSHAEEFGIDVDKVMSEEVGLECSTDETVGPPPGFDSQPKFKGSSAHGKCDDDDDDDDGGNEGITTTTDFTHATQDEDHGSCRARHGAKNRKRRRAMRELTDEFSSMSLTTASSSFGYGGHFESNSSYGTRSGANEFESLVSSNMYPEYPLEQQTYNEHPVQQPNADVVSEVLQLTEDVMMMMGGNEGRTTTTDTQGGDAQSGAEDASRYGDNYGSDYVPYNPFPCEADFTHATQNEDHGSRRAGHGAKNRKGRRAMRELTDEFSSMSLTTASSSFGYGGYFESNSSYGTRSGANEFESSVSSNMYPKYLLEQQTYNEHPVQQPNADAVSVECPPIGWITSISREAYLEYVICAENLGEVLRGDRIENSPFVFKMREPEMCSVLCRIKLDAKTAKQFKEKIDDEYRVNMILDNLPLVVPIRRLDQESPTVYQLGYHIGLKGQYSGSKEEKYFIHNHLAFTVKYHRDLQTDSARIVGFEVKPYSIKHEYEGKWSEKTRLTTCDPHTKRAVVNSNTPQEVEAGQEIIFTYDVEYQESDVKWASRWDAYLLMSDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFLGMVLVTMIFAVLGFLSPSNRGGLMTAMLLLWVFMGIFAGYASTRLYKMFKGGEWKKVALRTAFMFPGIVFAIFFVLNALIWGQKSSGAVPFGTMFALVLLWFGISVPLVFVGAYVGFRKPAIEDPVKTNKIPRQIPEQAWYMNPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFIILLITCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGLLYFGYMLIASYAFFVLTGTIGFYACFLFTRLIYSSVKID >OMO77156 pep supercontig:CCACVL1_1.0:contig10808:8832:9572:1 gene:CCACVL1_15183 transcript:OMO77156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NECIYGFNESISSQCGLTSANEELLPNPNNEPLTQGSPGSSQSGKLSFDDEEVENFLKQFDSNCKRQVNYSDFNGLIEELSKGDVGYNDLPPCLIPTAGMIKNVRGDITKSSKQSKCAANPTYIMFCAALKEMHELSLKDLDETRLKVWGEAINNALNIDFDAGFAKEHLRKIVHAYFGLKAKADRGTDPELQRLDEEIRELEAKTDALRKLRQQKLLDKNSDKRKECLHQAEYFNDKPLSTGLLN >OMO77158 pep supercontig:CCACVL1_1.0:contig10808:16618:19128:-1 gene:CCACVL1_15185 transcript:OMO77158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MKNFCTFLAFLFLLIVSVRSDIIQDSCDEAAKGDPNVKSDFCVASLRANPKSKTAATTEDLVPIAIEDAIATATATSSTISKLLQNTSLENFTRSCLEDCSELYSDAGSNLQSGGEAFKSKDFGTANADISAALDAPDTCEDGFKEKPELVSPLTKENQDMFQLTVIPLAFINMEIPVSHVTNLQGLAVVAMELALQNATNNISMIKELLLNNKTLSPSSLGCLSDCSLLYSDGVVKLVDAVGAFLTGHYANADAWVSAVMEGTATCEKGFQEMEEASPLTKENYSIFQLCDVALCIMNLVAFDVY >OMO77157 pep supercontig:CCACVL1_1.0:contig10808:11498:13549:1 gene:CCACVL1_15184 transcript:OMO77157 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MQRRVPDPDDPANLMKEDGVSVCSQMWIENFREPDRIITNVSSYLRRFELWVLAYQKVCADEIGSYVPRSSITRSALEDLLALRNAVLDNRFKWGARLEFFIKSPKDKTDYASLSKRKIKAILTTTQPAPFQDKLVQEVLFMILEPIYEARFSQKSFAFRPGRNAHTVLRVIRRSFAGYLWYIKGDLSPILDGLKVGLVINALMRDVRDKRIIDLIKSALVTPVITTPVDRVEEKKKPKRKYQKKRVLAEDEPKPDPYWLDTFFGFAPEEAEKLPSWGHCGILSPLLSNVCLDELDQWMEGKIKEFYRPSKSDVIWNSPEGEAEQGNTSWPEFVPTSGPDKTRKMDYIRYGGHILIGIRGPRADAATLRKQLIEFCDQKYMIKLDNECLPIEHITKGILFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLNFLKGDREPDPQPCFRMFHATQAHTNAQMNKFLSTMVEWYKYADNRKKAVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGARNLSRPLKERKGQSPEYQNLLRMGLAESIDGLLYTRMSLIPETDYTPFPSNWRPDHEKVLIEYIRLDDPKTLEEQRHCIGEQGLVSPQDYISMLVWNYKRNAIAMDQLSLLKSAGSHTEGDDQLLLSSNHETQDPRSKEEEETEEGLHASEL >OMO77159 pep supercontig:CCACVL1_1.0:contig10808:25958:26722:1 gene:CCACVL1_15186 transcript:OMO77159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSQEWRSPYPIHHQHHHHHHTIVCPLHHHHHHHCHRHHNHHTIILCPLHHHHHLITRHSHVNPTLSPLSQPIHFNSLSTAVQNEADHSATLASQEQEYEEVVQEEEEEVEPIFVLSDEWREFFAKSEAKRKLGKQQAKKKRKN >OMO77160 pep supercontig:CCACVL1_1.0:contig10808:27380:27505:1 gene:CCACVL1_15187 transcript:OMO77160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYASFFMLAASPNHLRLQSKFAESGIYSFLCNHFVVFIEI >OMP05941 pep supercontig:CCACVL1_1.0:contig05122:12300:20264:1 gene:CCACVL1_01783 transcript:OMP05941 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate-gated kainate-type ion channel receptor subunit GluR5 MALSDFYETHTSYRTRLVLNSRDSKVDVVGAAAAATLRSLEAWATRTPPML >OMO62529 pep supercontig:CCACVL1_1.0:contig13250:11418:13991:-1 gene:CCACVL1_22771 transcript:OMO62529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSNKLFFVVVLVASCMVVVAPMVAVADELCSYAFKAVTIPSCKVYLTSSRDDQINFHRCCESLRNDYYSREDSYVDDVGDSDIMRVGMLIKQ >OMO62528 pep supercontig:CCACVL1_1.0:contig13250:5298:7204:1 gene:CCACVL1_22770 transcript:OMO62528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSCLYSSVAPALPLPATVKDSSSQVFSNFAKVSKRRRLSTRLTVYCRGRAEDSPPVLSTSSAYAVLGVNPDCSAAELKAAFRAKVKQYHPDVNRDVGDSDAMIRRVIQAYEILSNYSRSEIIERECLDPFDSPECEAFDVFVNEVLCVGKGCPYSCVKRAPHAFTYDPSTGTARATSQGHADDYQVQLAVGQCPRSCIHFVTPSQRVILEELLDSILNMPFDVSAEADLLYSLIVKAKFENNRYQKPKKQPKTSTRNVDWF >OMO62527 pep supercontig:CCACVL1_1.0:contig13250:1305:4906:-1 gene:CCACVL1_22769 transcript:OMO62527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex, component Exoc1 MAKSSADDEELRRACEAAIEGTKQKIVLSTRVGVAKSRGIWGKSGKLGRHMAKPRVLALSMKSKGQRTKAFHIGLLRLGWTSFMSYWWYICLPLWFHYADPVDDPVDGFDNLRIQSVSPPQWTMRNIDDRNRLLLRMMNICKDVLGRLPKVVGIDAVEMALWAKENTPSVPTQRNQQDGQPVETTVTESELKVTVEKELVSQAEEEDMEALLGHLRPSFYFYLEKNVLCPGINIHVCYVMGIGEAEAFSERLKRELLALEAANVHAILESEPLVDEVLQGLEAAAICVDDMDEWLGIFNVKLRHMREDIESVETFYR >OMO82969 pep supercontig:CCACVL1_1.0:contig09956:38566:42217:1 gene:CCACVL1_11637 transcript:OMO82969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEAMLKHKMNKRLKIAALGKPKKYISKEGTKNNAGKRGSLGGKSDFNTPARSRKRTSNRYGVTEANYDREAYLKEKHKRAVNYDRRRKRVDADQTLMSHKNVDEPSERAAFKKLSKMVKADLNKVNKDNGNAIRSIWVSRPKKNATSSRNVKNLGTSSKEEGDGMDRGGSDASSKKHFKAKAKANSSKRLEQSSIASTLTSSKKKVRNKKSLNDDSEVLEDQPKKKKRVIRLDPYDTSNKRLDDGIATSESKEKKNKNLEENATMSMNAQFRAIQPSPSILSYVEDNLLGKRRDIEIQRAGYNPELSAPLDNIPFSTNSERERIEENIFRNKLEFFAAAKVSSSFPPPEIPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGHTQTINFFKLASKLCLVDLPGYGFAYAKEEAKEAWEDLVKEYVSTRVGLKRVCLLIDTKWGMKPRDNELIHLMERSQTKYQIVLTKTDTVLPIDVARRAMQIEESLKAHRSVVQPTMMVSSKSGAGIRSLRTVLAKIARCSRVPIQYMSYCKYELFGVGSGNMKLKGRVKDRTRELTLREQLTCHSHCWQLK >OMO82962 pep supercontig:CCACVL1_1.0:contig09956:4788:5168:-1 gene:CCACVL1_11629 transcript:OMO82962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MAGTEQGSKDEPGIMSVILRIQNDLGPGIKLHSHCRSGDTDIGNHELDYSQKYEWSFNNSWLGTTLFWCSMKWNNMQGSFDVYSFKRDFAKCVYCYWSIRQDGAYCYRLTPDITKFTWQLMYTWKK >OMO82967 pep supercontig:CCACVL1_1.0:contig09956:25185:25292:1 gene:CCACVL1_11635 transcript:OMO82967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWKYTYSTSRMKWRSNDVVYNSEALGQAALGQTK >OMO82971 pep supercontig:CCACVL1_1.0:contig09956:49818:51544:-1 gene:CCACVL1_11639 transcript:OMO82971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFIVQSSILEVHQVKAFQALTFLIFDEVGGISHGSLTLHGKEQSRLISSSRLPFLVGMENKPRVLTQKYEIGRLLGQGTFAKVYYGRSILTNQSVAIKVIDKDKVLRVGLINQIKREISVMRIARHPNIVQLYEVMATKTKIYFVMEYCKGGELFDKVAKGRLKEDVARKYFIQLINAVDFCHSRGIYHRDIKPENLLLDDSENLKVSDFGLSALAESKHQDGLLHTTCGTPAYVAPEVINRKGYDGAAADIWSCGVVLYVLLAGYLPFHDSNLIQMYRKIGKAEFRYPSWFPPEARRVVSKMLDPNPSTRISMSKIRGTSWFKKGLYAEQKKPETENKEEASVDMGATGPSENSNVAETREESVQPQNLNAFDIISLSDGFDLSGLFEGMSQKRETRFTSRQPASVIISKLEEAARLLRLKVKKKDAGLLRMEGLKEGRKGILSIDAEIFQVTPTFHLVEIKKSNGDTLEYQKILKEDMRPALKDIVWVWQGEQQPPQQQELPQEERQQQQQQLQHQNDTSASSSSSFDKHS >OMO82977 pep supercontig:CCACVL1_1.0:contig09956:84010:85119:-1 gene:CCACVL1_11645 transcript:OMO82977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNMISKERKRTAASYKKLQMLRSITNSHARSKTSIIVDATKYIEELKQRVERMNQDIAMAQNPTCHNTLIPVKVRVEAQDKGYLIKVFSERSCRGLLVFILEAFEKLGLEVLQARVSCSECFLLEAIGVIKDDDEGSESVDSQEIKRAVSQAIQNWSTAQVINE >OMO82973 pep supercontig:CCACVL1_1.0:contig09956:70029:70689:1 gene:CCACVL1_11641 transcript:OMO82973 gene_biotype:protein_coding transcript_biotype:protein_coding description:GCN5-like 1 MYSTQLPQARARVLSSSEMDKSQSGSDDLESSLLQIYHHHHQNSLKLRDQAEKSKKDAIKKAGRVSDLLVDAVNGGVQESFINEKRIELEIRALAATIARFMKQTDQWLAATHAVNSAVKEIGDFENWMKSMDFDCKSINAAIRNIHQQ >OMO82975 pep supercontig:CCACVL1_1.0:contig09956:74070:79839:-1 gene:CCACVL1_11643 transcript:OMO82975 gene_biotype:protein_coding transcript_biotype:protein_coding description:chloroplastic-like protein MNPSLLSAPPSSNPRPLLSFQPFRFSPNYHPRRRRYRVSFPRNSLATDDQSPTSTTSSPETTTTSPDIFGGPKDLTGIQPLVEKLTPPARLATSAVILAGAIAAGYGLGLRFGKNRNAALGGAAVLGAAGGAAVYAVNAAVPEVAAVSLHNYVAGCDGPEAIKREDIENIAQKYGVSKQNEAFNMELCDIYCRFVSSVLPSGGEDLRGDEVETIISFKNALGIDDPDAASMHMEIGRRIFRQRLETGDRDGDLEQRRAFQKLIYVSTLVFGDASTFLLPWKRVFKVTDSQVEIAIRDNAKQLYASKLSSVGRDVDEELLVSLREAQLKCKLSDELAKDLMMEHKRKLVEENISVALNILKSRARTVGGVKQAVEELDKILAFNDLLISLSKHPDADRFARGVGPVSLVGGEYDSDRKMDDLKLLYRAYVTDSLSGGRMESHKLAAVNHLRNMLGLGNKEAETIKLDVTSKVYQKRLSDAFQSGDLEMADSKAAFLQNLCEELHFDPQKASEIHEEIYRKKLQQCVADGELDDKDVSALLKVRVMLCIPQQIVDAAHSDICGSLFEKAVKDAIASGVDGYDAEVRKAVRKTAHGLRLTREAAMSIASKAVRKIFVNYVKRSRSAGNRTESAKELKKMIAFNTLVVTELVADIKGESSDTPSEEPVKEEEKQFEDDEWESLQTLRKIRPDKDLIAKLSKPGQTEITLKDDLSERDRMDLYKTYLLYCMTGEVIMMPFGAQMATKKDDSEYLRLNQLGQILGLTTTETVEVHRGLAEQAFRKQAEVLLADGQLTKARVEQLNELQKKVGLPGPYAQKIIRSITTTKIAAAIETAIGQGRLNIKQIRELKESGVDLDNMISESLRENLFKKTVDEIFSSGTGDFDEEEVYDKIPADLKINAQKARGVVHDLARSRLSNSLIQAVALLRQRKYQAVVSSLNDLLACDKAVPAEPLSWEVPEEVADLFGIYAKNNPAPEKLSRLQYLLGISDSVAATAQERGDEALSVGAEEENFVF >OMO82968 pep supercontig:CCACVL1_1.0:contig09956:35540:36784:1 gene:CCACVL1_11636 transcript:OMO82968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEIEHVPENALFGKYELGRLLGCGAFAKVYYGRNVRTGQSVAIKVINKKRISSPNLMSNIKREISIMSRLNHPYIVKLYEVLATKSKIYFVMEFVKGGELFAKVAKGRFSEDLSRKYFQQLISAVGYCHSRGIFHRDLKPENLLLDENGNLKVSDFGLSAVTDQIRPDGLLHTLCGTPAYVAPEILTKKGYDGAKVDVWSCGVILFVLNAGYLPFNDPNLMVMYKKIYKGEFRCPKWMSSDLKRFLSRLLDTNPDTRITIHEILKDPWFKKGYKEPKFHEEYAKVEKDEAPQITSLNAFDLISFSSGLNLSGLFENSQGSVSDGERFVSRASPEKLMEKVEEVGKAERLRLKRRKEWGVELEGQNGNLGIAIDVYRLTDELAVVEAKRTVGDAQSFKETWNNKIRPALQVGGN >OMO82974 pep supercontig:CCACVL1_1.0:contig09956:72313:72426:1 gene:CCACVL1_11642 transcript:OMO82974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKQGSPHARLLGDSTVDSSSDDREGSRACLAIVIR >OMO82965 pep supercontig:CCACVL1_1.0:contig09956:20105:20677:1 gene:CCACVL1_11633 transcript:OMO82965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKALVAVKSKLFKPCRKLVQFFRFRFKLRRPVFIRAFRTRTPRRAKFRKTPKRSPTSALLSLLRSLRPSRDMDRLTELRSFSEAAQERLLFPSPLTPAYVKVGGSSKMGSSGSGYEDLEDACRSFENYLVEMIVEEGKVSDLMDVEELLYCWKNLTSPVFVDLVSRFYGELCTDLFSANDDNVDDDSP >OMO82976 pep supercontig:CCACVL1_1.0:contig09956:80154:82729:1 gene:CCACVL1_11644 transcript:OMO82976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase I, TruA MPHQNSKSSTSEITISNNTFSNHLQLRNCRSEGAAPPSFPAQMGTFSQEKGRLAGLQLQRDEHELTTIENELENAIFKAGGIRESNYGDLHKIAWARSSRTDKGVHSLATMISLKMEIPEDAWKEDPNGIDLAKCVNSYLPDNIKVFSILPAQKSFDPRRECNLRKYSYLLPAEIIGINSCLSEAEINYHISDFNSILNGFEGEHPFHNYTQRAKYRRPIPRRQTARNGRMPKGAKQQDELSSSELEESDIEEDSRSDESTTLNNEERSAGFSEPLVECFDSQGNNLKDQSSDVAVKARWLYEPDETDRITASHFRKIFRCSCGRLENSMGFDYIEISIWGESFMLHQIRKMVGTAVAVKRKLLPRDILTLTLAKFSRIVLPLAPSEVLILRGNTFVVRRMPGNVLRPEMRAIVESEEILKAVDEFYTSVMLPQVSKFLDPSKSPWNEWVKNLDADGNTSIPDAQLDEVRNAWKLWKEKWQDSTTAASAVGQ >OMO82970 pep supercontig:CCACVL1_1.0:contig09956:46135:48439:1 gene:CCACVL1_11638 transcript:OMO82970 gene_biotype:protein_coding transcript_biotype:protein_coding description:GIY-YIG nuclease superfamily MRKGKTAGNGKGARKRNRKAADSKSLIQYFKQKKSRAAQSVDKEEIRESEDENKGKQENGFFACYLLTSLSPRHKGQTYIGFTVNPRRRIRQHNGEIGSGAWRTKSKRPWEMVICIYGFPKNVSALKFEWAWQHPHESVAVREAAATFKSLSGVANKIKLAYTMLTLPAWQSLDITVNYFSTKYMKHSASCPSLPEHMKVRVCPMDELPCYTEQDEFEYKDECDDIDEYDEVSDTWETYPDEGVNVSADNLQGSIHEASDVQFEHVEEYRSREPVDSSSLGVHDMQPHVFIDSPTSKASSMATGLTMEEATECEDMSIIGRESLKRKKFTDAVEADEDSQPLFSKKVSTSYEGDGKPEDSSTFGVYHKQPFDFIYSPVQTSYSAATSLSNGETVKGANISITEKECPSHKQFAAVVEANEDQQQSEKPLRTEGSHEVEVIDLLTPSPDCRARSYSRKRRISKLSPEIIDLT >OMO82966 pep supercontig:CCACVL1_1.0:contig09956:21279:22610:-1 gene:CCACVL1_11634 transcript:OMO82966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEYNTTKPPYKPSSNISGLLVSKFAKVCKLRSIGVLPAENPLDSGNNDAPLAEDSSDNTTEDTECDKRRIHPQPADVSCELDMCGEPQILNLFGILSALKSAYVRLQAAHIPYDPDKIIAADELVVSYLGTLSEIKHAYKEKQFSKSKLDSSHLESLRSEVEVKERFLEKLKYENQTKDSEIGRLKQELQSLASRNSKLVEILRRDRVETRYAGVLDVTMFQNSFKEAAKSIHDFAKPLISLMMASGWDLDLAAKSIDDGVAYSRRQHKKFAFEAYIAWRMFHGVVLESYNITDVMKFDDPIDALIENPDSGFARYCRKKYLMIVHPMMEMSFFGNLDQRNFVLSGKHPRTPFYQIFAKMAKWVWILQGVACSIDPEAEIFVVKRGSKFSDAYMEPIEQDKEGLVGSDEGQTTQRVELMVMPGFRIGQTVVRSRVYLSKIK >OMO82963 pep supercontig:CCACVL1_1.0:contig09956:9658:12869:1 gene:CCACVL1_11631 transcript:OMO82963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRKQFDDAKMEHGYVETDPTGRYGRLEEILGKGAMKTVYKAIDERLGMEVAWNQVKLNEVLRSPDDLQRLYSEVHLLSTLNHDSIIKFYTSWIDVENKTFNFITEMFTSGSLREYRKKYRRVDIRAIKNWARQILQGLVYLHNHDPPVIHRDLKCDNVFVNGHLGQVKIGDLGLAAILRGSKSAHSVIGTPEFMAPELYEEDYNELVDVYSFGMCVLEMLTSDYPYSECSNPAQIYKKVTSGKLPGAFYQIQDLDAQRFIGKCLANASKRLSAEQLLLDPFLNTEETKLSTLTRLGSPKPFLNEREMEKLHLNDGPSRTDMTITGKLNPEDDTIFLKVQIADTEGSSRNIYFPFDILHDTPLDVATEMVKELEITDWEPIEIAEMIDGEISALVPQWKKTDFPRHEAYNTFNYQEEDDGPHHPFYSFSSCSSSQVSLSGLITHGSDWLQDDLYDDTSSQSSSHSGTYSNLSYLSGDDHKPDMSLITTRSKHLNTRIPNSTRFCHEENSRSGQQSMSRNLYNQCKALESHATSSSKQRRVLDNRKLTRNRSLVDIRSQLLHRSLVEEVSKRRLFKTVGAVEDIGFQAPCQVPTKRTQKSSGKKHVKS >OMO82964 pep supercontig:CCACVL1_1.0:contig09956:16080:17201:-1 gene:CCACVL1_11632 transcript:OMO82964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYRFRLSDMIPNAWFYKLKDMSKTRKHYTSRPLKKKPPSSSYSSPSQKSQYNSKPSRYSYYFTTEPIKPAACRFYNSPVHLEALGTPRKSLKRRDRRRTIYKPSPRVVSTLTESPDYSLSPFESSPEADDQSFLSESDDDEFFAPAAKAYDLPLPGSFSNSFKCKLSSSTTDIIIDMNNESHTRKFDLVDGFDEISELELPPILTKPAAKSNQKADHHEDEVTKLKRSSSRSFSVKIVKEESIRSQKEMITRSTSSPHGRKSISNSPGIKLRANSPRIASKKIQAYARKSSVSSSRNRSISESLAVVKSSFDPQRDFRDSMVEMIVENNIRASKDLEDLLACYLSLNSKQYHDLIIKAFEQIWLDLTNLHL >OMO82972 pep supercontig:CCACVL1_1.0:contig09956:55239:59152:1 gene:CCACVL1_11640 transcript:OMO82972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSESVAMSGGMWKLKQAHGISIRISNVKARPPPSEQHCSIVLLGPTLSFKERFDEIGKKIKTDAASYSSQMGRRHVLGPPGTLNTITPCAACKLLRRRCAEECPFSPYFSPHEPQKFAAVHKVFGASNVSKLLMEVPESQRADAANSLVYEANLRLRDPVYGCMGAIVTLQQQMQTLQAELNAVRAEILKFKFREATTSTGNLIPNSTHAALISSGAVVTVAAPPSPLPPTPPPPPPPPPPSVVVSSSSASSSSTSSLYNTPPPTSTTAAGYSSISSDNVPYFD >OMO50143 pep supercontig:CCACVL1_1.0:contig16293:5317:5379:-1 gene:CCACVL1_30602 transcript:OMO50143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLQFLNKFTSRNHYLVCGAF >OMO72001 pep supercontig:CCACVL1_1.0:contig11520:46420:49620:-1 gene:CCACVL1_17993 transcript:OMO72001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRALALGLLISLFVISVSASTVDNEYPNCCDDEGFWSIHSILECQRVSDFLIAVAYFSIPIELLYFISCSNIPFKWVLVQFIAFIVLCGLTHLLNAWTYYGPHPNSFQLMLALTIAKFLTALVSCATTITLLTLFPLLLKVKVREVFLRQNVLELDQEVGMMKRKKEASSHVRMLTQEIRKSLDKDTILYTTLVELSKTLDLNNCAVWMPNDNGTQMNLTYELKPSSRSFNRPIPANDPDVMEIKESERVRILRPDSALGLASGSGSDEAGAVAAIRMPLLHGSNFKGGTPQSIETSFAILVLVLPSLNSRDWSYPEMEIVEVVADQVAVALSHAAVLEESHFMREKLGQQNRLLQQARKNAMMASQARNSFQKVMSHGMKRPMHSILGLLSVFQDENMNFNQKTVVDTLVKTSNVLSTLINDVMEISMKDSGRFMLDMRPFSLHSMIKEASCLAKCLSVYKGFGFEVGVQSSLPDQVIGDERRTFQVILHMVGYLLDINSGGGTVLFQVSSDSSQDKNDKSNALRASTQNDFLYLKIEIDIRGGSSQADASVSTKHSSGRRRGDDEVKESMNFSMCKKLVQMMQGNIYISSNSLGLAQSMRLLLRFPIQSFMRRALFPPGIAPEQPNSNSRFRGLRVLLADDDDVNRTVTKKLLEKLGCEVTAVSSGFECLSAVSHAENSFRIVVLDIHMPEMDGFEVAMRIRKFRSRNWPLIIALTASAEDHVWERCLQTGMNAVLQKPVVLQVMADELHRVLQRSADGI >OMO72003 pep supercontig:CCACVL1_1.0:contig11520:58912:67768:-1 gene:CCACVL1_17996 transcript:OMO72003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C13, legumain MGTLINSQKLFRLLLLFLCLLLYSSVAYSSPAEATMHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNSRNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFLRVLTGRHETAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKLRFKELLIMVDTCQAATLFNQLQSPGVLAIGSSMKGENSYSHHLDSDVGVSVVDRFTYYTLAFFERLNIYDKASLSSLFSSYNPNMLMSTAYYRRDLYQRRLEEEKEAKKEAFRKYLESSGVLDALTKVLVALYEQNEKPSSALEFVQQKLGGPTASEYEKLQAELSDMQAKYNELLQKHEETCKELEELKNLHSSPSTKETQTTDGDAPKDDA >OMO72000 pep supercontig:CCACVL1_1.0:contig11520:37322:39892:-1 gene:CCACVL1_17992 transcript:OMO72000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase-like protein MSVSAAATAEASEYHSKDFNWETLRQEIENDPSLKYHLLPFPSPQQEEQHSKDSIKAWQKFHNQHSSGKFFKERRYLLKEFPELVSCGDGCKVLEVGCGNGSTARPILRGNKKIVLYACDCSYETLERAKEFVAGSNIVLAEHRFRPFYCDFATTGFPKWLACEYRREPKDQNCLSDVTENKSHETDAWPMEETSGCVGGMDFVTLIFTLSAVPLQTMPTAIGECFSVLKPGGMLLFRDYGLYDMTMLRFEPEQRVGFREYMRSDGTRSYFFCLDTVRNLFIGAGFIELEIEYCCVKSVNRRSGKNMQRVWVHGKFQKPT >OMO72002 pep supercontig:CCACVL1_1.0:contig11520:53342:57594:-1 gene:CCACVL1_17994 transcript:OMO72002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNETQQHYFTNTTTTVTTTPSPTNGLLPPNDGGGSHHMVYPHSVPSAVTSPLEPARRKRGRPRKYGTPEQALAAKKTASSTSKERREQQQQQQQQLGLGGGGGSLSGSSKKSQLVALGNAGQGFTPHVINVVAGEDVAQKIMMFMQQSKREICILSASGSISNASLRQPATSGGNIAYEGRFEIISLSGSYVRTEIGGRTGGLSVCLSSADGQIIGGGVGGPLKAAGPVQVIVGTFMIDNKKDVSAGSKSDASGSKLPSPVAGTSVSNMGFRSAFETSGRNPMGGNDDHGFGGTHFMMQPRGMHLASRTTDWRSGLDDRTGFELTGKTGHGAHHSPENGDYDQMAD >OMO72004 pep supercontig:CCACVL1_1.0:contig11520:68734:69963:1 gene:CCACVL1_17997 transcript:OMO72004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRKMKHKGVVHSALTCEDILSNILLRLPPESICKLIIVSKKWLHLICSSSFRHSYLRQWKVGFNLLGFCVSNSLYLGKPIGGARRPRCEPALPLLSTSRECDDMKFSGVLKTLGYFIDSSDGLLLCGRHPKAYFVYDPVTKQQIKLPHPRVYFEELCMAFIVDNSPDDDICFRVIRAKCESRFEETDTVTIETFTSKNTTWSYSKLKCSSPISLSPWTSATVIGGVIHWYATQGNVAIYAPDHEEKRIALVKLPGPFDFDEQVLGESSDGLLQYGWSCKAGLEIWVLEKDLDGYASLVSNNKPWNGSWRKRYKLNFKIMWKKNPTVAAKLVGRPKDSEILSFVPQKSESVFIKTGTTILLYHFDGQKAEVIQYQGRGSSICEFSKVTPYFKRAWPHSTLCRSGNSAT >OMO72005 pep supercontig:CCACVL1_1.0:contig11520:70887:80909:-1 gene:CCACVL1_17998 transcript:OMO72005 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MVTVLGTPVNIIVGSHVWVEDPDEAWLDGEVLKIADKNAEIQTSTGKRITAKLAKIYPKDVEAPAGGVDDMTKLSYLHEPGVLQNLRIRYELNEIYTYTGNILIAINPFQRLPHIYDAHMMQQYKGAPFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRVATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEIERYKLGSPKTFHYLNQSNCFELVGVSDAHDYLATRRAMDIVGISEKEQEAIFRVVASILHIGNIEFTKGKEVDSSVPKDDQAKFHLKTTAELLMCDVKALEDALCKRVMITPEEVIKRSLDPQSAAISRDGLAKTIYSRLFDWLVDKINKSIGQDPNSKSLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFANKLYQTFKVHKRFIKPKLSRTDFAISHYAGEVLYQSDQFLDKNKDYVVPEHQDLLSASKCTFVAGLFPPLPEETSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNQLKPAIFENVNIMQQLRCGGVLEAIRISMAGYPTRRPFFEFINRFGLLNAEALEGNFDEKTVCQKILDKAGLKGFQIGKTKIFLRAGQMAELDARRAEVLSNAAKIIQRRIRTHISRKRFLAVRAAAINLQSLCRGRLACLLYDNIRRQAAALKIQKNARRYQSRGSYKKLHVSVLVLQTGLRAMAARKEFRFRKLNKAATMVQARWRCRRAVTYYKRLQRGCIVTQTRWRGRIARKELRKLKMAARETGALKEAKDKLEKSVEELTWRLQLEKRLRTDLEEAKAQEVVKMQNQLQEMEKKIEETNALLIKEQEAAKKAIEEAPPVIQEKEVLVEDTKKIESLTEEVDNLKASLDSEKQRADEVEAKYNELKETSEERQKKLEETEKKLHQFQETLRGLEEKLTNIESENKVLRQQAVSMAPNKFLSGRSRSILQRGSESGHLEVRTPLDLHSPSINHRDPAELEEKPQKSLNEKQQENQELLIRCIAQHLGFAGNRPIAACIIYKCLLQWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGAAGMTPQRRRSSSATLFGRMTQSFRGTPQGVNLSMINGGINGVDSLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSVANTAGQQALIAHWQGIVKSLGNFLNTLKANHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYKATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSTDVIANMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDLSKSMEQIDIADIEPPTLIRENSGFSFLLPRSD >OMO71998 pep supercontig:CCACVL1_1.0:contig11520:21968:24538:-1 gene:CCACVL1_17990 transcript:OMO71998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNLFEGLPPPSHQQQEKEEEDKQGKDQNPLSLKTSSSSNKKEAPPNPVLKSALKRPKPAESAPEAEPDKAAAPEKKRLRFKTTTDASEKQVIEAMQKIASHIKNPSKFGKASKLAIQLIQAGSVKAGTSEQFFAILEAAMSSTTSCTDPSVRADYHSLFSAAQDAAECLNKKQKNQLTVWTFRAVLANDLLTDDSFVFSKTASQLKDAISSLPIATEDDDIDEAATLKDETAIGNDDNDNKQAIVAPAEENSKDESDPFGLDALIPSSGKKDDRTKGKKDVPAKSRKEDEEETKRFLKSKREALISCLEIAARRYKIAWCQTVIDILVKHAFDNVARFTSRQRDAIEKLWASVREQQIRRKQGKSVTGKLDVNGFEWLQQKYSTEKISIRHSVGASGDRRCQQWLG >OMO71996 pep supercontig:CCACVL1_1.0:contig11520:8295:9990:1 gene:CCACVL1_17988 transcript:OMO71996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin/Phospholipase A2-related protein MARPRSLRSWVEEKVIQLVKYIYHAKYDDKELKEVIKAMVGDRKLRQTLTNVIIPSFDVKLLQPKVFSTLKASRDDLEDAPLLDVCLSTSAAPLYLPLHIFETKSANGGSREFNMTDGGVAANNPTLLAISEVSKEMGLDIGKASDQCLNSKGSSKLLVLSLGTGSTKRHNNLQVTNSNWGPYEWLLQGLHIGSIPIVDVLMTANDAIADIYLSDLFQATSFKDNYLRIQTDTLTMTEAAMDNSSTDHLENLEKIGNELLTKPVSTVNLATGLLEATHNGAVTNEAALKKFAKRLVDERRRRRQAQRST >OMO71999 pep supercontig:CCACVL1_1.0:contig11520:28662:29603:1 gene:CCACVL1_17991 transcript:OMO71999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRWWAGQVGLQGMETSATSSSPMKKPDLGISMGANNGGDQTGSGGTGEEEEDNNHSDEPREGAIEVSNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVANGSDVAETLAQFARKRQRGVCVLSGSGTVTNVTLRQPSAPGAVMALHGRFEILSLTGAFLPGPAPPGSTGLTIYLAGGQGQVVGGSVVGSLVASGPVMIIAATFSNATYERLPLEDGEEEGGGGGGGQGQLGSGGGGGGSGGSPPPGIGGSGGGHQQSGGGGLGGGGGGDGLPVYNNLPPNLVPNGGQLNHEAYNAWAHGGGRPPYQ >OMO71997 pep supercontig:CCACVL1_1.0:contig11520:10476:12475:-1 gene:CCACVL1_17989 transcript:OMO71997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPKVFFDILIGKAKAGRVVMELFADAVPKTAENFRALCTGEKGMGLSGKPLHYKGSTFHRIIPGFMCQGGDFTRGDGTGGESIYGMKFADENFKLKHTGPGILSMANAGKNTNGSQFFICTDKTAWLDGKHVVFGKVVDGYSVVKQMENVGSENGKTSERVVIEDCVKVVDRRVDDLPPATMSQEPTSPKDNFLFHLTAAFEAFKANLDSQFSQFTNLLSTIYANRSSINELESRIARLELEHQSNSLAKPSPTNENVAASNTSKDDSVNASPMLKQVDRMVMPSNPKVFFDIYIGPTKAGRIVMELFADIVPKTAENFRALCTGVKGIGSSGMALHYKHSAFHRIIPGFMCQGGDFTMGTGSGGESIYGAKFADENFICRHTAPGCLSMSNAGPNTNGSQFFICTERTPWLDGKHVVFGKVIEGYSVVKQMEKLVGSSFGRTSTPVIIMDCGEIIENC >OMO81400 pep supercontig:CCACVL1_1.0:contig10181:308:376:1 gene:CCACVL1_12427 transcript:OMO81400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFACHVNTLARLPGMSNPRVS >OMO62424 pep supercontig:CCACVL1_1.0:contig13268:5194:11087:-1 gene:CCACVL1_22843 transcript:OMO62424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Resistance protein RGC2 MARRLEHLQQLEIFWCGSLREIIISTDQGNIEDDIMTQIFPKLESLKLCHLQNLKRFCRGNQLKFSCLKTLQIWDCPSFKTFVSDSIMGIETHDDHIGEGNKSLEIDDHLSPLFNEKAAFPMLKDVKIDELRELKRIWDDQQAVDSFCNLETLSVSRCSSLLSIFPFNMSGKLQKLRNLEISACHSVREIIEGEGINGRESNNVVTTASSNLVETIPQFEFPQLTSLGLFNLPKLKSLYRKMHTTKLPSLEEILVSLCNNVEILFAANISGDDDKLKDRNNGQGEERRVDIQPLFWTTKDNTFHRLKELILRKNYLLREIWYGQHTSALFNNLTTLEVTESHQLESLLPYAVSLENLTILTVSNCNGRLKRLIITLPTAKSMSRLEGMSITDCNMIQEIIACESETQEVITFADLKFLQLGNLPSLLTFCSGSVALKFLSLEEVIVRQCPYMMSFSQQKSSAPLLKRVRSTCYSSKVADQGRWDDNLDNTIQQMFIKEVGSSKHLKLSESSNSELIEIWTQNPQGTFDFKSLVVLEICDCNTLTCLFTISMALDLPHLNKIKVKDAKLMEHIIVDERLDEEVLTEYKTISIFLHLESITLESCLDLTSFYKGSRMLEFPSLKEITVNDCPQMFAFAYTVSREQIVIEKTSRVADVFVAPFFNDKVVLRSLEELQLCPFIKKTILPSNKLQKLSHEQLPKMSYNFLNLKILVLEGFNFLNYVFPYSVAENFVHLEVLIIRDCENVKEVIAMDKFEVEGRIMKVFPRLDRMSLRHLPKLVRFCYGDSIEFPMLGILWMDDCPEFTTFVTNFMIGDEFQVDPNIGRNNSKVDDQCLFNDKTDPIDLSPRSENLKTRNTQNPSDPRPKPEPA >OMO87218 pep supercontig:CCACVL1_1.0:contig09276:9605:13398:-1 gene:CCACVL1_09191 transcript:OMO87218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MESLQSLPPFSTLSNSASSAVNAKVATEQNLACAPSLISELLTQCDDLDRALIHLNRTLESSLVSYASFSDSIDDLFGDLSSKLTDLGSSVCFRSSVADGEGLGEELPALAKEVARVETVRAYAGEGFNRCQTEQLITVQLLDLELTLQSYVSDGHGLSLIDLLSIEIASKLDNLVGDIEDAVSSTMNKNIRWQMEDGEDQMVKKKDMFLTETDQPNNQRHSGDYSDSSLLIHQLGRDISINCLLFCSRSDYGSIASLNKGFRSLIQSGELYRLRREMGIAEHWVYFSCSLMEWEAFDPIRHRWMHLPRMTSNECFMCSDKESLAVGTELLVFGKEIKSHIFYRYSILTNTWSSGMKMNTPRCLFGSASLGEIAILAGGCDPRGNILSSAELYNSETGMWETIPSMNKARKMCSGVFMDDNFYVIGGIAVGNSKQLSCGEVFDLKTKMWREIPDMFPARNGGAGATEAPAAAEAPPLVAVVNNELYAADYAQKEVRKYDKERNLWDTLGHLPERAVSMNGWGLAFRACGDRLIVIGGPRALGEGMIEVNSWVPNEGPPQWNLLARKPSGSFVYNCAVMGC >OMO87993 pep supercontig:CCACVL1_1.0:contig09141:1292:3187:-1 gene:CCACVL1_08607 transcript:OMO87993 gene_biotype:protein_coding transcript_biotype:protein_coding description:methyltransferase-like protein 5 MKLKQLESLLGDLQQFSNPKVELEQYPTGPHIASRLLYTAENSFDDISNKVVADFGCGCGTLGAAAALLGAEQVIGIDIDPLSLEIAAVNAEDLELDIDFVHCDISKLGWRGQMVDTIVMNPPFGTRKKGADLDFLSVALKVASQAVYSLHKTSTRDHVKRAAVRDLNASSAEVLCE >OMO87995 pep supercontig:CCACVL1_1.0:contig09141:7650:8453:-1 gene:CCACVL1_08609 transcript:OMO87995 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MASHSPKNDDDAEAKLGSGCLYVKVSMDGAPYLRKVDLKIYGSYKELSLALEKMFRCFTIGQCGSHGVASRDGLSASRLIDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTGSCKRLRIMKSSEAIGLAPRAMEKCKNRA >OMO87994 pep supercontig:CCACVL1_1.0:contig09141:5105:6802:1 gene:CCACVL1_08608 transcript:OMO87994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSDDEIKRLYRIRKTVMQMLKDRGYFVGDFEINMTKGQFISKYGENMKREDLVINKSKRNDSSDQIYVFFPEEAKVGVKTMKTYTNRMKSENVFRAVLVVQMNLTPFARSCISEISSKFHLEVFQEAELLVNVKEHSLVPEHQVLTNEEKKTLLQRYTVKETQLPRIQVSDPIARYYGLKRGQVVKIIRPSETAGRYITYRYVV >OMO87996 pep supercontig:CCACVL1_1.0:contig09141:8981:9439:-1 gene:CCACVL1_08610 transcript:OMO87996 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin-responsive protein IAA27-like isoform 2 MSKPIEHDYIGFSEQQLPSMEKSSNKNGGLNLKASTKLRLGLPGSESPEREEHEHDDVVSLKSFASGAKRGFTDALDSGGKWVFGSGSEGLFSPRNGGNNGGKGLSGSDSGKGGPVLKDGAAQKSMMVQEKKPQVAGESVHGNGNTISSASK >OMP02490 pep supercontig:CCACVL1_1.0:contig06240:2964:3044:-1 gene:CCACVL1_02789 transcript:OMP02490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSRSYGGGGSLGWRWFIKREKD >OMO80008 pep supercontig:CCACVL1_1.0:contig10354:42399:44266:-1 gene:CCACVL1_13226 transcript:OMO80008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MANGLAFVILAFAIGVLLHSANAQVPAVFILGDSTADVGTNNYLPASTFKANFPHNGVDFPFGRATGRFSNGLNTADFLAKFYGFKRSPPPFLSLNGTSAIKRRSFRGINFSSAGSGLLDMTGQVTNVVPMSEQVYQFSTVYNDLVAFKGKSETEKFLAKSLFFISVGSNDIIGNYHSNQSIPKEQFIPNLGLVYEKYIRSLISYGARKFGIVSVAPVGCCPSQRLYTGTGDCLDDLNDHARTFFSTMDNLMRNLSCEIKDLKYSLGNAVEMTMNVITYPQLFNFTDVKSACCGNGTLNAQSFCTPTAKLCSKRHKYLFWDAFHPTQAAAKLAAFTLYGGGPQFVTPINFAQLAQA >OMO80012 pep supercontig:CCACVL1_1.0:contig10354:56995:57643:-1 gene:CCACVL1_13231 transcript:OMO80012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrin MEGHHNHHAEGEQNKAVETTDRGMFDFMKKKDDDVTMADANYKPPAAEEEKPTLQEKLHRSDSSSSSDEEEVDENGEKKKKKKGLKDKIKEKISGDHHKEEATEHKDTTNIPTENLEEKKGFLDKIKEKLPGQHKKPEEGAHDVHPPAEGEPKEKGILEKIKEKIPGCHGHKTEDHLDKPKDN >OMO80013 pep supercontig:CCACVL1_1.0:contig10354:61347:63694:-1 gene:CCACVL1_13232 transcript:OMO80013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MGEVVVPVDYDSTQEMSPSLQIITIKSSSIKEMPPPPPPTGVTSNSSGQPGGINLKELESWLPITESRNGNMFTAMFHLVCSGIGFQALLLPVAFAALGWAWGIICLSMAFIWQLYTIWLLVNLHEPVPGTRYSRFVRLSIVAFGQKLGKLLAIFPVMYLSGGSCVLLIITGGGTMEQFFKLIICGEGTTCESKTLTGTECFLLFTCIAIIIAQLPNLNSIARVSLIGAISSIGYFTMIWALSISKGRLNDVSNYSNIPTDAKSGMNGFGNILNAIGIIMLSFKGHNLILEIQGTLPSDSNHSSRKSMWRGVILSYMIIAMCFIPIAVVGFWAYGNKIPGNGGILAAFSQFHSQDTSKFVIGLIYVWIIISCLSSYQIYAMPAFDNLEFIYASSKNRRCPQWVRTGLRLFFGGLTFFISVAFPFLGSLGPLIGGIAAVPLTFAYPCFMWILIKRPRPNGAMWTLNLGLGCFGILLSILLIVAAIWNLADKGLNANFFRP >OMO80015 pep supercontig:CCACVL1_1.0:contig10354:85549:85692:1 gene:CCACVL1_13234 transcript:OMO80015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAQMFSMRYVDRSIQRLLKGLDLEGIDLGMAIMVRSQEKVRNITT >OMO80001 pep supercontig:CCACVL1_1.0:contig10354:9430:10647:-1 gene:CCACVL1_13219 transcript:OMO80001 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 MEDEKMMRGIVGEDERADIDIWNYVFGFVKMAVVKCAIELGIAEAIENHGSPMSLSQLSTALGCEPSRLHRIMRFLVHYQIFKEEPINEENSISYAQTPLSRLLIKNGEKSMAPFILLESSPVMLAPWHGLGARVRATGDSPFEVTHGKDLWSYTETNPGHSKIFDEAMACGARLSVPAIIEGCPEVFDGVESLVDVGGGNGTALSIVVKAFPWIRGINFDLPHVVDVAPKIDGIEYVGGDMFDCVPKADAAFFMWVLHDWDDEECIRILKKCREAIPKEKGKVIIVEAVLEEANKLDDKLDFVRLMLDMVMMAHTNKGKERTLKEWKYVLGEAGFTRINVKPIHAVQSVIEAFP >OMO80011 pep supercontig:CCACVL1_1.0:contig10354:54442:56249:1 gene:CCACVL1_13230 transcript:OMO80011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MDGRDGGKPNNVRLYVCLVFLFFALFTVFSLILWGASRSYKPKIFVKHIVFENFHYQAGNDQSGVPTDMLSLNSTVKISYRNPATFYAVHVTSTPWELHHFQLKIASGQMKKFTESRKSQRKVVTIVHGYQVPLYGGIPVLVNARDDLNSIAVPLNLTFVMRSRAYILGRLVKTKFYGRIRCSVTLRGNKLGKPLNLTDSCVYQ >OMO80002 pep supercontig:CCACVL1_1.0:contig10354:11898:19386:-1 gene:CCACVL1_13220 transcript:OMO80002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQEQQSGNKSKKNERKGGSLKIFGSSSISGGDLVGSVIEKGIVSSNNNISKPIQPPQPSVLPFPVARHRSHGPHWMPISNSNVDEEDDEDESVFANFDPVSTFAKPVQRKEKKSLNLSLWKELMQSEEFSKSKGQERNKSSLGNSERHRKNGEAMKNAGKKSTSDSLGAHVDAVASMDVDLESHLNAHRLLTKAEEAVRTESNFSSVTEMDIDNAHQLHQEENVKDAGSDNFSRESGLMAIDGQDSANRLFHIDTANLQTGRLEKAETLSMVPKQFHNFGNEQRSTSLESEIDAENRARLKNMSSEEIAEAQAEIMEKMDPALLNLLKKRGQEKLKKQKYSSSSLAANSLSERGITCENISSNEINSLNTESSDSQMMTTSSNITKTGVDNGLEQNVGPVNGSLWDAWSQRVEAVRELRFSLDGTVVEKDFAPIPQTSVDNVAERDFLRTEGDPGAAGYTIKEAVALTRSTIPGQRALALHLLASVLDKALCNIYLNPVVSTLANSNKVDSTVDWEAVWAFALGPEPELVLSLRMSLDDNHNSVVLASAKVIQSILSCDLNGNIFDFLEKRAIGAKDTYTAPIFRSKPEIDVGFLHGGFWKYSAKPSNILLCGDNMVDDETEGKHTIQDDVVVGGQDFAAGLIRMGILPRIRYLLEMEPATPLEECLISVLTAIARHSPICANAIMKCQRLVQTIVHRFTSNNNLETYPSKIKSVCFLRVLAQSDRKNCGEFAENRTFRAMTWHLYQNASSLEQWLKLGREQFKLSSALMVEQLRFWKVCIQNGYCVSYFSDIFPALCLWLNPPTVEKLVENNVLGEYASISTEAYLVLESLAGTLPNLYSHQFLSDRVPQGADDNVETWCWSQVGPMVDLAVKWISFKSRLIDSQNGMKGSSVFVDKSFSPLLWVYSAVMDMLSRVLERVIPEDTIGLPEEGGHIPWLPDFVPKVGLEIIRNGFLSFTSVNSAEYGANLGGGSSFIEQLCSFRQQSEFETSLASACCLHGFFHLLSSINKLIHLAKDKISDPSLVCGFSQEENILARGILTESLSELRCVFNIFSNLIASEWHCVQSVEIFGRGGPAPGVGVGWGSSGGGFSSKTVLLAQTDAWLLSKLLEIFKAESIKLLPADEERTTFTIQMIHSALGLCLIAGPGDKVIVEKALDVMLQVPVMKCLDLCIQHFIQHNRRIKLNRWEYKEDDYCLFSKILASHFQSRWLSNKKKLKASSGDKTLKGNVTLETIPEDLDTSNTMTQDGSYKSLIMEWAHQRLPLPLHWFLSPIATLCNSKQAGLGRISDMQNVLQDPSEILEVAKAGMFFLLGLEAMSTFISAGVATPVQSVPLIWKLHSLSIILLIGMAVLEEEKSRDVYESLQELYGQLLVETRSKKRPVIILNTFINVLPETGKRDDVEFLRFQSEIHESYSTFIDTLVEQYAAVSFGDSIYGRQVAVYLHRSVESPVRLAAWNALSNSRVLELLPPLQKCLGEAEGYLEPVEENEAILEAYVKSWVSGALDRAVTRGSIAFTLVLHHISSFVFISHGSDKPLLRNKLVKSLLRDYSRKKQHEGMMLEFMQYNKASTFPMAEKKEGLPLQKINLEVRMEILKEACEGNPSLLKEVEKLKSFLSERLVVS >OMO80009 pep supercontig:CCACVL1_1.0:contig10354:44768:47573:-1 gene:CCACVL1_13227 transcript:OMO80009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MMLGRFSIWQKLAAILVVSVVVVQAEDPYRFYNWNITYGDISPLGVQQQGILINGQFPGPDLYSVTNDNLIINVFNNLDEPFLLSWNGVQQRKNSYQDGVYGTTCPIPPGKNFTYTLQVKDQIGSFYYFPSLAFHKAAGGYGGIRILSRPKIPVPFPDPAGDFTVLIGDWFTTDHRKLKAKLDGGHRLPFPDGIVINGHGSNGTTFTVEQGKTYRFRISNVGLQNSLNFRIQGHLMKLVEVEGTHTVQTTYESLDIHVGQSYSVLVTMDQAAKDFYMVASTRFTDKILTSTATLHYSNSNAPVSGPLPGGPTDEIDFSLNQARSIRTNLTASGPRPNPQGSYHYGLINITRTIKLVNSADQVNGKQRYAVNSVSFVPADTPLKLADYFNISGVFRVGSISDNPTGQKMYLDTSVMGADHRAFVEIVFENHESIVQSWHIDGYNFRVVGMDGGLWTPESRKQYNLNDAISRCTTQVYPKSWTAIYIGLDNVGMWNVRSEFWARQYLGQQFYLRVYNPVRSLRDEYLIPKNALLCGRAEGKSS >OMO80006 pep supercontig:CCACVL1_1.0:contig10354:26910:30051:-1 gene:CCACVL1_13224 transcript:OMO80006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MGSVSSDDCSDQQSERCGSYSLSADVSESESCSSFSCRRFDGEGCSSSMTSSPRLPAAGGFGFQVPVMLPVIGGKDVVIWDDEKPEKRDTDLSEVEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLAPQRKAMWRREMEWLLCVSDSIVELVPSIQQFPGGGTYEVMATRPRSDLYINLPALKKLDAMLISMLDGFRETEFWYVDRGIVLGDGGDCDAYPSSVSGGRPSIRQEEKWWLPCPKVPANGLSEDARKKLQQCRDCTNQILKAAMAINSSVLAEMEIPTAYLETLPKNGKACLGDIIYRYITADRFSPECLLDCLDLSTEHHTLEIANRIEAAVHVWKQKDQKKHVNHIKVRRSSWGGKVKGLVADGEKNNFLAQRAETLLHSLRLRFPGLPQTALDMNKIQYNKDVGQSILESYSRVMESLAFNIMARIDDVLYVDDAIKRCAAAETISLFSRSGLSGLPIQKRMSPSPFSIQHTPYASPFATPTFCSSTPLTGSPGRVPSSLKRNGLKEEPDWKFEKPFAAEFERVWSYTGSLSARRISENAPERD >OMO80003 pep supercontig:CCACVL1_1.0:contig10354:19609:21616:1 gene:CCACVL1_13221 transcript:OMO80003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMADWTQLPKELLDLISKRLDTSFDVTRFRSICSTWRSSVTSPKRHRLAPRFPVLPDGVSDTSFGFSLSKRSIFLLGLPEGNIQRDPSSSWIISFIHLGKMDPQSVVMLA >OMO80016 pep supercontig:CCACVL1_1.0:contig10354:89880:89984:1 gene:CCACVL1_13235 transcript:OMO80016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKETELRSRLAANHLHLAQFEPLRAMLLTSRTK >OMO80007 pep supercontig:CCACVL1_1.0:contig10354:36368:41930:1 gene:CCACVL1_13225 transcript:OMO80007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKMGVNSKAEEARARKSATESERKEREAREKEEKYWREAEGPKSKAAKKREEESEKRAEAAARRAEARRQAEMEEKEIDKAMKKPDKKANRVAIPVPKIAVADNLPPDRHPERRLKASFKAFEEAELPRLKEEKPVLGGGINSFSTMTDSVMYSVMLGWKGKSKTIIVGLKADDCSRQMLLHFLSLCVKSGDNVLAVHVQKGNDAFDPNTFQIHEDLCKSKQVDFLVKICTGSSYISMLSHQVRENYATVLVIGCKLSGVKDSTVSTCLKNIPPTCTLLVMDNAGRIVIRRQGTSQQGSPGVTIQSYLPSLQINSDQVNQLTTSRQLHKSLTIPSSSRSPAICQIDSEGHGRQQSLKRNVSARRRFHRMVVPELQKSCRCFRPEELSVATDNFSPNMVIGKGGNSMVYRANLEDGQAAAVKVLKTTRWSAKDLSREVEMLSSIKHDNIVGIIGYCNSKELHAIVYNLLEGSLNQSLKQLKWVDRVGIAIGVAKALEYLHHCCDPPIIHRNVKPSNILLYDNCQPQLSDFGAAIMHHQSYQVPANTKPIDVVRTFGYLAPEYMVCGKIDEKIDVYSYGVVLLELITGKEAIQRNQTNHESLVLWARSLLRFGLCERLVDPFLERNYKKEEMEVMMFIARLCLMHSSSQRPTMKTILRLFEDSEYWLEMQREKDQLLNGFGSIGETDLWRQYELSSVATMSFRHT >OMO80010 pep supercontig:CCACVL1_1.0:contig10354:51231:52575:1 gene:CCACVL1_13228 transcript:OMO80010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLIPKSLLAKITPMTPTSDFTSPVAFLTLRDLTYVAHNLKHGNSVSATTHLKPNKNFALFAVQNQEKNFQETGDTLQANNFSDEFDESYGEVSKIIGSRALPGGKGMEYLIEWKDGHAPSWVPEDFIAKDVVAEYETPWWTAAKKADDKALAELLAAEEDWRDVDAVDKDGRTALLFVSGLGSEPCVKILAEAGADIDHRDNAGGLTALHMATGYIKPGVAKLLLDLGADPEVEDDRGLTPLALAKEILKVTPKGNPMQFGRRLGLESVIRILEDSVYEYAEVEAILEKRGKGENLEYLVKWKDGSDNEWVKAKFVAEDLVKDFEAGLEYAVAEGVVAKRMGDDGKNEYLVKWADLDDETWEPEENVDPDLIKEFEEGQVQGNNGSFFKDQNLDASTGQIGGNGAVKNESSVDSS >OMO80004 pep supercontig:CCACVL1_1.0:contig10354:22692:24038:1 gene:CCACVL1_13222 transcript:OMO80004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWSQLPPELLTLITKRLETRLAVLRFRSVCSSWRSSIPPKLYPLPKFLPSKTKGRCEFSLSRITRNTIYLVRLPENQTSDHPGCCWLVKIRDGTHRVGMRLLNPLSDSELKPLPLNFPKVFDLTSFQVIELGHEFVARLDVYIDHPLEPQSRDYIRKVALIWSESDNGDFIMLALLRFWPVEDLAFLRSGEKDWTLLENVNDVQDIISFNAKFYAIQQDGKTIVIDQSLNVNSIEQFGSRNSRKFLVQSDDNLLAIEMIFLTNSDSDTDDPVFTCSACDNVAGFRIFRLNEEEKKWHEIESLGDKVLLLGLRQAISVSASELSWGKGNLVFYSIGKDRAMFVFDLENNSASRLENCPAYFNLFWPPPKWVISSTLPKEVECKASDLGVSSPESLTSSRETMSNSTSEISTRKLGGECNERRPSAGQEMGSKRPSQSSKFSFKFCCS >OMO80014 pep supercontig:CCACVL1_1.0:contig10354:70528:73224:-1 gene:CCACVL1_13233 transcript:OMO80014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MGSEFNNEIGSTPITPRPGSVAPTPPISAPPSQFHSPSLSRSPLLASSPDHDHIKPAAKTPKSSTPRNFTPKIRTPRFITPLGSPIRRALKLTRLDPNDAWLPITESRNGNAYYAAFHTLCSGIGIQALVLPVAFTILGWTWGIINLTIAFIWQLYTLYILVQLHESTDTGMRYSRYLQLCTATFGEKLAKWLALFPIMYLSGGTCTALIVIGGATLKMFFNIVCGGTCKAQPPTTVEWYLIFTCAAVLLSQLPNLNSIAGVSLIGAITAIGYCTIIWVVSVVEGRMPGVSYNPVKGSTEVIRIFDVLNALGIIAFAFRGHNLILEIQATMPSDEKHPSRVPMWRGVKFSYTLIALCLFPLAIGGYWAYGQMIPKDGGMLTALYAFHGKDTSQFILGLTSLFVIINAVSSFQIYAMPMFDDVESVFVKKMKKPVPWWFRAISRAMIGYGCFFIAVAIPFLGSLAGLVGGIALPVTLAYPCFMWLKIKKPKVFSPMWWLNWILGVVGMALSGILIAAGLYVIIDTGVEVSFFKPQ >OMO80000 pep supercontig:CCACVL1_1.0:contig10354:6330:7672:-1 gene:CCACVL1_13218 transcript:OMO80000 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 MEDEKMMRGIMGENDRAQVDIWNYVYGFVKMAVVKCAIELGIAEAIENHGSPISLSQLSTAIGCEPSRLHRIMRFLVHYQIFKEEPIGEESSILSYAQTPLSRLLIKNGEKSMAPLILLNSSPVMLAPWHSLGARVLASGDSPFEATQGKDLWSYAETNPAHSKMFDEAMACDARWAVPAVIKGCPEVFDGVESLVDVGGGNGTALSIVVKAFPRIRGINLDLPHVVDVVTKIDGIEYVGGDMFECVPKADAAFLMWVLHDWDDEECIRILKKCREAISKEKGKVIIVEAVLEEANKDDKLDFVRLMLDMVMMAHTNKGKERTLKEWKYVLGEAGFTRINVKPIHAVQSVIEAFPSVNLIGRKEISSI >OMO80005 pep supercontig:CCACVL1_1.0:contig10354:25011:26366:1 gene:CCACVL1_13223 transcript:OMO80005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWAQLPPELLTLIAKRLEIRSDVLRFRSICTSWRFISQPKIYPIPRHLPLKAEGRCEYDLANIVRNTFFLVRLPGTGTHKNRTGPGRCWLLKIKHGSTDGVGVRLSRPFSHSELKSLPENFPKDFTCFNNKFYAIEQEGRTIVVDQSLNVSFLENVGSPTGKRFLVESGEDLLAVEMAFHTVFCNNSLADKVNGFSIYRLNEEEQKWDDMGNLGDRIVVISSGQAISLSCSELCLDRGNLIIYSAGPYVNLRQCLPENEDEEVTLVFDLGTKTATPLESFPSIRNLFWPPPDWLTSPLPERECEASHSGVSSAELAISATPEVEVKHPDDSNGGKSPERGTSAEQVVKTKRSLSSKLMFKCFGS >OMO50530 pep supercontig:CCACVL1_1.0:contig16142:7247:7483:-1 gene:CCACVL1_30383 transcript:OMO50530 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase ubr1 MANEFKGSVIKQSRRSSIYFMLKTQSSRIDNSLDEPPSKQVLRAQTKEHIKAARRDTIEAKSMKLKTPKKKKKQSIHT >OMP10720 pep supercontig:CCACVL1_1.0:contig01991:151:321:-1 gene:CCACVL1_00804 transcript:OMP10720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14b/L23e THLNVADNSGARELMCIRVIGASNRRYAHIGDVIVAVIKEAVPNTPLERSEVIRAVI >OMO82780 pep supercontig:CCACVL1_1.0:contig09975:4063:5866:-1 gene:CCACVL1_11763 transcript:OMO82780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MAANEGSLGTSMHGVTGREQTFAFSSSNVPTDEMAKFALPVDSEHRATVFKVFSFAKPHMRTFHLAWISFFTCFISTFAAAPLVPIIRDNLDLKQSDIGNAGVASVSGSIFSRLVMGAVCDLIGPRYGTAFLLMLSAPTVFCMSFVSDAQGYLAVRFMIGFCLATFVSCSYWTTIMFNGKIIGLVNGCAGGWGDMGGGVTQLLMPLCYHLIKLSGATPFTAWRIAFFIPGWFQVIMGILVLTLGQDLPDGNLGALQKKGNVAKDKFATVFRYAVTNYRTWIFFILYGFSMGIELTINNVISGYFYDRFDLKLHTAGTIAASFGMANFFARPFGGYASDMAARVFGMRGRLWVLWFLQTLGAVFCIWLGRADSLPIAILAMILFSLGTQAACGALFGVVPFVSRRSLGLISGLTGAGGNFGSGLTQLVFFSNSRFSTATGLSLMGAMAVICTLPVAFLHFPQWGSMFLPPSKDPVKSTEEYYYMSEWTEEEKQKGLHEGSVKFAENSRSERGKRARNEVSSAATPPNSTPNHV >OMO76836 pep supercontig:CCACVL1_1.0:contig10863:1635:2900:1 gene:CCACVL1_15383 transcript:OMO76836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQASNFHLVSSSSKQIRAAISVPKLPSIQISIPKVQTNNKSLSEGLNNRNGFIKTDQKNAINTTLPQLAGSPKMASVQQLYAILEAVADRVEMHNNIGEQRENWNALLLNSINMMTLTAATMAGITATGGAGVSVLGLKLASTLLFSSAAGMLVIMNKIQPSQLVEEQRNATRLFKQLQSQIKTLLAIGSPRKEDAKNAMEQVLALDKAYPLPLIGVMLEKFPETLEPAVWWPKTKTQSPKARNSYNGNNNGWSEELEMEMREIIEVIKRKDSEDYERLGNKALKINKFLAKAGPILTGVAALSSGFMDSSNGHWAAILAAAAGSLASAVNTFEHGGQVGMVFEMYRNNAGFFKLVQESIESNLNEPDFEKRENGEVFETKVALQLGRSLSQLRDVAKKASYSRIEGSPIDEFASKLF >OMO49477 pep supercontig:CCACVL1_1.0:contig16494:1474:8314:-1 gene:CCACVL1_30987 transcript:OMO49477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MRAKQIPSSFTRSKSPVLLLFFILSLLAVSFLFFLSSPSDPTRSSSRQAFPNRPLIRPETSFVASLEHFLTHKAPEYQRRSDDTVRTVLEDDVSRLDERKIAKEMEWLHGDPYYPLSMPVRVYVYEMPSKFTYDLLWLFQNTYRETSNLTSNGSPVHRLIEQHSIDYWLWVDLMAPDSERLLKNVVRVDRHEDADLFYVPFFTTISFFLMEKQQCKALYREALKWVTDQPAWKRSEGRDHIFPIHHPWSFKSVRKFVKNAIWLLPDMDSTGNWYKPGQVSLEKDLILPYVPNVDLCDAKCLSESEAKRTILLFFRGRLKRNAGGKIRSKLVSELTGAKDVVIEEGSAGEGGKAAAQKGMRRSIFCLSPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGILDYRKIALFVSSTDAVQPGWLLNYLKGISSTQLREMRRNLAEYSRHFVYSSPAQPLGPEDLVWRMMAGKLVNIKLHTRRSQREALKWVTDQPAWRRSEGRDHIFPIHHPWSFKSVRKFVKNAIWLLPDMDSTGN >OMP06743 pep supercontig:CCACVL1_1.0:contig04814:3828:3908:-1 gene:CCACVL1_01448 transcript:OMP06743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVGSRIGGHWLMVVKKGGGAGKG >OMO73293 pep supercontig:CCACVL1_1.0:contig11271:114242:115100:1 gene:CCACVL1_17354 transcript:OMO73293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIIPDNSLPSGWKFEPKKQEILKYYLRPAIETGCLPEFMFEYGKKLPRGETLTHTQTIMSPQIKKPRVDHDQITNCPDYSDHDQSECASFSPPPSLDLPNLRVVLDKITIKLQSSLIFLIMYLANLSNIYVDPALLEAQNYRTSTKSNPNLRVVSGKLQIL >OMO73285 pep supercontig:CCACVL1_1.0:contig11271:21212:27572:-1 gene:CCACVL1_17345 transcript:OMO73285 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein RIK-like protein MTEDGGARVSSGDPAVTNDASQTRQRKKRKWDQPAEAFVSAGFTVPFSNIGTLGGIPLPGVPPVTGTFLSNPLAASYPAVSPMFQQHAAAVVPKQNQPKIQDELVIAREIVINDAESSVRYKLTKRQTQEEIQRCTGAVVITRGKYRPPNAPPDGEKPLYLHISAGAHLKETAERILAVDRAAAMVEEMLKHGQSSQAFSTFVYLGFDADPSLNIVARIRGPNDQYINHIMNETGATVILRGHGSGNFDSPQAEEAQQPLHLFLSSNNPKSLEDAKHLAENLLDTISIEFGASRNSSSKVYGAVPPPQQLLTGVPSAGSEQKVNTSSTIGLTAMAISTPAPPVIVPGVTTGYPQGMAGAMLNSGPIHPQPLVTRATSYSGYGGIYPQATPLQQVALALRQSSPISSSVVPATSVASMGAPTTSAPNTVSTSSVSSTSHSEKEQRPPQKRKFQELPAVSKGPARPNQGSDSLNPSKALGDCGVKNVSTMPAPKKLVHPSSEMPPPPPRAIPPSKTMPPPPPPPKFTSSTPPGKSHDKKVASKVKPDNVPDTLVQLMAYGDDDDDSEESSEESLNRNSNADKVRKPFWAL >OMO73292 pep supercontig:CCACVL1_1.0:contig11271:92520:95526:1 gene:CCACVL1_17353 transcript:OMO73292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNKERLVLLLDVGPSMHSVLPQVESLCSMLLEKKLIYSKNDEVGVVIFGTQETSNDLKNEMGGYNHITVLQNIKVVDGQIVDIMEALPRGTVNGDFLDAIVVGMDMLIKKYNDLYKGKKRLCLITNAINPIKDSLEGTKEDQIEIIAAQMVAKGIKMESIVVRGSLSQDADKRIMDENDNLLSIFSNRTSTRTVYVDSPISLLGAIKTRKVTPVTIFRGHLEISPQMKIKVWVYKRTQEEKFPTLKKYSEKAPATNKSATHQIKVDFEYKIEEGSSQVIPPEQRIKGYRYGPQVVPISAAELDSVKFKPEKGVKLLAFTDASNILRHYFMKDVYLFTAEPGNRKAALAVSAIARAMKEMNKVAILRCVWRQGQQNVVVGVLTPNISENVKIPDSFHFNVLPFVEDVREYQFPSLSSFPASCQPNEEQQKAADEFVQMLSLVPSGKQEAMLPEFTPNPVLTRFYRHLEVKSHKPDAAVPPLDETLRRITEPDPELFSQNKAIIDALHQQFHLKENPKLKKSARPRRVSREEPSGSNEDGNAADVADAQAVNSV >OMO73288 pep supercontig:CCACVL1_1.0:contig11271:39765:44070:1 gene:CCACVL1_17348 transcript:OMO73288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDRDNNDDNSRRIQVRFVTKLKAPYKVPTTAIAIPSDLSRLGLSSIVNNLLQAVNSEWKTEPFDFLIDGELVRMSLEQFLIAKGISAEKILEVEYIRAVAPRKEEEPSPHDDWVSAVDGSSPRFILTGCYDGLGRVWKEAGLCTHILEGHSGAISSVSVITSEGAGSATVATASKDRTLRLWKFDADDNSDHPSKIRAFKILRGHNASVQSVAANTSGDMVCSGSWDATINLWRTNESDTDGDVVSIKKRKVNNKAEESQSEGEAVSTLVGHTQCVSCVVWPRKETIFSASWDHSVRQWDAETGKDVSDIFCGKVLNCIDIGGEGSALIAAGGSDPILRIWDPRKPGSSAPVFQFSSHSSWISTCKWHNSSSLHLLSSSYDGKVMLWDLRTAWPLSIIDTHKDKVLCADWWKGDSVVSGGADTQLRISSDIFIQ >OMO73286 pep supercontig:CCACVL1_1.0:contig11271:28682:30623:1 gene:CCACVL1_17346 transcript:OMO73286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAYNLISSCRLLVLLTLAGSSTIIMQVNCLNFNYADLEFQKEDEKNFIFSNNSYIVFRTLQVTPDVNGGISNKSGRALYNQPFKLYDIGRDMKASFNSSFVINLFPLTSPPGDGLAFILTEEASLPENSGGQWLGIINSTTTGSTNIVAVEFDTRKSDEQDIDDNHVGIDVRINAGGDGLEIPLLSEHLDLSDYLPQDVYVGFSASTGVNATQLNAVRYLQGKLNLQKLQLRNRLSCGQSRFL >OMO73283 pep supercontig:CCACVL1_1.0:contig11271:6340:10803:1 gene:CCACVL1_17343 transcript:OMO73283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MVFAFLVLVSSSSSSSKSHEEEEADRISALPGQPNVSFQQFSGYVTVNKIAGRALFYWLTEASINPLSKPLVIWLNGGPGCSSVAYGASEEIGPFRINKTASGLYLNKFSWNTVSNLLFLETPAGVGFSYSNRSSDLFDTGDRRTAMDSLEFLIRWLDRFPRYKNREVYITGESYAGHYVPQLARQIMIYNKKSKHPINLKGIMVGNAVTDNYYDNLGTVTYWWSHAMISDKTYQQLINTCDFRRQKESNECESLYSYAMDQEFGNIDQYNIYAPPCNNSDGSRFTRQTMRLPHRPHRIFRQMAGYDPCTEKYAEIYYNRPDVQKALHANTTAIRYKWTACSEVLNRNWNDTDVSVLPIYREMIAGGLRVWVFSGDVDSVVPVTATRYSLAQLKLTTKIPWYPWYVKKQVGGWTEVYQGLTFATVRGAGHEVPLFKPRAALQLFKSFLRGEPLPKS >OMO73291 pep supercontig:CCACVL1_1.0:contig11271:82590:83507:1 gene:CCACVL1_17352 transcript:OMO73291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIB MAMMVFCSDCKRETEAILDTRQGDTICTECSLVLESHHIDESSEWRIFADSDDNGKYDRERVGSKQNLLLDQANLRTFASNPNPKKGGGGGGPFVGSLNSLNQSNSSDKGLTEGFKMIENMADNLGLVRTIKDRACEIFKNVEDNKSCRGRNLKATVAATLYIACKELKLSRTLKEISRVADGVAMKEISRTVEVIKKRMEVETGGVQPAELVRRFCSKLNMNYQAIKAVEAVENFDIRRNPKSILAAIIYMIDQVSGSARSFKEIAIAAEVAEGTVKKSYNDVAPHASTLIPKWYPQQVHKVLV >OMO73287 pep supercontig:CCACVL1_1.0:contig11271:34389:38669:1 gene:CCACVL1_17347 transcript:OMO73287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MAALKLGSLPAAALGGVATTTTKKCERLSGGFRVVADGGGDNGFPSFLPKEVEKIKDPFARNLARRIKRLPVQIECSDSSIMSSCVQPLVQSDSNPVVLLHCFDSSCLEWRRAYPLIEESGLEAWAIDVLGWGFSDLGRLPPCNVASKRYHLYQLWKSYIKRPVVLVGPSLGAAVAIDFAVNYPEAVEKLVLINPSVYAEGTGNLAKLPKVVAYAGVSLLKAYPLRLYANILAFNGIPLSKNLDWTNVGRLHCHMPWWKDATVNFMVSGGYNVVSQIKQVKQKTLIICGENDKIVSNRLAMRLLFELPKARMQRVPDSGHLPHVEKPRRVVKLITDFARSK >OMO73284 pep supercontig:CCACVL1_1.0:contig11271:17183:19138:1 gene:CCACVL1_17344 transcript:OMO73284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex protein Exo70 MGDCESVAPQIEGEENLIAAAKHIVRALGSNKNLTKDVKKILADLGSQLSTMATIDDTMVEDGKSGIEEQLSVVQEKIMSWEADESMIWDSGPDEASDYLNAADEARKLTEILENQSLNSEEDKELLRRAHDVLQTAMQRLEEEFKHMLVQHRQPFEPEHMSFRSSEDDAVDEGSIVSFGDDSFEEPAHRDSVSRNSEDYVIDLVHPDVIPDLKCIANLMFISNYDHECCQAYVNVRKDALDECLFSLEMEKLSIEDVLKMEWGNLNSKIKRWVRAIKVLVRPYLASEKWLSEQIFADLGSTSLVCFVEAAKASMLQLLNFAEAISIGSHQPEKLVRILDMYEVLADLVPDIDALFSDGAGSSVRIEYHDILKRLGDSVRATFLEFENAVASNASTNPFAGGGIHPLTRYVMNYIRLLVDYNDTLNCLLKDQDGVAASQLSPDTSPTTEDDSMSRDFSGSCSPMALHFQSLTSILEVNLYDKSKLYRDASLQHFFLMNNIHYMAQKVKNSELRHIFGDKWIRKHNWKFQQHAMDYERATWSSILSLLKDESNSSSSSISRTLLKERLRSFYVAFEEVYKTQTAWIISDAQLREDLRISTSLKVIQAYRTFVGRQMHHIGEKHIRYNAEDLQDYLLDFFEGSQKSLHNPHRR >OMO73289 pep supercontig:CCACVL1_1.0:contig11271:55886:64929:1 gene:CCACVL1_17349 transcript:OMO73289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFLLPSSAFGMLLPHFPVVSSATPRGQFHLILGSSSNRKRKHLRTGNWQCRPKIIVASNIDDSHDTLSDMVNDEDGYLSAGDELLEMKENELMEARRALSEAQARREAVEKERDELLEDFARSEAKQKEYVAAIIHDKELAISELESAKSLFHQKLQESVEEKFALESKLVLAKQDAVELAVQVEKLAEIAFQQATSHILEDAQQRVSAAETLAAESAYQIEEQIRNATEGTILSIVEQSKDAIAKALDVAEKAGDQATKAVAAFNDGINPIDAIASVQSENIKLQGSVSALESQLLLSKSEVDRLKLELQQVQVQANAAELRAINAEKALLEFQELSREKALEQEEEIRSLLEKIKREAAERKKVVSKAFKAELDSIKTAIDAAKETTLARDNAYIRRCEALQRSLRTSEGALKMWRRRAELAESLLLKERQQREEDEDAIYIVNGGRIDLLTDDDSQKWKLLSDGPRRDIPQWMARRIRSIRPKFPPRKSDMSEALNTNFKSLELPKPDEVWSIAQEKPKEGDMLIEHVIEKEVIEKKRKALERVLQRKTIKWKRIPEETKLEPGTGTGREIVFQGFNWESWRRQWYQELAIKAADLSQSGMTAVWFPPPTQSVAPQGYMPSDLYNLNSSYGSVEDLKSCIEEMHSQELLALGDVVLNHRCAHKQSPNGVWNIFGGKLSWGPEAIVCDDPNFQGRGNPSSGDIFHAAPNIDHSQDFVRRDIKEWLNWLRNDIGFDGWRLDFVRGFSGTYVKEYIEASNPAFAIGEYWDSLAYEQGNLCYNQDAHRQRIVNWINATGGTSSAFDVTTKGILHSALHNQYWRLIDPQGKPTGVLGWWPSRAVTFLENHDTGSTQGHWPFPRDKLAQGYAYILTHPGTPVVFYDHFYDFGIRDVLTELIEARRRAGIHCRSSVSIYHANNEGYVAQVGDTLVMKLGHFDWNPSKENQLDGSWQKFIDKGSEYQVWLRQ >OMO73290 pep supercontig:CCACVL1_1.0:contig11271:74285:80719:1 gene:CCACVL1_17351 transcript:OMO73290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNEFEDGIHNLFELDNSLQGQHLPQAGDGIWPVLNYNQWVGKLRQIGTPQNFDLKNYSLGQLGSAGGQRGETLNSALHSQKHTQLPRTLECSQIISRNHQLDTNGFLPGSQNFGIQPNQLGVLGETTGYDQHNLISTGSSIIQLHQEYESCESPTLTTNSERSEITEASTDINFLKGQQLLNTPQLGIQQPLHMQQSGYNDMQLLQQHLMVKQLQDLQRQQQLQQFGDARQQNTLNQLSAIAKQSAAGQFSPLINGTPVHEASQMFMNIVQRGTSPTTPGASNRVVFQQDQGQAFRSMGLSPQQSDASLYGTPVASARSNMNQYSQQGMSNDAVNLLTKAGGQAQKPTMQSSGTFLRDQYTALPDQVYMSQGALVSNPGFQGKDMFGPTSVQSLVSGNMSGSFHTGNAAQINASPKDFNGKQERAGWPAMPQKTTQISPSQGLVPLDPMEEKILYNMDDNLWDASFGRRTDLGAGNFSNAFENSDFSNAFPSIQSGSWSALMQSAVAEASSSDTGLQEEWSGLTFQNTEQSTDNQLSNFVDSDKQQAGWIDSNLQSTSSFSSKPMPMFNDSGGSSSFPGFQQPGTQFSTEHGENLPHDGSHISYEKKSPKVHSEWVNCGIQQKQSFEGGQQVQSYVHLDNAWAGQIYENSDTGAHQQRTTSHEDFGQSYTKHKGANDGCLSKTSTGAVEQLQSGTDNNLFIRKDAQIHNNQSTGQRAIDNNRSDYMRHADVSATKETASPGQKQHHINNGPHGVASPFEGEGEIYLNQPKSYQRQSSNDSYNSKGLSSRDQGHSGQVKVFGDVSSGSANFNEVRSPLEEVTSRDDFKSAGPDGSKTAAQTSQNMLELLHKVNHGGAIGHSGSTDANTLAKVPEIEARTSVPQAYNPSSASQEFGLRLALASQRLPNSNPFLNSQGSPQTPSHFKSGQVSQTWAATPYSAQSLPPANELSQRVHLDGKSSMLGQTGVASYSNMQGSTVAAFASSLSQLRNQTHMQHISNSPVASQSLQGTMSSTSKNSPFNLATSQDASRQISVGNHFVQQFPVLEASHVSQPSIMSAMPRQGELSAMQNAWTTLPTPQNPSVIEPHKVSASLPPSMDPTDSSINSTKGGYGEMQVGKERSLQQMSSEMTSSTQPASFSQVEEPSQKPIDGSVLPSSSSLAHSNQEVLDEMKHDNNQAFMTSERNLSPVAHSLKSSGSQQNYSLLHQIQAMPTVQTDPNAVEASQTAIAGPSTATTLVADEHFSAPYVLPSSSNNQIIGITRPKKRKAKFELLPWCKEVSQSSQKLRNISVSEIAWAEVTNRLPEKVEDEVEILEDVHPVLRSKRRLVLTTQLMQLLLHPAPASILRADATSTYDSVSYFVSRVALGDTCNLCSGVKNNVQISAENNNTISESENPKTSERTGDQKILEIIEDLTERAKNLENNFQRLDKKVSVLDIRLECQELERFSVINRFARFHIRGQGDNSGAASASAVHKPVPQRYVTAIPMPRNLPEGVQCFSL >OMO86561 pep supercontig:CCACVL1_1.0:contig09458:101:3853:-1 gene:CCACVL1_09559 transcript:OMO86561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGRAGLSWRWNMRRRLCTVVPRRIEDEGDWFYSSEWWGSDTESEFNGNNVFRSVSDKGNGVVSVLAYPSSRPNKLYWPETEKLLHQRYAEMHPGYEHNGQFRILGYQWRVLRFNDTTRQSTAKVMAAYRESEPGSICLMQQADCLAIPYTKSMVSLGLSTIASSSYDLMAAVHGKKRMRILCIGHGGGSLPLFLASKIQGAVIHVVEIDPIVISASVQAMGFPAFSVMTPSGERALSKPDTIDDIMWKGIHERLYLYESDAEKFVLENKDLYDIIFIDAYDGDDIFPNKLWDPHSRFLKSLSNQLHPKHGTVVVNLHADTGTSNPNESISYFYQRLLPMGKYVYRICKAYKDVLIGKDQTCNRKTGCGLGFAISVPWVCNTSLVVCRGFGLDSGFVNKDEKNAILTKIRTDDPCRPKRAAYRGEAAPLGRLTVAKPPHWGGSTTAEPPH >OMO53286 pep supercontig:CCACVL1_1.0:contig15250:38798:44004:1 gene:CCACVL1_28751 transcript:OMO53286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEREKLGEEGERRKSEENGDIIP >OMO53284 pep supercontig:CCACVL1_1.0:contig15250:2570:4015:1 gene:CCACVL1_28749 transcript:OMO53284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLSLSKFLPFPFLLFILTVPYIRITSAACHVDDEKGLLGFKAGITQDPSGMLSSWKPGTDCCKWSGVVCLFNDRVTSIQLYGQLDKPNSFLTGTISPSLSKIQDLDGIYLIDLRNISGKIPDLIFGLPKLQYIYIENNKLSGQIPVNIGKVTQLYALSLAGNQFTGTIPSSISQLSQLNQLKLGNNILSGQFPVGIKQLRNLTFLSLEHNKLSGTIPDIFKSLTELRILRLSHNAFSGKIPESISSMAPKLMYLELGHNALSGQIPSFLSNFKALDTLDLSWNLFTGVVPKTFANLTKIFNLDLSHNALTDPFPQLYVKGIESLDLSYNKFNLKEIPKWVTSSPIIYSLKLAKCGIKMNLDNWKPAEIYFYDYMDLSENEISGSPVSLLNRTDYLVEFKASGNKLKFDLGKLRIVKTLKQLDVSRNLVFGKVPTTITGLEKLNVSYNHLCGQLPKTKFPASSFVGNDCLCGSPLAPCKL >OMO53285 pep supercontig:CCACVL1_1.0:contig15250:14858:20825:1 gene:CCACVL1_28750 transcript:OMO53285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MAQVVATRSIHGSFLSQGSGSVQERVEKLKPASFASKVLAREESKSKRVVLRRNSQVTAKRAARAEPEVIPVSPEDVPKREEQYVQLRGIPQAGDTSVGMWSKPLVRRKTKIVCTIGPSTNTREMIWKLAEAGMNVARLNMSHGDHASHQKVIDLVKEYNAQTKDNTIAIMLDTKGPEVRSGDLPQPITLTTGQEFTFTIRRGVGTADCVSVNYDDFVNDVEVGDMLLVDGGMMSLVVKSKTEDSVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNKVDFYAVSFVKDAQVVHELKNYLKSCDADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRICRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATISGGQMPPNLGQAFKNHMSEMFAYHATMMSNTLGISTVVFTRTGFMAILLSHYRPSGTIFAFTNEKRIQQRLALYQGVCPIYMEFSDDAEDTFKNALALLQKQGMVKEGEEVALVQSGRQPIWRFQSTHNIQVRKV >OMO78590 pep supercontig:CCACVL1_1.0:contig10563:52366:55607:-1 gene:CCACVL1_14288 transcript:OMO78590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVLSVAVLSVVLGAVIAFVFFKNYLRKQRSEVQTIAKPELHSDPKKPSKPPQSKKSHSKPHSHSSDKDQNKRHHPLDLNTLKGHADSVTGLCFSPDGRNLATACADGVVRVFKLEDASSKSFKFLRINVPAGGHPLAVAFSDDASSVVVASQTLSGCSLYMYGEEKPKTSNDSKQQTKLPLPEIKWEHHKIHGKRSVLTLTRSTATYGTADGSTVIASCSEGTDIILWHGKTGKVLGHVDTNQLKNNMATISPNGRFLAAAAFTADVKVWEIVYSKDGSVKEVSKVMQLKGHKSAVTCLCFSPNSEQIITASKDGSIRIWNINVRYHLDEDPKTLKVFPIPLHDSNGSTLHYERLSLSPDGKILATTHGSTLQWLCMDTGKVLDTAEKAHDGDITGIAWSPKTITLGNEQVVVLATASIDKKVKLWAAPSVNSS >OMO78583 pep supercontig:CCACVL1_1.0:contig10563:13243:14220:-1 gene:CCACVL1_14280 transcript:OMO78583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGRSRYKELQRISSSYQVGVRYTWQNQGAEWRVNV >OMO78581 pep supercontig:CCACVL1_1.0:contig10563:4347:6681:1 gene:CCACVL1_14278 transcript:OMO78581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNNGGGGGPCGACKFLRRKCVKGCIFAPYFDSEQGTAHFAAVHKVFGASNASKLLLRIPAHKRVDAVVTLCYEALARVRDPVYGCVAHIFTLQQQVVNLQAELAYIQARLSTLQRLPLLPPAQSPSPTSLHSSSEIGSDLVSSSNMSINFDPTQHQHTSFELTSFPNPFDQEVENEEELQALAREFVSRYLPGVRFRPSNSG >OMO78582 pep supercontig:CCACVL1_1.0:contig10563:9691:11643:-1 gene:CCACVL1_14279 transcript:OMO78582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPHKTEDDLFHHHHDLGPPPQIIQTQSHPSISPHHDPPDPSFSLPEIVLFRSSSSADSPSRSSSDNDDAATTHHHHHHHHAPSSTATTTDFTNATHKITNQPLGYISPEPHISSQFYTFNAESHSLMIRCIREQRLATPAEIRAATPRSVLKSWRAVWKDRNEDTAYLTAWKRIQDKLTAHVEPNSGNEFLCFKNNSNQFVSHINQWQEIVMSFHGDADLKHLGLKETIERIKQVWTVGAKFYGIPESYIRVCVAACPVCNASSGSSSRSKRRRFEYTESFDVPAKEVPHKLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPAAKKSRILKREPYASKRCGCGFRIRAIVPIANYNEKDKTFVYQEEGMAVFKLYAVHSGHEPGPLDGNARIMHRVVGHKGGFLLDQDMVYGVNEDLDSDGFGLIGKDEGELQLAVLQQVQELRAEIGLLEGRVGKIPRHFLGSVSRELFDILSKVRSLGDEGSKSMELLADKPPSDDMLVGENDLAHWSDHHHDRIYGDGKDVELIDDDEDSFGRTLGDVVPWDQMRTDCRSQKDLMSEPCKTDKWLKCSDFDEKSILDCEDTKLTKSMRHDDGIVTDVGLVGIQVDSFYQDNPKWYDSPCGLDSSTDCGDSGFRHGEIV >OMO78584 pep supercontig:CCACVL1_1.0:contig10563:21059:23796:1 gene:CCACVL1_14282 transcript:OMO78584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANSGVTVIGAEAPSAYHMAPRAENANQIGGNSTTMDASPVSVGLTASTEKKKRGRPRKYGPDGTIARALSPMPISSSVPPGGGEFSSGGGSGKRGRGRSSGYQIKHQKGMEMDNLGDWAATSVGTNFTPHVITVNAGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTETQGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLPGNQHDQKPKKQKNESIPQATVATNPTYITAPASHAEKDDGNGNGIGGPSQQNSNALKSNLAAAATFRRENWATMQEPRNSTTDINISLPAG >OMO78586 pep supercontig:CCACVL1_1.0:contig10563:28907:31274:1 gene:CCACVL1_14284 transcript:OMO78586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, subunit alpha/beta MAKQHANWSPYDNNGGSCVAIAGADYCVIAADTRMSTGYNILTRDYSKICKLADKCVMASSGFQADVKALQKHLGARHLIYQHQHNKQMSCPAMAQLLSNTLYYKRFFPYYSFNVLGGLDNEGKGCVFTYDAVGSYEKVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAQDAVTPLAEDEAVDLVKTVFASASERDIYTGDKLEIVVINADGLRREYIDLRKD >OMO78588 pep supercontig:CCACVL1_1.0:contig10563:40022:46720:1 gene:CCACVL1_14286 transcript:OMO78588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRNKEKLVLLLDVGPSMHGVLDDVETLCSMLVEKKLIYNRNDEVGVVTFGTQGTSNDLKKEVGGYNNIIALQDLKIVDGYLVDILQNLPRGTVNGDFLDAIIVGMDMLIKKYKDLYKGKKRLCLITNAIHPIKDSLEGTKEDQVRTIAARMAEQGIKMESIVVRGRLSQDADKRVMDENDCLLSIFSEKTRTRTVYVDSPTSLLGALKTRRITPVTVYRGFLELSPHMKIKVWVYKKTQEEKFPTLKRYSGRAPKTSKSATHQVKVDYEYKSVAEFNKAVPPEQRVKAYRYGPHVVPISNVELGAVKFKPEKGVKLLCFTDASNILRQYYMKDVYQFIAEPGNKRAILAVSAIARAMKEMNKVAILRCVWRQGQPNVVVGFLTPNISENKKIPDSFYFNALPFVEDIREYQFPSFNSFPALCQPNEQQQQAADELVQRLTLAPSGKEESLLPEFTPNPVLERFYRHLDLRSKQPDAAVPPINETLKRITELDPELFSENKSVIDAFNKLFEIKENPKLKKSVRRVLREKSSGSDDDGNADQIDAEVVNAIEEAQVEKVGDLTPVHDFEVLMSRRDSPDWVVKAIKEMRNLILQLLMKSHEGDNYIKAVECIAALRKGCILEQEPGPYNNLMRYLKQFCMKKENISFLQLLASRKLNLISKSEAEDSDATGEEKDGGEASSELVLYSYWQSSCSWRVRIALNLKGLSYEYRAVNLAKGEQLTPEFEKLNPLHFVPVLVDGDLVVSDSYAILMYLEEKYPQRSLLPADPQQKALNLQVASIVSSSIQPLHMLSILKSLEEKISPQEALQFAQTNIEKGFFALEKLLKDSHGKYATGDEVYMADVFMAPQIAVATERFKIDMSKFPTLSRIYESQKVLPEFLAASPETQPDALH >OMO78589 pep supercontig:CCACVL1_1.0:contig10563:48555:49836:1 gene:CCACVL1_14287 transcript:OMO78589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MLPYQTLEEAASALGRNLTLPEKLWYNYSANKSDLLLYSHNTIFLCFVFTLAPLPYALIELSQSDYMTKFKVQTKIKTSFSDMFKCYKDVMKTFIFAVVPLQILSFPTLKWIGIRTSLPLPSMWEILSQLVVYFLIEDYTNYWLHRLLHCKWGYEKIHHVHHEYSAPFGFAAPYAHWAEILILGFPTFLGPTMVPCHMVTLFIWTILRHLEAIQTHSGYEFPWNPSNLVPFYVGAEYHDYHHFVGRQSQSNFASVFTYCDYIYGTDRGYRHHKQALNKVHIAHNVILVIT >OMO78585 pep supercontig:CCACVL1_1.0:contig10563:27737:28464:-1 gene:CCACVL1_14283 transcript:OMO78585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon MANLFGWLMTFFLLVSLLAMVGYQLICFADLEFDHINVYEFSTRVNKVVMPEFVIQAVFSLVALDYIK >OMO78591 pep supercontig:CCACVL1_1.0:contig10563:56242:66785:-1 gene:CCACVL1_14289 transcript:OMO78591 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MEGEKGTVCVTGGTGFIASWLIKKLLEEGYSVHTTVRANPEKSRDMSFLTNLPGAAEKLKIFSADLSDPDSFDAAIEGCKGRVVYTSSAFAVVFNNNDVESEMMDESFWTDVDYVREKFQPHVRSYMISKTLTEKAALEFAAEHGLDLVSVIPSFVVGPFICPKFPGSVRTILALVLGEEYSHLLNTSMVHVDDLARAHIFLLQNSNAKGRYNCCLDPISLQKMAEILSAKYPEFPIPTSDSLADVKVWKCTERGMEGEKGTVCVTGGTGFLASWLIKRLLEEGYSVHATVRANPEKNRDMSFLTDLPGAAEKLKIFSADLSDPDSFDAAIEGCMGVFHVATAVDFETKETEEVGNQRAISGALGILKACLKSKTVKRVVYTSAASAVVFNNNNDVEMMDESSWTDVGFVRETWKQYGSYMISKTLTEKAAFEFAAEHGLDLVSVIPSFIVGPFICPKFPDSVRMALALVLGTTYEYSHLLNTSMVHVDDLARAHIFLLEYSDAKGRYNCSLDPISLQKMAETLSAKYPEFPIPTSDSLADVKGMKIPGLSSKKLLDAGFKFMYGVEETFDGAINCCKEKGLLYEMLGSSSSGGSKSAWKVLIMDKVTVKVMSHSCKMADITDQGVSLVEDLFRRRQPLPSLDAIYFIQPLKENIIMFLSDMSGREPLYKKAFVFFSSPIPKELINHIKSDTSVLPRIGALREMNLEYFPIDSQGFITDHETALMDLFSEDAEKSRKFEICLNTMATRIATVFASLKELPFVRYRAAKTQDASAATTRDLIPFKLASAVWNCVEKYKSIPNFPQNATCDLLILDRSVDQVAPVIHEWTYDAMCHDLLDMDGNKYVVELPSKSGGPPEKKEVLLEDHDPVWLELRHAHIADASERLHDKMTTFKSRNKAAQMGSRDGGEISTRELQKIVQALPQYNETMEKLSLHVEIAGSINTLIRQMGLRDLGQLEQDLVFGDVAAKDVINFLRTKQDASPENKLRLLMIYASVYPDKFEGDKGSKLMQLAKLSPEDMKVINNMQLLGGPPQIKKATSGFSLKFDGQKTKQANRKDRTGEEETWQLFRFYPMIEELIENLSKGELPKNEYSCMNEPSPTVQETAPSGSTRTRQAPTAPTDQKRPAHSMRSRRTATWARPHSSDDGYSSDSVLKNATADFKRMGKRIFVFIIGGATRSELRVCHKLTAKLNREVVLGSTTLDDPPQYLTKLKLLSEKDLSMEAPKPVFW >OMO78587 pep supercontig:CCACVL1_1.0:contig10563:31760:33075:-1 gene:CCACVL1_14285 transcript:OMO78587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAASQSICAFKNSRYKKAHNHKISTFQPIVISQSQQPDKKKPEQLIPRREIILRSSELAVIGAIFSFSGKKPDYLGVQKNQPALALCPATKNCISTSENISDLTHYAPPWNYNGGRNRKKPVTREVAMEELLQVIKSMKPDKYTPKIVEKKEDYVLVEYESPILGFVDDVEFWFPPGKKSIVEYRSASRIGSFDFDINRKRIKALRQELEKKGWASEEKF >OMO93705 pep supercontig:CCACVL1_1.0:contig08078:890:1367:-1 gene:CCACVL1_06373 transcript:OMO93705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETGIGLFGMLRNCPETLALTLFSFAAIWFLGGLVMFHSYLIAVNQTAYENFRYRYQSSPNPYDKGIISNIKEVLFAPLPPSRVDFRAEMMIPRWNMEDDHIRV >OMO93707 pep supercontig:CCACVL1_1.0:contig08078:12347:13320:1 gene:CCACVL1_06375 transcript:OMO93707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASRIKECPTGSAKRENVGLLQVQTFYPSKPYRSSNHNSRLRHYNSFS >OMO93706 pep supercontig:CCACVL1_1.0:contig08078:2652:8254:1 gene:CCACVL1_06374 transcript:OMO93706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKKVKMKILLIIASVAAISIFFTAQLYRRRRRRQEERPRSCCYLHSEPKPQQIFKRVFADNSYSPFNHFNPHQTDSVNDKSSSLTHPYESEITALMENPQLQFLEFDVKMDMEMDDSYVWIETESQLSQLAQVLSKERAFAVDTEQHSLRSFLGFTALVQISTEKEDYLVDTIALHDAMGILRPVFADPSICKVFHGADGDIVWLQRDFHIYVVNLFDTAKACDVLSKPQRSLAYLLETYCGVAKNKLLQREDWRQRPLSEDMVQYARSDAHYLLYVANCLISELKKQDHEYSSCPDDKFNFVLEASRRSNMICLQLYTKEIEDFPGESAASSILSRQSNSQVGAPSASGEAKFQDLVRRLCAWRDLMGNSLKKVSSLLQTFLIFRVILVFVSLESSSYRYFTRSATSFQARVHDESSRYVLSDHAIVALSEKIPTTQADIFGTIIEADLNIDSSNFSSTLPSPSPLVCSHWIDVHQLIQDKLGSLDKFFPAVLKNCQGPNGSCPLSVFNYALLMNCSLKLETRLVFKQNGFRNPKQVSKKASRELFIQKFSCKSPVYHNCRIYANDGRLLCYCDRRKLEWYLRRDLAKLVEDDPPAIMLLFEPKGRPEDEDNDFYIQSKKNICVSCGEGNHYLRYRIIPSCYRVHFPEHLKSHRSHDIVLLCVDCHEKAHAAAEKYKKRISGEFGIPLYVRKVVDSDQVPIITGSSDSMNFEDSGVSPLQLRTAAKALLRHGPEMPTGRREELTQIVRTYYGGREISKEDLERALVVGMSPHERRRLEKKKGLSSKRSKRILPDKEQENSKVTKIVSTTSDPPIVDNLYGSDFTADISHAAEVDTLKEELGTKEDMDLCIVTDTNASNAPLCSDLGEAIIPTTDYNDMNSNSTAISDARGVCVVNAEDNCETSSQTEIANSSAGYDGNIPLKHNKKLSLLGHGPHGKQVVDHILSKYGEEGIRQFCQRWRQVFVEALHPRFLPAGWDVLHSGKREFGEFSVYNPVKKGAENG >OMO93708 pep supercontig:CCACVL1_1.0:contig08078:15942:16514:-1 gene:CCACVL1_06376 transcript:OMO93708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLRIPPSSSSSSSPRRDPENPFAQPEDLNSNQKNSQTSSLDRITQLELIKLLLPSSLALVAALFITNQNSAGESPPTPYSWLSPFMAVVLCIGLVAMFYGLIYAERYPTVSSFAELVGLASVLLAFFIAMFGVLLPPSLYWFPLLCWLLIVAPYIKEVIDRYRSQKVSDACVSLTGPTSNRSTSTLPA >OMO81041 pep supercontig:CCACVL1_1.0:contig10248:16487:19774:-1 gene:CCACVL1_12654 transcript:OMO81041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin ClpA/B MNPDKFTHKTNEALAGAHELAMSNGHAQFTPLHLAVTLVSDPSGVFHQAISNAGGESAAQAAERVFNQALKKLPSQSPPPDEIPANAAFERRFQQVYVAEPSVVDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRMQLEVELHALEKEKDKASKARLVEVRKELDDLRDKLQPLMMKYRKEKERVDEIRRLKQRREELMIALQEAERRYDLARAADLRYGAIQEVESAIAQLEGTTDENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNEKERLIGLAERLHQRVVGQDQAVAAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLIRIDMSEYMEQHSVARLIGAPPGYVRRHFRPELLNRLDEIVVFDPLSHDQLRKVARLQMKDVASRLAERGVALAVTDSALDYILAESYDPVYGARPIRRWLEKKVVTELSRMLVREEIDENSTVYIDAAPNGTDLLYRVEKNGGLVNAATGQKAEVLIQIPNGPTRSDAAQAVKKMKIEEIEDDEDEMDM >OMO81039 pep supercontig:CCACVL1_1.0:contig10248:12578:12664:1 gene:CCACVL1_12652 transcript:OMO81039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVLPTLGGSRKKKEAEKARNRRKRK >OMO81037 pep supercontig:CCACVL1_1.0:contig10248:6109:9347:-1 gene:CCACVL1_12650 transcript:OMO81037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRTLRHAIGSLYVGGQATAEEYTRLLLECVRANDVYHAKQLQSHMELHCFTPTDTFLHNRLLHLYAKFGKISDARNLFDRMPLKDIISWNAMLSAYAKSGSIENLTAVLDQMPIRDSVSYNTVIKGLAGNGLSCKALEVFVRMNREGFEPTDYTHVSVLNACSRLFDLRRGKQIHGRIVVGNLGRNIFVCNALTDMYAKCGLIDRAWWLFDRIIDKNVVSWNSMISGYLKNGQPEKCIDLFQKMRGNGFKPDEVTLSNVLCAYSQSGLIDEASNVFSMIKNKDKICWTAMIVGYTQNGKEEDALNLFNKMLSEGVNPDNFTLSNVVSSCAKLAFLCHGQAVHAKAILLRVDNDLLVSSALVDMYCKCGAIRDARLVFDVMPSRNVVSWNAMIGGYAQNGQDLQALSLYKKMLQENLKPDSITFVAVLSACNHAGLVEEGRRYFWSISEQHGSVPTLDHYSCMISLLGRSGCVREAVDLIRNMPHEPNSLIWSTLLSVCAIKGDIEHGEMAAKFLFEMEPLNAGPYIMLSNMYAASGRWEDVATVRSLMKSKNVKKIAAYSWIEVDNEVHKFVAEDRTHPETEIIYKELSRLIKKFQEAGFMPDTKLVLHNVVEEEKLASICYHSEKLALAFGLIKKPQATTPIRIMKNIRVCGDCHVFMKFASKIIGRELRDASPDVVEYRHGLTPPMRDVQKQRVRREPDSNPAELVQHVEKDLVNIMSEGTVENLDILDTFNFSIVYLVTTEE >OMO81040 pep supercontig:CCACVL1_1.0:contig10248:12986:15639:1 gene:CCACVL1_12653 transcript:OMO81040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRSYTPSPPRGYSRRGRSPSPRGRYGGGRSRDLPTSLLVRNLRHDCRPEDLRRPFERFGPLKDIYLPKDYYTGEPRGFGFVQYVEPGDATEAKYQMDGHILHGRELTVVFAEENRKKPAEMRHRERGGRGGGGGGRYRDRRRSPPRYSRSPRYSRSPPPRHARSRSRSRDYSPPPKERHYSRSISPRDGRYSRERYSRSPPPYNGSRSRSRSLDRVQGRSRSRSPRHSRSRSLRRSPSRSISPRRVESPIRSRSQSPNREDYKRELNGERRAADV >OMO81038 pep supercontig:CCACVL1_1.0:contig10248:10007:11830:-1 gene:CCACVL1_12651 transcript:OMO81038 gene_biotype:protein_coding transcript_biotype:protein_coding description:TAFII55 protein, conserved region MDEQFILRVPPSVAETIDRLLSENASSSEDKPLDLLFSEDGRTGTFVIGNDRFPVSLMDLPCVVESYKTYDDCALVKTADIGQMIMVREPADASPDVVEYRHGLTPPMRDARKRRFRREPDLNPELVKRVEKDLLNIMSGATVGNLDILDTFNFTNGQAEAGDENARNSNKKTPPAPATKPEEVPDTGGNAGEQEGSESDDSDDSM >OMO68419 pep supercontig:CCACVL1_1.0:contig12225:21756:22753:1 gene:CCACVL1_19954 transcript:OMO68419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L35A MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLLQIEGVNTKEEVAWYCGKRLAYIYKAKGDKVRVFMYPSNI >OMO68423 pep supercontig:CCACVL1_1.0:contig12225:40772:43565:-1 gene:CCACVL1_19958 transcript:OMO68423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHYPGNVASIDEFGGIKPIGSL >OMO68425 pep supercontig:CCACVL1_1.0:contig12225:45865:45930:1 gene:CCACVL1_19960 transcript:OMO68425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTMTMGYLLPFREEARWS >OMO68416 pep supercontig:CCACVL1_1.0:contig12225:6593:8641:-1 gene:CCACVL1_19950 transcript:OMO68416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHKKAMKRMKTMMTSFLRMIAAMMQEASEDKFTGNGVVDDIGRVFASCKCFGYIE >OMO68422 pep supercontig:CCACVL1_1.0:contig12225:37638:39658:-1 gene:CCACVL1_19957 transcript:OMO68422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MSFLGTQQKCKACEKTVYPMELLSADGVPYHKSCFKCNHCKGTLKLGNYSSMEGVLYCKPHFEQLFKESGNFNKNFQSPLKSAEKLTPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVEGQSYHKSCFKCSHGGCPISPSNYAALEGILYCKHHFSQLFKEKGSYNHLIKSASIKRAAASVPEA >OMO68415 pep supercontig:CCACVL1_1.0:contig12225:1458:4904:1 gene:CCACVL1_19949 transcript:OMO68415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MVENNQNDVEKDKVAVEIPDTAHQVSTDSWFQAGFVLTTGINSVFVLGYSGTIMVPLGWIGGVVGLILATAVSLYANMLVAKLHEFGGRRHIRYRDLAAFIYGRNAYSITWALQYVNLFMINIGYLILGGSALKACYVLFRDDHMMKLPYFIGIAGFVCILFAIATPHLSSLRLWLGCSTVLSLIYIIVACVLAAKDGIKAPPRDYSLPSGSTASKIFTTIGASANLVFAFNTGMLPEIQATVRQPAVKNMLKALYFQFTVGVLPMYAVTFIGYWAYGSETTTYLLNSVSGPVWIKATANISAFLQSVIALHIFASPTYEYLDTKFGIRGSALKIENLAFRIAARGGYLVISSLVSALLPFLGDFESLTGALSTFPLTFILANHMYLVAKKTKLNSLQKLWHWLNVAFFGLMSLAATVAALRLIAVDSKEYHVFADI >OMO68418 pep supercontig:CCACVL1_1.0:contig12225:16153:17889:-1 gene:CCACVL1_19953 transcript:OMO68418 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MNLIQAISCSDFKDIFNWKHFREYLKDDISVVDHLPPAFASIKPHQRTPVSYSKPAYYRDQMSSLLKKHKVINFTHTDARLANNGISNSIQRLRCRAMYEALKFTDDIEYLAEKFINRLRSDGKPFIALHLRYEKDMLAFTGCSHNLTEAEAEELKHLRYSVHHWKEKRIDGKAKRRHGLCPMTPREVAVFLEAMGYPLDTKIYIVAGKIYGHNGLAALKEKYPVVATHSDLATKEELRPFLNRQNQLAAVDYIVALESDVFVYTYDGNMAKAVQGHRKYQGFRKTINPDKVNFVKLIDQLDREMLTWGEFSWKIKVLHANRTGGPVLRKPGSSMKTEESFYANPYPGCICQK >OMO68424 pep supercontig:CCACVL1_1.0:contig12225:44412:45079:1 gene:CCACVL1_19959 transcript:OMO68424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MVESNRDNIFEAVQNLHSALKQLGLQRIKISTIVSFIATINNPFPASKARVSDSNLDFFRKLLRFLEETDTPLLINLYPYQILKSRPEVLVAFALFKEYPHLIRYDMVNSYKMFELRIS >OMO68417 pep supercontig:CCACVL1_1.0:contig12225:13110:15636:1 gene:CCACVL1_19952 transcript:OMO68417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFRDRTEDFKDAVRHAAISSGYNESKLAAIMASFIIHKPRQMTPFTKSALKTLESIEALNQFMLKHRKDYVDLHRTTEQERDSIEHEVTAFIKACKDQIDILKNSINNEEASSKGWLGIKDSSNADTIAHKHGVVLILSEKLHSVTAQFDKLRAIRFQDAINKATPRRKLKRAVDSNSQDTSKPLNLELRETNELHSEPLRVQQELLDDETRALQVELTSLLDAVQETETKMVEMSALNHLMSTHVLQQAQQIEHLYEQLVVLTIVVH >OMO68426 pep supercontig:CCACVL1_1.0:contig12225:46294:46467:1 gene:CCACVL1_19961 transcript:OMO68426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MVDGVLVKYNNVFDMMLDVIISTMEKLGHRQTVVMVTKTGWPTGGGDLISVKEYAKL >OMO68420 pep supercontig:CCACVL1_1.0:contig12225:29550:31710:1 gene:CCACVL1_19955 transcript:OMO68420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPMQTIRSRVQFFKISALSLVFCVSVVFGNISLRFLPVSFNQAIGATTPFFTAVFAYLMTFKREAWLTYVTLVPVVTGVIIASGGEPSFHLFGFIMCVAATAARALKSVLQSILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEDNVVGITLALARDDIKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYSLTVFGVILYSEAKKRSK >OMO68421 pep supercontig:CCACVL1_1.0:contig12225:32483:36188:-1 gene:CCACVL1_19956 transcript:OMO68421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSNSLPDLRSHLSRLTKPILDLLLKTPYTPEETTKVSTKSTLESLLSTTSSVKDFSLACALLSSSRSSTHELLAWIPSHLSAAAETAFSDLSEAYNDGLPGDEKRNLAAELMPEIVPVLKEKIKESLIDKSDEIDEVFAASARTPVGFAILAAYQFRWFVCQVEYPHLGKLVNLIIPCALTCLDHWSPEVKAQGMISLIHMAKNVNAADFGWYGDAILDACCHNIASADEIWKYVVEMLVLLVTSIERDNPRSSWFEKMLNEMLSHLERQPRDKERRIAWLKFVEPIFDAIGLVVLAHFRRIFPLFFQWMHVDDDETVLLVLKRIKTVIRLTWIWNSPYVVRLVDELVILFKESALKKAREEMRTDILDILTMLQQCKGQQFETAWEKHRNDPDLTTLALHLSSGPTFKAESVVEGVAIQDIHGQ >OMO69913 pep supercontig:CCACVL1_1.0:contig11936:6869:10499:-1 gene:CCACVL1_19202 transcript:OMO69913 gene_biotype:protein_coding transcript_biotype:protein_coding description:Engulfment/cell motility, ELMO MRSGVLRRRLHHGDVDGRTNENYETSGLDGLDEPLLGSRDCDDKPSQVHRLEDIWEEERMKENLHWRFLFSNLIAQWAQWIANIVLGSGSFIARILALPSNTLIGPSEKLVCPALSPLQEVRLKNLQQRVGIPFDGSRAEHQDALRQLWRLAYPDRELPSLKSELWKEMGWQGPDPSTDFRGGGFISLENLIFFAKKYPVSFQRLLHKEDGIRSEWEYPFAVAGINISFMLVQMLNLQSGKPSSKAGIRFLALLGEDEMAFDDLYCVAFQMMDAQWLVKRASYMEFNDVLKSTRIQLERELSLEDVSSVKDLPAYTMLRRIADAVPESSFYGHHIREDVIGFIC >OMO97804 pep supercontig:CCACVL1_1.0:contig07200:12529:16860:-1 gene:CCACVL1_04447 transcript:OMO97804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGKRSKSSSSDRRRSKASAASQPTRTRRRRSSDESSTVVSVTDAVDGVDVKEPERRQQHQKARHTGDFPVNIPGPELRRPQPELNQQGWPSWLMAVAGEAIRDWTPRRANTFEKLEKIGQGTYSNVYKARDLLTGKIVALKKVRFDNLEPESVKFMAREILVLRKLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAACQGIKFTEPQVKCYMKQLLSGLEHCHKHGVLHRDIKGSNLLIDNEGILKIADFGLATFYDPEQKKPLTSRVVTLWYRPPELLLGATYYGVGVDLWSAGCILAELLSGKPIMPGRTEVLLLLFLLFWNPIRPLIFYESDGRGVGASPHEPMLRNIWSSSLLLAVLVTSANGKLKSCLRKRWVEQLHKIFKLCGSPSEEYWRKSRLPNATLFKPQQPYKRCIAEIFKDFPSSSLPLLETLLSIDPEERSTATAALSSEFFTTEPCACEPSSLPKYPPSKEMDVKLRDEEARRQRGLASKVNGVDGTRRIKGRERPSRAIPAPEANAEIQSNLDKWRVMTQTNAKSKSEKFPPPHQDGAVGHTADTSHKGPVSFGASDTSFSSSIFNPKSSGSAKSSGATSGPSRRRKTNKDPQRAPSRRFIRGFKPSSIGLSMDMLFRGKSEVFRSKN >OMO97805 pep supercontig:CCACVL1_1.0:contig07200:32265:34526:-1 gene:CCACVL1_04448 transcript:OMO97805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDFARVQKELQECSKEIDTSGIRVSPKSDNLARLTGIIPGPLGTPYEGGSFEIDITLPDGYPFEPPKMKFVTKVWHPNISSQSGAICLDILKDQWSPALTLKTALLSVQALLSAPEPDDPQDAVVAQQYLREYQTFVGTARYWTESFAKASSLGVEEKVQRLVEMGFADSLVRSTLEAVGGDENLALEKLLSS >OMO78521 pep supercontig:CCACVL1_1.0:contig10573:43744:48887:1 gene:CCACVL1_14332 transcript:OMO78521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVVGDRFIISDYAIKCSNSLSLIVTLGFSKLRITRILLIVLRFSLIVSMEDAGLLVRLLISLSLHQSVKQSQKERDTQRRTERDEKANKQTSILHADNEAEPEDNEEDPCDPELGEAERIPLHVLP >OMO78517 pep supercontig:CCACVL1_1.0:contig10573:9731:10700:-1 gene:CCACVL1_14328 transcript:OMO78517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSKDLWTRGRKVIQVLKPLFQALNLVDRYGSNMGYLYEAMNKVEDAIKQHCNINPCNKSEQVHLQTILNIIKKCWRECQVNNHPMHAAAAFLNPAFLWSKEFVEDSKMEQCLKFLKQLVIEEEEGEAFVKQLKLYRIKTPDLFTDAAVKFVNQVHPRKWWEIFGDGLPILKKYAVRVLSQPCASSICDSVWLPNSSNDELGMNMVAMENFNRMRPQEFDIIDLEKFSGLPGCDLQHIRRCLGQRQDPDDFDYYDLLVINDPPYLFRDQNPLPVDFQFHFVDFGFWR >OMO78519 pep supercontig:CCACVL1_1.0:contig10573:14236:31175:-1 gene:CCACVL1_14330 transcript:OMO78519 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAP/25A-associated MYELQLQSQPFFNLPKTLQLQSQPSSLPFISTSSTPVSNPKFRALSSIQEFPPNAVRRKSDPQWRGGFSLGVDLGLSRTGLALSKGFSVRPLTVLKLRGQKLELQLLEMAENEEVDEFIIGLPKSWDGKETPQSNKVRSIAGRLAVRAAERGWRVYLQDEHGTSTEASDRMINLGIGKSARQKSSDAYAAVILLERYFAMSGKDIEIVLPKQMDLQEKLRRGSTLDIDFYPEEFNQVIDSITSHISLYHSHSLSQNPNPNNNPRSSILKWFSSLTVHQRQAHLTIVDFSFTQLLIQMLGKLRTRGHGCFIILPDLPSKDPPYLPGLCYKQSRGLLSRVAESNQSERRVFESVRLFGSREGEKIDECSCSVSSLDSMTVTGELVENVDRFVEIMDKVSNGAFLRGEESELGSDWVELEWLKSKGYYSLEAFVVNRLEVALRLAWLNCNNGKRRGVKLKEKVNAAGVAANLFWRKKGCVDWWVNLGDGTRRKVLTAIIGKAAKSLTLEVLKAAGSASEDEKWLFSAGAMERPMRYNCTEPLRGTFPKRPEDAEFGIIITPNSHCGKPTSLTDVFSSLFVLRDIVSVVLSCHNNECYMGKLFFSALSSVSTITDSILRKLRGFLMVISLESTKLELLGEGNFNSSSDKSKEKFSACSGKKKGRSRNAKKQNPMLKAKVDGPPPEKPLKDLEYESIHNKKADLMESSKMTSVSQGKDVNKKSPSPMEMEHTRSTVGSKGRAAARKNRKEKNKNKRSCISDTVQVKTSKKAVTEAASSSFIFQDEATNSNGGLDNLNIQGIPTNSIGHSGILESTSCPSRPDNQQLSREDVAMQVQDPEVGSSKDFCHRDNGHQPCVKDNIIENEIIHTRHNGSNCRVECNVLPPTAPVPESEGVFTGKGISIQNSLSASKIQETSTSPDAPLNTLEIKEEVSVIQVQDKKPLSTAPTSSPQCLSYEWPSVAPFYFPSINSHVPAATDRLHLDVGHNWHNHIRQPFVPAMHQARNPTIESGCNRILSHPMPMSLDWPPMVRSACGLAPSIACNYDFSRRQTVFQQSFASQKFQFNRKNLDDERKYSGDFFDSPDLANTPELGDECDSHWISEEELEVHAVSGIDYNQFFGGGVMYWNPSDHPGTGFSRPPSLSSDDSSWAWHEADMSRAVDDMVAFSSSYSTNGLTSPTAASFCSPFEPLGTGHQAVSYVVPGNEVSSKVLHSASATPDAATEEEASGSLANISSDVEGKTGDSLPYPILRPIIIPNMSRERSRSDLKRSHDHKSPCVPTRREQPRIKRPPSPVVLCVPRAPRPPPPSPVSDSRKQRGFPIVRSGSSSPRHWGMRGLYHDGTNSDEGCVRMDGTEVVWPSWRSKNLSAHPMIHPLPGALLQDHLIAMSQLARDQEPPDVSFPLQPPELQSCPARKASLSSMHSLLNDEIDSFCKQVAAENMARKPYINWAVKRVTRSLQVLWPRSRTNVFGSNATGLSLPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLSNQEWVKSDSLKTVENTAIPIIMLVVEVPDDLISTGASNVQSPTDDHLEKNVEHGNPAHSDTVVLEDSTSPKGSQVNYGNTKDVKSVRLDISFKSPSHTGLQTTELVRELTDQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHLGRPINQNFGSLLMDFLYFFGNVFDPRQMRISVQGSGVYVNRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSILENELTCLSSNSDSVGALELDCLLKVPGKWTLRSSYLLLLQLRIELQGCQSRYEVAKIGCQNIEMAGVHSFVCNLEERVPWNVFDPRQMRISVQGSGVYVNRERGYRAPIYGCNTDSYHIAILDFHLQSANRQKSDPFWQYAVDLRPGFHGFQCNFCKNRFSGGITRLKSHLSGVRGLGINICSKVAEDVRREALQATNKGLVSASNLRENMAPSRDIASSSAMVTGEKMDSSSAPYQNTVISSDMVAELFMDSTFDSVISSPDRLDSIFAQLADVSLSDHMFTGENMDSTSFARSRDENAMDQKLAKFVVSNGLYSYCQDLTEIPFFADLLSSIEEFGSGYRFPDTATFQSTLVPGIEKEVEIYTANANKFLPTTGCTLMINPFILEETVSVDLFAYTIGGLMYIDSIVSGYPVRTFDTIIKRIISTIESLGPEHVVQFICTYNHIQFGNNFGKDHDRRFHVSLGSAIVKKYPWIYWSPCATRALEELIINLFEEITWVAETAHLAGLIYSYQHKNGTNSLLRSKNTISSKVYHASSESATYMDDCTSDIFLLNSLLEEEETLKALGVTLEGDDHEAATVVGRLLRHADFWSRVKIVTRVLKPLIQALNLVYAYGSNIGYFYEAMKKVNDSITQLCNDSSNQNKLVQEISEIMIKGQQESQLNLPIYAAAAFLNPSFVWNSEFVEDSDMEQGLKFLKQLVIKKEEAEAFAEQLDLFRLKSPDLFTDSALKLLNLIHPRKWWEMYGERLPILQKYADAKSI >OMO78518 pep supercontig:CCACVL1_1.0:contig10573:12713:13292:1 gene:CCACVL1_14329 transcript:OMO78518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNNHSRRPSPHFERKNEGVFHAVIVFLINTSCTLLQTKDENPFDTHYCIMLAFFVTLHAYVVALIAKMNLPPDTSACFRVVLNGTCHFSGALASILLLLIIVPPLGCLTFALWLVCFARAVCYWYRELYTWVYHANFRMFEILNLLLSPPMEEPIALLLPV >OMO78520 pep supercontig:CCACVL1_1.0:contig10573:31855:43039:-1 gene:CCACVL1_14331 transcript:OMO78520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-type MASPSFEAEDQVDEDFFDKLVNDDDDFDFTVSNRTSVVQATDSGEVKAFSNLTISETTSAGVDFSFEAEKETGAVGEDGGNSALLDSNEDVLVANESSCAVPSSNVSDSSEKGAVGEHVGISTLVHANEDALVADESCSAVPSNVIDSSEKGAIGEHGGISTLLHGSEDVLVVNESSSAVPSNVNESSDKGMVEEGLLDSGTSKIDSVASDNGVKEVQWSSFNSDTNVNSGGGFRTYSEYFNDLGDNSEDPFAEVGNKDDLATEFNATAGELENSVSDLASSTYLENNDVQYYGVGSEQKVDGQDLSNSQYWENPYPGWRYDPNTGQWYQVEGYDANATTNSQESWAVTQSVGDDLISNQKVDGHSLQQTAQSVMGNLAEDFGNSNDSNWNQISQGNPEYPAHMVFDPQYPGWYYDTIAQEWKMLESYTPAADHSATIDYNQQYQNKNVESYESQALGSQDHVINQGESASNYHQQSSTSFQAYSVSNRGTEVSSETKQLGNLFGSLGLVDNPAQPQNGFEPSRSVAQYDQPSQSFLNNGEVSQFQSPISAHSFPQFNNKTIVQPDQQVHFMPASVDSQKPGNCSQQPFQFGTSFSPSPYEGRSSAGRPPHALVTFGFGGKLIVMRNNDFSPTSSVYGSQGSVGGVVNVLNLIEVVMHNSDAPSFGFGAHDYFHKLCYESFPGPLVGGNIGNKELYKWIDERIANYESSSMGYSGEVLRLLFSLLKISCQHYGKLRSPFGSDQTLKESDYPELAIAKLLGSVKGKGVESIAYGTLKQCLQNLPSEAQMQATALEVQKLLVSGRKKEALEFAQEGQLWGPALVIASQLGDQFYGDTLKLMALKQIIPGSPLRTLCLLMAGQPAEVFSSVSSGGNVPGYITTPNQPGQIGANMLDAWEDNLAVITANRTKDDELVIIHLGDCLWKERGEVAAAHICYLVAEANFEPYSDGARLCLVGADHWNCPRTYVSPEAIQRTELYEYSKVQGNPQFLLLPFQPYKLVYAYMLAEVGKVAESLKYCQAILKSSKTGRAAEVETWKQLVSSLEERLRIHQQGGYNTNLASAKLVGKLLTFFDNTAQRVVGGPPPPPPSTSYSSVHSNGFAHQPGGPKTSSSQQTMALQTLMSPVSIESTIATPSLIPSSSVESTMAMASLMPSASVESTMAMSSLMPSASVKSTMMMPSLMPSASMEPISEWTGQTDLSAMPSRSISEPVFGQSDGKVNSSNEVKSSGTQEKPVESSGSSRLGRFGSQIFQKTFGLVLKSRSHRQVKLGETNKFYYDEKLKRWVEEGAEPPAEEAALPPPPPSASFQNGMNDLSIKDTPKSDSLHTSSDNKSPISSEGSSGIPPMPPSSNQFSARARIGVRSRYVDTFNKGGGSPVNLFQTPSVPSAKPVAGSSPKFFIPSPVTPNEDVVKNTGESMDEAALTNENPATSFKPGVAAAADNDSRRTASWSGSLSDASNLSTSRGLKPPGEAFHAAAAIHPPGVPLDPSAHQSQPAFSYQPQPAAEPQQQVVPDPTAAPANFVGNANFVQRDNPPPQMQPANRGGRRGGKPFRGGTRGHVGKNGPRPGGSAPHNKGPGHSGSKQFSSNGVMLATSNSMLDPGGKAAVVPPSASVPGQATLSAQVPAAPLQPPPRMGWCELCRVDCNRPEILEQHKKGKRHQKNLQALEQQQKLNKVKTEQQSLQVPSSGSEVLQLKKLEGSEEKQQEILPPLGVTNESKNETEHQKDLVNTSEASTSHSVEGKRKLKDPSEAHGRGLKRKMRGGRGGKYMKSNEGPRRPVEPPKPKGGIPFMCELCNVKCESQVVFNSHLAGKKHIANMKRFHGHHAVYGEAGLQALYPPNANAPLASFIPQIQQGVTDPQVVLAQLLTYVLTQAQVSGLAAPQVPLLAATSTPSYLPVSSSENHYTFTQGSLATSEVRMGEAEKSKAENLQQPSLSKAEASPLAGNGKAKNEVTEQQFSIAEIKVPGPAGTQAENGALDSENQVASPPKDNTISATSECLATACKTLLPSTSETDTRSNNNLKELDDDDPEEEEEEDPEEEVEED >OMO71493 pep supercontig:CCACVL1_1.0:contig11615:2205:14606:1 gene:CCACVL1_18202 transcript:OMO71493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALEQDPLINDLLPVLPYSGPFAIYHQYLQPNSPPEVDGQKWDQGLIGAFKALTQVKTGLSQILGVITGPISKL >OMO71494 pep supercontig:CCACVL1_1.0:contig11615:17975:18061:-1 gene:CCACVL1_18203 transcript:OMO71494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKSIKVSTIAYDVLRPLWQTKSSQPE >OMO61836 pep supercontig:CCACVL1_1.0:contig13403:12238:13524:1 gene:CCACVL1_23213 transcript:OMO61836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACERNLDKAKAAGKGSQLESNKKAISIQARENRFRITTRLRAARGNHAFRGIPISQVEVLDGVSTEVVNDQNEGDAVMNEGAAVRKGREEGDVES >OMO61840 pep supercontig:CCACVL1_1.0:contig13403:28926:32726:-1 gene:CCACVL1_23217 transcript:OMO61840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSSPLPLLFRRRSSGEVLKNLATVSSSLLPAFGTVVDDGYLHLRKYVIAPYDFRYRWWQTFLVVLVLYSAWASVFELAFKKASRGALLVMDLVVDVFFAADIFLTFFVAYLDKSTYLLVDDHKKIALRYVTRFWFFMDVASTLPFQFIYRIFTGKWHSGDVFGFLNLLRLWRLRRVSELFKRLEKDTRFSYFWTRLLKLIGVTVFAVHSAGCFYFFLAAHHKDPVKTWIGSEVEDFKHRSIWLGYTYSMYWSIVTLTTVGYGDLHAVNKGEKIFNMMYMLFNIGLTAYIIGNMTNLIVHAAVRTFAMRDTINELLRYASKNRLPEGLREQMLAHMQLKFKTAELQQEEVLQDLPKAIRSSISQHLFRKTVEKSYLFNGVSEDLVSQLVSEMKAEYFPPKVEIILQNEIPTDFYILVSGAVDVLTYKNGTEQFLTKLGSADMAGEIGVIFNIPQPFIVRTKRLSQVIRISHHHFKQMVQPNSEDGKIIITNFMQYLKGLKDDIRQEIPFLTELLEEQSMEPIGQDEQQNREGLDSANANPEGTLKTSNPSLSARTVRVIIHGHHPNEGTMSSEGLGKLIYLPDSIEELFRIAEEKFGNRGSAILMADGSQVEELDALRENDHLFII >OMO61838 pep supercontig:CCACVL1_1.0:contig13403:22062:23361:1 gene:CCACVL1_23215 transcript:OMO61838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSQTDTQSLAPPAMEAESQLSSLVYDMSQHVHMAMENMLKMTTEIDQNSLGIMEEMEKCKDSALERKKVLEEEKERFQKAAYTVLDMLNNRD >OMO61834 pep supercontig:CCACVL1_1.0:contig13403:550:10481:-1 gene:CCACVL1_23211 transcript:OMO61834 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase PIF1, ATP-dependent MNQMNQRMIHRMSPGVVSEDTLDLSDSSHCMNDASDGDVCERIKRTEMQCTAEVIEDFGKFPVHSRQLHLVGECSKSMVDVASKPQTNLVIDDNARCSAIVSFGAVALSVGESSNAMINICAEMGMQSFADSRVPPQYLDESVDLSNCIDCRIDNRIVEHKRTIFEALNFGGPSYQCSSCGAYMWYEERTIISRNTSFRFTSMGAKVDASVNRGRGPFIFRVNGRNYHLISSLLPLEGQRPKFAQMYMYDGDEEINHRLSVFEQPGQMSALERGIIEGLTDMLNACNAVVQSFRYARDMIRQQPQENFNLRLVGQRDTSLHNYTPPSVSEIAALIPDNFHLNIPLFQSSIAPRVTRKCITMRDYYAYLLQQRALESNTLLRGGRLFQQFVVDVYTMIKETRLRYIRDNQAALRCDMYENVRDAITEGDLRGDSVGCRVILPASFVAGPRYLFQNYQDALAICRQYGYPSLFITFTCNPRWPEIFEALNMIPGQHPEDRPDIVVRVFRLKLRMLMNDLVKNEYFGRTIASLYTVEFQKRGLPHAHILLWLDTSWSLITTQFIDKYISAELPDKEKDPVGYNVVVNHMLHGPCGYANPNNSCMKDGICSKDYPKEFVPYTTMDEDGFPVYRRREGINTAKVNGVELDSRSVVPHNLDLCVKYQAHINVEVCSRTQVIKYLFKYLNKGFDRSNVVLESDNADGHNEGSFGAEVVDSFTKPAACFFHDSQPLQMVLEREDVQETMFTQWMAINKVDQRARTLLYSEFPTHYTWIAKEKRWRERRQGRSIGRIMYIPPVAGEVYYMRLLLNIVRGARCFEDIRTVEGVVYTTFKEACTAHGFLGDDKGWIVALNEAVESATGHQMRRLFFTLITYGQIVDKVAFFEKNWKLLSEDIRYNLQRGMRLKNYTIRDTDVRGYALASLQEIFRKEASSLEEHGLPLPESSIVHHLSNRLMREELDHDYNALRMEHSENCRKLNTRQAEVYGIIRQAADAGEGVASSGIASQLLPGGRTAHSRFRLPLRVDESSTCKIFKRTELASLIQATSLIIWDEAPMIHRNCLEALDKTLRDVMSDIASDATHKPFGGKTIVLGGDFRQILPVVPSGSKSHIIDASICNSSLWSYCKILRLEQNMRLQRTNLDEAEKTEMESFGKWVLSIGDGTVMRATADSDLGASTVIIPDRFLIHTDGDPIHAIVNTIYASFEENYTDAMYLSQRAIVTAHNDTVDEINDVVLSKILTEERTYYSSDFVSKTSGNIQDPNALYPTELLNKLTFPGIATHILRIKVGCIIMLLRNISQSEGLCNGTRLIIKTMNNNVIEATVLSGPTQGQIVYIPRIMMTIEDKKLPFVLNRKQFPIRLAYAMIINKSQRQTLDLIGIYLPKPVFSHGQLYVAVSRVTFPRGQNQTIKLRITRMWEVFIPTTQKNLGIAFLAADNRGEGIHVHVPEANAQKFRPMLIEGMLYHVSRFQVVTPYMTHLTVIRNFGILFNRNTVLKPIPEDPAAYPRHFFHFDDDDKFGDEMKITVWEGCFNQMDDERLLALNPPPIMIFAAVSVRTFRDTIYLQTTSATKIYANLDIPEVAPIKARFEGEMHQAQFQQSQNPPMDIVDDHSTPPTTTIAELLSFEPQKIKRTVFKTTVIVDELNLNNGWFYKACNLCNKKVNEPEGNPINCTYHGEKIIPRIKMRLPIRISDETAGMDITLFDKEAEQITNTFITSLLQMEVYQRKKFQMVVLDVRESELKDIWKLSEPIQDLIQSLENIFDLSYPTAVPKYVLQSRKKPILATIAKIVIGYFYLTCDEQDKTDKTIFKWLKITDADIPSSATIALQLQQPNIIHALATLGLATNPFPIFTFKTKCPAPRDLTFSNPVHHFGGQANEKASFLITAPDIVQANIRIKMKLCMLSGQTSYELDFNFGRMGLFSGVESASRPYNEPLDLPMVLYNARGADISGFKIHLKNIVETYIPMIIIITETRQGSAEAKEYGASLGYEQVISSKPVECKGGIWILSNQQHVSIQQLMNTTEEVQINLLRV >OMO61839 pep supercontig:CCACVL1_1.0:contig13403:23606:28258:-1 gene:CCACVL1_23216 transcript:OMO61839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSSSLPLPLRRRYSSGEIKNLTSVSSSLIPTFGKVVDDIGYLHLNKYVIAPYDRRYRWWQMFLVVLVLYSAWASIFELAFKKASRGALLVVDLVVDVFFAIDIILTFFVAYLDKSTHLLVDDHKKIALRYITRFWFFMDVASTLPFQLIYKIFTGKWHTGQIFGFLNLLRLWRLWRVSELFKRLEKDTRFSYFWTRILKLICVTVFVVHSAGCFYFFLAAHHKIPAHTWIGAKKDIKDLKDNADFKQKSIWLGYTYSIYWSIVTLTTVGYGDFYAVNEGEKIFNMIYMLFNIGLTAYIIGNMTTLIVHAAVRTFAMRDAINKILRYASKNRLPEGLREQMLAHIQLKFKTAELQQEEVLQHLPKAIRSSIAQHLFCNTVEKCYLFNEVSEDFVSQLVSEMKAEYFPPKVDIILQNEIPTDFYILVSGAVDVLTYKNGTEQFLSELGSADMAGEIGVIFNIPQPFTVRTKRLSQVLRISHHHFKQMVQPNSKDGKIIITNFVQYLRGLKEDMRQQITFLTELLAEQSIQPTTQNEDQRNSEALDSKDADPEGTPDTSSPFSASSIRVIIHGHHPTEGTMSSETLGKLIYLPDSIKELFRVAETAFGKRGSTIIMADGSQVEELNALRENDHLFII >OMO61837 pep supercontig:CCACVL1_1.0:contig13403:20304:20831:1 gene:CCACVL1_23214 transcript:OMO61837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAILRSEDCLRNRFHHENLSLAHPFRSHRNPNPNTIKPKSNNDFPNSRRRKMSPVGFQSNNRRQSEAMVAKSPGKNLVMGQVKILKRGETLIAAVEKTDRGRIVGWTGEEEFDLALGSTNRLGPDPETMQKQIKLKEFKIGEGLFAGSASFVSPPPSSLPVPGFLGRTARASS >OMO61835 pep supercontig:CCACVL1_1.0:contig13403:11240:11371:1 gene:CCACVL1_23212 transcript:OMO61835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADINTQVNGIVDPSCKAESWAGGMYESEKSSRQQRTKKGKKF >OMO89013 pep supercontig:CCACVL1_1.0:contig08867:4099:8175:1 gene:CCACVL1_08061 transcript:OMO89013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLQPCKSFWFLNSSSQYFAFNGAPKCQCSVRATQSCSSFAVSGTPRALSISRKFTFLRSTQIVFCSQNDTFDEFSSTKSPERLGNDSGIRENEELELLNKPSPVPMSNGFASDIVKESEKPDKEEALEPFLKFFRPSESLEEGEGEGEGELGVSEERGDEVTKVGVEYYEPKAGDLVVGVVVSGNENKLDVNVGADMLGTMLTKEVLPLYDKEMEYLSCDLKSDAEEFMVYGKIGIVKDDDAMGGGPVPGRPVVETGTVLFAEVLGRTLSGRPLLSTRRLFRRIAWHRVRQIKQLNEPIEVKFTEWNTGGLLTRLEGLRAFLPKAELMKRVNNFSDLKEYVGRRMHVKITRINEANNDLILSEREAWEMLHLRDGTLLEGIVVKILPYGAQVRIGNSNRSGLLHISNLSKARINSVAELLKEGERIKVLVVKSLFPDKISLSTAELESEPGLFTSNKERVFSEAEEMARKYRQNLATMPTPRNAEPLTDALPFDNEESLYANWKWFKFESEKNESS >OMO59219 pep supercontig:CCACVL1_1.0:contig14029:15433:23612:1 gene:CCACVL1_24992 transcript:OMO59219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYSLKSKFDLPEPFRSIFSFRYFNSLQSECFPVCFLSDVNMVISAPTGSGKTVLFELCILRLLSKFLSGDGRFVHIKGTQKAIYIAPSKALVQEKLRDWNQKFGALGISCLELTGDNESYSIRNIQEADIILTTPEKFDAVTRYRIKDGGLTFFSDIALLLIDEVHLLNDLRGAALEAIVSRVKMLARKPEMKSSSLASVRFLAVSATIPNIEDLAEWLEVPVQGIKRFGEEMRPVKLTTRVFDILMQYSRGKSALVFCSTRKGAQEAAQRLSQTVMAFGYSNPFIKSHEQQERLREASLSCSDKQMQSYILYGVGYHNGALSLKDRNLIEGLFLSGDVQVLCTTNTLAHGINLPAHTVIIKSTQHFNKEKGLYMEYDRSMILQMCGRAGRPPFDDTGNVVIMTRRETVHLYENLLNGCEMVESQLLSCLTEHLTAEIVQLTISDITKAIEWIKCSYLYVRMKKNPENYAVRKGIPKDRIEKHMQEICVKNVNELSHHQMIQTDEDGFILKPQEPGRLMTKYYLKFNTMKHIMQATPSCSLEDALQIICRAEEIAWIQLRRNEKKLLNDINADKDCHLRFHVSDDKGKRKKRIQTREEKIFVLANDCLTGDPSVHDLSMTQDANSICSNGCRIAKCMKEYFIYRKNYKGALNSSLLAKSLYQKLWDDSPYLLKQLPGIGMVTAKALHSMGIKSFETLAEADPRRIEIVTGRKYPFGNHIKESLSSLPPKVDLKIESSECQRQGKSKLAVTLTRLSQGIQSTKRHYADMIVASEEENLILFHEKISPYSTTILVPNPSEKMSIKADLVFEEYIGLDLHEKLLLVKESNSTGNRKCKKKQPQFFAPPGEICVIEDDNAGTNQNLSREPPHRIESKMESSSMPSFNLLDDELGEGESAAETERDDCKIISEQTIFDHIREKAKNFPLLTPSKNAYSPTSEGLILPRKRARHQIHEQIVLDDSSPQSNEPEQNFLNGMNDYLSRKHHMATGSSVSTIDLTDDSGDLPSEPEATLFKSLTEETIFDHIRKKSRNFPVINTPKSIESDCFIWTEEEHSSATQPEFFNAALETNANSGKNGKNNGKSAVSSIVSSTNTGSSSVKMLSFDISMLKKKKQSPSEFKNQCCSVASTAGGYAIGIPLYDHPATRTSAANASTFNVEQEQPSAPPLTQPTTRQRSPFATAIIRAFAAFLLFLECVGMAATVVLILGSAGVGITVVPRNQDSELKTTKAYMGKTVALGKRIEDREVAEAMERDCSERGVVAFTIRLLQTVRSYTNFNVTCGDVQVGFSGSRRQEGTMPVLNSNTNDSSDQRAFTRCSTYVMYQNSITF >OMO59218 pep supercontig:CCACVL1_1.0:contig14029:5468:13002:-1 gene:CCACVL1_24991 transcript:OMO59218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLNALDLSSVDTVNQLSPSSQPEALESWESFRQFWKAGNYEVGKRLGSTKQDGKYQLCVHPMFLHSNATSHKWVFGAIAELVDNAIDEVQNGATFVYIDKISNPRDGSPALLIQDDGGGMDREAVRRCMSFGFSDKQSKHAIGQYGNGFKTGCMRLGADVIVFTRNMKNRTLTQSIGLLSYTFLRQMGYERIVVPMVDYEFKSSTNTFGPILEHGKEQFASNLSMLLKWSPYGTEEELLQQFENIGHHGTRMVIYNLWLNNDGAMELDFDSDHEDIYINGHPKICKGGDSTKSSFHQHIANRYHYSLRAYLSILYWRLPQCFNVILRGTIVQHHNIVSDLKFPEIILYKPHQTGNTEVVVVTTIGFVKDAPNLGIEGYCIYHRNRLILPFWLGRKVTTGSVGRGVEGVLEANFVEPTHNKQEFEKTCLFQKLDDRLKQMKDEYWRLHCELIGYKAVRKTGLTPWQDFVVPKRKRSAKSGTGSQQGSNMKRKELTPPETDANHYGKSQPVVKRVENQMEDSRIRILMVENKRLQSKALDLEKQDKELNMKVQQLRIELQNAQHEYTLLLQESRSLPFNWLDYLL >OMO59220 pep supercontig:CCACVL1_1.0:contig14029:32361:34987:-1 gene:CCACVL1_24993 transcript:OMO59220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPMELSSSEQQQYGRWVLFLVLSLLISISLSDPRISNAGLFCGNIKPPNGSNFVPNFVKQMQGLSQAINNSHFASYHLNATPPIYAMAQCHQDLSQTDCLLCYAASRTTLPRCLTSISARIFLDGCFLRYDNYSFYHESVSSSSLDHFSCSSNEVAVFGEDRKKRVNLFGKSVDYAVGNVTRIALRNGGFGTVGVDGVYALAQCWKSVPNEGCRECLEKAAKAVRQCVPKEEGRGMLIGCYLRYSTKKFYNEMGEAEHDHGFSGIAVIIAIASATAAFLMLSLSAAYATYARLSKRKKDEKKAKFLKWKQRFNIIVGTAEGLAHLHGGGSQIRIIHRDIKSSNVLLDENLNPKIADFGLVRCLAADKSHLSTGVAGTLNSDVGFSNIQVWTLYRSNRLCEAVDPNIRDDMSAKEAPNVLQIGLLCTQVSVPLRPSMAQVVQMLTDKAYEVPLPNQPPFLNSSVLKPDSLTKSSTDSFITNAVRKIQGCGTSSESSRTHSSDETS >OMO63076 pep supercontig:CCACVL1_1.0:contig13058:2145:4472:-1 gene:CCACVL1_22491 transcript:OMO63076 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MMHRPPPEDFALKETNPHLGGGKVSGDKLTSTYDLVEQMQYLYVRVVKAKDLPAKDVTGSCDPYVEVKLGNYIGKTRHFEKKSNPEWNQVFAFSKDRLQASILEVTVKDKDVVKDDFMGKVLFDLNEVPKRVPPDSPLAPQWYRLEDRHGNKVKGELMLAVWMGTQADEAFPEAWHSDAAVVSGADGLANIRSKVYLSPKLWYLRVNVIEAQDLQPGDKGRYPEVFVKAILGNQALRTRISPARGINPMWNEDLMFVAAEPFEEPLILSVEDRVAPNKDEVLGKCAIPLQYVDRRLDHKPVNSRWYNLEKHVIIEGEKKKEHKFSSRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLEVGILNAQGLMPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFAPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGEKAAGAKDSKIGKVRIRLSTLETDRVYTHSYPLLVLHPNGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYLHPLTVSQLDSLRHQATQIVSMRLGRAEPPLRKEVVEYMLDVGSHMWSMRRSKANFFRIMNVLGGLIAVGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHADSAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAIVLYVTPFQVVALIAGFYILRHPRFRHKLPSVPLNFFRRLPARTDSML >OMO63078 pep supercontig:CCACVL1_1.0:contig13058:27146:27538:1 gene:CCACVL1_22493 transcript:OMO63078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASFTLLGSVILDLTELTTSIDIVNVVGFQGLENPRTPNK >OMO63080 pep supercontig:CCACVL1_1.0:contig13058:38167:43222:1 gene:CCACVL1_22495 transcript:OMO63080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASEDIRNLPIDITFSRLVEWLVDRKRIPSDWRKKLAAVRSKISKEFSALPKDTDPYFQTLDPEGIGYLEAKKIYDILLKSTPESRNIFGRLSGAAGSWEAIVHSFEKDHLYLGEAAQIIIQNVNYEIPYQKKQVQKIQQQLAELERKEADIKRSAALSAAKYVEACQELGLQGKNVRLELLETANSLPSTFGKILEVIGGGSVSRAIEYYSNFVRDAHTEKDKSSSAAVLLNLKDIHENPPSLNVSAASETLDLENTQISSNEINLASGDIGVNTDAIDWDISVESAQIDWDIGTLEETDDSGNGLGPYEIVNASEILQNSSPNEAAESDRTQLDETEENPPPEISVSDISWDISVETPQVDVIDDVSLPNIQLENQAYAPETLHHTPGTREERSQLLETEYRNNVLDDLYEMKAFLNQRLVELRNEDTLSLQNQVQAVAPLVLQQYTPDTIETMISDVSLAISLLTNRKTRDLIMILNSKRFLDRLVSTLEEKKHHEVKLKEGLKDVAAKRMELQNSLSSSWPKQEAGLAKTRELKKLCESTLSSIFDGRPVNIIGEINALLSSGVSA >OMO63079 pep supercontig:CCACVL1_1.0:contig13058:30449:36065:-1 gene:CCACVL1_22494 transcript:OMO63079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSELRYYEDDDTPTMKTIKGATTGLVAGTIWGTVVATWYDVPRVERSVALPGLVRTLKMMGTYGMTFAAIGGVYIGVEQLVQNYRMKRDFVNGAVGGFVAGASILGFRGKSIATAISAGSALAVTSALIDVGDGYKFTIFLLSLNSRRTWLELKTHHGRAMSGVPVRRRNLSIKAEVNYVNAEEAKQLIAVEGYSILDIRDKSQFDRAHIKSCYHVPLFIENQDNDPGTIIKRTLHNNFAGLFFGLPFTKINPEFVQSVKTQFSPDSKLLLVCQEGLRSAAAANQLEKAGFQNLACITSGLQTVKPGTFDSVGPKELQDAGKAGLVTIQGQISAVLGTVLVCAFLFITFFPDQAEKILQMAPTS >OMO63077 pep supercontig:CCACVL1_1.0:contig13058:18222:25398:1 gene:CCACVL1_22492 transcript:OMO63077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPIEPSTSTSDPNPNPNPSPTTLLHPRREPFEHGLLPIQKLVFTDPVQSLTPIKQKLASSSTHRVDSAALADALQISPDHARLVLDTLASVLHSESDPLVSARADDVDSVGADWRDLILFLYIQSYKRLLPRSHKDSAAVADVWPSTSAFDGYLSALSPLQLVRSNSRRFMPSQADEEAHQLSYLQKHLANILSLLSEPVEGEGEESLVLTMDGFEHLGFLIQFGDKGSEGVPLSQAAPFFANSDPDMPAVPVPAAQVHDWLLQNIAASLEHVTEKISAKENGPQSGSDPDVAMADASPSSTKSSSSARSPCYIEGVSKSSYVKQASDLKNSSVKVINCHDSVIYILAPLRYATIYGCSDATIVLGAVGKSVRVEHCERVHVIIAAKRVCIVNCRECVFFLGVNQRPLIVGDNHKLQVAPYNTFYSHLEEHMTEVGIKATINRWDEPLALGVVDPHDSLSHPAGVSDAQAETATRIDPDQFTNFLIPNWFEGEATGSTKDNPFPLPEAYLTSQQKNVNALNSN >OMO63081 pep supercontig:CCACVL1_1.0:contig13058:43976:44092:1 gene:CCACVL1_22496 transcript:OMO63081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASFTLLGSVILDLTELTTSIDIVNVVGFQGLENPR >OMO78225 pep supercontig:CCACVL1_1.0:contig10616:8644:10347:-1 gene:CCACVL1_14567 transcript:OMO78225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEITSEARWIGDLYCTRFSLVMCVAMLGKQFFGKRHFGRVMDVYIPKSARFGSRGSGFAFIRYKLEKDAFRAIEEGSGLIIGGGKIVVSLAKESRREDEHVLVSDLVYKLIVVSADIPDCEMDWLNYSVVGKVRPGFSCNSIQNALFEKDISVIVCPFNEDNLLLTFNSFDETRLHLSDQKELFSKWFFSLISWKDFNEDREFSTWFRLEEGVSNGVPFSIRVSPEPVLKSFFAGNLSPSDSVSRSLEDVNNDVSSSLALDAVPDSLEPVMGTKVVNDEAAPLSREDRSVRVDSISAFENVQNVNPLNAFSRGKQVLIKGNLSGLEVENSELGLDYEDRADSLDFVSPSPNVNKWIKPNKGKKGNRSLAHRLKKKDKRKDKFCGQNAVLEPIRAKLNFNEGQSSKVPSVGIGDEALDSEAQADWTVASHVGLFF >OMO64311 pep supercontig:CCACVL1_1.0:contig12841:20062:21660:1 gene:CCACVL1_21863 transcript:OMO64311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRLLLASNKASMSTSFPLFLSYKSPFLEKPQLSMKSHCLSSTKVCEKTPFLGQRLVFQDKGFTSLGNLRKNHVPYEPIRAAVKRRKELPFDNVIQKDKKLKLVLRIRKILVSQPERIMSLKSLGRYRRELGLQKRRRFIAMLRKFPGVFEIVEEGAFSLRFRLTPEAERLYLEELRVRNEMEELLVVKLRKLLMMSLEKRILLEKIAHLRTDLGLPLEFRDTICQRYPQYFRVVQTERGPALELTHWDPELAVSAAELSAEENRARELAEKNLIIDRPLRFNRIKLPKGLNLSKGEMRRLCQFRDMPYISPYSDFSGLRAGTPEKEKHACGVVHEMLSLTVEKRTLVDHLTHFREEFRFSQQLRGMIIRHPDMFYVSLKGDRDSVFLREAYRDSHLIDKDPLMLIKEKFRTLVSIPRFPKRGSLKKENDGSEESEAPDEASDDESEGWSDMDNYLSDGGFEDDQGDEDEDEDYDDEWSDEDDDAPPDFDEDDEPVKIGLSKSNKQADYSTKETEKELVPVFPDGRPRERW >OMO64310 pep supercontig:CCACVL1_1.0:contig12841:17246:19682:-1 gene:CCACVL1_21862 transcript:OMO64310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRERRAEPRQDRRRQRVGDSTPEDELSDSGSSDRKLIIIFVVFFIVIPAVSVLVYSIKYGSSEKRPEPVVIERGLIKSDISFNEILHMHSKVEENPTRHYDYPVLAYITPWNSRGYEMAKRFNSKFTHLSPVWYDLKSQGGSLILEGRHNADKEWLLELRVEGEALVLPRVVLEAFPQELLKKKEQWDKAIDLIVTECKEMEYDGIVLESWSRWAAYGILHDPEMRNLALKFVKELGHALHSARSTWNPKQQLQLVYVIGPPYSENLQQHDIRPEELQSLSADVDGFSLMTYDFSPHNDPGPNAPLSWIRSTLKLLLGPPGPKAARLAPKIFLGINFFGNDFVLTAGGSGGGAIIGRDYLSLLEKHKPIFQWARSSGEHLFFYSDDKDYRHAVFYPTLMSISLRLEEARSWGTGISIWEIGQGLDYFFDLL >OMO64307 pep supercontig:CCACVL1_1.0:contig12841:3732:4608:-1 gene:CCACVL1_21859 transcript:OMO64307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRRRKHAKTGSCCSEEVSSIEWEFINMSEQEEDLIYRMYKLVGDRLLLNVEYELIL >OMO64308 pep supercontig:CCACVL1_1.0:contig12841:8269:9024:1 gene:CCACVL1_21860 transcript:OMO64308 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCNGP-like protein MASRKKESEGIALLSMYNDEDDEDMEEYDRPEHEQGGEEEDNEYRDMEEVSRGNDNTGPFPHQNSSPWQQQQQPPSQSSDVEPSQLESEVEESVSVDNVDLLLGKFLPAAPKAKCSEGLQKKIDKFLYLKRSGKSFNAEVRNRKEYRNPDFLLHAVKVQEIDQIGSCFSKHIFDPHGYDISDYYDEIEADMRRQRESKEQESQKVDFVSVGNPLLTAPKVGLPIISVPNPVDNIVRDGRQKNKKSKWDKIQ >OMO64309 pep supercontig:CCACVL1_1.0:contig12841:13134:16168:-1 gene:CCACVL1_21861 transcript:OMO64309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNAASLTPLSVLCERRTESRKVSPLKLPNSAALNKTPSNLQESLSRSLHGGIVLLSSVVSCGSAKALSYEEALQQTTDISSTFDFDGVIRFASDNPTVVAGGAVALAVPLILSQIFNNPKPWGVESAKSSYAKLGDDATAQLLDIRPVKESREAGSPDVRGLGKKPVAIAFKNEDKPGFLKKLSLKFKEPENTTLFILDKFDGNSELVAELVTANGFKAAYAVKDGAEGPRGWLNSGLPWIEPKKGLDLSNLAESLTEALGDGADGLSVTLGLAAATGLGLLAFTEVETILQLLGSAAIIQFVSKKLLFAEDRKQTLKQVDEFLSTKVAPKELVDDIKQIGAAVLPTTTTSKALPAPSEVKPEPKVEAAAEPPPQITSAPKTEAKADSGISRPLSPYTSYPDLKPPTSPTPSAPSEAKAELKVEAAAEPPPRINSVPKIEAKADSGPSPLSPYTSYPDLKPPTSPSPSQP >OMO64313 pep supercontig:CCACVL1_1.0:contig12841:28654:29820:-1 gene:CCACVL1_21866 transcript:OMO64313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MNGGDAYAMMEAQYIQSHHRHEIRDDQCTSVLVKHIRAPVHLVWSLVRRFDQPQKYKPFVSRCVMKGDLGIGSVREVNVKSGLPATTSTERLELLDDEEHILGIKIVGGDHRLRNYSSIITVHPEVIEGRPGTMVIESFVVDVPEGNTEDETCYFVEALIRCNLKSLADVSERMAVLDQTEPINRF >OMO64312 pep supercontig:CCACVL1_1.0:contig12841:24330:27164:1 gene:CCACVL1_21865 transcript:OMO64312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIAPFQHYLNLSLHQNPVIQNPTNTLKASLASVALGPAFTSPQTQLSAHSLPYNRSGLSSSNTFHFFDELKGVHSLELLKILHARMLKMCNDWSSDTIAKSLISSYLKFGDFRAASMVFFMGFDRNYVFWSSFFDELQTCGGETRGVLKVFVELYGKGVVFDNKVLTLVLKVCGSLMDSQLGLQIHADLIKKGFDLDVYLKCALMNLYGWCSDLEKANQVFYEFVEKEDLVWNEAIMVNMRNERWEKAMEMFREMQFSFAKATGGTIAKVLQGCSKLGALKEGKQIHGYVLRFALESDLSVCNSLINMYSRNNRLKLARRVFDLMEDHNLSSWNSMISSYSACGYLNDAWDLLNQMEVSDLKPDIITWNCLLSGHALHGSYKAVLKILRRMQVTGFMPNSTSITSVLQAVGELGMLKFGREIHGYVIRNGLDYDVYVETSLLDMYVKNDCLGKAHAVFDNINNRNIVAWNSLISGYAFKGLFEDARKLMNGMEEEGIMPDLVTWNGLISGFSIQGYSKEALALIHQIKKSGMTPNVVSWTALMSGSSQNENYRESLEFFIQMQQEGIRPNSATIPTLLRACGGLSLLQQGKEVHCLSIKNGFIEDVFVATALIDMYCKSGNLKAAYEVFKKIENKTLASWNCLIMGFAIYGLGKEVVFIFEKMLEVGILPDAITFTAVLSGCKNAGLVEQGWKYFDSMRSDYCITPTIEHYSCMVDLLGRAGYLDEAWDFIQSMPLKPDATIWGALLGSCRMHKNLRLAEIAAKRLFELEPYNSANYVLMMNLYAMFDRWKDVERIKELMSNIGVKSGEAWSWIQIDQKVHLFSAEENHPDEGEIYFELYHLVSEMKKIGYKPDLKCVYQNIDDSEKEKLLLSHTEKLAITYGLIKSRSDVPIRVIKNTRMCPDCHTAAKYISSVKNREIFLRDGSRFHHFSKGKCSCNDCW >OMP12120 pep supercontig:CCACVL1_1.0:contig00412:45:1761:-1 gene:CCACVL1_00119 transcript:OMP12120 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex, component Exoc1 MAREFANELRASTKASRNPTVWLEASSGGSQSGNSADTSAVSDAYAKMLTIFMPLVVDESSFFAHFMCFEVPALVPPGGVANGNKSGSYDDDANDDDLGIMDIDDNDSKAGKTSADLQSLNESLQDLHDGIQEDFYAVVDWAYKVDPLRCISMHGITERYLPGQKADAAGFVRLLLGDLESRVSMQFSRFVDEACHQIERNEHNVRQMVVLSYIPRLALCL >OMP12285 pep supercontig:CCACVL1_1.0:contig00181:636:731:1 gene:CCACVL1_00053 transcript:OMP12285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVLPQLQMELLIVYSLLMKMRKVDNGRIVVE >OMP02412 pep supercontig:CCACVL1_1.0:contig06258:1037:1111:1 gene:CCACVL1_02810 transcript:OMP02412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLCGLSYRRRYKTALMRPRKA >OMO51199 pep supercontig:CCACVL1_1.0:contig15938:9932:10009:-1 gene:CCACVL1_29940 transcript:OMO51199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISDIYICPYTQSVRDPRVIRYHY >OMO51200 pep supercontig:CCACVL1_1.0:contig15938:39597:39674:-1 gene:CCACVL1_29941 transcript:OMO51200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTLIARRVKDVLAGMEGMKATTISV >OMO51198 pep supercontig:CCACVL1_1.0:contig15938:8533:8634:1 gene:CCACVL1_29939 transcript:OMO51198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLVLINSDSTRVTHLVIVSESPNRAAAYARSRI >OMP08177 pep supercontig:CCACVL1_1.0:contig03943:811:1113:1 gene:CCACVL1_01149 transcript:OMP08177 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-binding 8 TWMYLAVPMLLYACERLIRTFRSGYKSVKILKVAVYPGNVLSLHMSKPQGFKYTSGQYIFVNCADVSPFQ >OMO61880 pep supercontig:CCACVL1_1.0:contig13397:13258:17652:-1 gene:CCACVL1_23174 transcript:OMO61880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MGEAAHQIVTMEVNAHGIAVITFLNPPVNALNMEALELLKGKYNEAMERDDVKAIVLTGGGGKFSSGLDISLMQIIQKTGDNSFLAEICRDLNNLVEDGQKPSVAAIQGYALGGGLEFAMGCSARVATSGTKLGLPELTLGVIPGFGGTQRLPRLVGVSKAVEMLMTSRSIMSEEGKTLGLIDAIASPEELLETSCNLALNIAENRKPRLYSLSRTDKLDSSSKTEEILQGFRQQAEVTALTMPHKLSCLDVIQEGLTSGGHSGILKEGKVFKELVLSTAAKAYVHVFCAQRATSQVHGVTDAGLKPQAIEKVGVIGAGLMGSGITTSLILSNINVILKEVNSEYLQKGIQKIEANLQALVMKGKLDENGVKRALSNLRGVSDYSDFRDMDMVIEAVIEDLSLKQSIFEEIEKICPPNCILASGTSGIDLNEIGKRTNAQDRIVGAHFFSPAHIMPLLEIVRTEKTCTQVLLDVMTIGKTIKKVPLIVGNAPGFAVNRTFFPYFQGPYLLADLGVDIFRIDRVICAFGLAMGPFQLHDVTSYNLAITFAKEMAEAFPGRTFQSPLHQLMLQHGRQGKSNGKGYYIFEKGSKPKPDYSVLPIVEESRINKGKPISVSDQEIVEMIFFPVVNEACRVIEEGLISQASELDVASILGMNFPSRLGGIMFWADTIGAKYIYNSLSKWSDLYGPFFTPSKYLEERGMKGIPLGAPVEA >OMO61893 pep supercontig:CCACVL1_1.0:contig13397:91748:93397:1 gene:CCACVL1_23187 transcript:OMO61893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSASFSNPPFIPIAKLSKICSSSASPRLIEHVKFPLLHSLPTIRPCLVCKMGNSDIIPELELGKPEHRRKPEKRVNGVFWIILLNLGIYAADHLFQVRGIQSLYLYHNWPAWYQFVTSTFCHANWKHLSSNLFFLYIFGAGANIVSWLVLPRNAVSVGASGAVFGLFAISVLVKMSWDWRKILEVLILGQFVIEKVMEAAQASTGLSGSSYSLQGVNHIAHLSGALVGVLLVWLVSKIPSQPHDKDISDLNRKRDKSY >OMO61884 pep supercontig:CCACVL1_1.0:contig13397:38581:40674:1 gene:CCACVL1_23178 transcript:OMO61884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIGDALRQAFMPKHEYESLREEEKAWTKLQRPILIGSTGIICLAIFVCTVISLKIVFPGDDLKRPFCDDRRLQPLPLNVKGGGGGGDSDLMPGAFYLTDQETVDYYWMVVFFPSMIIFLASLVYLVAGITVAYSAPTRHGCLKVVENNYCASKRGGVRCLSILNMVFAVIFGLLALFLGSSLLTLGSSCSLPLFWCYEIASWGLVILYAGTAIFLRRRAAAVLDEGEYGSRNLGLEMLEANPLEVTPDVERRVNEGFKAWMGSSLLSSDEEDEPESYHEAPHETRTASNRQRV >OMO61886 pep supercontig:CCACVL1_1.0:contig13397:45538:45645:1 gene:CCACVL1_23180 transcript:OMO61886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSTTILIHKIYVLITSKAKSSAVFYMPRTIGC >OMO61883 pep supercontig:CCACVL1_1.0:contig13397:34453:36605:-1 gene:CCACVL1_23177 transcript:OMO61883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class IV MASLSVSLPIYNKSHVPTRQTDHFHDLCVFPRNLSHIKQGSLRNLRIVTSSSQTEPLIESTDKLSDIPLLSCSEATEKLKQNRETHRGKQQFLAMYSSIFGGIVTDETAMVIPMDDHMVHRGHGVFDTAAIMDGYLYELDQHLDRIIRSSSMAKIVLPFDRETIRRILIQTVRASKCRKGSLRYWISAGPGDFQLSPSGCHQPALYAIVIEDQSLFDSKGIKVVTSSIPIKPPQFATMKSVNYLPNVLSKMEAEEKGAYAAIWLDTDGLVAEGPNMNVAFVTKEKELLMPNFDKILSGCTAKRVLALAEGLLRDGKLYGIRVDNVSVEEGKRADEMMLIGSGVLVRPVVQWDEQVIGDGKEGPISQTLLNYIIEDMKSGPSSVRVPVPY >OMO61890 pep supercontig:CCACVL1_1.0:contig13397:60506:70271:-1 gene:CCACVL1_23184 transcript:OMO61890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSLSEETAKAVLRQVEFYFSDSNIPRDGFLKKKISESVDGMVSLALICSFAKMRNHLKLAAVKAEDVPEDTVKAVAETLRTSSSLKVSEDGKRVGRIAALLEPEELLEQLDSRTIAASPFECNIKREDVEAFFNQHAKVTSVRLPHHVAHKKFFCGTALIEFSTEEDAQKILGQSLVFAGAELELKPKKDFDAIREQEVDEFEAIAGSNGDNDTNEEEKYPKGLLVAFALKSISSEDSTEQKGSDEVAKDGADANKTDGGSDSGNAAGSNEQESAEGKNDKIVKIDDKHIVWADGMENESPVQKSEEKDAKSTASVYKNDMNVVLREDLKGVFQKFGNVKYIDFKVGEEKGYIRFDEPEAAQKARAAAVLAKEGGLAVKNFIATLEPVTGDAEREYWSLLRGNQEKHKEHKRFQQRGGRHNRGGKHGRGNDSQRGRPNKARSRAISTPPVGLGISDGAQTMRALHGRTSGPTRRSTKGQWTAEEDEILRKAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDELIIELVNKFGPKKWSTIAQHLPGRIGKQCRERWHNHLNPGINKEAWTQEEELALIRAHQIFGNRWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYIASGLLDQFQFPLNANQSQPMPLSTIIRMQTNADDSSAKCRTEAEDISECSQESSMIGCSQSVTDLANAAVQTREQFHLTEMQIVVKEDNSSPAPCSEEYYPSLEDMNFSIPEIPCEAGYSASGDYQLSLPNLPNISPLELGQESSGLPTHCIDTSKSREVMNVAFQTSLGFNAPTSFVNMGTTSNKPEHMLITDDECCRVLFSDAVNDRCFASGNFTQGSNIVELGGCRNSSLCQASDTQVSETEKNPASPSNCPSSSEVLAASQSFACPSVLSVDYSPLMYGREPNQLNGQAFGTQEQEFSMNAHDGFIYTSDDQTDNTDQQEQSYLAKDSLKLVPVNSFSSEPDAMQNFPTVDDKPKSPEEQDVGGALCYEPPRFPSLDIPFFSCDLIPSGSDMQQEYSPLGIRQLMMSSNCLTPFRLWDSPTRDDSTDAVLKSAAKTFSSTPSILKKRHRDLLSPLSERRSDKKLETDVTSCLTKDFSRLEVMFEESAAGNTSQVSQSEHKTNSGASMEDKENLCHSLHGNMGDHIESLDEKTRQKDSNGTNCQGIIKEDTKEKSVQRPSAVLVEHNINDLLLFSPDQVGVKGDRTLLSSSTRTPRNQYRKSFGAISSQGFASECLPGNTCIVVSSPTLKIKNSEGQSIAVTAVQCTNSSATVENLIDNGGSAPTENYNIFGDTPFKRSIESPSAWKSPWFINSFVPGPRVDTEITIEDIGYLMSPADRSYDAIGLMKQLSEHTAAAYADALEVLGNETPESIVKTRRSINADKDKENNQLESHSHLASNILTERRVLDFSECGTPGKGTGNGKSSTTTGTAMSFSSPSYLLKGCR >OMO61885 pep supercontig:CCACVL1_1.0:contig13397:41524:44591:1 gene:CCACVL1_23179 transcript:OMO61885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 3 complex, subunit L MATNYDYEDAPAAYDDANRQDLGYDPNFVPDSVKSFVVHLYRHIREKNVYEIHQMYEISFQTLSDRLFKDTPWPSVDAVAHFVDNDQVFCLLYREMWFRHLYARLSPTLKQRIDSWDNYCSLFSVVLHSAVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIALLKQYDQAWNVYGVLNYLQAFVEKSNIIQILEQEKEGLEQFTATDGYDYSGGSNVLKVLGYFSMVGLLRVHCLLGDYQTGLKCLLPIDISQQGVYTSVIGSHITTIYHYGFANLMLRRYVEAIREFNKILLYIYKTKQYHQKSPQYEQILKKNEQMYALLAICLSLCPQVKLVEETVNSQLREKYGEKMTRMQRYDDEAFAIYDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKLFLYEVKQQQLLSGVRTFLKVYSTISLGKLANYMEVDEPTLRTILLTYKHKTHAADSDKKITSNADVDFYIDDDMIHVVESKPTKQYGDFYLRQIVKLEGVITDMDRVKLD >OMO61887 pep supercontig:CCACVL1_1.0:contig13397:47862:51122:1 gene:CCACVL1_23181 transcript:OMO61887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/other aminotransferase MEHDLKPDEAIRTEADGESMFFNVPLAPDIPIYATNAAYKKDPNPNKLHLGIGVYRTEEGKSHMPNVVRQVEQTLANDPYADKEYLPITGIAEFNRLSAEIIFGSDSPAIKESRVTTVQCVAGSGALSLGAKFLAKYNHQHVVYLPEPTYGNHCNLLSGPGLALKTYRYYDPKTRGLDFQGLLEDLGSASVGSIVVFHACGHNPTGVDPTYQQWEQIRQLVRLRRLLPFFDCAYQGLVSGSLDADGQSIRMFVRDGGECIVAQSYSKIMGLYGERVGSLSIVCKTPDTARRVESQLKLIIRPLYSNPPIHGAAIVTAILKDRNLYKEWTTELKAMSDRLVKVRHQLYNALCERGTPGDWNHIIRQVGMYSFTGLNEEQVGFLTKEYHIYMSSDGRISLGGLSSKAVPYLADAIHAAVTRIN >OMO61881 pep supercontig:CCACVL1_1.0:contig13397:21357:24254:-1 gene:CCACVL1_23175 transcript:OMO61881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MARNDLRRAEATALCNGIIDIEQLSSTGNLKFHSINGETNNFIEIKRNKRKHSFYNMLVKRLTLKSQKCASQKKKPETESSISMEKSWASRARLFKEYKEVQREKAADPDIQLVCDDSNIFKWTALIKGPSETPYEGGVFQLAFAVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDVRGYQSMARMYTRLAAMPKKG >OMO61882 pep supercontig:CCACVL1_1.0:contig13397:25782:30598:-1 gene:CCACVL1_23176 transcript:OMO61882 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHS domain-containing protein MVNSMVERATSDMLIGPDWARNIEICDMLNHDPGQAKDVVKGIKKKLGKRDVLHEMVKIVKKKPDYHVKEKILTLIDTWQEAFGGPRARYPQYYVAYQELLRAGAVFPQRTERSAPVLTPPQTQPLSSYPSNIRNSDRHDTAESSAESEFPTLSLTEIQNARGIMDVLAEMLSALDPGNKEGLRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLALNDDLQRVLAKHEAIASGTSLQAEKPKPEPAKELLDVDGPLVDTGDSSKQSLGRSTSSTDASSTPFNQLLLPAPPATNGSTPAAAVNPKMDLLSGDDYSSPKADNSLALVPLGEPQQTTTASQQNALVLFDMFSDGNNPSDSVNVQSSGLAGQPNPLTPQIQQQQNFHANGSVPNMGSPRYEQSHAQGAAPAWNGQLVQQQQPPSPVYGAQSSGSLPPPPWEAQSADSSPVAGAQYSHPMVTQVVLNQPQGPHMGNDQVVGMYIQPITSGTLTAINNPGVQGNQFLGFQPQQIQQIQAAPYMGMIPQQMPGQMYPQQSGTMYPQQMYGNQMGAYGYGQQQYLDQQMYGLSIREDNGLRNSPYQVPTSSYTPPSRPPKPEDKLFGDLVDLAKIKTTKTTPGRAGSM >OMO61888 pep supercontig:CCACVL1_1.0:contig13397:53419:56260:1 gene:CCACVL1_23182 transcript:OMO61888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/other aminotransferase MRPEMTSSSPTDRRLNALVRHLATASPVMESQTTVTFSPTAGSPGNSVFSHVVQAPEDPILGVTVAYNKDPSPLKLNLGVGAYRTEEGKPLVLNVVRKAEQMLVNDRSRVKEYLPIVGLAEFNKLSAKLIFGADSPAIRENRVTTVQCLSGTGSLRVGGEFLARHYHQRTIYIPQPTWGNHPKIFTLSGLSVKTYRYYDPATRGLNFQGLMEDLGSAPSGAIVLLHACAHNPTGVDPTLQQWEQIRQLMRSKALLPFFDSAYQGFASGNLDTDAQSIRMFVADGGECFVAQSYAKNMGLYGERVGALSVVCRTADVASRVESQLKLVTRPMFSNPPIHGASIVATILKDRNMYNEWTIELKAMADRIISMRKELFDALRARGTPGDWSHIIKQIGMFTFTGLNKEQVAFMTREYHIYMTSDGRISMAGLSSKTVPHLADAIHAAVTRMS >OMO61891 pep supercontig:CCACVL1_1.0:contig13397:71343:73125:-1 gene:CCACVL1_23185 transcript:OMO61891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEVGDYTIFMGLDKYENEELIKYGFPEDIWFHVDKMSSAHVYVRLQKGQTIDDISEGLLEDCAQLVKANSIQGNKVNNIDVVYTPWSNLKKTASMDVGQVGFYNSKMVRTVRVEKRINEIVNRLNRTKVERKPDLKAEREAVNAAERAERKQQLREKKRREELERLEKERQAEIRSYKGLMVSDKMTSNKQIAATSKTLQEIEDDFM >OMO61892 pep supercontig:CCACVL1_1.0:contig13397:74121:81655:-1 gene:CCACVL1_23186 transcript:OMO61892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMARFGVQRSVLRSLMLNFLNFALAFMLVSAERSLKNGESSKESESSNNYFKAISTFLWQSDQSGYQHVWPEAARRLESNGTGNVEVEYKPLPSGPNSEAPKDNTDKQVGTSVKAYFKGVETWKRETVLKQEAAKFLESSDTVTPIMYKTLPCGASNDLTKEIDRREVASATATFGMTFSSSMSVVEYYLLNRFPVPYALYFIVVSIIAALVGQHIVDKLIRLTGRESIIIFVLAFTIFVSTIALGGVGISSMVEKIEHKEYMGFENLCISEG >OMO61879 pep supercontig:CCACVL1_1.0:contig13397:1608:1757:-1 gene:CCACVL1_23173 transcript:OMO61879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNLHLRLLPPLHLRLLLSLNLRLTLQALAVLNVRPDLKLAKSDTNTG >OMO61889 pep supercontig:CCACVL1_1.0:contig13397:56761:59998:-1 gene:CCACVL1_23183 transcript:OMO61889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MVSVTFAGHLGELQLAGSTLANSWATVTGFAFMTGLSGALETLCGQGFGARKYRLLGIYLQASCIISFLFSVIISILWFFTEPILVLLHQDPAISKTAALYMKYLIPGLFAYGFVQNILSFNFNMVIVSSVRMYVVFAKKFKRTWKGLSFESFRYVFKNFKLAVPSAAMLCLEFWAFEILVVLAGLMPNSEITTSLIAICVNTETIAYMFTYGLSAAASTRVSNELGAGNAVGAKQAMGVTLKLSILLASAAILTLALGHDIWAGFFSKSPSIIKQFAGLTPLLAVSISIDAIQGVISGLICGVSCQAASLMLIAFRKKWNQIDLPEESNEETPISDAVIVV >OMP07636 pep supercontig:CCACVL1_1.0:contig04295:53:193:-1 gene:CCACVL1_01258 transcript:OMP07636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTEPVNAEGSIEMKTNPNDLSGRMAEQTRDHFISFYSDSDSERS >OMP05456 pep supercontig:CCACVL1_1.0:contig05380:1674:5386:1 gene:CCACVL1_01896 transcript:OMP05456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MENRQWMYTRKVPGGEGLTDEFMDGVKEFIIFATCQYKYKRDSQIRCPCLYCENKRFLDPQTIELHLHRQGFRERYWNWTAHGEAAWTDNEQQDSVEQLPEQTTHLGAYGHMTRDQRIVHNYARNGFVLSSHEARSSVETQADDDILSFLGGQVTHSLDEIFFDTVRDATQPLYDGCETHSQLSFVARLLGIKSNSDMSKEVFNNMVTTFREVLPPNNNVPNDYYHHMQIVSKLGFPVVKIDACKNGCMLYWKDDEIKMSCKFCNEPRYKERHRRLDKQKQRVAQSILWYLPITPRLQRLYASNVTAQEMTWHHTHETIDGVMSHPSDAEAWKHFDMTYPCFSSELRNIRLGLFIDDSASYGQFEKANSCWPDVGEKTYDVASYGFFIMRAALMWTVNDLPGYEMLSGWNTGGVLGCPICMENSRAFVLPHSKKTTYFDCHRAFLPRGHQYRKDKKSFIRGRVERVAPPPRLSGEETYNRVQNFSTAMQDPFGKPHDYGKGHKWMKKSIFWELPYWKTNLLRHNLDVMYIEKNVFENVFNTVMDVEGKTKDTFNGRKDVGAVCDRGDIAVPEDSLGPKPKAVYNLNMVQKRMILDWLVCLGFPDGCASNFRRFIDFEELKLRDMESHDCHIFMQRIIPVAFREMLPSFVWGPLTELSLLFKTICSSTLEINKLGELEKNVAVILCNMEKVFPPAFFDLMEHLIVHLPYEAIIGGPVQFRWTYPFKRFLHQLIKKTVKSKAQVEGSICQAYIPQEINIFAQHYFKPQVSCRVKRIRRDDALEAFSIFNYPGQSQGRPKSRWLSDDEFHAAHLYVLLNCPEVQEYHELFVNYLKGSNHTTEEIDLGIEMHFVKWFKLFVQNAENKITDPLLHSLALGPSRKATTWPGYFINGFNFCTVEHGVGRRTMNSGVFVRGSESDESSTGCYGLLQNIVQLEYCGDEAKKVVVLFECSWVETTDGMKVHPLYNIVDINRKELCKDEPFILAQEAIQVYYCSYPSIPRDKTDWKAVCDTKPRRVRKLNSGDGFDIYDDDDAAYQIESMLQTPAISISKQVPQLFDPQGINLAVGLGEMLEAVPEGQSEEENNEDGSTSHDDDDDQGDNDSES >OMP08139 pep supercontig:CCACVL1_1.0:contig03977:141:1235:-1 gene:CCACVL1_01155 transcript:OMP08139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase HHQPRHLLDFFFGDGMLHSLQLSNGKATYCSRYVKTYKYLLEREAGFPIVPSGTSLNKMVNIFRFFKAIATKKFDVTKGSGVANTSLAFFANKLVAMCEYDLPYVINLTENGDIETLGRWEVDGNNPNPLASMTAHPKVDSETEETFAFRWSLIFPHLTFFRFDENGVKQKEVPILSIKKPSFFHDFAITKRFVLFHDTQLLVAPLKAMAGKGTFVDYDPKKVPRVGIIPRNALDDSEMQWFEIPGFNTVHILNAWENGDDEIVLVGSNVVSMENIFNKTSRIRLEKKSRFGYLGINDQAMKMTGVVKIDLEAGYEVGRRLFGPGCYGGEPFF >OMO94092 pep supercontig:CCACVL1_1.0:contig07977:13930:14052:1 gene:CCACVL1_06174 transcript:OMO94092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGASSLAWRRCGWILSTRADRPIIPYTQHMHIGFVTRQH >OMP09833 pep supercontig:CCACVL1_1.0:contig02944:1457:1537:1 gene:CCACVL1_01031 transcript:OMP09833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QRQQLRSEAAPPAYPTPLPTPLTCAT >OMO91090 pep supercontig:CCACVL1_1.0:contig08384:458:628:1 gene:CCACVL1_07218 transcript:OMO91090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIREARQQLLSGSGETEPDNSTFKLEVPATMPVVKQQCGLNSIQKFLQWTMANN >OMO91091 pep supercontig:CCACVL1_1.0:contig08384:1692:4567:-1 gene:CCACVL1_07219 transcript:OMO91091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease Zc3h12a-like protein MHQENSKNPMKKKKIHKNTEANFLYELNSCSKSKDLKSAISLYESAISNKTRLNQQHFSTLLYLCSTFSIDPESKDLALTYGFRVFDHMMALNIHPNEASVTSIARLAAAKGDGDYAFEMVKKLGDYQVLPRLRTYEPALFCFCQKLEAQKAYEVEEDINKVGLSLEEPQIAALLKVSAETGRGYRVYEYLQKLRRSVRCVNEETGKLLEDWFSGKGSEVCSEGGKFDVGFVKEAILRNGGGWHGLGWIGKGKWVVRKGNVEPNGRCCCCGEQLGCVDIDDAETERFAQSVAGLAMEREVKANFREFQDWLEENADYEAMLDGANIGLYQQNFAEGGFSVLQLDAVIKEMYNTSGNKWPLVILHNKRVRALLENPSCRKLVEDWRNNGVLYTTPHGSNDDWYWLYAAVKLRCLLVTNDEMRDHIFELLGSSFFLKWKERHQVRYTFLKGTLKLQMPPSYSVVIQESEKGSWHVPVVCDGNEESTRTWLCITRRGACEDLGETASYRETSENGNSRCCKPEMSNTGDSESLPIDNKSHGSLDSFPNSNGRIPSVTGKRKERSP >OMP11437 pep supercontig:CCACVL1_1.0:contig01289:477:536:1 gene:CCACVL1_00520 transcript:OMP11437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSTGPFLANQTKNEEAGPVE >OMO50463 pep supercontig:CCACVL1_1.0:contig16170:9803:9886:-1 gene:CCACVL1_30425 transcript:OMO50463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINKKMNSAGRRWSEIRDEWWGRGLG >OMO89409 pep supercontig:CCACVL1_1.0:contig08729:11724:13950:-1 gene:CCACVL1_07855 transcript:OMO89409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MTNLTSALTNHNVLSFGAKLDGETDSTKAFLEAWNAACGSTDSIMIYVPKGRYVVGAMTFKGGCKSPQIIIRIDGTLVAPADFRVLGKSDNWLSFEGVSGVSIAGGTLDAKGPALWACKASNGNCPAGATTLSFTKSNNIRISGLMSLNSQMFHIVINGCQNVHVRGVKIIAAGNSPNTDGIHVQLSANVEIISSSIKTGDDCISIGPGTKNLWIEGVTCGPGHGISIGSLGKDLKEEGVQNVTVKKTTFIGTQNGLRIKSWARPSSGFVQGVRFLDSVMNNVQNPIVIDQNYCPHNLNCPGKVSGVKITDIEYEAIRGTSSTEVAIKFDCSANNPCTGIRLQNVNLTYMNEAAQSSCSNVIGKALDLVRPTSCL >OMP10968 pep supercontig:CCACVL1_1.0:contig01751:1976:2293:1 gene:CCACVL1_00744 transcript:OMP10968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDYCTVRFHYCGRFAGLSDSSDVIYVDGVEDDLKLDPDKISLPFLEGICQRGGFQNIKYMFYLKTGYTNLMEGMQIVESDETARAMANDMRQTDDLLHFYVDH >OMO73016 pep supercontig:CCACVL1_1.0:contig11321:26988:27438:1 gene:CCACVL1_17492 transcript:OMO73016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRPASAKLKTSTTTTLMKLMVRWTQGLSVESRLPPVHPIAGVADKMRFNYVSRNGYNAAVT >OMO90070 pep supercontig:CCACVL1_1.0:contig08540:21929:23798:1 gene:CCACVL1_07524 transcript:OMO90070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMLAKSINFTASLTAAAARRRPATTTGGGGGSMKQCLCSPTKHPGSFRCRHHQGEYVWGGRFVGKK >OMO90069 pep supercontig:CCACVL1_1.0:contig08540:11443:16658:-1 gene:CCACVL1_07523 transcript:OMO90069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLRGGTRRSKRINDNLESPAGLAPTTRRGGAGRGRGRGRRAMNQDDNAKLVGPSACGRGCTGVNLPVRQGVEKSAERLVAVEEEGSTSPLPERVQLGNSPVYKLDRKLGKGGFGQVYVGRRISGGIGCTGPDAFEVALKLEHRNGKGCSSGPPYEWQVYSTLNGCYGLPLVHHKGQLGDYYILVMDMLGPSLWDVWNSNNQMLTEEMVACIAVEAISILEQLHLRGFVHGDVKPENFLLGQPGTSNEKKLYLIDLGLASKWKETASGRHVDYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGKLPWQGYMGENKGFLVCKKKMATSPEMLCYLCPPPFQQFLEIVTNMKFDEEPNYAKLISLFHNSIDFNSSIRPIQIDGAIKVGQKRGRSLDELEDGEQVKKKVRLGVPASQWISIYNSRTSMKQRYHYNVMDARLYQHVEKGREDGLYISSVASSLNLWAIVMDAGTGFTSQVFDLSPGFLHKEWIMEQWDKNFYITSVAGACNGSALVVMSKGTPYTQQSYKVSDVFPFKWINKKWKEGFSVTSMTTAGSKWGIVMSRNAGYPSQVVELDFLYPSEGIHRRWEKGYRITAAAATEDQAAFILSAPKRKSQDSMQETLRTSAFPSTHVKPLWRMPKASEMLLFCVAVTISIAKA >OMO90068 pep supercontig:CCACVL1_1.0:contig08540:8685:9887:-1 gene:CCACVL1_07522 transcript:OMO90068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRKADTGYKSAYLVDTSDDIQKPGATKSNLISY >OMO90071 pep supercontig:CCACVL1_1.0:contig08540:24306:27279:-1 gene:CCACVL1_07525 transcript:OMO90071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MSKPVLRDSSVPYSPLPSNPNQQNVIVLPVYYHRPNQNYRYLRGCLTCTGILLLLSVAVFLLYPSDPTLQLVRIQLNHVSVKTSPKLTLDVSFSLTIRVRNRDFFSLDYDKLDVSVGYRGRELGVVSSDGGTVRARGTSYVNATLDLNAFEVVHDVIYLIADLAKGVIPFDTTTKIDGSLGVLFFEIPLKAEVSCEVYVNTSNQTVVRQDCYAEDLLN >OMP10601 pep supercontig:CCACVL1_1.0:contig02125:62:1023:-1 gene:CCACVL1_00852 transcript:OMP10601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNMQRQAVPLSRSEKCIVGTGLERQVALDSGVPAIADHEGKIISADTDKIKIIFSGNGDTLSIPLVMYQRSNKNTCMHQTPRVPRGKLTPQVAKESSYAPEDRLLRAILGIQVSASKETCLKLPIGGRGRVIDVRWIQKKGGSSYNPETIRVYISQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGRPVDMVFNPLGVPSRMN >OMO60779 pep supercontig:CCACVL1_1.0:contig13666:17978:18055:-1 gene:CCACVL1_23884 transcript:OMO60779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFGGEGAMADGQEGSPMRAKPGN >OMO60777 pep supercontig:CCACVL1_1.0:contig13666:3493:4834:-1 gene:CCACVL1_23882 transcript:OMO60777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVMDNGNAPFFPHLDSADFSSGYLEDALLEFTERSKRRRLLLYSDDLDHHDHEYSNINGFNDLLAKSYWNSNCNWGLSENFSCMSHLTNINAISDEPMSASVSSGEANNIVQHCSEIKTPEEVISAGSHESSSSVKTKSFFNKGNITHFSTDPISSSGSEDHDKKKKRVITRVVYPFALVKPGGIEGDMTLNDINERILMPPTRPVRHPVGDFACRPCVSADGPGLSGKAVVALTKIHTQGRGTITIIRTKG >OMO60778 pep supercontig:CCACVL1_1.0:contig13666:14743:16737:-1 gene:CCACVL1_23883 transcript:OMO60778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent decarboxylase MVLSKTASQSDVSVHSTFASRYVRDSLPRFKMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMDAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGDKETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPVKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLVEKNKETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYRNVMENCHENAMVLKEGLEKTGRFNIVSKDDGVPLVAFSLKDNKRHDEFEISEYLRRFGWIVPAYTMPADAQHITVLRVVIREDFSRTLAERLVLDITKVLHELDTLPAKVSAKLAMAEENGHVKKTEIETQREIAAFWKKYVAERKTNKNKIC >OMP03260 pep supercontig:CCACVL1_1.0:contig06108:15352:16554:1 gene:CCACVL1_02483 transcript:OMP03260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant EC metallothionein-like protein, family 15 MDLKKKLNVKAKGTGVACDERCGCPSPCPGGISCRCASGDTSDADGHRRCSCGDHCSCNPCSCGEAHEAAAAGTTTGVGKAFCKCGDGCTCTLCSAT >OMP03263 pep supercontig:CCACVL1_1.0:contig06108:21972:22360:1 gene:CCACVL1_02486 transcript:OMP03263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCITVFMWGAHPLYPLLFLFNRDEYHSRPTEPLKWWEGGEILGGRDGVTGGTWLASSRDGRFAFVTNFREVESLPQAKSRGYLPVRFLQ >OMP03258 pep supercontig:CCACVL1_1.0:contig06108:8875:9933:1 gene:CCACVL1_02481 transcript:OMP03258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced protein 1 MSLHHFLFSFLLLTFSATSSSVATKTTVSSPKEQTLNTQDAQYFNPKLPPRVLSTSKKFEGSSNLVDLRYHMGPVLSSAPINIYLIWYGRWSAPQKQLIKDFITSISPSAAPSPSVSEWWKTVSLYTDQTGANVSRSLVIAKEHTDTRYSHGTHLTRLSIQEVIATAVKSAPFPVDHRNGIYLILTSHEVTVQDFCRAVCGFHYFTFPSMVGYTLPYAWIGNSGKQCPEVCAYPFAVPGYMGGGGPGALSPPNGDVGLDGMISVIAHELAELSTNPLVNAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYIGQVMRDRKGRTYNVNGNNGRKFMVQWVWSPLLKACAGPNALD >OMP03262 pep supercontig:CCACVL1_1.0:contig06108:18570:21398:-1 gene:CCACVL1_02485 transcript:OMP03262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSQPKLRSIKAVAAELPALTSIPSVYNFQTIPNNIINDIETFSEADESMIPTIDFSLLTSSNPEERSKGRYGTRNQSALSVRSNGERYT >OMP03261 pep supercontig:CCACVL1_1.0:contig06108:17461:18086:1 gene:CCACVL1_02484 transcript:OMP03261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant EC metallothionein-like protein, family 15 MADIRAEVGGAGCNDRCGCPSPCPGGVACRCRGSETSGAEVEHKRCSCGEHCGCNPCTCTKDPAAGTGKLFCKCGSGCTCVTCSS >OMP03259 pep supercontig:CCACVL1_1.0:contig06108:11993:13045:-1 gene:CCACVL1_02482 transcript:OMP03259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTNGHSGAVHRQAMASTYET >OMO99049 pep supercontig:CCACVL1_1.0:contig06967:10387:18192:-1 gene:CCACVL1_03957 transcript:OMO99049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MEAKRSGAIMEAKRSGEEWKTLWTCVPDLVFHRYEHRKASSFKNMVFHVLSNRDNNLAVTNFSFNYYGKDKSIITRIINYAASHNVMNLRLYLELASLKLLFPHINNCRSLKTLKLESFNGLHLLEGFTLPSLTALCLSCCSFWGNDGEGDQPTSIDPFVGLFNLKSLQIYNCNMGSVQNFRIYGPQLDSLTIKISYFNGEVAIFAPKLQFFSFKNDNPRVKFSYLDFPLLEIADIDAYVSFFITNHELIEKSLLDMMNMFRGLYHVRSLVLNQCIIKLWGFRVHAQQLTEAKILIQSALKNCASDSNSIVESLLGSYNVVGSCTLVFDLLVQAYAKLRMLEDGFEVCCYLENHGFCLNLSSFNALLHGMQKSGENVMVWKVYEYMLQRRKYPNDVTIRTMINALCKEGKLQVVVDLLDRIHGKRCSPIVIVNTNLVFKVIEEGRIEEGMELLKRMLQKNFIFDTVAYSFVVYTKLKLRNLESAWEVYEEMLKRGFSANSFLFSSFIREYCDRGRIEDAKNLLREMEDMDLKPYDETFNHLIEGCAKAGEMKASMKHCEEMIRRGLIPSCSTFNEMVRGLCEIGDSENANAMLTLALDKGFLPNEVTYSLLISGYGKEGNIQQVFKLYYEMEYRSLSPGLPVFTSLIRSLCNCGKLGEADKYLRIMEDRSIALSEDIYEALITSHLRKGDKTRASLLYNEMVANGMKPHDWETYVNDLETKRWSNRKSSNYRTELLSPAPGGEDMTMASEQSWRLNMDKFSLPQRRMEHSSHFNLGYYIKAIRRQKKISEYYKRQERLLKGFNEVDTFNELGILPGTLTEDEMKQLARSERVAIYASNAANLVLFLAKVYASVESRSLAVIASTLDSLLDLLSGFILWFTAYAMRKPNQHCYPIGKNRMQPVGIVVFAAVMATLGLQILFESGRELLMKSQPDRDPEKEKWMIGIMVSVTVVKLVLTVYCRRFENEIVRAYAQDHFFDVITNSIGLGTAVLAIKFFWWIDPLGAILIALYTMGNWAKTVMENVWALIGRTAPAEYLAKLTYLIWNHHEEIRHIETVRAYTFGTQYFVEVHIVLPEEMSLGEAHNIGQTLEDKLEQLPQVERAFVHVDCDIIHPLEHNPKKR >OMO99048 pep supercontig:CCACVL1_1.0:contig06967:3807:7729:-1 gene:CCACVL1_03956 transcript:OMO99048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein METSSGINNVEYDYNTELLPSKNKGGGETSSSWRLDLDKFRLPQHGGGGASSSDHRFSRLLCIPKKQRKVSEYYKKQERLLAGFNEMETMNETGCLPGSLTEDEMKQLARSERMAVHASNIANMVLFAAKVYASVESKSLAVIASTLDSLLDLLSGFILWFTAHAMRTPNHYHYPIGKKRMQPVGIIVFASVMATLGLQILLESVRELIAKSHPEINHSEEKWMIGIMVFVTVVKFILMIYCRQFKNEIVRAYAQDHFFDVITNSVGLATAVLAIHFKWWIDPTGAIIIALYTMSTWARTVIENVWSLIGRTAPPDFLAKLTYLIWNHHEEIQHIDTVRAYTFGSHYFVEVDIVLPEDMMLSKAHNIGEKLQEKLEQLPEVERAFVHIDFEFTHRPEHKTML >OMO99050 pep supercontig:CCACVL1_1.0:contig06967:20012:28338:1 gene:CCACVL1_03958 transcript:OMO99050 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MNFEIINCRNIGDQIRSTMKGKSSVAIGKKKKIVPTYDGSILGDPNLCVIHLPKSGMVEEAAEALERRNKDWIIRSKVASDLIVQVGDVSFHLHKLAMVSKSGYLSRLVLARTSTEEEKGSSRLIKIVLQDLPGGSKSFKSVVDFCYGRKVDVTASNVAPLYCAANFLEMNEGFHQGNLISQTEAFLSFALSSSSWKDTFHILKSCELVSSWAKELLIVKRCSDAIASMAFSNLGENDESFLANRNGNWWFEDVATLRIDHFILVIESIKRKGMKSELVGSCIANWTIKWFSRINSGFENLTPKHLSQKLQRVTIESLIKMLPLEKNSVSCNFLLQLLKFGLVMQINSEMLSKMERRIALMLEQCSVQDLLVKNCGDKDSIYDVGVVIRVVKTYVKNCVPRSVCIVGKLLDGYLTLVARDINLSIYDFKSLVEALPTNARYSDDNLYRAMDMYLKAHPHLTEEERKIVCGTMEYHRLSEEARQHAMKNDRLPLKVVTQFMLLDQVQMVRLMTVNEANQKDIRTKTRTSIKGLDRGCMQMTPRKEIKLMRNEVENMKMQLNQLQLCKAKLQSQHKLGRGNRDKVQQFMTITGARMYGNGLKERFVSNDKNKDPYSDMILADGITLLCNDLQVDPQDIVTLVLSWHMKASTMCEYSKQEFFIGLQALGIDSLEKFRERIPFMRSELKDEQKFREIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEKQWPLVDHWCQFLQARHNKAISRDTWAQLLEFARSVDPALSNYDAEGAWPYLIDEFVEYLNENGIIQNDQLR >OMP00044 pep supercontig:CCACVL1_1.0:contig06735:31411:32343:-1 gene:CCACVL1_03484 transcript:OMP00044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dienelactone hydrolase MRGQRVRCSAVEVENDIDDEACELVNGVELSIGEGDDDNIQAFLLKAVKNNNGTGVLLLTDIFGVLVPDLFRGDPWGKGQPKAMFAQWLASQDPKRVARDIETSTKWMVDEFTAAGLSKKLGIMGFCFGGDRVIDVLAVDEGGCFSTAVSSYGIRMDLSAASKVKVLVLFISGDNNPLCPVSVLNEFEKGTGRGSKVVIFKGRGHAFAHRPGSPEEDVDAEQAL >OMP00041 pep supercontig:CCACVL1_1.0:contig06735:20424:25321:1 gene:CCACVL1_03481 transcript:OMP00041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Queuine/other tRNA-ribosyltransferase MSGSNSTSTSMKFAVRAWSNGRARAGILHLPCCPAPIETPSLLLSTRKGLPPFISPDLLPSLPSPDSHLLQVSPLHFLEGLSIKTISKIGGLHQLLALHDYGFVAFPRDSIQCLPEAKGSNKTGASFETPCGRLLIKPVEYMEMISSMRPELWATLADEVPAWVTEKRNKASVDRTIKWLDECIALSPAGGAVFGSIVGGSSSEERQRCAQEVARRNIAGYWIGGFGLGESMDERPALLNVVTEFLPEEKPRLISGLGLPEEILQGVAAGVDLFDSTYIYHLTLGGFALTFPLDRMEKNASNIASSDAGSDLRKISLRATIFRKDTTPIVEGCKCYTCQNHTRAYINHLLNVHEMLAQILLEIHNTHHFLGFFRSIREAIKEGRFEEFKKDFVQSRCDQLAVAASDLSLSEMSLV >OMP00038 pep supercontig:CCACVL1_1.0:contig06735:521:1973:-1 gene:CCACVL1_03478 transcript:OMP00038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKRELLSKAPWRGEEQDDSNKFADAKLKVTKQPGSTSKMHVPRRKDSKSKFDDNDDDSLEIDPELRYSFQRNFQFLQRVFSIDTVVKPLPPAMAYNVSRNLSFFTRIFTQFFDPEGIANAQKSLGLGQEEKARRVR >OMP00043 pep supercontig:CCACVL1_1.0:contig06735:29818:30732:1 gene:CCACVL1_03483 transcript:OMP00043 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MPLQSLRPGFRFSPTGEVVLQCYLRDAINGQNLPSDIFTEADLYGDKEPWKLFNPNHSHPYWVFTHLKMKRDSVSVAKQTTTKKKGKGNSKAKKNREEEEEKHDRTAGGGSWKGRSVHDILSSDDGEKLGFDREYKFKLNHDDEGRGSNPNSNGNWIMHEFSIKGCDLVICEIKNLKRKRKGTERDSHDLDDDSRVSKKLDVGDRDHQLQVCAITEEQAGLVDTPLPDDDDDFLKKLDVGDRDHQLQVCPIPEEQSGLVDAPVPDDDDDFVNSLLNSEWDWNFIASDREFSSPLQQQQHIPLVF >OMP00039 pep supercontig:CCACVL1_1.0:contig06735:3337:10605:-1 gene:CCACVL1_03479 transcript:OMP00039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Scramblase MNRTKGLRCLLKANEIAGKKHLEPLKPCIPLSIQSQRCLGHGGMSSQESLFLGRNLFRRLNLPCSFRGNSPWSKKSGSTYCHYGSIAKNEPDLTRNFLAELWVADRKILEDIEKRRGKVNYSNSVEAEESHQHPFEKPALKQPPVSESVSGFLQPTSPEEAQIAPLLARSNLLITRDIEWANLVLGFEQENRYAIVDVCYPQSPVGFIREQSNVIARQLLRLRRPFVAYITDAMGNELFRVRRPFWWINSSIYVEVNGKEVGVVHRRWHLWRRVYDLYLGNKQFAVVENPGFWNWTFTLKDIDGQVLAQIDRDWRGFGFEIFTDAGQYVIRFGSANPVPRTGPASLIEELDVVRPLTLSERAVALALAISLDNDYFSRHGGWGVPFVAVGE >OMP00040 pep supercontig:CCACVL1_1.0:contig06735:12573:13502:-1 gene:CCACVL1_03480 transcript:OMP00040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylan biosynthesis protein IRX15/IRX15L MRSKPQSPLNTKVILILSFFLLFLLLVFTTSSTFSKPSTPISATHVSNSTNIDEEPKSSEATVVATSDDGSAGGGCPSLPLNPTCRKTPPSLANAIIHYATTNITPQQTFKEISVSARVLDKKSPCNFLVFGLGHDSLMWSALNHGGRTVFLEEDKAWIQQIKKKLPGLEAYHVEYDTKVHQADDLMETGMTEECRVVSDPRFSKCQLALKGFPNEIYDIEWDLIMVDAPTGFHDEAPGRMNAIYTAGLMARNREEGDTDVFVHDVNRVVEDKFSKAFLCEGYLREQQGLLRHFNIPSHRASSGRPFCP >OMP00042 pep supercontig:CCACVL1_1.0:contig06735:27609:28482:-1 gene:CCACVL1_03482 transcript:OMP00042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidylate synthase MYPRSADMGLGVPFNIASYGLLTYTIAHVCDLVPGDFIHVLGRPMFIAIMSGQAPAGAASETAEAFSNFEDQSREEEYRFLCVVASDFKLIGYEKIEMKMGV >OMO88865 pep supercontig:CCACVL1_1.0:contig08904:876:1001:-1 gene:CCACVL1_08153 transcript:OMO88865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVLTGLIISSSFSSSFSSKTNPWINPRRCLFPVEAHHHSSLK >OMO77867 pep supercontig:CCACVL1_1.0:contig10702:15443:18511:1 gene:CCACVL1_14757 transcript:OMO77867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKKNGFIPSSAPEELKKVLKAVASEWGDMIQDMEEFHVIPLKGAMTNEVFQINWPTKHGDLHQKVLVRIYGEGVEVFFNRDDEIRTFECMSKHGQGPRLLGRFPDGRIEEFIHARTLSAADLRDPETSALVAAKLREFHNLDMPGPKDVLLWERLRTWLIQAKKLCSPEASKEFGLDTLGGEISLLEKELTQGSQEIGFCHNDLQYGNIMMDEETKAITLIDYEYASFNPVAYDLANHFCEMAANYHTETPHILDYKIYPDMEERRRFISAYLTSSGNEPSDAEVEQLLNDAEKYTLANHLFWGLWGIISGHVNKIDFDYMEYARQRFQQYWLRKPLLLGS >OMO77868 pep supercontig:CCACVL1_1.0:contig10702:19106:21991:-1 gene:CCACVL1_14758 transcript:OMO77868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MLRKLEMRTREHSKNFQPEDMQISIKGKNCIVTGANAGIGFAAAEGLASRGATVYMVCRNKERGEAALSKIQLSTGNPNVHLEICDLSSVSEIKSFSSRFSSKDVPVHVLVNNAGLIENKRVTTSEGFELNFAVNVLGTYATTELMLPLLEKAAPDARVITVSSGGMYTAPLTTDLQFSDEKFDGVAQYARNKRVQVALTEKWAEMYKNKGIGFYSMHPGWAETPGVAKSLPDFNQRLSGNLRTSEEGADTIIWLALQPKEKLVSGGFYFDRAEAPKHLKFAATSQSHAKIDSIVDTLRSMLVSSKV >OMO79194 pep supercontig:CCACVL1_1.0:contig10470:4958:11647:-1 gene:CCACVL1_13858 transcript:OMO79194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonsense-mediated mRNA decay NMD3 family protein MSYGVATMTAPTAVSGSASGSTIKQVKLERESELRIEVDSETPLKLRLLNGSAEIFGSELAPEIWLTFPPRLKFAVFTWYGATLEMDGATETDYTADETPMVSYVNVHAVLEGRRNRAKASSSNDSEASQGPRVIVVGPTDSGKSTLSRMLLSWAAKQGWKPTCVDLDIGQGSITVPGCIAATPIEMPIDPVEGIPLEMPLVYFYGHTTPSNNVDLYKALVNELAQLLETQFARNAESRAAGMVINTMGWIEGTGYELLLHAIDTFKANVVLVLGQEKLFSMLKAVAKSKPNVDVVKLQKSGGVVSRNAKFRQKARGYKIREYFYGLANDLSPHSNIANFSDLLVYRIGGGPQAPRSALPIGADPVANPLRVTPVNIDRDLLHMVLAVSYAKEPDQILSSNVAGFIYVTDIDIQSATTLQQSRWLCSVVSGKTVVAMAEKTVLCCKCGILMAPNPANMCVTCLRSEIDITEGLRKHEILMHCPDCQSYSQPPKSWIKAQLESRELLKICLNRLNPDFKKLRVMLVNAEFIWTEPHSKRIKVKLKVQKEVINGAILEQSYVVEFVQQDHMCESCTRFQANPDQWVAAVQLRQRVSHRRTFFYLEQSILHHDAASHAIKIKQMDQGIDFFFANRSHAVRFVEFLGKVAPTRYRNDKHLVSHDPKSNNYNYKYTFSVEISPVCREDLICLPPRVAVSLGNFGPLVICTKVTNNIQLLDPFTLRQCFLDSDQYWRHSFKSLLGSRQLVEYDVFNIEIVHPEVNIGGSKYVLADAEVARVTDYGKLFHIRTHLGHILKPGDRALGYDLYGAISNDMELDKYKSLVLPEAILIKKSYEEKRMKKRGKPRPWKLKSLNMEADESKDHQPSEMASVSDVDDDVPSVPLEELLAELDLSEQEDGGDNMSE >OMO79195 pep supercontig:CCACVL1_1.0:contig10470:14951:19299:-1 gene:CCACVL1_13859 transcript:OMO79195 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MESENDPSPGSFVLGLDPHQSPPQAYTWINGSLPFWRSGPWDKTTFIGIHGMESSYSSVYALDVDDELGTVYFYAKPYNQSFYRNIFLPSDGYLRIVGKDLDVPWEVIANPCDMYGTCGPFGVCKPFESPICTCLEGFVPKSNNEWSKGKENSISKGMPNESNVSSEILPSYTSSRLLKFEDQSELPMFDLNSILVATDNFSIQNKLGQGGFGPVYKGKLSDKKLVAVKRLSSSSGQGLEEFKNEVMLISKLQHRNLVRLYGYCNEKEERILIYEFMANKSLDTFLFDPRKKAQLNWARRFSIIQGVARGLLYLHRDSCLRVIHRDLKVGNILLDDKMNPKISDFGLARIFQGTQDLANTHKVVGTLGYMSPEYALGGIFSEKTDVFSFGVLVLEIVNGKKVTTSYCVDQDQYISLLNYAWQMWHESKEIELMDEALATDSFSSSQVKRCIHVGLLCVQDHAENRPTMPQVVFMLSSETELPKPKQPTFTFHKALNSDGSNSKSDRIWSVNEEGLRAELAWAVMRFDSDQSRQTFSKNQEEGKLTGINERLSGQRERDEERKQREMERVFIERGNEGFGSGI >OMO79196 pep supercontig:CCACVL1_1.0:contig10470:35661:36044:1 gene:CCACVL1_13860 transcript:OMO79196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGAQALYSYPKSGSSIVQ >OMP07996 pep supercontig:CCACVL1_1.0:contig04084:934:2113:-1 gene:CCACVL1_01181 transcript:OMP07996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIEFLDMAGLLDGSLPTPSRSIETDDETLGLVVGLDTAVEVWQALTDTFAGNTQEHELALERKLRKHHRDKFSFMDDYIRVFKEICDEFTAIGKPSPNIEKVFALLTGLGKDHESFVTTMMKPPRPTYYELISQLKSHEIIRMTPEKVMVGNDASIDISHSGSIVLKVGDEQIVLDNVTVVLDIKKNLISITQLTTDNPYNVEFSDIGFQIRDRRTGEVIATGKLWMISMS >OMO85745 pep supercontig:CCACVL1_1.0:contig09583:61068:61127:1 gene:CCACVL1_10021 transcript:OMO85745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSEREESSGRSGKSVG >OMO85750 pep supercontig:CCACVL1_1.0:contig09583:79684:80998:-1 gene:CCACVL1_10026 transcript:OMO85750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQKGNLELMFKFNHGLLTCAWGTFLTLLASSSAILLHAQLFSPQFQDLIELLVATQGKNQRETENVDAEGDGDEDDDDGGYGEGEGEDPSDEDGEADHGNNPNEANGNSKKGTEEGADNGAGEENGEEEEEENGNDDGDDDEPGEDDNDEEDNENGGGDEEENEVVEDEEPDDEEDEEDDEEQVQPPKKRKK >OMO85751 pep supercontig:CCACVL1_1.0:contig09583:83114:96672:-1 gene:CCACVL1_10027 transcript:OMO85751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDRGRTERDDVMTRELDMGRLAEDGHPDVILLTLLAPFKHHSYNTYGPKPKQNKQQMFLKIFRLSKKTVDEVWKEIVDHGNVNAAMNNQFVQHHHLTLGETTLEDFLVRASVINTGTNQNGVINPNQQYMTIDPMAVVSQQADWLQYQMSAVQQQQITMMDSNFSVPHHESVYENQVVDVGFPENQLAMTSMPLPSMSATSSDSQANTSARRRRYSDGMEKTIERRQKRMIKNRESAARSRARKQEVEVLLSSNTNPQPKYQLRRTSSASF >OMO85742 pep supercontig:CCACVL1_1.0:contig09583:32604:34251:1 gene:CCACVL1_10018 transcript:OMO85742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MKEIKVKAASSYSTDGEPQIQQSTSVKFTPEIEKKTNFGDAAISIAVLHHLSTENRRKKAIEELVRVVKKGGLVLITVWAVEQEDKSLLTKWTPLTEKYVEEEWIGPGSPHVRGPSPVTLESIPETEENGLGGHTKDSRESSSENTHQSPCLTPQNQHDSTDGKSQQEYFVPWHLPYHRAEVSGASAGALANGLAKKDDKKGAVVYNRYYHVFGEGELERLVSGMDNAVIVDKFYDKSNWCIVLEKTL >OMO85746 pep supercontig:CCACVL1_1.0:contig09583:62639:64504:-1 gene:CCACVL1_10022 transcript:OMO85746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLTVISPQITPFHQQPSFFTIQSPSFHCSNSRNEAKTRNTKKPPQFPCFSSKDSCFLPEFDHSTNISNSHENPDAGFADSTQPSVSGSSDPAELAALLQSCRNVRQVRRVHALVLKRLENPVTYVENNLLCLYIRFRKLMEARKVFDKMAERNVVSWTAMINGYSKLGFDDEALRLFKDSISRGVQANGKMLVCVMNLCSRRVDLELGRQIHGCIVKGNWRNVIVDSAIVYFYAQCGDFSNAFQAFDGMGEKDVVCWTTMITAYSQQGYGKEAFLMFSRMLAEGFWPNEFTVCSVLKSCGEEKALKSGRQLHGAIIKKTFKNDVFVGTSLVDMYAKCGEILDARIVFNGMSSRNTVTWTSIIAGYARKGLGEDAINLFRVMKRRHIIANKLTVVSILRACGLVGDLLMGKEVHARVVKNGIQTNMYVGSTLVWFYCKCGEYNIASKVLQQMPLRDVVSWTAMISGCASLGHEMEALNYLKEMMKEGVEPNSFTYSSALKACAKLEAVSQGKLIHSFANKTPASSNVFVGSSLIHMYAKCGLVSEAFRVFDSMPERNLVSWKAMIMGYARNGLCQEALQLMYRMEAEGFEVDDYILATVLTACGDIERDEEPSSEILLAT >OMO85749 pep supercontig:CCACVL1_1.0:contig09583:75098:78895:1 gene:CCACVL1_10025 transcript:OMO85749 gene_biotype:protein_coding transcript_biotype:protein_coding description:UV radiation resistance protein/autophagy-related protein 14 MMSKKASNCAICDNSNRASICSVCVNYRLNEYNSLLKSLKSRRDVLYSKLTEVLAAKGKADDQLNWKISRSEKLTNLKEKLRRSKEQLAQGKAKIEKVSHELKVKYGVLESARSTLEKNRVEQLEKFYPNLICTQSLGHMAITSERLHKQSVVIKQICKLFPQRRVNLDGERRDGSSGQYDLICNARLPRGLDPHSVPSEELAASLGYMVQLLNLVVHNLAAPALHNSGFAGSCSRIWQRDSYWDARPSSRSNEYPLFIPRQNYCSTSGDTSWTDRSSSNFGVASMESERRARLDSSGSFNYSSASPHSVETHKDLQKGISLLKKSVACITAYCYNSLCLDVPAEASTFEAFSKLLATLSSTKEVRSVFSLKMACSRSGKQVQQLNKSVWNVNSAMSSSTLLESAHMLPLTKNFSDHNLPSSAASFLFAAEMSDTGKNESLIDEWDLVEHPKFPPPPSQTEDVEHWTRAMFIDATKR >OMO85748 pep supercontig:CCACVL1_1.0:contig09583:69541:70277:-1 gene:CCACVL1_10024 transcript:OMO85748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MVCFAMADSAQDKDKEECTQQLVGLATCLPYVSGDAKAPTPDCCSGLKQVLKNNKKCLCVIIKDRNDPDLGLNINVTLALSLPTVCNAPANVSKCPELLHMDPNSPEAQVFYQLSKSSTSSSPAPGPSPTGEGSPVSSQSEGANKPQKGAACRGSGKRWLGLEIVTGLTLLCYFLGSYLFI >OMO85740 pep supercontig:CCACVL1_1.0:contig09583:3553:6796:-1 gene:CCACVL1_10016 transcript:OMO85740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MPYSQLLSCICIPQSVSLQSPKRWSSSKSNTGKGRLGECTISKSLATDLLFVPRNVNLEKLQSGYLFPEIASRESEHMQKHPHASLIKLGIGDTTQPIPDIITQAMAEQVCGLSTIEGYRGYGAEQGNMALRKAIAAKFYQDMGIKADEIFVSDGAQCDISRLQMLLGPNVTIAVQDPSFPAYIDSSVIVGQAGELEKETGKYGNIIYMNCGSENNFFPNLSATPRTDIIFFCSPNNPTGHAATYQQLKQLVAFAKANGSIIIYDSAYGAYITDHESPRSIFEIPGAKEVAIEISSFSKFAGFTGVRLGWTVVPEELLYSNGFPVKKDFDRIVCTCFNGASNIAQAGGLACLSPQGYQALCNVIDYYMENAEIVVDAFSSLGLNVYGGKNAPYIWTHFPGKSSWGVFNEILEKTNILTVPGRGFGPGGEEYIRVSAFGKREEILEASRRLKHFLT >OMO85743 pep supercontig:CCACVL1_1.0:contig09583:36266:45048:1 gene:CCACVL1_10019 transcript:OMO85743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MEKIKKLFPLKALSMLFEVLFTITSGKLPYQRVKVSHGPATQFRFLKHCSFVDKTDEFANQTLVFHMEGALLKSCSLFPYFMLVAFEAGGPFRALILLLLFPILLLVGNELGLKILVFASFVGIKKEKFRVVGTAILPKFFLEDVGREGFDIVMSHDRKVAVTEMPKIMVEGFLRDYLGINTVVARELKEFRGYFLGLMEEKMDAEPVTADQKMSTHNIGLVYSRKSYDHEIFSDCKEIYLVTEAEKKNWQVLPRNRYPKPLIFHDGRLAFRPTPLASLVMFIWLPYGFLLSIIRTFVYISLPFNLSLPILALSGVVITVSKPAPEPTTCSTNTEKKPGGVLYVCNHRTLVDPTMLSLAVKKSVSAVTYSISRLTRLLSTVSPIKTVPLTRDREKDGKLMKLHLSQGDLVVCPEGTTCREPYLLRFSPLFAEMTDEIVPVAMKLQVTMFYGTTASGLKCLDLTFHLMNPNPTYFVKILDKLPSSQTHNGGGKSKFEVANYVQDQIATALGFECTNLTRKDKGGREGNGRTIDNNSIIVRRALHSPHGGVSNLIKQNAGLEDKKCKKGGFEAKNDGRTFRNVVIGANLNVTQTENAIIEGVSVDDSTFKKCRLDIARMLVSIKRSVKIPSIIEFDYNDKHYAITIHIKASRDFLSFMNDRKLPINGDLVKDVFGATCEDSLSTSEGDELDSCMHGGGAIIEDGDGQSDLNEVEAHLISLSQENIGAVNEAHNLASQVFGERVQESEPEVDFVPESNQIVVGQVNGPKEIVQSWANELDVEESLGQKHTGNEELGSCSHELNKEWTLVKRGPSKKKANRIQKKKIKKYRKVAVDILGRKGVGDLGSDASLSDSDIANKNKFNRKEALETWETCELLGITFEADKEQIIQALEGLEEETFM >OMO85739 pep supercontig:CCACVL1_1.0:contig09583:2672:2903:-1 gene:CCACVL1_10015 transcript:OMO85739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPGFDLAEVYVRRKLHKEQLKRKEEERAKTQKIDLAATKSTGCFSSMFNKIHPSHVSVSPLEHDRREVKSYDQSE >OMO85741 pep supercontig:CCACVL1_1.0:contig09583:18914:27677:-1 gene:CCACVL1_10017 transcript:OMO85741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRTIGTVIQNYDKGKYVS >OMO85752 pep supercontig:CCACVL1_1.0:contig09583:103327:103410:1 gene:CCACVL1_10028 transcript:OMO85752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDVRNRGIFGFIWGEWRFSEMKVQR >OMO85744 pep supercontig:CCACVL1_1.0:contig09583:55760:59944:-1 gene:CCACVL1_10020 transcript:OMO85744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDNSSEKNIPGATIDEKLEGDADGIHKEDQGKTMEDMHSLNTGKDDVNKLDNDNVQDSSVDNKDQNRVLESKAVGDNLDNDDKHVEQGVKVNEDGNLYSKAHEEMNETTDEVLDEEEAEPVFDGTEVPGMEAYRSSSTRSLDPGAEEEGSVWPEKAVALKNFVKEKGAVAVTNVLRRISFKKDEGEHAPNDENKDSPDSAKLVEHSAMSPKTAERSAWNPLNYIKKSRDADAERKGEQGESTIEESLLPIVTKGRIVLYTRLGCQDCKEVRLFLHRKRLRWVEINIDLYPSRKSELEKFSGSCAVPKVFFNEVLVGGLSELRALDESGKLDEKIVFLITEAPSVEAPLPPLSGEDDVSSNGPVDELALIVRKMKATIVVKDRFYKMRRCTNCFLGSEAVDFLSEDQYLEREEAVEFGRKLASELFFRHVFDENLFEDGNHLYRFLDHDPIVSSQCHNIPRGIIELKPKPIIEIASRLRFLSYAIFEACVSEDGRHVDYRSIHGSEEFARYLRIVQELQRVEVHDMPREEKLAFFINLYNMMAIHAILVWGHPAGQLERRKLFGDFKYVIGGCTYSLSSIQNGILRGNQRPPYNLLKPFGVKDKRSQVALPYPEPLVHFALVCGNRSGPALRCYSPGNIDKELMDAARDFLRAGGLIVDLSGKVAFASKILKWFSVDFGKNEVEVLKHVSNYLEPTESEALLEILADDQMKVIYQPYDWGMNC >OMO85747 pep supercontig:CCACVL1_1.0:contig09583:64834:68736:1 gene:CCACVL1_10023 transcript:OMO85747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAWASRQACYLFPVTLKSSKATRFPALGVNQLRLRRLSIAAVVGDKTALPNDYDTQSPASNEVNRDTENEDEKGSVKGLDDCKMIRVCDKLIEVFMVDKPTPTDWRRLLAFSKEWNNLRPHFFQRCQDRADAEADPGMKHKLLRLGRKLKEIDEDVQRHNELLEVIKNSQSEISEIVARRRKDFTKEFFVHLHTVAESYYDNPTEQNALAKLKNMCLAAVQAYDTASENVEAIKAADLKFQDIINSPSLDVACRKIDSLAEKNQLDSALVLMIAKAWSAAKETNMTKDEAKDTLYHLYMTAIGNLQRLLPKEIRIVKYLIGIEDHDELMCALNDAFAPGEELEGEDVDNLYTTPEKLHKLMRAVVDAYNLSQEGTLLREARDLMHPKIIQKLEELIKLVEKTFM >OMO77878 pep supercontig:CCACVL1_1.0:contig10697:18048:23623:1 gene:CCACVL1_14749 transcript:OMO77878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MGVSNISNAASLGLVKSKADIDQNLKPQRPCSIKGNHRRVIMASICSSSSTVSIGHDVNQKLTGDSFIRPHLRKLSAYQPILPFEVLSAKLGRKPEDIIKLDANENPYGPPPEVLEALGSLKFPYIYPDPESRQLRAALAKDSGLESDYILVGCGCDELIDLIMRCVLDPGDKIVDCPPTFTMYEFDAGVNAAEVIKVSRKPDFSLNVELIAEVVEREKPKCIFLTSPNNPDGSIISEEVLLKILDMPILVVLDEAYIEFSGVESKMQWVKKHENLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVAACAALQNPKYLEMVKESLVQERDRLFKLLKEVPFLNPYPSYSNFILCGVTSGMDAKKLKDDLSRMGVMVRHYNNKELKGYIRVTAGKPEHTDALMECLRRVS >OMO57410 pep supercontig:CCACVL1_1.0:contig14378:22712:26668:-1 gene:CCACVL1_25768 transcript:OMO57410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEAVAAVGEAFFTTMLEGLLDKFAATSDLINFAKNNKLHLELKKWHQILQSINAVLSDAEEKQVTNKTVRIWLTELRDLAYEVEDVLDNLAYEAWKRKSKAQQPRTKFEKLIIFPYGLKSAVMFGVEMEPKLKKITRRLQEIAKQKNELQLKEGEISMAYYKDQRLPTTSLVNQVNVFIGREKDKEAILKLLLVDQKSSTENEVPLALIPITGMGGIGKTTLAQLVYNDDRVDNFFDLKAWIYVSQDFDVIKVTKAILQAINITPVTTCECDHINDLDLLHLKLKEKISGKKLLIVLDDVWHENCDGWELLLRPFEVGNSSVCKIIVTTRNQNVSQITANLLPAAYPLKELADDDCLSILACHVNVFGSKNFDAQPHLKEFGREIVRKCKGLPLAVKASAGLLRAKLLDKEWEALGRSKIWDLSEIMQRGVFPALRLSYHHLPCHLKPCFAYCSLLPKGYQFDREELVLLWMAEGFLQLKGNIMQPQELGRHYFSDLLSRSFFRQSNKNKSLFVMHDLLIDLAQSVAGDLCLNMEHELQIDEHNLENARHVSFIRRQYDVSQRFQVFNKMKNVRSLIALPMSYQENHCYLSCKVLDQLLPKLKRLRVLSLSGYFFEDLPDTVGDLNHLRYLNLSKTGIRLLPKSIGKLHHLQILILAHCRNLIEMPVEICRLIQLQHLDIRDTPKLQEMPPGLGKLTSLRVLPKYVIGKAGGLTLRDLKDLPLLQGQLCICISRLHNVVDVRDARDANLKQKSNLRELALEWSSNQKLTSNSCSDLEVLESLRPSKDLQRLSISCYGATMFPSWVRNPYLAKIVQIDLFDCINCASLPSLGQLPLLRILNVKGMNAFTSLGPEFYGDGSPFLKKAFPSLELLRFEKMKKWEEWNSCVGNVQVFPLLRELVLQDCQILAAKLPGILPSLVKLDVKRCPQLIHSPLSFPCLGELNVEDNSDLILTSMVEQSSIITKLIMKGISGLTCLTEKLTKALAKLEVLEIGGCKELTCLWPKRSELENMPCLKNLVIKSCPKLVSLVGEKEGLSCLSALIKLKCLTIHNCMAMESLPDTFEIMNDLKELEIFGCPSLLFFPEGKLPFTLKRLSIRNCRNIQCLLDGNKSHLEYLCIDDRLALESFSESGFQIPNLQIFHIFNINSLPNQMRKLTSLGELSLSNCEALESIPSSGLPPNITSLKFCNCKNLKQPMLEWGLSKLNCLKELKITGACPAADMVSFPDDEGEMLPSTLTTLFMENMKNLESLSRGLGNLGDLEQLCMKDCPKLRTLPRTAMPVSLGRMYIFGCPVLQERCNKNRGQYWPIISHIPCLEID >OMO57416 pep supercontig:CCACVL1_1.0:contig14378:67633:69245:1 gene:CCACVL1_25774 transcript:OMO57416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MPHYESESDDYETTPRVKLFGRERPMRDILGGGRVADILLWKNRNVSAALLIGVAIIWFLFEVVEYNFVTLFCHITITAMLVIFIWCICARHFGWDTPKIPKLLADERAFNEVVSNLLWRLNQFLKNFLRIAGGEEPVHFFLVIISLYILSVIGSYFDFVNLLFIGFLFLETMPYLYMQYEKEVDYHAAQMTRKMNKMYRRFDSRVLNKIPRGPKKEKKHT >OMO57415 pep supercontig:CCACVL1_1.0:contig14378:65720:67199:1 gene:CCACVL1_25773 transcript:OMO57415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDSATHSRAGDAMRGSNIEPLIVGAIHFK >OMO57419 pep supercontig:CCACVL1_1.0:contig14378:88935:90422:1 gene:CCACVL1_25778 transcript:OMO57419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MEVNGKGRNFANTDLCSTSAKGCRPRNSNASTPKPPGVSLCKYWMSGRCSRGDSCWYLHSWSLGHGFTHLARLEGHKKAVKGIALPLGSDKLYTGSSDGTARLWDCITGKCAYSNNLGDEVWSMITEGHWVFIGMKDFIKALNIQTSNEINLEGPVGQVYAMAVANDKLFAGTQNGDIMAWRASSEANPFHLAASLQGHTRAVLCLSVGENMLFSGSADNTIRVWDTETLQCIKTLNGHADAVTSVIHCNGHLFSCSLDGTIKVWFASEGQQNWEVIHTHKEETGVLTLCGMNDAEMKPVLCCSCNDNTVRLYDLPSFTERGRLFSKREVRVIERGPSGLFFTGDAAGSLSVWMWVQKPQEEAS >OMO57421 pep supercontig:CCACVL1_1.0:contig14378:93724:94365:-1 gene:CCACVL1_25780 transcript:OMO57421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFASRFLLSSTKSNGFNSIAKQPSLAACHGFNSIAKQPSLSALTRSQLRFYSAKDSDDDVSTEELRMRIQKYYDGDEEALPSIVEGIMKRKLSGKHEESDDELMNGIQEESDDELNSDSSGDFETDEE >OMO57422 pep supercontig:CCACVL1_1.0:contig14378:95700:101596:-1 gene:CCACVL1_25781 transcript:OMO57422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diphthamide synthesis, DPH1/DPH2 MDLESNFEISRTAEFILSRNFTRVALQFPDDLLKHSTKVVTSLRNKLGSGNKVGLFVMADTAYGSCCVDEVGASHINADCVIHYGHTCLSPTSTLPAFCVFGKASLSISNCVEKLLSFFLTNGRPVLVLYGLEYAHAISQIKAALMEAMPKAKTETIFADVICSSINPLEDHRNSDGLLGLLGSLTTNNSFGAASGTRYSLGGLIWDLPEGQRMEDYLLLWVGPDNSAFANVVLTFNGCEIVRYDATEDRLVTDVSQQKRILKRRYYLVERAKDSNMVGILVGTLGVAGYLHMIHQMKELIMGAGKKAYTLVMGRPNPAKLANFPECDVFIYVSCAQTALLDSKEFLAPVITPFEAMLAFNRGSEWTGKYVMEFRDLISSSPLEVRNEPEEARFSFLKGGYVEDFELQENGDEDNEGSLALANTTQKALQLRDSNPDSLVKGIAKSGAEFFVTRSYQGLEMHANSSLPEPYLIGRSGKASGYKDEKSEHET >OMO57418 pep supercontig:CCACVL1_1.0:contig14378:75124:75318:-1 gene:CCACVL1_25777 transcript:OMO57418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYSTAMEVVMEVTGLSPAGFFTIIAMMVVVYKIVCGMFIRPEDFELNQKKSQFSWETSRRKS >OMO57420 pep supercontig:CCACVL1_1.0:contig14378:91608:91700:1 gene:CCACVL1_25779 transcript:OMO57420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVDFFAAFYLDERLQDEIEKSSTIAEGS >OMO57411 pep supercontig:CCACVL1_1.0:contig14378:27648:31442:1 gene:CCACVL1_25769 transcript:OMO57411 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MYRAPTDREILGSNLTLCETVWVQILRYPVKEGPRIAEQGESVGAVTKRVETVAQRVEPTRSYTDEAYELYGDLGLDLATGIVPSTLGLGGIGQTHAVNGLNKMNNMGSALGSEIPWIGQFKSGLGDITAGLDNSVPKEGVLSAQNLALLQNDQVRQLLADLAVASPSFVFGATTSTSSPSRRVRKWKKAARASQSTSLNLVAGQSNVKEGRKRLPGSSILMPNTSGLVKRSREHAMEVDSTHSTGQDVEGLAPYSADNSGKDAAAKQEDVVSGYDYCFAVDCNGRSGGLAFLWMADNNVSLLSYSFFHIDVCIGSSDIDKWCFKRHESWALLRSLCDRSSLPWLCAGDFNEIISNAEKEGGALRSSHQIDLFKSVVDYCGFHELLVVGPLMTWHYFGNLQHNITYKKKEYELLYSDCGAGNMVQFEKCRLELDELRREELLWRQRSKALRLKEGDSNTKGIQHIISDYFKGIFKSANPSNESIQKVLSSMNSRLNIDMRSRLDAPFTANEVKEAAFQMSSSKAPGPDGFSPGFYQRCWHIVGAGVTCFVLDFLNNGKPFPDINHTNIVLVPKLKQPRLASDFRPISLCNVIFKILSKVLANRLKEILPSIIGETHSAFVLDRMIYDNAMIAFKTMHFMRNKRTGRQAHMALKLDLSKAYDRAEWSFLEEIMRAMGFPTKWVSLVMKCVQSVSYSVIVNDEQCQRFIPSRGLCQDDPLSPYLFLLCMGVFSNMIESANETGLLHGIAVSKTAPRVSHLFFADDIFLFLRANMIECQAVLSLLKDFESTCRNVRVRGTLSKRLWKKRSRH >OMO57414 pep supercontig:CCACVL1_1.0:contig14378:59115:62100:-1 gene:CCACVL1_25772 transcript:OMO57414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mandelate racemase/muconate lactonizing enzyme MMVEVAAALHITLSPSETSQSLSKQNPKGKARNVVNWVSFFPKTPLKASKPHNPLNYQKPICNLHIVRCSMEVSPTASETKFGLKNLMETFTVEVEKAENRSLNVPLIAPFTIASSRLDKVENVAIRIELKDGCVGWGEAPILPFVTAEDQPTAMAKAKEACEMLTISSFMTLGAVLGKISDVLPGHQFASVRAGVEMALIDAVAKSIGIPLWKLFGGASNTITTDITIPIVSPAEAAALASKYREQGFTTLKLKVGKNLKADIEVLQAIRVAHPDCSFILDANEGYRPEEAIEVLEKLHAMGVTPVLFEQPVHRDDWEGLGRVSHFAKTKYGISVAADESCRSLADVKKIVGGELADVVNIKLAKVGVLGALEIIEVVRASGLNLMIGGMVETRLAMGFAGHLAAGLGCFKFIDLDTPLLLSEDPVLEGYEVLGAVYKFTDAGGHGGFLRWDDIAW >OMO57417 pep supercontig:CCACVL1_1.0:contig14378:71170:71388:-1 gene:CCACVL1_25775 transcript:OMO57417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIKDKVNKAAHNTSQAPPIPPPSSAAINFSSLAMFSVSRGHFLLPQVFSEVGVLMDGSSHFSRSEISFPN >OMO57413 pep supercontig:CCACVL1_1.0:contig14378:46008:46295:-1 gene:CCACVL1_25771 transcript:OMO57413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSISYVAVCAMVVLLLAAEAQISMGVTCSPTQLSPCVSAITSSSPPSKLCCSKIKEQKPCLCQYLRNPNLKKFINTPNARKVATTCGTPYPKC >OMO57412 pep supercontig:CCACVL1_1.0:contig14378:39639:44328:1 gene:CCACVL1_25770 transcript:OMO57412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRTPGTPASKIDRTPATTPGGPKSKEEKIVVTVRLRPLSKREQLAKDQVAWDCVDDHTIVSKHPSQERTAQPPSFTFDKVFGPSSLTEMVYEEGVKNVALSALMGINATIFAYGQTSSGKTYTMRGITEKAVNDIYQHIMNTPERDFTIKISGLEIYNENVRDLLNSESGRNLKLLDDPEKGTMVEKLVEETATNDQHLRHLISICEAQRQVGETALNDTSSRSHQIIRLTIQSTLRENSDCVRSFVASLNFVDLAGSERASQTHADGARLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALSHFEQSRNTLFFATRAKEVTNNAQVNMVVSDKQLVKHLQKEVARLEAELRTPDPSREKDLKIQQMEMEIEELRHQRDLAQSQVDELRKKLQEDQQISIPLEPSRPSVKKCLSYSDVLSTKLDTKELGHNDRTRKTMLRQSMRQSSTAPFTLMHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQDAAETIAKLQAEIRDMRSVRSIPKEVEVGTGIAPSKSVSANLKEEITRLHSQGSTIANLEEQLENVQKSIDKLVMSLPSNNQEPNCESTPKTKSQSKKKKLLPLASSNVVNRQNFIKSPCSPLSASRQILEPENEENRPPEDDDVVSKETLPESEKETPVKSEEGGDVSSKEGTPVYRRSSSVNMKRMQKMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYNLEDDESAMEPEEPQVAWHVTFREQRQQIIELWDVCYVSIIHRTQFYLLFRGDPADQIYMEVELRRLNWLHQHFAELGNASPAVGGDEPTVSLSSSIRALKREREFLAKRLSSRLSVEERDALYIKWNVPLEGKHRRLQFINKLWADPHDAKHIEESAQIVAKLVGFCEGGNMSKEMFELNFALPSDKSPWAVGWNQISNLLHL >OMP03426 pep supercontig:CCACVL1_1.0:contig06077:1966:3638:-1 gene:CCACVL1_02425 transcript:OMP03426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNGDYGDKTADEMKAIYTRLASNSQQKVSRDTREVANEVGSQSTLAQQVANLTKQIALLVNRDNQPQAPEACGYCGLKLSLSELKPTTVSLQLADRSVRYPVGILEDLLVKTGKYIFPVDFLIMDVEYDMEVPIILGRPFLSTGGALIDVRAGLLTLRVGDEEEKFHVYKALKGPSTCDSCFRVE >OMO50559 pep supercontig:CCACVL1_1.0:contig16130:3123:8693:1 gene:CCACVL1_30376 transcript:OMO50559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPAVGSMATTPAVGSMAMASTVRRGQKGPKPYGDE >OMO94207 pep supercontig:CCACVL1_1.0:contig07942:2747:3659:1 gene:CCACVL1_06110 transcript:OMO94207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATVENGGILQTGPDEAVKSGDQINDLMMLEEKRPRQAHFCKQCIQGQSYQVKSEDKINNYNLHFRMHHGTNNMRNEKKVLKEINASCHKKGDDSTLSVDVISIRWGYHYPVNMVTDKAIANAPVKGKFWNSLPSKNVIKQEIKIMEKDSRDEDRKEHLRLRAKIEVLKQSISIEAKNDIDSLKSQLLHIETKKEEARKVVLDLMYPRY >OMO94208 pep supercontig:CCACVL1_1.0:contig07942:5302:9191:1 gene:CCACVL1_06111 transcript:OMO94208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVTIQRNVMQNYIYVTHEIGGILQTNPYEAVKRRDQINELIFVMLEENPDPESRIQQAVKLQASLSKDLFRVTRRLRKRENLHFRMHHGTNSMRNEKKLLKEINAKGDDNDHSSLSVDEISTRIRNLQWGYYPRNMVSEKQVLREIDDLKLARDKAIANAPVKGKFWNSLPPKNIMEKDSRDEDRKKHLRLRAKIEVLKQAITDDAMFSFLDKTCTTEVKIGNGKYLQAIGKGEVTVQTPTGLPKEFWAEVANTVVYVLNRSTTKSVKNITPFEAWFGFKPSLEHLKVFGCICYSFIPSVKERTLTRKSEACIFIGYSQNSKGYRVYIPSSSTKKIMVSRDVKFDEEAAWKWSEDQVNSSTSKEVGFDQRMKLDVDHTPKRSTKLLYDIYTVCNVAILELVDACEAMQDEK >OMP05846 pep supercontig:CCACVL1_1.0:contig05176:315:434:-1 gene:CCACVL1_01809 transcript:OMP05846 gene_biotype:protein_coding transcript_biotype:protein_coding description:high affinity nitrate transporter MASTNDKDERRTKMAEKGLNRMSHITSERNPSVSSSPSPP >OMO91190 pep supercontig:CCACVL1_1.0:contig08372:6441:7563:-1 gene:CCACVL1_07198 transcript:OMO91190 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MRRHRCHQAVRSESETESETDTETMRCISCKEEYGARDAGTCKECYEEASETEEELKREIEDLKAKVAFLRFWSPVDHGHRGSNSPAPFFPDVVLVASDDGSPGLPPVPVPAHKAVLASRSPVFKAMLENEMEESRSGTIKISDVSYDALRAFINYLYTAEVWLDEQMACDLLVLAEKYNVKHLKAYCEKFLVSKLNWDNSLMSYAFAHQHNAKLVLDAALCLITDNMDKLTKREEYMELVEKDPRLVVEIYEAYLGKQVNTAAAHKDNSMKS >OMO91189 pep supercontig:CCACVL1_1.0:contig08372:1179:5006:1 gene:CCACVL1_07197 transcript:OMO91189 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein VWFSNVPHTRLVDDKGGQNWISIKNDKFIFPGGGTQFIHGADQYLNQISQMVPEISFGRHVRVALDVGCGVASFGAFLLQRNVTTLSIAPKDVHENQIQFALERGVPAMVAVFATHRLLYPSQAFDLIHCSRCRINWTRDDGILLLEANRMLRAGGYFVWAAQPVYKHEEILQEQWKEMEDLTTRICWKLVKKEGYIAIWQKPLNNSCYLNRDTGVQPPLCDPEDNSDNVWYVDLKACITRLPENGYGSNVSAWPGRLHYPPDRLQSIEMDAHISRKEILRAESKYWNEIIDSYVRAFRWKDMKLRNVMDMRAGFGGFAAALHDLQIDCWVLNVVPVSGFNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDLLHAAGLFSVEQKRCNMSTIMLEMDRMLRPGGRVYIRDSVSVMGELKEMATAMGWVPALHDTGEGPHASWKILTSEKRM >OMO91191 pep supercontig:CCACVL1_1.0:contig08372:17778:17912:1 gene:CCACVL1_07199 transcript:OMO91191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESYSMYSDDRVVVANPHQPHKEIQVFCLGIAKGMGNLWQFEP >OMO80604 pep supercontig:CCACVL1_1.0:contig10308:36654:37160:1 gene:CCACVL1_12858 transcript:OMO80604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKLEAIRGGGGSIKVGTTGTISALMTRELDSIKTAPRTPVSIKHKPQTVPVSVPCGSATPKRLQLRRSLDGGASTSGSSNNMSYKSPQTAQKSKSFPKNIHQVPMLGSDNVPLDRTPSRQKSDKKVVSNIVEVVDIKCGNPDRAWASPITNRLKKLGFSKLSESII >OMO80599 pep supercontig:CCACVL1_1.0:contig10308:4031:5285:-1 gene:CCACVL1_12852 transcript:OMO80599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKWFVLWVYLCRVYAQGGLFSTSESKLRYFLYIVLEVGSVTAICFTCFLVRCFV >OMO80600 pep supercontig:CCACVL1_1.0:contig10308:6337:7877:1 gene:CCACVL1_12853 transcript:OMO80600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISRVLCRASRKLLAIEPRTPKQLPNYLHSLCGSSYKVSAKSECPMLMYMQRATFSSSPLGKSKVWNTSSQFPKSNRGKALDSMKTYRQACEDRFKVFKLEFEEARTAVEENLRELFLILCAYYVAYIAGVTGAFLLWLCLCD >OMO80603 pep supercontig:CCACVL1_1.0:contig10308:29427:33234:-1 gene:CCACVL1_12857 transcript:OMO80603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSNSQAPKSTRPSKASALPPPSSNPPKPSSLSSHLAMVELKQRILTSLSKLSDRDTYQIAVEDLEKIIQSLAPDTLPMLLNCLFESSNDPKPAVKKESLRLLSMLCNCHGELAASHLTKIIAHIVKRLKDADSGVKDACRDVIGSLSGQYLKGESGGTLVGLFVKPLFEAMGEQNKGVQSGAAICMAKMVECAGDTPLAAFQKLCPRICKLLNNQNFMAKASLLPVVASLSQVGAIAPQSLEALLTCIHECLASTDWATRKAAADALSALALHSSSMIADKVASTITVLENCRFDRMKPVRDSMTEALQLWKLIGGKGEDGAADEQKASSHDGDNSQPTEPSEKNGLKSSNAGDKKTDPSAKNSSNDLSPTSDSVSKGKGGSIPDKAVVILKKKLPTLTDKELNPEFFQKLETRGSGDLPVEVVVPRRYLNSSNAKNEEASEPKDPDSRRRSNGIGNSQADDLHASSSSKNRNIERGPAGVRDKWPEEKVNGKDSRVRAIDADDRTDVNQREPSGNRLGFPRMDGQSEGSFSNKGNWLAIQRQLLQLERQQAHLMNMLQDFMGGSHDSMITLENRVRGLERIVEDMARDLTISAGRRGGNFSAGFEGSSNRPSGKYNGFSDYGSKFGGRISYGERFAQSDGIAPGGRGRGPSWRSEMSDDWDFPAFGASRNGQVGSRRAPAGSSLDGRSPKSDHESDGRRGWDKGPGPVRLGEGPSARSVWQASKDEATLEAIRVAGEDNGASRTGRVPELTAEAVGDDNAGPERDPVWTSWSNAMHALQVGDIDSAYAEVLSTGDDLLLIKLMDRSGPTIDQLSNEIANEALNGIVQFLLEQDLFDICLSWIQQLVEVVLENGPDALGIPMELKKELLLNLHEAASTMDPPEDWEGAAPDQLLLQLASAWEIELQQFDK >OMO80608 pep supercontig:CCACVL1_1.0:contig10308:52519:53498:1 gene:CCACVL1_12862 transcript:OMO80608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGPGLESLVDQTISVITNDGRIIVGILKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEELDSALDLSSLRAHPLKPVIH >OMO80607 pep supercontig:CCACVL1_1.0:contig10308:48567:51097:-1 gene:CCACVL1_12861 transcript:OMO80607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNAILHHYQASPLLLFQCRSSSPPPYVPRGMPGNLIVKCSVKSSPLSKFVESHIVSGLAASLLLFSQTNQVLAADLPHPQNICQLASATDTKLTLPLEEDSDERNGMLMMMRGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYTFDMKAPAIQVDTFCVHGGPDGYITGIRGRVQCLSEEDFVKNETDLERQEMIKEKCYLRFPTLPFIPKEPYDVIATDYDNYALVSGAKDRSFIQIYSRTPNPGPEFIEKYKAYLANFGYDPTKIKDTPQDCQVMSNSQLAAMMSMSGMQQALTNQFPDLELKAPVAFNPFTSVFDTLKKLVELYFK >OMO80614 pep supercontig:CCACVL1_1.0:contig10308:84295:87302:-1 gene:CCACVL1_12868 transcript:OMO80614 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MSFSIKQSALATCMDVSSSLVQKRPPLAICRSSFLPSLNLQNSPKRANLSVSKPLHISSIESIGKEKKPLIQCKAYEADKSQPIEAAGEVKTDAAKRVKIGIYFATWWALNVVFNIYNKKVLNAYPYPWLTSTLSLACGSLMMLISWATRIAEAPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPPSVYLSLVPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSLLSLAILTPFAIAVEGPQMWAAGWQTAVSQIGPQFIWWVAAQSIFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVQPINALGAAIAILGTFLYSQITRHMDKYLVWIVHMYLVCLPLEDLFCSDKVYGISF >OMO80609 pep supercontig:CCACVL1_1.0:contig10308:54132:56626:-1 gene:CCACVL1_12863 transcript:OMO80609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQIDPTNEEKLNSLLGQLEAERGILERIVYKNKNQHRRSSYFQYLLKVRRDLRLLQSANLEELVSSCFQVINGKRPKQKVHLLESLKRRKYDIGKPNFMERLLGAARLLSQIVEPMLRAATEVSTLLARSFFMGFSLTVLALLARLRVLVQQILLDLVQVFNTVSSLSQKKHSVKITHEGIEVYREFYPQKEDYVSLECMWNTDKFVLVERMNKCEIEMQEQDNAAEVSIRDNSVRYQSIEFFLGGKKNHHDEQTTEKTGIEGLTHVKETMTDPLIEDEDRKRVEVLAEKVDTIAETPSKNLSQAHFANTSTSASSDGLRVKPSAKKVAFVSVKRPALSSSANPTDLPSKAAQSTANPAGFPSQETGKSEGDKADAFFSLLTRGNLKDSLFE >OMO80611 pep supercontig:CCACVL1_1.0:contig10308:71448:74830:1 gene:CCACVL1_12865 transcript:OMO80611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MTQLCRKIVHVNIKWSIIERVSIFRRFFRFIWDRILVCSIGRPPVNYRRLARRESSPVGGVFVDDETLPEDHLTVYNNGYETDSDLVNLKISLLGDCQIGKTSFLIKYVGEEQEKSLQMTGLNLVNKTLFVQGARIAFSIWDVGGDSNSLDHVPIACKDAVAILFMFDLTSRCTLNSVVGWYSQARKWNQTAIPILIGTKFDDFVRLPPDLQWTIVTQARAYARVMKATLFFSSATHNINHAEEMILSDESGGLPVPIPGKSSRAAEHTLGCKNQNII >OMO80610 pep supercontig:CCACVL1_1.0:contig10308:57854:60992:1 gene:CCACVL1_12864 transcript:OMO80610 gene_biotype:protein_coding transcript_biotype:protein_coding description:condensin complex subunit 2-like protein MARGRKKQQKGSNGSKKKGSGNKKGSDIGIAKIVKFTDHSSREQNIDFDEGLPSWGNESLLGIQVLRGGHVDDVGNLDDLV >OMO80613 pep supercontig:CCACVL1_1.0:contig10308:79514:81932:1 gene:CCACVL1_12867 transcript:OMO80613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta subunit-like PLP-dependent enzymes superfamily MAASATSTATCRLSNPLSSSSSVAASKLPFKFNKATPLTAPAKAFSVSCTLTRDPVAAAPVSMDSDSTGWQRPDSFGRFGKYGGKYVPETLMYALTELESAFHSLSKDENFQNELAGILKDYVGRESPLYFAERLSEHYKRPNGEGPLIYLKREDLNHTGAHKINNAVAQALLAKHLGKRRIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMKLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHAVIGKETRKQALEKWGGKPDVLVACVGGGSNAMGLFHEFVDDKDVRLVGVEAAGFGLDSGKHAATLTKGEVGVLHGAMSYLLQDDDGQIIEPHSISAGLDYPGVGPEHSFLKDVGRAEYHSVTDEEALEAFKRLSRLEGIIPALETSHALAYLEKLCPTLPNGTRVVVNCSGRGDKDVHTAIKHLQV >OMO80602 pep supercontig:CCACVL1_1.0:contig10308:26406:28173:1 gene:CCACVL1_12856 transcript:OMO80602 gene_biotype:protein_coding transcript_biotype:protein_coding description:GINS complex, subunit Sld5 MASGSEEWSAAQIDDYETLVSTTDVELLKRAWRNEKAAPEILPFEEALVKRAKEQIQLMEETVDDFAESGHDPLIASLYQMDLDRAQFLLRSYLRVRLQKIEKFMFYIWKSDTHRNRLSKEEEKFTERCIRDIGKHLEETVLSKLADNYQSLLKQSIISEEDDMVPEPQLDTFVVAKCQRAMRPLYLDGSRQSASFDRDDHFQMVPGDLCILHYRPFQEELMSGNISLV >OMO80605 pep supercontig:CCACVL1_1.0:contig10308:38753:39471:1 gene:CCACVL1_12859 transcript:OMO80605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIPCMFQISFTSKPRSSLPSLPKLSSRFLGSQNSLSWIKPSRIGPSNGSRTKCWFKFGKNGVDAEGAGIYGSQGRDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLNQNIHPVDILLMLAASEGDKPKIEELLRAGAKYDVKDADGRTALDRANEEIKDFILNFSVQKA >OMO80612 pep supercontig:CCACVL1_1.0:contig10308:75325:77792:-1 gene:CCACVL1_12866 transcript:OMO80612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNSSNHSVSPVRRRGSPHRKSPSYRERSPGRHKSSHRGSSPVREKSPSRHRSSHRDNSLEREKRSGNNKSPKRASPRSPISRSPSPRTKRLRRAQGEKEVEKVKEREYERSHSRGSEKSTNKERSSGKERVSEKERGSEREMGGDRKERRSGRDDNNGRSSRGRHDRSVSPSDRHHRSRHRSRSPASNSRSRHEVTNSGGAEERDDELDSVAKMKAAEEALQSKEKPKPTFELSGKLAAETNRVRGVTLLFNEPPDARKPDIRWRLYVFKAGDVLNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKEQPDGFTTTKQVRPYLMDLGSTNKTYLNDNPIEPQRYYELFEKDTIKFGNSR >OMO80601 pep supercontig:CCACVL1_1.0:contig10308:9462:11484:-1 gene:CCACVL1_12854 transcript:OMO80601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTAFLVLVCTILKLRRKVPSDAEEIGGHAPIIKVEEIVVITDEDYWFSVGPLLGCIVAFFMRASS >OMO80606 pep supercontig:CCACVL1_1.0:contig10308:39826:48023:-1 gene:CCACVL1_12860 transcript:OMO80606 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase, family B, pol2 MNRGGNPRKRPPPPSQNQPSPATKHQATGEAPPPTPMEEDFVDEDVFLEETLMGEEDVESLILRDIEQRQALTSRLSKWARPPLSDAYISQSCSIAFQQLEIDYVIGESNKSLMPDSSGPAAIIRIFGVTREGHSVCCLVHGFEPYFYISCPPGMGPDDISRFHQTLEGRMKETNRNSKVPKLVRRIELVQKRSIMYYQQQESQPFLKIVVALPTMVASCRSILDRGIQIDGLGMKSFMTYESNVLFALRFMIDCNIVGGNWIEIPAGKYKKAVKNLSYCQLEFDCLFSDLISHAPEGEFSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQVANLVTLQGEDQPFIRNVMTLKSCSPIVGVDVMSFDTEKEVLLAWREFIREVDPDIIIGYNICKFDLPYLIERAQTLGIAEFPILGRIRNSRVRVKDTTFSSRQLGTRESKEVTVEGRVQFDLLQAVQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMFIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKSKEKNLVIPNVKQSGSEQGTYEGATVLEAKAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDVRKLNLPPESVNKTPSGDTFVKPSLQKGILPEILEELIAARKRAKADLKEAKDPLEKAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFKMQGGYEYNAEVIYGDTDSVMVQFGVSDVEAAMNLGREAAEHISGTFTKPIKLEFEKVYYPYLLISKKRYAGLYWTNPKKFDKMDTKGIETVRRDNCLLVKNLVNECLHKILIDRDTNGAVEYVKNTISDLLMNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDPATAPNVGDRVPYVIIKAAKGAKAYERSEDPIYVLENNIPIDPHYYLENQISKPLLRIFEPILKNARSELLHGSHTRSISISTPSNSGIMRFAKKHLTCIGCKALISDTDQTLCSHCKGREAELYNKTVSQVSELEELFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMVEAKRQLDRWNF >OMP06270 pep supercontig:CCACVL1_1.0:contig04995:14569:19471:1 gene:CCACVL1_01646 transcript:OMP06270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MKKRWLLQLPISIALFASLVAVDCASGGGGEWQILTKQNFSSQIHLHPHMLLLVTVPWCGESRSLMREVSRLVADQSKQFDSLKLMVIYLNKEKMLADSVGASDGITVFYYDHSVSYKYQGKLRARNILNSLYPYISASPEEVPLKKLNSEDELKVFLESTDKALILAENCGWAPKLLAKVMNNGTGNGLTPKGMEDGILKCGVENEIAGKPWITEFSSVNDSVFFQESEDLVLGLGSSCTLKEFKEFDSFFSKLITVARENLVLPERHRFGLISNKSLMSSLGVEDSGTGTWMAMLYFKGCPSCSKVIKDGDELKSAILNDNSVVRELEVDDQDLQPVLPANKPSVILFVDRSSDSSETRRKSREALDAFREVAIHYQLSDQMSPQNIDHQGKSSVQAYKTIKATSGHPKPQLSEAAKKIKSNDKMSFMIMNEGKQVTLDNIASDFQGKSFYEILEYILQKNKEAKLSSLAKELGFRLLSDDLDIKSAETLPAQLEGQSNEGSSSPSGEGSPLDIVDPHSITHMESKSATQHEATSIDVEPSSPYNQGNGISADESKHLMSTEPDQLLEGLELKIAGDLKAGEKISSEVNQPGKQELQFQGFEGSFFLCNDNYRLVRALTGGSTIPSLVLVDPRSQQHYVFPEETMFSHLSLSNFLHEYLNGSLVPYQRSAVIHSTREATSPPFVNKDFHEMDSIPRVPMHTLSKLIFGFNQSASGNIAHSRNEDVVVLFSSNWCGFCQRMELVVREVYRAIRGFMKMLKSGSGKEQAVANDGNKEFPLIYLMDCTLNDCSLILKSLNQREVYPALVLFPAETETAISYEGDMSVANLIKFIANNGRNSHHLFSEKGSIL >OMO76298 pep supercontig:CCACVL1_1.0:contig10910:4311:7116:-1 gene:CCACVL1_15781 transcript:OMO76298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MRPKIYLFGDSITEESFDNGGWGASLVNHFARTVDVVLRGYSGYNTRWALKVLDRVFPAAESGGAAASEPPVLAVTVFFGANDACLPDRYAAFQHVPLDEYKQNLHSIVSSLKKRWPKTLIILITPPPIDEDERLRHPYAENPSGLPERTNEAAGAFAKACVETAAECGIPAVDLWTRMQQNPDWPIAYLRDGLHLTPDGNKVVFEEVVKKLNEGGLSLENLKVDLPLLVDIDLGDPLKAFDHL >OMO89122 pep supercontig:CCACVL1_1.0:contig08844:10334:17375:1 gene:CCACVL1_08008 transcript:OMO89122 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MLNQSMPVASRTRASTSGRHEGVEVVDLCNDTDDDDDISTECSDDPVTSTSGEDNDDPEDLDFWEEEVDLTGAVDDDDEEEEEEEDDQEEIMSVSEHTAWKSRQVYNSQGMSLGKKRLREDEDATIEPKRRGRKPKLKPSPSNKVEESADADDEDDVDLPSIETKRRGRKPKLNSSSIVYESDADDKDDIDLTPIEPKPRGRKTEVKLSSSIRVDDSIKEEVIDLSDIDIDTEPKPRVRKPKVKPSSSKKVDLLEVLAQSIWDKEDSDSALDEALKQGNNLHVGDVDKMSHEKADSDQDDMANLWAEMQFCLASSEIGSTRPSLVENKEADFYNVRRDRAFLCQHGNHQLILDEEIGMKCRYCSYVELEIRYILPPFLTDSSRSCERRNSALGSFSMFEGLQCEESNCDMPSNDPPVDIQGTVWEIIPDLRRKLYSHQREGFQFIWNNIAGGIYRDPEKNPYNSDIGGCIVSHAPGTGKTLLTIVFLYTYMKVYPASRPVIVAPKSMLLTWEAEFRKWSVDIPFHNLNDSEFSGKEKAATIGLYEKGKHGVSDGYLARRLVKLLSWKRDGGILGISYTMFWMLAGTESKGKRKCTPVDKLVSDALLKLPGLFVFDEGHIPRNNETLMWKALSKIKTERRIILSGTPFQNNFDELFNTLCLVRPKFAEGIQSGHQRVVNKTRDGKLTDAKRKWMSMTDSIGKVGDGHEAEKLKELRAKMAPFVHVHKGTILQTSLPGLRHSVVVLEPSDLQKKILKRLKQILKKSLALDYYEAMVSIHPSVLQQSENKEIVSSIVSSIVSSIVSMDDLERIRLKPDEGVKTKFLKELIRLSLALNEKVIVFSQYLEPLNLIMDQLRVFFNWKEGEEILYMDGKCQIKQRQSSINAFNDPTSKARVLLASTKACSEGINLIGGSRVVLLDVTWNPAVERQALSRAYRLGQKKVVYTYNLISSGTMDVSKCQRQAGKDRISNLVFSASNKDGDDQEKRFEVLQDQLLEEMVQHESLKSMFKRIINQPKDSDLIATFGDFSELNGVFYQNVYADDEPNTAQMMSSACVSSPGGLMHCVKLHCVSLLAGVNMICKEDLDSFWQLQGCCLAQLTVSDFEIKKITSKSDS >OMO89124 pep supercontig:CCACVL1_1.0:contig08844:24518:26783:-1 gene:CCACVL1_08010 transcript:OMO89124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDLSNPFSLIAVLLIVTIFSVKFLTAKLSKKQRKNKPKYHPIAGTVFNQFLHFEKLHHYMTDLAAKYKTYRLLSPLRSEVYTSEPANVEYMLKTNFHNFGKGDYNYSLLSDLLGDGIFTVDGDKWRQQRKVSSYEFSTKVLRDFSSVIFRKNVAILAKMVYEAANSNQIMDMQDAKICFSDDTLPDGYNVRKGDMVSYQPYAMGRMKFIWGEDAEKFKPERWLDENGNYRPESPFKFTAFQAGPRICLGKDFAYRQMKIFSAVLLRFFVFKLSDEKKEVTYRTMINLYVDGGLHVRAFHRSDTN >OMO89125 pep supercontig:CCACVL1_1.0:contig08844:31675:36594:1 gene:CCACVL1_08011 transcript:OMO89125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANELLSWNRFLKRLVNGHPTRKLENCHYLLLLKELLGIGSVKIADEKNSKELKWVQTEIDLDNHIIVPKVDNMEPKAADQYVEDYISNLTKTNLSMSIPMWDAHLLNVKTSDGAVSHLVFRVHHSLGDGTSFISLLLSCSRQLSDPNSLPTFPAPKKKPISTSVWSRLFGVFIRIWTVLLVYWNTLVDVLFVVATTYFLKDTQTPLKAPSADVAFTPRRIVRCVLPLPEVKFIKNATNTTVNDVLVAVTEAALSRYLNRKYSMIIKKKESAMENNLPKNIRFRTTLFFNLRSSPGIHPLDEMMKKKSKAEWGNKIGYVIFPFTIGLKENPLDHIREVKVRMDRKKASLEAKFRFFIATVFLRFYRTKVSTDPLN >OMO89123 pep supercontig:CCACVL1_1.0:contig08844:19318:22334:1 gene:CCACVL1_08009 transcript:OMO89123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGELLDWEVLHNSDSDSNAILVHSPELRNLDEIEGDTEGMIRSDYFSLDNQSMYAKEGDMSEEGSVESDNPSWIDPRPETRFRRKNSGEFWSDSASDRSDDRKFSDFDVKNDLALAENEKAPVEYEGIGEMETGREKSGKFKLDDSKTSELDGKKELGFGEIRVQDKDLGQFWSDSGGNGLVSMRFEDAEKESNIGLVSMKFVDAEKEDDIGFGDCMKRGADLANREELDEGSGSTVELEGGEDNPIGSEGVTDDEMKLCVKSVDEGDKKRVVWWKVPFELLRYCVFKVSPVWSFSVAAAVMGFVILGHRLYKMKRKSRNLQLKVTMDDKKVSQFMTRAARLNEAFSVVRRVPIIRPSLPATGVNPWPVMSMR >OMO49669 pep supercontig:CCACVL1_1.0:contig16443:7268:7333:-1 gene:CCACVL1_30860 transcript:OMO49669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGLSYRGIPISVNFIQFND >OMO89566 pep supercontig:CCACVL1_1.0:contig08674:10843:11928:-1 gene:CCACVL1_07767 transcript:OMO89566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNNETVSIELKNGTVVHGTITGVDVSMNTHLKMVKLTLKGKNPVTLDHLSVRGNNIRYFILPDSLNLETLLVEETPRVKPKKPVAGRPLGRGRGRGRGRGRGRGR >OMO89565 pep supercontig:CCACVL1_1.0:contig08674:7283:9915:1 gene:CCACVL1_07766 transcript:OMO89565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MSYSYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIENKPIKLQIWDTVGSILYPVELWRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFISTASKIYKKIQDGVIDVSNESYGIKLGHDAFAASSGGRDGTASQAGGCCS >OMO89568 pep supercontig:CCACVL1_1.0:contig08674:31576:34301:1 gene:CCACVL1_07769 transcript:OMO89568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyl transferase MATMAAIGASRLPSTTSSNASSPLSGKSVAPRSLSFSASALSGDKVVSKMVATPRQTERAPFVVSPKAVSDSKNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYERFIQAHRETDADITVAALPMDEARATAFGLMKIDEEGRIIEFAEKPKGDQLKAMMVDTTILGLDDQRAKEMPFIASMGIYVVSKDVMLKLLREKFPGANDFGSEVIPGATSIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETDADRRFLAAKGSVPIGIGKNSHIKRAIIDKNARIGDNVKIVNSDNVQEAARETDGYFIKSGIVTVIKDALIPSGTVI >OMO89564 pep supercontig:CCACVL1_1.0:contig08674:4751:5140:-1 gene:CCACVL1_07765 transcript:OMO89564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDRSESNEDATETVGLSIWRLLGGVFAVGRFRGGREVPVVYTEMDGGFGESGSGHGAPRRVSWIGVRRRESGFRRVVRNVTSFLRGLRSQPQSQTQAQTQSHHRASEESGGLTRSSSTSMFGRFARS >OMO89567 pep supercontig:CCACVL1_1.0:contig08674:29905:29967:1 gene:CCACVL1_07768 transcript:OMO89567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRPSTSLVAITSPTKATF >OMO53035 pep supercontig:CCACVL1_1.0:contig15357:9525:10790:1 gene:CCACVL1_28930 transcript:OMO53035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADIDDPVAVRLGKKLQDISPISSDCCIFKVPNYLRKVNEKAYEPEVVAIGPYHHGKDHLEPMEEHKLRFLQLLLQERREIDVTMYVKVMRKLGGRVRRCYAEPISLDTDDFVEMMLLDGCLIVQLIRKFAMTTLNDDPVFKMGGFHGILCRDMLLVENQLPLFVVWELFCMIENSNQDIFIYSVINFFTIILPGKGCIRHNLKSILEIKHLLGLVNDCWHPSALEMEAYRKETKKIDWSFMHCATELQEAGIRFRKAEGSSIFDFKFEDGTMKIPTLEIDDHTECFLRNLIAFEQFFPGRSLNHVTDYMNFMDCLINSTKDVELLRQRGIINNWLGNDEVIATMFNTLGDSVSISRYSFYSEVFNNVNIYCSRRWNKWIANLKHNYFNSPWALVSILAAVVLLQLTLLQTVFSILSYIK >OMO53036 pep supercontig:CCACVL1_1.0:contig15357:11691:13793:-1 gene:CCACVL1_28931 transcript:OMO53036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYIMNGISPSFDRFSGNSALFFFYDSSSICSLARYSTFAAVKDRNVKVGLSRPSRTQLEKLVIDKCKSRSLKLDEALGFFDSMISLSPLPSIRVFNYLLGALSKMKHYSVVVSMCKQMMGCEEMQPDIFTMTTWMNCYCNLKHADLCFSVFALILKLGLQPNPYTMSTLLLGLINEGKIEEAMESFWQIVQKGYPCNVYTCTIIISGLCKSGYTGYAVEILEKMNGSRSLKPNVVTYNAIIDGFCKEGSADESLIIFRDMLDGGTEPDLLTYNTLIHGLCSNGQLNEAEGLIADMINRGISPDVYTFNALITSLCKDGKIQEANSLLSIMSKRGIKPDAVTYNLLIHTLCRSGDFREVTKLLENMTASGIPPDVATFNSIIDVLCKEGKTGKAFEVLELMTHKGVKPDVVTYSCMIQGFCRSSQWKEATSLFEIMVDEGVQPNVVTFNTLIDALCKERRTEEAIEVFDLMVDKGVKPNVITYNSIIHGFFRSGQWKEAMIFLDRMINEGVQPNLKTFNSIIDALCKEKRAEEAIEVWDLMAEKGLKPDIVTYNCLIHGLCLSGQWEKATSLFSRMVAEDINPNIVTFNSIMDAFCKQGKMEKAEEVLHLMNVKAAKPDEITYQTMINGYCSRGEIDKAEDVFDVMVRRGFPPTSAICKTLVNAYSKGKSKGEASRYIEKMVQKGMIPDSVAHKMLKKI >OMO53037 pep supercontig:CCACVL1_1.0:contig15357:26100:27473:1 gene:CCACVL1_28932 transcript:OMO53037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKLSQKSPKPCIFKVPNYLRQVKEMAYAPQVIGIGPYHRGNEDRLKAMEELKIRFLQKLIEETKETDISRYVLKMRELEERARKCYAEPVSLESNDFITMLVLDGCFIVQLIRLFLKSNLKAFFHDVLNCYTFSGSFSLALCRDILLVENQLPFFVLWELFSMIESGDNLPAANKKKKKVQIMGLSKVTKKKSSADDYKEKFLETIFDLFCQCMPGKGRPKGDLKFKEIEIRHLLDFIHRCCCHPSSFEMKSYGKNKNLDWKFIRCATELQEAGITFKKVDGKSMFDIKFENGTLQIPELKIGDHTEPLLRNLIAFEQLFLSDMHLFHSDNSLKHVTDYMVFLNHLIDSPKDVEILCQNGIIKHTLGDDEAVATMINSLGAFVIYSDSFYSSNFYYAMVFNQINDYCSRRWNKRMANLKHNYFNSPWALISFLAALLLLILTVLQSVFSVLSYAG >OMO53034 pep supercontig:CCACVL1_1.0:contig15357:6938:8446:-1 gene:CCACVL1_28929 transcript:OMO53034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTVKMTTTMMKTISPSFAPFPGNSALFSFSDFQLSTIFFISRCSSLAAVNDKHVKVKVGLSIPKPSPTQLEKLVIDKCKSRSLKLDEALGFFDSMISQRPLPSIRVFNYLLGALAKMKHYSVVVSMCKQIMGCEEMQPDISTMNIFMNCYCNLNKADLCFSVFALVLKLGLQPSPHTLSVLLLGLINEGKIEEAMKLFLRIVQKGYPCDVYTCNIIIKGLCKSGYTRYALQILKTMNANGSLQPDVISYNTVIDGFCKEGNVDKSLIIFQDMLEGGVEPDVFTYNSIIHGFFRSGQWKEAMSFLDRMANEGIQLNVVAFSSIIDALCKKNRTEEAIEVLDLMTEKGLKPDIVTYNCLIHGLCLSGQWEKATSLFSRMVAEDINPNIVTFNSIMDAFCKQGKMEKAEEVLHLMNVKAAKPDEITYQTMINGYCLRGEIDKAEDVFDVMVRRGFPPTSAICKTLVNAYSKGKSKGEASRYIEKMVQKGMIPDSVAHKMLKKI >OMO55648 pep supercontig:CCACVL1_1.0:contig14632:3818:11616:-1 gene:CCACVL1_27117 transcript:OMO55648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLADTLSLYTIPRHRRLSRSDRPRRH >OMP02172 pep supercontig:CCACVL1_1.0:contig06303:1740:1805:-1 gene:CCACVL1_02896 transcript:OMP02172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYSKSTTSPFPDPGKSLFSK >OMP07895 pep supercontig:CCACVL1_1.0:contig04140:68:240:1 gene:CCACVL1_01198 transcript:OMP07895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIALAPFFSIAQRIPASKDLFQCVRFRRDGVSDSTPY >OMO95016 pep supercontig:CCACVL1_1.0:contig07752:1324:1428:1 gene:CCACVL1_05638 transcript:OMO95016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCVGSFTRSSNLLLLQAQADKDVLEQSSILQI >OMO99103 pep supercontig:CCACVL1_1.0:contig06957:671:1612:1 gene:CCACVL1_03926 transcript:OMO99103 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthetase MWLGMAESCGRVWPGMAMSRGRPDDKTQITVEYYNENGAMVPVHVHIVLISTKHDEIVRNDEIVVDLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHRDAGLTGRKIINETYGGWGAHGGGAFSGKDPTKVDRSGGYIARQAAKSIVANGLARRCLVQVFYAIGLSEPLSVFVDSYGTGKTPDKEILQIVKENFDFRPGMISINLDLKKGGNGMFFKTAAYGHFGRDDPDFTWETVKPLKWEKPQIQS >OMO99105 pep supercontig:CCACVL1_1.0:contig06957:14062:15329:1 gene:CCACVL1_03928 transcript:OMO99105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGLKPTSGAPPSRKRRLSDSEKPKTITPSDPSSLKKTRDLPNLTECQACGSRTDTAKGKNRIQTLYSEWRIVLLCPRCYHRVVSSQICSYCFKAASDDCFSCSQCKRSIHKTCFLNYKSIPPWSYSIRGSEFTVCIDCWVPKQIARKRGILRRNRKAKNSSVLDGRDDEGAKLLEDVVKDANCAMEKKVEVAVKARETAVKKAVVAKRAVELARDALEECDDAELAFRLHRAMNSSPRILKNRILGDQNGLDPVIARNRPFSCLKPTEIVYARHRKKITEIVYTRRRNKPNEMVYVRRGRKPTKPWVMLVTHAKITQTED >OMO99104 pep supercontig:CCACVL1_1.0:contig06957:8088:11658:1 gene:CCACVL1_03927 transcript:OMO99104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MVQMLTEQEQDASSAAVMLSDAEKDVDIIATYSDASGNIRASRVKMKDLSASWVLENPSNGDPDKLKGPKVLKLKDSYPASTRPEENVEHFIDDHQLQEGEAQNLLRASWSPVKFKRQRLRQRRRDLRTEELIRQDKEADKLMQATEAIESLKITDTVIKGKYSIWRKDFESPNSDSTLKLMRDQIIMAKAYANIAKSENQTNLYNSLLKHIRESLRVIGEANSDAELHPSSLDYAKAMGHALSIAKDQLYDCRTVARKLRAMLQATENNVGSLKKRSAFLIQLAAKTIPKPLHCLPLQLAADYFLHGYQNKKNDLNKEKLEDPSLYHYAIFSDNVLATSVVVNSTALHAKEPEKHVFHIVTDKLNFPAMKMWFILNAPEKATIQVENIDDFKWLNSSYCSVLRQLESSRIKEYYFKANHPSSLSVGSDHLKYRNPKYLSMLNHLRFYLPEVYPKLHKILFLDDDIVVQKDLTPLWDVDLQGMVNGAVETCKESFHRFDKYLNFTNPLIEKNFDPNACGWAYGMNIFDLKEWRKRNITGIYHHWQDLNEDRTLWKLGTLPPGLITFYNLTYPLDRKWHVLGLGYDPALNQTEIENAAVIHYNGNYKPWLDLAVAKYSKRFNSDISVMVATRHALSSKPMGFNAPKLELENQGIITYWEMGRCVFSISGDEEMRNSTIRSFSVPSHPKSMSERLPQTWNINPWNCDQTRHAAVLGLIVKD >OMP04891 pep supercontig:CCACVL1_1.0:contig05578:2800:2883:1 gene:CCACVL1_02111 transcript:OMP04891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQDFSKVRNFKTVTVSSGDINFRKS >OMP11422 pep supercontig:CCACVL1_1.0:contig01301:679:1060:-1 gene:CCACVL1_00526 transcript:OMP11422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MQWFEIPGFNTVHILNAWENGDDEIVLVGSNVVSMENIFNKTSRIRLEKKSRFGYLGINDQAMKMTGVVKIDLEAGYEVGRRLFGPGCYGGEPFF >OMP06359 pep supercontig:CCACVL1_1.0:contig04962:23305:25776:-1 gene:CCACVL1_01618 transcript:OMP06359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGDSILEAIYEDDDLGDGDDVEMLDVEEGELVDNNSGNDREKSGGGEGNCENQGPQSKNKRRRANKKRNRKKKGGSGPKPFDINRFVLDTCRRLKEKKSYMVYTAVGCLGASAMSDLVREVDAIQSCGGQMTADGRRCRTGGGVLWNIIKAREPAAYREIMKKAKEFEKQFKQQNIRQAPAQHKESSSQGTGSALTSGSSASVPTDSQLIPQNSEEQLSAEGTRKSVHERIRVPVSYDDLLGEDPKED >OMP06356 pep supercontig:CCACVL1_1.0:contig04962:13815:16120:-1 gene:CCACVL1_01615 transcript:OMP06356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTHDHSCEDHDCSSSWSLYKHIDLSKVTALNEANPGSVKSVFKAWEERLNSSGEHLESNEGDPELLVYIPFTSDVKIKSISVVGGADGTSPSKMRAFINREGIDFSDAQSMQPVQEWDLVENSQGMLEYQTRYSKFQSVANITLHFPDSFGGDTTQIHYIGFKGESTQLKRDVVATIVYEITPNPSDHKTRAETGGGFSHVE >OMP06358 pep supercontig:CCACVL1_1.0:contig04962:21020:22574:1 gene:CCACVL1_01617 transcript:OMP06358 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MGICISVASSEIHQAEDCQENIDSNGILRFGSLYSKQGSKGLNQDAAIFYQDYGMESGAFCGVFDGHGKNGHIVSNMVRNRLPTLLLNQKNVLANTKTTADDKNSQWKEACISAFKVMDKEIKLQENLDCSTSGSTGVVVVRQGEDLVIANLGDSRAILGTATENGIKAVQLTTDLKPGLPSEAERIRSCNGRIWDVLNNNQVASIVMEAESEQAAARAVVEAATASWKRKFPSSKVDDCTVVCLFLQNQKEQHHI >OMP06354 pep supercontig:CCACVL1_1.0:contig04962:8080:9032:-1 gene:CCACVL1_01613 transcript:OMP06354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTKRLIVERRAQSRTNNGKIPPSELGFYKHILLLKLTHSCSSFSVFTSLQRGRHPSPDFLLGLTPEFQLMAKKNSSKTPSKAAEKEVEDTVMEQKKQSSTPKKAGNEIDEIFAGKKRKKPEEKKSEKSNGDEISKSKSMKKKKKKSKEGKEEGRKEPRSSGSRKKTGDGFAIYTEEELGINKADAGNTPLCPFDCDCCF >OMP06355 pep supercontig:CCACVL1_1.0:contig04962:9576:12289:-1 gene:CCACVL1_01614 transcript:OMP06355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase MQACSGAAMMGSLQQPMGVNRPAFPLRGSGISGFPHQIKLISVKPCRAQLEGSLVTGRPPSSVSVPVLESSFVDHGLSEADPEVRAIITKEKERQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEHIDELETLCQKRALAAFHLDETKWGVNVQPLSGSPANFEVYTAILNPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGLVDYDMLEKTATLFRPKLIIAGASAYPRDFDYPRMRKIADSVGAFLMMDMAHISGLVAASVVGDPFEYCDIVTTTTHKSLRGPRGGMIFFRKDPVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKTYQNQVVSNCRALASQLVELGYKLVSGGSDNHLVLVDLRPLGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRRFTEKEFIAVADFIHEGVQITIEAKGLASGTKLQEFLKFVTSPDFPLMDKVSNLRNRVEALTTQFPIPGV >OMP06353 pep supercontig:CCACVL1_1.0:contig04962:2415:5001:-1 gene:CCACVL1_01612 transcript:OMP06353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase MEAASFSGVLSNTKLSGSNHLLNSDCKFSRSIPRSRYIACVSRHSSEGSNDVDKPSSKALVLSISDLNNTNNYSILKGSNLRKNVNLQTNCAVGVCTFGENVFESSRAHATEEKLGVLLLNLGGPETLNDVQPFLYNLFADPDIIRLPRLFKFLQRPLATLISVLRAPKSKEGYASIGGGSPLRKITDEQADAIRMALEAKNVHANVYVGMRYWYPFTEEAIEQIKRDRITKLVVLPLYPQFSISTTGSSIRVIQNIFRGDAYLSRLPVSVILSWYQRQGYIRSMADLIEKELGTFAKPEEVMIFFSAHGVPVSYVEDAGDPYKDQMEECIHLIMQELKARGIGNDHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPISFVSEHIETLEEIDMEYKELALESGIENWGRVPALNCTSSFITDLADAVVEALPSAKALSSTSGDTAEESEYDPFRYLVKLFFGSILAFVLLLTPKVLLAFRNHLV >OMP06357 pep supercontig:CCACVL1_1.0:contig04962:16424:17639:1 gene:CCACVL1_01616 transcript:OMP06357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIEGDASVGGAARYSLKPTRINNEDILFCVDVDPESLVEMKTAGVTRLDSIKQGILLFVNCKLSINPDHRFAFATLAKSASWLRKEFSSDVEASIAAVRALSATTVSSGQADLTNLFRMAAHEAKKSLAQNRILRVILIYCRSSMRPHHTFDVNLKSFTMDVIYLHDKPAPGNSPQAVYDALVEALEHVSEYEGYIHESGNGLPRTLCRFMSMLLCHPQQRCPQDDCDIPKALAKKPADSGNTEGSVVVSISQ >OMP06352 pep supercontig:CCACVL1_1.0:contig04962:788:1754:1 gene:CCACVL1_01611 transcript:OMP06352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ATP synthase subunit G protein MALASKLAQLQSKATQASQFVAKNGPAYYKQLLEQNKQYIQDPPTIEKCNLLSKQLFYTRLASIPGRCETLSKEVDYVKHMWKNRKELKIEDAGIATLFGLECFAWFCGGEIIGRGFTFTGYHV >OMO74339 pep supercontig:CCACVL1_1.0:contig11142:103999:109389:1 gene:CCACVL1_16831 transcript:OMO74339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLLRLPLELTSMILTKLGGNKLKSKAISPNLTACHANYGRPDLLVYCCPPGFEIPQPFVEFQFPDPPPKHVRTPTYHNVSSDDTWGVQD >OMO74335 pep supercontig:CCACVL1_1.0:contig11142:89483:91573:-1 gene:CCACVL1_16826 transcript:OMO74335 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like protein MEEKSKVLIIGATGRLGYHLAIFSLQNGHPTFILIRESSLSDPEKVQKLNFLSTAGAIPLKGSLEDEKSLMEAVKLVDVVICSIPSKQALDQKLLIKVIKESGCIKKFIPSEFGVDPDKIQISDLDHEFYSRKAEIRRLIEAEGIPYTYICCNLLTSYLLPWLAQPGLKTPPRDRVTVFGDGNAKAVFVKDVDVAAYTISAIDDPRTLNKALYLRPPGNVYSLNELVTIWENKINKKLEKIYVPEEELLKKIKETPYPGNMEMIFIYSAFVKGDHTYFDIKSSGVDGSELYPDLKYTTVSEHLDTLV >OMO74316 pep supercontig:CCACVL1_1.0:contig11142:3560:6625:1 gene:CCACVL1_16807 transcript:OMO74316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidylate synthase MSADIMMNIPNGNGNGNAQPDPQRTFQIVVAATKDLGIGKDGKLPWKLPSDLKFFKDVTLTTSDSGKKNAVIMGRKTWESIPLQHRPLPGRLNVVLTRSGSFDIATAENVVICGSMASALELLAASPYCLSIEKVFVIGGGQIFRESLNAPGCDAIHITEIETNIECDTFMPAIDSSVFQPWYSSFPVVENNIRYCFTTYVRVRNSAVENLSQNKDKILDSIPATSKFEIQKFSFLPKMIFEKHEEYLYLKMVQDIIADGNLKDDRTGTGTLSKFGCQMRFNLRRTFPLLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASRDYLDSIGLTDREEGDLGPVYGFQWRHFGARYTDMHADYTGKGFDQLLDVIDKIKNNPNDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVCDLVPGDFVHVLGDTHVYSNHVRPLQEQLQKLPKPFPILKINPEKKNIDSFVASDFKLVGYNPHEKIEMKMAV >OMO74340 pep supercontig:CCACVL1_1.0:contig11142:109982:111335:-1 gene:CCACVL1_16832 transcript:OMO74340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MDMSTAILVRVDQAGNGDFKRIQDAIDAVPSNNSQLYFIWVKPGTYREKIVVPADKPFITLSGTQASDTIITWSDSGEIFDSATFTVLASDFVGRYLTIQNTFGTAGKAVALRVSGDRAAFYGCRILSYQDTLLDDAGKHYYSNCYIEGATDFIFGNAASLYERCHLHSLSTGNGSITAQHRDSESENTGFTFLGGKITGVGAAFLGRPWGTYSRVVYAFTYMSSVIVPQGWDDWQDPTKHRCYGPGADTSKRVQWSHRLSQEEAAPFLTKDMIGGRGWLRPAPTKFKRPSPTNIASVTDINN >OMO74321 pep supercontig:CCACVL1_1.0:contig11142:37055:37219:1 gene:CCACVL1_16812 transcript:OMO74321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Knottin MAEARKCVKRSQTWSGVCGISSHCARQCKTWEKAKRGGFCDWDGFGRACFCKFC >OMO74319 pep supercontig:CCACVL1_1.0:contig11142:21545:25668:-1 gene:CCACVL1_16810 transcript:OMO74319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPADYRFLEVIGDGATATVYKAMHIPTGNVVAVKVLDLDRCNNGNLDNIRRETQTMNLIQHANIIRSHCSFVVGRNLWVVMEFMSEGSCYQRMKIGYPDGIEESAIKSILKETLKALNYLHKQGHIHRDVKAGNILLDKNGAVKLADFGVSACMFDSGDRQRCRNTFVGTPCWMAPEVMQEPGGSGYDYKADIWSFGITALELAHGHAPFSDYPPMKQKMKVEQVLRMNGGVGNDSYAKNSSYQGLIEEGKLESFDLPYYAATKEEVKKVIEAEGSFTIQRLEAFGMDWDDYIKKADSKLDKTERAAIIARDMRAVGEPILGSHFGEEIMDDLFRRFEEDVLHYMEEHHCQHINMVMSLIKKDY >OMO74332 pep supercontig:CCACVL1_1.0:contig11142:82777:82872:-1 gene:CCACVL1_16823 transcript:OMO74332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNKWPWKKPNSEKKKGKTTARKTKIGYSF >OMO74338 pep supercontig:CCACVL1_1.0:contig11142:100242:102511:-1 gene:CCACVL1_16830 transcript:OMO74338 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like protein MKGDLHHHESLVQAIKQVDVVISTLGHGQLADQGKLIAAIKEAGNVKRFFPSEFGNDVDRVHAVEPAKTVFAEKAKFRRVIEAEGIPYTFVSSNFFAGYFLPSLAHPGATAPPRDKVVILGDGNPKVVFTKEDDIATFTIKAVDDPRLNEGFTNRFQLNFRRL >OMO74329 pep supercontig:CCACVL1_1.0:contig11142:70158:74826:1 gene:CCACVL1_16820 transcript:OMO74329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVKGKHRLDKYYNLAKEHGYRSRASWKLVQLDSKFSFLKSAHAVLDLCAAPGGWMQVAVQRVPVGSLVLGLDLDPIAPIRGAISLQQDITKSECKSKIKRVLEEHGTAAFDVVLHDGSPNVGGAWAQEAMSQNALVIDSVKLATQFLAPKGTFVTKVFRSQDYSSVLYCLKQLFDKVEVDKPAASRSASAEIFLVGLRYKAPAKIDPRLLDVKHLFQGSVEPQKKVIDVLRVSKQKRHRDGYEDGETISKKVSTAADFIWSDSPLEILGSVTSITFEDPASLPIKDHNSTTEEVKASCLDLRVLGKPEFKHLLKWRMLLRKALSPSEKATSTPTTNVDKGDKGEEENEDDKLLNEMEELTYAMDRKKKKEKKLLAKRRAKDKLRKATGMQIDVMEDGYIDHELFSLSSIKGKKDLAAVDSSEYDDGNNNDLRGSDDEDQEKTEDESSSDVDSEEERRRYDEQIEEILDHAYEQYAAKVDGSTKQRKRAKQAYDQLEDGDNDDTILSDHDSDKDEADPEANPLMVPLDDGEGPTQEEITNRWFSQDIFAEAVEQGDLGKYESDDEMEVDNQEKRPPGPEKAKVKKSQDEKPIPDKAKVKKSQDEKLIPDKAKVKKSQDEKPIPDKAKEKKTNDATAGTNHTQLKASNAEDDFEIVPAPATDSSDDSSSDDSEDDDVERKAEILACAKKMLRKKQREQFLDDAYNKYMFDDSGLPTWFLEEERRHRQPIKPITKEEIAAMRAQFKEINARPAKKVAEAKARKKRIAMKKLEKVRQKANSIADQTEISERSKRKQIEQLYKKATPKRPQKEYVVAKKGVQVKTGKGKVLVDRRMKKDARARGTGKQGKGGSKKGKNGKGRKGKGSFKASGKKGNRAGKGGHA >OMO74342 pep supercontig:CCACVL1_1.0:contig11142:115968:117367:1 gene:CCACVL1_16834 transcript:OMO74342 gene_biotype:protein_coding transcript_biotype:protein_coding description:UspA MEPVMEDEEYSYRDVLLPTLIPIVPEPGLERETGERRRGRDILIAVDHGPNSKHAFDWALIHLVRLADTIHLVHAVSSVRNQIVYETSQGLMEKLAVEAFEVAMVRSKARIVEGDAGKVICKEAERLRPAAVVMGTRGRSLIQSVIQGSVSEYCFHNCKSAPVIIVPGKEAGDVSLI >OMO74318 pep supercontig:CCACVL1_1.0:contig11142:18817:19878:-1 gene:CCACVL1_16809 transcript:OMO74318 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MFSKNNLPSAQTVMSTAASIAASAMVLQTVTRNLLPHELQTYIFQNIKGFFKSFSPQMTLIIEEFDGLARNQIYRAAEIYLGTKISPSTKLYRVSMPEKETKIAVSMAKNQETMDTFNGVQLKWRQVTRQVESTYIATQGASKIRSEIRSFQLSFHKKHKEKVLNSYLPFILKESKGLVQEKKTLKLHSLNHDHLRRYGGNSETWQRINLDHPATFETLAMNTELKTMIMEDLERFVKRKEYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLNFDIYDLELTDIHSNSDLRRILIATGNRSILVVEDIDCSLELPERQIEPRPASASAAALKPRKASQVKAYAHFIPCSI >OMO74315 pep supercontig:CCACVL1_1.0:contig11142:678:779:-1 gene:CCACVL1_16806 transcript:OMO74315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYKRRKKEEKRIFTTQSRVLRLEGGKILDLTT >OMO74334 pep supercontig:CCACVL1_1.0:contig11142:86952:88330:-1 gene:CCACVL1_16825 transcript:OMO74334 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like protein MAAKSKILVIGGTGYIGKFIVEASAKQGHPTYALVRESTLSSPAKANIIDSFRSLGVHFLHGDLHHHESLVKAIKQVDVVISTLGHGQLADQGKLIAAIKEAGNVKRFFPSEFGNDVDRVHAVEPAKTAFAEKAKFRRVIEAEGIPHTFVSSNFFAGYILPSLAQPGATAPPRDKVVILGDGNPKAVFTKEDDIATFTIKAVDDPRTLNKILYVRPSVNTYSFNDLICLWENKIGKTLEKTYVSEEQLLKNIAESTPPLNVVLSIQHSAFVKGDHTNFKIEPSFGFEASELYPDVKYTSVDEYLNQFV >OMO74317 pep supercontig:CCACVL1_1.0:contig11142:8011:9873:-1 gene:CCACVL1_16808 transcript:OMO74317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAKSTPSFNGTNQIIPSAKVIFSAAASVAAAAMLVRSFTREVVPHELQDYIFSKIRKFLATFTSELTLVLDEYDGLNHNLLFKAAELYLEPTIPPGTKRIRVTMPKKEGKISLSLEKNEEIIDNFNGVQVKWRFFSKNIPIKYMQGSDPYNPVIKSEIRFFELSFHKKHKEMILNDYMQHILTKARELKEKKKTLKLFTLSYDRMSGRRQDMWQSVNLDHPATFETLAMDSELKKKIMDDMERFVKRKEYYKRVGKAWKRGYLLFGPPGTGKSSLIAAMANFLNFDIYDLELTDIRGNSELRKLLISTGNKSILVVEDIDCSLELQDRIAKGSSFRDHLLMQQYPQYQLTLSGLLNFIDGLWSSCGDERIIVFTTNHKDKLDPALLRPGRMDVHIHMSYCTPCGFKMLASNYLEIQEHPLFLQIEELLKITKVTPAEVGEQLMKDEEPEIVLQGLIEFLEAKLKEGEEAAANESQAGAIAQAAEGGEKIEQKPAESKQTLIIQ >OMO74323 pep supercontig:CCACVL1_1.0:contig11142:39853:40288:1 gene:CCACVL1_16814 transcript:OMO74323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Knottin MAKFVSVALLLALFILVASFDEGMVPMAEAKLCSKRSKTWSGFCNSSANCNRQCREWEDAKHGACHFEFPGFACFCYFDC >OMO74341 pep supercontig:CCACVL1_1.0:contig11142:113548:113637:-1 gene:CCACVL1_16833 transcript:OMO74341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSHDLDKNEKCIHSIEITEGARINFHN >OMO74322 pep supercontig:CCACVL1_1.0:contig11142:37792:38282:1 gene:CCACVL1_16813 transcript:OMO74322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Knottin MEMKMAEGKICEKRSQTWSGWCGNSSHCDRQCKNWENARHGSCHADGLGWACFCYFNC >OMO74337 pep supercontig:CCACVL1_1.0:contig11142:97458:97694:-1 gene:CCACVL1_16828 transcript:OMO74337 gene_biotype:protein_coding transcript_biotype:protein_coding description:21 kDa protein-like protein RRQIPNTLLPIPGHIRLFNPTEPTVVGSDRPVRQTSHSGRPRFAAKMKKFKGLKKRKYEAIKDCIEEMGKLGFLSLGP >OMO74331 pep supercontig:CCACVL1_1.0:contig11142:78620:79985:-1 gene:CCACVL1_16822 transcript:OMO74331 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like protein MAAKSKILVIGGTGYIGKFMVEASAKQGHPTFALVRESILSSPAKANIIDSFKSLGVHFLHGDLHHHESLVKAIKHVDVVISTLGHGQLADQGKLIAAIKEAGNVKRFFPSEFGNDVDRSHAVEPAKTAFAEKAKFRRVIEAEGIPYTFVSSNFFAGYFLPTLAQPGATAPPRDKVVILGDGNPKAVFTKEDDIATFTIKAVDDPRTLNKILYVRPPLNTYSFNDLVCLWEKKIGKILEKTYVSEEQLLKNIAESTPPLNVILSIQHSVFVKGDHTNFKIEPSFGFEASDLYPDVNYTTVDEYLNQFV >OMO74343 pep supercontig:CCACVL1_1.0:contig11142:120415:122839:-1 gene:CCACVL1_16835 transcript:OMO74343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEMLQCSDMTVLERQRARLKWQLEEQQLQQEQQESYFSELSGVFQGGLMTGDSVLGDMVMARQLKPDPGLDTASWPHDLGKVDMPAMGFGPCGFGNGPSFDMNYAISRTASCPPAVAAAVAAEAVELKAKESEKMGTSAVGRENFKKRKADKLQNSKVVVEDDSKKIKACAEEGDSKITGPNTNKSSSSNNKKETSTDTSKENSKVTEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNIDNLFAKEVFPSCSTNFPTVGMSAEMANPTYVQFNPVQQMGSCCGMEMGINSQDMALRRTISAPVSIPDASFFDSSCFPQIQPSGTWDVELQNLYNVAFDQGRSTTTTPTSFPSHQPFAGSIEAGNLKMEM >OMO74328 pep supercontig:CCACVL1_1.0:contig11142:62733:68664:1 gene:CCACVL1_16819 transcript:OMO74328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrieval of early ER protein Rer1 MEPLGEAPELYKRYSMTKAFCIAFVMTFFSMFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYKYIPFSIGKQKYTGKKPSASSSGSRAD >OMO74333 pep supercontig:CCACVL1_1.0:contig11142:83182:83277:-1 gene:CCACVL1_16824 transcript:OMO74333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNKWPWKKPNSEKKKGKTTARKTKIGYSF >OMO74327 pep supercontig:CCACVL1_1.0:contig11142:55747:58495:-1 gene:CCACVL1_16818 transcript:OMO74327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHFSKSDNDVSGTSGQSMHHFHATWMDHWKPSSRPSTDFHSLLMHRHGLKDDHSNSKMHPLFSGSELAINVSKNAQGFMEVSEARTVEPINKCLAMGSMKLEKEILDGKPLPKFNISGNRENAAASKRKEGTSSNSEAVKYQFDLNDGQNSITMGRSEWAQHDMECSSRECKFQPEGISQVPDKLVKSHEFLEHNSLAVSTIFKDEVGPSSKLVPYVVKSGVAPMQSFACQAENNQLCPVVPSKEHVTDAKFCSYSTFWVREKKADTHFESRKLESSLARQNDAPLLLHDQSTKNSQLCSFLNRQSQKVENGSGIKLLPSLDCPEEREPGKGYDGHFLLPSIPHSVHDVKTMRICTTIDSVEELPRGPSKFSQTTHQFFITKKTGVNLTKGGQVFKDAMVSPKFKGNMFSEFLSLSPTFNLHSKQGVKLQPLGSSTDSEGKDNVGDVRTSTICLKNESSVETDAMELDIFQKNRLSSMALCPSEQNIEGVHNSSLPETENATGEEARDKMANTELPDMNEGLPAFRSVSNSMDNGESSTSRTQSLDAGHLISHAEQPSTSKTAPCSDGHLGPEPGSRWVKRLKLSKSEPFALGSKSSNIGEVSSHVKVNKIFSKIMNCSKTSSAPKEGRSHVRPQLAVDQTAKLIKNGESTSSGSVRRNEDIAHAWIHRWCRYKAVSPKKKPEAAVLCKPQSSKATVDELQKQQFPSIAAMALMGKAMNGFHPCEIRKKGSTIVWST >OMO74320 pep supercontig:CCACVL1_1.0:contig11142:32658:33365:-1 gene:CCACVL1_16811 transcript:OMO74320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFDIKLEKRNAIMKHRQLSKIASLFRLVELCVVLVLISRFTTTQLPVAVKNSGEYFRGLSDVLVSPRFVFIVGNVIVITLFAKSGQFSAQDPSGKSSGTDIYEEFVEKSEKSHAIHRYEVESREKQIKKNNTRVEEKVVSLTVHTSKGTKNYKRSQSENLRRNKACQLQLRRLESEKYIKPNDSDDEKMVTKSSYLEDGMSNEQFRDTVEAFIARQKKLLREEEYSVFWKDLE >OMO74324 pep supercontig:CCACVL1_1.0:contig11142:41226:42421:1 gene:CCACVL1_16815 transcript:OMO74324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S25 MAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLSEAPKYKLITPSVLSDRLRINGSLARKAIKDLMARGLIRLVSAHASQQIYTRATNT >OMO74325 pep supercontig:CCACVL1_1.0:contig11142:48254:52141:1 gene:CCACVL1_16816 transcript:OMO74325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyl transferase MDSCCVALKANSHLVNTRNNGFGNGENGFLGEKIRGSLNNNAWVNQLASSLRTDKKEKKIKTGVSAVLTSNTPREREAVTLQPRRIERRRVDPKNVASIILGGGAGTHLFPLTSRAATPAVPVGGCYRLIDIPMSNCINSGINKIFVLTQFNSASLNRHLARTYHGNGINFGDGFVEVLAATQTSGEAGKNWFQGTADAVRQFIWVFEDAKNRNIENILILCGDHMYRMDYMEFLQSHVDNNADITISCVAVDNSRASDYGLVKMDGRGRIVQFAEKPKGADLRAMQTDTTLLGLSPQEAMRSPYIASMGVYVFKTDILLKLLRWRYPTSNDFGSEIIPAAVMEHDVQAYIFRDYWEDIGTIKSFYEANLALTEEFPKFEFYDPKTPIYTSPRYLPPAKIDKCRIKDAIISHGCFLRECSVQHSIVGERSRLDYGVELQDTVMMGADYYQTESEIASLLAEGKVPIGIGGNTKIRNCIIDKNAKIGKDVVILNKDGVQEGDRPDEGFYIRSGITIILEKATIPDGTVI >OMO74330 pep supercontig:CCACVL1_1.0:contig11142:75527:76903:-1 gene:CCACVL1_16821 transcript:OMO74330 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like protein MAAKSKILVIGGTGYIGKFIVEASAKQGHPTYALVRESTLSSPAKANIIHSFKTLGVHFLHGDLNDHGSLVKAIKQVDVVISTLGHGQLADQGKLIAAIKEAGNVKRFFPSEFGNDVDRVHAVEPAKTAFAEKAKFRRAIEAEGIPYTFVSSNFFAGHFLPTLAQPGATAPPRDKIVILGDGSPKAVFTKEDDIGTFTIKAVDDPRTMNKILYIRPSLNTYSFNDLVSLWEKKIGKTLEKTYISEEQLLKNIAESTPPLNVVLSIAHSVFVKGDHTNFKIEPSFGVEASELYPDVKYTTVDEYLNQFV >OMO74336 pep supercontig:CCACVL1_1.0:contig11142:92263:93156:1 gene:CCACVL1_16827 transcript:OMO74336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSFLSYVELKKQALKDIEAEVDIEMGQVDPKEEQNLTKFFEDVTLIKNDMEEITNLLLDLQELKEEAKTTHSAKVLRGIRDRINSDMVTILRKARNIKSRLESLDDSNEENRNVYSEGSHVDRTRVSVTNGLRVKLREMMIDFQSLRERIVEDHKEGLKRRYYNVTGEEPSDEMVEKMIWGGGNGQQEGVFEGKEEMEMENAERQEALKEIQRSLTELHHLFLDMAVLVETQGEEINNIENNVAQGGLQINGGVNGLIYAKELKKKRGNWGYWIGALVFILLLVWIIFILA >OMO74326 pep supercontig:CCACVL1_1.0:contig11142:52967:54839:1 gene:CCACVL1_16817 transcript:OMO74326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MQETTRADLRQLEEVMKETTPTADHGEKNPEKDSATALQVFLDRIPISSIPGIKNSPGIAVELKTGDYVKDAIELLYTKNAFGAPIAEDLDPDTTVGRFLDRYIGFIDFATMFLWSLEKCEKGCVPTAHAIASDEETVKGSFLNLLERNPDIGQTKNAVIQLLLQSDGLAWFDNIAEKPLSEFRFENEENASCVYGDESIAKALHILFKSQIGAIAVISKQTQRLIGSVRSSDVYLLMENDKLFQNREVLTVEEFIHMETRNLDSDPTIERDIGALLSAGALHLQNSFLPRMDSPVSNKKTDTLKQAMKNVAGTKSNFVFLVDHLQRPVGVLTLRDIILQFAPPSMDSNIQGGGFFESALEQTGCHVKNGTLVRDH >OMP05468 pep supercontig:CCACVL1_1.0:contig05372:8547:8687:1 gene:CCACVL1_01889 transcript:OMP05468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERSLNQLELFMRKTVRPTEITNHRLNVPTLKQLGTPLFLNSSP >OMP05467 pep supercontig:CCACVL1_1.0:contig05372:5452:5823:-1 gene:CCACVL1_01888 transcript:OMP05467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLFEKKLSSTDVDKRLAVPTSSLWAFDLHGAEEVWFSAINGGMILEFCCRNRPTPEGHTRLELFGDWRRFVASKQLRAGDRVVLYKREHEAEAEAPFIIEAQRKLMIRLLGEDIWAWVTIN >OMO74025 pep supercontig:CCACVL1_1.0:contig11164:34791:36709:-1 gene:CCACVL1_17007 transcript:OMO74025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root cap MATMAQMKMQLLHIPLFVLLLAMAVAATPPGIANHPSHARCMIKKYKYCYNLEHVCPKFCPDSCTVECVSCKPICSDGSTTPPSLPDSPPNNDGGDNNYTPPSPSTPTPPTYSPPKESGNPPPSPTPSPPKDDTPTTYTPPSPPTPTPPTYTPPTQSGNPPPSPTPPTYTPPTQSGNPPPSPSTPPTYTPPTQSGNPSPSPPTTYTPPSPPTPTSPPTYTPPTQSGNPPPSPTPSVPDSPPNGGSNVDNNDNTTPSPPTNNPTPPTTYTPPSPPTPSSPTYTPPTQSGNPTPSPTPSYPPPSVDCVTCKPVCKCDRPGAVCQDPRFIGGDGITFYFHGKKDQDFCLVSDSNLHINAHFIGKRNENMKRDFTWVQSIVILFGKHQLFLGALKTSTWNDFVDRLSLSFDGEPITLPESEGAKWQSAYSPNVSITRAGATNNVIVEVEGNFKITAKVVPITEEDSRIHNYGITKEDCFAHLDLGFKFYSLSDEVNGVLGQTYRPGYVSRLNIGAKMPVMGGDKDFHASSLFAPDCAVSRFTGSNEYDSLSGSDMLGLSCASGNGRGVVCKR >OMO74021 pep supercontig:CCACVL1_1.0:contig11164:4910:9989:1 gene:CCACVL1_17003 transcript:OMO74021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGPADSSNSPPAKAPEVQPPAATDSGPVNSTHDAPSKLSSWAKNLKIPQPFATSQEDSPTGNAGKLTFARFTSGLGLRQSPKSPPANDGSDGTSPTAQPGFLGTITKGIVDSSKSAVKAVQVKARHAVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDMSSGLFGYVEGFYRNHMEEVIKFFETHHKDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPIQLIISFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCVDGKGLVLPSQIRYVKYFERTLTYFNGENQPGRRCMLRGFRLHRCPYWVRPSITVSDHNGVLFSTKKHPRTKDLSPEDYWFSAPKKGVMVFALPGEPGLTELAGDFKIHFHDRQGDFYCWLNTTMIENRKILNTGDLDGFDKRKLPSPGFQVEVVLVDYNGTVPTKQQAETATNQPDESSGTSAASADEASAGAAPSNQSKDPEHNDKDDVFSDSEAEESGSSKSKRNKVPSADGAAPSTDSKSETKSKSDQVASLVQSTEKVSLGSANSQQMHASSEPRKETGGETAAASVQVSSSESEFKAMAADASVFTFGDEDDYESE >OMO74022 pep supercontig:CCACVL1_1.0:contig11164:12067:14016:1 gene:CCACVL1_17004 transcript:OMO74022 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSLTGIQGQLLEVTVVGCNKLKDTEWISRQDPYVCLEYGGSKFRTRTCTDGGKTPTFQEKFTFSLIEGLKEINFSVWNSNTLTYDDFIGKGKIQLQKVLSQGYDDSPWALQTKTGRFAGEVRVIMHYANARQHGTAYAPSAPPYAAHPPQVPLYSGPPPAASYPPPVTSYATPSAYPAYPSYPPPPGAYPPPPSAYPPPPPPSAYPPVTYPPASAYYPPPPGPFPGLYPPPPY >OMO74024 pep supercontig:CCACVL1_1.0:contig11164:32140:33894:-1 gene:CCACVL1_17006 transcript:OMO74024 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RBP11-like protein MDGVSYQRFPKVKIRELKDEFAKFELRDTDASMANALRRVMIAEVPTIAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSSRAISMRFSRDCDACDGDGQCEYCSVEFHLRAKCMSDQTLDVTSKDLVSSDHTVQPVDVGGFENSDRGIIIVKLRRGQELKLRAIARKGIGKDHAKWSPAATVTFMYEPEIHINEEMMESLTLEEKQSFVDSSPTRVFDIDANTHQVVVVDREAYTYDDEVLKKAEAMGKPGLVEIYAKEDSFIFTVESTGAIKASEMVLNAIEVLKQKLDAVTLSDDTVEADDQFGELGAHMRGG >OMO74019 pep supercontig:CCACVL1_1.0:contig11164:531:1290:1 gene:CCACVL1_17001 transcript:OMO74019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C1A, papain MALLKHPSPPFLSMVFFLLLTLSSALDMSIIDHNLRQEQIKTEAQITKMYEMWLVKHGKAYNALGEKEKRFEIFKDNLKFIEEHNSVNRTYKVGLNRFADMTNEEYRAMYLGARVDGKTQRLEGKKTSDRLKNF >OMO74020 pep supercontig:CCACVL1_1.0:contig11164:1930:2916:1 gene:CCACVL1_17002 transcript:OMO74020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Granulin RNAHVVSIDGYEDVPENDEKSLKKAVAHQPVSVAIEAGGREFQLYQSGVFTGLCGTDLDHGVVAVGYGSEDGVDYWLVRNSWGPNWGENGYIKMERNLATTSTGKCGIAMVASYPIKNGQNPPKPSPSPPSPVKPPSVCDDYYSCPAGTTCCCIYEWGNYCFGWGCCPLESATCCDDHYSCCPQEYPVCDLQGGTCRLSKDNPLGVKLLRRTPATSNRQHASTRTSSSA >OMO74023 pep supercontig:CCACVL1_1.0:contig11164:21303:22984:1 gene:CCACVL1_17005 transcript:OMO74023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQQQYSQQQQEQSQPSNSLLDALYCEEEKWEVEEEDDFGEVLQEEMSFCNNINGRNPSLFPLLLLEQDLFWEDEELLSLFSKEQQQQAEVVHHNNGDEITDESFVLARREAIEWMLKVNARYGFTALTAVLSINYLDRFLTSFHFQSDKPWMIHLVAVTCLSLAAKVEETQVPLLLDLQVEETKYVFEAKTIQRMELLVLSTLKWKMHPVTPLSFLDHIIRRLGLKTHLHWEFLKRCERLLLCIISDSRSVSYLPSVLATATMMHVIDQVEPFNPIDYQNQLLSVLKTSKDKVNECYKLILDLSRGPQNNEYYNNSQSLKRKIGSTSVPSSPSGVINAAFSSDSSNDSWGVGWSASSTPEPPPFKKSRRVQEQAMHLPALNRVFVDMVGSPS >OMO74026 pep supercontig:CCACVL1_1.0:contig11164:39094:40335:-1 gene:CCACVL1_17008 transcript:OMO74026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root cap MAEAQPGKGNGNGNGNGGNNGNGNGGNNGNGNGNGGNNGNGNGNGNGGGNGGNNSPSGHIYPPPSTTPPTTYTPPSPTTVRCMDRYYPQCYNLPLACPSSCPAGGCKVDCVTCKPVCNCDRPGAVCQDPRFIGGDGTTFYFHGKKDQDFCLVSDSNLHINAHFIGRRNENMGRDFTWVQSIVILFENHQLFLGALKTSTWDDSVDRLVLTFDGEPVTLSESQGAKWQSGKVSITRAGATNYVTVEVEGNFKITAKVVPITEEDSRIHNYGITKEDCFAHLDLGFKFHSLSNEVHGVLGQTYKPGYVSRLNIGAKMPVMGGYRDFHTSSLFAPDCAAARFTGSSEGDALMMPSEMPGLSCGSGIGGRGVVCKR >OMO70307 pep supercontig:CCACVL1_1.0:contig11843:9015:9098:-1 gene:CCACVL1_19000 transcript:OMO70307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEVAFKLKNRLMFISRLQSCLSGPY >OMO70306 pep supercontig:CCACVL1_1.0:contig11843:388:4538:-1 gene:CCACVL1_18999 transcript:OMO70306 gene_biotype:protein_coding transcript_biotype:protein_coding description:splicing factor 3B subunit 2-like protein MAKEEQGKVTLVLKESFCSEGFADEADSAAEKAREKTLSDQFEAKHAKNKASRERKQVKLRKMTRSLSHELKKLFVCQRVLHHHAYYYAEIWSSTIVPHLRIPGLCAPISYGAGLGYHPGGWSKSPVDEKLKWMKTIYNALLYHLVRKDSIYDSELVQIIAAVDASRYCVSRCKSAAVLSASLLKAAVLLVYLRVCRVREPSCVSCPRAVGGRFEDLTAESRCPSRVPSFVSCSRASQSAAVLSASLLRAAILLVYLRVCRVREPRSRRPF >OMO59187 pep supercontig:CCACVL1_1.0:contig14034:3177:6964:-1 gene:CCACVL1_25015 transcript:OMO59187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATASAGPRYAPPDPTLPKPWKGLVDGKTGYLYFWNPVTNVTQYERPTIVDSVQKSSSMPISSSVQVQQSSEGRRGYSPEKENDRYGRGSNAVSTLELDSRNNQNARGGSVQSYNTPNGTASSVIGGSSSRGHGAAGSNLSGDAYRRQHEITVTGAEVPPPFTSFESTGFPPEILREVQNAGFSAPTPIQAQSWPIALQSRDIVAIAKTGSGKTLGYLMPGFVHLKRTRNDPQMGPTVLVLSPTRELATQIQDEANKFGKSSRISCTCLYGGAPKGPQLREIERGVDIVVATPGRLNDILEMRKISLQQVSYLVLDEADRMLDMGFEPQIRKIVKEVPTRRQTLMYTATWPREVRKIAADLLVNPVQVNIGNCDELVANKSITQFVELVAPMEKHRRLEQILRSQEPGSKIIIFCSTKKMCDQLARNLSRQFGAAAIHGDKSQADRDYVLSQFRCGRSPVLVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVAHTFFGDQDSKYASDLIKVLEGANQRVPPEIRDMASRGGGMGRPRHWAPSSGGRDMGRGGRSDSGYGGRGVRGISTSSGWHERSGGRGYDHESRDRGRSRSRSPDRFDKAGGRGNSPVRSFHEAMMKRGRSSPSQQRGPPHNDENSYSNSQQGWGRSGYANEEDSMIPADEDGMIASNDH >OMO59188 pep supercontig:CCACVL1_1.0:contig14034:9263:13225:-1 gene:CCACVL1_25016 transcript:OMO59188 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-5 cytosine methyltransferase MNGHSSAIGDDDDFDWDTEDELEVDDHAVSRSGVTVRGEEAVLHSEANSSAESSSSKVTGHFVSMGFRKEMVAKAIEENGEENTDLILEALLQYSEAGSSKSKLIDHFKGMGFSEEMVLKAIQENGDENTDSILETLLTYAALEESAPVQHADCDYEGGFLDDFSDFSDIDGSSDSEEFLNPDSDEESKLLFLTKMGYSEAEASTAMERCGPESSIAELTDFICAAQIAKAADALLPPEEKKPFCTDFKKRRKLDYDLLKKKKMKLERRFGDGDEVLHLPNPMIGFGVPTEPFQRTQRVLPEAAIGPPYFYYENVALAPKGVWQTISRFLYDIEPEFVDSKYFCAAARKRGYIHNLPIDNRFPLVPLPPRNIHEALPLTKKWWPSWDPRTKLNCLQTCVASARLTDRIRKAVENYDGDPPANIQKFVLEQCRKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGGGSSRTERYKSLGNSFQVDTVAYHLSVLKDMFPSGINVLSLFSGIGGAEVALYRLGVRLKTVVSVEKSEVNRNIVRCWWEQTNQTGILIDIPDVQELNGERLEQLMSIHGGFDLVVGGSPCNNLAGSNRHHRDGLEGRESSLFFDYCRILDLVRCKMAENR >OMO66857 pep supercontig:CCACVL1_1.0:contig12505:18602:22145:-1 gene:CCACVL1_20962 transcript:OMO66857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MLLQTTTISSPPLHNYQVSFSATVPHSYSFLSSGSLRFTHRKLSFQAIVQCYGGEPASRNSGAGNGLSATRFINPQMFQKKLAMKSFASANFDESLKNLLTTDDNSNVKKPLVVVSSFLFGQALWLVSAQLAHASEITNGNPVYEIGELFELGIQLSYLLLLLGLLGVGTFFVIRQVLVRRELDLSAKELQEQVRSGDASATELFELGAVMLRRKFYPAATKYLLQAIEKWDGDDQDLAQVYNALGVSYVRDGKIDKGINQFETAVKLQPGYVTAWNNLGDAYEKKKDYKSALKAFEEVILFDPNNKVARPRRDALKDRVTMYKGVPVKSKDR >OMO66856 pep supercontig:CCACVL1_1.0:contig12505:13909:17605:1 gene:CCACVL1_20961 transcript:OMO66856 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MFGDIEYLKKKNQKPLFEALQKIKEELMLLGFISLLLTVFQERIAKICIPEDLANKWLPCQKKKEKTNEHFQTLFSSFLPYYHGNGNGNGRHLLAEASDPAAFCTAKGKVPLLSTTALHHLHIFIFVLAVVHVTFCALTILFGSTKIHQWRSWEEEAKDMEYDPEGVMKAKVTQVQEHDFIRNRFLGIGKNSELQGWVTHCRGNPKFNFHNYMMRALEADFKKVVGISWYLWAFVVIFLLVNFVGWHTYFWIAFIPFLLLLAVGTKLEHIITQLAQEVAERHIVVEGELVVQPSDNHFWFKRPRLLLRLIHIILFQNSFEMAFFAWIWVQYGFNSCMMGQVRYIIPRLVIGVFVQFMCSYSTLPLYAFVTQMGSSYKKAIFEEHIREGLVGWARKAKKNNKGTAFKRSSNGSSQVGPKEESPLVLEMAEAYHGKESAV >OMO66858 pep supercontig:CCACVL1_1.0:contig12505:63707:66796:-1 gene:CCACVL1_20963 transcript:OMO66858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIFLTPRLPQSLSLSTSSEKPRSKTTGVLPQKPNSTWKIRAVVESSPSSSVLRSFHRTVGGQVLPEGECVEKNKERSKNKKRVFFLDVNPLCYAGSKPSLQSFGHWVSLFLFQVSLTDPVIAVFDGENCNEQRRQLLPSYKAHRRKLFRQTTTSRKFVRSQVGRSEQLVMDVFRKCNVPVIKVEGNEADDVVATLVEQVLQKGYRVVIASPDKDFKQLISENVQIVMPLVELNRWSFYTLKHYIAQYNCDPHSDLSLSKYALVFLLFLDRSTGPIREPQPAIHFEMYLIDYLSVLLEEVNNGCIMGDEVDGVPGIQHLVPGFGRKTALKLLKKHGSLENLLNAAAVRTVGKQYAQEALTKYADYLRRNYEVLALRRDVDIYLQEEWLVERDTCNDLSVLSNFFRLLEDTDKPTSKN >OMO52812 pep supercontig:CCACVL1_1.0:contig15427:10651:10746:1 gene:CCACVL1_29074 transcript:OMO52812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAETTKALAFEPPAFTAIPAAKAFSLLFKP >OMP11686 pep supercontig:CCACVL1_1.0:contig01030:2914:13037:-1 gene:CCACVL1_00343 transcript:OMP11686 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MNNCAKELSVWDRESFGNVKHAIVQKKREFNEVFMEAQTGDTEGRLQRCQEELNDLYKNEEVMWRQRSKAFWLRDGDKNTRYFHSLASARRNRNMISRIRNSERRWMADFRSIEDEFVGYYRDMFSSSNPIRAQVDLVVEKVKVALSSDMRDHLGKDFTSAEICQAVFDMNPNKPPGHDGMTPMFYQKFLGVVGDDVIMMALRFSNEGIPFPDINDTCIASIPKIDSPVLPKDYRPISLCNVVFKIISKALANRLRTILPELTGENQSVFVPNRVILDSAMVAFETVHIMKNKVSGRKKHMALKLDLSKAYDTVEWCFLESLMHKMGFPSRWISNVMYCIRTVTYSIIINGQQSECIVPSRGIRQGDPNTSEDLRANIMQRLEVGRILENDKYLGLPIMIGRSRRRELHFIKDRLWSRVRSWNGKLLSIVVELFGSYDFLGSMLVLRCGEVGKISCIVCFKKLPNLALAIECTLYVGCYAYNNAAKSAGLAMVMRDWDGEVRCSQLITKDFVSNSLYGEVMAIKMGIHLAIEEGVRNCISSNFVQPYITRAWELKGEATVMGRERDRYLIHFNNTTDRQVGVKGNPWCMDGAIMMDDGDTRWIQFSYERIDKFCLSCGLIGHTHPNCNIARIEVDRRINSRLIRVSEAYGYPIVVDEENHFLSNQMRAFRNRASRRNTRIICRQNQNRGALRIDENQTEVRVRLDSEIMIVPECSSKGQWQSNLDAQIINLQTELSALTPAPILQPEPLQIRPPHANNIEESSQYPPFEPIMDPLFEFHNTIDRVQHLYERHEGGFQNLADYEDMLFAMAREQSRFEHVCAEMAKHSAIVKNSVEMVQEQGEEQEQQGVAPDFRCSVNEDEMVAAFLKDSPSPEEEKPKAVKQNSGEDGKGKRARGDEDTPSGTELEDGKRIRQRMQGLEMEERNQMEIEDTRDKGDWGFNSCVGVNSQGLSGGYLLLLKDDVKVSILSINKNLSLLYLHYKDIKMWLTCIYGHPELSKRGEVWEQLKNMRRQIPQGDEWLVMGDFNQVLHSKDKLSESSNQIRGAEIFKQCLNECGLAEVANKGLHFTWSNRREEGRLTWERLDREFAYSSWFQKFENATLTNLPITVSDHNPLVMQLGEMNTRFFHTMTNRRRAWSKIASVEKRDRQMTESIEELKKEFMDHFREVFSNEGEASEQEIRASLESLAIPELTNEHKQRLGQPFNREECVSTVSYQILLNGGTLPRFEPKRGLRASVLTARKVREIINKYCFLSGQGEELKTKDFSMLDREDELVWRNEFSGCFTVKSAHREILMAEMGNQVDFSRRREWKALWKLKLPFKIIIFLWKMCNKGLPTRLELTKRGMNVDSRCGLCSAHEESQEHLFWNCDVARAVWFGSSLSLRTKAFEQVNIVDWLMQWLAKTNPTEVGESHFTKEFAVTLWMIWIHKNECLFEGASVNPLTIIQRSRGYCKTIVITFEDGNRLEESY >OMP07190 pep supercontig:CCACVL1_1.0:contig04601:839:910:-1 gene:CCACVL1_01355 transcript:OMP07190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRGVSTALWSVPVPKASPTLPKP >OMO51592 pep supercontig:CCACVL1_1.0:contig15781:2755:6677:1 gene:CCACVL1_29697 transcript:OMO51592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGLKSMLASAVVAGVTEARARIFGHVLNPTGHRSPHKVLRKKLIGEKVAAWYPYDIKNDDPVVMAREEEERLSKLEMLKRRGKGPPKKGQGRRAVKRSNLKDFRDMKGVAREKNGQLQDISWELVYDMQHSHPAQVLLVSILFFEFTIIHLCWKSNFSVQGDQLSAEISCNSWEPISNFCEIKGDIRVQGNFSTISIVSSQMGTPARNISYVVKPYIRKDNPVAMDLVKNWSVTSVTSQTEFLHCDLVHSVPAILFSLGGFSGNHFHDFSDLVIPLYTTSKQFNGQVQFLVTDNKPWWITKFQAILRNLSRYDIVDLDTEEKIHCFPRIIVGLKFHKELGIDQSKSQDQLSMNDFRQFLRSTYLLKRRNTIRIHNKPRLVIISRRKSRSFTNINEITRMAGSLGYDVVTAEPNMTGLSSVAQTVNSCDVLMGIHGAGLTNMVFLPDKAIVIQIVPLGSIDGLCREYFGKPARDMNLRSGWFPAILSSSLHQVGFISDQLHLSSG >OMP02614 pep supercontig:CCACVL1_1.0:contig06216:35178:35381:1 gene:CCACVL1_02743 transcript:OMP02614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKSGELEVVTKQSPLVAGNNGIVEMIESHRTTMKGTTNLARGTNFADLVGPTLAPWGRYRGQPSK >OMP02613 pep supercontig:CCACVL1_1.0:contig06216:29007:30659:-1 gene:CCACVL1_02742 transcript:OMP02613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYHAAAAAATSFLAPLSCYITSSSSNQLRLKPSLLPLKHVKQQQPHFVSVSSVKFSKAKTRATLDEKEKDPLLVQDQEQQQQLSKEVEESVKVLKNAAKTRKVPAEEILSAFSVIEKAKINPSGFLETLGGMESPGRTWMLIFTAEKKLKGGRYVPLTAVQRFDAAAMRIENGVFLGPIGFLTFEGRFSWKKRILSFIFEKIRVKIGPFNPLEISLGQNEDRDPSTKDPFFIWFYIDEEIAVARGRSGGTAFWCRCRRVTT >OMO65267 pep supercontig:CCACVL1_1.0:contig12676:5738:9828:1 gene:CCACVL1_21573 transcript:OMO65267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MANLKAGTRPPWVGLGSAVWVQIASGNAYTFPLYSHSLKSVLGFTQSQLTMLGVANDIGENVGLLPGIACNRFPPWIVLLIGGLACFVGYGVLWLAVSPTNSSAWLSTAVLVTNMRNFPVSRGTVAGILKGYGGLSAAVFTEIYSTVLRKSSSSLLMFLSFGVPILCFITMYFVRACTPASGEDTAEHGHFLFIQVASGVLGVYVLTTTVLDNMFHFSAEVSYVILAVMVVLLMAPLAIPVKMTIYPTRINKPGIPNPPVASSDEMLQGEGNADKTEPLLTPSESSSYLGSFREGDDETEVAMLLAVGEGAVKKKRRPKRGEDFLFSEAVIKADFWLLFLVYFVGVGSGVTVLNNLAQIGIAQGVQNTTILLSLFSFCNFLGRLGGGTVSEHFVRSRTIPRTIWMTCTQIIMLVTYLLFASAISGTLYAATALLGICYGVQFSIMIPTVSELFGLKHFGIFYNFMSLGNPLGAFLFSGLLAGYLYDSEAAKQGAGSSVCVGPNCFRLTFLVLAGLSAMGSVLSIILTKRIWPVYQMLYAGGSFRLPQTSNH >OMO65268 pep supercontig:CCACVL1_1.0:contig12676:11205:11972:-1 gene:CCACVL1_21574 transcript:OMO65268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif, type 2 MDWYSWLSKTALEPSLIYEYGLAFSRNELQKEDLAYFNHEFLQSMGISVAKHRLEILKLARKEVIGESPNGLSKLILALNKTKKCFNKYVHKLVHHESNAIKPLPEPVRHRDQWRGALTMTRKCKSEKELKEKVELPVLRTRKVAKSGPLDYRAHDKLLVPPRSLKLSGPLDRKMQEKLVFSYKSPIQSSPIDVSVAQERLLLTHRSPRFSGPLNNYARPPSPKVLPGDYNKEKVNGDYGDQTLWAALFQDMKPT >OMO65266 pep supercontig:CCACVL1_1.0:contig12676:1111:2270:-1 gene:CCACVL1_21572 transcript:OMO65266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside-triphosphatase, THEP1 type MVGIWQQSPSICQKPNGNGLNLNLNVEFRLPVCRSESSVEREELESRWQGVGKTTLITRVYETLKLSNPNLKLQGFYTQEIRQGGERLGFEVVTLDGRRARLACTTIPSPEAHRWPIVGKYKVDVASFESMALPELKIKQDTNLFIIDEVGKMELFSSSFFPSVLNVLQSNIPLLATVPVPKSGKDIPAVARLKNHPGATIVTLDQSNRDSMKEQIISQLLDILPKS >OMO97202 pep supercontig:CCACVL1_1.0:contig07261:7239:7337:-1 gene:CCACVL1_04631 transcript:OMO97202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSCFDSSKPASKPVNQTSPEAFKKSSDLAI >OMO96028 pep supercontig:CCACVL1_1.0:contig07547:3795:4856:-1 gene:CCACVL1_05112 transcript:OMO96028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMARYRFLMLTRQLPKMRDIHRLKSQSVHVVSFPQTQPICLLSTNIPNPKLHSSCAAGGGDGKNGFGRSGGDRVEGGGEGDGKSNSKGFRIMGTVFLRGWRDRVAADPQFPFKVKMEQFVGVSGCVVGDMASRPNFGLNELDFVFSTLIVGSILNFTLMYPLAPTASSSSTSSSRLPSIFASCPRSHMFEPGAYTLMNRFGTFFLKGTVFAAVGFVAGLVGTTISNGLIKMRNKMDPNFETPNKPPPTLLNALTWAIHMGFSSNLRYQTLNGIEFLLAKGSVSPLVFKSSVVVLRCLNNILGGMSFVILARMTGSQSSGGGKSERVEVVAAAEKEKLLDGGDNTNQSTFK >OMO96027 pep supercontig:CCACVL1_1.0:contig07547:2203:2864:1 gene:CCACVL1_05111 transcript:OMO96027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMTMGYLLPFREESMEPERSSTFPLSLCSKTSGEAILAFILLWVRVFQCDGGSVALQLQVPPSMRKRKSCSNSNSESVISSLIL >OMO96029 pep supercontig:CCACVL1_1.0:contig07547:5526:5926:-1 gene:CCACVL1_05113 transcript:OMO96029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MASHECQGKGSWPELVGVKGEVAKATIERENPLVTAKIVVEGVHQVIMNYQCDRVWVWVDKAGIVVRVPIIG >OMO96030 pep supercontig:CCACVL1_1.0:contig07547:8101:8519:-1 gene:CCACVL1_05114 transcript:OMO96030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MASDECQGKGSWPELVGVKGEVAKATIERENPLVNAVIVVEGEDNVITNYQCDRVWVWVDKAGIVVSVPIIG >OMO88793 pep supercontig:CCACVL1_1.0:contig08932:11651:14432:1 gene:CCACVL1_08199 transcript:OMO88793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MLSLPWKTVALGLTLLVVWFSVEAECFPALFDRISELPGQPKVGFQQYSGYVTVDEKKQRALFYYFAEAEVNPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGEVLVKNQYSWNREANMLYLEAPIGVGFSYSTDTSSYEAVNDKITARDNLVFLQNWFLKFPQYRNRSLFITGESYAGHYVPQLAELMLQYNKKHKLFNLKGIALGNPVLEFATDFNSRAEFFWSHGLISDSTYKMFTTSCNYSRYVSEYYRGAVSPICSRVMSQVSTETSRFVDKYDVTLDVCISSVLSQSNVLTPQQQVGETIDVCVEDETVNYLNRQDVQKALHARLLGVRKWTVCSNVLDYELLDLEIPSITIVSRLVKAGIPVMVYSGDQDSVIPLTGSRTLVNQLAKELGLKTTVPYRVWFEGQQVGGWTQVYGNILSFATIRGASHEAPFSQPERSLVLFKSFLEGRPLPEAF >OMO88794 pep supercontig:CCACVL1_1.0:contig08932:14782:17788:-1 gene:CCACVL1_08200 transcript:OMO88794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGEAKSSLGYGKPPWIFKGSALYQLHLVKAETARSFIPKELRLVEAFGYTLGGFFLAKYDDSPAGVFDELVVIAGIVWNPPTSCAWAARVLVNSEEACHHGRKDVGLPSQVAKFSKRITPVVRQREGKFGGFLNMFGMGTTSTLHHSEDCIDVQVTEVKGPVSADICNIKLVNDVRRPKFNKWMGPSITMSLPSFSGQTEYNPNLLKYSCRIACRVRAVRPAKVTGASPSNNDKDGGSKTTDFTAEELVDNARNLSISVMLSKPVLALEFNCLEMQVEAPIILSDSSTSSLPAA >OMP06319 pep supercontig:CCACVL1_1.0:contig04973:13575:13778:-1 gene:CCACVL1_01631 transcript:OMP06319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSSISKALIAIVVAFVLSMAATASAQAGAPAPAPSMDTGAAFSLPVSGIAVAFSLIISLFAFLKH >OMP06318 pep supercontig:CCACVL1_1.0:contig04973:5036:5200:-1 gene:CCACVL1_01630 transcript:OMP06318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVSISKTMVAIFVALVLSMAIATVSGQDVGMAPAPAPSMDTGPAFSLPISGVS >OMO84501 pep supercontig:CCACVL1_1.0:contig09742:22674:26275:1 gene:CCACVL1_10797 transcript:OMO84501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINERVRKAFLAARACGISDQEVKPVLKHLLKVYDKNWDLIESEGYRALLDAYFELNENKGVGVNGNVFVEHGESSRLSKRLCMQELEDQASCTVGNSTPKLSSEENEKPMINLEQGVGTLNKNSSGCSNSGNKVQQQSVTWDKKRPIISDITKGTENVKISLVDETGNQDPPKFTYLRNNVIYQEAYVHISLARIADEHCCSSCSGDCLSFPIPCACAQETGGEFAYTPEGQLREEFLRSCQKHDMVYCQDCPLERCKNERKPGKCKGHSVRKFIKECWDKCGCDKQCGNRVVQRGIVCKLQVFFTGEGKGWGLKTLQDLPKGTFVCEYVGEIVTNTELHERNKKSSGRERHTYPVTLDADWGSESVLKDEEALCLDATSFGNVARFINHRCFDANLIDIPVEVETPDRHYYHVALFTSRDVRANEELTWDYGIDFDDHGHPIKAFRCTCGSSFCRDAKRKGKRLAVS >OMO84500 pep supercontig:CCACVL1_1.0:contig09742:7360:8127:1 gene:CCACVL1_10796 transcript:OMO84500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTLCNKAVSARFQLHGCYVHYEADEFIEESSKYELLHKICDEKKAVVFGFEEVRDAAFAAVESGVTDGDGYCKENYELMQVIAQCEGDLGPCDCGKCVSIAVQIAQEECGNSLSGQIYLENCFLSYAYYPDGIPDNLYRGRSGGRNNTGKLLAIVLGGAAALFIGYVLLLSLKKIGKKEDI >OMO84503 pep supercontig:CCACVL1_1.0:contig09742:52344:53087:1 gene:CCACVL1_10799 transcript:OMO84503 gene_biotype:protein_coding transcript_biotype:protein_coding description:DC1 domain-containing protein MQVIQSPFHPQHALLLYPVPTNINNACNVCRGNIKCIAFICLECDFGLHSSCAMYQPHQIKYNRHEHHLLHLGKSIFVRTSPQCVECRQDCSDTLFYCKECKLSMHLECMELPYILKHRRHLHPLTLTSCVVEDDSGEYYCDICETQRNPELDVYYCEECTFIAHIGCVISEDEPPEEILEYLVPQRNRIEAETKRDKEKAD >OMO84502 pep supercontig:CCACVL1_1.0:contig09742:26954:29446:-1 gene:CCACVL1_10798 transcript:OMO84502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFALLSFSFFLITQNLAIANSGEVPLPSIYEPTDIYLLDCSSNDLTSLDRTNFFVVSKAYTRSETEPTFSEKPQACFHKKQVTYTFPVSSGPKFLRLYFDPIMFSNSGFHEISKALFSVKTGSYTLLKTSNSSYSECGTSSDCYHDRSYTVKEFCINVDDGQALNVTFIPSPQVSGAYFFFNKIEVVSMPSNLYIRESVPLPLIGELSSSYYINNSRALETIYRLNIGGDLITPQGDTGMFRQWMPDTSYLITDERDTIIIDSNVQIELSSYGAPQPVYASARAVSSGSRNQDGAIRWSLPLDSGSFHYLVRLHFCEISKEINIVDQYQRVFHVYMKNQTAEDHADILHWAHGAGIPVYRDYIVDSSQHSNGRTYLSLSIENSNGSIKTKEPPAMLNGIEVFKLSDCNNSLAGSFALGARKSSWKHAIDYDHGEDNHLVKISFATFGNVFLVMIPIFGLLYKVFVSDVKQRRIMQSQSSDVHCKSFSLDELKLATENFSDDLLLGAGGYGKVYKGSVHGETNNYVVAIKRANPCSKQGLKEFETEILLLSQLRHCHLVSLIGCCKEKNEMILVYDYMANGTLCDHLYNTNKPPLSWKRRLSICIGAARGLHYLHIGAKHKIIHRGVKSSNILLDKDWVAKVSDFGLSKIGPNMLSQSNTHISTMVKGSFGYLDPEYYKRQKLTEKSDVYSFGVVLFEVLCARPAVLQATTVEEEHEKVNLAEWSLHCYRSGTLDQIIDPFLQGKIDPTCLMIFTEVARKCLADKGCERPTMGEVLWNLEQAWLQQLDGETDDMKISGELPVIVELQNGGSDPTPGIEFSEIIVPIGR >OMO98597 pep supercontig:CCACVL1_1.0:contig07077:21658:24082:1 gene:CCACVL1_04165 transcript:OMO98597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLTALLLEDCGLTGTFVTQGLCEFTHLQELHVSGNNLTGDLPECLSNLTSLEILDLSRNHFSGNISALQSLTSLQSLYLSSNKFSGDITALESLTSLQYLRLSNNYFQIPISLGPLFNLSKLKSFYADNNAFFAETKMPSLPPRFQLVDISLSCCGDGGLSFPRFLYHQNDLQSVDLSNIYFKGDHFPNWLLENNTRLNELYLDNSSLSGTLQLPYASHLVLSSLVISNNFFSGNIPTEIGALLPSLHYLNMSKNCFNGSIPSSFGYMRSLYTLDLSNNRLSGAIPEHLFLGCSSLGQLVLSNNTLQGRIFSASSSLTNLIRLQLDRNNFSGTIPDFLSNSLGLSTLDVSHNKLSGRIPRWMGDMFYLEEVIMANNHLEGEIPVELCELHLVILDLSSNNINGSLPSCLSPSRITRIHLSKNRLSGALDALHNSSTLVTLDISDNHFTGSIPSWIGGLSSLRYLLLNNNHLEGRIPIELCNLNHLSVINLSHNKLSGRIPRCLKITPLKDAESEHKVGVTSPTANKAPPGPLSFDEQVEITTKSISYYYRGEILPYMSGMDLSCNNLVGEIPYEIGNFHKMLLLNLSHNSLTGHFPPTFANLRQIESLDLSHNNLSGHIPPQLVGLFFLAYFSVAYNNFSGKTPQRIAQFGTFDESSYRGNPLLCGEPLRRCFEPPSPFTPKVPTGDGEDNGPIDMNFFYVSFGSSYIVVLLAIASVLYINPYWRRAWFYCAETASTTCYYFVIDNLIPKRWRWA >OMO98598 pep supercontig:CCACVL1_1.0:contig07077:44900:44986:1 gene:CCACVL1_04166 transcript:OMO98598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVANYTIRYKNTTSRDKKNDETMAKGD >OMO94530 pep supercontig:CCACVL1_1.0:contig07846:21226:24876:-1 gene:CCACVL1_05937 transcript:OMO94530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRLLILLSFWLPLVCVAYRPGDIVPMSKMGQYHSSRTVWHDVIGKHCPIFAVNREVLIPIAKPTGYTGADPYKISFQVGKEKFLVPWLFLINRKSSEVPMIDMHLRYSGGDLHGVTAKIVDMPHHYVEIHPNIRNQFWDPQHWPKHVLVRYTWEEQSEIDVASGFYILFGSGLMLSFILSIYILQSSKEKLARFVRETVAESSAPGVGVAKVE >OMO94534 pep supercontig:CCACVL1_1.0:contig07846:95645:96951:1 gene:CCACVL1_05942 transcript:OMO94534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLMGDLRDWSPEPNGVSSEERASSSSSSSSNQAGIAAEYWQKAEEATQGIIAQVQPTVVSEERRKAVIDYVQRLIRNYLGCEVFPFGSVPLKTYLPDGDIDLTAFGGINVEEALANDVCSVLEREDHNRAAEFVVKDVQLIRAEVFSSTNMFLQIIA >OMO94531 pep supercontig:CCACVL1_1.0:contig07846:44572:44700:1 gene:CCACVL1_05938 transcript:OMO94531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKAKATGTVATIPFRSIITNIAFLLQRIHGIRVNHAFEVK >OMO94532 pep supercontig:CCACVL1_1.0:contig07846:48047:52475:1 gene:CCACVL1_05939 transcript:OMO94532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARECHYQVQVRTSKVSGFLSLFKLVK >OMO94533 pep supercontig:CCACVL1_1.0:contig07846:54828:58118:-1 gene:CCACVL1_05940 transcript:OMO94533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MIKRIEKMKQTLLALKKKKTCHNVERGNNSPSGLVQSLGIYADADAENHENDTSDDEDNLDRLAHDLPRKTRGRTELKDIWNLGPGQELSSEFNIYNQCVDNGELEYFCGTVARNRDITPLEFDDWRLFDETEKQPSRSKIYVKYHRHKDGKPVSDEAEENLNKIQAILDNQTANGEPPEESDGLASLDGDALSQVFGPDKNGRVRGIGSGVTPKMFERSNLQALKENEQMKEKK >OMP06284 pep supercontig:CCACVL1_1.0:contig04986:612:1508:-1 gene:CCACVL1_01636 transcript:OMP06284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced protein 1 MASSNSIYHLATLLFFCFIHFSFSELVQEQPLVLKYHNGPLLKGKITVNLIWYGKFTPIQRSIIVDFINSLSSDKATRPSTSSWWKTTEKYKGGASNLVVGQQVLLENYPLGKTLKNPHLPALAGKFNGLSAINIILTAKDVAVEGFCMRCGAHGSIRVGRGPVRGTYIWVGNSETQCPGQCAWPFHQPIYGPQTPPLVAPNGDVGVDGMIINLATLLANTVTNPYNNGYFQGPSNAPLEAVSACTGIFGSGSYPGYPGKVLVDKSSGASYNANGVNGRKYLLPAMWDPQSSTCKTLV >OMP06285 pep supercontig:CCACVL1_1.0:contig04986:2610:2672:-1 gene:CCACVL1_01637 transcript:OMP06285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CGVGDPNSSQIEASRRDRQP >OMO59598 pep supercontig:CCACVL1_1.0:contig13915:9487:11115:1 gene:CCACVL1_24733 transcript:OMO59598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKDDLGLSLSLSFPQNLNHSLKLNLRPSLVPSSANSCSSPSALPLHKPSWIDASASAPSDPISDPFRAETRSFLRGIDVNRLPSTVDCEEEAGVSSPNSTISSVSGKRSEREINGDELDMERACSRGISDEEDGDTSRKKLRLSKDQSAILEESFKEHNTLNPKQKLALAKQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVQELRALKLSPQFYMQMTPPTTLTMCPSCERVAVPPNGSSTVDPRSHQMAQTHHHRPIPINPWAPATPIPHGPFDALRPRS >OMO59600 pep supercontig:CCACVL1_1.0:contig13915:19747:21378:-1 gene:CCACVL1_24735 transcript:OMO59600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIDTYTKQQKLLKEQQNQANPRIAVSYGLFSRRNDETEKENNNNNQNQPKFDNVQSFVSRFLQVSSVFDDEAENLSGSDENKVQTWSNQYYRNESPVVVAKEQAVLDEQRSSSSSSSSRIAEKPLLLPVRSLKSRVLDGNNLETSGANSRSLSRSNSSLSSRRFSKKGINGEFGGLDQDTLEKKMDNESNVVLPSPIPWRSRSGRFEVKDDIESTFNGIETRSFGSQTTRLSRSSSLSSSPKSSPSPPLSSPKKLSPTRSLSMETQAKTAEDLVRKKSSYRSPLQPPPPPPPPPPPMNRYSSSLKRSSFLTDDDEDLPCNVASEPSENRGDPFMGKQREYLDDYPKGKSVRTRKEDEIGNGVNGKTVRFDQKSFRNEKLNRESVSFMPKPTFMEFPQEEKQEFVEKLVMETADEEESSSESEDEEVGETSFVSSMQRSPNNEETSPSSGIDGGSDVDKKADEFIAKVREQIRLQRIDSIKRSSGQMKRSSTR >OMO59599 pep supercontig:CCACVL1_1.0:contig13915:14244:18822:1 gene:CCACVL1_24734 transcript:OMO59599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFRARKLLSQSFSILSPQPLRVCIVGRGLTGSYTAGLQSFSTLSPQPLHVCIVGSGPAGFYTAEKLLKKHQGAQVDIIDRLPTPFGLVRSGVAPDHPETKNVINQFSRVAQSGRCSFLGNVTVGSSVSLAELRELYHVVVLAYGAESDRVLGIPGEDLKGVHSAREFVWWYNGHPDGRNLDPDLKCTDTAVILGQGNVALDVARILLRPTSELAKTDIASHALTALEQSSIRKVYLVGRRGPVQAACTAKELREVLGIKDLHIHIEEADLKLTPADEEEMKNSRIHKRIYELLSKAATATPSQPSSGQRELHFVFFRQPDRFLESYDKKGYVSGVHLEKTALKGIAPGKQIAVGTGQFEDLACGIVLKSIGYKSVPMEGLPFDHRKGVVPNLQSRVLSDNNSGDPPLFEQGLYVCGWLKRGPTGIIATNLYCAEETVASISEDLEQGSLASTASLSKPGRDGLLQILEDRDVRAVPFSAWEKIDEEEKRLGSLRNKPREKLTTREELIQAALV >OMP11631 pep supercontig:CCACVL1_1.0:contig01083:1590:1769:-1 gene:CCACVL1_00381 transcript:OMP11631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASSFPIMKINNGYHHQLLMKKHMELWLRKSQKMKKTKYKTITAKKETAGKNCNENKKEP >OMP11630 pep supercontig:CCACVL1_1.0:contig01083:1052:1174:-1 gene:CCACVL1_00380 transcript:OMP11630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSLRFIGGCRGVEAAGGFRGGGEPRLFRGFSFSFNNGV >OMO88834 pep supercontig:CCACVL1_1.0:contig08915:11258:15215:1 gene:CCACVL1_08170 transcript:OMO88834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAELSTSYTKTSTRFHSSQLSLSSSSSRFSDHFRRSFRPLPRTRNATISCSVTSDQVQTPIPVQAESPKGKSECYGVFCLTYDLKAEEETKSWKKLINIAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSIQALEGVAMELEDSLFPLLREVSIGIDPYEVFQDAEWALLIGAKPRGPGMERADLLDINGQIFAEQGKALNASASRNVKVMVVGNPCNTNALICMKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDQVSNMTIWGNHSTTQVPDFLNARINGLPVKEVIKDHKWLEEEFTEKVQKRGGVLIQKWGRSSAASTAVSIVDAIKALITPTPEGDWFSSGVYTNGNPYGIAEDIVFSMPCRSKGDGDYELVKEVIFDDYLLSRIRKTEAELLAEKRCVAHLTGDGIAFCDLPEDTMLPGEM >OMO88837 pep supercontig:CCACVL1_1.0:contig08915:29054:33487:-1 gene:CCACVL1_08173 transcript:OMO88837 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MGRENSLAIVPGTPDVPAVAAAAAAAAAAKTSGAATALAPGFRFHPTDEELVSYYLKRKVSDKPVRFNAIAEVDIYKQEPWELSDKSRLKTRDQEWYFFSLLDRKYGNGARMNRATKEGYWKATGKDREVRHNSQLIGMKKTLVFHSGRAPDGLRTNWVMHEYRLVEEELERLRALQSYVLCRVFHKNNIGPPNGNRYAPFIEEEWDDGSTALVPGLDAGDDVIAGNDAVAGIDVAAAENALIEINGVRRIDFEQQDIQYPNEDAPLNYEVPRESLNEKTEDQPKENPHVLPATYDTASLDSVVPPGCMKLINELQNEIHKISVERETLKLEMMSAQAMINILQSRVDSLSKENEDQKRTNQAIIGVLQLKVDTLSKENEELKKSNRNV >OMO88840 pep supercontig:CCACVL1_1.0:contig08915:55985:58340:1 gene:CCACVL1_08176 transcript:OMO88840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MAADYSSLKWILVWAALVITINGMFPGPLINATTNDVLHINVFNLLDEPLLFTWNGIQQRLNSWQDGVSGTNCPIMPSTNWTYVFQIKDQIGSFFYFPSINFQKAAGGYGPIRVHNRDVIPVPFPKPESEFDLLIGDWYAGDYKYYRTLLKKDESAYDVLPDAILMNGKAPYDGSEQTVYESLFVDQGKTYRFRISNIGSSLSFNFRIQNHKLLLVETEGSYTNQIPLDSLDVHVGQSYSVLVTADQFVGDYYIVASSKYADVNIEGLGVLHYSNSSTPVNGPKPDAPLAFDLDFSVNQAKSISLNLTAGAARPNPQGTFKVTNVIPSQTFILESSRRKINGEMRLVVNNMSYSTPETPLKLADHLRNGSGVYTLDQFPIRPVNGENAIGDKDGDWTPASRNSYNLLNPIVRSTTQVYPNRWTAVYVFLDNPGMWNLRSQNLKNWFLGEELYIRVFDADLNPAKERPPPGNLLLCGIFNQSNVSTPAPAP >OMO88835 pep supercontig:CCACVL1_1.0:contig08915:16759:21618:-1 gene:CCACVL1_08171 transcript:OMO88835 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MGRGSSTSLAPGFRFHPTDEELVRYYLKRKVLNKPSFDAISVIDIYRSEPWDLPDKSKLKSRDLEWYFFSALDKKYGNGSRTNRATEKGYWKTTGKDRAIRHRERVVGMKKTLVYHKGRAPHGERTNWVMHEYRITDEEMQKAGIQLDAFVLCRVFQKSGSGPKNGEHYGAPFVEEEWEDDETIFVPGQHAVAVDEDVANDEAFVEVNDIDQKLDIGNPSENAVLPLNFCHGESSNHVEHSRELSEDHQTPAGNMPENAFLPANFYYGESSNQAEHSRDFNEDYQKPIFVHAAESDSRPHHEHAFSSMPSNEIPAEEVPVKDEYVLEPIENINNVDYNYFLNEPYLDVNDYLPSNDGFYLEANDLSNPIDPESEYFDFEEYLTFDDADDQPLALDSDPMVGNKIPVSDQEPLVQTHSNGGTERVSHASEPMDQPGNSDASCSHQELETTKYEPDAKYPFIKKPSQMLGSFPAPPAFASEFPSKDVALKFNSAAQASSSVHVMAGMIRITNMNSSGTLLDWSYNKNGNVNIVLSFSLPQGDVDSSSYLPMTNLLAGKTGSVVARGWFFLMLFWVLIISVSLKFSTCICTR >OMO88839 pep supercontig:CCACVL1_1.0:contig08915:47672:54761:1 gene:CCACVL1_08175 transcript:OMO88839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MSSPSSSSSSAKGGGYNSFDYSFKILLIGDSGVGKSSLLVSFISNFVHDLSPTIGVDFKIKMVTIGGKRLKLTIWDTAGQERFGTLTSSYYRGAHGIILVYDVTRRETFTNLSEVWAKEVELYSTNHECIKVLVGNKLDRDSERAVTREEGMALAQEHKCAFLECSAKTRENVHQCFKDLILKIMEVPTLLEKGSAVGKKQILQQKQVITINGMFPGPLINATTDDVIHVNVFNFLDEPLLFTWNGIQQRLNSWQDGVSGTNCPILPTANWTYVFLIKDQIGTFFYFPSIKFLKASGGYGPIRINNNMNVVDLPFPKPEAEFDLLIGDWYAADYRYLRNMLEHNESAYDVLPGTILMNGKAPYLDSLVYESFTVEKGKTYMLRISNVGSTLSLNFQIENHTMLLVETEGSYTNQITLNSLDIHVGQSYSVLVTADQNEADFYMVARPKFVDTSIIGLGVLHYSSSTTRASRSRVVGHEPDVFQPGFSIHQAISIRWNLTAGAARPVPQGSFNVTNVTLSQTFILQSSRAEINGLQRFVVNNISYQTPYTPLKLADHLANGTSVYRLDSFPIQSVNAEAADGISVVTGNYRGWLEIVFENSYDIDSWHLDGYSFFVVGYGNGNWTPSSRENYNTLDPIVRSTIQVYPAGWTAVYVYLDNPGMWNLRSQNLMKWYMGEELYIRVYDADPNPAKEQSPPDNLLRCGIFK >OMO88838 pep supercontig:CCACVL1_1.0:contig08915:35833:46803:1 gene:CCACVL1_08174 transcript:OMO88838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKIKGWSVEWRETINDQWLLRFLLAAQARPEPIDDYL >OMO88836 pep supercontig:CCACVL1_1.0:contig08915:24141:26882:-1 gene:CCACVL1_08172 transcript:OMO88836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF6 MATRLQFENSCEVGVFSKLTNAYCLVAIGGSENFYSTFESELADVIPVVKTSIAGTRIIGRLCAGNKNGLLVPHTTTDQELQHLRNSLPDQVVVQRIEEKLSALGNCIACNDHVALTHTDLDRETEEIIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTINRGSEVIAAGMTVNDWTAFCGSDTTATELSVIENVFKLREAQPSAIVDEMRKSLIDTYV >OMO76607 pep supercontig:CCACVL1_1.0:contig10887:2779:3621:1 gene:CCACVL1_15544 transcript:OMO76607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEKKVKNNNGIMKDRSISKLSDDILIHILSFLPGKDIVATSTLSRRWKSFWKFSPIRIFNWMLTKEFRYKEGMEGKLYIENNNYCGKYYEVSYETETHSNTKRKYIDTLVSSNQYYLDKWITMKLDDLYKKKQAIGLTIHAKPGFVINNFVMLCIGKICLVYPCGYPTELHQSLLSFIKGKNVFYWVFGDKGNANNTVTQLTSSSNSKDLSLLVDGKDLVPAVVDQLRIINIPDSSSRLRVPFLGGKWRMLSLERLQLIFAIDWEVECIKVFSLMMIW >OMO76608 pep supercontig:CCACVL1_1.0:contig10887:10511:12091:-1 gene:CCACVL1_15545 transcript:OMO76608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSQGLSIGEWLETSHLQLWRFGHDRAIGFGEDLQRLCRALASELNSLHKRARFNGCIEDKKSFLNNILVHKSYQVELKRHPSSDTYTTTDTTGILKDLKGFINLIKYIGDNHRPLGIMDLPLEYHQFFELVDEFKDLLNQTNLQRNMYGHLRDAEFWIFNFPAFLEPSEKFEIVEKLQRLNNDEKLLEKLKLSVDPEWVDVIMYDDFDHERINRINGSSISEYDVANGKFANFVNKFLDSIEFRSAVANYFQDFFPYAFGELYIAVYKYLPKYDRYFELITFLTNGYRGPFLV >OMO76609 pep supercontig:CCACVL1_1.0:contig10887:15505:15838:-1 gene:CCACVL1_15546 transcript:OMO76609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding protein PEBP MDEATKGRELVPFMGPCPPTGTHRYILARLSRRERRRPEGFNCRSGLGLPVGEVYFNSQKEPALKKR >OMO76610 pep supercontig:CCACVL1_1.0:contig10887:16247:18598:-1 gene:CCACVL1_15547 transcript:OMO76610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MSAMGFDSRGMSSSRTICLRLCNKKDGKLKIFSLKLNSLENSSYCPEFISELDIGIGTQTSWIIGGSVIYVFGCSLSTDTANEVFSIDINRRTQGWHRCPSMLLGRNRALTASFGGKIFLMGGNDDESNHNPWAEVFDPLSNTWEAHPLSEPLEDDDLPLCFRAVDPAGQLCIVGNRAKLAIFDDYAMRWQFFGYYESESPLAGSGLLIDKTIILIYKDVLQSYHIHRKEYCAGKIMGLQALASSDSTKEPSLFHLQGSIYDSTVGARFSLVWSDLSSSNCLRVHYTTFWAVVCQHHDFLSLEAIVESYKSFVIDNDPAYSKECHFEGALFVGLSLTNKRKRDGANGANLRDIFKEKLKKQVSALQTPPVSQKLCFRVYNEDDDMSRFYHVNLSKAGITEWSSTHGIRPKWELKSGRESSWVMHESVLYVLGGYSRSCDPSEASEHNHVFCIDTSEHARGGWSECPSMIARRSGAMAAFLKRQLYVMGGDFYIPCTTFGLPLEDGETSTGLPILDQNAHRDGGLDFSSFDVERTPYSSN >OMO76611 pep supercontig:CCACVL1_1.0:contig10887:19901:20859:1 gene:CCACVL1_15548 transcript:OMO76611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTQGIANDLNAFIRIFKNFIDIYKPRDRKSGEVLALPPEFKYFFKIWDKKDIEVPQYASIEQKNVEVPNSEDASIEQKDVEAPDAQYASIIEQLRHLEFWIFNAPVFLEPGYMKRYIQNCFEHARGDVLQLEYMLQPLFPELLGKIHYELYVSPRVTISGFDVYHELSAEPITYTCV >OMO76612 pep supercontig:CCACVL1_1.0:contig10887:22865:24306:-1 gene:CCACVL1_15549 transcript:OMO76612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase, beta-propeller MEAASDAKRQKTENMEEASDAKRKKLENLVTLEELEATSNQNQTEGETGIIKFTPVRRGKIEDMNFFLRNCPSSRQPWSQELTATSTKMCQKRSAGLTQFSPICRGYIILWSSAQCYAIQFCTSKDNFKHPLGNIKEIDSRFMNLKALPVTHMSYSVADCEGNHFSCFSKKATVNGDKDSLACVPPMQREKVGPLSVVLDGKLYVMGSCYIWDESKEPWGEIFDPSTMQWRMNEYLYAYDLRNRLFCRATPAEMGLIGEGYDDWFKERRIHDLGNNNLCLVLMLDDCNSVRVVVFEPYVRINGHGAPYLDVILKGHKDYRPGVTMEATYEMILKQES >OMP11267 pep supercontig:CCACVL1_1.0:contig01428:1666:1749:-1 gene:CCACVL1_00590 transcript:OMP11267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNDNNPAAKREPNSATSSNETQPI >OMO52416 pep supercontig:CCACVL1_1.0:contig15538:34074:34148:1 gene:CCACVL1_29229 transcript:OMO52416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGQQMLPACCYLALVALQMDAI >OMO52415 pep supercontig:CCACVL1_1.0:contig15538:31240:31413:1 gene:CCACVL1_29227 transcript:OMO52415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIRQKQRHNRGQLTGVLTVSHPEAMNWTCPLPSINPLTNQTEKGKNEKQQKIDES >OMO52413 pep supercontig:CCACVL1_1.0:contig15538:4054:4125:-1 gene:CCACVL1_29225 transcript:OMO52413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSLSLHRRKQVKKPIKEARLS >OMO52414 pep supercontig:CCACVL1_1.0:contig15538:18856:29956:-1 gene:CCACVL1_29226 transcript:OMO52414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAPSKWWQEISHIINKKSRTTKSRNLSIIAHTVTITSWKGPIPGIRTAMMMSRDISQRHLCRHVALASNPPCPMKPRRVIHTRDDGGVITICDG >OMO71150 pep supercontig:CCACVL1_1.0:contig11719:17370:21394:1 gene:CCACVL1_18406 transcript:OMO71150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MEQSQTLRELNFNCELPPLCIDYPAMDMSYKLKPGVIRMLPIFQGEHHENPHEHLQSFFLKCATITGMPEEDLKLRTFPVTLDGKAKEWFLRLPPKSIKSWKEMETVFLNKYFPEAKRADVRRKINTCQQLKGEKWYQYWERYNQIYASCPYHNISEALLIQHFYDGLIYEDRTYVDAASGGGLTFKTPEEARKLLNTMVENIHQFGTTNKADTTKNSEIAEIRKELKEVTTQCGNLAKMFAEFMSVCSQPSIQKRGINAIEETQHWMELPEVPAMSAIPTPIFPKTLPPIDVFDDPIQGDMETSTEIKKDVPAVSDDEHQQSEEEWMEEMQAKYDPLPFPQRFAQQLNLLRKLEVNIPLLDEIVKVPSIGKNEVGNAMCEYGSLINIMPYSVYKQLKIEPLLETDLIIQFADRTNHKPEGRSLLIAASMDFNAKEGTITMEFDGKPIVFNISESMEAPAEVHSIYSIDTIGTCSEEVQTLNQEDELEGILTKSLHQQDVEEAEVEMPKEIAKTVSELSFNEEVVTISYINLEPTPKPLPSIIQPPEVKLKPVLKEYKEAIGWTVADIKGISPTLCMHRIRLEDDAVAVRQPQRRLNLNLVEVVKDEVFKLLGAGLIYAILDSKWMLERLACKAYYCFLDGYSGYFQIPIAPEDQEKTTFTCPFGHVVSKGGMQVDKAKVDVVQNLPYPTCVKDIRSFLGHVGFYRRFIKDFSKISAPMCRLLQKDIEFEFGDDCKEAFDKLKTALTTTPIVKPPDWKLPFEIMCDASDVAIGAVLEKEMLVVVFSLEKFRPYILGSKVIIYFDHTALRYLMKKKEAKARLMRWLLLFQEFDIKIRDKKGVENVVADHLSRIESKEEEIAINEVLPFEELFAVSQLPWYADIVNYLVACRLPSDLSRGQKLKIISDAKYYLWDDPYLWRQGSDLVMRRCIPDNDTQSVLKFCHGDECGGHFGHEKTARKILECGFYWPKLFKDSYEYCKTCPQCQRVGSISKRNQMIQSPIFVAEVFDVWGIDFMGPFPPSDKKYYILLAVDYVSKCVEAIATKKMMLGL >OMP00165 pep supercontig:CCACVL1_1.0:contig06714:8327:10227:-1 gene:CCACVL1_03446 transcript:OMP00165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPKKPSTMNSHDRGMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSIKDASALDLQRNAASSSGMMARSMNDNSHMVDAIRMQMEVQRRLHEQLEVQKHLQLRIEAQGKYMQSILEKACQTLAGENNMAAGGGGGSYKGMGGNQGVPDMGTMKDFSPLNFPPFQDLNIYGGDQLDHHLHHNMDTRPSLEAFLPSNNDAICLGNKKRPNPYSGSGKSPLIWSDELRLQDLGTAPSSCLDDPFKTDQQIQIGPPSLDRTTDLDSISDIYEAKPVLSGDGMSEKKFEASSKLERPSPRRAALQTDQRMNPMSVSQGRNSPFG >OMP00168 pep supercontig:CCACVL1_1.0:contig06714:51881:56919:-1 gene:CCACVL1_03449 transcript:OMP00168 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase/archaeosine transglycosylase MQQVQGRLIKSLSFSRLPLSLPPASSSTLQRFSSSHPKGVAKVVLKKGKTQLFKDGSPMVYSGAVDRIIGRPPPKTGDIVLVADGTEKPIGWGLYNSVSMFCVRLMQLEEEAARDPSCVLDMEKLLETRINAAIELRRGLGLPSATTNAYRLVNSEGDRLSGLIVDVFGDLAVVASSAAWVEKYKSQVKACIRSIDEINHIHWRPSVEILKEEGVDASHLKELHPSTCPQRTKVTENGISYAISLEGQKTGFYADQRENRMFLSTISNGRKVLDICCYSGGFSLNAVKRGALSVTGVDTSLPAIELARENIALNNLDPGKISFLKEDANAFMKAALSRNESWDIVILDPPKLAPSKKGAASMAGRKITVVREAGAACDHPIDPSYPEGAYLSNVLLRVL >OMP00167 pep supercontig:CCACVL1_1.0:contig06714:46826:51014:1 gene:CCACVL1_03448 transcript:OMP00167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNTPSSSAGGAGSADSAAPRRNSKRPKYSKFTQQELPACKPILTPRWVISAFMLVSIVFIPIGVVSLFASRDVVEIVDRYETACIPDNFKNDKLAYIQSPGDKSCNRTITVKKLMKQPIYVYYQLDNFYQNHRRYVKSRSDSQLKDPSSENDVSSCKPEDVTQNGSSIVPCGLIAWSLFNDTYDFSLNNQQLPVNKKGISWKSDRDSKFGRDVFPKNFQNGTLRGGKLLDPNVPLSEQEDLIVWMRTAALPTFRKLYGKIERDLQPNEVIQVTLQNNYNTYSFNGKKKLVLSTTSWLGGKNDFLGIAYLTVGGLCFFLALSFTVVYLVKPSSESVGIGLFGFPIGHRGEYLQC >OMP00169 pep supercontig:CCACVL1_1.0:contig06714:58630:62496:1 gene:CCACVL1_03450 transcript:OMP00169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDRIELSVSSYDTAWVAMVPSPNSPQNPCFPGSLQWLLDNQLSDGSWGPPNRDPLLTKDSISSTLACVLALRRWGVGEEQMSNGLQFIRSHFASVSDENQHTPVGFDIIFSGMIEYAKDLNLNLPLRSTDIEALFHKRDLELRSCNRESSKGREAYLAYVSEGIGKHQDWGMVMKYQRKNGSLFNSPSTTAAALAHLQNDGCLCYLQALLEKFGNAVPTIYPFHLYPRLFMVETIESLGIGEHFRKEIRSVLDETYRCWLQGEEEIFLDPATCALAFRILRANGYEVSSEPLTGFAEEHFFSSLGGYLKDSDAVLELFRASEMIIYPDELVLEKQNSWTSHFLKQELSSSSKSADKINKYAVQKVKDALEYPHYASLQRLVYRRNIESYDVDYMRMLKTSYCSSSIDNKNFLRLVVEEFNACQSIYRQELKQLERWVQENRLDKLKFARQKLAYCYFSAAATICSPALSDARISWAKNGVLTTVVDDFFDVGGSEDELLNLIQLVEKHDVETSIHCCSEQVEILFSALHSTITEIGEKAIAWQGRNMTTHVTEIWLDLLRSMLQEAQWSKNKTVPTLDEYMTNGYVSFALGPIILPALYFIGPSLSEDVVRSKEYNLLYKLVSTCGRLLNDINSFKRESMEGKLNAVSLHVIHGTGAVTEDVNKEMKHLIQDRRRELLRLVLQENGSVVPRACKELFWNMSKILHFFYIKDDGFTSNDMITAVNSVLYEPIFLDEH >OMP00166 pep supercontig:CCACVL1_1.0:contig06714:15013:22147:-1 gene:CCACVL1_03447 transcript:OMP00166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate semialdehyde dehydrogenase MTVARLALCKILSSHLPRLHLPSAFSSASRQMSIAAALKNSSIFRSQGLIGGNWIGSHDGKTIQVNNPATGEIIADVPFMGRQETSDAISSAYQAFHSWSKLTAAERSKYLRKWYDLLMSHKEELGQLITLEQGKPLKEALGEVGYGASFIEFFAEEAKRVYGDIIPATLNDRRLFVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSELTPLTALAAAELALQAGIPPGVLNVVMGNAPEIGDALLSSSQVRKITFTGSTAVGKKLMAGAAGTVKRLSLELGGNAPCIVFDDADLDVAVKGTLAAKFRNSGQTCVCANRVLVQDGIYEKFAEAFSKAVQNLQVGDGFTEGVVQGPLINEAAVQKVESFVQDAISKGAKVILGGKRHSLGMTFYEPTVVTDVRSEMLLAREEVFGPVAPLLRFKTEDEAIHIANDTNAGLAAYIFTNNVQRTWRVSEALEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGIDEYVEVIFDAVN >OMP00164 pep supercontig:CCACVL1_1.0:contig06714:684:2696:1 gene:CCACVL1_03444 transcript:OMP00164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIDAFSPHDGVLQECVAKEGDTVEPGTKIAIISKSVEGVAPIEKKPEKEIQEQLAELEKMILKSFEQRLAELEKMILKLFEQRLAEMKKMILDQVKSFDQQLAEMNTKTKIQQLPPSTTAGHHLPPVSAVGALNHRRQPSLTTSDCTQKYP >OMO97413 pep supercontig:CCACVL1_1.0:contig07243:14751:16889:1 gene:CCACVL1_04566 transcript:OMO97413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREAVTTTTTATGGVTVVGSDAPSDYHIAPRSENSIQNPNSTPGSAPPPQPPPQTAGQPVPPPVSVSGLPGKKKRGRPRKYGPDGSVTMALSPKPISTAAPPPVIDFSAGKKPKVKSPTSVSKAKYELENLGEKANCHFDGYPFEFQFVLPLALVVGYVDRVPSHLSEQRTQLQGEWVACSVGANFTPHIITVNAGEDVTMKIISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEFIPFEKFSSV >OMO58702 pep supercontig:CCACVL1_1.0:contig14185:13584:14252:1 gene:CCACVL1_25412 transcript:OMO58702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKCALNGYKKEMYPKMVELWEGDRFKRPSEANKKNREAGLSTVYKGGSARMGSPKMVIQQRFWMPSASQEPVTREENNLLQEELQNLRNAIMEYGISIPRRDQPTSTQGSQNMENVQHPETAVEQVDEHAGQSNTMQNLDSPASQHEMV >OMO49717 pep supercontig:CCACVL1_1.0:contig16427:24581:24931:-1 gene:CCACVL1_30834 transcript:OMO49717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNDWINGYLEAIFDSGAAAIEEQKPATPRRRRYDAVKHVRSRDRTSVRGGATEPQIES >OMO49718 pep supercontig:CCACVL1_1.0:contig16427:45131:45538:1 gene:CCACVL1_30835 transcript:OMO49718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAPQLPAPNVSVQPLVSSSQVFPAMNSSPVFPTVIDFPAASTDVAPSLPVAPHKAQSDTAADCGNSSMVGFAENIVLTSSPAGTAKGQVTIHKHVDNAHPMQTRSKDNIFKPKSVQLTTKHPVSVPLEPTLVS >OMO49716 pep supercontig:CCACVL1_1.0:contig16427:1952:3148:-1 gene:CCACVL1_30833 transcript:OMO49716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPCQVNHVLTEECKNETQSIILSRDAKSNSLIWQEEKNDSYPIKIGYHVMKSNRPLRPRASATSSHIVDKKVWNSLWNIKAPPRVKHFLWRACSKALAIKLALFHKKISPNAICPVCHDSEESIENMLLLCPWVESVWFGFIDYKIDKASITSLDEWFSAMLQHGEFYSENKESFMTEVAFTAWSMWKGRCEAVAADPEQVNLVKYNCDGAFNSEDRKAGIGVIARNDKGELWDIIAKDVHWIMRNWLVLCLLSKEENGKLSRFYRISKALSSLRSSKISLVQREANAVANWVAASAKLGKCPHRWVNQPPFPFSSHSRQRWTTPST >OMP01887 pep supercontig:CCACVL1_1.0:contig06357:4895:5701:-1 gene:CCACVL1_02987 transcript:OMP01887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like protein MNFRKKKSVAAENKEVFLEHKRKKTREEEKSGKKCFMLYARSLYITWGGREYWIWNNFKDTSDENIEVAKLSHVCWLDVRGKFKMSDLSPATLYEVVYEVKLTKGASGWELPIKLRLSLPNGTVQERQVSLVQKPRGEWIELNVGNFRTEENGETSKTGEVCFDLYEHGGHWKNGLVIRGAILRPKT >OMP01886 pep supercontig:CCACVL1_1.0:contig06357:779:1666:1 gene:CCACVL1_02986 transcript:OMP01886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTRQLVENSGFIACWLVKLLLQHGYTVKATVGDPSDKKKTDHLLALDGTKQRLQLFKAELLDEGVFDIISVVHEYV >OMP01889 pep supercontig:CCACVL1_1.0:contig06357:21536:21604:1 gene:CCACVL1_02989 transcript:OMP01889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGILFSVVSAINTAGMFLLMS >OMP01888 pep supercontig:CCACVL1_1.0:contig06357:13909:14226:-1 gene:CCACVL1_02988 transcript:OMP01888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like protein MSDLSSETLYEVVYDVRLSEGASGWELPINLRLSLPNGTVKERQISLFQKPRGEWIELNVGKFRTGKKGESSETQEVCFDLYEHGSHWKHGLVVRSAILRPKTLK >OMO99497 pep supercontig:CCACVL1_1.0:contig06883:89272:93058:1 gene:CCACVL1_03785 transcript:OMO99497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MVDDFMVCVDRIIASACFEASSVNNNELGEPSSTTTGNVVSVKKTSDEEKTKEEEGKEGCCSKKLKEMVECRICQEEDDLLSLEAPCACNGTLKALEVGKFKFAHRKCIQRWCNKKGGITCEICKQVFSPNYSIPPTRGSPDVLAIDIRQAWSPNMDLCDSHLLMFAASQNQLLQSDYEDYVDANNGSLACLRTVALILLIILLIRQGLMLTRDYAMVQESSAFINVLLLQFAGFLLPCYVMARTWYIQSRRRRQFYVFPSGNPQKANEYASVKRNVDFYTLESRPGKSGLATRFDFCFV >OMO99493 pep supercontig:CCACVL1_1.0:contig06883:69503:72511:1 gene:CCACVL1_03781 transcript:OMO99493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEMLVVQAAGQAAGSLAAPAVEGGKGIFNCLKRKYGYVKNIKENVGKLKKQEKYLSDEYADIRTKLERNKLTKERTKRCETWQNEVEKMKQEIETLEVEYGNTSRYMCGLCPFPRLLKLGKRIVRKTAEVVELKNQIAQITIMEDKPPAPLIPVVEKHAQKINDVPSLNSRVETLVEWLKDENLKRICIWGPPGVGKTTIMENLHDRVGASREYDIVFWVNVTAEGGIRDIQDVIWKRLDMKMECNYGDDQRANIISQVLKDKRYVLFLDLNDIFSEINLREVGIHDKHHEHGKVVFACRYRNYNACGDTDEEMNVQRLSEEDAGNFFWKVVGSHLKSNPDIKPVAKLIINECGGMPYMIKLIGNRLAREDDPAIWRDMLSQLRSPTMEPQEQLEEVYKAFKLVYDKLPEDMKPCLLYWAVFPAGYEIFRDHIIDCWRAEQFFSSLRMLPRIRDRGHTILNEFVRKSLLEKGRKWGHFKMYEYFQRVALRIANREENFNFFVTEDESIIEEEWERARRVSLIRVRLSRLPKRPRCCGILTLLLQESSLTEFPREFFGYMCGLQVLNLHETRITALPSSISSLINLKGLFINNCQLVQIPSQIGDLQSLEILDIRDTGIYSLPIEIGQLVNLKCLRVSFAEDVGNHNHVEEMKPLIPCNVIAKLPRLEELSIGVSHNSSRYQNAAEIAHEISELEELTTLCFFFPEMESFKAFIQNSKSWRGTDILSAGNRFRSFRIVVGCQRNSPASELSVFECSAEKHLTFSAGNEFPDAISQVLKQAKAFELIGHQTATSLSIFNADNLQGLEACMIEECDQMESIIDRDTTIVVSGNNDSTGVEFERLKSLQINNLPNLTRIWRGSIESKSLCSLTTLTLKGCHSIGMLFSQDMIIRLSQLQRLQVEDCCKMKEIVEDGNIVESHAFPKLKNLQLRDLPELCSISHDSLQWPSLETILIKTCMKMRNLPHVMKNTSKLRIIQCPEDWWNQQDWPNDAMRDDFRRFVNFI >OMO99492 pep supercontig:CCACVL1_1.0:contig06883:61257:64223:1 gene:CCACVL1_03780 transcript:OMO99492 gene_biotype:protein_coding transcript_biotype:protein_coding description:disease resistance protein MSNWLKRKYDYVKHINRNFTSLKNQTDILFGKADAVIKTSREDNNSTKERTQDCKRWLRRVETIRYDFSKLRNEYENRPSTCFCGLCPYRALHKLGKRIVKATIEAAALTDEFSTITPTREKPEPNPRRWDNDKTYNKSFGNWKHLGMTTDGDSDPVERASKISEMLENKKYVLFLDYGVSSPSTINLEEVGIHPNHEQGKVVFACRDKYIGHTDEDMKVQKLSKEDATMLFWEFAGSYRERRDLENLEILDVSGIYSLPSEVRQLTKLKCLRVSFSDGGMIQNHVRSGVSKILCFLNFNSSSSSELMIPGNTISKLTNLEELSLNVSHNIARWNKNADAIAKEVNKLKKLSHLQFYFTNLESFNTFIQESQSWNAKDSSGDFIGFRTFRLFVGEQRNSSTSDFNVFECSDEKHLNFSTGDVFPHTISNVLRQAKSFELMGHTTAGNLTDNLPADTLEELEACLVEECNKMQSIVKFDTNATGGEIVFKRLQKLHAKRLPDLEGIWDGTIPSTYESFPALRTLTLMKCNGIRILFSLQMVEQLPQLENLQIEDCSNIEKIIEAEITVVCRAFRRLKNFKLCRLDSLTSICEALLEWPALETIQIKTCPVLTSLPSTVERAPNLREIQCPGDWWDQQNWPNNATEEHFRTLRRLLI >OMO99489 pep supercontig:CCACVL1_1.0:contig06883:28701:39464:-1 gene:CCACVL1_03777 transcript:OMO99489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLTFCTKIGPIFDHEFSLKRSSSSLSFWILRTLKKKLSFSLSEEHTP >OMO99491 pep supercontig:CCACVL1_1.0:contig06883:49355:49974:1 gene:CCACVL1_03779 transcript:OMO99491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSRAWIVAASTGAVEALKDKGICRWNHILRSASHHAKNHMRSVSQAKKLFSQSSAAISNGIMNWEEKSKQSKESLRKVIFGLSKKDFEVSESTGCMGMGATATKMMQGLSRGRVILLYIEKMRCMPISFSCTKMMQGLSRGRVILLYIEKMRCMPISFYGGVIESSRVVSY >OMO99496 pep supercontig:CCACVL1_1.0:contig06883:82899:84167:-1 gene:CCACVL1_03784 transcript:OMO99496 gene_biotype:protein_coding transcript_biotype:protein_coding description:nsp-interacting kinase 2 MVTSKLPQASSSSSSGKQLKKPFSKLFCLWRKTNINLPRERLGLYRRFTFKEVQAATGNFRFKIASGGYSDVFRGCIGNGDDEYPVAFRRGRGHPFDFEETQDSVSTGPPSDRSEPLVEMEIADLGSPNLEFTDSDYEILGRGR >OMO99488 pep supercontig:CCACVL1_1.0:contig06883:7108:11482:-1 gene:CCACVL1_03776 transcript:OMO99488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MSQIELQISSSIFERMVNLANITFYISGNRKEPRNSMLLPANQDLTYLPDGLRYLRWDFCPLKSLPSNFCPSNLVELSLGFSNIEQLWNEDQDVAVVNLRKIDLRWCKNLRKIPNLLGATKLETLWCGGCESLVELPRMTHFISPKMLNLSDCPITKFPEIPTNLEGLSLAGTQILEVPSLIGSLKKLQSLDLRRTKIQNIPSNLVELSNLMELNLCSSNIEQLWNEDQDVAVVNLRKIDLPWCKNLRKIPVLSGAVKLENLWCGGCISLVELPHMTHLMSLKELDLSSCPITEFPEIPTNLEELSLAGTQIEKVPSSISRLHSLASLDVSNCKSLKSLSELPPFLKVLNAEDCTSLERVTFTNHEYVPEFNHEALINFCNCFNLNPDARDNIAAVSMIRIRSIAKRSAEKLLIKELDEDEWLFKRVLFHFHGPEVSNKFKNQNSRISLKLGSSTATTRKDVGSRNFKRSWHCYWSPLIRPNKANPLMPVSEHMFVLGGNAMVHRDMNYTEAIFHFRVGRRFGEEIGEEIQVENCGVHAFYVDGGSFTIMDVGSSIDFSSDECKRCSPDIQSSSPVGLQRQDFGGSMVEEDATSTSVDEPWLETETLPSLTLENLAEIISQPEEVPHQTGVAGVMTFERNVSKILECMAINAVSRIVVYGVCGVGKSRVLRALVDDPKIKREFDLIIWVTVSRNWSPTKIKHQIIHQLPSSSPNPEPSRVLKGNKFLLLLDDVWEWIDPQEIGVPDPSQYNGSIMILATRELQGIVERCCGLPLLIIITGRALSDETNVHVWEHAFNEFSVPGRNIKSRIDDLIELFKFSFHQLKSPSLKSCFLYCALVSQDQEINKSELIEHLITGGWSNAYSSPGHDMVDALLRASLLETNDDGSSIRVRDVLRDLALRILSPDTESRQFLLKNYPPQPLKLEEVLCRVTAGLTEPPTEEEWKQSKMMLLMDNNLSTLPHKPCCPKLLTLFLQRNYQLRVMPDLFFDDMPLLKILNLSKTRIKYLPGSISKLERLETLILRDCERLVKLHSQVGSLKLLQVLDVGGTEIIEFPKEIGNLTSLRHLEVSFYGSNTSSDQVKLPRELISSLQSLETLRISVYPGDNWWKKNVGSFVEEVSSLKKLTSLSIYLPQVESLQLFLQTSAAWKNETLREFKFVVGHDIKFNTSRIPQYLELNYGLMSGQCLRFVNSEKKIPDAIVTVLSRCSAFYLDHHLDISKLSEFGIGNLTKLKYCIISECPKLEAIVDCKDIGTETVS >OMO99490 pep supercontig:CCACVL1_1.0:contig06883:48622:48732:-1 gene:CCACVL1_03778 transcript:OMO99490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHPRLAILRLQAHPDPCLTIDDWFVVLFLVLTVAD >OMO99495 pep supercontig:CCACVL1_1.0:contig06883:79021:81932:1 gene:CCACVL1_03783 transcript:OMO99495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MADSVASPLLQSLIDRLDSCCLSFGAGDEMLTRLRSVLAAVKNVAAMAEQRFEVAEQIKRWLTEVKGAAYELEFLLDDYEQQIRQVRNRKFMSSCFCFFAKNKNQYPIERALRKLTSLASEGDWPLETNWRSDLYARMYSGTRFVGLEPEIVGRDRERDEIIDVLFSGSKHVFQFLPILGVGGVGKTAVARLVYNDERVTRNFRFKYWVSLGNNLDVDLQRIGEVICSRKFSSMNDLEDGVTQELMGKKFLVVLDNLCHEESDLGIILKEWFSGASVGSAVIVTTRSTAAADFVGALQPPIPFYLQPLRDADCLEMFRKVALLPGEEMEGRQNIEMSEISMILVANCRGLPLAVKILGALLPYNGDMDDWLAAAALALLELQKYGCTPDILPVLRLSYDLLPSNMKQCFAYCSIFPRAFSISKEKLIQLWNAEGFLKTSGSSESLDYIAEDCFMKLLQRSFFEDVVHDDSEIISCKMHDLVYDFALTVSSTTCSVMGIGGFKYKSPDPELRYCSLICESERATPLRYMSKTENLQTLLLLSGIGKLRLLKKLPIFVLGKRSDCATLNELSGLDLMEKLEIKNLENVTNEADARDAKLSEKTGVIAVGPQMADFP >OMO99494 pep supercontig:CCACVL1_1.0:contig06883:74358:78194:-1 gene:CCACVL1_03782 transcript:OMO99494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MDSRHDSSLSHVVSWCKKIEHGKGRKRIYPSLIPKTEYLFDLRDSLKEAMIKELMLGMTRTKECREWLMKVREFEIRANELLKFHEKTSDNSRLFTKQPHTDMMNTLDEITHLLESFPLQGVTKRMSYSMIEGKTSRPAERTASAYLRRKTGAKAEAYLEVGTSHAMRKEPGNREEMLPDKSVESGSLTLTASSSVEPDRGQPFLSVESQVINEAESSTALQPLVDMPPPISYNSAAVGEKHPAAAIKPLLPTDLITSSSTDPMSKNELVSSSHNDNLPAHGDEIELISFEEETKPYFPPMTFGTMLDEIEEISSSHETESETGAPDPSQFSELIMNVKQSVEPTIEVGASTSRERNMARVEPVAMTSLRKDEIQLIDEVKTGDPCQTEDRQRFCEREVDARTTVECAVLKILELLNGDTVRKIGVYGTGGVGKTTVLKALINYSKTKDMFDLIIWVSVSKYWSTRKIQHEVLRQLSAQNEVFGELLPSLSKDDSDLGKQLLQYLECKKFLLILDDVWERLDLEAVGIPDPSLENGCALILATRRLEICDDMLMINVIKVETVSREEAWELFRSQVGGTVDRPDIQSLAQVIVERCRGLPLLVIVTGRALSGEEDVFAWEQAFRRFSGPSRDLTDYNYMIQMLKFSFDRLKFPDIQSCFLHCALFAEDQEVDISELVKYYIQEGLVSGSMAGAYKRGHDIVTVLVHAFLLESTNNHSIKMHDVMRDLALQILSQEEGSQFLLTAYSKALNLGYRSALGPQESPESNRLFIPDGHQLILRAGSCLIEPPAAEEWGNSKMIFLMDNSLSTLPKRPSCPELLMLLLQRNSRLRVIPMSFFDCMPRLKVLNLSQTRIKCLPETISKLISLETLILRHCERLAMLPSDIGSLELLQVLDLRGTEINVLPDEIGELAALRYLDVCFYGSINRSEYVKLPHGFISSGIISRLHALKSLEISVCPGDERWVKCVKSITWEVSKLTELTSLSFYFLEVELLELFLQKSVAWIDQSLTDFKFVVGHDIKRIVSRVPHYLEHDYSQMGQCLRFVNGVKTPDAVVEVLSRSSAFYLDHHLDISSISRFGIDNISKLKYCIVSECPTIKAILDSEEFSKVVFPCLEHLSLHYLWNLEYILEGIVPEGSFSMLRIFYVHACPKLKYVFKSSMLQFIFNLEELIIDDCSAIEKIIVNDRTAESSQISHSNFKRLTLHYLPALDDIKEGAWPLFEYINVYNCPKLKTINLDLKAKNTLKEIKGEKDWWDALDWKEPALRMHFQDLFAPVNEDVI >OMO61041 pep supercontig:CCACVL1_1.0:contig13611:1357:1419:1 gene:CCACVL1_23766 transcript:OMO61041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVQGGLTHVDYAKGMKTTV >OMO57347 pep supercontig:CCACVL1_1.0:contig14398:12329:13295:1 gene:CCACVL1_25816 transcript:OMO57347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYKNIKLLPTVGCEADAATQYSIDERNIGGVDNKVSAFAYQSSGCYAAIWPVAESSTHEVWELEHCLINPRDKESRVRIIQVVRTNIGIEFVLQNIRVFCEQWYGPFRNGDQLGGCAIRDSVFASTAATKASDVIGVWQGQNVVANFDGSGNALRHNFDEQNVLPEPDITVYCELVLKSPEPFSRAEK >OMO57348 pep supercontig:CCACVL1_1.0:contig14398:14658:15037:1 gene:CCACVL1_25817 transcript:OMO57348 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor GTE9-like protein MLQVSASTERAARAAMLTSRFSDIICKAGKLLDHDIKKPRPKQATARMLFQREEQLRRRREDSRRKLERMKPTATFDNSEILKEFSALCGCPSQSLPYRFEGSSSLEKFGLRLRTFTYDDIEEAVR >OMO75958 pep supercontig:CCACVL1_1.0:contig10944:20073:24251:1 gene:CCACVL1_15965 transcript:OMO75958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRKVVVITITLRSLGFTSRRLELERTTHREWRLVSSVE >OMO75962 pep supercontig:CCACVL1_1.0:contig10944:34578:38021:1 gene:CCACVL1_15969 transcript:OMO75962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthetase MKIPKLFGQFSALTRRKPLKFYHLESKQWFSTCTEEPELKDFIQYIDALKNYEKSGVPKDAGTDSDDGFDLGRMRRLMHRLGRLGQPVSAQTLNCLFHKIKQTLDEAVLLENGCLSHFEVLTAVAFALFAQENVDIAVIEAGLGGARDATNIISSSELAASVITTIGKEHLAALGGSLESIAMAKAGIIKHSCPLILGGPFLPHIDCILRDKASSMSSPVVSASDAGIRTAIKGVSMFKGRPTQSCDLMIQLDRDFQLSIELCGLNLPMLGTHQLQNAVTAACTALCLRNQGWKISDGSIRAGLENTCLQGRSQFLTFKEAEILGLPGATVLLDGAHTKESAKALLETIQMAFPDSRLALVVAMASDKDHLAFAKEFLSGRQIEAVFLTEANIAGGTSRTTSASVLRDCWMQASQDLGIKVLNDRMTEYRELLEDNFISSGKNSEHEMIVAAEKSLSDSLKFGNQILRERTGNRAGIVVITGSLHIVSSVLASLHLLFKARIRDLFL >OMO75959 pep supercontig:CCACVL1_1.0:contig10944:24765:29236:1 gene:CCACVL1_15966 transcript:OMO75959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETEPRTQIPWMSSEQSARVEINGRDLLIREPMVTDRDGCELGAPQEQHNNNTTDSNLGFGERAASAAGAAFLSAIIVNPLDVAKTRLQAQAAGVHYSHPLSNLIGRMAYFGPNTMFADLRCSPSCTRAGVHGTVSICPPDCFQYKGTLDVFNKIIRQEGFSRLWRGTNAGLALAVPTVGIYLPCYDIFRNWMEGLAAEHMPSASPYVPLLAGSLARSLACATCYPIELARTRMQAFKETQIGKKPPGVLRTLLGVVSNVKGTNPQSSLQGYRVLWTGMGAQLARDVPFSGICWSTLEPIRRRLLGLVGEESNVVAVLGANFSAGFVAGSLAAAATCPLDVAKTRRQIEKDPVRALRMTTHQTLMEVWRDGGMRGLFTGVGPRVGRAGPSVGIVVSFYEVVKSSGNNKQVHRCRFSLILGLQMQGSTEGSGRMQPEFAELESGIQRPWKNLTLLTLLLF >OMO75961 pep supercontig:CCACVL1_1.0:contig10944:31155:33751:-1 gene:CCACVL1_15968 transcript:OMO75961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNLEGVEGKDPKTEPVFDREVGSCKCSTEVEEMRKKAEARCVELELRRKSEYEELESKCRTLEAAKLALENEIRVLKSQTQNNQLSELISHSANANAVDYGGRGVAEGVVNPPGEDDEEDKVFQLMAEIETLKAEKTKAETETEDWKKKFKELESLMVQLQKGTGNVQSAGTPLSDTPYRNSTSGEGDYIRVQNNKWVRRHLAFQEQRCLNKQMAPSTPTCAKPDSVCIIDIHDSDDELDLAPSMVKQESGKGNISTNYESEGTACNENETRTIGDQNPEEKVGGYADSVHKRRRASNMVTSDTESDDDNVPISKLRRMQYEQVVPNQFKSCTVGATPIGIDDGRITVTPRKRRLVSLRQSEGRTRVKNCSSKKTSDNEFCKSIPTTEDLDDDDSEEVGSDTEGDSLDGFIVDDSDVADGENGCSESKGDSDCMNDHSGSEDVSSRSSAYSGSESSDDEVNLGMILSKLKRNKDKKSDWKFEGELLAAFGKDPELCMKAVCALYRKQTSDEKVSKSTFIHNQRGFSKPDAPRGCSLGEFLTDGDPQGDLKKSVKELQEYNPKGVELCRHLATRYSKQLFTIYHNKEDPYFQSSKTALQQTNSKMSQLESQ >OMO75964 pep supercontig:CCACVL1_1.0:contig10944:47076:47546:1 gene:CCACVL1_15971 transcript:OMO75964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRLLSRNQRISRENSASHGNSREDPSSLGSCIENTSHRENPSGSRPNPSGSSPNNSNEDNLNVATSSQGGNSNNSDGPASDHHKTVVTIVDQNGERKLVKTTAKLLFAAPHPQGRVVVRGNVKG >OMO75956 pep supercontig:CCACVL1_1.0:contig10944:11909:13510:-1 gene:CCACVL1_15963 transcript:OMO75956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate permease MATNNLAVLQALDTARTQWYHMKAIVIAGMGFFTDAYDLFCITTVSKLLGRLYYYDPATGSPGKLPHSVNNLVTGVALFGTLSGQLVFGWLGDKLGRKKVYGITLILMVVCAIGSGLSFGSTPGSVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGVGIIFAGLVSMVLSDIFRKKYPAKSFQEEPIFSTQPQADYLWRIVLMFGALPALLTYYWRMKMPETGRYTALIEGNAKQAAADMGRVLEIELEAETDKISQFKTANQYSILSEEFYIRHGRHLIGTMTTWFLLDIAFYSQNLTQKDIYPAMGLTKKDYQVNAIEEVFETSRAMFVVALLGTFPGYWFTVLFIEKIGRFIIQLVGFLMMSIFMLILGVKYDYLRDHNSLLFVILYGLTFFFANFGPNSTTFVLPAELFPTRVRSTCHALSAASGKAGAVIGAFVVQTYTLDGDVHKIKIAIMILAFTNLLGFCFTFLVPETKGLSLEEISGEDGGGGLSETPMPSARRSVTMQGSGRLEAI >OMO75955 pep supercontig:CCACVL1_1.0:contig10944:6269:10401:1 gene:CCACVL1_15962 transcript:OMO75955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRISLLVYHFSLFGILAALRSLKTQSVVGLMITASQNKVTANGVKIVDPSGGMHSQYGNLLLINLPMPQLMKLLLGVTPFSSPLCASPFISFGENMERIQPFSTSAAGAAINVKV >OMO75966 pep supercontig:CCACVL1_1.0:contig10944:62374:62484:-1 gene:CCACVL1_15973 transcript:OMO75966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWGGERAETWLENLAESHCHSANPWPDFPRACQI >OMO75960 pep supercontig:CCACVL1_1.0:contig10944:29648:29975:-1 gene:CCACVL1_15967 transcript:OMO75960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan peptide, AGP MADVSRISLGIFAIIAIICTIILPMGQAQAQSTSPAPAPTSDGTAIDQGIAYILMLVALAITYLIH >OMO75965 pep supercontig:CCACVL1_1.0:contig10944:55522:57916:1 gene:CCACVL1_15972 transcript:OMO75965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNNICDNIFEDSENLAGGDDLFALFESLDGLPEFPPFSPLEETPGGGTATSARLVSQKSTSSSAQQEYSETEPEISPKSKRQKVASSEETNPDGQQRMSHITVERNRRKQMNEHLSVLRSLMPCFYVKRGDQASIIGGVVDYINELQQVLQSLEAKKQRKVYSEVLSPRVVSSPRPSPLSPRKPPLSPRLNLPISPRTPQPGSPYKPSPRLAQQAAPGYLSPTITASTSQLEPSPTSSTSSVDNELVANSKSPIADVEVKFSGPNLVLKTVSPRIPGQAVKIIAALEELSLEILNVNINTVDETMLNSFTIKIGIECQLSAEELAHQIQQTFC >OMO75957 pep supercontig:CCACVL1_1.0:contig10944:14734:18172:-1 gene:CCACVL1_15964 transcript:OMO75957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVNNMNNKEQPCPPTVGIILSAGYLSASPATRPFLSNAASVLTFKVPAAVGDHRRRCTTQKKQSVYRSYDHGDTYFDLAKCSKE >OMO75963 pep supercontig:CCACVL1_1.0:contig10944:41754:45715:1 gene:CCACVL1_15970 transcript:OMO75963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MASESTNTSTTIIQINTTSQLPLKLTYTNFPSWKVQLDTLLFGLDLDGYLNGRTPYPKQFTANTTTISPTYLQWEAMEKLVTLLAGKTCSRIMSLKKKLVQGNKSIAEFLQDMRSTADTLAMAQSPVFNENLILFTLNGLNLEFRELSTAIRARDTEISFEELHDKLTDFELSIKQEESTIPLLTANYTTLARVPDQPRPYFPRGTNTRPNNSYYQRRHFPPSTHSGNTRPTCQLCGKFGHPAKTCRQYRISALQDLVANFVQSSESTTNENWVTDTGASHHMAKNLNALSLYTKYGGPDELHVGDGSGTSSSSIVLADQLVTAPVLPSSGTLSDEVYMAQPPGFVDKDHPTHVCKLHKAIYSLKQAPRAWFQELSKFLIEFGFTNSVSDASLFILSQNQTLICFLVYVDDLIVTGVEVISTSMDLFLSQQKYVRDLLDRFEMQNSKSTATPMASSAQLKLTDDSGTTNATLYRQLAGSLHYLSLTQPDIAFSVNKLSQFMHCPSQNHWSALKRILRYLKGTINHGLLLKRDTGFNITAFTDADWGDNLDDCTSTTGHIIYIGSNPVSWKSTKQKSVARSSTEAEYRALANTVAEILWLRNLLNELGISVPQPQLFCDNVGATYLSANPVCHSKMKHLALDYHFVRQHVSSG >OMO98708 pep supercontig:CCACVL1_1.0:contig07053:40933:46286:-1 gene:CCACVL1_04098 transcript:OMO98708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVSDFCFVSVVAVGNIPYDATEEQLIEICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKGSDRNREQGRGGPGLTTNVDPQKQVGGPAAQGDSAHQQPIGLHLAISAAALMSGALGGVQAGLQPNQNALPSQPAPASDPLTFHLAKMSRNQLNEIMSELKKMATQNKEQARELLLAKPQLLKAIFQAQIMLGIVTPQVLQMPNIRQPQSQPAQISLLGGQHNQQPTAQMLPKKVQSGLIPKLQEGQMSSVLHNSLAHNQFSATLQSTMQPRMQLPQHSGNRVLPPASANSVVPTLPPMNPSVRPQIQVASSLSMNQQVQVSLPHSGQLATANLSQSARTALPHAVLQSAPLTHPPISDSGLQPGPSRTPGFPENSNMVRHPSEAVSRPLKMMKVDGARSTSSLPGGLNMSNVSGSRTSLPRAEEVQYAEKQSSQPQAQPQLAPDVETVLLQQVLNLTPEQLSSLPPEQRQQVIELQKRLRQDQMQPS >OMO98707 pep supercontig:CCACVL1_1.0:contig07053:27969:39586:1 gene:CCACVL1_04097 transcript:OMO98707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLVPSSPPIHSFPATPQLIFRWKPPPPRTITRATSRLDLDAFTQKSGYLFELSALEAESLTDYSPSRVAAIYRRKPLVLLRRLIQIGTTFGKWFGDRYVDNLKEQSDQMFKVRAAELRRILVELGPAYIKIAQAISSRPDLIPPEYLDELSLLQDRITPFSTEVAFSTIEEELGLQIDELFSEISPEPVAAASLGQVYQARLRRSGQVVAVKVQRPGVQAAIALDILILRFLAGVVKRAGKFNTDLQAVVDEWASSLFKEMDYKKEANNGVKFRRLYGGIKDVYVPNMYLEHTTRRVLVMDWVEGQKLSEVKDLYLVEVGVYCSFNQLLENGFYHADPHPGNLFRTYDGKLAYIDFGMMGEFKQELRDGFIEACLHLVNRDFDALSKDFVTLGLLPPTADKEAVTKALTGVFKDAVAKGARNISFGDLSGNLGTTMYKFKFRIPSYFSLVIRSLAVLEGIAINSNPNYKVLGSTYPWIAKKVLTDSSPQLKSSLQALLYKDGVFRIDRLESLLAESLRARTEKALIKTQRDEANSREGYKEILSFTLTEKGAFVREILLEEFSKGLDALGLATLDSVTSVATTRIPFAGLFSFSLMTKEDMVNLTTLRRLMILLSGGESNERPHKEVNQDSISKDNQGARIEEASLSLLSDQPASVSEIMTVLSIIPELPPEMQQQLLRLPADLAGRLISRASARTIRRIFL >OMO79586 pep supercontig:CCACVL1_1.0:contig10396:4919:7114:1 gene:CCACVL1_13553 transcript:OMO79586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MATSHINGQQALKLDAAASNVSAAGYDYKDALSKAILFFEGQRSGKLPSDQRVKWRGDSALSDGKPDNVNLVGGYYDAGDNVKFGWPMAFTVTLLSWAAHEFGKEMSAANQVGHLQAAIRWGSDFILAAHTSPTTLYTQVGDGNGDHQCWERPEDMDTPRTLYKITADSPGTEAAAEAAAALAAASLVFKGVDSDYSTKLLSKSKSLFEFADKYRGSYTASCPFYCSYSGYQDELLWAAAWLYKASGDNNYLSYVLSNQGWSQAVSEFSWDNKFAGAQALLAKEFFGGKKDLSKYKTDVESFICSLMPGSSSLQIRTTPGGLLYTRDSANLQYVTSACQVLSVYAKTLKESHVDGIQCGSAHFSASQIMTFVKSQVDYILGNNPMKMAYMVGFGTKSPTQLHHRGSSIPSIHDQPSKIGCNDGYSSYYNSNNPNPNQHVGAIVGGPDSNDHFNDQRSDYSHSEPTTYMNAAFVGSVAAFVDQSKQEPLQLHAAD >OMO79593 pep supercontig:CCACVL1_1.0:contig10396:38281:38589:-1 gene:CCACVL1_13560 transcript:OMO79593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMKDKKLTVVGGVDPVDVVSKLRKLCHVEILTVGPAKEEKKDDKKDDGKKDGGGKKDDDKKKSESEQIADLVKAYKAYNPHMTTYYRVVSAEENPNSCVIC >OMO79588 pep supercontig:CCACVL1_1.0:contig10396:13389:15555:1 gene:CCACVL1_13555 transcript:OMO79588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spo11/DNA topoisomerase VI, subunit A MDDLSNSSMKFFSDQKLCYADILPPYEARARIQVAVLNFLKLLNSPDPSISDLPLINRKSSNSRVSEGILTNVSWIFLSHSFCTRSLMKANAAKAFIRVWKVMAMCFEILVQEKRVTQRELFYKLLCDSPDYFSSQLQKRIDEISHKSGFSVYYQEPNKEAVDCSACGSSGYAISGDLELLESLVMKTDARYIIVVEKHAIFQRLAEDRVFNQIPSILLTAKGYPDIATRFLLHRMSRAFPDLPILALVDWNPAGLAILCTFKFGSIGMGLEAYRYACNVKWLGVRGNDLQLIPEQSLAPLKPRDWQIAKSLKASEILQENYREELELMTQSGHRAEIEALYVHGFDYLGKYIAKKIVQANYI >OMO79594 pep supercontig:CCACVL1_1.0:contig10396:41620:45722:-1 gene:CCACVL1_13561 transcript:OMO79594 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MASLSNSFQLKHGLALWCPHSSSLTRPHPSSSIRFTTFHRNFVVSASSSFSNDNREFVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEAHAPYERPALTKGYLFPLDKKPARLPGFHTCVGSGGERQTPEWYKEKGIEMIYEDPVTNIDTEKQTLTTNSGKLLKYGSLIIATGCTASRFPEKIGGNLPGVHYIRDVADADSLISSLEKARKVVIVGGGYIGMEVAAAAVGWKLDTTIIFPEDHLLQRLFTPSLAQRYEELYKENGVKFLKGASIKNLEAGSDGRVAAVKLGDGSTIEADTIIIGIGAKPAVSPFEVVGLNNTVGGIQVDGLFRTSLPGIFAVGDVAAFPLKIYDRMARVEHVDHARRSAQHCVKALLSAQTDTYDYLPYFYSRVFEYEGSPRKVWWQFFGDNVGETVEIGNFDPKIATFWIDSGKLKGVLLESGSAEEFKLLPELARSQPSIDKAKLQKASSVEEALKIARDSLLVSQKA >OMO79590 pep supercontig:CCACVL1_1.0:contig10396:20922:27761:1 gene:CCACVL1_13557 transcript:OMO79590 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 2,4-cyclodiphosphate synthase MGSMLGDLPSFDPHNFSQLRPSDPSNPSKMAPATYRPTHSRTIPPPDQVAVDGPATSTKPSKSLPFRVGHGFDLHRLEPGYPLIIGGINIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGAASSVFVKEAVRLMHEAGYEIGNLDATLILQRPKLSPHKEAIKANLSELLGADQSVLNLKAKTHEKVDSLGENRSIAAHTVVLLMRK >OMO79589 pep supercontig:CCACVL1_1.0:contig10396:16031:18535:-1 gene:CCACVL1_13556 transcript:OMO79589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPEAPLCYVGVARQSAAFRLMKQMGWEEGEGLGKDKQGIKGYVRVKNKQDTTGVGVDKPNPWAFDTAQFDSILKRLKVQAAQPNDEADKNENQEETKSDVSNDTEEQVVKATRPQGRYKRRERGKLVHSYSSKDLEGILVKKVEESSPENPYVGGEMELIETIETADLPTEGNKPESISPEWWGHKYGFVSGGFLGESTRRKAIRAGESKNSTERKMFYEDDQENLYNLVQDKATTGKQGLGIKDRPKKIAGVHFQGKKTSFSDSEDEDSDDVGPPAKRMRETELEMNQADEPKLKLKKLCKKLLRQAPGESLKLKQLKVLIDQQSSSVFSKFSSKKDALSYLKLKLEGSSKFCLEGKRVSLASRTS >OMO79595 pep supercontig:CCACVL1_1.0:contig10396:47886:49294:-1 gene:CCACVL1_13562 transcript:OMO79595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein BYPASS-related protein MPATEYQGSFLGRISIRRNQVIAMDGNHEQELEDLEHFQKHVSDRFSELLSPPPEDVHADALLSISWLRKLLDVFLCCDAEFKAILLMGRDPSQISKPPLDRLIPELLERAVKALDLCNAVTNGVESIRHCQRLAEIVVSALENKPIGDGQAKRAKKALTSLLSAMNLDDKEGTNTKTAERSWSFGRRGASKERAPVRSLSYQVAKNWSAAKQIQAMANNLVAPRGNEASGLASPVYIMSAVLVFVMWALVAAIPCQERNGLATHFPVPKQLSWAHSMIGLQDKIGDEWKKKEKKGMAGLLEEMQKLEKLGQSLIEFTDSYQYPGETEKVEEAAAQVAELAETCRRMEEGLVPLQMQIREVFHRIVRSRAEFLDVLDQESSATEGVVKQTKAFLM >OMO79592 pep supercontig:CCACVL1_1.0:contig10396:37854:37925:-1 gene:CCACVL1_13559 transcript:OMO79592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAIYSSKAKALSGEVKAIIGR >OMO79587 pep supercontig:CCACVL1_1.0:contig10396:8887:10002:-1 gene:CCACVL1_13554 transcript:OMO79587 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MENPPFLFDPWSTFDDFETKPKVVLDELQELYKPFYPDQLNPFSTQTIITNSLTVPQDHSDESADNKKRSQQSVSVSADQSAASHSGTNKDSTPTVPNKPKRSSRKNQQNRVVHHVKAEGVSSDIWAWRKYGQKPIKGSPYPRSYYRCSSSKGCLARKQVERSCSDPGTFIITYTAEHSHAHPTRRNSLAGSTRNKSSSTPKSTTGSVKNNEPCCEAADQTVVSPTTTIEEHEDFQRIKLIEEQMFEDIGEGDRILTPDIMLSDELVQSLENFEGLFLDQFSDLSNNELWSMNESMQP >OMO79591 pep supercontig:CCACVL1_1.0:contig10396:32861:35414:1 gene:CCACVL1_13558 transcript:OMO79591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MVAMSKIATGNGHGENSPYFDGWKAYETNPFHPSDRPNGVIQMGLAENQLCLDFVEKWLMKHPEVSLCSPEGVSKFKETALFQDYHGIPEFRQAVAKFMAKVRGDRVKFDPDRIVMSGGATGAHESLVFCLADPGEAFLVPTPYYPGFDRDLKWRTGIELVPIVCESSNNFMITREAMEAAYERAQEANLRVKGLLITNPSNPLGTILDRETLKAVVKFINEKNIHLVGDEIYAATVFQEPEFVSISEIIEEDDVVCNRDLIHIVYSLSKDMGFPGFRVGIIYSYNDAVVSCARKMSSFGLVSSQTQHLIASMLSDDDFVENFIAESKEQLFKRHKYFTWSLAQVGIGSLKSNAGLFIWMDLRKLLEEKTFEAEMKLWRVIINEVKLNVSPGSSFHCDEPGWFRVCFANMDDNTMEVALLRIRTFMMKNNEAMVPRPKLCRRSSLKLSLSRSRRMDDFMSPGIMSPHSPLPQSPLVRARN >OMO79266 pep supercontig:CCACVL1_1.0:contig10456:3056:6565:1 gene:CCACVL1_13801 transcript:OMO79266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34Ae MLPFGEFFLVPISALFFYLLGFFTKVISRVKRYKLSQSNIRIHIEPEEETEVNTEETKIDSTELKETDTDLVEEKESPKLFFKFQFQTQTFEEFSRKLREDEDYNNVGVESASSRSTNKYEFNSGNHFSCIMEKPEDVSFCVKELYADSNNGFLSEKEFIEQNLKDETVQPVLGEITMNEEKVPQETRVEAEQSEEEAVDEENNSISEEDVSGNFRFLTEKDFIISETELDSITSSPEYMSQFMGPASDGFLSDRDFEEEDFEVDSSSNTIDKDKGKSPKEDSASEEADDFDDNEDIDMVVEELRKLEESEKEDEDSKQEELISGNYNRSGNSLENLQKPNLDNSFASDSEDSNGLETLWEHQDLIEQLKMELKKVRAIGLPTILEESESPKITDDLKPWKIDEKYQHVDRMSELHKFYKSYRERMRKFDILNYQKMYAIGFLQSKDPLQSISSHKSPSSPAITSLLSQNLRLGRRKKSDFDPMTKFMKELHGDLEIVYVGQLCLSWEVLHWQYEKAIEIWESDPYGTRRYNEVAGEFQQFQVLMQRFIENEPFEGPRVQNYVKNRCVLRNLLQVPVIREDNMKDKRKARRKGRDDDAITSDMLVEIMEESIRIFWRFVRADKDANLVIQKARKGTQVEPLEPDDLELLAEVQTSLQKKDRKLKDILRSGNCILRKFRKNQEDNSDQVLYFFSQVDLKLVARVLNMSKVTKDQLLWCHSKLSKINFVNRKINVEPSFLLFPC >OMO79267 pep supercontig:CCACVL1_1.0:contig10456:19210:19815:-1 gene:CCACVL1_13802 transcript:OMO79267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRALSQSWISSFPETIPSDHMLHQGAPCTEFSPDNISCTTSNELQQGSFPALDIPSYKSFNSYRPSPFPVSNGDFHTNLMFSPPLDHISGSGHPKCTVDASSMLLNSSPLNLITDVSNKATESIDFGSSGQQQQYSGSFSISLSQDMQGNAGVGDNSHWGNIRSMGFPFSLPPNVSDSWDSPQCPSEMSTTYSTDKCYT >OMO70908 pep supercontig:CCACVL1_1.0:contig11774:134497:137035:-1 gene:CCACVL1_18596 transcript:OMO70908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEPKRFSWDIYLSEHYQNSKSNEIDDPSEAFLPPLQLLFSEVDAVTGFGIHGCSVAPTLVLTTPKVLPDVPDNSIEDGLEIENDFNVLSIDVVPESQDTLGKITDIDDRRLKTMIFLKAKTVFI >OMO70897 pep supercontig:CCACVL1_1.0:contig11774:14267:18488:1 gene:CCACVL1_18584 transcript:OMO70897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYEQLSIPCQRFNSGSSFSMLPPPPNNSSNLVPSIFSSRGGGNERSMFMPLGNSPESTILGEKFHSYSTGIQLNIMKGNQERKSMKVTDYQSFDTTSPIPAISKSKPLQPLSFSSFKAYSLRKPGHDDELRVPTSAQSGIDQNHSCSKQQSHDRENLTKLDLSSSMQLQTANEKQVKESNSVDPKSRTYVGTQAEEDGRLSRGNQDLIERSNSFQSNRDASSGMLTKIKNSESLKRPHASSNQENKSSSAVLLNSIDGPNARLHQECTQNKLIFRENILVEPGRCRENASKVRNEPCLRQPLAVDNGSPTAIENRNKAQEEKKSMAIEVGGVGRHDNVPCALVVESISPLDISPDDVVGVMGEKQFWKVRRAIVNQQRVFAVQVFELHRLLKVQKLIAGSPQMLLEETIFMGKPSLDISIKKFPSDRALEIEPSSILKVKDNSQKPNISIECADENAVAKLPLPSSNDDTSKGLVTQRTKHGPYSGNALSTPIAANTRTSPWCFSPPGSQWLVPVMSPSEGLVYKPYTGPCPPSGSFMAPVYGSCGPVNLATGGAVEQMSPFTGFPSKGNQLSTGDVNFTIAHQSSCNMPSQMSQANSYCAGKLPASKESERQGSTASSPSERPKVNALPLFPTEPTTQASDHNAQTSGQRTRVIKVVPRNPRLATESAARIFQSIQEERKQYD >OMO70902 pep supercontig:CCACVL1_1.0:contig11774:63472:67977:-1 gene:CCACVL1_18590 transcript:OMO70902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREGTIIALNRQYHKRYNEEDSDGEDLGEEEDSDDEHPDDEDSDDELI >OMO70906 pep supercontig:CCACVL1_1.0:contig11774:128238:129251:-1 gene:CCACVL1_18594 transcript:OMO70906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLESQKRIMRREIRRRFAQIDHGITEVVEKLEQRYNIKDVMEGKKKELKGSLVGLVQDFIAPKKISGIEKLQVMELHEKVEEAIPSKEKVDVERLHLRLGGAVSDTYELVTKVEDMIKLFEDSIGSMKRKLKIDIKFVDIADDFRANERKLMDLLKQQRVEKINVVEGLLREGFKLKRELRNAVRDFCQTGIDLLDELKIMQWKQLVEEAIPKKTELEVLCFNCLYLEGKSSSFDEWTDKIDKFIEEQGQGSKKRKIASDEDDVGKRPIARARRSLQIVSDEDEGGLEGEGEGEDEGVDEDEDEDEGEGELGLDEDEDENEDEDEDWRTRGSKSAR >OMO70909 pep supercontig:CCACVL1_1.0:contig11774:145364:145492:-1 gene:CCACVL1_18597 transcript:OMO70909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILFKCEIFPVISQPNFAITFSQFDFPLCTSWVGDLQEYRA >OMO70904 pep supercontig:CCACVL1_1.0:contig11774:108960:110189:1 gene:CCACVL1_18592 transcript:OMO70904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFASTWAEAAAFSFRNRTTAAASSSTTTGDIVSSS >OMO70901 pep supercontig:CCACVL1_1.0:contig11774:41575:44130:1 gene:CCACVL1_18588 transcript:OMO70901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MVALEEGKDTASAIRTNISRALPLKLLQFCLLFLVLGIGLSVISMYSVRFFVVQRVANVAPSTIQPVVFEEANSLESLIRPPLNLLHTMNDTELFWRASFVPQIREYPFKRVPKIAFMFLTKGPLPLAPLWDRFFKGHKGRYSIYVHALPGYVAGYPPSSVFHRRQIPSQMVEWGKMSMCEAERRLIANALLDISNEWFILLSESCIPLHNFSIIYHYISRSRHSFMGSFDEPGPYGRGRYDQRMEPEVTLSQWRKGSQWFEVNRRLAISIIEDDIYFPKFKEFCQPACYVDEHYFPTMLSIEFPHLLANRTLTWTDWSRGGAHPATFGKTDITEGFFKKVFEGQLCLYNNQPTSVCYLFARKFAPSALDPLLELAPKVLGY >OMO70903 pep supercontig:CCACVL1_1.0:contig11774:95988:107278:-1 gene:CCACVL1_18591 transcript:OMO70903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSEANAFVVKSNSNPASKKLRGSTNTVVRASNYEINEGYLGNYMESVLIMCQVESLLNYGITSGSKRYKLGLLVGPSHKKAREMLRTAEKV >OMO70896 pep supercontig:CCACVL1_1.0:contig11774:5927:10599:-1 gene:CCACVL1_18583 transcript:OMO70896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDTPYQRQTYIPLPFNSGSRHQDHEVVLSLVPIHIVTHASQLPKEFLFPSSNSHLIVGFDCEGVNLCRNGTLCIIQLAFSDAVYIVDAIEGGEKLIKACKRGLQSRFITKVVHDCKRDSEALYFQFGIKLHNVMDTQIAYSLIEEQQGRRRSSDDPISFVGLLADPQYCAWKMILIPHLYIQDPKFWAQRPFSEMMLRAAVDDVRFLPYVHHKIMEKLNDRLLFQVAVRSALHCRSFCVSDKGFADWRPIPTIPESFKVKGNAPEEEILSVINVPSGKMGHVIGKKGASILSIKESCKDEILIGGERGPLDKVIIIGPIKELRKAEAMIRGYWCPNQLGKPGRVQLSRPYLEPDKAMLFFLLFICTL >OMO70898 pep supercontig:CCACVL1_1.0:contig11774:18830:26090:-1 gene:CCACVL1_18585 transcript:OMO70898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSNRKKTKLGYSIFVFVALYHMLSHVQVQGRSISSDKSKTMELAKHKGTIKTIQMKPSSYPKGMKVVNFDPNLLQGYIKCPEGTVPIDRSPINNFTLAKFPPGKYEPQEDRSNHEYATVIVSNGNYFGASAWLNVWHPATFNGEISISQFWIVSGHGNEFNSIEAGWISNPGDTKTRLFSYWTSDNYQSTGCYDLRCPGFVQTSNQRLLGAGISPISTYGGKQFDIFLTVHKDKQSGNWWLRFHDIDIGYWPSSILSRLSDRAAVISWGGEIVNSKAGGRHTTTEMGNGHFPNEGFRFAAYIRNVAFVDESGAAIDADGIEPYAVTRPECYDVKIPTKNKDWGKHFYFGGPGWSISSHKTVELAKYKRAVKTIQYAQVSVINGNYFGASARLNVWNPATFNNEFSLAQFWVLAGPSDELNTLEAGWITQSGYWTDTRLFTYWTSDGYHNTGCYNVNCPGFVQISNKIVVGSLIRPVSTYTGKQHEIFLTIFKIGTLGERISGLVALDFLINVHARSFSSDKNVEYLAKHKGTIKTIQMKPSYYPKGMKLEKIEAEPFQGFIECPEGTVPIVRAQINNSTRRKYLPEAVGYNHEYAQVSVVDGNYFGATARINAWHPSTFNGEISIAQFWILSGLGNDRNSMEAGWIVHPDDTQTRLFAYWTSDNYQKTGCYNLECPGFVATANQWLLGARLDPISTYADKQFEFYLSVHKDKQSGNWWLRFQDKDIGYWPGSIFTGLSDRAELINWGGEILNSKSGGRHTSTQMGSGHFPSEGFGKAAFFRKLASFDESGAEINAENVQTYVTRPECYDLELPNKNKDVWGTHFYFGGPGFSDKCK >OMO70900 pep supercontig:CCACVL1_1.0:contig11774:38490:39838:1 gene:CCACVL1_18587 transcript:OMO70900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S30 MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAYKRMQYNRRFVTAVVGFGKKRGPNSSEK >OMO70907 pep supercontig:CCACVL1_1.0:contig11774:131022:131402:1 gene:CCACVL1_18595 transcript:OMO70907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKFDSVYVVEYDCEEVNSVEYGLLDKSTAPIDSSLELAIVPSMNDQVWDVASDVDNKVTSTPSTAHPSDCMETSKTLKLKFHKKEKKRAKHNWSRKKTRSKAINGTSCAPEILEADNYISDEDI >OMO70899 pep supercontig:CCACVL1_1.0:contig11774:27864:38135:-1 gene:CCACVL1_18586 transcript:OMO70899 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA methyltransferase family protein MATAIPSHALRHLTVTRPWLRRIRCNASLALSRQDPHETLPENDSNDNVEIKKPKSSNSQAFFPKKNQVLELECESLAFKGKGVCKAADSGFVLLCDRALPGERFIGRVTRKKGSYAEVTKLKTISPHWDFVDAPCEYASYCGGCKTQNLSYEAQIRAKEQQVRELVINVGKFSDKNSEFSSLMKPIVPCNVQFHYRNKMEFSFGSQKWLPKELLHEKLDGNENYALGLHAPGFFDKILNVEKCLLQSEPANKVLETVQDHWKDPELGLSPYNVHSHAGFLKHFVLRTGRDMKTGLPELMVNFVTSSYKPELLKPLVEKISAIPEVVSIMNNVNTSVGNTSVGEEEYTLYGKSTITESLRGLTFQISANSFFQTNTGQAEVLYKLIEDCAGLRGDGSEVVLDLFCGTGTIGLTLAKMARHVYGYEVVAQAVADAHRNAKLNGISNATFVQGDLNKIGEDFGKNFPKPDIVITDPNRPGMHMKLIKFLLKLKAPKIVYVSCNPATCARDLDYLCHGVMKPSSYPKGMQVGKFDPKLLQGYIKCPEGTVPIVRAPINNSTEANFSHRKYQIKVMAPFHEYALVSIKNGNYFGASARLNVWHPATFNGELSVAQFWITAGQGTEVNTIEAGWISNAGDTKTRLFIFWTTDNYRTTGCYNLMCPGFVQTSNHRLLGTIMNPVSIYGGQQFDILLIVHKDKQSGNWWLRFQDIDLGYWPSSIFTKLSDRAAEVLWGGEIVNTGGGGRHTTTEMGNGHFPSEGFKFSAFMKNLAYVDESGNFADAGNIMKPSSYPKGMKVEKFDPNLLQGYIKCPQGTVPIVRAPINNSTKANFSHRKYQTQAISGALVHEYAQVSAIGNYYGASARLNVWHPATFNGEFSLAQFWVLGGQGAELNSMEAGWIAIRKQDFSHFGLTITMETPVAMILSPGFVQTSNHRLLGTVIKPISTYGGKQFDIFLIIHKDKQSGNWWLRVQDIDLGYWPSSIFTKFSDSAGVISWGGEITNSAVKGGRHTITEMGSGHFPSEGFKFAAYIGNLAYIDHESGDFVDAKDLVPFVTNAKCYDVKIPKKNKVWGTHIYLGGPGWNDECP >OMO70905 pep supercontig:CCACVL1_1.0:contig11774:113400:117992:-1 gene:CCACVL1_18593 transcript:OMO70905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSCRMEKSHIIVFWGSNGTVNHVITDVTGRGQNVMETAMREGK >OMP10991 pep supercontig:CCACVL1_1.0:contig01734:433:1096:-1 gene:CCACVL1_00740 transcript:OMP10991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFEIPILALILRYYIEPRTGHPFRSLRAVVERYLVEMEARAAASKPHKATFEEAEKKREDE >OMO51210 pep supercontig:CCACVL1_1.0:contig15931:685:2992:-1 gene:CCACVL1_29933 transcript:OMO51210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSPNWKMETPEPKAQSSTSSPMPPSSFARLWRPAAQRNLRNQWSKMASYRQQWLSSSSSARTHATSLVNAYLSQKYMPLMELGALKDMPDIRKKASLKLFKQQELHRSKILSSYKDMVTVVMHMVNASKSMRCFLKGASSSSLVQFSSSCEDINDTGDCGGVAVFGFWSISSFEKLAEELIQMFKLELSLKRLLVLELQSIGCEVSQVNQLSWSDELYPGEFSDLKVCNLYSEETSEPVHPRLKDRKSDESSLQSNRQPDHEILQ >OMO51211 pep supercontig:CCACVL1_1.0:contig15931:7645:12468:1 gene:CCACVL1_29934 transcript:OMO51211 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MSSHSNNSTSANDPRQPSAAKPFVAQPVLPQELPIDYSGFIAVIFGIAGVMFRYKLSSWLAIIFCAQSLANMRNIENDLKQISMAMMNVVMTTFTLVLECGWEFNGNFIPIDIDEKGEFNGQIRIISIPGGSDQLKDKKDRNEFIKGMFRVMPGQLEELIRKMNSSEEEKISCVIADAIVGWAFEIAKKMGVKSAMFWPSTVESISLSLQIPKLIENGILDNDGTPLITKMIQVSPKLPAISTLELPWIFPGQPIREKLLFGFFLAATKAIETSNWLLSNSFYDLHPSACDLIPKLMPIGPLLSSNALGNPTGSLWHVDSTCLSWLDEQPAKSVIYVAFGSIAILSQSQFEELALGLELLGHPFLWVIRSSSDLVGESIIKYPDGLVNPSSNTQMVGLGLTPGENGIISRHEIKQKMERLLCDSEIEASAVKLKDIARRSLAEGGSSSKNLDTFIKQLKC >OMP05666 pep supercontig:CCACVL1_1.0:contig05292:3053:3118:1 gene:CCACVL1_01853 transcript:OMP05666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEESFMTMKEEKFKMQLQH >OMO53342 pep supercontig:CCACVL1_1.0:contig15225:65685:68504:1 gene:CCACVL1_28713 transcript:OMO53342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRAYRRRTESPCLHESSVSLDSPERRERRLEAVSDHEWITGLGLLTWNERQRKEGNEVGTALPCLAPFLRLLPCMDSYQALPLDFPSGRLRALSPK >OMO53336 pep supercontig:CCACVL1_1.0:contig15225:19749:21958:-1 gene:CCACVL1_28705 transcript:OMO53336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MMEQRSVVLKLFTVSCLVLFCSLGSVAAYKNYTVGDSLGWFDTLENPAVDYQKWAATKNFSLGDFLIFNTDNNHSIVQTYNFTTYKLCDYGDALQNDTIEWSAADPSSTAPHPVTVAVPLVKEGMNYFFSSDYDGEQCQNGQHFQINVTHGQGLPKSLQDPSDDAPAPNSPDFGSDDAAPDTIVPSNFNHPIEEESDKNEDSASVSLLFNFFDRRLNGFLLLLGVVVCIF >OMO53339 pep supercontig:CCACVL1_1.0:contig15225:45238:50100:1 gene:CCACVL1_28710 transcript:OMO53339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWLARLYRLEISGQGQAPWESELLSMEKRVAIVGAGLSGLLACGRVARILRSPFVILCIGQFSGVPNIPELPPNEGPQVFNGKFVDGFEWRWGQKIVHYRTTNVGLQAQWLEAWWPTSDDEFAFVVEDDLELSPLYYKFLRALILNYYYNASNFSPFVYGASLQRPRFVPGKHGNKMLLDSTSGLFLYQLVGTWGQLLFPKPWKEFRLWYDEHKAKEMKPFLEGMVTTGWYKKMGERIWTPWFIKFIHSRGYFNIYTKFPDEKSLSVSHRDVGVNYGKTAGPDSQLLDVNSFDSTFMEMKPLSTLKWYDFCFREVIPGRVVRNLNDLGSILPSVQTKELVVLVSLFGVPEAVTRNLLCHFERLDIRNHIFIGPATDFLFDLAQRGHPVIDADQFLSNIRAYKSMGIQESKARFMKDVLSKAYVVKKSLEFSYNTLVVDGNMVFVSNDLILESIDSTDYFYAGESLGFFFVKNSPSAHKTLSDQFLYDFAAMVDKTSLPGQSRNFASEMTKLLEQKGVRVKRIDEKSFVMEIGNQNRNQTLETNRKVVYWSTGLEIDSIREQLQELSLWVLDNDFSCTAVVCHKS >OMO53340 pep supercontig:CCACVL1_1.0:contig15225:52179:54413:-1 gene:CCACVL1_28711 transcript:OMO53340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYATFNSPSFCHLLFHQSLPYSRTPFLWNTFIRALSIARINNGGIQFHIYNTMLRTGVKPDDHTFPFVLKACADFLCFRKGLEIHGSVIKTGFDSDILSRNTLLLFYGSTGCLKEARKVFDEMLERDVVSWNTALGVVSANGFYLEALTLFSQMNLSSGIRPNMVTYVTLLPVCGGMGDEGLVRQIHGSVIKVGFDFEVGVGNALIDAYGKCWNMKGSKRVFDETVEKNNVSWNARITSLAYMGHNKDALDMFRMMVKAGLTPDSVTISSMISVLVELELFNLAEEIHGLSLRIGFKDDVFISNSLIDMYAKSGHPSTAANVFQQMNVRNIVSWNAMVANFAYNRLELAAIELLREMQAHGETPDSITLTNVLPACGRVGFLKNGKEIHCRAIRLGSNFDLFVSNALTDMYTKCGYLSLAENVFNNSIKDEVSYNILIVGYSETYDWSKSVSLFSEMGLVGMKHDVVSFMGVISACANQAAFKQGKEIHGLAVREHAHTHLFVANSLLDLYIKCGRIDTASKIFDQIQRKDVASWNTMILGYGMLGKFNIAINLFEAMREDGIQCDSVSYIVILSACCHGGLVEKGRKYFEEMKDQKIKPTQMHYACMVDLLGRAGLLQEAAELIKGLPIIPDANVWGALLGACRIFGNVELGSWAAENLFKLKPQHSGYYTILSNIFAEAGKWDEANRIRELMKLRGARKSPGCSWVHIQDKVHTFVAGERVDKLDPALWLAGSVCLSRNF >OMO53341 pep supercontig:CCACVL1_1.0:contig15225:55029:59267:-1 gene:CCACVL1_28712 transcript:OMO53341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRKSYQTRSSNAKEKGNYFQWSSEMDGCLTKVLAEQVKKGNKTDNALKPAAYMAAVTVINKKFGLDLTKEHLRNRLRTLKKQFGIVKGILAQKGFQWDEERRMVVADASVWKDYIKAHPDARQFQARYIDNYDELCIIFGNDQAIAGCSENDAETHLQSAASRDVLDTASSSDIQSDDNHIKDLRWTDAMDYYLGKSLAEKVKEGSKLDSTLQREAFDAAISTLNEKIGLELTRDHTRNRLKTWKKQYGILKQLFSHPSFKWDKAQKMISADDSVWTSYIKDNPDAASFQGRVLGNYDNLCTIFGNENEVAEAVDISPVQSGGKVKDQAKNMMWTYEMDSWLSKVLVEQVKLGNKSKIDNKLKPSAYLAAVSALNKRFQLDLTREHIQNRLKTWKKQYGILKGLLHHGEFKWDKTRNMFIANDSAWNRYIKRNPEARSFRGRVIRNYNELFTIFGCDDLPESSLNSTNDDVDLTANTGAEDTEELFYEQGDVAKDKGKYISWTDEMDRCLTEQLVLQVTLGNKLQKSFKPVAFKAALSVLNKKFSLDLSTENIKNRLKTWKKLFRLVKELLSQNGFEWDERQKMVIGNDSEWRQCILVNPDVSRIRGRPIFNFNELHVIVGNEQEDRHCSEAGDKVVNPIQSNEEPVEVPVQVVVDEEMGHDNTDDDLQVSSQQTRARPSSSSQSKEPLKRRRTSDLMLEMMSDMAANIGRIADALTESKAVCLDELFQMVQTIPEFDDDLIVDACEYLSFDEKRARMFMKLDERLRKKWLLKRLRG >OMO53338 pep supercontig:CCACVL1_1.0:contig15225:42714:44342:1 gene:CCACVL1_28709 transcript:OMO53338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L7, eukaryotic MGEEVKALVPESLLKKRKRSEEWEHAKKQELEAAKKKKAENRKLIYSRAKQYAKEYDAQEKELIQLKREAKLNGGFYVDPEAKLLFIIRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATMNMLHRVEPYVTYGYPNLKSVRELIYKRGYGKLNKQRVALTDNEIVEQALGKFGIVCVEDLIHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >OMO53337 pep supercontig:CCACVL1_1.0:contig15225:26798:32217:-1 gene:CCACVL1_28706 transcript:OMO53337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYTGNSELQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEDDVEYEEDEEGTIEHEIFEMLRKVDSELAPLFLGLSMVTIQAYSKELQPELTCQKGCLKGGIALMFYGSLAVLALGTGGVKGALPALGADQFDPNDPKGAAQLATHFNWYMLSTTFGAAMGASFVVLVSMNEEWYWGFFMGTMGANVGFIAVALGKPFYRYPPLGNSPLLRVLQNRSLSFPENSDELYEINDKDVNEYDEKLPHTNQFRLLDKAAILPQETNPEPWKVCTVTQVEEVKVLTRMLPILASTIIMNTCLAQLQTFSVHQGVFMDPMIFGKKFPSASIPVIPLVFMIFLIPLYETLVVPFARKITGHPSGITQLQRVGVGLVLSIISMTVAGIVEVKRRDQGRKDFFHPISLFWLCFQYGIFGVADMFTIVGLMEFFYKEAPSGMKSLATSFAWLSLSFGYFLSTAFVNIINAVTEKITPSKKGWLHDDHN >OMO96149 pep supercontig:CCACVL1_1.0:contig07518:29486:31135:1 gene:CCACVL1_05059 transcript:OMO96149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLWFCWLVFCLVFVSAELERFQHSVKADGSFSFLVIGDWGRKGAYNQSQVALQMGKVGEQLNIDFVVSTGDNFYEKGLASPHDLNFKDSFTNIYTANSLQKQWYSVLGNHDYRGNVQAQLSPILRKIDSRWLCLRSFILNTEIAEFFFIDTTPFVDEYFHNPKHPKFDWRGVIPRKRYLRQVLKNLKSALKESVAKWKIVIGHHPIKNIGHHGETKELIMHLLPILEENNVEMYINGHDHCLQHLSSITSPIQFLTSGGGSKAWKGDVHHIDDGNDEMKFYHDGQGFMSVELTPTDAKIAFYDIFGHVLHTSNLSQQLYSTM >OMO96147 pep supercontig:CCACVL1_1.0:contig07518:4996:13618:-1 gene:CCACVL1_05057 transcript:OMO96147 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MGEIRGISIARTAPRVSHLFFADDSLIFLRATVAECEVLSRVLKRFELASGQLINVDKSTVLFSSNTPDSIRASIMQYLGIHKILTRDKYLGMPIMIGKSKRAELEAKYFARGTFLTAPLGSNPSFVWRSLLAGHEVLKLGSRWRIGDGLNVDIWRDKWLKKPPDYKPRPKPDTICISHLVSSLMTPDRQWDVDLLDEFFELDDVHCILCIPLTSLMVKDALIWNHTGNGHYTVRSGYCVARRLLGKELNQVEERKEIWRIIWRAFLLPKVKYFLWRLIYNILPTKINLQNRGVGIDGGCAVCSEAESSLFHVFFGCYFSRRVWDMSCPWIQGYLDDWASTDNFWECLFIKASQLGSMELASSLLWLIWHNRNVALHEGVCKIPSSVCTTAARIVTEHEASHRRLNLIPQPRGYQLWSPPSMGDFKLNTDATFKKDLNQAGLGAIIRDKNVRVLVSAISRIDKVPDPLFAEIYAIRFDLILAMNCGFLNCEIECDSLLAIQEIKRVGKVLWEGGILIEQIRILAAQFSYVSFNHVAEISQIRAALCVEERTCNNRSFKSFEEVAIHPLLALSYETNKWYQSQPLVELGLTSSRVIKCNRLVGLKDGKHLMDSHNKPKSSPMMRRDRPALRKLPLYSLQLRSQQVNVCQQAVYHAFTREFQVANMPLKGDDGKSSHGMQTKSKAIVDPSPLPLDPNSKILLQYLTDAMNEMSLAHEQKMHQLIGSIDRMNKSHDQLKEQTMQNRENIMAQIVPPPVAPANNNGANQVRVVPQVDAAGNNNVPPPRDGAARGENEAELLPRQKLPRTLLTILRERKVGRPSFHKPYPEEYDRLHPLPRKYKVPDFSSFFGTSIEKTTLEHIARFTLQCGEANLGYHKLRLFPNSLTGAAFTWYINLPPNLVRTWEDMERMFPTQFYRTEPEVSMADLSRFYQKKGELDEDYLARFKKLRNRCCTPLREEEFLRLANNGLDMELRKKFEGVDFRDFFEMSTKVARYETFPCERKTNEEVHHMALTIKSQILNLELLKSKLMGLLSVHA >OMO96148 pep supercontig:CCACVL1_1.0:contig07518:15901:19056:1 gene:CCACVL1_05058 transcript:OMO96148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINIGLPSGEQCKEDEDANGIDNMLDGDEKLHNGVVEAGSFAHVGEDLHAEDCGEMSCSAVDMVTFKEDTNLEPLSGMEFESHGEAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKPILQDRYEEEAKANSDSWSKVPTLKSPSPFEKSVAGVYTHTVFKKFQVEVVGAIACSPKQENQEGTIFRVQDFEKNQDFLVTLNQTKSEVSCICRLYEYKGYLCRHALVVLQIKGHSTIPSQYILKRWTKEAKSRNFMGEESEQVQSRVQRYNDLFQRAIKLIEEASLSQESYYIAFRSLEEAFGNCLSANTSNKSLAEAVTSPTQGMICIEEDNQSRSTSKTVKKKNPTKKRKGNSEQEVMTVAATDGLQQMDKLNPRSVAIDSYFGAQPSVSGMVQLNLMAPRDNYYGNQQTIQGLGQLNTMPTSHDGYYGTQQSIPGLGMDFFRTPGFYIREDPNVRAAQLHDDQSRQG >OMO96150 pep supercontig:CCACVL1_1.0:contig07518:32265:34135:-1 gene:CCACVL1_05060 transcript:OMO96150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAATATATVVGSSMALRRPSSCVTRSALLAANGPHFQRFASKPGLPFPIKHYSSVSYSKPQNRKVALGGKRGMVVRASSSSPDSGEPSAPVAPLQMESPIGQFLSQILASHPHLVPAAVEQQLEQLQTDRDAEEKKEDPPASGTDLVLYRRIAEVKANERKKALEEILYALVVQKFMDANVSLVPAISPSSTDPSGRVDTWSSQEEKLEQLHSPEAYEMIQNHLALILGNRLGDSTSVAQISKLRVGQVYAASVMYGYFLKRVDQRFQLEKTMKILPNASDGDENNIEQAVGDDRRPAGLGNSYEAASSHPEVSSWAGGISSGGFGSGIKPSRLRTYVMSFDGETLQRYATIRSKEAVSIIEKHTEALFGRPEIVITPQGTVDSSKEELIKISFGGLKRLVLEAVTFGSFLWDVESYVDSRYHFVMN >OMP10805 pep supercontig:CCACVL1_1.0:contig01903:895:1137:-1 gene:CCACVL1_00785 transcript:OMP10805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVQKDPPFFHQIFCFFLDTRVQIPMDLLFAYRLHIIRKTLVFSKPLVHISHQTVLFDNVYLVDSLLTQASIQISVLHSFVK >OMO78911 pep supercontig:CCACVL1_1.0:contig10530:14978:17263:-1 gene:CCACVL1_14024 transcript:OMO78911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANHVKFLCVWLSFSISSVFTITLAQSDNYIVHMDLSAMPKAFSGQQSWYLATLSSLSTSLVAKTNATIDSSKLLYSYNHVIHGFSASLTPEELEALKKAPGYISSIRDRTIKADTTHSYKFLGLNYDSGAWPVSDFGKDVIIGVIDTGVWPESESFNDGGMTDVPSKWKGECESGTQFNSSLCNKKLIGARYFNKALIARNPNITISMNSARDTEGHGTHTSTTAAGNYVKDASYFGYAKGTARGMAPGARVAMYKALWDEGGESSDIMAAIDQAITDGCDVLSMSLGLDQVQLYEDPIAIATFAAIEKNIFVSTSAGNEGPDVETLHNGTPWVLTVAAGTMDREFGATMSFGSNSVDGMALYPGNFSSTQLPIVFMDSCNSTAELRKVVDKIVVCQDPGKEDSLNDQFNFVQVAGNVAGIFITNNSNVDVFTQAPFPAVFLEQKDGDILVKYIKSSNDPKASIEFKKTFLGTKPSPTVTSYTSRGPSYSCASVLKPDVMAPGDSVLAAWPSNLGVARVNEDLVYSNFNLLSGTSMACPHVSGIAALVKGAHPDWSPAAIRSALMTTSDVTDNTGKPIKDVGRSLRPADPLAMGAGHVNPNKALDPGLIYDASVQDYLNLLCALNFTEAQIKTITKSSSIDCSNPSLDLNYPSFIAVFRDVTAKANSTTVREFTRTVTNVVEGSFTYKATVTPIKGVKVTVEPDVLVFKGKNEKKSFKLSIEGPRQLDEAVSFGYLTWEDSGGKHVVRSPVVATSYIIE >OMO78913 pep supercontig:CCACVL1_1.0:contig10530:32642:34792:1 gene:CCACVL1_14026 transcript:OMO78913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSQKPSSFLTHESWHRSTIPSLSDAEEKFLYSYSHAMHGLSARLTPSQVSEIKKSPAHIAIHEESIGRLLTTHSPSFLGLRNSSGLWPASSYGEGVIIGLIDSGVWPESESFNDKGMPPIPARWKGKCDNSTKTSPFPCNKKLIGARTFIKAIQANNSAKEDTSPRDSLGHGTHTASTAAGNHVAGASQFGYAKGIARGMAPRAHLAMYKVSNNINIVESDVLAAMDQAIADGVDVLSLSLVFEQAPYFKDVIAIASLSAIEKGIFVVCSAGNFGAYNSTLNGAPWITTVGAGTLDRSFVATVTLGNGVSFEGESKFPESVIIANTSLYYGKNDSKKSFCNSRSLDVNETAGKVVFCDYAGITIIEEQINELTRIGAYAGIIATELMPFGALDNLSIPILVVNTTSGALIREYAIGETKAEVKTMKFVLTNLGTKPAPAVAVFSSRGPDPINPAILKPDVIAPGVDILAAVPPFPYIRIGDNELVTDYAFKSGTSMAAPHVSGVAALMKAVHPDWSPAAIRSALMTTAYSINNNGTTLTNQNYPFPATPFDYGAGHINPNKAIDPGLIYDMDTQDYIDFLCSLGYTDTEMKAVLRQSQWNCTQKEITDLNYPSFVAIFGNEPNFPKVKNFSRVVTNVGDDQSIYQAEVGNSKGLTIKVEPSTLTFTKKYQKLSFVVSVEIDETAPKEGYGYLKWIDQRNHTVSSPIVEMNPNFL >OMO78908 pep supercontig:CCACVL1_1.0:contig10530:2608:5391:-1 gene:CCACVL1_14021 transcript:OMO78908 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-ribonuclease binding protein, SBP1, pollen MAFPQHHFQQQYQPQLHQQQQEQQQSKNFRNLYAMDGQISPPVAYYSTPALQDQSQHPPYVPPFHVVGFAPGPVPVTDGSDGGAELQWNNGVEPKRKKLKEQDFLENNSQISSVDFFQPRTVSTGLGLSLDNTNRMASSGDSALLSLIGDDIDHELQRQDAEIDRFLKVQGDRLRQSVLEKVQANQLQTISAVEERVIQKLREKEAEVENINKKNMELEERMEQLTMEAGAWQQRARYNENMITALKFNLQQVYAQSRDSKEGCGDSEVDDTASCCNGRAIDFHLLCKENTDMKAVMTCKVCRVNEACMLLLPSRLQFARDAIVCDCKKAR >OMO78909 pep supercontig:CCACVL1_1.0:contig10530:8193:8537:1 gene:CCACVL1_14022 transcript:OMO78909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MLLLTTFSSSRAQVLDDPTSLKLMMNEEVEWPWKMSAAYDELGGDEEGGNGIDGRRSLYWKPMHYYISYGALSANRVPCPPRSGRSYYTHNCFRTREPANPYSRGCSRITHCRR >OMO78912 pep supercontig:CCACVL1_1.0:contig10530:21026:30017:-1 gene:CCACVL1_14025 transcript:OMO78912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSLKSSKTASSNNPPSSSSLIYSYDTGAHGFSAVLSPDELEMLKNSPGFVSAYPDRSVTLDTTHTPEFLSLNSYYGLWPASDYGEDVIIGVIDTGVWPESDSYRDDGMTPVPARWKGICQEGQEFNASMCNSKLIGARYFNKGVIAANPGVNISMNSARDVQGHGTHTSSTAGGNYVKDASYFGYGKGTARGIAPRSRLAMYKVLWEEGEGRYSSDVLAGMDQAIADGIDVISISMGFNGVPLYEDPIAIASFAAMEKGVLVSSSAGNSGPGLGTLHNGIPWVLTVAAGTIDRSFAGTVSLGNGQTIIGQTMFLASALVEDFPLIYNKSLSSCNSTQMLSEEPFGIIICDGTDPLYIQVSNITKSRVFAAIFISDEPEFSWMPTPGVVISPRDAPALIKYATSTKDAKASIKFQQTILGTKPAPAVASYTSRGPSRSYPGILKPDVMAPGSSVLAAFSPLGFAGSMAGYIFLSSNFAMLSGTSMACPHASGVAALLKAAHPEWSPAAIRSALVTTANPFDKNKDFIRDYGDHNLSYASPLAMGAGQINPNKALDPGLIYDATPQDYVNLLCSMNFTQKQILTITRSKKYDCSNPSSDLNYPSFIALYNPNVTERITKKFHRTVTNVGKGAAIYNSYVIQPSFEGINIDISPFRLEFGNRNEKLSFTVTITYSSNKAGKVLDGEIGWVENNANHTVRCPFVVAPVIKCASPSGYIIKNIALANSIFSSMAANNHVKFLYVWLSFSISSVITITLAQSDNYIVHMDLSAMPKAFSGQQSWYLATLSSLSTSLVAKTDAAIASSKLLYSYNHVIHGFSASLTPAELEALKKAPGYVSSIRDRTVKVDTTHSYKFLGLNYDSGAWPVSNFGKDVIIGMIDTGVWPESESFNDGGMSDVPSRWKGECESGTQFNSSLCNKKLIGARYFNKAVASNPTLNISTNSARDTDGHGTHTSTTAAGNYVKNASYFGYAMGTARGMAPGARVAIYKALWNEGRANSIGASSDIMAAIDKAITDGCDVLSMSFGFNEVELYEDPIAIATFAAIQKNIFVSTSAGNEGPDVETLHNGTPWVLTVAAGTMDRKLGATMSFGSNSVRGMALYPRNFSSNQLPMVFMDSCNSSVELRKVVDKIVVCQDPGDDEDSLLDVFNNVKFEGNVAGVFITKKSEVDAFTHGPFPIPSVFLGQKDGDILVKYIKSNNDPKASIEFKKTFLGTKPSPTVASYTSRGPSYSCPSVLKPDVMAPGDLVLAAWPSNLDVNPGVDEDLYSNFNLLSGTSMACPHVSGIAALVKVAHPDWSPAAIRSALMTTSYVNDNTGKPIKDVGRELRPADPLAMGAGHVNPNKALDPGLIYDATVQDYLNLLCALNFTEAQIKTITKSSSIDCSNPSLDLNYPSFIGVFRDINAIGKPNLTTVREFTRTVTNVAEGSFTYKATVTPIKGLKIRFASPSGIYNIKHIAVPNSIFPSMAANNHVKFLYVWLSFSISSVFTITLAQSDNYIVHMDLSAMPKAFSGQQSCASLTPAEVEALKKAPGDCSQPQYYSQHLNISTNSARDTDGHGTHTSTTAAGNYVKDASYFGYAMGTARGMAPGARVAMYKALWNEGRANSIGESSDIMAAIDQAITDGCDILSMSLGLEEVELYEDPIAIATFAAIQKNIFVSTSAGNGGPDVGTVHNGTPWVLTVAAGTMDRELGATVSFGSNSVHGMALYPRNFSSTKLPIVFVDSCDIFELRKVLDKIVVCQALGDDEDTLEELFNTVKYAGNVAGVFITNKSEVNVFTQAPFPIPSVFLGQKDGDILVKYIKSNNDPKASIEFNKTFLGIKPSPKVTSYTSRGPSYSCPSVLKPDVMAPGDLVLAAWPSNLDVNPGVDEDLYSNFNLLSGTSMACPHVSGIAALVKVAHPDWSPAAIRSALMTTSYVNDNTGKPIKDVGRELQPADPLAMGAGHVNPNKALDPGLIYDATVQDYSNLLCGLNFTEAQIKTITKSSSIDCSNASLDLNYPSFIAVFRDINAIGKPNLTTVREFTRTVTNVAQGSFTYKATVTPIKGLKVTVEPNVLVFKGKNDKKSFKLSIKGPRQLDEAVSFGYLTWKDGGKHVVRSPIVATSYIIEK >OMO78910 pep supercontig:CCACVL1_1.0:contig10530:10253:11420:-1 gene:CCACVL1_14023 transcript:OMO78910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MHAKTDSEVTSLAPSSPTRSPRRPVYYVQSPSRDSHDGEKTTTSFHSTPVLSPMGSPPHSHSSVGRHSRESSSSRFSGSLKPGSRKISPNDASGRGASRKGQKQWKECDVIEEEGLLEDEERRKGLPRRCYFLAFVLGFFILFSLFSLILWGASRPQKPKIRMQSIKFEQFKIQAGSDSTGVATDMITVNSTVKMLYRNTGTFFGVHVTSTPLDLSYSQITIASGTIKKFYQSRKSQKSVTVMVMGNKVPLYGSGAGLSSSTGTTSLPVSLKLSFVVRSRAYVLGKLVKPKFNKKIECDITFDPKKLNVPISLKKSCTYD >OMO67009 pep supercontig:CCACVL1_1.0:contig12481:13200:17759:1 gene:CCACVL1_20852 transcript:OMO67009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSVRFKFRSSPNYDSVDIGGLPWISVRDLKSRIVKSKKLNLCQDFDLLFSDSISGQEYVNEDFQILCGSSVIIKRVPAGGRVGSLENVATKQANISKASYPVNVETVDFDDFGAELCAIPGANISDIGLDIENKFCVGDEKTNIELKRCAEQPAVECHKPEVSDIIEAVPQGLNASKTKSKVDIEPNTKLPKVVIARSPAMQAADFPSELKCSLCNSFFKEAVMIPCCQHSFCEKCIRHVLLEKARCPKCLSTRCKVEDLLPNVSLRQAIERFLKSQILINDAENALHRDVPDGESGIQVKEVSCAVSVLQRAECAPCSPATGRRSNQGENQPLIEGGESNVKKKRALRVNTAGKSCVDTGRLKKGDRACYMCGSPDHLRRDCPDVFSQHPMLERGNGMFQGAMPGYLPPYWNGPPFPNIRPFANPYGNSGMMPFNASMIPGAPYPIPTYVTSMFGGSPAFGGFTQMGGITAAGKNNIEHQLFRSDLDVQDYDKRRQFISENVDRKHFYDEDDVKRHQYDGAKRPLDKKLYPDREKSATYSQDSYTKKSLLKHWHSSDDDVHSDEERHEKVYRVAGENRRPYYSERSRSEVDDMPSSSSWHTEERHRHGHSRSKKHNDRREQCDTDSSRSHYPTNKEKSVNRKTVKHDAERRHQKHNSLSESSLEPNHSSDWKKKTREKDSGRSTRHSGHKSKSTHDDLCHDRWQMVSRSDEDNQEYHYYKRKKVY >OMO67011 pep supercontig:CCACVL1_1.0:contig12481:21532:27647:1 gene:CCACVL1_20854 transcript:OMO67011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNDSPGSADPGPSGESDSSNTTEPRRFPLAAQPEIMRAAEKDDQYASFVYDACRDAFRHLFGTRVAVAYQNETKLLGQMLYYVLTTGSGQQTLGEEYCDITQVAGPHGLSPTPARRALFIVSRIASRGITLADLQSDEVYSNNASERSQEQSSTGIEVSLPSESRASVSALSRLKQRLSGMWLRAIQRWPAILPIAREFLQLILRANLMLFYFEGLYYHISKRASGIRYVFIGKQSNQRPRYQILGVFLLIQLCILAAEGLRRSNLSSIASSIHQTSLGSHQNPTERGLPVLNEEGNLIQVEADQGSWVGESTSESTGSVSKCTLCLSNREHPTATPCGHVFCWTCIMEWCNEKPECPLCRTPVTHSSLFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENSVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFIMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHPPKEEEERIIEEPPVVPTNIEIPVV >OMO67007 pep supercontig:CCACVL1_1.0:contig12481:2250:2642:-1 gene:CCACVL1_20850 transcript:OMO67007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific protein Stig1 MRLVTLFFAVSATIAILAAKSVAEFDESTSLRGVSHFLAEQSLKANVQCDKFPRICRLKNSPGPDCCKKKCVNVKTDRFNCGMCGHKCKYAEICCKGQCVNASFDKRNCGGCNNKCKKGEFCAYGMCNYA >OMO67008 pep supercontig:CCACVL1_1.0:contig12481:6938:7555:1 gene:CCACVL1_20851 transcript:OMO67008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFSLVLYCRWSLIAGRLPGRTDNEIKNYWNTTLGKKAKAQSSSPTSSETPPSKSIHKTPSAKAEETKIQVIRTKATRCSGNVLVPSQPPPVEDTEINLVEDYQPDLRNHDDQEMASTEVFDEMPGSDFLNFEIDDQLAQLQTSNGESEENNDDISKNLALDPMQPLEFDEEMFKDWTTNSCLDDNAAMNLDSLAFLLDPDQWP >OMO67016 pep supercontig:CCACVL1_1.0:contig12481:59678:59767:1 gene:CCACVL1_20859 transcript:OMO67016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIEVMKAKWHALKLKMKRKEQKLEHYIK >OMO67014 pep supercontig:CCACVL1_1.0:contig12481:37101:51287:-1 gene:CCACVL1_20857 transcript:OMO67014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAGITPETKAASLSHNNQQALERSPVVKVEKANKSRQFRCRFNDCLGMGSVVSTSPKPQPQRQPQQQRLQRPTSKLEQQVTELEKEVQKQKEIRSMYKKRMERTQDYLRYCLQIAQENGFLDHIINSKHPISPNLFNLNASPQAPTPLPSPPFLFNQSDLGMLINQARTNGWYIDPYEIQLQEVIGRGSTADIYRGVWRGMDVAIKCIYPDFFDKNENGVSFFAQEVETLSRQRHRYVLQLMGACLDPPTKAWVVTEFLGMTLKDWLHGPGNNRRKQRAAALPPFEERLTRALEIAQAMQYLHEQKPKLIHRDLKPSNIFLDDAKHVRVADFGHARFLHEEEMALTGETGTYVYMAPEVIRSEPYDEKCDVYSFGIILNELITGNYPYIETDYGPARIALEVGEGKLRPELPEDINGDHGELIDLICLSWHGDASLVKFRISYTKSLDRVAEDRSTLVLMETKDMMAEKTRAGQVVINVPGEETLNGSQDSVNKASPESAAVTTGLAKSVPVSSASPETPKVGASPNKPPRPATAAEPPIRRRSLRGKKGKKSKTTTFIDMGKVHKLKREKVSAWHMKVLADAIMNSRLATVSNAFDEYNFDEGAEQAKEITNEEEARFIAHEIFHHVAAHDSNHHRNYIDEDDLLRFVIREEVEMVFPLFEGSLSTGRIDRKSFTNWVIKVYNDRKTLAHGLNDTKTAVKQLDKLVTAVLVIATVIIWLLLVEIATTKVLLVLSSQLVVATFMFGNTCKTIFEAIIFVFVMHPFDVGDRCVVDGVPMLVEEMNILTTVFLKLDNEKVYYPNSVLATKPISNYYRSPDMGDTIEFSIDFMTPVELIGKLREEIKLHFESNPVWHPHHLVVVKEIENVNKIKMALYCNHTMNFQDYREKNKRRTELVIELKRIFEKLGIRYNLLPQHVNFNQVHKERPEATYPTT >OMO67012 pep supercontig:CCACVL1_1.0:contig12481:32739:35318:1 gene:CCACVL1_20855 transcript:OMO67012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine/spermine synthases family MGEAVEIFYPNGNGFPNIYSGTTTTNQAHLDDKSHHQLDSWFEETIDEDLKWSFALKSVLYKGASEYQDIALLDTKRFGKVLVIDGKMQSAEVDEFIYHECLIHPALLFHSNPKTMFIMGGGEGSAAREALRHNTIEKVVMCDIDQEVVNFCRRFLTVNRDAFCNKKLNLVINDAKAELENRNEKYDIIVGDLADPVEGGPCYQLYTKSFYEKILKPKLSENGIFVTQAGPAGIFTHKEVFSSIYNTIRQVFKYVLAYTAHVPSFADTWGWVMASDQPFSIGAEEIDKRIAERVDGELLYLNGASFLSSATMNKTVYLSLLNETHVYTEEDARFIPGHGLAHRL >OMO67013 pep supercontig:CCACVL1_1.0:contig12481:35831:36202:-1 gene:CCACVL1_20856 transcript:OMO67013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbX MASVSMAMPLSSAIQKRVNQPSSESFIKPLPLKQSKGIIISTNPKSRARVQVQASFKEKAVTGLTAAALTASMVIPSVAEAAESGVSPSLKNFLLSIVAGGVVLTAIIGAVIGVANFDPVKRA >OMO67015 pep supercontig:CCACVL1_1.0:contig12481:57976:59373:-1 gene:CCACVL1_20858 transcript:OMO67015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRLERTLMREEKYRHERDNPSFSSTLLDKIYRSIDEGETKHEDLKFYRDTMQKKQSKGNNMKSNRSRGEEDMSSFQRACLIEKWMEKKVSEKANAERKQVFSEFERKSHHELDHDHDALFFSSTSSSSDSSSGGFSSSDTESMYGTKTKSSCFVPSRPKPVRTSVSVRSEKPVKTEKTGKTERTLFYEQRELHMFDDYRYHSVSDHTPKLDETLFKSKSRAMKIYGNLKKVKQPISPGGRLASFINSLFTTSNTKKSKGSSSIVSCDYERKLKSEQVSTCSSASSFSRSCLSKNSPSTRERLRNGVKRTVRFCPVSVIVDEESKPCGQKCLYEEQESRLLSVSVPTAWKIGKSPSRKCDEEVKFQIMDKTRRVEEMARKFLKEYHLNQKKNDFVSRESRSKNYVDAMDEDEDDDDDAASYSSSDLFELDHLVFINGNDRYREELPVYETTHVETNRAIANGLIV >OMO67010 pep supercontig:CCACVL1_1.0:contig12481:19810:21139:-1 gene:CCACVL1_20853 transcript:OMO67010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSTAATLYISRKNLQAPTPKNGSIFTNSISFKTRQRRVSIRSSGDVSSETPATEAESEQSIEAPKGAPSLISALNVERALRGIVITDVDHYGRLGLQRKCSYDEVTVAYKNKVDELLNQGLDEEELSKNMDLLKESYTILSTVEERRMYDWSLARSDQPDRYAWPFEEDITQTPTQEPPPPEPEDVGPTTLVGYFMLGWLILSFVLSIALAR >OMO78302 pep supercontig:CCACVL1_1.0:contig10604:5897:9084:-1 gene:CCACVL1_14501 transcript:OMO78302 gene_biotype:protein_coding transcript_biotype:protein_coding description:lipase MIRWGIFALQLAELFVSSMVHVLYGFYIFSSAVAGDLSQALNDWFSKPNANIEVKAEDPSKTNPDGLPPIVLVHGIFGFGKGKLGGLSYFAGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGQVDFGEEHSKTYGHSQFGRIYEQGQYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKSFKGYETSENWVLSITSLSGAFNGTTRTYLDGMQPEDGRTMKPISLLQLCRVGVIFYDWLDIPWLKAYYNFGFDHFNMSWRKMGIWGLVDCLLGNAGPFATGDWILPDLTIQGSIRLNCHLQTFPHTYYFSYATKRTRKILGVTVPSGILSIHPLLFIRVLQMSQWRHPPDVSPPYKGYRDEDWQDNDGALNTISMTHPRLPIEHPSRFVVNDADIQPLQPGIWYYKIVEADHILFIVNRERAGVQFDLIYDSIFERCRKHVFRKTPQTLPNQAPE >OMO78301 pep supercontig:CCACVL1_1.0:contig10604:3021:3548:1 gene:CCACVL1_14500 transcript:OMO78301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAMAMALLSIVFVTIAFVSIFRNYFPSFIRRSTIFSYVTLVPIRLGWAMEFVYCYCLYPNYNYVPNYMPGNGGAGGGYHWTEAASTDVEDECPVCLFKVQEGEEIGELRCGHVCHRFCLETWIQYGKVTCPLCRGSLVPSRLDLDAAIEVQEVRLLLVEFCANCHSRDRDRWWLR >OMO78306 pep supercontig:CCACVL1_1.0:contig10604:37356:49863:-1 gene:CCACVL1_14505 transcript:OMO78306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate phosphate dikinase, PEP/pyruvate-binding protein MSNTVGNNLIQQRFLRPTVLEHQSKLKNSSTNSLCATASLNQSSTLPRKFQISTKFYGDSLSKRKQKFAMGSQRAVSFTPQAVLAADPASEQLGKFNVDGNIELQVDASVPTSGAITQINFRIMYTSDSLLLHWGGISDRNEKWILPSRQPEGTRNHKNRALRTPFVKSGSSSYLKLEIDDPRIQAIEFLIFDEARNKWIKNNGQNFLVKLPRRETSISNISVPEDLVQVQAYLRWERKGKQMYTPEKEKEEYEAARAELLEEIARGASVDDIRARLTKKDGQEYKETTINEPKNKIPDDLVQIQAYIRWEKAGKPNYSPDQQLGEFEEARKELQSELEKGVTLDEIRKKITKGEIQTKVSKQLQHKRYFSAERIQRKKRDLMQLLNKHVVKPVEESIYSEPEPKALTAVELFAKEKELDGSTVLNKKKYKLGNGELMVLVTKPAGKTRIQLATDFEEPLTLHWALSKEPGEWLPPPPSVLPPGSVSLGGAAESQFSISTYADLPKQVQCLEIEIEDSNFKGMPFVLLSGGNWIKNNGSDFYVEFSQKVKEVQKDAGDGKGTSKGLLDRIADLESEAQKSFMHRFNIASDLMDQAKDTGELGLAGILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDLLQSIYTTQPQYRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDISVYWKTLNENGITKERLLSYDRAIHSEPNFRRDQKDGLLRDLGHYMRTLKAVHSGADLESAISNCMGYTAEGQGFMVGVQINPIAGLPSGFPDLLRFVLEHVEDRNVEALLEGLLEARQEVRPLLLKSSDRLKDLLFLDIALDSTVRTAIERGYEELNNAGPEKIMYFISLVLENLALSSDDNEDLIYCLKGWDHALSMCKNKSAQWALYAKSVLDRTRLALASKAEWYQRILQPSAEYLGSLLGVDEWAINIFTEEIIRAGSAATLSSLVNRLDPVLRATANLGSWQVISPVEVVGYVDVVDELLAVQNKSYDQPTILVAKSVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNGKVCFATCFDPNILADLQANKGKLLCLKPSSADVVYSEVKEGELAGSSSTNLKEDSSPSISLVRKQFGGKYAISADEFTPEMVGAKSRNISYLKGKVPSWVGIPTSVALPFGVFEKVLADKINKDVDEKLQVLKKKLGGGDFGSLAEIRQTVLQLAAPPQLVQELKTKMQSSGMPWPGDEGEHRWEQAWTAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFVCKKNNLNTPQVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYSSDPLINDGNFQQSILSSIARAGHAIEELYGSPQDIEGVVRDGKVYVVQTRPQM >OMO78307 pep supercontig:CCACVL1_1.0:contig10604:56156:56665:-1 gene:CCACVL1_14506 transcript:OMO78307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MAIKFYTSFSLVFLAAAGTFLLSGQGAEARIFGNGLCRDSDYPMLCGKILKGAEFFTDPKLATGIYIKALIDETNKAKLRAAAFGQDAKVKACVSRYDDAIDNLLKSLNNLKQNDAGSLMSSLSAVVSSYGSCADEFADAGQRNPFAATTKYNSRMASNCLALASQIKS >OMO78303 pep supercontig:CCACVL1_1.0:contig10604:15602:17651:1 gene:CCACVL1_14502 transcript:OMO78303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMQQLGRTKNVTKRSKKYLEEALYRRLFKEGSSEISVRQQLNEFLKSSKRVYKWEVDVTLKKLRDRKLYYPALKLSEIMVIKRGMNKTVSDQAIHLDLVAKARGIPAAENYFIDLPEASKNHLTYGALLNCYCKELMTDKAEALMEKMKELNLPIGSMSYNSLMTLYSKIGQPEKVPEIIQEMKSYGITLDSYSYNVWMRALAAMNDISGVERVIDEMRRDAEDADDWTIYSNIASIYVDAGLSQKAEKALKELEKRNSRKDLSAFQFLITLYGRVGNLHEVYRVWRSLRLSFHKTANISYLNMIQVLVNLKDLPGAEKCFREWESGCSTYDIRIANALIGAYVKDGLLERAQELKERARRRGAKPNAKTWEIFLDYYLKNGDIKLAVDCVANAISTGRGDGGKWVPSSETIGTVMRHFEQEKDVDGAEGFLEILKKAVDHVGEEVFESLIRTYAAAGRTSPVLRRHLKMEKVEVSEASKKLLDVISVE >OMO78304 pep supercontig:CCACVL1_1.0:contig10604:21817:25668:-1 gene:CCACVL1_14503 transcript:OMO78304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEENKTNVNKKKKCSILFVVRLVVSPDGDIIDCVLLHHQPAFDHPKLKGQKPLDPPDRPAGHNPNGLAAEDFQLWSLSGESCPEGTIPIRRTTEQDMLRASSIRRFGRKPRKRVRRDSTSNGHEHAVGYVSGDQYYGAKASINVWAPRVFNQYEFSLSQLWVISGSFGDDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNRIAIGAAISPTSSYTGGQFDISLLVWKDPKHGNWWLEFGNGILVGYWPSFLFTHLRDHASMVQFGGEIVNSRPGGFHTSTEMGSGHFAGEGFGKASYFRNLQVVDWDNNLIPLANLRVLADHPNCYDIQGGINRVWGNYFYYGGPGRNVRCP >OMO78305 pep supercontig:CCACVL1_1.0:contig10604:36040:36782:1 gene:CCACVL1_14504 transcript:OMO78305 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding protein MERLFALIVTLTTALSYVNVKCLVLPVPAYTIESPQFKVIHLESDFEIRLYKEISWMSALVHGTSFRNSTKDGFHRLYQYLHGANLNSTQFFMTAPVLTSVITSSTSTHGSAYIVRYYMHPKYDDKTSPPPQPAAELNLQLDKWKSRCIAVRKFGGFAADDNVERERDGLVSSLGKHFPGNKYNYSIAQYNSSKHPTGRVNEVWIMDVSGFTTEGCPV >OMO59417 pep supercontig:CCACVL1_1.0:contig13975:10262:13708:1 gene:CCACVL1_24839 transcript:OMO59417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec-independent periplasmic protein translocase TatC MGLASAVHHGGGIRVALNYELRVAPISRPVQAPKWTRLRFAHPRLSTAYSVYHNRRSPVAFSKATEQEDQLSTDVRNISSEEVPQSFQKNKEGSALYNFLYPDKGLLPDDKEMTIFDHLEELRQRIFVSVLAVGAAMLGCFAFSKELIMFLEAPVKAQGVRFLQLAPGEFFFTTLKVSGYCGLLLGSPIILYEIIAFVLPGLTREERKFLGPIVLGSSILFYAGIAFSYSVLTPAALNFFVNYAEGVVESLWSIDQYFEFVLVLMFSTGLSFQVPVIQFLLGQLGLVSGDQMLSIWRYVVVGAVIAAAVLTPSTDPLTQMLLATPLFGLYLGGAWVVKLTGR >OMO59418 pep supercontig:CCACVL1_1.0:contig13975:14013:16541:-1 gene:CCACVL1_24840 transcript:OMO59418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAEKEGLNQALTSHLNTIHETFQMFDQTPCSSSEKVTWTQIIQIAEQLSKQATIAGMLWNGEAPEAKQLEDNMTSYFNVLQGFLLLSHGSTVGAGPTLSSSIHEAFVGAVWEACAALKKAPATNVIAIGRAMTQVAVSVKDVLREMKELKPASSDLSNEASNDTCTEAESSPQDDDDLSEDDLGSDLSPEEMKVAQLAQGVVSETLVTIKELIRTITGWLKLETPGLQWVENFIKAHGIRTGSQHDNRLNK >OMO56279 pep supercontig:CCACVL1_1.0:contig14545:9827:13318:1 gene:CCACVL1_26664 transcript:OMO56279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEKVYEELDEVKIENEKLRADFKSKAELCEQLKKIQNEQLTKIKEASSKIEKQAQELLEKEEETSVLKQENQDLKSSLIEKESIIKHLNAANDKLRAERDEKSKNWEQESNRLVLALDEANEKNIDLEQTINVLRAEIEGLKAHLSVSKKKCSQAERKATNPKELRERDDLLDKVEEDKRKVADQLKWKKEQFKHLEEAHEKLKDEFKTSKKEWEKEKSTLLDDICSLQTKLDSQIRITGDLQNRLQLCNQTLAHEETRRKYLEVEVAEFKTRFENTFAECQDAKSQLDSLNSKRDNEVATLRHLLGTKESFYKEIEYRAGKLEKENQELMTSLKELQEARIQEAGSSSSLSKLKNRLKSVEQMHKESSANLRAKEAEWNSQREEMTRKLNDYGSQLESKDAALKALEMELESCLSSAVQLKLQNEEMSVIILQITREQIKILEDLRGEIELLEEESLKRELEGAAFAHIAAEKEFEHEKENLLQLVNEKDQRIDGLLQVVRSMEEKFNGSLNSFSSELAEKQAQINLVHEAWEKIARAEILAELEIEEKKLMIVELEDDIHSIQEKLLSQEKSLSDTKERALNVEAELEAKRLEMKILADQMDARLKTSEALVDELKSEKTNLVEDIMKLSMERENLLGFIGGLGDRISEFSAEEAQLMGILGRIVQSFDDNDTSDLKENKNSSLPSPATKRPDSITEERPPFRLLN >OMO56282 pep supercontig:CCACVL1_1.0:contig14545:23005:25733:-1 gene:CCACVL1_26667 transcript:OMO56282 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MFLNLDIYLYSGVPYNAKVPMERILIYGLLWLTKGGDRVLNLRMPLHYHRILKDIFSSFMDIFDVDHFINVLKNDVSIVKELPDEFSWSTREYYALAIRPTRIKRAPVHASAHWYLENVLPVLQSYGIAAISPFSHRLSFDNLPSEIQKLRCKVNFKALRFVPHIRELGDALVHRLRYPSGESEALSTNYLGQITDQNEKQKPQKFVVVHLRFDKDMAAHSACDFGGGKAEKLALAKYRQNIWQGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFDKDTCLYLASHKVYGGEARISTLRELFPLMEDKKSLASSEERANIKGKASLLAAVDYYVAMHSDIFVSASPGNMHNAMVGHRTFENLKTIRPNMPLLGQLFLNKNITWLDFRQAVVEGHQNRQGQLRLRKPKQSIYTYPAPDCMCQA >OMO56281 pep supercontig:CCACVL1_1.0:contig14545:16242:22132:1 gene:CCACVL1_26666 transcript:OMO56281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel MscS MSLAGSLQLSHDLRLCRNQGHKQYKSAFQRSRLHLLSVSLSSRASFLKEDSWRIRLSESLYRPIHSGPHRNNAFRCYALRLPGQLFELPGVKAATNSLTRSYNILQGSPIVFKLVPAISIIIFALWGVEPLLRQSRSLLLHKSDNSWKKSRTHHVMTSYIQPLLLWTGAILICRTLDPLVLPSEASQIVKQRLVNFVRSLSTVLAFAYCLSSMIQQMQKFLMETSETTDDTRTMGFQFAGKAIYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSAMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIVRGEDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDVNKINNIVADMRKVLAKNPQVEQQRLHRRVFLENVNPENQALLILVSCFVKTSHFEEYLCVKEAILLDLLRVISHHRARLATPIRTVQKIYSDADLENIPFGDSFYNHGGVASNRPLLLIEPSYKINGEDRTKGRSSRPAGEQDTKTAARPGVDAKSDKAGATPKPDSKAKGTPSIEPKADSKVGETPNSDAKDNLKAASASASASASTSDLKTDDKANMKSPSKTVPKTSTIGAETSSPEKQQKNVRQSSKLDTPSVSSPEAGIDKSGGLREPFQSKPEPASQPPVPRPALEENLVLGVALEGSKRTLPIEEDMTPSPPDAKELASASRNGSGSTTEDKKDGTVRSSPSTPDDQ >OMO56280 pep supercontig:CCACVL1_1.0:contig14545:14174:15141:-1 gene:CCACVL1_26665 transcript:OMO56280 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily phosphatase, subfamily IIIC MAEEQKVKKEALEIIGQFQNLPRLVVFDLDYTLWPFYCEFGDEDENPYLYPQAKGILLALKEKEIDLAIASRSPTPEIAKPYLDKLGIRSMFVAEEIFSSWTHKTEHFQRIHRKTGIPFSSMLFFDDENRNIESVSKMGATSIYVSNGVNLNALRQGLSEFSRKSESSTSGSKRK >OMO56278 pep supercontig:CCACVL1_1.0:contig14545:6296:6397:-1 gene:CCACVL1_26663 transcript:OMO56278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSIVGFGHMNGVLMANKHLSRRLFVSDRPTL >OMP07622 pep supercontig:CCACVL1_1.0:contig04307:696:902:1 gene:CCACVL1_01268 transcript:OMP07622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLPKVEQVLEVRSIDSISMNLEKRVEGWNECITRILGIPWGFVIGAELTIVQSRISLVNKIQKVYRSQG >OMO74571 pep supercontig:CCACVL1_1.0:contig11112:45565:48853:-1 gene:CCACVL1_16604 transcript:OMO74571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribokinase MKGITFSPSTNFNCYCKIPTNQTSQPVKSLPQIQFPLFNSKNPNQPLLSFSINSTKNTQTPITQPPPLVVVGSANADIYVEIDRLPNEGETISAKTGQTLAGGKGANQATCGAKLSYPTYFVGQVGDDANGKLITEALGNGGVCLEYLKSLGKGVPTGHAVVMLQSDGQNSIIIVGGANMSCWPEKLSDQDLDVVKKAGIVLLQREIPDSVNIQVAKNLEEESDMVAKRFYPNATCVDYSQVKAEEMAARSAGVTIIMDAGGMDTPMPQELLNYVDILSPNESELGRLTGMPTESFEQISQAAAKCHKMGVKQVLVKLGAKGSALFVEGEEPIRQPIIPAAQVLDTTGAGDTFTAAFAVALVEGKPKEECMRFAAAAASLCVQVKGAIPSMPDRKSVLNLLQSVEVHS >OMO74568 pep supercontig:CCACVL1_1.0:contig11112:35625:36157:1 gene:CCACVL1_16601 transcript:OMO74568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAFACGSSSSLFFLRSTNTPKQSSLRYPLAAKVRAESMATEKLGIKVEKNPDESKLSQLGVRQWPKWGCPPSKFPWTYEAKETCYLLEGKVKVYPDGSKDFVEFGAGDLVEFPKGMSCTWEVSVAVDKHYKFE >OMO74566 pep supercontig:CCACVL1_1.0:contig11112:24248:25414:1 gene:CCACVL1_16599 transcript:OMO74566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRPDPIEEPPAASSSDEEEEEEEKKREDSSEDEEEGSSSEEGSSEEEEDDVPATQPPKKPETPAPATAAADDSDDESGSESESESDSDSPTPIVKPIATKPMEDASNAKKPRSKTLASPVKASAAKRPSESEGLKDNKRAKKKASEEGNTSTLAVEVKKEDAKKQLFQRLFTEEDEIAVLKGMLDYADKKGADPCVDMNAFHDFVKKYIHTDVTKAQLMDKIRRLKKKYENNAGKGKKGEDRTFTKPHEQKSFELSKKIWGKDGISGKVESSAAKSNGKATLKELALSDKKTSDKKKVDVAKPMEVDKEGSKSGVCLFDKRYGVASLEGEVLKHGLEMIGGEKRAALEEKWKKIHIAELELFLQRSELITEQAKLLLEHYKSEDK >OMO74574 pep supercontig:CCACVL1_1.0:contig11112:71139:71864:1 gene:CCACVL1_16607 transcript:OMO74574 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, OSCP/delta subunit MASLQQTSTCLQSKLLPSSRLPRALPSLNLSFSATFPSLKLSTTTRPLNGGARMSATAASSYAVALADVAKSNNTLDSTCADIEKVEKIFSDPQVLDFFANPVVDVTKKRQVLDEIAKGEELQPHVANFLNILVDAKRIDIFKEIVKEFEAVYNTLTNTELAVVSSVVKLESQHLAQIAKQVQKLTGAKNVRIKTVIDPSLVAGFTIRYGSSGSKLIDMSVKKQLEEIAAQLDLGDIQLAV >OMO74577 pep supercontig:CCACVL1_1.0:contig11112:93740:99362:1 gene:CCACVL1_16610 transcript:OMO74577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MEEKYKTLQILQKSQNTGVFHLILNRPSVRNALSLDFFAEFPKALHALDQNPNVAVIVLSGSGDHFCAGIDLKSLNVIAGDHSGDRGRSGERLRRKIKLMQDAITAIERCRKPVIAAINGACIGGGIDIVTACDVRYCTKDAIFSVKEVDLAITADLGTLQRLPSIVGFGNAMELALTGRRFSGEEAKELGLVSRVFGSNEELMEGVRIIAEGIGGKSPLAVRGTKEVLKQISFLTRQTLADMVEPSSVEYVELGEHSSPKLDKYQKVSIVPLVFLIFYEVSGGPFGVEDSVQAAGPFLALLGFLLVPFIWSVPEALITAELGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAFPALEGGMPRTSAVLALTLVLTYMSYRGLNIVGWVAILLGVFSLLPFIFMGVLAIPKLEPSRWFVMDLGFIDWGLYLNTLFWNLNYWDSISTLAGEVENPSKTLPKALFYAVILVVFAYFFPLLIGTGAIPLDRQLWTDGYFSDIAKVLGGVWLLTWIQAAAALSNMGMFLAEMSSDSFQLEGMASRGMLPEFFAKRSRYGTPLVGILFSATGVILLSWMSFQEIVAAENFLYCFGMIMEFIAFVKLRIDHPAESRPYKIPLGTAGSIIMCIPPSLLILVVLAFASFKVMVISMIAVIIGLILEPCLSCEINIKAVNLGRMVIASRLPANCSGLVHPSSHKQSFQLQKYQRTRLNYCKPNPSAFEKISGKSFLRNPSTSSHVVSVGSNRQHQLNYDDESPEVPFWLSLIRDTIWGIRSLFAFLIEQPSQLKYIEWPSFQSTLKTATLTLVIVAVLIVALSSVDSVLCYILAMLLRKTPS >OMO74578 pep supercontig:CCACVL1_1.0:contig11112:100432:110697:-1 gene:CCACVL1_16611 transcript:OMO74578 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein MAATGSVSWQPQEEGLKEICGLLEQQISPSSSADKSQIWQQLQHYSQFPDFNNYLAFILARAEGKSVEIRQAAGLLLKNNLRTAYKLMAPAHQQYIKSELLPCLGAGDKHIRSTVGTIVTVIVQQGGIPGWPELLQALVNCLDSNDLNLMEGAMDALSKICEDIPQVLDSDVPGLAERPINIFLPRLFQFFQSPHASLRKLSLGSVNQYIMLMPSALYTSMDKYLQGLFVLANDPAAEVRKLVCAAFVQIIEVRPSFLEPHLKNVIEYMLLVNKDSDEEVALEAGEFWSAYCEAQLPVDELREYLPRLIPILLSNMAYADDDESLLDAEDDESLPDRDQDLKPRFHTSRFHGSDDAEDDDDDDSFNVWNLRKCSAAALDALSNVFGDEILPTLMPIIQAKLSASGDEAWKDREAAVLALGAVGEGCINGLYPHLSEIVAFLIPLLDDKFPLIRSISCWTLSRFSKYIVQDSGHQKGYEQFDAALMGLLRRILDTNKRVQEAACSAFATLEEEAAEELAPRLEIILQHLMCAFGKYQRRNLRIVYDAIGTLADAVGGELNQPVYLEILMPPLIAKWQQVSNSDKDLFPLLECFTSIAQALGTGFSQFAQPVFQRCINIIQTQQLAKADPVSAGVQYDKEFIVCSLDLLSGLAEGLGSGIESLVSQSNLRDLLLQCCMDDASDVRQSAFALLGDLSRVCPIHLQPRLSEFLDIAAKQLNNPNLRETISVANNACWAIGELAIKVRQEISPIVMTVIQCLVPILQHAEGLNKSLVENSAITLGRLAWVCPELVSPHMEHFMQSWCISLSTIRDDIEKEDAFRGLCAMVRANPSGALSSLVFMCKAIASWHEIRSEELHNEVCQVLHGYKQMLRNGAWDQCMSALEPPVKDKLSKYQV >OMO74561 pep supercontig:CCACVL1_1.0:contig11112:4613:5683:-1 gene:CCACVL1_16594 transcript:OMO74561 gene_biotype:protein_coding transcript_biotype:protein_coding description:BolA protein MSSYSISSARFLVSKFAISFHPTKPFLSPFNFKPPSFISFQNISTKSPSPLRSSSSSSTQLQPMEELPPKLQEIIKLFQSVEEPKAKYEQLMFYGKNLKPLDTQFKTKENKVEGCVSQVWVRAYLDQDKNVVYEADSDSVLTKGLAALLVNGLSGRPVQEVLRVSPDFAVLLGLQQSLTPSRNNGFLNMLKLMQRKALELLIEAEKSGESSSNGQVVDDGLSPPSGSSEKTIENSISDSKLDENSGVDSGSQMASEGSLSDLGSRGKRIREKLERELSPVVLEVEDISYQHAGHAGVRGSDDGETHFNLRIVSKEFEGKSLVKRHRLVYGLLDEELQSGLHALSIVAKTPSEVEAK >OMO74576 pep supercontig:CCACVL1_1.0:contig11112:88949:90738:-1 gene:CCACVL1_16609 transcript:OMO74576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLETLILEGCIQIVDLHPSIGFLRRLKVLNLRACKSLRRLPTKIGMKSLETLILSGCSNLERLPDQIDGEMECLVELSLDGTGIRDLPSVIGHLMSGLVLLNLKGCRNLASLPSSINGLNRLKTLNLAGCSNLENLPESLQQVESLEELDLSETAITKPPSFIFQMKSLKFLSFRGCKGPPPCKSRPNLLSLFTVMQRGGSLNSVALTLPPLSGLTSLTELDISYCNLGEGAIPNDICHLSSLKTLDLCGNNFISLPANLDRLSNLKHLALRHCTDLKSLPELLRSTYIPSVGLFANATVCNSIEYASIHLTNCYRIAKNTNVVTLLMKHLKAWANLTREMSIILPGSEIPEWFSHQRDGCSIKMPLPHHLRNDSRFIGVAFCCVFINAVTIRCNRLNGECGLTTEHAASITKDHLWLKYWSFDNLNSSFLKDKCGETSEHSSSLESDVLEFEGKVDLSKSSKGIVKKCGVRIVYEEDLEDMEQIIKGHQIPRIDNTLIEDTHQPQEEADESETGGMGARALLVKRKRNFYEESESGTDSIEERPQPKRLQQFLNCIMRKKL >OMO74569 pep supercontig:CCACVL1_1.0:contig11112:37626:39569:-1 gene:CCACVL1_16602 transcript:OMO74569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRTIHSKGASCEILKSKWDSE >OMO74572 pep supercontig:CCACVL1_1.0:contig11112:56950:60784:1 gene:CCACVL1_16605 transcript:OMO74572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase MrsB MAINSLSIGAHIPWKTQFSFSANSKAFGFLQKPRNVSFSVRSMGSSSSSQRPDRVQEAAETGAVDYTSLSDEEWKRKLTPEQYYITRQKGTERAFTGEYWNTKTPGTYHCICCDTPLFESSTKFDSGTGWPSYYQPIGKNVKSKLDLSIIFMPREEVLCATCDAHLGHVFDDGPPPTGKRFCINRFIWQCIPETEPQIFELGVKIWQTKGEEQEEPKKDYIESLKLLEGELGDKPYFGGERFGFTDLAMIPFYTWFGALQDGANLSIEAECPKLIEWAKRCLERESVSQSLPDLDKVYNLMMDFKKKLDAN >OMO74573 pep supercontig:CCACVL1_1.0:contig11112:61241:61339:1 gene:CCACVL1_16606 transcript:OMO74573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTHHVEYGSVQYNNDTSASLAISYGPTLDD >OMO74575 pep supercontig:CCACVL1_1.0:contig11112:74776:77380:1 gene:CCACVL1_16608 transcript:OMO74575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSEKSNGGAAAFASRSPMALMGSGSYENEEANTGMRTAETMLRLVPMALCVAALVIMLKNSQSNDFGSVSYSDLGAFRYLVHANGICAGYSLLSAVIVAVPRPSTMPRAWTFFFLDQILTYVILAAGAVSSEVLYLANKGDAAITWSAACGTFTGFCHKATTSVIITFIVVACYAVLSLISSYRLFSKFDAPVNYPTKTIETTVFHVSMPHITIMKAKEGKRIHAAVESIAASNGLKSGLPHSRINCYSWSVDASAGCSSSLWLLLRKLVAALPLQQGIRIQQQ >OMO74567 pep supercontig:CCACVL1_1.0:contig11112:27169:32019:1 gene:CCACVL1_16600 transcript:OMO74567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKYPKLLERKKILRYYFHTFEKLGNLSMVKECPKLVEWGQRCMHKESVSKSVSDPIAVYEAALEIIRNLGF >OMO74565 pep supercontig:CCACVL1_1.0:contig11112:16286:20990:1 gene:CCACVL1_16598 transcript:OMO74565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MTWQPSLLSETRKKGPPLGLKNLGNSCYLNSVLQCLTYTPPLANFCLRSQHSTFCDASASASASKKPRDCPFCILEARIARSLTLDLTLDAPSKIQSCLKIFSENFRFGRQEDAHEFLRFVIDACHNTCLRLKKLRRKGRDEGGGEAVNGNSVVKEIFGGALQSQIKCLGCGAESNKVDEIMDINLDVVNSGSLKEAMHKFFQPEILDGNNKYKCESCKKLMAARKQLSICQAPNILVIQLKRFEGIFGTKIDRPITFEEVLVLSSFMCKASQDPQPEYSLFGTIVHSGYSLESGHYYAYIKDAMGRWYCCNDSFVSLSTLQEVLSEKAYLLFFSRTNQRPGSFSTKFSSNGVKPRDSNGSEASKSLKAVQLEPAQTKACIEQSLRKDNVGKLSSSSRLKFQISEKLGSSKPPVTSNGKMDFHKAQNMAVNGGSKDSIRTQKNENGMMSPVNRNGIDKSRKVDAFGGEKGRPFALANGNSTKPDAFAVNGHRSTAGGQAGSVRDASDSTAKKKISQNTCDISGPKQKSENSFNIYGPKEKSEELCNFLGAKRKSEPSSDMSGPKRKSEGPCGNTITNPKDSSVILEPKKKPKDSCEFSSSGKACILLSRDAQSCAEVENMKETLKKEASSVLRSCGWYDDVYRFMYTRKKLCSLEVGITLTGNELEKKLIADAKPTFIPQIPESLKEEFIKRLQSLSQAKQESLGS >OMO74564 pep supercontig:CCACVL1_1.0:contig11112:12949:15135:1 gene:CCACVL1_16597 transcript:OMO74564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEEGMTIEIYTTSVIPIGAMFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFILGVAAGLEVMSCRMLLIMSVISFGVLVASYGEINISWIGVVYQMGGVVGEALRLIFMEILVKRKGLKLNPISMMYYVSPCSALCLFIPWIFLEKSKMEAHGKWNFQPVVLILNSLCTFALNLSVFLVISRTSALTIRVAGVVKDWVVVLVSALLFADTKLTVINLFGYGIAIAGVAAYNNHKLKKEATRNISDDSQDAQAIPMAASSNPNR >OMO74562 pep supercontig:CCACVL1_1.0:contig11112:7296:9778:-1 gene:CCACVL1_16595 transcript:OMO74562 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-dependent dehydrogenase MEITNVTEYEAIAKQKLPKMVYDYYASGAEDQWTLQENRNAFSRILFRPRILIDVSKIDMSTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYRDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWKDVQWLQTITKLPILVKGVLTAEDARIAVQAGAAGIIVSNHGARQLDYVPSTIQALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVRKVLSMLREEFELTMALSGCRSLKEITRNHIVTDWDTPKGLPAPRL >OMO74563 pep supercontig:CCACVL1_1.0:contig11112:11959:12745:1 gene:CCACVL1_16596 transcript:OMO74563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVKKKVLKEEIFTYAYLILYIALSSVGFVV >OMO74579 pep supercontig:CCACVL1_1.0:contig11112:125141:125749:1 gene:CCACVL1_16612 transcript:OMO74579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I3, Kunitz legume MMMKTKIGFLLFLVFVLSSKSISYAEPVLDADGEPLRTGVEYYAVTNDRGLSLSRSQGCPYNVILELTLQNYESPVTFRSLDPSVTTINTSVALNVVSVLGEDSNQCPDQSLAWKLSENPSTGIWDVTTGGAAGNPDASASQFQIVRLGPSYAFHFCPPGCSCTLLGASAGSVDPLEIRLSLSTSGGGLVFSFKKVNNNIFV >OMO74570 pep supercontig:CCACVL1_1.0:contig11112:42865:43122:-1 gene:CCACVL1_16603 transcript:OMO74570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFQYLGRRFTTEAAPPQIISVAKPPLRKMLATIIEEEKDFKDDEIIIMKKKNLGSAAMCGKATIRLSKQLERSLVFLVAKLTA >OMO75599 pep supercontig:CCACVL1_1.0:contig11021:32256:34271:-1 gene:CCACVL1_16124 transcript:OMO75599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MTRPSEDKQPHDHIPKPSSSSLSFWSSSLNLVLFLVIPLVLFLLLVSIFVPDPSSLSPFSSNFPWTFRHLLPHSFPSSSSRIQESTSTVNGSSSIFNESSTDSSFVSNGSISDAFTEKETVQLVPKHNESEEIDVTKSSAKRDHVKRYSKLEKVEVKLAKARSSIKQAALVRNFTSIHQDPDYVPHGLIYRNPNAFHRSYLEMEKLFKIYVYPEGEPPIFHNGPCRSIYSSEGRFIHELEKGKFYTTHDPDEALVYFLPFSVVMLVHFLYEPQIAFNTDAIGRTVADYIDVISTKYPYWNRSLGGDHFMLSCHDWGPRVSSYVPNLYDKSIRVLCNANTSEGFNPAKDASFPEINLLTGEVEGLLGGPSPSRRSILAFFAGRLHGYIRFLLLNEWKHKQDPDVQVFDQLPKGVSYMTKLKNSRFCLCPSGYEVASPRIVEAIYAECVPVLISDNYVPPFSDVLNWNAFSVQIAVKDIPNIKKILMGISQRQYLRMQMRVKQVQRHFVVNATPKRYDVFHMINHSIWLRRLNIHVQDFDH >OMP12106 pep supercontig:CCACVL1_1.0:contig00426:1685:1759:1 gene:CCACVL1_00127 transcript:OMP12106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTILSIMGPNHIIKKPGLTKSF >OMO53087 pep supercontig:CCACVL1_1.0:contig15338:12:2669:1 gene:CCACVL1_28890 transcript:OMO53087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MNASTTENIEEMVKAQNVCINFPPKVGSPGLFAFLLQQVKLGLSAALVSDLLSQLLVIIGQWLRKVADKGAILSVVEEIGLTIAFVVIVFLVFRPGMKWMVRRTPQGGQINDVFVIAVILVFMLTPAITSIFRVFIVMGSFILGLAVPDGPPLGSTLVEKLDPLVSGLFLPLFASTCGMRIEMLHLLRSNEYAKTQVIAALVTLIVKFLVSLGLPLLWKMPTRDSFALAFIMITKGIVEMGTYSLLFDNGIISGDLFAIMSIIIVLLASIVPLFVKILYDPAKKYIGYEERSIYHSKLNEELRMIGCIHVPGNVNSIINILNISSPTKETPLALNVLHMVKLSGQATPLFIDHKNHNETLSMSPPNLMYEDICNLAMDKLSSFIILPFHRTWNVDGSIESEDQAIRNLNCNILNRAPCSVGILVEGHRKSIATFNSSNNLPSGIAVIFLGGKDDREALALAKRILLRQRVGLTIIHLKAANDLGTRDSDGMLDEEMLKIMRESRYIRYIEQQVNDGPKSSSYLRNVANDYQLLIVGRRYNMEDPRTFGLEEWCEFPEIGIIGDMVSSTDFGGIYSVLIVQQQQLQVY >OMO86039 pep supercontig:CCACVL1_1.0:contig09540:16273:17613:1 gene:CCACVL1_09836 transcript:OMO86039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENNSVTRRWEDLDIDILVKIFQSFNIFQLTSGIACVCSSWRMACCDPLLWKTLDLSVTRSNFIKIPLEPYVYVDAPSDKALTRMLKISLSLSRGNIRTLIFHFYLYVSDDQLTYTAERCPHLRRLVMPAWNRIKKTGICKAIRIWRELESLTMPSIANPPYLLEEIANNCKNFSELKVMGPFDNYFASTIITYLPMIRVLSLRCAMLVKDALISILDNLQNLEVLNISHCLLIEIPPPPAPRRIVRELDQSILDKASRLREFITCMKDSCIMCQRTRNDEGLMRWYKYEEGLWKVDEVSSLSL >OMO86048 pep supercontig:CCACVL1_1.0:contig09540:92428:92490:-1 gene:CCACVL1_09845 transcript:OMO86048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPGWPEFAFLTASMAKTE >OMO86047 pep supercontig:CCACVL1_1.0:contig09540:79044:86129:1 gene:CCACVL1_09844 transcript:OMO86047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Donson MFLLSFVIESPHSSDLEETLVPATQFEPQPPAPPSADVSKKRKHHRDGNTQLNTHLKKCKMMPRFYMKFTDFYEFENSSIEQPCSLRKISTLSDLAAKRRQQLSCPEASVDVPKDYEAHARRTLEKCSQGTFLSVTELSSGGQKASGLAAIDMDKALKGFAARESTPNVPPESSGKFDDLSPTGNFCSEFHVTGQKIPVDFTLKTYMRLVSSSSVNWLNRAMMCGTYNGMPQLKSQSCWSGNQNISSASQTRFASQVLDFKALHSWIYPQSTLPPSIVSVLASSACEDEMDFVRNRQGAWEDSFRSLYYMFRENACSIFYVLRSNDVYLEHVGNIFHDVSFSMPLCRSQIEHVTTEDLVELSEIEKHNLGQTRRKNSFSDVDNTPQCLLAFSGIDNVHVDVPILYSPVPFQNAALSALKVRCREIKMADHGAAPPKGSTLKDGDFKALSSNGLCYCLEIKDSYIPPWIISNICALMASEGRSFEASFTTELASVGLNIALEAVSEEADNEAIVGEEPKEIVCEEVDSEATVGEEPEEISDAFGIPEAIGSPHMLSGLLKGLNYCNGSYTVSLSPV >OMO86040 pep supercontig:CCACVL1_1.0:contig09540:20469:22481:1 gene:CCACVL1_09837 transcript:OMO86040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLWETLKEAITAYTGLSPATFFTLLALLWAIYYVVTGLFGSSDDHHQRPRSFEEQMEPLPPPVQLGEISEEELKQYDGSDPKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEDKDLTGDISGLGPFELEALQDWEYKFMSKYVKVGSIKKTVPLTEGADSAEASTDAKPAEDGPSDGAVATEEKSSGADAKEE >OMO86046 pep supercontig:CCACVL1_1.0:contig09540:49443:50048:1 gene:CCACVL1_09843 transcript:OMO86046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGEASMANLASQICSHIASIFAKPTAHPHPPALDLMVGELTSIASQKGRVFLYGVGREGLMLKALCMRLAHLGLSAHFVFDMTTPPITSKDLLIASAGPGGFSTVDAICSVARSHGGRVLLLTAQPETGSSVKHANVVAYVPAQTMADDKEEEKSRTLLPMGSVYEGAMFVLFEMVVFKLGEVLGESPEAIRSRHTNLE >OMO86041 pep supercontig:CCACVL1_1.0:contig09540:30457:33568:1 gene:CCACVL1_09838 transcript:OMO86041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVYINSDKAPNGNGYNMFEQLLTIKQLHKLLHCMVGLIQAINCRHINSATGEEIDERLRYKYSPMIKTSFSAIRSLGKAAGTEGISSFHAVSHRLVPGGPNPLHN >OMO86043 pep supercontig:CCACVL1_1.0:contig09540:41260:42098:1 gene:CCACVL1_09840 transcript:OMO86043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromosome transmission fidelity protein 8 MKIQVKCSCGADNCPEWAIIELQGVVEAQPSFQDSLQNLTIGELCRPSSQEIYTLTVGYHELTGSKVALKKPLLVLKKIKYMDVDQGDDATSSSKVELDVVGVIRHKILFKTRPKALISGAQPIVKEKANVAALKPSV >OMO86049 pep supercontig:CCACVL1_1.0:contig09540:95495:95695:-1 gene:CCACVL1_09846 transcript:OMO86049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLYARDFGGVLCDSCGESSLSAIKIRSAAKR >OMO86044 pep supercontig:CCACVL1_1.0:contig09540:42665:44448:-1 gene:CCACVL1_09841 transcript:OMO86044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, Rab type MFSLFYGLYKYLFSKTEFHVLILGIDKAGKTTLLEKLKSLYSNLEGLPPDRIVPTVGLNIGRIEASNSKLVFWDLGGQPGLRSIWEKYYEEAHAVIFVIDAACPSRFEDAKSALEKVLRHEDLQGAPLLVLANKQDLSEAVSSEELARYLDLKKLDERVYMFEAVSAFDGMGIKEGVEWLVEVMERSKRTETLRIRAGVNGPT >OMO86042 pep supercontig:CCACVL1_1.0:contig09540:35832:40582:1 gene:CCACVL1_09839 transcript:OMO86042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG DNA binding protein MEDQAADDWLPPGWKVEVRHRRNGKKDKCYYAPCGEIRFLSRAEVCRYLDKCRQKTEDKEKGSAKGSPNNVTVEKVAAEGLPPGWIKETKITKKGNKVRRDAFYNDPASGYVFRSMKDALRYVETGEPGRLAFKPKDKSDNDEDLEEDNDSEPGTVERQKVAVNGITDETERQSAEQVSNLSGITKEEEMLTSASTGEQTSLSKNTPNQLKGGKLSSLNLSEAKGSEEIGGKGSEEGVSGNIVGSPSDKQSHKNGITDDETEKTQPGKGKTKRKKSLNVPRRASKRLAGVALDPTPELKTTRVRRSSITEESEAVPDAVMRSSPGSTTPSASKQPDQLQSTPERSVGIDDFKSKERIAAPNNMLSSRDKLSTRVRRSSIKEESEAVPDAVMRSSPGSTTPSASKQPDQLQSTPERSVGIDDFKSKERIAAPNNMLSSWDKLSTRVCRSSNKQESEAVPDTVMRSSPNNSIPSASKQPGQLQSTPERSLSIDNFKSKERIAAPNNMLSSRDKLTTRVRRSSNKKESEAVTDAVMRCSPSSSIPSASKQPDQLQSTPERSLGIDNFKSKERIAAPNNMLSSRDKLTANGHFGIIEPKTKGDEEKADFPPGNAANPGVNSGKLETDNTASEMPGSLLDMPLADLWTDPCIAFAIQTLTGVCDTPKVPESKSSQGPGILATPKVHSERQEYGNVSVERQGCAINLPVTDSVVMNEHAEKVENGHKTDEKLGSSLDMPLPDIWADPCIEFAIKTLTGAIPIECGLENQDYFQQQPSSSLTQSSNHLSLPDVGIDSFSQTDYICQQYEVREKSISNEHTIMNPIFNYSHRRSGERP >OMO86045 pep supercontig:CCACVL1_1.0:contig09540:47677:48980:1 gene:CCACVL1_09842 transcript:OMO86045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase inhibitor 2 (IPP-2) MIDDDGSLSPVRRGFNDCIGDAMDAEELRSALNDVASSSKKKTGWTSSEDEADPMDQEEEDSETERSASFKEQRRVHYDEFLKVKELRRKGSFLEEEDDVEGDSSSSLSSGVKDIGIQGSPATSPQKSSAGPANGV >OMO86038 pep supercontig:CCACVL1_1.0:contig09540:9544:13755:-1 gene:CCACVL1_09835 transcript:OMO86038 gene_biotype:protein_coding transcript_biotype:protein_coding description:XPG/Rad2 endonuclease MGVKNLWDILESCKKTLPLHYLQNKRVCVDLSCWMVQLQNVNKSHCPMKDKVYLKSLFHRLRALIALNCSLIFVTDGSIPAIKLATYRRRLNSGSEVENQVTQEGINSKKLSSLRRNMGSEFSCMIKEAKVLGLALGIPCLDGIEEAEAQCALLNLESLCDGCFSSDSDIFLFGARTVYRDICVGEGGHVVCYEMADIEQKLGFGRNSLITLALLLGSDYSHGVHGLGPGSACKIVKSVGDKDILQKIVSEGLSFAKKTKNSKNQGRAKCNDNATTLHHEVSINGSNHNLQRDNQCLQVVEAYMKPKCHSADSDVVHSVLAQHPFQREQLHQLCAQLFDWPPDKTDEYILPKIAERNLRRFAKLRSTSSLLGVDIPLKEIPVKCPVSAIIKCRKAHGKECFEVSWDELDGIKTSVVSADLIESACPEKIKEFEDRRALEKKNHRKPRPKKSEQKCSMAEIDLKFKDLLLDTELGSKSVTIASREVISGKMITAPEGNSVYQGLNVILESECNDDRKNAALSPQTSTIAPKHEVVDLLSPSPQVLSQNVFRYTKMNGQNISVIDLSDSETESSPEHAKKARELRLFLASIRDK >OMP09699 pep supercontig:CCACVL1_1.0:contig03083:742:905:1 gene:CCACVL1_01049 transcript:OMP09699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFQVARFISHQAKMKSATEIASDKSRASENLPNDSIGMLVATPSETIQFIEEGI >OMO56493 pep supercontig:CCACVL1_1.0:contig14512:6643:8164:1 gene:CCACVL1_26504 transcript:OMO56493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVREIEINSSINESEIVVREFDPSKDLTSVEEVEKRCEVGPSGKLSLFTDLLGDPICRVRHSPAFLMLVAELSSTKEIVGMIRGCIKTVTCGKKLSRNTKNDPTTKTLPIYTKVAYILGLRVSPSHRRMGIGKKLVHRMEEWFVQNGAEYSYLATENDNHASVNLFTNKCGYSKFRTPSVLVNPVFAHRLPVSNRVTLIKLSSSDAESLYRRRFSTTEFFPRDIDSVLNNSLNLGTFLAVPRGCGYTQPSWPGADQFLFDPPESWAVLSVWNCKDVFRLEVRGASRMRKTLAKTTRILDKFLPFLRLPSIPELFRPFGLHFLYGLGGEGPSAAKFVKALCAHAHNLAKEGGCSVVATEVANLEPLKVGVPHWKRLSCDEDLWCIKRLGEDYSDGSVGDWTKSPPGLSIFVDPREF >OMO56495 pep supercontig:CCACVL1_1.0:contig14512:23210:23305:1 gene:CCACVL1_26506 transcript:OMO56495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMVFDRAQRNGIFNPSDQSVHVYDDDDDES >OMO56496 pep supercontig:CCACVL1_1.0:contig14512:25910:26638:-1 gene:CCACVL1_26507 transcript:OMO56496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MALETLNSPTSAPPLLHHDDIDLHSIEPWTKRKRTKRPRIENPPTEEEYLALCLLMLAQGNAAAATRPTPSAAPPKNSLHSYRCTVCNKAFPSYQALGGHKASHRKLGGGADEQPNATATTAATVATNSPPLNQGGKAHTCSICYKTFSSGQALGGHKRCHYEAATGSGGSTNINNSNNSGGDGVKSSSQSQRDFDLNLPAEEEAISIDVDRRDGFSAGTTDDEEVVSPSPPAKRGSCHVRR >OMO56499 pep supercontig:CCACVL1_1.0:contig14512:31186:32528:-1 gene:CCACVL1_26511 transcript:OMO56499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MFLLFSGAGQESIEYLNLYETTTPEVIHALSHSDVPIAVSVAGNVLSEVSSSVLKAESWLRLHVLAHFPAIKITTIVVGETLFCHKDHEDQSLGLLLPSLKNIHHSLTRWGLEKDIKVSAAFSSSCLHQNSAFFSEDLKEKVIRPLLEFLQRTNSTYSINPPNLSPLHESLTFFTSHLDFLKKFGSFELKKVNLIVYSQQAKRPMSRKLSVMDSKLVKPYPARPTPLPEISPSSIHSSIGFSVPANVARKPHPPQYPTTSPPPFNIPGDSPPPFSFPIAPELPPSPPFVPASPPSGFYLPPCNPADDSAPAPQMGVVQKLWCVAKPTVPADTLQEAMDYACGEGGADCQEIMPSGSCFYPDTIVAHASYAFNSYWQKTKRNGGTCSFGGTAMIINADPSFLQCRFVLS >OMO56494 pep supercontig:CCACVL1_1.0:contig14512:13502:16932:-1 gene:CCACVL1_26505 transcript:OMO56494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDSFQTDGDNIRYVATELATDIVINVGDVKFYLHKFPLLSKSARLQKLVATSNDDSNDELHIQDIPGGPAAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMYETVEKGNLIYKIDVFLTSSIFRSWKDSIIVLQTTRSLLPWSEELKVVSHCLDSIASKACIETSKVEWSYTYNRKKLPSENGNSPQWNGVRKPQMVPKDWWVEDLCELHIDLYKRVITTIKTKGKVSGDVIGEALNAYALRKLPGFSKGMLQNNDLIKYRSLVETIVWLLPTEKGAVSCSFLLRLLRAAILLDCGEIGRNELMRRIGQQLPEATVTDLLIRAPAGEATVYDVDMVHNLVEEFVTHSSQTDPIENEFMESRSPKFGQDASKVLVAKLVDGYLAEIARDPNLPLSKFVNLAELVASFSRASHDGLYRAIDMYLKEHPGISKSERKRICKLMDCRKLSAEACMHAVQNERLPLRVVVQVLFFEQVRSTASAGNSTPDLPGSIRALLPGGSHGSSRSTTTNTEEDWDSVPTAEDIKALKGELATLRLGSNGSDRNGNDAAKTDIEKVAANRMKGLVMSKIFSRLWSSKEKSGEISSSDTSESPGSINAEEKSTPSRSRRHSLS >OMO56497 pep supercontig:CCACVL1_1.0:contig14512:28212:28292:-1 gene:CCACVL1_26508 transcript:OMO56497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGHDLKMINSSATTNNIVSIIDLV >OMO56498 pep supercontig:CCACVL1_1.0:contig14512:28961:29604:1 gene:CCACVL1_26509 transcript:OMO56498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKNIGEIVKHLETQNEFLTETRSSISYEVEKLLVEQEMLMRKFYEVMQAHNQHKQTKKETICKEDDESETGEENVTALNNSENSEKLACCNII >OMP06843 pep supercontig:CCACVL1_1.0:contig04785:2788:5521:-1 gene:CCACVL1_01432 transcript:OMP06843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MALAKEIMGCSLMERSSFVSSSSKVFLNCTSTSYNTFHNKKQQQNFPLRKVSKLPVVAAISEDLIKAVPNSVPAGQKEKAVTFKVRAAVTVRNKNKEDFKQTLVKHLDAFTDKIGRNVVLELISTEEDPKTKAPKKSNEAVLKDWSKKANVKAERVHYTAEFIVDSDFGVPGAITVTNKHQKEFFLESITIEGFACGPVHFPCNSWVQSKKDHSGKRIFFTNQPYLRSETPKGLVALRDKELRNLRGNGKGVRKLGERIYDYQVYNDLGNPDRGVEFARPTLGGEKIPYPRRCRTGRLPTETDIQAESRVEKPLPMYVPRDEQFEESKWNTFSAGRLRAVLHNLLPQLKASISAHNRDLNSFSDIDGLYKEGLLLKLGLQEEIVNNLPLPRMVNKIQESSEGLLKYETPKVVSKDKFAWLRDDEFARQVLAGVNPVNIERLT >OMO71208 pep supercontig:CCACVL1_1.0:contig11707:5677:14307:-1 gene:CCACVL1_18367 transcript:OMO71208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MENPNSAEAIATLTDGDDSQELKSVIGFQRQQLMEAKTLYSDFDLAFQLQMQEAASASLSLHPPSTSQDAAVLPPPEIGFDFSTVMLEDLDRFVVERSDMEKAEEEMRRLRGDLNRSIHDQHFASYILNVPEEEWRKYGDNYERPYTGNVATGVVSTESFRIYVKGLVSEERVWDTKVRVGGVGVAICDFRDNLVLEVRKKLEGAEFMSHEMAGLEAVVHGLNAALSLDLKRVTVLVDDFLVHQYVTGILEAGQSKMGAIVNEVVRLRKKFSFFNASLLMTRNEMKFAFKLARDAIVSQISWPAESSNGRGLKETCVICFEEIDAAKMFSVAGCFHRYCFSCMKKHAEVKLLNGMVIHCPHEGCDSEVTIDSCEQFLDPKLVEIMSNRRKEASVAASEKVYCAFPRCSALMSRNEVLEYTKTSFLGAEQCGARKCVKCYRFFCIYCKVPWHYDMTCYDYKNSNSNPAKEDAMLQSLANKKLWRQCIKCKNMVELAWGCYHITCRCGYEFCYTCGAEWRNKKATCSCAIWDERNIIHNQPRRRYCILFGPLGQYLIELNCMHEKQPFCKISSLNFKTTPFTLLPFVYSVFPSKGMEGGDQEDEHLYRTAYAGVGPAKAPSFKDVAREFMKGLLEMSVEFGRGCRDVVRQSLVTEDSFLVKNFGKDSYIGRKIKVPYDNTLRKFKFFNEYLVPEDKDPLHAWSVILFVFFLALAVLSVSIEHDTAIPVAKKVYIHPPSADRIMLPDGRYMAYREQGVSGDRARFTMITPHSFLSSRLAGIPGLKASLLEEFGVRLLTYDLPGFGESDPHPKRNLESSASDMLFLADALGVGDKFWVVGYSSGSLHAWAALKYIPDRLAGAAMFAPMVSPYDSLMNRVEKYGIWEKWTRKRKFMYFLARRFPRSLSFFYRRSFLSGKHGQIDQWLALTLGRRDRALIEDPVYEEFWQRDVEESIRQGNAKPFVEEAVLQVSNWGFSLADLKLQKKQKGNGILSMIKCLLSGYEEEYTGFLGPIHIWQGMDDRVVPPSMTDFVHRVLPGAAVHKLPYEGHFTYLYFCDECHRQIFTTLFGTPQGPLNNTVEVEQTSFDDIEAQEEVTQGDFMTG >OMO71207 pep supercontig:CCACVL1_1.0:contig11707:660:5411:-1 gene:CCACVL1_18366 transcript:OMO71207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSIDLSVYLEHSNKRRLFLPSMSKCMFEPILTFLHPFPSILPSALTLACSPDLQQLRLNVGNKNPPYMRLLCILSHSNKSSFSPFLRSSPLFYFPFSPPLSFYTLNMSQYQQRRGGNREQKWKEKPKPKPATSSSAANTDNLINKVGGFSISEHNGQIWKPKSYGTVTGPTAVADVQAEKTSVDFSKILKPNLLENFNVDNSTYSHAQIRATFYPKFENEKSDQEIRTRMIEMVSKGLATLEVSLKHSGSLFMYAGNEGGAYAKNSFGNIYTAVGVFVLGRMFREAWGTKAGEKQAQFNDFIERNRMCISMELVTAVLGDHGQRPLEDYAVVTAVTELGNGKPKFYSTPEVIAFCRKWRLPTNHIWLFSTRKSATSFFAAYDALCEEGTATSVCRALDEVADISVP >OMO61389 pep supercontig:CCACVL1_1.0:contig13494:4129:7450:1 gene:CCACVL1_23557 transcript:OMO61389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S26B, eukaryotic signal peptidase MMKSSQIRQVLYQAVTLGMIIASALIIWKGLICITGSESPIVVVLSGSMEPGFQRGDILFLYMNKDPIRAGEIVVYNDGREIPIVHRVIEVHERQDTKQADILTKGDANHMDDRVMYTSGQLWLQQKYIMGRAVGFLPYVGWVTIVMSEKPMIKYILLGALGLLVLTSKE >OMO61390 pep supercontig:CCACVL1_1.0:contig13494:17790:24234:-1 gene:CCACVL1_23558 transcript:OMO61390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cofactor of nitrate reductase and xanthine dehydrogenase MDRYVKTVPLAAEIFDVRRSQKLEMVKELKIEKARFRLEEEIGKSPPLSDEELWWEMRHKALELRDDYRIENRKAFANIWSDMVFGISLFLILYLNQSKVALLKFTGYKILSNISDTGKAFLIILITDIFLGYHSESGWQTLLEIIVEHYGFQIDSSAITIFVCFIPVILDACVKLWADSEVIPKTYATCCTNAAEDLVKDKANLVSDMLVDRFGRMHTYLRISLTERCNLRCHYCMPEEGVELTPTPQLLSHQEIFRLANLFVTSGVNKIRLTGGEPTLRKDIQDICFNLSSLKGLKALAITTNGITLAKKLPMLKQCGITSLNISLDTLVPAKFEFMTRRKGHHKVIESINAAIDLGYNPVKVNCVVMRGFNDDEMCDFVNLTRDKPINVRFIEFMPFDGNVWNVKKLVPYSEMLDTVSKQFPGLKRLNDPLTETAKNFKIDGHTGTVSFITSMTEHFCAGCNRLRLLADGNLKVCLFGPSEVSLRDPLRSGAGDEELREIIGAAVKRKKAAHAGMFDIAKTANRPMIHIGG >OMP07419 pep supercontig:CCACVL1_1.0:contig04454:5669:5875:1 gene:CCACVL1_01306 transcript:OMP07419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRSRGFCFGSRGFCFDLSKKPKPTYISINSPFLFQRWCQQQRKFHRKHHHSGYHGSLPLALGSMFV >OMO81478 pep supercontig:CCACVL1_1.0:contig10163:29043:29929:1 gene:CCACVL1_12392 transcript:OMO81478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSSSSNSESLHLDSPHSPLRFHSPLRSDQGDPEPDNTSPPYASPAASPTKPVDNSKAIVAIDKSTQFNANSSPFIMPPPPPTISPQQSLHVTVNRAVREEGPGVVTARTKSGSGGGARAVAAVLRRSKVRETVLMAALGFRLTEVVLCLISFSVMAADKTQGWSGDSYDRYREYRYCLSVNVIGFVYAGFQAYSLAYYLITETHVIRHHLRQPFDFFMDQASFLFKF >OMO81477 pep supercontig:CCACVL1_1.0:contig10163:14263:20222:1 gene:CCACVL1_12390 transcript:OMO81477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSNHVCGVGQSISMPHLKPFCYIDFSSKKPSNFSFVLGWNWSLASAQNRPSLRHHHQPKNSSLRFCCSNSSNSTNSNTNSSTASSEWDWNRWSRHFSEIEQAESYASVLKFQLEDAIEKEDFQEAAKLKLAISEVAAKDSVAEIMSQLKNAIVEERYHDASRLSRHTGSGLVGWWVGYSKNSDDPFGKLVRITPGVGRFVARSYSPRQLVSASPGTPLFEIFVVKEDEETYLMQVVYLRRAKGSSISSTSSPSKPTKNPPASEVEDASVIDVQGNEAKAERSDEKGIDIEGATEEGIKSVINFLKNKIPGLKVKVMNVDVSEEVIDNDSVKQLMQEDDSETGSTDNSDDETNDVEEIQPDGVALGEGSNTAEDVKDLDMKLFIGGLVHNNEDTPTKDEYVRLPADIKDLQRDSFVLHVPKRSLDDETGENKAYKVKIAAIAAQGVSELMPPDVAKALWISDKISPKDSRDVREIVKLAVSQARRRSRLSEYTNFNRISSDNGNLDPFEGLYVGAFGPYGTEIVQLRRKYGRWNDEDDKSSDVEFFEYVEAVKLTGDLNVPAGKVTFRAKIGRESRLPNRGMYPDELGVVACYKGQGRIAEFGFENPRWVEGELLQLNGKGIGPYVKGADLGFLYIVPEQSFLVLFNRLRLPD >OMO95931 pep supercontig:CCACVL1_1.0:contig07570:20533:22820:-1 gene:CCACVL1_05163 transcript:OMO95931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MANKRQKLARKKFKEANPELFPTPEATPAKDPNKKKKKSLFKRKKGDPKGPIDPSKKGFRKHPLRVPGMRPGESCYICKAKDHIAKLCPEKAQWQKNTICLHCRHRGHSLKNCPEIGEKKLCYNCGETGHSLSQCPQPLQEGGTKFAKCFICKETGHLSKNCSKNTHGIYPKGGCCKICGGVTHLAKDCPDKGKRASAAANRHDGTSIGNGERPTGQVTKFVSGDDLEDDFMT >OMO95932 pep supercontig:CCACVL1_1.0:contig07570:25299:27386:1 gene:CCACVL1_05164 transcript:OMO95932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGDNELQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEEDYEYEEEEEPEEDE >OMO95928 pep supercontig:CCACVL1_1.0:contig07570:158:1352:-1 gene:CCACVL1_05160 transcript:OMO95928 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MLIFLFDRGQGFRVRKIDGVVDFEEDYVAELRWRHIRGGGSGGGGVALWQTIKHMIEDGCADTEIPLPNVNSQTLSKVLEYCKKHVEAAADKETEPEDDKETEPEDDMKAWDTDFMKTVADMMKGKSPEEFRKTFNIKNDYTPEEEAEVKKENQWAFE >OMO95930 pep supercontig:CCACVL1_1.0:contig07570:19018:19763:-1 gene:CCACVL1_05162 transcript:OMO95930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MANAASGMAVQDECKLKFLELKAKRNYRFITFKIHAQQVVVDKLGSPEETYDDFQATLPANECRYAVFDFDFTTDENCQKSKIFFIAWAPDTSKVREKMVYASSKDRFKRELDGIQVELQATDPSEMSFDIIKARAL >OMO95929 pep supercontig:CCACVL1_1.0:contig07570:10058:10505:1 gene:CCACVL1_05161 transcript:OMO95929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipopolysaccharide-modifying protein MHHYWPIKDDDKCRSIKHAVDWGNSHQQEAQAMGKAASEFIREELKMDYVYDYMFHLLNEYSKLLRYKPTVPRKAVELCSETMACPARGLEKQFMMESMVKGPSVTSSS >OMO95933 pep supercontig:CCACVL1_1.0:contig07570:32189:33230:-1 gene:CCACVL1_05165 transcript:OMO95933 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAR1 protein MASIFSLKTLAILALAFAFCLEATLGEITCEHLDEETCAYAVSSTGKRCVLEKHVRRSGAEEYTCRTSEIEADKIKNCIETDHCVKACGLDRKSFGISSDSLLESHFTEMLCSSACYDNCPNIVDLYFNLAAGEGVFLPKLCEVRGRNARRGMAEIKSSGFVAAGPINSVNAFAAPAPFAAVSLSDGAPAPSEAED >OMO86032 pep supercontig:CCACVL1_1.0:contig09542:52716:53793:1 gene:CCACVL1_09850 transcript:OMO86032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARVPISNRNESWTNEKHVHFLNSMEARFVRTMLENNGRHSNLRLDRQLPDSSDSTLDFNRNQTRKKHSTSVDFIGTSSKSKMKARPDKRSRRPPPPCSQPHDHASSQDQVVPQIETRMGDNKDEKDLPNIVPVQLYPYHHHLQQTD >OMO86033 pep supercontig:CCACVL1_1.0:contig09542:57648:59036:1 gene:CCACVL1_09851 transcript:OMO86033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFFQAYKNLILQTSLSLSLSLIFTFLKFPIFFLHGLYTYIHPENVGNKPSSSGVRAAIRRPSASDSGSGLEGVSLSSKTNAELKKRNKSKEKFEFDENNAQIFRMKLDEGHLQTRLFFNEYHNSFVFSFVGISCLLLYKYLGRSESSGVLANGDLIPIIFVVIGLIKVFVALSKITFEKSASKRSEKRLSLTFGVIGFLIGIVSLSGILPSVFDFHFDSIEGSWRVFIALSMGFISAFLYMPAGKNARSFWLGTDQIRCDLSIISCGWFARMILYANYLVTVFTALLWVNPLTAILVNKNGYDGQGPIEKLAGNVGFSKLDFARLRVLCLMLSGILQILAVRPNLQMFLNEAVLSWYQRLHASKVPDLDFSRAKVFLHNHYLCLAVLQFFAPPVLVLLFLGLSQIDSNSFEKYHLVCGLLPCSAIVKEVAMFMAWWIVFVWAVITSTCLVLYRDGILYVY >OMO86034 pep supercontig:CCACVL1_1.0:contig09542:63823:64899:1 gene:CCACVL1_09852 transcript:OMO86034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding protein MAPPPVEQNGEATTAAAESQRSVPTPFLTKTYQLVDDHTIDDVISWNDDGSTFIVWNPTVFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNDFFRRGERRLLCEIQRRKISSATAVPMMIPPVTVAAIPIAKPILSPSNSGDEQSPVLSSTSSPSRPGQTGTVTAELMEENEKLRKENVKLNKQLAEMKSLCNNIFTLMSNYATSQSESSYSETKPLDLLPAKRLSSEGEEAVEEETSPRLFGVPIGGVKRAREEGEGVAAVDETQLQLQQPSRNGKEKIKSEPLDCQNAGRDGDRGNQDTPWLRQLHRANQRVCN >OMO86030 pep supercontig:CCACVL1_1.0:contig09542:22226:26757:1 gene:CCACVL1_09848 transcript:OMO86030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1, alanine aminopeptidase/leukotriene A4 hydrolase MHNHSSIGRGHIIHFKHSRGGKHYTLWEDPFKKPCYLFALVAGQLESRNDVFVTRSGRQVSLRIWTPAQDAPKTAHAMDSLKAAMKWDEDEFSSDLGNRTVKRIADVSTLRNYQFPQDAGPMAHPVRPHSYIKVDNFYTGKASNFAGVFLCLFFPFSQVYEKGAEVVRMYKTLLGTQGFRKGMDLYFERHDGQAVTCEDFFAAMRDANDAGFASNFLLWYSQAGTPVVKATSSYNADTHTFALKFSQEVAPTPGQPVKEPMFIPVAVGLLDSSTGKDIPLSSVYHDGTLLQSISSNNIQPLFSTVLRLTKEFIAEAMTLPGEEEIMDMMEAADPDAVHAARAFIRKELASQLKSEFLSTVENNRSSEAYVFNHPNTARRALKNIALDPEITKLALHEYNTATNMTEQFAALAAIAQNSGKAHDDVLADFYSKWHHDFLVVSEWLALQAMSDIPGNVENVQNLLNHPGFDLHNPNKVK >OMO86031 pep supercontig:CCACVL1_1.0:contig09542:38681:42681:1 gene:CCACVL1_09849 transcript:OMO86031 gene_biotype:protein_coding transcript_biotype:protein_coding description:C-5 cytosine methyltransferase MLVDLNKDACESLKWNHPETEVRNESADDFLALLKEWKRLCDSFSVSKPEVLEKQAVNPSCTEDGGNDCEEEGDTEGKVFEVEKILSIRYSDLDPDTGRSGLHFKGDVDVICGGPPCQGMSGFNRQLKVYMEIVEYLKPKYVLMENVVDIVKFAEGYLGRYSLAQLIHLNYQVRMGMLAAGAYGLTQFRMRVFLWGARPTEKLPPYPLPTHDVVVRGVIPREFEKNTVAYNEGQQVELEKKLFLEDAISDLPAVENNEHRDEMDYVKHPQTEFQRFIRLTKQGGNLFIFLYDHRPLELNNDDYKRVCLVPKRKGANFRNVKGVLVRGRKVDWDPNIKRVYLKSGKPLVPNYAMSFVKGSSTKPFARLWWDETVPTVVTRAEPHNQAIMHPEQDRVLTVRENARLQGFPDYYKLFGDIKERYIQVGNAVAVPVARALGYALGLAYQGRAGDEPYTKLPHGFPNIIAKDSCEDDS >OMO87710 pep supercontig:CCACVL1_1.0:contig09195:9703:12371:-1 gene:CCACVL1_08810 transcript:OMO87710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bile acid:sodium symporter MTSITPFLSLAFHPTTTTTGFASKPKQLSISSRPATSFRCSCLSNGLKLDGRKGFFNIKSSNGGGGLFVFACSTPSYVGRVGSQRLSFGNRTGGGAAQVAKKGDDLSQVLSAMLPFVVAATAVAALVQPATFTWVSKEMYAPALGGIMLSIGIKLSFDDFALAVKRPLPLSVGFIAQYMLKPILGVLIAKAFGVSPIFYAGFILTCCVAGAQLSSYASFLSKGDVAVSILLTSFSTIASVLVTPLLTGLLIGSVVPVDAIAMSKSILQVVLGPVTLGLMLNTYAKPVVNILQPVMPFVAMICTSICIGSPLALNRSQILSKEGLQLIFPVLTFHTVAFAVGYWVSKIPAFRQREEVCRTVSLCTGMQSSTLAGLLATQFLGGTQAVPPACSVVAMAIMGLSLASFWGNGFRIRNLPSLLIPPTGSAAVQA >OMO87711 pep supercontig:CCACVL1_1.0:contig09195:18682:20248:-1 gene:CCACVL1_08811 transcript:OMO87711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDSGVAGGWRREEGKEVTDSSEQESSTVMNEEASSGAG >OMO78193 pep supercontig:CCACVL1_1.0:contig10623:47:3626:-1 gene:CCACVL1_14583 transcript:OMO78193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPSSPATLDPSTNSQSKPDSDNPNPQPDPSPSSTSSAPLQSNPNPSAPLLSPQPPPAIPSYLPVPQPISGAPPAVAPAPPSFRPVPLFSPLPNFQPPGVQPPGVSAAPGSAPHLMQFQVPPGQVPNPALRQFAPIPNGYATVPGAVHQGTMPPPGLVRYPYPAMIRPAFPPRPIGVIPAVSRPHIPGVPGVRPIIPPVIRPAVVPNVTPAEKPQTTVYIGKIAPTVDNDFMLSLLRLCGPVKSWKRAQDPTNGTPKGFGFFEFESAEGVLRALRLLNKFNIDGQDLVLNVNQATRDYLERYVKMKVENAKKLSEAQAAGAEKEGENAVGDEKTESSTPSIEDSKKDSDTGNKENIDIANSGIVTDEDREADQEASEKLASMIEERLKTNPLPPPPPQGAPDGSGKSSSDLPAESRDGDSDVDAMRNDGAEGKNDDENTSESKATTENDRPGTSSPDRRHGRRSRDREQDFKREKEREIERLERETERERIRKEREQKRKMEEAD >OMO78194 pep supercontig:CCACVL1_1.0:contig10623:4343:6368:-1 gene:CCACVL1_14584 transcript:OMO78194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleoside transporter MGISGATDGGPEHDSEAALLLHSALKPSKVDKFHLAYIIYFTLGVGYLLPWNAFITAVDYFSYIYPEASVDRIFAVVYMLIGLTCLVIIVLYSHKSEAYMRINVGLGLFVVSLLVVPVMDAFYIKGRVGLYDGFYVTVGAVALSGIADALVQGGLVGAAGELPERYMQAIVAGTAGSGVLVSLLRVLTKAVYPQDADGLRKSANLYFYTSIAVMALCTVLYNVVHRLPIMKYYEELKLEAIKEEKENKGPMTGPVWRANLWNVVGTVKWYGFGIVLIYIVTLAIFPGYITEDVHSLILKDWYPILLITCYNVFDLVGKSFTAVYNLENANIAIAACVVRLLFFPLFIACLHGPQFFRTEIPVSILTCLLGLTNGYLTSVLMMVAPKAVQIQHAESAGTVMVLFLVVGLAAGSVIAWFWVI >OMO78196 pep supercontig:CCACVL1_1.0:contig10623:12208:14271:-1 gene:CCACVL1_14586 transcript:OMO78196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MIQKSNGSSRRILTYPAVHPCDSISAVTLLNSLIDLGREICSYKSKFFSTNKRNARETFRQITNLLLFLEEIRNATSDPPDQLILSLSELHLTFQKFRYLLEDCTRDGARAWMLVNSDRVANQFRIVIRAMATGLDVFPLSVVDVSNEAKELVELIIRQGRKATFESDPDDKRAVRDLMRILNCFEGGSAPDPVEIKRILDHLGIKKWSDCNKEVKFLDSEIETEYANGENKRELELLSSLMGFMSYCRGVIFDSVDIHSQHLNGQCNSGEALKGINGDDLKCPISLEMMSDPVTLSTGHTYDRCSILKWFKSGNATCPKTGEKLRTTELVPNHVVKGMIQQFCAENGVVFAESTRKHHRDISRTAMAGSLAGEGATKMLAAFLVNKLVNGSVEEMNRAAFEIRVLTKTSIFNRSCLVESGAVPHLLKLLLSTDSNAQENAIAALLNLSKHSKSKGVMVEINGLDSILHVLTKGLKMEAQQHAAATLFYLASVEENRVLIGENPEAIPGLVQLIKNGNDNCKRNALVAIFGLLSHPGNHWRALAAGVVPLLLNLLKDSEREDLITDSVAVLATLAEKVDGTVAILRRGALQMLVGILSSSTSKTGKEYCVSLLLALCVNGGLDVVARLVKNPSLMGSLYSQLSEGSSRASKKASALIRILHEFSERSSAASVTRSPVLPRERFVHVW >OMO78197 pep supercontig:CCACVL1_1.0:contig10623:35682:36912:1 gene:CCACVL1_14587 transcript:OMO78197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 16 MISLFCMSSLVSSHNKHYTTPSVPRLTDLFSHVSIDKGCSYAFGGPNIKLLNNGSMATLSLDKTSGSGLVSKNKYHYGFFSAAIKLPAGLTSGVVVAFYLSNVDTNPHNHDEIDIELLGNDKRLDWTLQTNIYANGVSTGREEKFYFWFDPRQQHHYYSILWNSHHIVFLVDNIPVREFPNNGKFTSSYPSKPMSLYVTIWDGSEWATHGGKYPVNYKYAPFVTSLADLEMAGCSANPAKPPQQAAATPGEAAAASSCSNTNSSLSSKVDPVQGADFAKLSNQQMSAMTWARSKLMFYSYCKDPSRYKVLPAECT >OMO78198 pep supercontig:CCACVL1_1.0:contig10623:39507:43762:-1 gene:CCACVL1_14588 transcript:OMO78198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALRISSLLSRSSAASRLSKGPIAGLGRVVPKYSTFAAVENPETPVKVNYTQLLIDGKFVDSVSGKTFATYDPRTGDEIAHVAEGDAEDINRAVVAARKAFDEGPWPKMTAYERSMVLFRFADLIDKHAEELAALETWNNGKTYEQASKAELPMISRLIRYYAGWADKIHGLTAPADSAHAVQTIHEPIGVAGQIIPWNFPLLMFAWKVAPALACGNTIVLKTAEQTPLSALYAAKLLHEAGLPSGVLNVVSGFGPTAGAALASHMDVDKLAFTGSTQTGKIVLELAAKSNLKPVTLELGGKSPFIVCKDADIDTAVELAHFALFFNQGQCCCAGSRTYVHESVYDEFVQKAKERALKRTVGDPFKAGIEQGPQIDSEQFEKILRYIRSGVDNGATLETGGERLGDKGYYIQPTVFSNVKEDMLIAQDEIFGPVQSILKFKDIDEVVRRANNTSYGLAAGVFTKDIDTANTLTRALRVGTVWVNCYDVFDAAIPFGGYKMSGQGREKGIHCLSNYLQVKAVVTPLKNPAWI >OMO78195 pep supercontig:CCACVL1_1.0:contig10623:9351:11600:1 gene:CCACVL1_14585 transcript:OMO78195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVCQVKMRKVAADLSTSDHRFKHEAIFSIMIYVFVLFATIDFAFSQAPTSNCILDIKNSSYTEESNCDLGNWGGFINNSCCGGAFEEYLYALGKQANLTKAIYLNSTEQSICLTSMERFEKNILDCGIQQLTSGYGGCSDYTVEDVVGKLGDRLRNLQEDCKELGTDSRQQDHFCGTCSRRWEEISGSSDYEHDSTSDEVDVCRFAVLISMISYRINDEKWVYPVFHCLRAQALPLGLWILIGVLVGAVLIIATAIWIFFRKRKVESLPVEKDGKIEVSVSEQSGSLKISMRELNLATNHFNPSNFIGQGIAGKVYKGLLSNGVPIAVKHIINDGQIETFVREVRSLSHIKHPNLVALLGYCENEDECFLVYELCHHGNLAEWLYGKDKVLSWTQRLKIAIDSAKGLWFLHTYPEGRIIHRDIKPTNILITDKFRAKLADFGLSKVMDIGQSYVSSEVRGTFGYVDPEYRRNHHVNASGDVYSFGKKSH >OMP05442 pep supercontig:CCACVL1_1.0:contig05390:1015:1580:-1 gene:CCACVL1_01903 transcript:OMP05442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDPKSTTEPPFPTTRSDLRQGSVIDLTVADKDDLYSSLNLFRVDDLYLLIGFASLNERSMGNETMLPLASLELLETP >OMO94935 pep supercontig:CCACVL1_1.0:contig07773:13849:20877:-1 gene:CCACVL1_05685 transcript:OMO94935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFILLREKRDESGAELPDQTITKTKSRSRGRSKFAISCHLFF >OMO94936 pep supercontig:CCACVL1_1.0:contig07773:24000:24281:-1 gene:CCACVL1_05686 transcript:OMO94936 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBL-interacting protein kinase MAKVVSFTVRKKDCRVSLEGSREGVKRPLTIAAWIFELTPALFVVEVKKKGGDRGEYEEFCNKELKPRLQMVMEESRSAAAAADASHLPSDTE >OMO67204 pep supercontig:CCACVL1_1.0:contig12461:10613:12049:-1 gene:CCACVL1_20710 transcript:OMO67204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med20 MPNAGSTVNSQILNEVSQCVESINGVKEGRWKATLTFYKPILRDQALAVDFPRDFLGMSLPEQPNKYYFILRAHKIVVELDASIQVIMEKLQSYKSRVALNFEGFQYQLGDFQLRVGKVVPTHSENLRGIVMEVEYLPISSLEKSRQIMEDFIDIWQDAVSKRSLPGHFLHIEPNFSDYGLGDHYTSQHTAAQYAQVMAQLIASVQAVQAARN >OMO67203 pep supercontig:CCACVL1_1.0:contig12461:7016:9797:-1 gene:CCACVL1_20709 transcript:OMO67203 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase III Rpc4 MEQKDSKPNVARKMRFAPKAPPRRAVKQEVKTEEVEETDAAQARDLLQRFNVHQASMRTKPKFEKKVAPSQVAIGHGGGSASIRSFGFSKGASHSSRETFNGMLSLNKKEEKEYKEPWDYYSYYPVTLPVRRPYAGNPALLDEEEFASENNTFDESSDQPATELGLMEENLEPSMFFIQLPPTLPVIKQSGSAAGSEVASSSKSAASVGSSKESNGLEELPAGHMGKMLVYKSGAVKLKLGDTIYDVTPGLNCVIAQDVVAINTAEKQCCVVGELNKRAVLTPDITSILNSLPDL >OMO67208 pep supercontig:CCACVL1_1.0:contig12461:42192:50674:1 gene:CCACVL1_20714 transcript:OMO67208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETHSQGNPTLFSPYKMGRFNLSHRVVLAPMTRCRALNGIPRPALAEYYTQRSTPGGLLITEGTMISDTAAGFPHVPGIYNEEQVEAWKKIVDAVHAKGSIIFCQLWHVGRASHSVYQPGGAAPISSTSKPISKRWRILMPDGSYGIYPQPRALQISEIQEVVEDYCKAAVNAIRAGFDGIEIHGAHGYLIDQFLKDGINDRTDEYGGSLANRCKFLMQIVQAVAGAIGADRVGVRMSPAIDHLDATGSNPLNLGLAVVERLNKFQQQIGSKLAYLHVTQPRYHAYGQTESGTHGSEDEEAYLMRTLKRAYQGTFMCSGGFTRELGLQAVADGDADLVSYGRLFISNPDLVLRLKVNAPLNRYIRKTFYTQDPVVGYTDYPFLSEAKETTFLDDAQVKKLKGVLGGTDLNGPQGPAGYSCKKVSAVTILDFALFANDLPSDIIEQTTFLDDATVKKLKGVLGGTG >OMO67209 pep supercontig:CCACVL1_1.0:contig12461:55214:57162:1 gene:CCACVL1_20715 transcript:OMO67209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mob1/phocein MSLFGLGSRNQKTFRPKKNAPSGSKGAQLQKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNILYGTLTEFCTPNNCPTMTAGAKYEYRWADGVTIKKPIEVSAPKYVEYLMDWIESQLDDEAIFPQKLGAPFPANFRDVVKTIFKRLFRVYAHIYHSHFQKIVNLKEEAHLNTCFKHFVLFTWEFRLIDKGELAPLSDLVDSILQL >OMO67210 pep supercontig:CCACVL1_1.0:contig12461:58333:62382:-1 gene:CCACVL1_20716 transcript:OMO67210 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MGHHTQHHHHNTSDGVSQRVNSPRFSGPMTRRAHSFKRNNGNSSSSQANNNSNNAVASNNGSNNSGSSNGSNLSVHHEIDLQLSSPRSEIPAVGAISIEGLSQRKGVFRKTSVGSMVLDFGLKERKKLGHWMFLVFCGVCLFLGVFKICATGWFGSAIDSVTSNQGLSDPITNRLKLMDQGSQDYGHKEGGSDSDRTLMTVTSSITEICDMVAVAKVLKATLVLPSLDHTSYWADESGFKDLFDWRHFMETLKDDVHIVETIPDAYAGIEPFNKTPISWSKVSYYKSEILPLLKQHKVIYFTHTDSRLANNEIPSSIQKLRCRVNYRALKYSAPIEELGNILISRMRENGSPYEKDMLAFTGCSHSLTAEEDDELRQMRYEVSHWKEKEINGTERRLLGGCPMTPRETSLLLRALGFPRSTRIYLVAGEAYGNGSMEYLKEDFPNIFSHSSLSTEEELNPFKNHQNMLAGLDYVVALQSDVFVYTYDGNMAKAVQGHRRFENFKKTISPDRMNFVKLVDDYDEGSISWKKFSSKVKKLHKDRIGAPYWREPGEFPKLEESFYANPLPGCICEKTEGM >OMO67207 pep supercontig:CCACVL1_1.0:contig12461:24704:36178:-1 gene:CCACVL1_20713 transcript:OMO67207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSEDSGSPGWSASFFMQTTEDMARAVAAAAAAATAARSPRPSAVYSSKDENGGSRFEKLQRHVSKVLKGFSQPTEVRSGTYNPEVLTSQKRQWASFQLQYLDHRSLKEPSRLFESMVVVGLPPNCDIQALQRQYVSRKFEGSGKLRSALSFNSRVEPNLEPQVLFVYPPEKQLPLKYKDLLSFCFPGGVEVHAVEKTPSMSELNEILLSQEHLKQSDLSFVFRLQVADDSTLYGCCVLVEEVVQKPSGLLSLISDRQPAYPSLSRYVMTTRRCYCILSRLPLFELHFGVLNSIFDEERLERLTKSIGDIELELSESYSNEAIVDDESTDQGALEDKQLDTSELSSGDTKSGDTADSNSLENQTPERDFESNKAVNHDTVVAIDLETDTFASKKESSGANPEDYDNDVDDFATTKQVAERRLPNAVLPLLRYYQYESSESSCSFQGSPCEDRNLRSDVDDTETEEASISGQEDSSDHLDILEWAKANNHGSLQILCEYYRLPCPARGSTVRFHPLEHLHPLEYHRPDEKVLHIAGSTIDLRSCTTSLEFAEAHTALSVEEEATALSTWAVACMCGSLRLEHVLTIFAGALLEKQIVVVCSNLGVLSATVLSIIPLIRPYQWQSLLMPVLPDDMLDFLDAPVPYIVGVKNKTSEVQSKLANVILVDANKNQIKASAIPQLPQHKELFACLSPYHAKLVGESYLGRRRPVYECTDVQIEAAKGFLSVLRSYLDSLCANMRSHTITNVQSNNDKVSLLLRESFIDSFPSRDRPFMKELEHQALIFKYIISGIPIPPDLLYTVKRSCLDSSLSSRLFSRHPQHMGWNCFQMGLGRKVDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVTATSISNPSTNISSITKTYNNPCSFSSLSSISLSSSSAAAAADSQQLHHQLRYPPAYNSQVNHNHPFIYSHASRPQENTTHSLLDSGPYSHHTNSDHYRRNGYVYGVKEEIDEHAFFSEPSGTMRSFSGSSVDDPWQLTPLTMSSSSSKQRNCSGLQSDHQYSYLQLQSLSDHPSKIKQEGDEQHCYVLGSDFKCHEMPNTIKLERGEPEKTTVHRFFDEWPPKQRDSSWLDLDDNKSSNNSSSVSTTRLSISIPSTSHDFPIFNSRAHHNDG >OMO67206 pep supercontig:CCACVL1_1.0:contig12461:23131:23235:1 gene:CCACVL1_20712 transcript:OMO67206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPESRPKQNPLTLPEASDSATATGFLLFLPKA >OMO67202 pep supercontig:CCACVL1_1.0:contig12461:4505:5130:1 gene:CCACVL1_20708 transcript:OMO67202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MKEFIKQITDAWSKPINLTHQIVPRVDPFTLDNVLKYGEISYCWAGTRPRLILKDPELMKEVLANKLGHFQKPPINSLILILSRGLTTLEGEQWSKRRRMINHAFHLEKLKVPFFTFLLFHRSHCSKPFIMLLMLLDCSVVSGNDTGFRIELWRDDRAVEENG >OMO67205 pep supercontig:CCACVL1_1.0:contig12461:13643:22276:1 gene:CCACVL1_20711 transcript:OMO67205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MACVYIPVQNSEEEVRVALDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKIEQFRQILEEGSSPEIDEYYADVRYERIAILNALGAYYSYLGKIETKQREKEEHFILATQYYNKASRIDMHEPSTWVGKGQLLLAKGEVEQAFAAFKIVLEGDRDNVPALLGQACVEFNRGRYSDSLELYKRALQVYPDCPGAVRLGIGLCRYRLGQLEKARLAFQRVLQLDPENVEALVALAIMDLQANEAHGIQKGMEKMRRAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYEKAGYYYMQSIKETNKPHEFVFPFYGLGQVKLKSGDFRSALSNFEKVLEVYPDNCETMKALGHIFVQLGQIEKALEFMRKATKIDPRDAQAFVDLGELLISSDSGAALDALKTARSLMEKGGQAVPVEVLNNIGVLHFEREEFELALESFNKALGDGIWVTLTGNKPKSYVIEASASILDYKDMQLFHRFEEDGYSVELPWNKVTVVFNLARLHEQLHNTGTANLLYRLILFKYPDYIDAYLRLAAIAKARNNLQLSIELVNEALKVNDKCPNALSMLGELELKNDDWVKAKETFRSASDATDGKDSYAILSLGNWNYFAAIRNEKRNPKLEATHLEKAKELYTRVLVQHTANLYAANGAGVVLAEKGHFDVSKDIFTQVQEAASGSVFVQMPDVWINLAHVFFAQGNFALAVKMYQNCLRKFYYNTDSQILLYLARTHYEAEQWQECKKTLLRAIHLAPSNYTLRFDAGVAMQKFSTSTLQKEKRTADEVRSTVAELENAVRIFSQLFAASNLHLHGFDEKKINTHVEYCKHLLEAAKVHREAAEREEQQNRQKQEAARQLALAEEARRKAEEQRKYLLEKRKQEDELKRLQQAEEHFKRVQEQWKSSNPASKRRDRSEIDDEEGGQSEKRRRKGGKRRKKDKNKSRYERDDEGAEMVDDREELEDEDANMNYRESADQMNDQDDENGEHAQDLLAAAGLEDSDVEDEGAAPSTAGGRRRRAWSESDDDEPAQRGTESSPVRENSAELQDSDGEIREDNSKLNGGAALDDDED >OMO71123 pep supercontig:CCACVL1_1.0:contig11724:4095:4244:-1 gene:CCACVL1_18434 transcript:OMO71123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRLLHHNHHGSTLKASTNVELVFLPLSLAIASSKTPCKLPQIFETRNPS >OMP04719 pep supercontig:CCACVL1_1.0:contig05683:10804:10893:1 gene:CCACVL1_02142 transcript:OMP04719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTPQTKLAVGYGFGSRILVINFHLKRQ >OMO73670 pep supercontig:CCACVL1_1.0:contig11194:31041:33763:1 gene:CCACVL1_17191 transcript:OMO73670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c1 MAGNLIHQVLRRKLHSQSAVPALSWFTSRKANEDAGSAGMRAVALVGVGISGLLSFATVASADEAEHGLAVPNYPWPHSGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVNIREGLHYNPYFPGGAIAMPKMLIDGAMEYEDGTPATEAQMGKDVVTFLSWAAEPEMEERKLMGFKWILVLSLALLQAGYYRRLKWSVLKSRKVIVDAIN >OMO73667 pep supercontig:CCACVL1_1.0:contig11194:15955:21462:-1 gene:CCACVL1_17188 transcript:OMO73667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILFAQIQADLRSNDALRQSSALLSALQQSAAGRDISVIAKSAVEEIVAAPASAVCKKLAFDLIRSTRLTADLWESVYIGIRNDFHFPDPDVVAAAVSILAAVPSHSLSRIITDANAEISNCFDSPSDNLRFSITETLGCILARDDLVTLCENNVNLLDKVSAWWARIGLNMLDKSDTVSKVAFESVGRLFQELDSKRMSRLAGDKLVDSENSLAIRSNWVSSMVDFVWKKRNALMARSLILPVESFRATVFPLVYAVKAVASGGLEVIRKISKGTKVNGTVVDSNAEKLVGVSDLVTHLAPFLASSLEPSLIFDVGINMLYLADVPGGKPEWASQSIIAILTLWDRQEFASARESIVRAVVTNLHLLDLHMQVSLFKRLLVMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLAGTDIASLFEDARVKDDLHNVISKSLFREELVATLVESCFQLSLPLPEQKNSGMESRVIGALAYGTGYGALNWTETALEVVEVCRPCVKWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKRVKDGASQDQILNETRLQNLQRDLVKELREVNTPRICARLIWAISEHIDLEGLDPLLADDPEDPLNIIVSNIHKVLFNVDASANTTNRPQDVQAVLLCAQRLGSRHARAGQLLTKELEEFRTNGLADSVQKHQCRMILQRIKYVSSHPDYRWAGVSEARGDYPFSHHKLTVQFYDAAAAQDRKLEGLVHKAILELWRPNPSELTLLVSKGIDSTSLKVPPIARTLSGSSDPCYVEGYHLADAGDGRITLHLKVLNLTELELNRVDIRVGLSGSLYFMDGSPQAMRQLRNLVSQDPVLCSVTVGVSHFERCGFWVQVLYYPFFGSGAVGDYEGDYAEEDPQIIRQKRSLRPELGEPVILRCQPYKIPLTELLLPHKISPVEFFRLWPSLPAIVEHTGTYIYEGSGFKATAAQQYGSSPFLSGLKSLSSKPFHRVCSHIIRTVAGFQLCYAAKTWHGGFLGMMVFGASEVSRNVDLGDETTTMMCKFVVRASDASITKQIESDPQGWLDDLTDGGVEYMPEDEVKITAEEAEHRAVQAAVLQEWHMLCKDRSIKIS >OMO73665 pep supercontig:CCACVL1_1.0:contig11194:10055:11837:-1 gene:CCACVL1_17186 transcript:OMO73665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MADYHFVYKDVEGASTQWDDIQRKLGNLPAKPPAFKPAPFEPAPDPDSAPKDESWIDKKTEEELEDLEDDLDDDRFLEDYRKKRLAELREAAKIAKYGSVIPISGSDYVREVSQAPQDVWVVVVLYKEGFPECELLLQCLEELAVKYPATKFVKIISTDCIPNFPDHSLPFLLVYNNGAKKAEYVGMRSFGKRCTPEGVALVLCQSDPVLNDGQSGSNTSRQSVLEGVRRRFIEKVVTEHEDRDDGSDSD >OMO73666 pep supercontig:CCACVL1_1.0:contig11194:12150:15125:1 gene:CCACVL1_17187 transcript:OMO73666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMEGLVTQIQGLSSTASDVALLQNTLKQADDALHAESTRLLPFLEQLDPSKHSLGYLFFLEACTSGPVSKEQASTFVLIIARFISSCAAEQIRLAPDKFISVCKRFKDQVLLLEEPLRGVAPMLTAIRKLQSAEHLTTLHPDFLLLCLLSKCYKIGLSILEEDIFEVDQPRDLFLYCYYGGMICIGQKRFRKALELLHSVVTAPMSTINAIAVEAYKKYILVSLIHNGQFSTSLPKYASSVAQRNLKNFCQPYTELANCYNNGKIAELETYVLANSEKFESDNNLGLVKQVVSSMYKRNIQRLTQTYLTLSLQDIANTVHLNSPKEAEMHVLQMIQDGEIYATINQKDGMVRFLEDPEQYKTCEMIERVDSSIQRLMSLSKKLTVMDELMSCDPLYLAKAGRERQRFDFDDFDTVPQRFNI >OMO73668 pep supercontig:CCACVL1_1.0:contig11194:23073:26433:1 gene:CCACVL1_17189 transcript:OMO73668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTCLHNKCYLPPSPYISFPLLKNPNPRIFFRKRNNLCLASASDTLVAGSGKEVVSKKDDEFGDLKSWMHKNGLPPCKVVLKERPSYDEKHRPIHYVAASEDLQAGDVAFSVPNSLVVTLERVLGNETVAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQMAVESPLLWSEDELAYLTGSPTKAEVLERAEGIKREYNELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAVQSCVVHLQKVALARRFALVPLGPPLLAYRSNCKAMLSAVDGAVELVVDRPYKAGEPIVVWCGPQPNSKLLINYGFVDDDNSYDRLIVEAALNTEDPQYQDKRLVVQRNGKLSVQAFQVYAGKEKDAVMDMLPYLRLGYVSDPSEMQSVLSSQGPVCPVSPCMERAVLDQLADYFEGRLAGYPTTLNEDESLLADPNLNPRRRVATQLVRLEKKILHACLQATTDMIDQLPDHTVSPCPAPYAPLLK >OMO73671 pep supercontig:CCACVL1_1.0:contig11194:35403:36596:-1 gene:CCACVL1_17192 transcript:OMO73671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHKDDDHAGSRTDLHKRRRMIMERSFSWEELPCGVLELIFSKLGVIEFLLMACVCKSWRAIIIAMMEQFMAKQGPPLAFYMSPNARKYCYFYNLYENRLYRATLPHLSGNKCIGYTCGYLVLADSTIAQKKPDIWLVNPFTRHELQFPRPPRPYCNVILGALVDNPVSDFVAVAISKLNPFLQFIRSSDAKWTCLEFHELKVEDEWRIVDAAVFQGKIYLLSNNGQIGNLVLKSKITKKPMVELLDVKKIQFTFNSERRYCHIVTTPSELYVVEISRCCISFVMNRLDFKTKQWETVKLQGKILYFGKSSKSIALTIPKPFEIQKTQLLEGKGENWRILGDGIGHVARCFVGEGNVVECYEIVLSTPKHWKPDIFIAPPVWYFPHLAMTVDPVYG >OMO73669 pep supercontig:CCACVL1_1.0:contig11194:27752:30127:-1 gene:CCACVL1_17190 transcript:OMO73669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch-type beta propeller MSDTVTLMNMQKRNGKESTLPPSPSTSKQEHQLQLASNRDSLKPRIFVVPSSAEEPNIVADKVGDGSVKKKKERVSERVLSATFADIPAPELPWEQMPSAPVPRLDGYAIQINNLFYVFSGYATLDHVHSHVDVFNFTDNTWCARFDTPKEMAHSHLGIATDGRYIYVISGQYGPQCRGPTARALVLDTETRKWSTLPPLPAPRYAPATQLWRGRLHVMGGGKENRHTPGLEHWSLAVKDGKALDKEWRTEIPIPRGGPHRACIVANDRLFVIGGQEGDFMAKPGSPIFKCSRRHEVVYGDVYMLDPEMKKWEVLPPMPKPDSHIECAWVIVNNSIIITGGTTEKHPETKRMMLVGEVFRFDLASLKWSVIGKLPFRVKTTLTGFYDGYLYFTSGQRDRGPDNPQPRKVIAEMWRTKLSL >OMP06240 pep supercontig:CCACVL1_1.0:contig05014:6356:6848:1 gene:CCACVL1_01661 transcript:OMP06240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclases/protein prenyltransferase alpha-alpha toroid VFEWNTIKERIDTIKAMLSSMEDGEISSSAYDAAWVALIEDVNGSWNPQFPSSLEWIANNQLPDGSWGDRRFFMAHDRLINTLACVIALKKWDVHQDKCQKGVCFFNENISKLEKENAEHMPIGFEVAFPSLLQLARSLNIE >OMO54524 pep supercontig:CCACVL1_1.0:contig14971:6190:6882:-1 gene:CCACVL1_27754 transcript:OMO54524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MKLISRLSSKRLFRSTKKDQTVSKSDPSSYSSGSGTSSSSSSSDSVSSIHKGHQYSSTATADLGTPTSVLPEISGDWSDFSTNFYLELFQAFKIIDKDNDGVITRSELEALLSKVATHPPSREEVSLMLSEVDGDGDGCISLETLMNQVVGPACDEPACEPELRETFDIFDTDHDGKITAEELMAFYREKIGDERCTLEDCRRMIASVDRNGDGFVCFEDFSRMMKELQR >OMP07925 pep supercontig:CCACVL1_1.0:contig04125:3565:4947:1 gene:CCACVL1_01191 transcript:OMP07925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MALLFKTQPFLFYLHLASCVLSLSSFSFSFTHGRSTTPNNSISLSFPLTSHRFNHLHQTDLQTSFVSESKQKASPRPRPRARASPASPYHYKTSFKYSMALIVALPIGTPPQTQQMVLDTGSQLSWIQCHKKKHTPPASFDPSLSSSFSILPCTHPLCKPRIPDFTLPTSCDQNRLCHYSYFYADGTLAEGNLAREKFTFSRSQSTPPLILGCATDATEDKGILGMNLGRLSFASQAKISKFSYCVPTRRGAGADQPGTGSFYLGENPNSRGFQYVNLMIFPESRSRPNMDPLAYTLPMQGIRMGGKKLGIPTSVFRPDAGGSGQTMIDSGSEFTYLVDEAYNKVREQVVGGRAGARLKKGYVYGGVADMCFDGNPTEIGGLIGDMVLELEKGVEISIEKERMLADVGGGVHCLGIGRSSMLGVASNIIGNFHQQNLWVEFDLPNRRVGFGKADCSRAAA >OMO55874 pep supercontig:CCACVL1_1.0:contig14576:33649:35923:-1 gene:CCACVL1_26941 transcript:OMO55874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCATKPKVLKGDDGEAPSPAPAPPPEPTKEPVPAVPEAKETANFVAVEGEKKVEEDNVHATGVAVLTKDFDIVEAKEVEVDDDQSNKRQSLSNLFKEKGSVETDSIPSEPVKESVEPVEMQQESAEPIKTEPVEPVKESVEPVAVQQESAEPIITEPVEPVAVQQESVEPIKTESVEPVKQESSSETEKHIATSTIADSSVAVNPEIEKSQPEPVSEKVETGKTIEATSAPAVQVTETQNKETSTEDKTEKTEVAK >OMO55873 pep supercontig:CCACVL1_1.0:contig14576:11084:27565:-1 gene:CCACVL1_26940 transcript:OMO55873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MGKKRVLCDPSEGVKPVIIMLMAEAVVAGANIFYKLAVIDGMSMGVLASYKFLFSTAFLLPLALIFERKSVAELTWKIAFLGFLSGLFGGPLTLNLFIETMTLTSVTFATAMSNLIPAVTFIFIVTLRMESLAIRTMAGKAKLVGSVLSLGGAMILTFYKGKEINFGSTNINLAKHEADDHHVNKTHALLGNQVLGSMLGLAYCLSFSIWNIVHGKLSENYPYKYSCTALMSITASIQSIIYAIIRDRNWSEWKLGWNIRLLSSFYTVLISYRFIFASAFLLPLAFIFERKNKAKLTWKIAFQGFLLGLFGGALGQNLFIGSLALTSATFATAMSNLIPAVTFLLLLILRLERLEMKTNAGMAKLVGTLVSIGGAMILTFYKGPQICACSTNIKILKYDHGENDHLITKTRLSLGNHVLGSILALIACFSYAFWFIIQAKMNENYPYIYSSSALMCISASIQATVYALITERNWSAWKLGWNIRLFTAFYTAVIIMLGIQAVLAGVSVLYKLAVNQGMSMQVLIAYRFIFASAFLLPLSFILERKNEAKLTRKIVFQGCLSGLFGGALGQNLFIGSLALTSATFAQAMSNLIPAVTFLLLLIFRLERLEMRTSAGMAKLVGTVISIVGAMILTFYKGPRICVCSTNINLDDDHHENHRINTHLSLGSQVLGSILALLSCFSFALWFIIQAKMNKNYPFIYSSTALLCISASIQSTIYALITESNWSAWKLGWNIRLLTAFYSGTISTGLIMVATTWCIRLKGPLFVSIFGPLALIYVAIAGTLVLNETLHLGRKVLVAYRFIFASAFVIPLALILERESSAKLTWKIMFQGFLSGLFGGALGPNLFIASLTLTSATFATAVSNLVPVATLILAVILRLETLGIKTKAGQAKLVGTLVSIGGAMVLTFYKGKEIKLWSTNINLAKHAGNHATASQHFTNHFLGSLLALASCTSFAIWYIVIAKISENYPFIYSSSALMCITASIQATIYTIITERNWEPLEQHLTVVLMTWCLRLRGALFVSIFNPVNLILVAIPGFLILDESLDIGSILGSVLIVLGVYVVLWGKAKEKKNSPQIPAEEDQNPVESETLDMQDLPISFLPDIATALAKLYDPLFQWFQTHPSPPTAIISDVLLSSWTTKLASNLKIPNICFFPFNVRAVYSWLNNPNRLDSFYRDAFTASFLSWGLVFNSFKELEGAKVEIIKENFVKHDRIWVVGPLPPVKASDKERGGPSSIPQDEVIAWLDSCHVDKSVVYVGFGSQITLTKRQMEAVATALEQSGVRFIWAVKDPMKRAEDDRRDDDQSVIPIGFEDRTAGRGLVIKGWAPQVAILRHRAVGCYLTHCGWNSALESIVAGVLLLAWPMQADHFHITRVLVDEFGVAIRVCNGLRSIPDPVKLGQIFVESTSMGQRPESVRAMELQKTALEAINKGGSSYQALDQLIQQISCFDAKIIRSSMKVLVSYRFIFASAFLIPLALILESRVQPSSCCNLNLGRYLKVREIGNQNQSRTCQVGGHFASIQATIYTIITERNWSAWKLGWNIRLLSAFFTGAIGTALTVVLMTWCLRLRGPLFVSIFNPVNLIFVAIAGFLILDERLDIGSILGSVLIVLGVYVVLWGKAKEKKKNPPQIPAEEDQIQNPVESETLDIVISSLQETNQSSDTTTSKENSIPVK >OMO55872 pep supercontig:CCACVL1_1.0:contig14576:1336:4010:-1 gene:CCACVL1_26939 transcript:OMO55872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLLTSFPAVCSFPRQPQQLNHISNRSKFHRFNVFHATQSHCEAVATKNISISGQEVEIVRRSANYHPSIWDYDYVQSLTSDYLDNESYKERARKLMEEVRKLLDNVVDPLEKLELVDNLQRLGLSYKFEDEIKKILKDISADFSSVKDNLYGTAVGFRLLRQHGYNVNQVVFSGFLDEVGNFKASLSKDWKGMLNLYEASYLLMEGERILENARAFVAKHLTECLNQNNNEYILTLVEHAMEFPLHWRLPRMEARWFIDVYKKKQDKIPMFLELAILEFNLTQAVHQDDLKYAARWWKDLGLVEGLSFARDRVVENFLWSVGMMSRPQFGKARRVQTKVNALITSIDDVYDIYGTLDELELFTKAVERWDINAIQSLPNYMKICFHALYNSINEMAFDTLKEQDVDVIPLLKKLWTNLCKAYLLEARWYHSGYTPTLKEYIENAWISISGSVLLAHSYLITDPITKEGLQGIEEYDPNIDEVKRGDTPKSIQCHMHESGASEEEAREHIRKLIDETWRKMNKDRITKSPFSESFIEIAQNLARVAQFIYQYGDGHGIEDGVTKDRVLSLFIHPIPLPK >OMO95696 pep supercontig:CCACVL1_1.0:contig07625:55720:58103:1 gene:CCACVL1_05310 transcript:OMO95696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zim17-type zinc finger protein MSQFRRLLSLLAPNQPQTSLLKERYSQVLPSTSAFFSSRRDFCRRWLQTEANPTGQAIEDRENHDADSSKSASDLVNAPRSKTNHSALKNSAFSNLKISPRHDLAMVFTCKVCETRSVKTASRESYEKGVVVARCGGCNNLHLIADRLGWFGEPGSIEDFLSARGEEVKKGSVDTLNLTLEDLAGKGALKGSE >OMO95688 pep supercontig:CCACVL1_1.0:contig07625:1601:5711:1 gene:CCACVL1_05302 transcript:OMO95688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic amino acid lyase MEILSHCNGNGSSSSPGFCISDPLNWGASIESMKGSHLDEVKRMVDESRKPVVRLGGETLTIAQVTAIANRDADVMVELSEAARPAVKASSDWVMEGMNRGTDSYGVTTGFGATSHRRTKQGAALQKELIRFLNAGVFGQGTESCHTLPHAATRAAMLVRINTLLQGYSGIRFEILEAITKFLNVNITPCVPLRGSITASGDLVPLSYIAGLLTGRPNSKALGPNGEAMNPTEAFSRAGIHGGFFELQPKEGLALVNGTAVGSGLASLVLYEANVLAVLSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYIKAAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRSATKMIEREINSVNDNPLIDVSRDKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSASRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISARKTAEAIDILKLMSSTFLIALCQAIDLRHLEENLKNTVKNTVSQIAKRVLTMGSNGELHPSRFCEKDLLRVVDREHLYAYIDDPCSASYPLMQKLRQVLVDHALMNGDNEKNSTTSIFQKIGAFEEELKTLLPKEVESARIEFENGNAAIPNRIKECRSYPLYKFVREVLGTSLLTGEKVISPGEECDKVFSAICAGKLIDPLFDCLKEWNGTPLPIC >OMO95695 pep supercontig:CCACVL1_1.0:contig07625:52629:53042:1 gene:CCACVL1_05309 transcript:OMO95695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPELQFSGLVTQKCDVYAYGVVVLELLSGQEALKFFVDEGNGGYKRLSVIDTAREAAAGGSGGVRRWVDRRLKDSYHVEVAEKMVLLALECVEEDPAKRPDMSKIVGQVSKLYLESKNWADKIGLPTDISVSMAPR >OMO95690 pep supercontig:CCACVL1_1.0:contig07625:25523:26548:1 gene:CCACVL1_05304 transcript:OMO95690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSKTSDKPFGYEAVQTEAGFALLQRNTWPSQSGERRGRRKQAEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSIKGIQARTNFIYSHDNSTTFHSHLLTPFDVQAILPTSHEQFFFTSSSQPKQQATTNIHHSNAIITTHQQQQPDISHSKKIQIPTQSNNNSNNNASSSADETPYGSVDDCSSNFFFTTDSSNSGYLACIVPDNCLKPPSTTSSSSSSMMINQKSSSHIDQNQSHCALPTDDHMEFPGFDQVLNQGFWSSEEQQSWEMINSNNNNPPLMVDQEDCMRAFYPYMIPSQATSCSPSLPPFGDVVNLGYSLF >OMO95694 pep supercontig:CCACVL1_1.0:contig07625:51848:51919:-1 gene:CCACVL1_05308 transcript:OMO95694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALEDGFDKEEEDFEDFEVLGV >OMO95693 pep supercontig:CCACVL1_1.0:contig07625:47696:47782:-1 gene:CCACVL1_05307 transcript:OMO95693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYPNTWRPPSKTACETSPINPMLPPP >OMO95689 pep supercontig:CCACVL1_1.0:contig07625:11608:12694:-1 gene:CCACVL1_05303 transcript:OMO95689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIATSSRYGSLKPRAVSCVDCKGPSVQGNDDENKMTSRKEKDPHVSRRVMRIKSWREQHVMDTRLDRRGIGDRFWIGWPIDLVPRRPQLARCSFLTNSP >OMO95691 pep supercontig:CCACVL1_1.0:contig07625:30573:33921:1 gene:CCACVL1_05305 transcript:OMO95691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine kinase MASEGILLGMGNPLLDISAVVDEDFLKKYDIKLNNAILAEDKHLPMYEEMANKSNVEYIAGGATQNSIKVAQWMLQTPGATSYMGCIGKDKFGDEMKKNSKLAGVNVHYYEDETTPTGTCAVCVVGGERSLVANLSAANCYKSEHLKRPENWALVEKAKYFYIAGFFLTVSPESVQLVAEHAAAKNKVFTMNLSAPFICEFFKDVQEKALPYTDYVFGNETEARTFSKVHGWKTDNVEEIALKISQWPKASGTHKRITVITQGADPVVIAEDGKVKLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKHIEECVRAGCYASNVIIQRSGCTYPEKPNFS >OMO95692 pep supercontig:CCACVL1_1.0:contig07625:39724:39885:1 gene:CCACVL1_05306 transcript:OMO95692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMFALLVIAQAAPSCMDGCKVTCKKDVPGYESGCPEACHIACRDLAPELNKQ >OMO52841 pep supercontig:CCACVL1_1.0:contig15416:1013:5158:-1 gene:CCACVL1_29058 transcript:OMO52841 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MALLVKGVGQFWLGKHTTAANSHLDGRRLGGRHLGKMSAWLAEHLFNSQARNWKRKVVVGASENIGEEDVSAKIVKVVTRNKGVVAKDKGLSVLTTENLVYFKNFLVKVTDESPSPAGLHHEGREGIPSVVQPNTNIPGVGPASLGPVLQSTKMDGGLPSVGNPINICSDPVGATGPNAVKKLLHKMAAISPFVFGMSSSSNVKKPRKWKKTARVSSKYSFEAFAPQSNLKDGRKRGTGVSTQETTGSGMFKRTRDNIAVSFQNEVGAGTQVVSEARREDGVDANDPAATPITDLFVSAEMANKSHLPEAMNCLVWNCRGLGASRERVDDDMEKMNQRYYCVSGLLEQWQQNLGGSGNFVLNVYGVIILIFFELLRVLGFSNFGDTVMDKVLLDWDQNVFGDIKQKIKLKQKEFEKLYQQGSVGEDSSNLEFFHQELNELHQQEEVMWHQRLKSLWLRDVDRNTRYFHTVASSRRQRSIISSIYDDSGACFSDAKSIERIILEIKAVVFQIEGDKAPGPDGMTQAFFQQRWGLIGKDVASFFGFSEYGKLLPSINHTNIVLIPKTASSNLAKDYRPISLCNVIFKIISKALANRLKLVLPNLIGDNQSVFVPDRMIYDNTMIAFETIHFMRNKRFGHKAHMALKLDLSKAYDIIEWDFLEDWVHCAEAVEYLGGNCGSMGGD >OMO52844 pep supercontig:CCACVL1_1.0:contig15416:26892:33475:1 gene:CCACVL1_29061 transcript:OMO52844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase T2, asparaginase 2 MGWAIALHGGAGVPLTLPPERRLPREAGLRHCLEVGIAALKGHKHPLDVVELVMSVSVFAGLIYHFAPELVAFRRFALRTSISVILPREFQFWDVLPPQPNGSVRELENHPDFNASKGSVLTTEGTIEMEASIMDGKTKKCGAVSGLSTVVNPISVARAVMEKTPHVYLAFDGAEAFAREQGFETVEQSYFVTPENIERLRQAKEANRVQVDYTQPDQTSHVDSRMGTVGCVVVDNEGNLASATSTGGLVNKMLGRIGDSPIIGAGTYANHVCAVSATGQGEAILRGTAARDVAALMEFKGLSLKEATDYVLAQLPPGTTGLVAVSSTGEIAMPFNTPGMFRAAATEDGYSEIAIWGSPLKPQSLREMPLRNNTSLGSRQTNTTRDHYDNLLNMIQNLTVQTQYHQVKAVLPPHTVGNPNGSTSTTSNPYAQWKTIGVAKLSKGLYRLQDASVLHSFQKSHNSDRLNVVCTAAIDCIDGSDCNASSFSDFRRRIEQENGGASGPVVNARKLDEGTEPAALYRVSVDVRQAIQKATLEKKMSQAELAKLINEQPVVQEYENGRRCRTRPCWQRWRKF >OMO52843 pep supercontig:CCACVL1_1.0:contig15416:17559:20317:1 gene:CCACVL1_29060 transcript:OMO52843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase T2, asparaginase 2 MGWAIALHGGAGDIPLSLPLERRLPREAGLRHCLDVGIAALKAHKHPLDVAELVVRELENHPHFNAGRGSVLTTAGTVEMEACIMDGKTKKCGAVSGLSTVVNAISVARAIMEKTPHIYLAFDGAEAFAREQGLETTDPSYFITPENIERLKQAQEANRVQIDYTQPIQKVEKQDAANADGDSRIGTVGCVAVDNEGNLASATSTGGLVNKMVGRIGDTPIIGAGTYANHLCAVSATGRGEAIIRGTIARDVAAVMEFKGLSLKEAAAYVVEQAPQGTTGLVAVSSTGEIAMPFNTTGMFRACATEDGYSEIGIWDSPSK >OMO52842 pep supercontig:CCACVL1_1.0:contig15416:5871:15944:1 gene:CCACVL1_29059 transcript:OMO52842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGKTMAEMAIEVRTLSGESTVITTSPDKTINDFKLLLKLSFPPASSSPNFHLFYKGTKLSSQSKLSSLSVQCGDFLVLIPFTKKDKPRVENPSFSNNASTATSFSDSTYSDMMQELSYLRDESVNLSEGKTDNNTNNFYFTSKRKREGTDRDEKDEGHSSDFLWNVLRSSDGDLFDERNCKKFVEVLQSVNCLSSPYSGECMVLSEAKCRSSNELASCLCPVWLKKVVEGFAFVSILSGFLHLRTDKMTSACLKGVLDRLEKFGVGISMEDIECLSVLCPKSKQRYENLTSLSLEDVLIFVKEGGVVLERNKVKQAGRSWCSSSKSRSVKRRCHDTGQLQPDEMVEHLKKSIGSDGQLVHVEKIAARKASYMEIPKELSDNIKSALKSIGINKLYSHQAESITASLAGKNVVVATMTSSGKSLCYNLPVLERLSYNLSSCALYLFPTKALAQDQLRALQSMVSELDCSINIGVYDGDTPQKERTWLRDNARLLITNPDMLHMSILPFHREFSRILTNLRFFVFLLLSFVVIDEAHAYKGAFGCHTALILRRLRRLCSHVYGSDPSFVFCTATSANPREHCMELANLSTLELIENDGSPSSEKLFVLWNPALRLRTEMDKRVYDLDSRNASDKSLSPISEVSYLFAEMVQHGLRCIAFCKSRKLCELVLCDTREILEETAPHLVNSICAYRAGYVAEDRRRIERELFGGQLCGIAATNALELGIDVGHIDVTLHLGFPGSIASLWQQAGRSGRRERSSLAVYVAFEGPLDQYFMKFPEKLFRSPIECCHIDAQNQQVLEQHLVCAALEHPLSLLYDEKYFGSGLNSAITTLKSRGYLVSDPSSDFLAKIWSYIGHEKMPSRSISIRSIETERYIVIDKEENETLEEIEESRAFFQVYDGAVYMHQGRTYLVKDLDLSSKIAYCEKAVVDYYTKTRDYTDIQIIGGKFAYPARVSKDNLPRTTAQANPCSVTTTWFGFHRKRKGSNQIIDTVDLSLPRFSYESQAVWISVPPSIKGEVERKYSFRAGLHAACHAVLHVVPLCTRCNLSDLAPECPNPHDTRFFPERILLYDQHPGGSGISKQIQPYFTELLHSALELLTCCHCSLETGCPNCIQNLACHEYNELIHKDAAIMIIKGVLDAEKSYFEGNPDSTPS >OMO99927 pep supercontig:CCACVL1_1.0:contig06771:7055:7201:-1 gene:CCACVL1_03549 transcript:OMO99927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPLDVALWMAKMVWIALSGWVTSCLTIADEVAASLRSGDIGAFHVG >OMO94914 pep supercontig:CCACVL1_1.0:contig07784:13527:16977:-1 gene:CCACVL1_05702 transcript:OMO94914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKKSTKKDDDEDILDIFRKFKVNIPLLDAIQQVPRYAKLLKQLCTNKKRLQGKLNVGATVSVVLQKHLPPKCKDPGLGVDDQILVYSINGIPLFDRTCEDASEALEVLSQAIAPPSWKKRQEKDKLGEDFLRNPTRKPSAHQVFEKISRRVRARASTSQVPPNEEDNAAQPFLDVPPPIFVDNDCREWYGTRYNNSFIIEKVLPTNVDQELGVSQLFVNRGWESLQVDGYYYPNLVKQFYANMDKDRDGHEYIINTMVKGKYIVVDEEFLCQLFGLNNDGSMFYFTCTDGEQNTATEGTWKRDDALQIFDIPLGMDNQGNREVKVKYMEPRDRLVCYLLHHNVIPRSSNKHKLRIEEFYVVDKLCHELGRCDGIPMLGVEVDGEIDFNNEGNVINVNCLSHLGIKRRVIANHSHWCNVHRRTHEQGQEQPHVEVPPPLEVNGEENFQELPMR >OMO94913 pep supercontig:CCACVL1_1.0:contig07784:629:2735:1 gene:CCACVL1_05701 transcript:OMO94913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFNRSGRGSTCVWNMFNHQGALRNSSEKDSSVKRFNRLDLKKPGKEKIETVISPLLRTAHGPSPQYAAHGRTSLTSQPPCLVLSLLRFQSRRAHLTFGFIIDKQFIIVLFILMVVELVLTSLVMDDFGLTEWACACWYLVVGMIVDFRF >OMO84070 pep supercontig:CCACVL1_1.0:contig09799:36025:37323:1 gene:CCACVL1_11016 transcript:OMO84070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAMICFFLLLTLGFFLLSSFYSLMQELTAFYAKKSVFAPPSYPFIGCLISFYKNRTRLLDWYTDLLSESPCQTIVVRRLGARRTVVTANPANVEHMLKTNFNNFPKGKPFTEILGDLLGCGIFNVDGELWSTQRKLASHEFSAKSLREFVVKTLKEEVDNRLIPLLEEAAETRKVVDLQDILRRFAFDTICKVSLGHDPCCLDLSKPAPPLVKAFDSASEISAMRGMAPLFVVWKLKKALNIGSERKLKEAVELVHGCVLDIIQNKRRALEQDNLEDLLSRLLSAGHDEEVVRDMVISFIMAGRDTTSSALTWLFWLLSKHPNAEEMMVKEVESMLGNYGEKELNFEVLKEMNCIKACLCESMRLYPPVAWDSKHALNDDVLPDGTFVGKGDRVTYFPYGMGRMEELWGKDRLEFKPDRWFEEPGAENGLLKQ >OMO76557 pep supercontig:CCACVL1_1.0:contig10890:243446:244681:-1 gene:CCACVL1_15586 transcript:OMO76557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIVGKIGSDSFIPRRNDCGNDCCLWSKLCDDLVVEILCRLPPKNLITSKCVCKSWNRLITDVCAPNFSKHASLGGFFFRVGKRLAYRDKFILHFVSYDGKCLSANTTVVESISALLPFKFNFKNLMHSCNGLLLFVDFAPGSIPQYYVCNPLTKQCIEIPRNIECERLASESDCGEQVQSFAALAFDPWKSCHYKVVSFNNWRNYCLKKTVNFDVFSSDTGEWVSHTVPLDAPIYEYELGWIRNVVYLNGVVYQISGEKYLLQFDINKLNARATELPKRDAIDTFGLIGVSGNHLHYSSRVDSTLFVWFLGGHSRWVLKLSLSIDYIYDNRPFLAQSTPRDTFLRAWAFDPNSEAIYFGYVRAILRYQVESRVLEVVHTIEFDKNATSRNYVMIPYFPCVVILKGIPNPN >OMO76562 pep supercontig:CCACVL1_1.0:contig10890:263244:267953:1 gene:CCACVL1_15591 transcript:OMO76562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKKSTPLNLDFMALLSLVLMVYCFESVVWPELIRKSKEGGLDVIETYVFWNYHEPVRGQYYFEGRFDLVKFVKTVQEAGLLVHLRIGPYACAEWNYGGFPLWLHFIPGIQFRTTNDLYKSEMLRFLAKIVGLMKEENLFASQGGPIILAQVENEYELVEWAYGIAGDLYVKWAAETAISLNTSVPWVMCRQGDAFEKFKSFGYPIPHRPVEDLAFAIARFFETGGTFHNYYMYFGGTNFGRTAGGPLVATSYDYDAPIDEYGFIRQPKWGHLRDLHLAIKHCEEYLVCSDPTHQQLGHNLEAHIYYKPSNECAAFLANYDSKLDANVTFNGNLYSLPAWSVSILPDCKNVIFNTAKVVSQRNIGDNSFAQTSAVNRILLSASSWSWYKEEPGVWGNNSFTDSRLLEQINTTKDTSDYLWYTTSIIKSDPSDEAFLLIESLGHAALVFVNKKLVAFGYGNHDDPSFSISKKIRLVEGNNTLDVLSMMIGVQNFGPWFDIQGAGIFSVVLFDLLNGKHHASSVEWTYQVGLEGEYLGLDKVSLAKSSIWKTGSIPPINATLIWYKVSFLAPEGKGPLALNLSSMGKGQAWVNGQSIGRYWPAYLSPSDGCNKTCDYRGTYDSTKCQKDCGQPAQTLYHIPRSWVNPGNNLLVLHEELGGDPTKISVLTRTGQEICSFVSENDPLPVDSWKPNLGFMSQSPQARLTCEQGWRITSINFASFGSPSGNCGEFTRGTCHGNVLSTVQKACIGQEQCSISISTAYLGDPCPGVLKSLAIEALCSD >OMO76568 pep supercontig:CCACVL1_1.0:contig10890:285541:285717:-1 gene:CCACVL1_15597 transcript:OMO76568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARRELINGGNLSSRIVARPIPRRGQVKVAILVGIAHSVASIFSLTSRRAAPSHFS >OMO76547 pep supercontig:CCACVL1_1.0:contig10890:191429:199377:-1 gene:CCACVL1_15576 transcript:OMO76547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like protein MEPKMKHLIEESLLPELPYSKPQLVKPDCYLQEKGRENWEESSVAAAMEEEYEEAGVPLQVSKPRGRHIKKRALKNKALSVSFNEKDLRDYVTGFHKRKKKRRKEAQKQQEEAERRKRIEQRKKRKLEKEFVLFGGAPPSTEAGPEGIDENNEDEEREPTALVSGTTMYDNGNMTVTVTTSEITREAENLTSEKTDVAIRKPVGDGFDSKHKLPFSREPNKSSSRMQTRYMERSNSMAAREKRGLDSSSGDEGPDRKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAKLAVRTSSPKRLEGPDGRNLQLHFRSRLSLPLFTGGKVEGEQGVAIHIVLIDANTGHVVTSGPESSAKLDVIVLEGDFNNEDDDNWTPEEFDSHVVKEREGKRPLLTGELQVMLKDGVGTLGELTFTDNSSWIRSRKFRLGLKVASGSCEGIRIREAKTDAFTVKDHRGELYKKHYPPALNDEVWRLEKIGKDGSFHKRLNKAGIFTVEDFLRLVVRDSQRLRNILGSGMSNKMWDVLVEHAKTCVLGGKLYVYYPDDIRSVGIVFNNIYELSGLIANGEYYAADSLSDNQKVYVDALVKKAYENWMHVIEYDGKSLLDCKEEESAGASQANVPVDPQGYPSSINQQQTLPTLSVPVPPDQHPMDSGLNIGGYDDSMAARLSMHSQNVHLNAQTQLNGASFTLQNPLVSASQQVQLPQHDNEPALGPSQSSMPTFNGVGTSNIPTYKGMDDFFSEEEIRMRSHEMLENDDMQHLLRIFNMESQAHTPFNATQDGYGYSSSYMPTPALNYGFDNETSRSSGKAVVGWLKLKAALRWGIFIRKKAAERRAHLVELDDS >OMO76570 pep supercontig:CCACVL1_1.0:contig10890:297546:307777:1 gene:CCACVL1_15599 transcript:OMO76570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIEEWVEKCDETGEDAHKVTNVAKNLQVHKYLVVNSGHLAVVHLTSVVIILVRGKYFKVIWKAFFRIVCCNLSNVPLSKVVNWLKLAFVLCRELQNLSSQQDLRLMENEREGGIRKISAGSHISMGKERTRSKVNLAA >OMO76578 pep supercontig:CCACVL1_1.0:contig10890:327362:329570:-1 gene:CCACVL1_15607 transcript:OMO76578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein MAVGNHIIDKNPFDHEEEDVNPFSNLRQKERELQAKEDELRRREEEVKKKEEALARAGVFLDVKNWPPFFPIIHHDIANEIPDYLHRVQYTAFATFLGLILCLLWNFISVLSATISSGDVRIMFLALLYSITGVPGAYFLWYRPLYRACRKDSAARFGWFFIFYMIHIGFCIFAIVAPPMFFNGLSFTGIMSAIYVMPFNGLVGIFYFVGCGLFVLETLISIWVFQRVYRYFRGTGKAAEAKRSAARGSAMAAMN >OMO76555 pep supercontig:CCACVL1_1.0:contig10890:226601:226687:-1 gene:CCACVL1_15584 transcript:OMO76555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSNPLVPAPTGSALHHLATTCVSNGQ >OMO76572 pep supercontig:CCACVL1_1.0:contig10890:310795:310935:1 gene:CCACVL1_15601 transcript:OMO76572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSHTGAVGTRWAVGCVLQLLALLSAFQNGVSAATYMILKIIQWI >OMO76527 pep supercontig:CCACVL1_1.0:contig10890:5498:7793:1 gene:CCACVL1_15556 transcript:OMO76527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MGGNDDESCESNPNSWAEVFDPTSNTWEAHPFSDPLEDDDLPLRFRAVDPAGQYLIVGNSGNFAMLDGYARHWQFFGRYESGTPLSGSGLLIEKTMILVYKDVLQSYHIIRREYSVGKIMGLEALGSSDSREEPSLFHLEGSIYDYTAGARFSLVWSDLSSSNCLCVHYTTFWAVVRQHHDFLSLEAIVESSKSFVIDNDPAYSKECHFEGALVVGLTNKRKRDGPNGAYLREIFKEKLKKQVSSLQTPTVSQKICFRIYNENDDMSRFYHVNLSNAGITERSIHGISPKWELKTGQESSWVMHGSVLYVLGGYSSSCDPGEPSERNHVFCIDTSDSAGGGWFQCPSMVARRSGAMAAFLKGELYVMGGDFYIPGTTFDLPLEDIETVTGLPILGTHTESWAECFNPDSKKWSLLPLPPPPFSPSFVACDATKIVLGDFGVLQVIERYNKLKEVKDIPSNFPFQGHGLFMDGTILFFHKDALYSYELKSGSYYTCRIVGLESILGYQCHNCEDLPSLIYLNGSITEPAGARFCLVWSDRRPCDCHPSNDRLHVHCTTFHAVLLLDHRKYRSLEASVLASESFVIEGCQDTTISSCHFEGALLL >OMO76569 pep supercontig:CCACVL1_1.0:contig10890:288956:289129:-1 gene:CCACVL1_15598 transcript:OMO76569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTRRTMINGRIYSRGIVSRPIPKRGQVKLAMVLVLAHSFASIFSRTSSRCAAATV >OMO76571 pep supercontig:CCACVL1_1.0:contig10890:308085:310494:-1 gene:CCACVL1_15600 transcript:OMO76571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEFWHEVACGKTESVNMLVIMRVALSSSPNYLLGTGKWNLKEKELIQLKREAKLNGGFYVDPEAKL >OMO76540 pep supercontig:CCACVL1_1.0:contig10890:111631:115215:-1 gene:CCACVL1_15569 transcript:OMO76540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MRNFSFFGRFSRAFQDYPYLSKIIVVSTISGGGLIAYSEANSYNGGRGHIAHADAVASEVVPKKKKVVLLGIGWAGMSFLKNLNNPNYEVQVVSPRNFFVFTPLLPSVTCGKVEPRSIVEPVRNIISKKNIDVSYSEAECVKIDPENKKIYCRDVINTNVKGEDVFAVDYDYLIVAVGAQVNTFNTPGVYENCHFLKEVDDAQKIRKNVIQSFEKASLPNLTDEERKKILHFVVVGGGPTGVEFAAELHDFVSEDVVKLYPKVKDYVKITLLEATDHILNMFDKRITSFAEGKFGRDGIDVKLGSMVTGISDKEISTKVKGDSKSSSTPYGMVLWSTGIGPRPLIKEFMKQIGQGNRRALATDEWLRVEGFNNIYALGDCATINQRKVMEDIADIFKKGDKDKSGTLTVKEFQEILNDVCERYPQVELYMKSKQVRNIADLLKEAKGDAAKESMELNIEEFKKALTEVDTQMKNLPATAQVANQQGAYLAKCFNRMEECERNPEGPPRFRAEGRHRFHPFRYRHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTRALVVSDWIRRFIFGRDASGI >OMO76563 pep supercontig:CCACVL1_1.0:contig10890:268126:269576:-1 gene:CCACVL1_15592 transcript:OMO76563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MKAVVITAPGAPEVLQLQEVEEPKIKDDEVLIKVEAAALNRGDIYQRQGFYPPPQGASPYPGLECSGIIESIGQNVSRWKVGDKVCALLSGGGYADKVAVPAGQVLPVPSNVSLSDAASFPEVACTVWSTVFMMSRLRPGETLLIHGGSSGIGIFAIQIAKFKGAKVFVTAGNEQKLAFCKDIGADVCINYKTEDFVTRVKEESEGKGVDVILDCVGAAYLQQNLDSLNIDGRLFIIGSLSGFVAELNIGTIFAKRLTIQAAALRTRSKEEKAEIVNEVEKNVWPAIMSGKVKPVVYKQFPLGEAAEAHRLMESSKHIGKILLIPY >OMO76567 pep supercontig:CCACVL1_1.0:contig10890:281844:284638:1 gene:CCACVL1_15596 transcript:OMO76567 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MALARVARSGLRRSAGGIGSYISEKDIFYERAAGLKSFVPSLEKVNANGNVTYLSTINRVNHMSFWSRGINTTPHYRFPLAERLVEDSESEYKEPRYRYPSLEATKPGEKPRVVVLGTGWAACRFLKGLDTKTYDAVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVSQIQSALATSPNSHFYLASCVGIDIDKHEVYCETVSDGGLAREPYQFKVAYDKLVIAAGAEPLTFGIKGVKENAYFLREVNHAQEIRKKLLLNLMLAENPGISEEEKKRLLHCVIIGGGPTGVEFSGELSDFIMRDVRERYSHIKDDIKVTLIEANEILSSFDVSLRQYATNHLTKSGVHLMRGVVKEVHPKKIVLSDGTDVPYGLLVWSTGVGPSQFVKSLNLPKSPGGRIGVDEWLRVPSVEDVFALGDCAGFLEQTGKPVLPALAQVAERQGKYLVQVFKRIGKQDGGKAFSAKDMSLGEPFVYKHLGSMASVGRYKALVDLRQSKDAKGISLAGFISWFIWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNSRIG >OMO76561 pep supercontig:CCACVL1_1.0:contig10890:260108:261762:-1 gene:CCACVL1_15590 transcript:OMO76561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic solute transporter Ost-alpha MDFSNLNRGQITLMGSAFCVMLTMHFTVQLLSQHLFYWKNPKEQKAIVIIILMAPIYAIDSFVGLLDIRGSKAFFLFLDSIKECYEALVIAKFLALMYSYLKISMSKNIVPDEIKGREIHHSFPMTLFQPRTVRLNHQTLKLLKYWTWQFVVIRPICSVLMITLQILGTYPSWLSWTFTIILNVSVSLALYSLVVFYHVFAKGLAPHKPLAKFMCIKGIVFFCFWQGIVLEILVSMGIIKSHHFWMDAEHLEEAYQNILVCLEMVVFSVLQQYAYNVSPYSGETEAKMKLGKKNE >OMO76566 pep supercontig:CCACVL1_1.0:contig10890:277238:279194:1 gene:CCACVL1_15595 transcript:OMO76566 gene_biotype:protein_coding transcript_biotype:protein_coding description:S1/P1 nuclease MAILRLSSFGFVLLVGLALIILPRTQGWSKEGHILTCRIAQGLLEPEAAEAVENLLPQYANGDLSSLCVWADQIRHWYKYRWTSPLHFIDTPDDACTYQYSRDCHDPHGLEGMCVAGAIQNFTSQLLHFREGSSDRRYNMTEALLFLSHFMGDIHQPMHVGFTSDEGGNTIALRWFRHKSNLHHVWDREIVLTALADYYEKNLDSLQEDIVGNITDGIWFDDVASWKECDDLLPCLNKYATESINIACKWGYKGVKSGETLADEYFNSRMPIVMKRIAQGGVRLAMILNKVFGDPEEGFAAAT >OMO76545 pep supercontig:CCACVL1_1.0:contig10890:141816:147727:-1 gene:CCACVL1_15574 transcript:OMO76545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQRSSVCLDLSLGSLWSDQTSNSVESSLSEIRSYPFRHRRRRLHPPHRTNLDPSFQSSPKSPKLSHSEKSPNPPEDFISDLPDSLIQEILSLLPTEDAVKTSVLSRRWSPVWTQIPNLCFIQKQSRHASDLVSFLKLVTKTLRHFNGEKVKQFMISVTYTKSMSSGVDYWVYFATNRHVENLSLVFDGKVAYSLPHYLCNNSCIKELTLRLCTVSLETPVSWPSLKVLSLSDSELDNGTVQRILLGCPKLQDLKLHGRVSVGFIRSKTLQFLTLDGIYNFGERSGDSVTEICCPNLLSLSLLGFIYKRTFRLVDVSSLQKADLDFKMTVDEYDTYDCKKQGEIIKELLTKLCDVEKLIIGPWCLQAVMQVQGIDSQLSKRNYLEVKTEMCEWELAGIENLLPRSPHLKKLVINLLPSNSSELEFDFRQEFAYYFDTDNEPEPPPLNWIFESFQKCLENIEINGFDPNTSSANGYFIKFMGFLAKKTTLKELTAQDSLNETSMANHLKKLVSCVILDLDGTLLNTDGIVSEVLKGFLGKYGKQWDGREAKRIVGKTPLEAAEAVVEEYGLPCGKDEFLAELYPVFSAQVCNIKPLPGANRLLKHLSDHGVPMALASNSPKKNIESKISYHQGWKNYFSVIIGGDEVTTGKPSPEIFLEAAKRLKTEPSSCLIIEDSIPGVTAGKAAGMEVVAVPSIPKQAHLYTSADEVINSLLDLRPEKWGLPSFEDWIEGTLPTEPWYIGGPVIKGFGRGSKVLGIPTANLSAEGLSSLLSDHPSGVYFGWAGLSTRGVYKMVMSIGWNPYFNNTEKTIEPWLLHEFNEDFYGEELRLVIVGYIRPEVNFPSLESLIAKIHEDRRIAERALDLPLYSKHKDDPYLTKPLHKECNNS >OMO76577 pep supercontig:CCACVL1_1.0:contig10890:321977:326077:-1 gene:CCACVL1_15606 transcript:OMO76577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein MAGRYDQNPFAEEEEVNPFADQGKGKASGQSRFGGGLFSTNPSAPPASNSRLSPLPPEPAGFNYDREATIDIPLDNASGGSQYQDLKKKERELQAKESELRRREQDVKRREEAAARAGIVLEEKNWPPFFPIIHHDIANEIPIHLQRLQYTAFSTFLGLCLCLLWNIIAVTTAWIKGEGVRIWFLALIYFISGVPGAYVLWYRPLYRAFRNESALKFGWFFMFYLLHIAFVIFSAVAPPIVFRGKSLTGILPAVDLVGKNALVGIFYFIGFGLFCVESVVSIWVIQQVYMYFRGSGKAAEMKREAARGAMRAAI >OMO76573 pep supercontig:CCACVL1_1.0:contig10890:315089:317280:1 gene:CCACVL1_15602 transcript:OMO76573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase MEEHRNLVTPSPEVAEKYSNELDVAVRAVQMACSLCQKVQDSLISKTNSPVHSKDDNSPVTVADWSVQATVSWILSESFGSRDVSILAEEDVQSLSKADSTGLLDAVVKTVNDCLTEAPHFGLNGPKTPLGRSDVLEAISRCNSTGGPTGRFWALDPVDGTLGFVRGDQYAVALALIEDGEVVLGVLGCPNYPMKKEWLSYHHRYHRIISKLTPPTSESWDKGCVIYAKKGSGEAWMQPLHQKNKLLAWPNSAIPVQVSSIDNPALATFCEPVEKSNSSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDAEIFMKFARTGYKEKIWDHAAGVIIIQEAGGVVTDARGSPLDFSKGKFLEGLDRGIIACSGAKLHERIIGAVDASWNSSSL >OMO76526 pep supercontig:CCACVL1_1.0:contig10890:4050:4734:-1 gene:CCACVL1_15555 transcript:OMO76526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSEDTVLVLGFALQRTNNSLGDRQLAAQFQEIPLDLRMVEADE >OMO76559 pep supercontig:CCACVL1_1.0:contig10890:254376:255632:1 gene:CCACVL1_15588 transcript:OMO76559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLGKLGSDSFIPDPNCCLWSKLCDDLVVEILCRLPPKNLITSKCVCKSWNRLITDVCVPNFSKTASLGGFFFRVGKRLAYRDRCILHFVSYDGKCLSANTTVVESISALLPFKFNFKNLMHSCNGLLLFVDFAPGSVPQYYVCNPLTKQCIEIPRNIECERLASESDCGEQVQIFAALAFDPWKSCHYKVVSFNNWRNYCLKKTVNFDVFSSDTGEWVSHTMPLDAPIYEYELGWIRNVVYLNGVVYQISGEKYLLQFDINKLNARATELPKRDAIDTFGLIGVSGNHLHYSSRVDSTLFVWFLGDHSRWVLKHSLSMDYIYDNRPFLAQCTPRDTFLRAWAFDPNSEAIYFGYVRAILRYQVESRVLEVVHTIEFDKNAPSSNYVMIPYFPCVVILKGIPNSLQSRPTNHQLKDV >OMO76575 pep supercontig:CCACVL1_1.0:contig10890:320159:320641:1 gene:CCACVL1_15604 transcript:OMO76575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKIGFFILAILLIITATSLSSAARPDSFETLTSGQKQGKPSNQNNNKNTGSGNNGGIGGFFGPGSGFGNIPGFGKGWGNGIIGGGYGAGYGGPSGGYSKGGVIRPTVVCKEKGPCFNKKLTCPAKCFTSYSRSGKGYGSGGGGGGCTMDCKKKCVAYC >OMO76553 pep supercontig:CCACVL1_1.0:contig10890:219079:222566:1 gene:CCACVL1_15582 transcript:OMO76553 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MYLTTLTEQKLITKTRRTFDRALCALPVTQHDRIWEPYLVFVSQKGIPIETSLRVYRRYLKYDPSHIEDFIEFLLNSSLWQEAAERLASVLNDDQFYSIKGKTKHRLWLELCDLLTTHATDVSGLNVDAIIRGGIRKFTDEVGRLWTSLADYYIRRELFEKARDIFEEGMATVVTVRDFSVIFDAYSQFEESMVAIKMESLDLSDEEENDGMEEDEDEEDIRLDISLCKPKSKKFEKKIFRGFWLHDEKDVDLRLARLEHLMNRRPELANSVLLRQNPHNVEQWHRRVKLFEGNPTKQILTYTEAVRTIDPMKAVGKPHTLWVAFAKLYETYKDLPNARVIFDKAVQVNYKTVDHLASVWAEWAEMELRHKNFKGALELMRRATAEPSVEVKRRVAADGNEPVQMKLHKSLRLWTFYVDLEESLGTLESTRAVYERILDLRIATPQIIINYAFLLEENKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVKRYGKTKLERARELFEHAVETAPADAVKPLYLQYAKLEEEYGLAKRAMKVYDQATKAVPNHEKLGMYEIYIARAAEIFGVPKTREIYEQAIESGLPDKDVKTMCLKYAELEKSLGEIDRARGIYVFASQFADPRSDAKFWDKWSEFEVQHGNEDTFREMLRIKRSVTASYSQTHFILPEYLMQKDQNMDDAKDKLKEAGITEDEMAALERQLLPAANNATPKDSARKVGFVSAGVESQADAGTKTTANLEDIELPEESDSEDDRVEIAQKDVPSAVFGGLARKREDRDDNDDGDDDSAPKDKDGKSRLGALDRFKRQKQG >OMO76536 pep supercontig:CCACVL1_1.0:contig10890:88141:89898:-1 gene:CCACVL1_15565 transcript:OMO76536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MGEEEKPKQQQHQNNSTESFTAMKSGLRQVIEVISSLISLSHSIKVFTVKWQLIRKKLEELSAGLMAVENCESSEKNTAVFSGLIPSILLTVNECFDLARRCVDLSYSGKLLMQSDLDVMVAKFDHHLKNLSGIYTAGILTQGFAIVVSRPSLGACKDDMRFYIRDLLTRMKIGDIEMKRQALVNLHQVMAEDERYVKLMVEIGDILNVLVGFLDSPEMEIQEEAAKIASLISGFDLYKGVLVGAGIIGPLIRVLESGSDLGKEGAARCLQKLTVNSDNAWSVSAHGGVTALLKICSAGDLGGGSQLIGPACGVLRNLVGVEEIKRFMVEEGAILKFIKLVRSREEIVQINSIEFLQNIASGDESVRQVIVKEGGIRALIRVLDPKSATSSKTREVALRAIENLCFSSQNYINILISYGFLDQLYFFLRNGEVSVQELALKVTFRLCNTSEEAKKAMGDAGFMSELVKLLDAKSYEVREMATEALSSLVSVPKNRKRFVQDDRNIAFLLQLLDQEEEGISGNKKLLLSILMSLTSCNSGRRKIASSGYVKNIEKLAEAEVSDAKRLVRKLSTNRFRSMLSGFWHS >OMO76550 pep supercontig:CCACVL1_1.0:contig10890:213927:214835:1 gene:CCACVL1_15579 transcript:OMO76550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLISSSFKKYTDLKQQAMLDDMEAGNESVNLDKFFEDVENVKEDMKTVEGLYKALQEANEESKTVHNAKTMKQLRARMDLDVEQVLKRVKIIKGKLEGLECSNAASRNVPGCGPGSSADRTRTSVVSGLGKKLKDLMDDFQGLRARMQSEYKETVERRYFTITGQKADEDTIENLISSGESESFLQRAIQEQGRGQIMDTISEIQERHDAVKEIEKNLIELHQIFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTENLQEAREYQKDSRKWTCIAIMAGCILLLIILLPLLPTIITLL >OMO76535 pep supercontig:CCACVL1_1.0:contig10890:60323:62683:1 gene:CCACVL1_15564 transcript:OMO76535 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MKREDGNTIDRGRDLKPQRDGFHSGIDGPSEGIPRVLACFSNNLLRGEPLKLVDGGQSQRTFVYIKDAIEAVLLMIVYSKVTTVLRVDYNMLVSVPGWQGDGRVTNSKDGVSLMDDMLLATMFEIEVEESASADNVDLLDKFLPPPQKAKCSEDLQVSLLFMLEIANSLFLDLCEQPVPNCL >OMO76541 pep supercontig:CCACVL1_1.0:contig10890:126919:129768:-1 gene:CCACVL1_15570 transcript:OMO76541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPYLGRTREGPGLGIRLKLKATASRTF >OMO76579 pep supercontig:CCACVL1_1.0:contig10890:330993:335181:-1 gene:CCACVL1_15608 transcript:OMO76579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFKSWNAFVKKRNALSDGGNCGIKMKQLPFMGVICTVMLFIVYRTTNYQYHETEMETKLHPFETVKDYRLPSENLKSLPRDIMQARSDLEMKPLWSRSSLRSKVNVTTNKNLLAMPVGIKQKENVDDVVQKFFEANFTIILFHYDGNVDGWWGLDWSDKAIHIVAHNQTKWWFAKRFLHPDVVSIYDYIFLWDEDLGVEHFDPERYLKIVKSEGLEISQPALDPNSTEIHHRITIRARTKRFHRRVYDLRGKTKCTNASEGPPCTGFVEGMAPVFSRSAWYCAWHLIQNDLVHGWGMDMKLGYCAQGERSKKVGVVDSEYIVHKGIQTLGGSGHPTRATPIKRTGGSGFDLRTEIRRQSTWELQVFKERWNRAVEEDVNWVDPFLRYQAQRYRKHKRRQTSKRSHS >OMO76542 pep supercontig:CCACVL1_1.0:contig10890:130247:130985:-1 gene:CCACVL1_15571 transcript:OMO76542 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein SKIP27-like protein MALGKIYRSSSLKLKHEHGEELGLGFVRYTRGLGRKRIGISNEMEDCLPRDSSATEVPLLKRQCSERMMIMMMMMDDDDYSEKSALESLPQDVLIRIICGVDHEDLKQLFNVSKSVREATVIAKKLHFAYSTPTKTKAFRNSIDLEDSSEFEDIEAPNAPRQWRSHRAINRKKLADISVALFA >OMO76556 pep supercontig:CCACVL1_1.0:contig10890:239425:241754:1 gene:CCACVL1_15585 transcript:OMO76556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEWDVAKFEFGERFRKSYNDISYLAKGGCGAVFSCKGEDDKKYAVKICNVGGDNPQREAIMLEELKNHSSIVHYEEHWLEYKPLLYDGVYYGDDEEKDKSLYLVMELCRTSLLDLFERGEDFDCGTGLRWFKEIIEGLVHIHKKDIIHGDITSANVFLDDLQHVKIGDFGFGRGTSSDKSVGDDIFRAGCIFVEILHPTMTDIERYNASGRLKNSIFPEGFKHDTEFLIDLLEYRPRAPRILEKLNEAINAGGTDDAILSRILKMVSRSLNFIVEDLDGKKVRGNMSTIFTYAAFDAYCVSRENEDTIPSKGQLEREEELSPGLGQILAA >OMO76546 pep supercontig:CCACVL1_1.0:contig10890:182593:189790:1 gene:CCACVL1_15575 transcript:OMO76546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDETPRVLPFQLQFDKPVASQIKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTISPGKCITSLCWRPDGKAIAVGLEDGTIALHDVENGKLLRSLKSHTVAVVSLNWEEDGQVIRDDSVLKYEDRTSRFFPPAPRVPRMPGLVSGDTGFMDDSEDSFRELSNSSYQRFNILCSGDKDGSICFSIFGIFPIGKINIQKLSIPTPFVNEQATYRLLHASISKVALSKDLCHLIVMCSGELKHDEIEPQERQLGLHGLHCLLLDTSIFWKRKNELHQVAQQASNIEDLIEVIRTSLSVMSKQWSDAMRTFHEKFDSLSRLIVDHGLDSSPQEEFLSLLGGARTSPPIHQFLVNSLGELGVKRVSKVVCGAGKELQHVVLDHLQPASEIIGFRLGELRGLSRWRTRFQSIGLDGTLINDATEKSGMLLVQVERFMRVLSSVVQHFSNFFNWLLKCIKLLMQEPSDQLQPYNSELVIIFLKFLYDQDPVRPFLEPSEVDVEIDMETLQRVKELVHLGGFSDCEYLRRTLSEDFQQMESSFKEAFLMPFTTISRKILCKDVLPLFGLESSPASMSVSIPMSVTYYKDASTAISSFQTNEHGFIDYISFQIPGDSSSDIANCIGISRGFMHGLSNNNEESALLEAILLSVPDGYHCVDLSLYKEGQIVLLLNKTTAASESPGESCMMIVEANDLPFISISRSVSMNHWNLDQLKDSVMDLQLENEKVRIIPHSVVAPLAVSASRGLACVFAARKRALVYILDEDEDDVSDTE >OMO76533 pep supercontig:CCACVL1_1.0:contig10890:45279:45458:-1 gene:CCACVL1_15562 transcript:OMO76533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLRDSSACRSLSLVAALKAPESTVLEKRLACLEEEEEAESLRDLRFDSHFEAWSFIP >OMO76530 pep supercontig:CCACVL1_1.0:contig10890:21282:22130:-1 gene:CCACVL1_15559 transcript:OMO76530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPPYFRVGFTRYSDPIDSRNRKNKNDCRVFWSERPNACHSICFNDAAGTEIDVKVMVTREPPYFEDWEREIDNMGGNSKYLGPIGVRIGRVRADDEKTVAKVLILCAGGKCLLCNMDDMHEGQRNFLHNLLRKRKNTFYGSSNIFEDVQNLKEVCGITVPNVKNVAELDDSKDLSYEKLVEKYLNYANPYFPPNYGWANVYFDNLLIAYGAFDAYCCYALGLRIEEKARLVKEIELQKEAEAKRANSSKGKEKAWTSRTTRYSNLGKAKTMWVPKSGGNK >OMO76523 pep supercontig:CCACVL1_1.0:contig10890:1505:1624:-1 gene:CCACVL1_15552 transcript:OMO76523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKEGLRTTAAEGEICNPVNWSRTQGRKLMSELKGDLP >OMO76539 pep supercontig:CCACVL1_1.0:contig10890:105022:108722:-1 gene:CCACVL1_15568 transcript:OMO76539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFATVIPRLAHTSLTNSVCALRTPTVKSPNWRVFCIRGKRQVAVHITHPGTG >OMO76554 pep supercontig:CCACVL1_1.0:contig10890:224047:225511:-1 gene:CCACVL1_15583 transcript:OMO76554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTWILSLKVLFISTGVLAIALGLKVSVPLVLEFSVSQAPLLWSTFRSWLKPPYLYVIINGIIITIVASSRFHQNNAEKDQATEQSHGQQMQRRSKISVVEQQPAFEYEMKSSLDFSAVESRALVYEEENQRGEQVESRVFEEESNVEVEDGFDEFAISKSEWVPPTRMDSSEIPPDVLFPSEKPPASSRFGHRKPVKANPEGGRALRVAKPKRHETLENTWKMITEGRAMPLTRHLKKSDTWENHGRDINVEALNNSESPLMKKSETFRDRTNYQPPPAQLVSSSPASVKLRKEPSLSQDELNRRVEAFIKKFNEEMRLQRQESLNQYMEMINS >OMO76543 pep supercontig:CCACVL1_1.0:contig10890:133847:135499:-1 gene:CCACVL1_15572 transcript:OMO76543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSGIQSLETSRSRRAELQRNEGRGTQLSVLPDDVLTNIISFLPLKQAIRASTASSRLKQSWLFSRNLCFGKDFVRRHDKEDFVRIVDRVFALHLGSKIQTFRLVFDPTEVEVTVENWIQICIDKEVEELDLDFGLAVTPYRIASDFEDVNTIKILKLCCCELELLPTFRGLNSLTTLIFRRIEISAESLGTLFSYCLLLESVELVKCRRIGHLRILAQNLTRFKALKVGDCTSLTQIDVDSPTINSIYYMGRLISFEFPSIPQLKDIILNFKPRRAINKFFRDGSYLSDITHVPVISTSTTLIEDLSPGLNVEGALQLSFVNLRELQLFMAGAMYCNLYDIAHFLKRCPRLEKLFIDLRGFNFETQEVWELHQRELIERDIHLLRNLEYVKMEGFKCEEHEMEVVKFLLERAIVLETLVLVAPKTHTQGILQFHNIPICRQICKKSISPFVKTRFYFDDKDDTPGPKHSRTWYYQRRSSN >OMO76574 pep supercontig:CCACVL1_1.0:contig10890:318275:318483:1 gene:CCACVL1_15603 transcript:OMO76574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRIDHQVVDTKILIFSYDDIFK >OMO76524 pep supercontig:CCACVL1_1.0:contig10890:1992:2556:1 gene:CCACVL1_15553 transcript:OMO76524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTSTSTQKQFGGEAGIIQFTPLRRRKIETDNTEVAPEAKGKNTENLVTVQELKVTSTQKQFGGEAGTGNTEEAPEAKRQKTENLVTQELEAISTQKQLGEGGIKKEL >OMO76552 pep supercontig:CCACVL1_1.0:contig10890:218386:218451:-1 gene:CCACVL1_15581 transcript:OMO76552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVVTGVAPLLMRVASFCFF >OMO76558 pep supercontig:CCACVL1_1.0:contig10890:250706:251755:-1 gene:CCACVL1_15587 transcript:OMO76558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLVAFFFPVEERLVIRDQFFLHYVSSNGQCLSADETVVESISDGLPFRFNFYNLIHSCNGLLLFVNFVTGSVPQYYVCNPLTKQCIEIPRNIERERLASDCGEQVQKFAALAFDPWKSSHYKVVSLNYWRNYCLKKTVNFDVFSSETGDWVSHTMPLDAPIYEVGWIRYAVYLNGLVYRISRAKYLVQFDINKLNAHATELPRRDAIDTCGFIGVSGNRLHYSSRVDSTLFVWFLEDHSRWVPKHSISINELFDNRPLITSRATFARAWAFDPNSDAIYFGCHRAILRYQVENRVPEVVHTIEFDKHANPSNYILIPYFPCVVILKGIPNSLQSRPTNNQLSISSIC >OMO76560 pep supercontig:CCACVL1_1.0:contig10890:256541:259137:-1 gene:CCACVL1_15589 transcript:OMO76560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MNDKANVSKELNAKHTKILEGLLKLPENRECADCKNKAPRWASVNLGIFICLQCSGIHRSLGVHISKVRSATLDTWLPEQVSFIQSMGNEKANHYWEAELPPNYNRGGMENFIRAKYEGKKWIPKGPKPKLPPSVSEEKESHHRTGPRNGGYKSMDYVNHVSEEKKTTPPLVTNDIHTPKTCPQVHVPQKVIPDTRPQETLRNSEPPVSMAESVKQEVNANPSVSMAESLKQEIGTTPSVSKAESIKKDANSTTPSVAPAKVDYATELFNLLCMGDTRESNSNVSQTRVQPQNGTGDLFKDSVTVKQSFSEKPQEDAKPDNVDLFAKSSMVSPFSIHQQQLTVLSQQQSIMATAGKPNGGSQAFPFNGHQLSSNGIHFPAPTLTNIGHQVPGMVMPVAGLQKHMQIGNNQQMYPSGNSVQFPSSSLYTPGPVAPPTIGMKSIGGRPISASPVPLAIQPQWGKDYDFSSLTQGMFTNR >OMO76576 pep supercontig:CCACVL1_1.0:contig10890:321299:321373:-1 gene:CCACVL1_15605 transcript:OMO76576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKLQCLDQQAMMATNESRDSWP >OMO76525 pep supercontig:CCACVL1_1.0:contig10890:3254:3337:1 gene:CCACVL1_15554 transcript:OMO76525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYGTEALSKRRNLLHGIGITPQLEL >OMO76529 pep supercontig:CCACVL1_1.0:contig10890:17281:17358:1 gene:CCACVL1_15558 transcript:OMO76529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDNLMVEEGDVSGREVKQAERRR >OMO76528 pep supercontig:CCACVL1_1.0:contig10890:14914:16582:-1 gene:CCACVL1_15557 transcript:OMO76528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVTLEANLKSMVPYMWRYIEYGRKCACLRDIPKTLFGRLAKELNERHEARTFCVNLSDQNILFDQYGACEAITFPQFIKVDSTMEIAKGIYKDLDAFVKIFISFVSNHKPQSSDKEDIKFPAEIRYFLCIWEERARIVPDEDNPSFDQVRQLEFWIFNAPVFLERGRMKAYLFKIYNFWERYEQNFLNHVSLTTGDNEFSEWGKRLESSKVMDYYDRDNSDEIYQAYDDQLKVIKEVYDYGIKNHKAKHYDEAIGVVEFALNCFEHVKEKQNAVLLEYFLHAIFPELFGKIHHELFLWEPKVVVGVFDLYEELSRGVTTYYQSGLQAHKRRQQKVIWHRLIWFSVMSLVKHAFISWLAILIRLPTKDKLLKWGVSLQENLCPLCHQFLETRDHMLFSCSFSYEVGCIF >OMO76534 pep supercontig:CCACVL1_1.0:contig10890:52739:55331:1 gene:CCACVL1_15563 transcript:OMO76534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MAIEAEQKSPSDGKVWGFFKLPFQKSGNNTSTTSSSSLASHLHGQSQPHLEGSNPHGGSANSVSSVAKSLLPTRRRLKLDPANKLYFPYEPGKQVRSAVRIKNTSKSYVAFKFQTTAPKSCFMRPPGAILAPGESIIATVFKFVEPPENNEKPMDQKSKVKFKIMSLKVKGPMDYVPELFDEQKDQVAIEQILRVVFLDPARPCPALEKLKRQLADADAALEARKKPPEDAGPRIIGEGLVIDEWKERRERYLARQQVEGVDSA >OMO76548 pep supercontig:CCACVL1_1.0:contig10890:200991:204060:1 gene:CCACVL1_15577 transcript:OMO76548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGSQPDIPSPILSDRVRVNGTVIPLTFTADGKLRWTGKGQRCLTVEKEVIGFALEGTRIRVKAVVEKRDGICCVGNRGDLVRQSLVFEPLSEDSFTLWSQKLRDYIDSLGRPKRLLIFVNPYGGKKSATKIFSEEVKPFLEDADIQITLIETKHQLHAKEVAKTLDLSKYDGVVCVSGDGILGEVVNGLLEREDWAAAIKMPIGMVPGGTSNGMVKSLLDAVGEPCTPANAILAVIRGHKRSLDVATLLQGETRFFSVLMLAWGLIADIDIESEKYRWMGSARIDFYALQRILHLRHYNGRVSFVPAPGFEDYGEPTSYHGESTSAKGPTQEQPVKIQLHGYRGSDVKLEDLHWRTISGPFVSIWLHNVPWGAEDAMAAPDAKFSDGYLDLVMIRDCPKLSLLSLMSTVNDGSHVKSPYVTYLKVKAFVLEPGARVEDPTKDGIIDVDGEVIARGKGTYKCDIAKDLMAYDKLQITLDQGLATLFAPV >OMO76538 pep supercontig:CCACVL1_1.0:contig10890:100992:104575:-1 gene:CCACVL1_15567 transcript:OMO76538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MESSKLKREKDSQISDELNELRMESSELKKARDSPISDESYRRSSFPDEVLERVISLLNSHRDRSSVSLVCKDWYNMERWSRTHVFIGNCYSVSPEIVAQRFPKIRSVTLKGKPRFSDFNLVPQNWGADVHAWLVVFAAKYPFLEELRLKRMTISDASLEFLASSFPNFKALSLLSCDGFSTNGLAAIATHCKNLTELDIHENDIDDKGGGWLSCFPESFTSLEVLNFANVTSDINFDALERLTGRCKSMRVLKVNRSISLEQLQRLLANAPHLTELGTGSFSQELTPHQYEDLESVFNNCKNIHTLSGLWEVKGLYLPALYPVSTHLTFLNLSYAVLQSDELAKLLVHCPQLRRLWVLDTVDDKGLEAVGSNCPLLEELRVFPADPFDEEIVHGVTEAGFVAVSYGCPRLHYVLYFCRQMTNAAVTTVVQNCPNFTHFRLCIMTPGQPDYLTNEPMDEAFGAVVKTCRKLRRLSVSGYLTDLTFEHIGRYAKNLETLSVAFAGSSDWGMQCVLAGCPKLRKLEIRDCPFGNAALLSGLEKYESMRSLWMSACNVTMNGCRLLAREMPRLNVEVMKEEGSDDDGRADKVYVYRSIAGRRRDAPSSVLTL >OMO76537 pep supercontig:CCACVL1_1.0:contig10890:96772:99546:-1 gene:CCACVL1_15566 transcript:OMO76537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MESSELKGGKDSQISDELSELRMESSELKKAKDSPISDESNRRSSFPDEVLERVISLLNSHRDRSSVSLVCKDWYNMERWSRTHIFIGNCYSVSPEIVARRFPKIRSLTLKGKPRFSDFNLVPQNWGADVHAWLVVFAAKYPFLEELRLKRMTISDASLEFLALSFPNFKALSLLSCDGFSTNGLAAIATHCKNLTELDIHENDIDDKGGGWLSCFPESFTSLEVLNFANMTSDINFDALERLISRCKSVRVLKVNRSISLEQLQRLLANAPQLTELGTGSFTQELAQRQYEELESAFNNCKNIHTLSGLWEVKGLYLPALYPVSTHLTFLNLSYAVLQSDELAKLLVHCPQLRRLWVLDTVEDKGLEAVGSNCPLLEELRVFPADPFDEEIVHGVTEAGFVAVSYGCPRLHYVLYFCRQMTNAAVATVVQNCPDFTHFRLCIMTPGQPDYLTNEPMDEAFGAVVKTCTKLQRLSVSGYLTDLTFEYIGTYAKNLETLSVAFAGSSDWGMQCVLGGCPKLRKLEIRDCPFGNAALLSGLEKYESMRSLWMSACNVTMNGCRLLAREMPRLNVEVMKEEGSDDDGRADKVYVYRSIAGQRRDAPSSVLTL >OMO76531 pep supercontig:CCACVL1_1.0:contig10890:32649:32723:-1 gene:CCACVL1_15560 transcript:OMO76531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIPTASQIPACFPAMTAPEGV >OMO76565 pep supercontig:CCACVL1_1.0:contig10890:273642:276814:1 gene:CCACVL1_15594 transcript:OMO76565 gene_biotype:protein_coding transcript_biotype:protein_coding description:S1/P1 nuclease MGCNGLLWIGRVLILMLLVHEVVGWGKDGHYTVCKIAEGYLSEDAVAAVKELLPPSAEGELAAVCSWADDIKWYYKWHWTSPLHYVDTPDFKCNYNYCRDCHDSDGHNNSCVTGAIFNYTSQLYSAYQGSNPQSNYNLTEALMFLAHYIGDVHQPLHVGFLGDLGGNSITVRWYRRKTNLHHVWDTNIIDSAVKTFYGSDLAIMIQAIQRNITDSWSNNISSWESCGNNRTVCPNLYASESVRLACLYAYRNATPGSTLEDEYFLSRLPIVEKRLAQGGIRLAAVLNRIFSTEVKIAQA >OMO76551 pep supercontig:CCACVL1_1.0:contig10890:215641:216285:-1 gene:CCACVL1_15580 transcript:OMO76551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated, VPS28 MSTAQMEVKLWNDKREREMYENFAELYAIIKATEKLEKAYVRDIISSSEYETECQKLIAHFKTLASTLKDTVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAAASATTSAAIVAECVQNFITAMDSLKLNMVAVDQVHPLLSDLSASLNKLTILPPDFEGKTKMKEWIARLSKMGAADELTEQQARQLHFDLESSYNSFMAALPNAGT >OMO76532 pep supercontig:CCACVL1_1.0:contig10890:39892:43160:-1 gene:CCACVL1_15561 transcript:OMO76532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase MIPAMDLHLLHSSAAFSAVQFFPRQPSPTYLGRRFFTVRSSLPFPNEKAKYHKELEAAVAVVERACSLCVEVQRSLFSDEGRIVEKSDQTPVTVADFGVQALVSLELGKLFPSIPLVAEEDSGFLRENNLVEPVVSVVSDKTSFDEESLSQADVLKAIDRGGKNAFAFGTEPATYWILDPIDGTRGFVQGRQALYVVGLCLVVEGEIVAGVMGCPNWVVDTSNKSTTDAQGYKNSSSESGIIMVAHIGCGTWTKRLNDMLDSSKKISADWTRCFVDSCCLVNKARFCIPESQTWDSIPFSVLYEARIDDDDIGDKEIRLLPTCCGSLCKYLMVASGRASIFIQRTRSQKVIRAWDHAVGVICVHEAGGKVTDWKGSEVDLAADQAERRTIYPEAGILVSNGNLHNQILEMVSSNSTVI >OMO76564 pep supercontig:CCACVL1_1.0:contig10890:270203:272354:-1 gene:CCACVL1_15593 transcript:OMO76564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MKAIVITKPGGPEVLQLQEVDDPEVKDNEVLIKVEATALNRADTLQRKGSYPPPKGASPYLGLECSGTIQAIGKDVSRWKVGDQVCALLSGGGYAEKVAVPAGQVLPIPPGVSLKDAGGLPEVACTVWSTVFMMSHLSAGETFLVHGGSSGIGTFAIQIAKAKGAKVFVTAGSEEKLASCKNLGADVCINYKTEDFVARVKEETGGQGVDVILDCVGAAYLQRNLDSLNFDGRLCIIGMQSGAVTEIKLNTLLPKRLTVQGAALRPRSPENKAMVVNEVEKNVWPEIAAGTVKPITYKAFPLSEAAEAHRLMESSEHIGKILLVP >OMO76544 pep supercontig:CCACVL1_1.0:contig10890:138198:141135:-1 gene:CCACVL1_15573 transcript:OMO76544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEEQQEEQNQQPTSLIEPTETSESPKQQEYEWAPPQIRFDVPPYRTYHFYKQFRTGSNPNNFLKGVKWSPDGSCFLTSSEDNTLRLFSLPDYGSNEVHASSASEEDSYAAELVIGEGESVYDFCWYPYMSASDPVTSVFATTTRDHPIHLWDATSGLLRCTYRAYDAVDEITAAFSLSFNPAGTKVFAGYNKLIRVFDVHRPGRDFAQYSTQHGNKEGQTGIISAIAFSPTHTGMLATGSYSQTTAIYREDNMELLYVLHGQEGGVTHVQFSKDGNYLYTGGRKDPYIMCWDIRKAVEVVYKLYRSSESTNQRIAFDIESSGQYLGTGGQDGLIHIYDLQTGQWVYNFQAAADTVNGISFHPFLPMATTSSGHRRFQLPEDDNEDLNLRGDENCASVWHFSCVSPLGEPC >OMO76549 pep supercontig:CCACVL1_1.0:contig10890:207142:212830:1 gene:CCACVL1_15578 transcript:OMO76549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSGAASSSKICFNSDCKDLKSERPRKGWRLRTGELAELCDRCASVYEEGRFCDTFHLNASGWRSCESCGKRVHCGCIVSAHAFTLLDAGGIECLACARKNVVLGSNSSWPSSLLFNTSLPERLKDYPAKNWSQLAGSGPVPWRQAPSLFNSSIPQPELHSSVPYEVDLSTSIDRLNATERLSTPSLEKKRIEDFGERLMNGSSLKLGTRDIHENGNAGINCDEQPSSCSTKSQQPSLKEESSKPPFGLVVPYTSPDEVNGQLGLSGTHSRPNPQPPLAKQFHNNQHNGHDSSSEPQTRNGKPRPDGRGRNQLFPRYWPRFTDQDLLQISGDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLKVQDSKGKEWIFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDVVTFSRLEPGGKLVMGFRKASTASASEQDNEAKSNNGVSVHVDTELADPASWSKVDKSGYIAKEAIGTKLAVSRKRKSSTLGSKSKRLRIDNEDLIELKITWEEAQGLLRPPLNHIPSVVVIEGFEFEEYEDAPILGKPTIRATDSSGEKIQWVQCEDCSKWRRLPSNALLPSKWTCSSNSWDPERSFCSAAQELTAEQLEDLLPHCNSVASKKMKATKQEPENVDALEGLDTLANLAILGEGEGLPASSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFRTLMLRREKKQSQKEAETTRKKQQSPMRDKLMDDDSLPCSNAGNSSPNPIKVVSEGGSDDDPNRVKSSTSPFKGQIDLNIQPEREEELSPGSDSGSMMKLLQDATERYLRQQRLNFGGNSSSVVTQTQPVGGTGDKIGNSVNLGASHQDPDRDHPAVFPIKASAHTSATG >OMO60953 pep supercontig:CCACVL1_1.0:contig13639:635:1962:-1 gene:CCACVL1_23793 transcript:OMO60953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTFDRHKLRSFGRWQVVKENQILNKGSQIIDQETATLADMNIFLGDRLWVKDSEIHQDRLEIFSLSTNK >OMO60954 pep supercontig:CCACVL1_1.0:contig13639:7180:7668:1 gene:CCACVL1_23794 transcript:OMO60954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYITTCSDAPRAYHGLEGHIGTNEDHGDVIDASLEEHGDGGTSDRPSLGEAAQHRPQDWPRDMDMSGHHRPRDMDMVGYNRPNDSAMPGHNRPHDPLSMLLGPMTRARAKRFKEALVGFIRSHLEELKTIEDSLGRFEDHTTRNIPNDSMLCTMLSIDEH >OMO68039 pep supercontig:CCACVL1_1.0:contig12301:3150:7518:1 gene:CCACVL1_20113 transcript:OMO68039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLVPGILLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGESASPVPILRGVRPVPGRHPCVGSPEDIVATQSPGFLNNGSKSALGSKPGEKVKSPSKPVLCSGHGGEKDKSVGSRLNAGAKEDHLDKKTASLTRSKSQSIKPALNLDLRKEALGKLKTTNSRSIPSSPTSCYSLPTSFEKFATGIKQQADIKGLRKGSPRVGSVEKASSYNGLSTPTGKKVPVIKTLVQGIELGAKALRKSWEGNMEVKGREHSKLRTTKYDTKQESRSTSAPRKSTSSEKLLSKEENKLQTSTRSLKEESKFQVSTKKTNGTLDDQDKQNKPRTHVGKKSGDQSSNGGLGNLVKVPINSKRLTEGSVSWGSLSSSLSRLGKEVMKNRDAAQTAAIEALQEAAASESLLRCLSLYSELTTSAKEDDPQPAVDQFLMLHASLNNARTIADSLSKTIPVGSSPESEANPSEEAVKVTSDRRKYAVSWVQAALATNLSSFSVFTKEPNSVSHASASAQSQKTIPANQNILILENSSKNASAKAQGKTRPVVGSKLVAQGLFRKPGDASGPGPKAQVQPPPPEWTKGNGLDEAVDLAEMLRMESQDWFLGFVEKFLDADVDTSALSDNGQIAGMLTQLKSVNDWLDEISSSKDEGETPPVSLETIDRLRKKIYEYLLTHVESAAAALGGGSQSSPSIRTVETKAKK >OMO95258 pep supercontig:CCACVL1_1.0:contig07706:45862:47042:-1 gene:CCACVL1_05472 transcript:OMO95258 gene_biotype:protein_coding transcript_biotype:protein_coding description:NTP pyrophosphohydrolase MazG, putative catalytic core MGEEKENAEEKVVEISLKDLSRKLEEFARARDWEKYHSPRNLLLAMVGEVGELSEIFQWRGEVDKGLPNWEESDKEHLGEELSDVLLYLIRLSDICGIDLGVAATKKLVKNAIKYPAN >OMO95257 pep supercontig:CCACVL1_1.0:contig07706:42169:44361:-1 gene:CCACVL1_05471 transcript:OMO95257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTVFTPSLEGMQHIKSDQGEMLTKPFLDVCKLILPVIDKFGAAMALVKSDVGGNISRLEKIYQSDPHKYNYLYNIVKEEVECKTAKGSSSCTNGLLWLTRAMDFLVELFRNLLAHPDWTMTEACTDSYGKTLKKYHGWLASSSFTVAMKLAPDRKKFMEVISGSGDITADMEKFCSTFPQYLEENHKFLARCGLDDMKA >OMO95255 pep supercontig:CCACVL1_1.0:contig07706:37673:39519:1 gene:CCACVL1_05469 transcript:OMO95255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MASSRAKRPLLCSPEEEVTVYLKLMKTLALRVKPAETVEDFKALLYEKGIISEDIQNLSFAGQPLNDGQRLVDHGIQRYSCVDLTIQNFDLVKFYVTIPSEHKTIVVEARAEDTVQEVKSYIQAIEGIESDRFSLVYQGYLLEEDRTLSSLNIVNNSTLCLAYCQKDVISIYVKALTGEVVKLQVKRMFTVGDVKAIAGSMLSVSSLGSLFNSGQHLDETKVLAFYDIKEESMLEMLHPVYQIFVKTWSGKTYIFNVQQNMTVKDLKDKLYEKLKIPVHLQSLIFAGKRLEAERDLASYSIQKNCTVYMVFAPSSTIKYMKLSTISTHMSLLNTVGTLKQKIGSKEGVEVKEIKFHQRTLLDDFTLQYYGINKETTLTVVF >OMO95253 pep supercontig:CCACVL1_1.0:contig07706:28540:30430:1 gene:CCACVL1_05467 transcript:OMO95253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSHFQAPTSSIVSGLNRGLAASEDDLRKADAAAKELEAVAGPVDLYGDLDILQGRWKLIYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVLSKDFDNIVELELGAPWPLPPVEVTATLAHKFELLGPGKIRIIFEKTTVKTKGNLSQLPPLEIPRIPDNLRPPSNTGSGEFEVTFADADTRITRGDRGELRVFVIS >OMO95256 pep supercontig:CCACVL1_1.0:contig07706:40083:40590:-1 gene:CCACVL1_05470 transcript:OMO95256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEFSSAPELSAVPLKNNKKPSAAGNQDSLPPLANGNEKEKPKKSRRKASIGGNGSPVSSPKGSEKQSRRHRSSNLSMESPGRDSPSHARRSQNRGIEAASPDRPDIPKKSRRKKSKESSDGSTESSSRSKGPNSMPDIIEL >OMO95259 pep supercontig:CCACVL1_1.0:contig07706:51136:54657:-1 gene:CCACVL1_05473 transcript:OMO95259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MEEVRETAITYYEHLSQSQKDEATKFFESLDSDGDGKINEEEFIAWGNKRGFKSITWSERLFKELNKDENGSLDFDEVLTLFYLNKSGRIVFCDGNGCEAFLKGNYFTCVKCFSARMSAQGTCDLCCSCYRNNNFNHRDDHTTFVDNYALLLSIWRQEDKPSASVDVDVEVDIASCIDLAQLNGCSNGKYAANSTYSKNLDLILSTMPSNASENGGFYNTSSGQDPDEVYALALCRGDLALESCFNCINSSIEGIKKQCPNQKEALFWGPILSSSTCMLRYSNLNILSIMDTTPSVPVSNPNDISSSNLEQFNETIYKLMDTLLMNASSASPSKFAAGDMNFTSSDKIYGLVQCTPDISESDCRICLRGAVGELSGCCGTKQGGRILRPSCFAWFELNLFYDLSTIDTPSLYPFDPKPQTPAEFAPPATITNKGSGRTKSRTIIIIVLPIVIFLAAVIVFYVIFHRRKAMSNQDGK >OMO95252 pep supercontig:CCACVL1_1.0:contig07706:24712:28095:-1 gene:CCACVL1_05466 transcript:OMO95252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinoprotein amine dehydrogenase, beta chain-like protein MSAPSVRRQRDLPTGNQKPSKNLTPISNPPLKKSSSTKENRRSTSLSRASSVGQKPTIRPVARVEKAAAAAALGGCDSEGRVRRSTSSVPRGRSQSPSEFIRVFSDLKKDKISIDREKDFRDLRARVCKENVGFRETLVMKVKENERKLNGDRVLDENCKKDVKFSSELRKPNGDNIQNGGFEALNEKGISDFGSEPGACVRVDEKCDAKFPKEKSLNNGKSLEAPKEGLSIQESGTSGVSVKYPSKLHEKLAFLEGKVKRIASDIKRTKEMLDMNNPDASKVILSDIQDKISGIEKAMTHVVSDPNGKTSGSKGSGEGNVSMKGIERIQSKQVGNVKISVKELNSEELEARLFPHHKLLRNRTSLKSSGSSDSQESSKAVDPSNELRQEKKLLSPIENHPIALEFLASLDKDKSKVTTRDEHASVENSDTQEMDVDGASGAQGSLNDINVQQGEELNLESDERLDDFLNQENRPTAVICEETEDTSIYQLNQIGRKTSTGGWFVSEGEAVLLAHDDGSCSFYDIANCEEKSVYKPPAAVSPNIWRDCWVIRAPSADGCAGRYVIAASAGNSLESGFCSWDFYTKDVRAFHTECGETASRTVLGPLSNNTLHRRNALCSSLSPETQQWWYRPCGPLIVSTATSQKVVKVYDIRDGEEIMKWEVQKPVSTTMDYSSPLQWRNRGKVVVAEAEMISVWDVNSLHPQSLLSVSTSGRKISALHVNNTDAEIGGGVRQRVSSSEAEGNDGVFCTADSINIMDFREPSGIGAKIAKVGVNVQSVFSRGDSIYLGCTNVRSSGKMQSCSQVQQFSLRKQRPFNTYSLPETNAHSHHSAITQVWGNSNLVMGVCGMGLFVFDALRDDGLKPFINDHGSGQDLREIIGPDDLYSPSFDYLASRVLLISRDRPALWRHLS >OMO95251 pep supercontig:CCACVL1_1.0:contig07706:11809:15744:-1 gene:CCACVL1_05465 transcript:OMO95251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEDQFDQKALLKEGKRGNRKYKHGFSSVEIQTLASAAEVVFPSLPSTNSQFEGKENKPSKSVQHFLETSASQSPNPDEAAELLWKRSFIESLILIRIVLLLLSTRLGTLLLCGSLCLGEKWPYINYFSSMPLEKREKAFQFWLKNRIFTFIRIAFIYFKVSCLYVFFSKIGENGENPVWEAIGYNIDKIESPKTKERPLQKGMVETMHEKDSTFLQSLTQKGLQVTADANQNVYKIKCDAVVIGSGCGGGVAAAMLASSGQKVVVLEKGNYFAPADYSPYEGPSLDQLYESGGILPSVDSQFLILAGSIVGGGSAVNWSACIKTPKSVLQQWAEDCQIPLFGSSEYVSAMETVCERIGVTDGCKQEGFQNQVLRKGCENLGLEVEKVPRNSSEDHYCGSCGYGCRRGDKKGTDRTWLVDAVNNGAVILTGCKAERFILEKNKTCNTRKLKCLGVIAESSNKNITMKIQIEAKVTISACGALQTPLLMHSSGLKNRNIGQNLRLHPVLMAWGYFPESNTELKGKTNEGGIITSVHKVVSAEDNKLQAIIETPSLGPSQYSVLCPWESGYDFKNRMLKYSRTAHLITIIRDKGSGKVHAGGRVSYKFDALDKQNLRSGLRQALRILVAAGAVEVGTHRSDGQKFRCKGTSEKELEEFLDSVTSVGSPLYPGENWVVHSSAHQMGSCRMGANEKEGAVDENGQSWEAEGLFVCDASVLPSAVGVNPMITVLSTAYCLSKRIVDSLTQNN >OMO95250 pep supercontig:CCACVL1_1.0:contig07706:3697:10654:1 gene:CCACVL1_05464 transcript:OMO95250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKELEAQLIPEWKDAFVNYWLLKKHVKKIKLSRKQRLPPPDPSHGFIGRSIFDPIRFAATKLSSAVWSNNTNTEIIQVRSSKSMEDGSDEEEVLYQTELVQLFSEEDEVKVFFEMLDDELNKVNQFYKTKESEFLERGEILDKQLQILVDLKQILSERRRRKPNGALSPRSWSSSPRNSDFSSPESPAEMNDSSTEVSQTDEVIAALERNGVNFINSATRAKTKKGKPKMAMRIDIPATTPTRTISAVTSMLWEDLVNNPKKEPNGPSDFINRKKIQCAEKMIRSAFVELYRGLGLLRTYSTLNMVAFTKILKKFDKVANQQTSASYLKAVKRSHFISSDKVVRLMDEVESIFTKHFANNDRKKAMKFLRPQQQKDSHMVTFFVGLFTGCFVSLFSVYIILAHMSGIFSPSAETSYMETVYPVFSVFALLSLHLFCYGCNLFMWKNTRINYNFIFEFAPSTALKYRDAFLICTTFMTSVVGAMVIHLLLRAGGFSPTHVDTIPGILLLIFIALLVCPFDIFYRPTRYCFLRILRNIICSPFYKVLMVDFFMADQLTSQIPLLRHLESTACYFLAGSFKTHQYSTCKNGKLYRQLAYVISFSPYYWRAMQCARRWFDESDLNHLANMGKYVSAMVAAGARLTYATQSNDLWFSVVLVTSIVATIYQLYWDFVKDWGVLNPKSKNPWLRDDLILKKRSIYYLSIALNVVLRVAWIESIMRFRINPVQTHLVDFFLASLEVIRRGHWNFYRLENEHLNNVGKFRAVKAVPLPFRETDSDG >OMO95254 pep supercontig:CCACVL1_1.0:contig07706:33043:36669:1 gene:CCACVL1_05468 transcript:OMO95254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTPSSSSKLNHAQVRTPVSNSKQRCLNFTRNNPHPSPNPNSLAKENPPGEHPVEVIGRIRNHPGDQKEKNPISFLQITPDNKTLRVRADIGYRDFSLDGISSSEEEDLDAFYQKFVQSRINGVKMGAKCTIMMYGPTGSGKSHTMFGCSKQPGIVYRSLKDILGDHGEEENGEKLGVGTFVQVAVLEIYNEEIYDLLQSNGGGGFGIGWPKGGSGSKVKLEVMGKKAKNATFISGSEAGKISKEIQKVEKRRTVKSTLCNDRSSRSHCMIILDVPTVGGRLMLVDMAGSENIDQAGQVGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDQSKILMILCASPDPKEIHKTICTLEYGAKAKCIVRGPHTPIKDKNEDSSSAVILGSRLAAMDQFIHKLQMENKQREKERNEAREALLKKEKEVATLQALLECKGSGVTEDEINSKVNERTRMLKMELDRKLDECRRMNEEIFEMERRRMEERILQQQEEVEVLRRRLQEIEFELRNSKDANGEQNEAKDLDGSSFAKRLIGIYGDEVPGMVKSMDLDMGDPEPFTREVKQVDKAGSLQGFLNHDDFASQFGTKAGLSTVYEEEEIEEEEEPKENVEDEEVEKVIIEEKRVCSSDNHSTPVNVSDSSRQLRIQNIFTLCGHQRELSQQIRTPPPSKAMHETIEPHWSSVMAAASLKENQNPSDDCTDAHIEVFVKWEATKENTGKFITTLKVIKDATLADLRKLIEIYLAADNQAFTFLVLGDPTGAPVQKEHEATLRASQLPKCNNGYLACLRPAKGVQIINHLPLTPLPLTPLENKLPLTPSTRYSKQGDELSPELSPHLSTTPFITVRRH >OMO96199 pep supercontig:CCACVL1_1.0:contig07509:5621:10798:1 gene:CCACVL1_05046 transcript:OMO96199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMSSKSAAVQDSRENQKGRLRRKGSFDKLLPRANSSRREEVVNVRSSSKSKYDGADAKVLLIDKKTSGSNRFCHNDQVEKKTIIDKFEVIEKKKVEKFEVVVAAANHPSSGRVLNSIELEQVAAGWPSWLVAVASEAIQGWIPRRAGDFQKMDKIGQGTYSSVYKAHDRITGKIVALKKVRFDNHDPESVKFMAREIILLRRLDHPNVIKLEGLITSSSASCLYLIFEYMEHDLVGLASLPGVKFPEPQIKCYMQQLLSGLDHCHSNSVLHRDIKGSNLLIDSNGILKIADFGLACSFDPHKSVPMTSRVVTLWYRPPELLLGSSHYGVAVDLWSAGCILGELYAGKPILPGKTEVEQLHKIFKLCGSPSEEYWRRAKLPHSTVFKPLQPYRRCVAETFKDFPSPAISLMETLLSIDPVHRRTAASALKSEFLTTEPLPCEPSSLPKYPPSKEIDAKQRDEEARRQRAVGSRGSRVDMERRGQKEPLAIPTSNSNTELFPSVQRRLPQPNSKSRSQMFNSHRADANSGLLIDPAKQTPAAKEGSRDFVDYNRKRISHSGPLVHGPVFGKSGKEHDDLPMLSSRTNLSKLSGLVATRTLVSEDQREKPGPLTLEAVNQASRSRRSFHESEYAGKQDVRRHMPKVAESPQTGGGRASVKESSLHGRGSRGNKIYVSGPLLAPSDNVDQMLKEHDHKIQEFARRTRLDRTKLGKPQAQGKQVTDNSLLYSTRGAG >OMO96200 pep supercontig:CCACVL1_1.0:contig07509:11268:16584:1 gene:CCACVL1_05047 transcript:OMO96200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPEDGDGVSRGKAREKEW >OMO96198 pep supercontig:CCACVL1_1.0:contig07509:2128:5249:1 gene:CCACVL1_05045 transcript:OMO96198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLDSGVLTPPFVRLHLGFIFSSKPFDNREERLTDKGERMGVLRKKNLKNRRVCKSGGDWSREEDERENGGVSTLQVL >OMO70713 pep supercontig:CCACVL1_1.0:contig11793:21293:30737:-1 gene:CCACVL1_18686 transcript:OMO70713 gene_biotype:protein_coding transcript_biotype:protein_coding description:B-block binding subunit of TFIIIC MDEIISSALEEICAKGRDVRNLVYDSDDPSIRCFDDAEKLDLIIVAKDRLRDNFLGVYGFSLGVYEKEYRQQRLALERIATARTNGITQSQISKEFGMEGNKTFYVVKKLEKSGLIVRQEAIERTRATGNQGQSTRLIHLRRYAKNLGSQQKFEITKEEETMGNADSGIKKVKEDVLVKEYVPSMKAICDKLEEANGKVLVISDIKHDLGYGGSRPKHRNWKNICGRLINAGVVEETYVKVNGKDELCLHLLKKFSPMDFEQKTIIKYVEGNELKFGRCQRANQLVELPIDHQIYDAIDAAGYEGMLIKEVSQRFGLDQKTSLKNCSTMSNKFGMHMQRELHNKTCEYRIRTARNCESSNEIPSGSQDLSSKKSSLFVVNPGFSEGPAQLNLWNSDIETLGKANNWEAEREQGSEASGIIGIITNDCESQELIHGTEKQFTNTGLHSVNTARMALKPCPALTVDSFRREQKILEWLQVEKIVLIAELYRWLVNLEKDKATTMDRKTVDRILQKLQNQGQCRCILLAMNELMNTDCNRKVKVVLHPSIQSLSSEILGRIRDRFISFEKQTHGQASFRKKNINSVLVLDHVQRTHTRNGSHALALRMAAMRANGFTSGKMIRAKLLHSFLWEYACGSSSKDGVSSYGKQDHDIQNPHVTCNMFDVEAAIKSIPLELFLQVVGSTVKVDNMMEMFKNGVRLGDLSIQEYNDLNNTSAIRRLSSILSILQRLKLIQLVTSGSSDDEATVPNACPVYAMELKSYIEEPPLTETYSNSGSLDLFPNRDAVREIIRHDFFFSDRDAIDEYWQVLEYIYAVADPKSASRAFPGSTVHEIFGHRSWGAGRGMTSGQHAKVLKHIGKRNFNRNLPYKECKKIAKTLNLRLEQVLHVYNTKLRRCRKIQDNLNALEAEQKLVQSSSFSRKRKISAEAKVLKHRRIADKIGTLCQHILLRSAGNDDDLGPVIPCSSEGGHYKNIIEGMECNQNRGVGQEEQCDNLNGEKINLALEEVLQSRQVDIHEAPQKPADVCNSQFPPHTGSSAAKFSRWLHERKKDFMRGGIDLTVDLQCGEIFHLFALVSSGELFISPCLPVEGVGETEHTRSLKHISHFNDSCDIRREKGFPGIKLSVHRLPKRTAVALESSFKNGEKSGNGHFVDGNHLRGILASLTVGSNPLYNLNLGKPVPKDGDSCVTPWEVMAEYATKLISLPSNQEYAGTLSPEVFKAVYTALLKAGDQGEKMTELVIDVLQNFGRAEKVRVNGSLYAIARSTEKPSRIHMDDSDLQQCNILNHHENGGSAGPLLDETYTSKFNVESMQHNVVLPEANKRHDLLEGCKRYDGVGITKNNIHKKIISQTFCIVMQNPGISEDGIICKMKLPNPQLCKKLLRLMVLDKHLIVETHQSAPNEVPAILRHLIGGSNNLKESKLIYREHFFANPLSTYLL >OMO70714 pep supercontig:CCACVL1_1.0:contig11793:35043:39686:1 gene:CCACVL1_18687 transcript:OMO70714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment MKTRSLGHSPSEKERVSKYVARSHASSGLSKNIFSRTTMRLGSPMGGLLYSRELSCSQVQLRRGFASDSGLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVTPGEVLCEVETDKATVEMECMEEGYLAKILKGDGSKEIKVGEIIAITVEEEEDIAKFKDYSPSSAGTGAPAAKESAAPPPKEEPVQQPVSSPEPKTTKPASPPSGDRIFASPLARKLAEDNNVPLSSIKGTGPDGHIVKADIEDYLASRGKEASAPTSKTKDAKLASLDYVDIPHSQIRKVTASRLLFSKQTIPHYYLTVDTCVDKLMDLRSQLNSLQEASGGKRISVNDLVIKAAALALRKVPQCNSSWTDDYIRQYNNVNINVAVQTDNGLYVPVIRDADKKGLSSIADEVKHLAQKAKENSLKPEDYEGGTFTVSNLGGPFGIKQFCAIINPPQAGILAVGSAEKRVVPGSGPEQFKFASFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >OMO70717 pep supercontig:CCACVL1_1.0:contig11793:52030:52264:-1 gene:CCACVL1_18690 transcript:OMO70717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSMTQSYTKAELSAMVKKGKSDPFVILVYNFYNI >OMO70715 pep supercontig:CCACVL1_1.0:contig11793:40554:43482:-1 gene:CCACVL1_18688 transcript:OMO70715 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase MANSNGEKPCVWSSPGGCKIDIGKQIFCNRSLNMRNIVAVGFDMDYNLAQYKPETFESLAYGGTIRILVYDLGYPQEFLKWTFDWKYMVRGLVLDKKRGNILKLYAMPVFDMIKTMLVKKLHSRPTRMLRLITRILCVPKDYHFYEEDYSEKEAIFAAAADCGLPPDLKKLLERHYQN >OMO70716 pep supercontig:CCACVL1_1.0:contig11793:47674:51498:1 gene:CCACVL1_18689 transcript:OMO70716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWEKREERVFDLADQFHRNRRRSSQQ >OMP10900 pep supercontig:CCACVL1_1.0:contig01788:1:421:-1 gene:CCACVL1_00752 transcript:OMP10900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic reaction centre, L/M MTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELVVLHFLLGVACYMGREWELSFRLGMR >OMP11937 pep supercontig:CCACVL1_1.0:contig00680:64:138:-1 gene:CCACVL1_00205 transcript:OMP11937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AETGEIKGHYLNATAGTCEEMIKRA >OMP10562 pep supercontig:CCACVL1_1.0:contig02194:165:227:-1 gene:CCACVL1_00874 transcript:OMP10562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KFRMFLFLKQDVVKGRKVMYK >OMO89114 pep supercontig:CCACVL1_1.0:contig08846:1677:1991:1 gene:CCACVL1_08013 transcript:OMO89114 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin ligase PUB14 ILDLDIAVKDGVLGAVGGGGIIATSVNDNVDLKTMVEELDFPEIPQVSVLTCTNRPHRSKSSVLGEVSFSLSQSVPQCSKKCNRFGRFWVSHRPTTPKPTDEQP >OMO89115 pep supercontig:CCACVL1_1.0:contig08846:37593:39531:-1 gene:CCACVL1_08015 transcript:OMO89115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFYCPYCWYKRALARTKELRREAMFAKTELSNFICLKRDGVNQEKQDNVTEKVKASGVSTMEGKINAGDCEKGLNDDHSERIHHNREEAQSVESISKEKSDEESTSRVHGVEYVGDGERTQEEDIENTSDSEDDKIDENQWRNQPSSSNHLEIARGPRRSTEETSNIVGVLEENQGKKGNEEPGLPNAVGTTMALIAKNATSKVPAIEHFKYVSPNLDTLDIEPLAVRAKRFKRPAQRPRPQKVDSPKNPSSQSSSSAEHMKMKRQEKATAAKDSLRCQKLTRQLMLPTLGEKRRRLNWTAEEVDMLKEGVRIFSAEANKNIPWRKILVFGHRVFHETRAPADLKDKWKNMAKEAPKGNM >OMO69138 pep supercontig:CCACVL1_1.0:contig12109:349:4277:-1 gene:CCACVL1_19650 transcript:OMO69138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHPTTKSKNCCFALNHHLPRRAASLKTNLALTADATTPTLQEVDRPLAHYPPDIWGDCFINLQFSDSEFESCSREVEVFKGMAKNMLMASSKDPLENIFMLNTLYRLGLSYHYEDEIEQQLSHLFITLPELMDNKDYDLHTTAIIFQVFRSNGYKMSCEVFHKFTNGDGLFKEEVASDIQGMLSLYEASQWRLHGEDILDEALAFTTSRLESMAKQLTNPYLADYIEKVLHRPYHKGMPRLEAKQYISFYEKDDSRNDTLLKFAKYDFNRVQMLHQQELKVLTSWFKESNIETRIPYARHRTVECFFCAVGMFFEPRFALARKILTQWYVATSLIDDSYDAYGTYEEVQHFTKAFKRFDIRAKDELPIEYLRTLYEVVMNIFAETEEEMSKRGRAYSVDYTKKELMKMVQLYDVQARWLHEGSRPTFDEYLEIGICSIGGPYTMALCMIGIEEADETVYQWAINPDNKIVRLSGLLSRLYDDTQTDEEKRGEISGRDFYMKHYGVSKEEAIEGLSRIACFLTVIWCGNYSIEEFAPKIQQVIDWFGQLQALELTAMAVPLELFDPESLIKSASTSLNSKVVSQYSTLLAPLAVDSVVSVVDPEKPDLI >OMO68767 pep supercontig:CCACVL1_1.0:contig12174:10999:25098:-1 gene:CCACVL1_19843 transcript:OMO68767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLPTTSAICLMPCVGQHSGSYGTTIRVTPSQGFNFSRIRYTASDAGTEKKVSSRLSQVQQLLQEAKERASSADNEPTPQITLAKEEKGKEVKIDGLAIASRSISSTKECRKFCFKQYNHQIQELLKCFGCRLIRGFRFWFRCIKAWIRAQEATEIISGEFEAQPTVNGAPVWRSGDNPIRGSGAERRRKKGFKVKRKGRLL >OMO68768 pep supercontig:CCACVL1_1.0:contig12174:26107:33598:1 gene:CCACVL1_19844 transcript:OMO68768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MEPLTSRTTWYYTSYPKDLGPSRVIHFTSEREFVQLLHQGHPVVVAFTIRGNYTMHLDRVLEEAAAEFYPNVKFMRVECPKYPGFCITRQKKEYPFIEIFHSPEQAANQARVADQNITRYAVKVLPVPVFEGENYDFWKIKLQTLLRSLDAWEVVESGYEEPESTSNLSQAQKKELKDKRKQKQVEEEDGLREKEEMQEVEAKVFLTENQVTKPLHRGAEFVKEAAMKRKIVGFKARLNASNVKNLAMCKKIVDGSRDSKQALHKRKKLKQICFMYAKLLWSKKLNKWYIDSACSNHMTSDESMFHELDSSQAAQNLLSVGQLVENGYALHFADNYCTIYDKNDRRRVLAQVKVVDRCFPLNLKSVKDVALKGLPKIEEKQEVCEGCALGKHHRQAFPKGVAWRAKSMLELVHTDVCGPMTTPSHSGNRYFILFIDDYTRMTWVYFMRQRSEVFTIFKKFKSLVEKQSGHYIKVLRSDNGKEYTSREFEMFCEDEVVERQLTVGYSPQQNGVSERKNQTVMEMAKSMLFEKNLPKSFWAEAVNTAVYLINRCPTKAVWNQAPFEAWSGRKPSVEHLKVFGCVCYAQIPKEKRHKLDETSEKCIFVGYSTMSKGYRLYSLKSSKVIISRDVIFDENVTWNWEENKVEEISVPMGLKHQNSDFEDGEDEGSSSSSQSSTPRKIRSLSDVYGRFIEKNKTWHLVDRPKDKEVIGVKWVYKVKHNSDGSVQRHKARLVAKGYSQQPGIDFDENFAPVARLDTVRAVIALAAHKGWHLYQLDVKSAFLNGELKEDVYVEQPQGFVIEGEEEKVYKLSKALYGLKQAPRAWYSNIDSYFIKQGFEKSKSEPTLYVKHHSGDFLIVAIYVDDLVFTGNNEKMMRKFKEDMMKKYEMSDLGLMHHFLGIEVHQSNDGVFICQKKYAEKILKKFNMSDCKPVATPLIANEKLKKDDGGKKVDASVYRSLIGNLLYFTATRPDIMFAASLLSRFMNSSSHLHFGAAKRVLRYIQGTLDYGIKYGSSNEPKLVGFSDSDWAGSLDDMKSTSGYVFSFGSGVFSWCSKKQQTVAQSSAEAEYVAASLATQQAIWLKRIVEDIEEKPAEAVIIYCDNKSAIAMAKNPVYHSRTKHIAIKHHFIRDAIEEGKVQLNFLFLETK >OMO63018 pep supercontig:CCACVL1_1.0:contig13079:19723:19785:-1 gene:CCACVL1_22520 transcript:OMO63018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CYGYVAGRMGKGNTKACREN >OMO66617 pep supercontig:CCACVL1_1.0:contig12530:19062:20235:-1 gene:CCACVL1_21052 transcript:OMO66617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclases/protein prenyltransferase alpha-alpha toroid MWKLKIGKESVGENGAWLRSLNNHVGRQVWEFCPDSGTPEELSKVEMARQSFSENRFQQKHSSDLLMRIQFAKENPDVTNLPQVKLTEFEEVKEEAVSTTLRRALDFYSTIQSDDGHWPGDYGGPMFLLPGLVRLNCHYFICYRSLECCPIKGASI >OMO66616 pep supercontig:CCACVL1_1.0:contig12530:8033:11202:1 gene:CCACVL1_21051 transcript:OMO66616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAEAIFKTHDANFAARPVSPFDDGLLLGNLGFVNAPYGDYWRFMKKLLVTELLSPWQIERSRAVRHQEITRLLRQLVQSAAKTEVVDVSALLTKLANNTICRMVMSTSCSEEDDEAEKIRNVLKKSFGLVGKMCLADSLGPFKKLGFWLFSKEVNEVTSAYDELLEKLLMEHEVKAKNYNDIEDHNKDLMDILLKVYHDENAEFKITRAQMKAFFADLNEIDKCIYPSTSPQKAS >OMO90825 pep supercontig:CCACVL1_1.0:contig08421:5537:5605:-1 gene:CCACVL1_07275 transcript:OMO90825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWGDSTGKMYHRFQQSVFTHT >OMO67242 pep supercontig:CCACVL1_1.0:contig12456:19827:19934:-1 gene:CCACVL1_20672 transcript:OMO67242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAEEDKAEMSKSYREKVTATKTIKPRLSRRVAVP >OMO67243 pep supercontig:CCACVL1_1.0:contig12456:20263:23790:1 gene:CCACVL1_20673 transcript:OMO67243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding Lysin subgroup MMLSKVEARKKIGEDSGNGKLLNEIEAISKALYLDKNPSRTSLSTFNTRSKPPGKPNLPEPKSKLKNSNEDPSRKDKKSIWNWKPLKAFSNVKNRRFDCCFSLQVHSIENLPISFNDLSLCVHWKRRDGGLMTGPAKVFDGIVEFEEKLTHTCSVYGSRSGPHHSAKYEAKHFLLYASVFGAPDVDLGKHRVDLTRLLPLTLEELEEEKSSGKWTTSFKLSGKAKGAAMNVSFGYMVVGDNPVASGDNQNGTNLSPVKQMGKAVTKVGQGVQKGSLKRVESLPTLSNIKSFGSPHFVEEIKDLHEVLPVSKSELNNTDMLDRKLHEDRSDASAASKLVSDVSTENFEPIKPPSSPESSKANIERETEDVDFSVVEKGIELSSEEQAMSEEVSVVAAISLVESPQVVETNPGMGPNCEECAQLHLSNEDSESNQRDVLAVQDCNSDDIDQCSKESLMRDLELALDGISNLEAALDSSDPEDPEDDVENKADYGSDMMGRSLSLDDATESVASEFLNMLGIDHSPFGLSSESEPESPRERLLRQFEEETLASGCSLFNFDMAEGEGEGEELECGFDTSTVPGWGNLTEGFDLSSAIKDAEQEHQMEINGRSKTRAKVLEDMETEALMREWGLNEKAFQHSPPGSSAGFGSPVDLPPENPLELPPLGEGLGPFMQTKNGGFVRSMNPTLFTNAKSGGSLIMQVSSPVVVPAEMGSGIMDVLQRLASVGIEKLSMQANKLMPLDDITGKTMQQVAWEAAPSLEGPERQSVLQHEFEVGQDISSEHKKVKRRSSLPSSNKFSSASGNQVGLDYVSLEDLAPLAMDKIEALSMEGLRIQSGMSDEDAPSNISAKSIGEISALQGKGFGISGSLGLEGTAGLQLLDIKDSSDDVDGLMGLSLTLDEWMRLDSGDIDDEDQISERTSKILAAHHATSLNMIRGGSKGEKRRGRKCGLLGNNFTVALMVQLRDPLRNYEPVGAPMLSLIQVERVFVPPKPKIYSTVSALRNETQEDDDSESAVNKEVKEKETEEEKASQEEGIPQYRITEVHVAGLKTETGKKKLWGGTTQQQSGSRWLLANGMGKSNKHSLLKSKAPSKSSTPSNPSTTKVQPGDTLWSISSRVHGTGAKWKELAALNPHIRNPNVIFPNETIRLS >OMO67246 pep supercontig:CCACVL1_1.0:contig12456:34370:36207:-1 gene:CCACVL1_20676 transcript:OMO67246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDTGVETARAAELNDQSSSGDNRKEVDIYPLSCYYFGSKEAIVFKDETLSDRVKRMKSNFAAYGLRTSVEAVILVELFKHPHILLLQVRNSIFKLPGGRLRPGESDIDGLRRKLSRKLSASDAGDEAEWEVGECLGMWWRHDFETLLYPYLPPNVKKPKECAKLFLVRLPESRKFIVPQNLKLLAVPLCQVHENQKTYGPVISGVPQLLSKFSINIVDT >OMO67249 pep supercontig:CCACVL1_1.0:contig12456:50889:52742:1 gene:CCACVL1_20679 transcript:OMO67249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITGDPPPLWPQLTTMPRRRSPSPLFSPPVLIILLPIIALIILFFAVPPFLSITSQILKPIGVKKSWDSLNILLVLFAILCGVFARRNDDDNNNVRNNVNNNRPNDPVSHQQWFDQFSERKIYDDNINIPPINSSAATGVRRLKRSSSSYPDLRQETLWENNNEERYRFFDDFEINKYRSSSISTNYNDRVHELRGMRIPAPPPPPPPPAFSPSKRSSKQNTQSQTPPTPPPAPPPSFFSTKRLSKHNSQIPPPSKPPPTPPPEPSRRRTTASTGRPPLPTKSNYYDENLNSGGQSPLIPMPPPPPPPPFKMPEFKFVFRGDFVKIRSSPSSRCSSPDLEEVDISSTKDDTETVSVMDGEDGVGVGNGNGNAGFPVSCPSPDVNAKAETFIARLRDGWKLEKINSIKEKQRIG >OMO67245 pep supercontig:CCACVL1_1.0:contig12456:29825:32338:-1 gene:CCACVL1_20675 transcript:OMO67245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKGKGLLSPNLKLALPPPAEVSFAKFLTESGTFKDGDLLVNKDGVRIVSQSEPEAPPPIKPSEIDVDDQLNLEDIDAIKVVGKGNGGIVQLVQHKWTGQFFALKIIQMNIEESARKQIAKELKINQSSQCPYVVVCYQSFYTNGAISILLEYMDGGSLADFLKKVKSIPEPYLAAICKQVLKGLMYLHHERHIIHRDLKPSNLLINHRGEVKITDFGVSAIMTSTSGLANTFVGTYNYMSPERIIGGNYGSKSDIWSLGLVLLECATGKFPYSPPNQAEGWTNFYELMEQIVEDPPPCAPSDQFSAEFCSFISACVQKDPKERKSAHELLELPFLSMYDDLHVDLSSYFNNAGSPLATL >OMO67240 pep supercontig:CCACVL1_1.0:contig12456:8691:16662:1 gene:CCACVL1_20670 transcript:OMO67240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferases subunit 3 MATSSKFDLSSGSPDRPLYTSGQRGAHLAAQLDRSGSFRETMDNPILSSLPSMSRSTSVVAQADVSNFFQCLRFDPKVVAADHKSNRQGDFKRHIGVALGVSADESPTISSKGKLLPSPIPEEIKRVKAGLRDCSVKARERMKTFNEALSVFNKFFPSIPSKKRSRSESFSSDRPNALLSSDRSVLGPTIGKMGMHNHSIAGGFEFEQQKSEERPKSAVPNKRTRTSVMDMRNNAPGRQPGNADRDREMLRVSNSGAVQGEDRTLSGGVDGWEKTKMKKKRSGIKPDVSPSIISTKPIEGYRESKQGMQQRPVTDARSRLNNDSHGFRSGIANGSGGVGKSEGISQATGLGPRSSLPRTDLDNSSLLNERRDRPVASDKERVNLRAVNKMSVRDEFNSASPTSSTKMNASIRGPRSGSGVAPKLSPVVHRATASNEWELSHCTNKPPTAGGTNNRKRTTSARSSSPPVANWASQRPQKSSRTARRTNLVPIVPSNDESPSLDAVSDMTGNEIGSGYARRLSSSSPQQVKLKGDALSSAALSESEESGAAEIKSKEKVKKSDEIDEKTGQNVQKGSTLVLSSRKNKLISGEDIGDGVRRQGRTGRGIASTRSLMPMTVEKFGNVGTAKQLRSARLGLDKAESKAGRPPTRKLTDRKAYARQKHAAVNAAADLLEDGHEELVAAVNALISSAHAFPNSFWRQMEPFLGFISDADIEFLKQQELCQVTKFGSSPVPSIIDGCSTISNGYGLLEHERDGGIASITPTVELLSQQSVLDTRDNNVIPLYQRFLAALIPEEDSDNGNEGAFNLYGTGFETDGELGSNGLSHIVNFQSTGHAFNGYRITGKPEHDDPEIDMLGNMGINSNFSHSMNGTFPDELMPGMVCSDFQYENMKINEKLLLEAQSIGIFSEPPPDLAQMEDDEIREDISKLEEKHKEQVSKKKGLLDKLLKAASETREIQEKEFEQHALDKLVTMAYEKYMTCWGPTGGKSSTNKMIKQAALAFVKRTLDRYSKFEDTGKSCFDEPMLRDMFLSGSSRPNGARSVDTPTDGESGKPCANSSTRSLEARTSGQNVDSYAVNSSDLLPPTNRLSDQTTPKDDSWSNRVKKRELLLEDVVGGTIGASSAQSGIGSSLSSSTKGKRSERDREGKGHGREVLSRNGTNKIGRPVSNVKGERKSKTKPKQKTTQLSVSVNGLLGKMSEQPKPSTSVSKSSEITANNNPKEKDDFGLDVLDDLQLPGQDLGSWLNIDDDGLQDHDFMGLEIPMDDLSDLNMMV >OMO67244 pep supercontig:CCACVL1_1.0:contig12456:26309:28344:1 gene:CCACVL1_20674 transcript:OMO67244 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MEEISTSIAGPCAVRLGDMMCNDSRTIRHMDVNNGCKLVAEKASLLSLPDSKPNQLCESISCGNQGCYHGGCSCKASVASLSPHKGDRGDNGGVSSNQIETEDSCISGEYNHHISEEDGSISFGRDHENSCSRSVGSDVSSISVEEVSALEVNSERDSSNTLVGERNSTDVQDVKRVAVDLVGEDGNRSDESDPKSSESFVEVQQQKKIRKTERPFLFESSNIPLWGFTSICGRRPEMEDAVVVLPRFLQVPTEMLKGSSISNGMNHRLSDFTAHFYGVYDGHGGCQVANYCRERMHLALAEEIEMAKACIHDGNIVHDWQEQWKKAISNSFIKVDAEVGGVHKGSGWNKIDHEPVAPETVGSTAVVAIVSSTHIIVANCGDSRAVLNHGKHAMPLSVDHKPDREDEHARIEAAGGKVIQWNGSRVFGVLAMSRSIGDRYLKPWIIPDPEVMFVPRSKEDECLILASDGLWDVISNEEACEVARKRILLWHKKHGEKLSAERGEGVDPAAQAAAEYLSRLALSKGSKDNISVIVVDLKAQRKFKKKA >OMO67250 pep supercontig:CCACVL1_1.0:contig12456:53452:57633:-1 gene:CCACVL1_20680 transcript:OMO67250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNASVISATTINTTQPKTRPRTGAGSRGSTHFRDFDGFRATNRNLNPCSLRRLFPSPSDRMTASLNLAASEVRSIGLSIAGFIFTILGQSKLGVKISNLVAVIFFAAVAAIPKAHRGGLMNLTGILSMGLSVGEIILSVLDIMLKAHNAIFVSISFGGSVLVIILTIASLILQRFILL >OMO67248 pep supercontig:CCACVL1_1.0:contig12456:44713:46974:-1 gene:CCACVL1_20678 transcript:OMO67248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-type MVWFQCEDCGDNLKKPKLANHFRICSASKLSCIDCGQTFGQQSVQGHTQCITEAEKYGPKGQGNTPNSNAKSNKQTKEKPDIDINVGLSQRPPWFCSLCNTKATSQQTLLLHADGKKHRAKARAFHAKQQPKQTEESAPDAKVSTENKVNGELQESKSVGEAKTQDMPKDGNVQINSEAENGELSSHRKRKLDASVRDDTGKNRGDDNSEEVGNGEVIQIEGEKTEETKRKSKKSKHEVVKEDIAEPASTKEDSKRKINCKKLIKAALKSSPDGVLKKRKLQKLVLKSLQELGVDQDKSQLSEMLEHKITSSSRFIVEGKYVRLVAKD >OMO67239 pep supercontig:CCACVL1_1.0:contig12456:7339:7509:1 gene:CCACVL1_20669 transcript:OMO67239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYELSTFDSELRIDYVNRIRILNLKNLIIALLNIAASVITSSRDSLKVDLNSHS >OMO67251 pep supercontig:CCACVL1_1.0:contig12456:59154:60669:1 gene:CCACVL1_20681 transcript:OMO67251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSMKLAGLKSVENAHDESVWAATWVPGTTTRPSLLLTGSLDETVKLWSPDELDLVRTNTGHCLGVVSVAAHPSGVIAASASLDSLVRVFDVDTNATIATLEAPPSEVWQMQFDPKGTTLAVAGGGSASIKLWDTATWRLVATLSIPRPEGPKPSDKSSSKKFVLSVAWSPDGRRLACGSMDGTISVFDVARAKFLHHLEGHHMPVRSLVFSPEPDSRRLYSASDDGHVHIYDAEGKALIGAMSGHASWVLSVDVSPDGEALATGSSDKTVRLWDFKMRAAIQTMSNHTDQVWAVAFRRDGGGRLASVSDDKSISLYHCS >OMO67241 pep supercontig:CCACVL1_1.0:contig12456:18347:18472:-1 gene:CCACVL1_20671 transcript:OMO67241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARNGDNQNAAAHVYLQSSDEGTFDGVKRNEFTKPQTIQ >OMO67247 pep supercontig:CCACVL1_1.0:contig12456:39845:43480:-1 gene:CCACVL1_20677 transcript:OMO67247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTEVRKVLTRTILSHSQNMEEIKLKIQEKMVGVSSKFLLWLVGGPLVTQLFGQKDFCIKY >OMO73074 pep supercontig:CCACVL1_1.0:contig11312:15116:15199:-1 gene:CCACVL1_17480 transcript:OMO73074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERPNKTNPKRIGKAQNKRANLEKTKLK >OMO60381 pep supercontig:CCACVL1_1.0:contig13729:5411:5485:1 gene:CCACVL1_24199 transcript:OMO60381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGASIVRAVVSKEAKEFKFQGDSST >OMO67445 pep supercontig:CCACVL1_1.0:contig12433:773:895:1 gene:CCACVL1_20520 transcript:OMO67445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVVLNDRLGKKVRVKCNEDDTIGDLTGCGSDGNPTRQD >OMO67447 pep supercontig:CCACVL1_1.0:contig12433:12863:13527:1 gene:CCACVL1_20522 transcript:OMO67447 gene_biotype:protein_coding transcript_biotype:protein_coding description:CBR-FMO-3 protein MGKNINLLSEISLLSVEGRDSHRKRGKWKVGFTRVEGKWGMFVMWECL >OMO67446 pep supercontig:CCACVL1_1.0:contig12433:8747:12307:-1 gene:CCACVL1_20521 transcript:OMO67446 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MKEPEKSLDPQLWHACAGSMVQIPPVNSKVFYFPQGHAEHSLTSVDFSTSAQIPALVLCRVASVKYLADSETDEVYAKMMLVPLPNTELDVEDDGVLVAGSDNVEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQTVIAKDVHGEIWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGNGPECVAAGWNSGLGNGNCVNNPYGGFSGFLREDESKVMRNGNSRVKGKVRPEAVLEAVALAASGQPFEVIYYPRASTPEFCVKASSVRVAMRIHWCSGMRFKMAFETEDSSRISWFMGTVSSVQVADPIRWPNSLWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLSPFSPPRKKLRLPQHLDFPLDGQFPMPSFTGNPLGPNSPLHCLSDNAPAGIQGARHAQFGLSLSDLHLNNKLQSGLFLSSLQRFDSHSRVSNGIVMARRTSSNDNLSCLLTMGNSGQKEKSENTKRHQFLLFGQPILTEQQLSRSCSSEAVSQVINGKSSLDGNAEKAKDSSDGSASVLENQFSPEKSSPAGFLWNQEYRTAELGLDTGHCKVFLESEDVGRTLDLSVLGSYEELYRKLANMFGIERSDMLGRVLYRDATGAVKQTGDEPFSAFMKTTKRLTIMMNSGNDTVGRSWLTGIRTAENGLDGPNKTGPLSIFA >OMP04958 pep supercontig:CCACVL1_1.0:contig05556:100:4260:1 gene:CCACVL1_02083 transcript:OMP04958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLFRELSLGHSKRESTPPPPPIQTQPMPSKLISTGLQSPLGQLASQLSDSDLRLTAYDVFLAVCRTSSSKPLSTSASFNSDSPSLNSPSSNHNNNHSPQTPALQRSLTSQAASKMKKALGLKSPGSSSVSKKSPGSGPGSGQGKSKRPATLGELMRIQMRIPESVDSRVRRALLRIGGGLVGRRIESVVLPLELLQQLKQSDFTDQQEYDAWQKRNLKVLEAGLLLHPRVPLDKSNNLSQRLRQIIHAALDRPIETGKNNESLQVLRSAVMSLASRSDGSLSDSCHWADGIPLNLRLYEVLLETCFDINDEASIIEEVDELMEQIKKTWVILGINQILHNLCFTWVLFHRFVATGQVEMDLLYAADSQLGEVAKDAKTTKDPEYSKILSSTLSSILGWAEQRLLAYHDTFDSGNIYTMQGIVSLGVSAAKILVEDVSTEYRRKRKGQVDVARSRIDTYIRSSLRTAFAQRMEKADSSRRASKNQPNPLPVLAILAKDVGELAVHEKQVFSPILKGWHPLAAGVAVATLHSCYANEIKQFISGITELTPDAVQVLRAADKLEKDLVQIAVEDAVDSDDGGKAIIREMAPYEAETAIANLVKGWIKTRLDRLKEWVDRNLQQEVWNPQANQEGFAPSAVEILRIIDETLDAFFQLPIPTHPALLPDLMAGLDKCLQYYVIKAKSGCGSRNTYIPTMPALTRCELGSKFQGVWKKKEKSQNFQKRNSQVATMNGDNSFGVPQLCVRINTLHRIRSEMEVIEKRIITHLRNCESAHVEDFSNGLSKKFELTPGACIEGVQQLSEAVAYKIVFHDLSHVLWDGLYVGELSSSRIDPLLQELERNLLIISETVQERVRTRIITDIMKASCDGFLLVLLAGGPSRAFSRQDSQIIEDDFKALKDLFWANGDGLPADLIDKFSATVRGVLPLFRTDTESLIERFRRVTLETYGSSARSRLPLPPTSGQWNPNEANTLLRVLCYRNDETASKFLKKTYNLPKKL >OMP04962 pep supercontig:CCACVL1_1.0:contig05556:16773:19203:1 gene:CCACVL1_02087 transcript:OMP04962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase, PTH2 MVIILLLCNTPYQKLQKKEKELLGASFRPENFIPGLVLGFIFGFLLDLSKPTKTLSKKKNFLSGKPQDQDFVSTKDDHDLKMVLVVRQDLKMRSGKIASQCAHAATGMYAELMQRNKIQEAAENIGLPTFVVADAGRTQVAAGSKTVLAVGPGPKMAVDSVTGKLNLL >OMP04961 pep supercontig:CCACVL1_1.0:contig05556:14306:15055:-1 gene:CCACVL1_02086 transcript:OMP04961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MDPHAASSSSSTPNHHHNGLHHRFKPVQPVADRIARALRHPLFLLHRSDSSFFVLGATGNVYTVTLSATPSCTCPDRTTPCKHILFVLIRALGVSIDDTCLRRRTLRPCRLSRLLGTPTLPEAMAGVRLRERFHQLFVEAKKQGGLSSGGVKEIEERTVCPVCLEEMEKEEKLLACSTCRNLIHEECLMRWKRSRGRRSASCVICRARWSTVDQDKYLNLATYISQDQAAGGSGDGGGGGRGGLSFCAG >OMP04959 pep supercontig:CCACVL1_1.0:contig05556:5351:9993:-1 gene:CCACVL1_02084 transcript:OMP04959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin Nup85-like protein MPGVSSDTSGGALAPFSPESQGAAVYPLHHGLKSPISRLSISWSRGNSLRVSVFAAPSDHSVNEEAGGKVVEVKLGSGDGEISDSHWRRIAYDSVSPFALLQSRKNAASSFSKMSMNSSPYDVDWSEYSKDINALLGNQKSPPAPIIDDPKSVIKKGEEPTSLKAAWELLEMFYAEKPSQSWLPERLVDWLAGYDSLFSGTHSTVHSKLVDFQKELVNLQVVEDDPRYWEVISSALAVGWLDIVVKLLRLHGSYRLDQLSNRETENGLVEAVAVLISKMPRMRLEQEDGILGESFKAKPDFVKALEKWRAHINKLDSSAFWFQCAHQQTREGLRSMLQIMLGNADSLCTATCHWIELYISHLLYIRPFTVGLESMYNLAQKCIQLKPMASADRLMQLIIGILGENTEVVLAECSKGFGPWMVTHAIELLTAGSDHAEMLLHEEHKNLGGISIEELYRLVYAQVLSSHPLTWQIAPIYLASCMKQGMVLLQILLSKQPVQHNQLLLKNIEICRLYELDSITSNIMKIAGVYHWKHGRKGSGVFWLQQARDEYRLNRIAQQLFDSVGKTISDESFKQWEGLIQLLGSESKTAGGLEFLHKYRDFKKSLQQIHDGKTTDASRQAVESLITLMKNPSTPQRFWLPLLYDSLKLLNWQDHPLFNVSQTNLLLNKLQELSMARLRPGFIEPDLPPHALDSVRLALATNLGRAILEE >OMP04960 pep supercontig:CCACVL1_1.0:contig05556:10822:13023:-1 gene:CCACVL1_02085 transcript:OMP04960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation, RCC1 MEVAAGTFQGSGNLSRKVISVAAGEAHTVALSGEGCVYSWGRGMFGRLGNGSESDERFPVRVKFQNSELKFIGVAAGAYHTLALADDGSVWSWGYNICSLDQSKNDSDIENKTPLKIRAVKAGGMTSLAIDSLGALWMWGNCPQENSSGDGSLTFVSSFTPIPVWDFHGHTVVKVACGNEHVVALVSAGETYKGDDLLCYSWGGNGHGQLGLGDTESRVRPEIVETFNQDSEWTVYEVACGAFHTALLTHRKRPSDTLESLCWTFGLGDRGQLGHGTTQSSLVPEPVKELPQPVYLVSVDCGLFHTSVVSSAGDVWSWGMEKGLGLCPDASFTGTDSGDAISPLQISGDGLHGPKFHDPVQVACGAAHTVLVENDGYKLWSWGRGRSGVLGNGKTIDCFAPSVVLWPPLNEDFKQEELNTSVDEKDKTVDHKGSDGVSEMDEKLSLAMEEMKLLQSKLSIMERYASILHGSIFGKPFEEQDIPISLKNSGTFDIAREWESMLELADRSKLVRLELFYRNVLAGVKDKMMKKRIQELIKELHPSSSQGK >OMP01238 pep supercontig:CCACVL1_1.0:contig06494:9730:15165:-1 gene:CCACVL1_03133 transcript:OMP01238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGRVRLFFGRCGDKEEGKAGTPLSLRDHDGERSVLSKEGSQYSLGVSYGILPSLGPIGRNRKVKLRCFIISPFDRRYRSWDTFLVFLVFYTAWVSPFEFGFLRRPESPLSITNNVVNACFAIDIILTFFVAYIDKTSYLLVDEPGKIAWRYGRSWLLFDVISIIPAEVVRAILPSSVPSYGLFTLLRLWRLRRVGQMFSRLEKDTNYSYFWVRCLKLFCVTLFSAHFAACIYYYIASHYHNPQRAWMKLYTDNWKQLSLTDRYIVSLYWSFVTLTLCGYGDLHPVNTEEMTLVIFYLLFNFGLQAYLLGNMTTLIVHGATRTRKFRDGIKAASNFARRNQLPVRLQDQMLAHLSLRYRTDLGVQQQEIIDALPKAIRSSISHFLFYSLLDQVYLFRGVSNDLLFQLVTEMKPEYYPPNEDVILHNEGPTEMYILATGSVIIGEANTGDVVGEIGVLCYKPQLFTVRTKRLSQLLRLSRNEFLNIVQANVGDGTIIMNNLLQHLKESMDPVMEEILHETEHMLARGRMVLPLSLCFAAARGDDLLLQQLLRRGSDPNEQDNNGRRALHIAASIGSHHCVVVLLEFGANPNIRDNEGNVPLWDALMGKHGSVIKLLVDNGAILSSGDVGQFACYAVEQNNLELLKAIVKYGGDVRQPKSNGTCAIHTAICEGNVEMVKFLLEEGVDIDTKDAHGWTARDLADQQGHEEILALLQNVKKSSATKIPMKRVSSQSKTLAKYSSEPTMSSYTSEVVPPVPDVTWMENRRRRKADSFHNSLFGIISAASCGGSPSAAGYPAFRGMNSYSPRVTISCPQRNQVSSKPIPLPKSIEELLDIGAQRFGLPLTRVFTEGGAEIDDIEVIRDGDRLILVNAEYATSQIMEGYSQSATPKI >OMP01239 pep supercontig:CCACVL1_1.0:contig06494:18472:19989:1 gene:CCACVL1_03134 transcript:OMP01239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit 7 MEALLRWITDPRKNRLAALHKKAVTQRLRNYGLRYEDLYDPYYDLDIKEALNRLPREIVDARNQRLKRAIDLSMKHEYLPKELQEMQTPFRGYLQDMLALVKKERAEREALGALPLYQRTIP >OMO54810 pep supercontig:CCACVL1_1.0:contig14896:9324:11456:-1 gene:CCACVL1_27549 transcript:OMO54810 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent zinc metalloprotease FTSH, chloroplastic MGGGDMDGSWGSTNLDHPDTFDKLAMDPAMKKELIDDLDRFVRRRDFYRKVGKAWKHGYLLYGPLGTALSSKIDKQRDMKRVTGRLAVGGARLGIVRVW >OMO54812 pep supercontig:CCACVL1_1.0:contig14896:45842:46984:1 gene:CCACVL1_27551 transcript:OMO54812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQEAEDAVALDDNNNKEEKPKRKAKAKIQDMGAKPVKVANLFALLDDQEADDISKIVEGLKLEAPSVPQRNNNQYPNNNNGYRHDGRRGYRSGNGYYRNTTNNNNGVAANGGDQRDGGYQGGRRGYHYQPRRYSENNGGYAKEGEAVEFSQGQEGGYQGGRGRRGGGGRGRGKYYNNGNGGVVVAKEGEEASTNGDGDNKKERDPLEEEMRRKKREEEELWWKKRKEEWEANAKLKTLKDYQASLLEKRKPLEALKKTPPEVGRVEEFRSMQIIGKKKEEKKFFEEKKDEEDENKKFEERKKHATSTTKTITIDWTKGYFQQRRRNFGRQEPLNGGGEAHDGGNPKPAEEEKPKEKAKEYDGHIEDLKQFPSLGTASA >OMO54811 pep supercontig:CCACVL1_1.0:contig14896:34068:35137:1 gene:CCACVL1_27550 transcript:OMO54811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MDALGFLLSDEFLGAVMPVIVYWVYSGFYIVLEPYCHNYRLHSKQDEDQKNLVSKSTAVKGVLLQHFLQATVALFIFVVTGPSETEPSTKESSSFMVIVKQIIIAMLVMDTYQYFVHRLLHHNKFLYRRLHSIHHRLVVPYGFGANYSDPFEAFLFDTVSGALSFLISGMSARTSIYFYSLATIKSVDDHCGLVIPGNPFHILFRNNTAYHDLHHQLHGGKYNFSHPFFIMWDKIMGTHMPFTLVKRVEGGFEAILAKDVKEK >OMO79399 pep supercontig:CCACVL1_1.0:contig10425:12660:13580:-1 gene:CCACVL1_13697 transcript:OMO79399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MRRIVIHGLRPEYHGIVTATRSWEKEPTLTDLENILVNQETLDKQKSKVSIKNDENALFVKKGVAKEGESSRSRQGWRRGQRGRHPQRGGAQPVRDKDDNEEKRHRRLNDKCYNCGKVGHFARDYWFKQAQGNAATTSRGGNDSEEDWDFQVSFAVEEPEELATACTVEPEQETALSMVSKIDFSNDWLVDSYCSNHMTGDKEKLSNMSTYAGKRMVVTANNSKLPITHIGQTVIVPKLGQHQVQIGNVFHVSGMKKNLLSVAQLTDPGNYVVFGPKDVKVYPSFTPTSPPIMEGKRMEFVYVMSA >OMO53068 pep supercontig:CCACVL1_1.0:contig15348:11631:14011:-1 gene:CCACVL1_28902 transcript:OMO53068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-phosphatase MTNQQNVVVVDVMKSGGVRNILAPSSMAVAKSLSPQDIKMLLMRLETEKGGTKINAWVDSMRASSPTRIRSSATDDKNENPWIDHHPSALNMFEKIVAASKGKQIVMFLDYDGTLSPIVEDPDQAFMTREMRAAVRDVARYFPTAIVTGRCRDKVYSFVKLAGLYYAGSHGMDIKGPSKSRKYKKGNQGVLFQPASEFLPMIDEVYKALVEKTKSIPGAKVENNKFCVSVHFRCVDEKSWAVLAEQVRSVLNEYPKLKLTQGRKVLEIRPTIKWDKGRALEFLLEALGYANSNDVLPVYIGDDRTDEDAFKVLRDRGQGFGILVSKVPKETNASYSLQEPSEVKEFLRRLVDWKKLSLGRSSTI >OMO98718 pep supercontig:CCACVL1_1.0:contig07048:3677:7998:-1 gene:CCACVL1_04092 transcript:OMO98718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MFLFFTSGRDPGIVPRSSKPPESDEAFEMPTPSMEWVNGRTPHLKLPRTKDVLVNGHSVKVKYCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGLTTYENFRYRYDKKENPYNKGTARNLAEVFFSKIPPSLNNFRSFVEESEHMVMGSVTTNLVDGHISPKEKIDIEMGNRHAEDTNYSLPEILRNLDYDDFEDDLKTKQEEGRSFGPLLFDGQEGKKSVETSIIGDEIFRIEQQLASETGVREIMQNHAAEDGTRSVQSSTAGNETLTIEKNEECCNPYPTTAVSLQL >OMP00781 pep supercontig:CCACVL1_1.0:contig06618:756:830:-1 gene:CCACVL1_03297 transcript:OMP00781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKTIQNSSNGPRKARNNKCSE >OMO87154 pep supercontig:CCACVL1_1.0:contig09306:7881:7994:-1 gene:CCACVL1_09233 transcript:OMO87154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSNRPLVIRLKIYAVGLGENTYQPLIVMKSDPLTNP >OMO95172 pep supercontig:CCACVL1_1.0:contig07729:31108:36880:1 gene:CCACVL1_05522 transcript:OMO95172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S59, nucleoporin MFGSTNPFGQSSNSPFGSQSVFGQTNNPSSNPFAPKPSFGSATPFGSQTGGSIFGGTSTGVFGASQSSSPFSSTTAFGASSAPAFGSSMPSFGSSSTPAFGSSSSFGSPAFGQKPAFGFGSTTPSSPFGSTAPQSQPAFGGGIFGSSTPFGSTQSAFGATSTPAFGSTSTPAFGATSTPAFGATSTPAFGSTSTPGFGATSTPAFGATSTPAFGSTGSPAFGSTGTAFGVSNAPVFGTGSAFGASSTPAFGASSSPAFGTSTSAFGASSTPAFGASSTPSFSFGSSPAFGQSSSAFGSSPFGSTGFGAQSSPFGSQSSAPAFGSTSFGQQPFAGQRGGSRVAPYMPTTEADGGSGTQQAKLESISAMAVYKDKSHEELRWEDYQLGDKGGPQPAAQPSGGMGFNASPAPTTPFGNSTFGQTSANLFPSTSTNPFSIKPPPFSSTGFTTSTTTSNPFQTTPSSLFGTTSSTTASLFSTSSTTAFATGSSLFNASVTPSFSTSPTIFGTGAAQATTPTFGTGLNFSSSQTSSLFSSTPIAQTGNTFGQVTNTFGQNTSNFGQPNIFNTPSTGLSGNLFSSSPSLAPSNPSAFGPTPPSLFQPTPPAQTGGAFGFGNFGQTQTQTQSGGGLGIFGQSNIGQSSATQSAAVVQPVTITNPYGSLPAMPQMSIGRAGTAPSVQYGISSMPVVDKPAPVRMSPLLTSRYLSQRRIRLPARKYRPNNDSPKVPFFGDDEETPSTPKADAVFIPRENPRSLVIRPTENWPSRASTEKASPLKDSSTPVHDNENPAENGVVKERTHPLKGNQKANGIHDDHSIQKEDSYMTLSGHRAGEAAIVYEHGADIETLMPKLRRSDYYTEPRIQELAAKERAEPGYCRRVKDFVVGRHGYGSIKFLGETDVRRLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEIANKKKIWTLFASCQG >OMO95174 pep supercontig:CCACVL1_1.0:contig07729:39976:41297:1 gene:CCACVL1_05524 transcript:OMO95174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6 MDIPDGVNIKVKAKMIEVEGPRGKLIRNFKHLNLDFHLIKDEETGKRKLRIEAWFGSRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNGNKSIEIRNFLGEKKVRKVDMLEGVSIVRSEKVKDEIVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGRIAEEE >OMO95179 pep supercontig:CCACVL1_1.0:contig07729:62893:63204:1 gene:CCACVL1_05530 transcript:OMO95179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEKYGPQVGQTHQRPTIGRITRNFFCKSGCHC >OMO95177 pep supercontig:CCACVL1_1.0:contig07729:57752:59439:1 gene:CCACVL1_05528 transcript:OMO95177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MAETEDIQPLVVDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYTFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >OMO95170 pep supercontig:CCACVL1_1.0:contig07729:21589:23575:-1 gene:CCACVL1_05520 transcript:OMO95170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MAGFKSCDEWKPFIAMIAIDFCFAVVNILLKKVLDQGINHLVLITYRLSISTIFLAPIGYFWERNSRPKLTPRILCYLFCSSIVGSSLTQYFFLLGIQYTSATFACAFINMVPVITFIMALPFRIETVNLKSKSGRAKILGSVICVGGALLLTLYKGIPLFNPHPHSQAMAPTMAHAIKLSSSRRAERWTIGCLALIVGTLLWSSWFIIQSHVGKRFPCQYSSTAIMSFFGAIQSAILSLFISRDFSRWVLKGKVEIITVLYAGIVGSGLCFVGMAWCVKKRGPVFTSAFSPLVQIIAAFFDIPILHEQLTLGSLLGSIVVIIGLYILLWGKNREMQKCASKVAQEVIEIREQEAQLQAIRVSCDSSCPEDK >OMO95169 pep supercontig:CCACVL1_1.0:contig07729:18551:19033:1 gene:CCACVL1_05519 transcript:OMO95169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFETSKPDNQSTGEGDKQRHLDKELKDMVSALTRRASGIHKPGSSQHEGDDEHGLSVITLAGNNAGATMRSDLDDKSSPNGVSLGEPDALSTYVNSNFQAVNNSIMLNSSYNTNDPGVHLDVSDVFEREGKKTDDTMAKRITRGKKKEKGSLKSEQSDY >OMO95173 pep supercontig:CCACVL1_1.0:contig07729:38109:39370:-1 gene:CCACVL1_05523 transcript:OMO95173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13e MVKHNNVVPNGHFRKHWQNYVKTWFNQPARKARRRIARQKKAVRIFPRPTAGPLRPVVHGQTLKYNMKLRAGKGFSLEELKAAGISKKLAPTIGIAVDHRRKNKSLEGLQANVQRLKTYKAKLVVFPRRARKFKAGDSTAEELATATQVQGPYMPITREQPCVELVKVTDDMKSFKAYNKLRVERANERHIGARMKKAAEAEKEDKK >OMO95181 pep supercontig:CCACVL1_1.0:contig07729:79739:82248:1 gene:CCACVL1_05532 transcript:OMO95181 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MAKEYSGSPKHHQLEAKRKRLTWILGVSGLCILFYVLGAWQNSTTPGPQSDVYTRIGCDGNGTTSGNGDAAVNPTSTNLDFGSHHQVELDNPKTVTQFPPCDMSFSEYTPCQDKVRGRKFDRDMLKYRERHCPQKEELLRCLIPAPPKYKTPFKWPQSRDYAWYDNIPHKELSIEKANQNWIQVEGDRFRFPGGGTMFPRGADAYIDDIGQLIPLTDGTIRTAVDTGCGVASFGAYLLKRNVLTMSFAPRDTHEAQVQFALERGVPAMIGIMGSQRQPFPARAFDLAHCSRCLIPWSKFDGLYLTEVDRILRPGGYWVLSGPPIHWKKYWRGWERTQEDLKKEQDAIEDVAKRLCWKKVIEHNDLAIFQKPINHIECIESKKVYKTPHICKSDNPDTAWYRELEACITPLPEVSSSDDVAGGAVEKWPQRAFAVPPRISNGLIPGITAEKFREDNELWNERVTHYKRIIGPLPTGRYRNIMDMNANLGGFAAAMSKYPAWVMNVVPANSDHDTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHASNLFSIYQDRCDITNILLEMDRILRPEGTVIFRDTVEILVKIKSITDGMRWKSQIIDHESGPFNPEKVLLSVKTYWTGEVTEKQR >OMO95166 pep supercontig:CCACVL1_1.0:contig07729:1773:2156:-1 gene:CCACVL1_05516 transcript:OMO95166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MNEARSIAASSPKLSSVSSGPHIYFMDKSRFRCKNVDKGCQRIAYDIKLKQIFSRYDVNKDGRLSKEELKNAFSELGSHVPMFRAFLALHHADKNGDRFIDIDQEEEMRALVQYAAQLGYDIEGGKL >OMO95168 pep supercontig:CCACVL1_1.0:contig07729:7997:15077:-1 gene:CCACVL1_05518 transcript:OMO95168 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MQNEGSNGATTTAAGDHCGNLPEPDLEKQGNIPVLPQDDRHPGLVGDSACEAIPTLVTIVVSKGESQVTQQPISKLSKDVDSVKEELPRVASPKKGYFSRTTSSHEQCRVCQQEKEEVLIDLGCQCKGGLAKAHRSCIDTWFRTKGSNKCEICQAVAVNVSAPESQPSTNYWVWRADPSFTPHERDRGCFSPLWVAFSILIGGLMLDVLISITLGVSALPVNIIIGVIVVLGLGTALRLALEFCHEWSVRRAVQRVEANLPLGYHPAFLSFVMGGQIVFTVYMYTHTMIPFETISLNGLSVIKSDDLEWFFFCPRDRKYPNGHRSNRATDKGYWKATGKDRTIKSKKALIGMKKTLVFYKGRAPKGQRTNWIMHEYRATAKDLDGTGPGQGAFVLCRLFHKPEEKIDAVKYDEVEQSGLSPTMTKSSPDDASSDLLQDTVSSDMQVQKLDSVTQIGQVTGDSSCNSHMTSDAEDHAADETVVQDYPLPGGNVNLYEPSFGEIDYKVFSPMNSHIFEELPLCMDSPYASDFGHDQSGFHFQDGTGEQDVSFSLLDEVLNNHDEVLNSQKNMVAGTEMPLSGYMSMPKTVPPETSYLKQNGMYMDTDNEMGQLQYELEIGAPRWFGGHIDNKESVQMQTSFETSQIQGAFYDRDLKTGNIGGLGNYSVGQSISSTDSAMSNIDNLQHLTGLKNHMDNGGGTGMMSRTYQPLQQLNSDNISTLGSGTGIKLRTRAPQQRPNSDDFLNQGTAPRRLRLQMKLSTATKVSAGCVDDGKPSNAGHGEEEEVQSALTEVTEAESTEQTSSSDESEKDESEEENQSVKSDVSGDSSEDGEMHSSESEEEDESDEVILLFKRDVSGDSSEDAEPHISESEKDDSEEENQLPEFDVGRDIAEVSSTGLKQSMKQDGEQHSTESKKDESEEKNQSLKFNVSKDIAEESSTRLKQSIKQDGEHHSGEMGSTVHSKAAPKHHHSSSVSILMFTFFSITTLSALFMGIWRCIRS >OMO95167 pep supercontig:CCACVL1_1.0:contig07729:4921:5572:1 gene:CCACVL1_05517 transcript:OMO95167 gene_biotype:protein_coding transcript_biotype:protein_coding description:ParR family transcriptional regulator MAHPHHPIFVTNPTMVVGARRRRGVEEAKGRLRRIRKRIRLGKGASWNKCSSTLANSTVYSSINSATIATRIISFKLV >OMO95171 pep supercontig:CCACVL1_1.0:contig07729:26469:27479:-1 gene:CCACVL1_05521 transcript:OMO95171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPSNTEGVRGRKKSSSRGHHRFVGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTAEEAARAYDDAARALRGANARTNFELPQSATNTPGNRVSLDNLQPFSFEEVCGAGSDAVGFLGALKAKLSDGKAPKSVLPPPPPANLQPTVASSSSSHSNNNVNRESGSTTAATQGIGNMNPIQGPVSVNHDHELDDHHDQTAAGQIQWHDQPGQTQPAPSIPSMLWPNEPSFEATWGATTQMNQLPQNALFNNINTSTTAATSTIWTLPGTNESTMELSYSDQCPIEMPMSINGKTNMMNIPMSQIDGTMTGVWPSEQQFVQCDNNGTWDPYLYVSSVLG >OMO95176 pep supercontig:CCACVL1_1.0:contig07729:52403:53787:-1 gene:CCACVL1_05527 transcript:OMO95176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSRSRAVNVRKINPKVPIPEARSIASSLYDLIKQKGPLTVSNTWIEAQEAGLCGLQSKTHMKIMLKWMRGRKLLKLFCNQVGSNKNFYHCTLPEEPRTEQLESPSEPKLQTKKPSPKAKRKTKKQTK >OMO95178 pep supercontig:CCACVL1_1.0:contig07729:60952:61602:-1 gene:CCACVL1_05529 transcript:OMO95178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MRPPPEATAQHLIHMSPPLSSGEPEMSSTTATSTCNPHTCRWRPYSASNDFEANAAMVLIILFCALICSLALNAAIRCFLRGDGGRSRQFRRGNNNNNLPLTQQELEQQRKPVTEAAALRMMAAPTLIYTTGLKLAGTEAECAICLSEFVEGEGIQVLAKCKHGFHVQCIQQWLSSHSSCPTCRSSCLSPPPSTEETTHPCVDNSSQTAVAERSEP >OMO95175 pep supercontig:CCACVL1_1.0:contig07729:47941:50156:-1 gene:CCACVL1_05526 transcript:OMO95175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MDSQTKSDNCLGWAARDPSGVLSPYTFSRRPLGSNDVSIKITHCGVCYADFIWSRNKHGDSMYPVVPGHEIAGVVKEVGSNVHRFKVGDPVGVGTYVNSCRDSPLLCAGITVYAPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKAFGLHVTVLSTSISKKDEALSLLGADNFVVSSDQEQMKGLSKSLDFIVDTASGDHPFDPYMSLLKIAGVYVLVGFPSEVKFSPASLNLGMRTISGSVTGGVKVIQEMIDFCAAHKVYPQIEVIPIQYANEALERLEKRDVKYRFVIDIENSLK >OMO95180 pep supercontig:CCACVL1_1.0:contig07729:64382:78377:1 gene:CCACVL1_05531 transcript:OMO95180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAASSSSAAAVRSWRTAFLTLRDETLTSPPSVIQLVQSLIFSNPHSSFISAAPDLPAHEVTSDLLFLIQLVANASQFQQDLIPIFSNTCHLIHDVSRRVSLDINSSSWTLLLDSFTKMMDIFLAKAASNSALYKPVLECLETLRYLVSVHQRKYSLSDDIRLANFLLHIIARLHVDLISLYRPSGNQKSSIEMGKKQPRYGSLWEVQTAAFTMLGEVYSRNGSSFAVDIWQSTIQVLRKMMELLASKNLVVDDIVMSRFYASLLHCLHLVLMNPKGSISEHVSGFVASLRTFFVYGLTGGPQLMCAAVGCKEKESGAGILELTSEQPKRTTNTPYRPPHLRKKDRSNMKQAKAPDPSCSSDHDISTIDVTSSDSDYSDNDGSLKDINSSRCAKVRVSAIVCIQDLCQADPKSFTSQWTMLLPTNDVLQPRKFEATLMSSLLFDPYLKARMASASVLAVMMDGPATVFLQVAEYKESAKCGSFMALSSSLGQILMQLHTGILYLIQQETNSRLLVLVFKILMLLISCTPYARMPRELLSKVILSLQARIDAGFPFKNDQTGLQVAAISCLTAALSVSPSIQVKEMILEEASAGFVGADKKSGILFTLLQLSERLSNPTICFEALQALRAVSHNYPDLMLVCWGKVSAIVHKYLREATADVPTKTWKEQAENSAPFVGEKIVTAAIKVLDECLRAISGFRGTEDLSDEKLLDTPFTSDSIRTKKVSSAPSYGSEGLEDTKEDRDTFPSGIEQWAETIEKQMPLILWHTSAMVRTASVTCFAGITSSVFFALTKEKQDFVVSSLISAAMHDKVPSVRSAACRAIGVISCFQKISESAEILGKFIHAVEMNTHDAVVSVRIPASWALANICDSLRHFVNDFPLNQPSFQLVELLFECALRLTKDGDKIKSNAVRALGNLARFVCYTSSSCVNNKPVQNTGFSLHWLERMVQAFISCVTTGNVKVQWNVCHALSNLFLNKTIQLEYMDWAPSVFSILLLLLRDSSNFKIRIQAAAALAVPASALDYGKSFPDVVQGLEHVVENLGSDQILGPSSFKYRIALENQLTSTMLHVLSLASATDHQPLKDFLIKKASFLEDWFKRLCTSVRETSAQSEIESDSLGNQKKEMISKAIQSLIAVYEDQIPRSL >OMP10802 pep supercontig:CCACVL1_1.0:contig01911:8:2202:1 gene:CCACVL1_00787 transcript:OMP10802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MKTHLFSLVFLLFSLAQGLHPKCDTQDHSSNLQVFHIYSPCSPFKPSKPLSWEEDVLQTLAKDQARVQYLSSLVAKKSVVPIASGRQIVQSPTYIVRAKIGTPPQTLLMAMDTSNDAAWIPCTGCLGCSSTVFDYAKSTSFMPLGCQAAQCKQVPNPTCDGSACLFNMTYGGSTIAANLSSDTITLATDPVPAYTFGCLQKTTGNSVPPQGLLGLGRGPLSLLSQTQNLYQSTFSYCLPSFRSLNFSGSLRLGPVGQPLRIKYTPLLKNPRRPSLYFVNLMAIRVGRKIVDIPPSALAFNPTTGAGTIIDSGTVFTRLVAPAYTAVRDEFRRRVKVAANVTSLGGFDTCYNVPIVAPTITFMFAGMNVTLPQDNLLIHSTAGSITCLAMASAPDNVNSVLNVIANMQQQNHRILFDVPNSRLGVARELCT >OMP10319 pep supercontig:CCACVL1_1.0:contig02635:265:720:-1 gene:CCACVL1_00994 transcript:OMP10319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLIHKDPTCFPVLEAAGLPSAFLDAIMDGVLCSAEAIMCIPQCLDALCLNNNGLQAVRDRNALRCFVKILTSRTYLRALTGETPGSLSTGLDELMRHASSLRGPGVDMVIEILNAILKIGSGVDASFSSSEPASCSTPLWKLMLTGAIR >OMO54940 pep supercontig:CCACVL1_1.0:contig14849:21027:27373:-1 gene:CCACVL1_27460 transcript:OMO54940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAGKGIVWANAIFFGANKDSATRSKGYPTWPCMDVSLSYKARNVGRKNLGTNLQSV >OMO80236 pep supercontig:CCACVL1_1.0:contig10339:16439:17246:1 gene:CCACVL1_13098 transcript:OMO80236 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine/threonine-protein kinase MYSPVLPTKPWFFITVALILILHAPKSVFGNDYSSCSRLFSCGSLEDIGYPFWGTDRPESCGFPGFGLTCRGDQVPEMTIEEVTYQVLEINNNTRNIRLARSDYWDNICPQYLRNTTLISGLAYSSDTQDIKLYYKCPSIPSTSSVLPTQFNCSINGADNIIGYFVTRSITDPLIGSDSLGTCNNSVMVPLSTSQVSTVEANPNSGNLTQALRDGFDLQWSADASTNCEPCLNSGGQCGQDINSAQFRCYCTNGTFPNNCNGTPLSPAA >OMO80234 pep supercontig:CCACVL1_1.0:contig10339:2908:3935:-1 gene:CCACVL1_13096 transcript:OMO80234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase MsrA MYQLLPQFNANQFSDLPISQTISPPAAIIPSEFLKEAVFAGGSFWGLEAAFGRMDGVINTATGYCGGTLRKPSYREVCEGKTGHTEAVKVIYDKRKVSFKSLCDIFWEIHDCTNKDYLKFGLSTHHRSAIFYSIEEERKQAQESRIRRQMKLNKRIVTKALPFDSNFYMAENQHQKYYLQKNYRLCESLNLRSTEQFVESTIACKLNG >OMO80235 pep supercontig:CCACVL1_1.0:contig10339:11387:15588:1 gene:CCACVL1_13097 transcript:OMO80235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSIAAKFAFFPPNPPSYRVVEDESCGGRLYIPEVPRRDDVDVLKLRTRRGNDIVAVHIKHPKASATLLYSHGNAADLGQMFELFVELCNRLRVNLMGYDEVLMIEDGEYKEKNRNSGVAVKESYADLYDYSGYGQSTGKPTECNTYADIDAAYKCLKEQYGVKDEQLILYGQSVGSGPTVDLASRLPNLRGVVLHSPILSGMRVLYPVKRTYWFDIYKNIDKIGAVNCQVLVIHGTSDEVVDCSHGKQLWELCKNKYDPLWVNGGGHCNLELYPEFIRHLKKFVMSLNKSKTATTNGSETKTVVSSDNQSKPSDGGTSDTFELAADIPEVSRNSLDSRLEKSKKSNKPEKSRMSTDRVDRFRRKKGLVW >OMO58663 pep supercontig:CCACVL1_1.0:contig14192:19546:25321:-1 gene:CCACVL1_25420 transcript:OMO58663 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAGASLTRMCSRLSIQEEQKEKIIINGEWVEAPEGEVGGAYLIGKLLLQKPATAGDLRAVFQQIWKIKGDLIVREVGERLFVFQFPDVLDRDRVLVSQPWLFHKALLVLRAFDGVQHPDTFTFDTFRFGLLGEGRYLRIRVDMDLQCPLKLESTLSFPDGESEVTFDYEKKPDYCWVCGLIDHQETECVVSVAMKLETGFAIQKYKPDRSNTSFVMGRTSVTPGQRQRRDGRAANRQGSQSVPAKTGASVGSPFRRHVDSMLLNNRRVARALVYEDVSCEIISKMDDRVVAKAPILQGVEEVAQRRNVGSMSPRNSVTTPLKGEMQVGGPSRKGKEVVGAAEKGGDSFIPLGNTSPTESSDSSSSFSSNGIWAAFNRPDHNPIIPGVGPTELGLSKEGIYDVGSIKKLGSNEIDAGLDNIGLGLNGTTVEPTTEGYDPASPFVFGAKAAPIRRVRKWKQAARVSDKYSCDLFCHEPSFKVGTKRFSCVVIRNVNSSGSVLKKSRENDMEVDGDGGSNPDVNEALLAENPGGLALLWKKEECISLLSYSFWHIDVSIGTSDKWRFTGFYGRLETSRRHESWDLLRSLNLQFSLPWLCAGDFNDITNNSEKDGGGLRPVRQMDIFNEVIDECAFRELPVVGPRMTWSRKINGHFIYERLDRSFANVAWWEKFEFSIEKHLISTKSDHLPLLLTISAQPINQRTKKKPFRFEQMWTAHSTFESAINQAWPDGDFNISTKLEKCRIQLEKWNCMVFGNLQRNIAAKKREYEELFIQGTEGDRNTRFFHALASSHRQKKSIQSIQDDGGNFSYDQKAIGVVITRHFKEIFTSSNPTPAAVQEVVQHLECRVSADMRNVLEADFTVEEIKRAVFQMGGSKAPGPDGFSPAFFQKCWSVVGKDVVNFALAFLNSNTPLPDINHTNVVLIPKIDDPKTGKDFRPISLCNVIFRVVSEALANRLKGLSSMIQSASAVGKIQGVSVARSAPKVTHLFFADDSILFLKASRSDCEEVLSVLDRFEAASGQKINIDKSSIMFSANTEFRYIKDRLQKRISGWRSKIFSSAGKAVMIRAVAQAIPVYLMSVFLFPKSFVQELNAMISRFWWGDSSSQRKIHWKHWESLCVSKLDGGLGFRDFESFNLALLAKQGWRILQNPESLCARLLRAKYYPRGNFMQAQLGSNPSFLWRSLVAGKRVIDVGSRYRIGSGELNIWRDKWINKPPTFQPLSKNVANMPDITVSELIDFENWSWRYEEVLDIFTEEDVARIFSIVIPRQQVQDRLIWSAARLGNFTVSSAYHVARKVMGRPELPVEGRTHKWRYIWSANVLPKVQYFVWSVVWNILPNKYNLNLRGMNLGANCDVCGGMEESTLHTFFFCPFALVVWNESCPWVLPFIDQLDSDSDLWVFLFAKATTVGQLQKLFYTIWLLWSNRNRAVFELTCAMPTALVKSVNYFIVVAIRRDRGLERQQRELEWIAPSPGTWKINTDASFSSSTGDAGLGVVIRDYEGKILVSGARILFFVADSLHAEVHAILFGFELALEHGITRCIIESDSLLAIHEINKKETVLWEGGLLIEEIREIASLFDCCSFQFVNREANSVAHSLAVSKLDNVWCGTIPGVVIL >OMO58661 pep supercontig:CCACVL1_1.0:contig14192:7682:10058:1 gene:CCACVL1_25418 transcript:OMO58661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MKIENAFNLQLNKAPNSFLVAQVRESNFTKLCNTTTMLVVNDSYPGPEIRVRRGDTVYVNVHNQGNHGLTIHWHGVKQPRNPWSDGPEFVTQCPIQPGTNFTYEVILSDEIGTLWWHAHSDWTRGSVQGAFIILPAENETYPFPTPDADQTIMLQSWYINGDYKQIIDQSLSTGIPPGQPNAYAINGHVGDTYGCPNDTLFRMQVDYEKTYLLRIINAAMNEPKFFAITNHSLTVVAQDASYVERFTTDYIMITPGQTMDVLVSATQNMGKYYMATRPFSDAAAVPVDFITTGVFEYTNSEGGLNASMITLPRRNDSNAANTFISRIKNSNVTQNPPLNVPKDIDRRVYIAIATNSAPCNSSTCIVPNRIFASLNNVSFAFPRVDILQAYYDRTTNGVFTPDFPQNPPVFYDFTGNLTGFNTQANIGTRAIVVEYGEAIEMVFQATNLGAGGSHPLHLHGYSFYWVGTGVGNFNNVTDPRSYNLVDPPLINTVHVPGGGWVAVRFFATNPGVWFMHCHFERHSSWGMDTVFIVKNGTTVETSILPPPAGMPRCPGT >OMO58662 pep supercontig:CCACVL1_1.0:contig14192:14581:16851:1 gene:CCACVL1_25419 transcript:OMO58662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MMGLMNNQGFVPWLLGVLFLSTLFLCRADDHYYDFFVRESNFTKLCNTKSMLVVNDSFPGPEIRVHRGDIVYVNVHNQGTYGFTIHWHGVKQPRNPWSDGPEFITQCPIQPGTNFTYKVILSDEIGTLWWHAHSDWTRGSVQGAFIIEPAQNESYPFPKPDADQTIILQSWYNRDFLEIITTALSTGQSPPNADAYAFSGNLGDTYGCPNDTIFRLQVDYQKMYLLRIINAAMNEPKFFSITNHSLTVVAQDASYVKRFTSDYILISPGQTMDVLLFANQNMGQYYMAMRPFSDSAATPSNFITTGILQYTNSVGVPNNNTITLPLMNDTSAAYNFTAKIKNINIKQNPPLDVPRRIDRRIYITIATNAVPCTESTCVGPRKFLASLNNVSFDFPTTDILQAYYNRIDGVFTRDFPQNPPVFFDFTGNLTGVNTNTTEGTRAVVLNYGEAVEIVLQATQLGAGGNHPIHLHGFSFYWVGSGSGNFNNVTDPRSYNLVDPPLINTVQVRGRGWAAIRFFARNPGVWFMHCHFERHSSWGMDTVFIVKNGTTPKTSILPPPASGMPRCPGT >OMO58660 pep supercontig:CCACVL1_1.0:contig14192:2325:3659:-1 gene:CCACVL1_25417 transcript:OMO58660 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MGSQKLGIILCLSGLLFLNLLVFSRADVHSYELFLEEAEFTKLCSTKSILTANGSFPGPEIRVSRGDTVFVNVHNQGSQAVSMVWEGIKQSITGSDGLIQPGKNFTYEIKLSDEIGTLWWHAKSAWASATVHGAFVILPAAAESYPFPPPDADQTIVLGSWYKQELTEANAPGKADAFTINGHPGETYGCSNDSTYEQQVDYQSVYHLRIVNAALNETMEFGVEYHMFTVVGQDGAYTTRSFADSLTIAPGRTVDVLLCADQNLGHYFITAKPSSGNLLTTGIIRYTTTSTGV >OMO50218 pep supercontig:CCACVL1_1.0:contig16256:27341:35756:1 gene:CCACVL1_30567 transcript:OMO50218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISGELEGRWRSLTTPMRDVVAWRRFKMRGVASKEENKKRTEMGRRRREGERVDEEEK >OMO50220 pep supercontig:CCACVL1_1.0:contig16256:51167:51517:-1 gene:CCACVL1_30569 transcript:OMO50220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAHRARIYAYHSSSENPRSTLLERESMLNIPRARIHAQRSSSENLCSMLLERETLLNTPRVKILMQIKRNPSLKWPRPIRQNAFRAKHKRCAFHHDHGHDTEGCKDLKVRKKTS >OMO50219 pep supercontig:CCACVL1_1.0:contig16256:48360:48560:1 gene:CCACVL1_30568 transcript:OMO50219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIEAEVKDLRAELASLKKKLAEAEAEKGRAVREARSNFQHTPEFVAAAKEANEAVIGTFGFVLG >OMO50221 pep supercontig:CCACVL1_1.0:contig16256:51831:52034:-1 gene:CCACVL1_30570 transcript:OMO50221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGREVETNQSSPGQRLRAICCHSSPKEWTQSSELRFKSFLSKKPLKRSPSWGERSRPTKALQVKDLE >OMO50131 pep supercontig:CCACVL1_1.0:contig16303:792:2468:-1 gene:CCACVL1_30606 transcript:OMO50131 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone dehydrogenase 1 beta subcomplex subunit 8, mitochondrial isoform 1 MAGRLSNVASRIMGGNGIVSRSVASSLRQRSGMGLPVGKHIVPDKPLPVNDELVWDNGTPFPEPCIDRIADTVGKYEALAWMCGGLSFFASLGLLAWWNDKASKIPFTPKVYPYDNLRVELGGEP >OMO50132 pep supercontig:CCACVL1_1.0:contig16303:4002:6054:1 gene:CCACVL1_30607 transcript:OMO50132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHVVGTTGAQQERHEITCGRELPATVGGHFRYYQYHSKVWAS >OMO50133 pep supercontig:CCACVL1_1.0:contig16303:6749:6826:-1 gene:CCACVL1_30608 transcript:OMO50133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIGSFNMPPIGQVVGISSEAILLLQ >OMP08076 pep supercontig:CCACVL1_1.0:contig04024:3589:4041:1 gene:CCACVL1_01168 transcript:OMP08076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MASAIKFACVAILCMALVSAPLAEGAITCGQVTSSLAACIAYLRGGPLTPGCCNGVRSLNSAAKTTPDRQAACNCLKSAAGSIPGINFGLAGGLPGKCRVSIPYKISPSTDCSKVK >OMP08077 pep supercontig:CCACVL1_1.0:contig04024:13576:13743:1 gene:CCACVL1_01169 transcript:OMP08077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCGVVPKLGSLMVMVCMVAILSAPPRAKAALTCGDVVRSLTPCISYVLNGGSVP >OMO82528 pep supercontig:CCACVL1_1.0:contig10027:42057:44102:-1 gene:CCACVL1_11930 transcript:OMO82528 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein MLGDFLTRLLVLILGYAYPAFECFKTVEKNKVEIEELRFWCQYWILVAFLTVFERIGDIFISWLPMYGEMKLLLLIYLWYPKTRGTAYVYETLLRPYMAKHETEVDRKIQEMRARAWDFALYYWQNCTDLGQAKFFEILTYLAGQSSKIKNGYQKSEQQNTNVPPPPPTSFRSQSGKGKNWPSSPTINRAVVGSPKSKVVEFNPDSQSENDLGDDSIPDSPSNMKLQEARLKLRRSMKLNN >OMO82521 pep supercontig:CCACVL1_1.0:contig10027:10121:10332:-1 gene:CCACVL1_11923 transcript:OMO82521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYQKYKLPTTVVSVKRSDVEVIRTVGLIHRQCKTSSDRAIKVLTASKSKGENMAPTHKHSMKGTRQWV >OMO82525 pep supercontig:CCACVL1_1.0:contig10027:32819:37204:1 gene:CCACVL1_11927 transcript:OMO82525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGAGATARPTPPHPPPHPAAASPQVPTGPSIVPPIRRHLAFASTKPPFVHPDDYHRFSLNNGTNNNNLTSATDTRVVSADQEVEAIVVRSPQLKRKSTVDNNEVESRQWTSSPGVTSISNSPFQTPVSAKGGRTNNRSKASKANKSTPQTPISNAGSPAPLTPAGSCRYDSSLGLLTKKFINLIKQAEDGMLDLNKAAETLEVQKRRIYDITNVLEGIGLIEKKLKNRIHWKGFDASRPGDADGDVSILQAEIENLSMEEHRLDDQIREMQERLRDLSENQDNQKWLFVTEDDIKALPCFENETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIILRSTMGQIDVYLVSQFEEKFEDANGVEPPAAVPLASSSGSNENHVEMVNAESTRKKVEPQAQQTHQTNSDIIASQESVGGMMRIVPSDIDNDADYWLLSDAADVSITDIWKTDSGVEWSGVDMLHADFGMADISTPRPQTPPSRVIDIPPSDFNSMRR >OMO82530 pep supercontig:CCACVL1_1.0:contig10027:54088:57320:1 gene:CCACVL1_11932 transcript:OMO82530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEDLHSDVKKCVNLRLCNVSGSMTEILEIHADNPSFHVVFVPGNPGVITFYKEFVESLFECLGGNASVSAVGYAGQTEKNWERGKLFSLQEQIDHKLEFIKVQKIEVPVVLVGHSIGSYISLEMLRRLPKKAVYCIGLYPFLALNPQSKKQYAIVKIAMSRVLSTFLSYLVALLGLLPRHVLRFLYKLSVGKSWSNTAIEAGCSHLLQYHTMRNVLFMAMTEFRKLRETPDWEFMRENQDKITFLYGIDDHWGPLQMFEEVRAMDYSLSFSLSP >OMO82527 pep supercontig:CCACVL1_1.0:contig10027:41315:41830:1 gene:CCACVL1_11929 transcript:OMO82527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEAEQPSLSTLRRRNSISSPVQLPTKLSLLTPNPLETSNSLPNGSASPPLDLELISLKASFNSYTSLKDILPSSAVAINSPTAAGSASNSGYEISIRNRLVKQAAWAYLQPMAASPDSSGPHFFRRIWLRFSSQNPISSCFRFFHLKLLPGLTRFFDRILRTFGFHSTR >OMO82523 pep supercontig:CCACVL1_1.0:contig10027:25435:27849:-1 gene:CCACVL1_11925 transcript:OMO82523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl hydroperoxide reductase subunit C/ Thiol specific antioxidant MACSAASTTTAALISSNPRAFSAKSKPVSQSLALPNSFAGSRAPLLSRVARSVSLSRNSHSRRSFVVKASSELPLVGNVAPDFEAEAVFDQEFIKVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKLNTEVLGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLVSDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKDYFAAI >OMO82529 pep supercontig:CCACVL1_1.0:contig10027:49179:53711:-1 gene:CCACVL1_11931 transcript:OMO82529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MAERRGRRRIELASDREFFKSPTTTGSPTRHQATAMATLTATAAQPLALDSLPIVDLTTLTQSELHSLSHCSPTAFDLHASDNLVIPSIDHSSFNESAGSHRQTFSRLSPNNHHSSHHHPLRHRLPGLLPSIKQPPSYPSHHDPEALENRSIISSLKVSLKSHPEFQHLDFSSPPSSPRDAVVSYGMRDTLVSFEIRDAMISLGKRKRGRKPNAQSEYSGEERETGLEIVNKNGIAVDLETLGGMEDPYGEELKRRTEGMVGNEEALLGFMRDLGGQWCSRRRKRRIVDASVLGDALPVGWKLLLGLKRREGRASVYCRRYMSPGGRQFLSCKEVSAYLQSYFGGLHDAPLTMDKGGDIDQQVHQIASENGGTAQKEDYQRWSAEHEKEISLLGMDNLEEVRIDDLFECHKCNTTFDEKDAYLQHLLSFHQRSTRKYRLGSAVGDGVILRDGKFECQFCHKVFDERRRYNGHVGIHVRNYVRGIEDSPGLLNLPRRTEVATKQDLPVRTSRMDALIEIAQNSILETTTTTMPTYELGDGSSSYKLNAVSNAEIPAYTSDHEMNSDTPSSDSGTEDDMTIRTLNEDLCQQDSDPRATSDKTEKIGEASNVVNMNSLVDATISASMDERNGSISDTFVQNDNLKFYVNQLDKSCSELQRRYECNLLLLSTGQVVCDVKNNVNLVGACKKEHTKPEEVDNNKNAELVIGFGNSCVSAKDVALETVDQTSRENVVRSEVSKSSMSLLESQNGTLASNAISVKGVDGLCSIDQKRDNVTELDELRMDDIERVKFSFGCVQETPSVPEVPIEMANNQDIGGACGSSVKFESEVLLNMADKHQLTTVCVWCGTEFNQEAVDSEIQSDSVGYMCPTCKSKFIGNSVQ >OMO82522 pep supercontig:CCACVL1_1.0:contig10027:13889:18078:1 gene:CCACVL1_11924 transcript:OMO82522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG MEETKSRFKRICVFCGSSSGKKASYQEAAVELGKELVERRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVIPRTLMPREITGETVGEVRAVSDMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHRKPVGLLNVDGYYNSLLSFIDKAVDEGFISPTARRIILSAPTAKQLVRQLEEYVPEYDEVTSKLVWEEVDRRSYMTESGVAT >OMO82524 pep supercontig:CCACVL1_1.0:contig10027:29153:30473:-1 gene:CCACVL1_11926 transcript:OMO82524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLTHRINKESLQPGDHIYCWRLGFLYTDHGIYIGDDKVIHLVRSCSSEGEVTLKNILPKKISTRLAQSQQRCPACAESKHDGIICSCLSCFLAGNALRRFEYGVNAAVFIAKVRGGTCTQAVSDSSDVVIHRAKYLLEYATLSYKLFMNNSEEFAIYCKTGVGVAGCGTPAVLKGQAAALPSFLLAAWLSAPLHLSKGNGVCIAATLFGVYSSLRCILNIRRNRDEVKVIVEDLIGNERL >OMO82526 pep supercontig:CCACVL1_1.0:contig10027:37558:37623:-1 gene:CCACVL1_11928 transcript:OMO82526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFDIIDINDCHPMHQFYTV >OMO82531 pep supercontig:CCACVL1_1.0:contig10027:62665:63045:1 gene:CCACVL1_11933 transcript:OMO82531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPQYWEYMDTTIDTDGDMVKCSTAKVKGLGRVGGESLAVEKDSDDPYLDFRHSMLQMILENEIYSKDDLRELLNCFLQLNSPYHHGIIVRAFTEIWNGVFSVKSGGAAGVSPKLHYGFRPRDF >OMO82532 pep supercontig:CCACVL1_1.0:contig10027:70853:70939:1 gene:CCACVL1_11934 transcript:OMO82532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALKPMVKRRGQDSGLRLGANCKKCI >OMO82520 pep supercontig:CCACVL1_1.0:contig10027:1:1375:-1 gene:CCACVL1_11922 transcript:OMO82520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MASLLSFSLFSFFIISATLTAAATSTTTIKVTLSPLPHHPSSDRYQILNNLVTSSVSRAHHLKHPHPKTKAKAETNTTVSSSLIKTPIYPHSYGGYTINLEFGTPPQSLSFIMDTGSSLTWFPCTSRYLCSECVFPNVDPKKIPTFMPKLSSSTKIVGCQNPKCSLLFGPNVESRCEDCAPGTKNCTQRCPPYIIQYGLGATAGLLLVENLVFPHKTFDDFLVGCSILSNRQPAGIAGFGRSSESLPTQLGLKKFSYCLVSRRFDDTGISSNMVLETGSDSGDAKTPGLSYTPFFKNEVSTRPVFKEFYYVILRKILVGDAHVKVPYSFLVPGSDGNGGTIVDSGSTFTFMERPVYEPVAKEFEKQMGNYSRAKEVETKSGLGPCFNISGRKSINVPELIFKFKGGAKMALPLANYFSFVGEDDVVCLMIVTDNVVGQSVHGGPAIILGNFQQQNYYI >OMO96571 pep supercontig:CCACVL1_1.0:contig07434:2260:2433:1 gene:CCACVL1_04889 transcript:OMO96571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGRRGKQRMKGSRRRRERTARRSGNVDRTTRRGKKKKRGRADLKEFVAGGGGRKF >OMO69752 pep supercontig:CCACVL1_1.0:contig11993:37744:40260:1 gene:CCACVL1_19306 transcript:OMO69752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLISSIFLHFIFIFAVVVNGEQDSIYEILKAHGLPMGLLPKGITQFEYDDTGRFEVHLDQACNAKFESELRYDRNVSGTLSYGEIGALSGISAQELFLWFPVKGIRVDVPSSGLIYFDVGVVFKQFSLSLFETPRDCIAVRECAGLCQGHTEAVLSVAFSPDGRHLASGAGDTTVRLWDLNTQTPMFTCTGHKNWVLSIAWSPDGKHLVGGSKSGELQCWDPHTGKPTGNPLNGHKKWNTGISREPVHLNAPRGRFVSASKDGDARIWNDSLKKCVICLSGHTLALTCVKWGGDGVIYTGSQDCTIKVWETSQGKLIRELKGHGHWVNPLALSTEYVLRTGAFDHTGKEYSTSEEMKKVALERYNKMKGNAPERLVSGSDDFTMFLQEPSVSKRHKVRMTGHQQVFAVDSSPVGERVASGGKDRVLKLWMG >OMO69750 pep supercontig:CCACVL1_1.0:contig11993:26516:32086:-1 gene:CCACVL1_19304 transcript:OMO69750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase MKIISNSSCLFHVIIIIIVFLTRLPQSFSTTQSLAVDSILARLDSKRSSPPVQESAAKAVLERLLPTHSHSFLFKIVTKEVCGGQGCFLIQNYNRSSQDGPEILIKGTTAVEIASGLHWYLKYFCGAHVSWDKTGGVQIASIPKPGSLPLVKDGGVLIQRPVPWNYYQNVVTSSYSYVWWDWERWEKEIDWMALQGINLPLAFTGQEAIWQKVFMGFNISKEELNDFFGGPAFLAWARMGNLHGWGGPLSDNWLKQQLSLQKKILSRMLELGMTPVLPSFSGNVPAALKSIFPSANITRLGDWNTVDGDPRWCCTYLLNPSDPLFVEIGEAFIKQQIKEYGDVTDIYNCDTFNENSPPTSDPTYISSLGAAVFKAMSNGDKDAVWLMQGWLFYSASTFWKPPQMKALLHSVPLGKMIVLDLFADVKPIWADSSQFYGIPYVWCLLHNFGGNIEMYGILDSISSGPVDARISENSTMVGVGMCMEGIEQNPVIYELMSEMAFRKEKVHVLGWLKTYTRRRYGKSIQQIEEAWKILYRTIYNCTDRIADHNTDFIVKLPDWDPSTSSESKRSKLGSFHKLQMITGGRRYLFQETSSDLPEAHLWYSTHEVVNALKLFLAAGNDLSGSLTYRYDLVDLTRQVLSKLANEVYLDAVRAFRREDIEALKVHSQKFIQLIKDIDVLLASDDNFLLGTWLESAKRLAVNPSEMRQYEWNARTQVTMWFDTTKTNQSVLHDYANKFWSGLLESYYLPRASMYFSDLLESLRKNESFNLVDWRKEWVSFSNKWQAGTEVYPVKAKGDFLAIAEALFQKYFG >OMO69747 pep supercontig:CCACVL1_1.0:contig11993:9764:11475:1 gene:CCACVL1_19301 transcript:OMO69747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNPLAPFSLSWSFSVSPSSTTCSTKFHPEPIHPSTSQKYFTLISSKQRSQHGLTGLQKNSNYALSRIIRREAAIQGIGRKAKSKKQRNRLWPKAVLEALDEATKENSWESALEIFGLLRKQQWYDPRCQTYTKLIMMLGKCMQPEQASLLFETMLSEGLKPTIDVYTALVNAYGQSGLIDKAFSTVEDMKSVSDCKPDVYTYSVLIKACMKHAHFDRIGRILCEMSYLGIEYSTVTYNTIIDGYGKAEMFEEMESLLTDMIESGNCLPDIFTFNSIVGAYGNSGQIEKMEKWYDEFQLMRIRPDIKTFNILIKSFGNAGMYEKMESVMEFMEKRFFSPTVVTYNIVIEIYGKVGKIEKMEEYFKEMKNKGIKPNAITYCSLVSAYVKAGSIKKVDSILRQVENSDVVLDTPFFNCIINAYGQAGDLKRMVPAKEMIMNQVKTEYKDDGI >OMO69751 pep supercontig:CCACVL1_1.0:contig11993:32633:36019:1 gene:CCACVL1_19305 transcript:OMO69751 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein, beta subunit MEVEIEQGESGKSVMCVLTDPEGTPLGGTMYFPQTVGPLQLQQIVNTLLKNEEKLPYAFYISNQELLVPLGTYLEKNKVSIEKTLSIVYQPQAVFRIRPVNRCSATIAGHTEAVLSVAFSPDGRHLASGSGDTTVRLWDLNTQTPMFTCTGHKNWVLCIAWSPDGKHLVSGSKSGELQCWDPHTGKPTGNPLNGHKKWITGISWEPVHLNAPCRRFVSASKDGDARIWDISLKKCVICLSGHTLAVTCVKWGGDGVIYTGSQDCTIKVWETSQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTGKEYSSSEEMKKVALERYNKMKGNAPERLVSGSDDFTMFLWEPSVSKHHKVRMTGHQQLVNHVYFSPDGQWLASASFDKSVKLWNGITGEFVAAFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDIRTKKLKQDLPGHADEVFAVDWSPDGEKVASGGKDRVLKLWMG >OMO69749 pep supercontig:CCACVL1_1.0:contig11993:19740:26052:1 gene:CCACVL1_19303 transcript:OMO69749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin amine oxidase MDSSSRSSVIIIGAGISGISAAKVLAENGIEDLVILEASDRIGGRIRKEKFGGVSVELGAGWIAGVGGKESNPVWEFAAQLGLRTCFSDYSNARYNIYDRSGKIFPSGIAADSYKKAVDSAIQKLRDLEANCINDASKGTEPLLTPKTPIELAIDFILHDFEMAEVEPISTFVDFGEREFLVADERGYDYLLYKMAEDFLFTSEGEILDNRLKLNKVVREIQHSRNGVTVKTEDGCVYEANYVILSASIGVLQSDLISFKPPLPRWKTEAIEKCDVMVYTKIFLKFPYKFWPCGPEKEFFIYAHERRGYYTFWQHMENAYPGSNILVVTLTNGESKRVEAQSDEETLKEAMSVLRDMFGPDIPNATDILVPRWWNNRFQRGSYSNYPIISNNQVINDIKAPVGRIFFSGEHTSEKFNGYVHGGYLAGIDTSKALLEEIKKEERKNDNQNQAFLLEPLLALTTLKQADAVSVSGLHNKCDVHTQLYLSSKLGIPEAIL >OMO69746 pep supercontig:CCACVL1_1.0:contig11993:2511:6643:1 gene:CCACVL1_19300 transcript:OMO69746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MSKAGALDLASGLGGKIDKTDVLSAVEKYEKYHVYYGGDEEERKSNYTDMVNKYYDLVTSFYEFGWGESFHFAPRWNGESLRESIKRHEHFLALQLGLKPGHKVLDVGCGIGGPLREIARFSSTAVKILILKRDFLQELNRIAGVDKTCNFVKADFMKMPFPDSSFDAVYAIEATCHAPDAYGCYKEIYRVLKPGQYFAAYEWCMTDSFDPNNQEHQKIKAEIEIGDGLPDIRLTRQCLEALKQAGFEVIWEKDLAVDSPVAWYLPLDKNHFSLSSFRLTAIGRFITKNMVKALEFVGFAPRGSQRVQDFLEKAAEGLVEGGRKEIFTPMYFFLARKPLSDSQ >OMO69748 pep supercontig:CCACVL1_1.0:contig11993:11934:11999:-1 gene:CCACVL1_19302 transcript:OMO69748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGQGRVKAAKPQDPFPNPTK >OMO81722 pep supercontig:CCACVL1_1.0:contig10118:24920:28409:1 gene:CCACVL1_12262 transcript:OMO81722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIGAKTSLGGEELENHDSSYLCTSLSKAS >OMO81721 pep supercontig:CCACVL1_1.0:contig10118:1524:2170:-1 gene:CCACVL1_12261 transcript:OMO81721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLTADYVLRDDDGNIVACTSGLVDSKLRRQQAELAAIFDGIRWVDNYLDTVNARKKLFVKTDHWK >OMO81723 pep supercontig:CCACVL1_1.0:contig10118:33071:39664:-1 gene:CCACVL1_12263 transcript:OMO81723 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin efflux carrier component 4 TDPKRTKSTFGGFRRFLWKSGRCLVLRISMSVSALKACFHGYFYNFKGPTFTPEPSDERFIYQLQHKTQNKTQAKNSMIPETNKAKDPNPRFKSSRGSKPSKLRREMKSQSEKSESEAQREKRESTMQQNRTEAGLQAALLMK >OMO62144 pep supercontig:CCACVL1_1.0:contig13335:7318:10907:-1 gene:CCACVL1_23008 transcript:OMO62144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNQAYKAMQRARVGSTSAGPEEIEDGMVDGSFHSPEWHAARLASLKTTHTVTWEEFKKKQKEDALKKGELEADTDRMMREYRAQLDAERAHKLAHGRNHSSKSSHRKDRKDKDAKKRSSRKRKRSRRRSSDSSSSSSSSESSSGDDEEREPRRSKSKSKRDKRKKHKSKNKHSSTDNEEAEGPVPLSRFFESVKS >OMO62145 pep supercontig:CCACVL1_1.0:contig13335:14076:16606:-1 gene:CCACVL1_23009 transcript:OMO62145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSNDVSHILFPSWRNSLEIPFLFVGDIHPYLFTNILDQFAHRCARTWLKKVQAAFVGRVAEKWGACEGKKIALEEAVKVLASSVGQVVSTSDRGLILL >OMO62146 pep supercontig:CCACVL1_1.0:contig13335:27591:27671:1 gene:CCACVL1_23010 transcript:OMO62146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVGWLAVEFGTGTDGRLLGVARVQ >OMO62143 pep supercontig:CCACVL1_1.0:contig13335:1460:6369:1 gene:CCACVL1_23007 transcript:OMO62143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGPPQAADTEMADATQQPAQPQQTDPQHQQQPPQMEIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETNEHVALKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPQRECFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSESDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAELGFLNENAKRYIRQLPLYRRQSFTEKFPNVHPLAIDLVEKMLTFDPRQRITVEDALAHPYLTSLHDISDEPVCMTPFSFDFEQHALTEEQMKELIYREALAFNPEYLQQ >OMO60917 pep supercontig:CCACVL1_1.0:contig13645:788:1905:-1 gene:CCACVL1_23804 transcript:OMO60917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MVRVSTGVFNFINIFPFIIGLVTVIASIYLQIHGGTVCQITLRDPLLIIGIILFVISMLAFIGSCCNNAHFLLTLYSIIMVVIIFALTGFTIFIVVVTNKGVARRISGLGFREYRLDDYSGWLQHNFVSGQNWDRIRSCLSDSNVCKTIHSEATFSGFIKQRLSSIQSGCCKPPTYCKFDYKNATYWEMPESGPVVEDSDCRTWNNNQKMLCYNCKSCRGGVLSNIRKEWRYLAITNIIVLVVIIITFNIGCTIRKRKKTLDHDHNNLTATAASA >OMO60922 pep supercontig:CCACVL1_1.0:contig13645:23417:27094:-1 gene:CCACVL1_23809 transcript:OMO60922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MASISSTMIRIKTMAAPIFCILFLVLCSSSMVLSVSFKRIQLPPNATGPEALALEPKTGIFYTGIADGRVLKYRPRLGRFEDFGFFAPNRSKAVCDGTETAMPNPICGRPLGMGFHHATKRLYVCDAFFGFGVLEPKGGLGTVLSSGADGEPYRFCNGVDVHQRTGDVYFTDTSAVYDIRNLSGPVGVAVDEDAKFVFISLFIINKTIKFWLKGPKAKEYEIINSLPMPENIKRTESGRSFWLAAAMVKQSSLVPIGHKFDAKGIVLQTVNFEQFYGNKSISEVQEYEGKLYVVSRVISQSFSSIQLPPTAIGPESIAFESGTLRFYTGVSDGRILQYNGRRDGFRTFGFTSPTRSKAVCDGTTDPELGPICGRPLGLKFHYRLNQLYVCDAYFGLMVLGSPGGLATPVANSADGQPIRFCNGVDVHQPSGNVYFTDTSAVYTPRNFSKALNSNDSTGRLLRYEPDSKRVTVLLKNLSGPVGAAVSQDQTYVLVSNAISNTTVKYWLQGPRANTYDIFKIQERPNNIQRTVVGDFWQAAAMVREPTQTLVPIGQRINGVGMVARTINLEQWYGNASISEVQEARGALFIASRFWILEAVLNELITALQRKLLRK >OMO60924 pep supercontig:CCACVL1_1.0:contig13645:51488:52605:1 gene:CCACVL1_23811 transcript:OMO60924 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEEAPSSSRQPLVRRKPKINVPIGFRFKPRDDQLVVHYLKPKAYNQPLPPNIIEEVELYSYSPEALTAERAWSHAMEKRQLPDGPNARQRIYLIGRLRKAVKWADLFSHLCSIKGDSRTSLEAEVCLQFGC >OMO60918 pep supercontig:CCACVL1_1.0:contig13645:3572:6673:1 gene:CCACVL1_23805 transcript:OMO60918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enolase MALPTQTSLLQKPFLPQPSLSHPSAFSAPPALPSRKSRSSSIQCSVSAAPSVAVTASKEYKVKSLKARQIIDSRGNPTVEVDLITDDLYRSAVPSGASTGIYEALELRDGDKSVYGGKGVLFAVQNINEVLGPKLIGIDVRNQADVDAVMLDIDGTPNKSKLGANAILGVSLSVCRAGAGAKGIALYKHIQELSGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGATSFTEALRMGSEVYHILKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLIDAIEKAGYTGKIKIGMDVAASEFYTKDGRYDLNFKKQPNDGAHVHSAQSLGDLYKEFVKDFPIVSIEDPFDQDDWSSWASLQSSVDIQIVGDDLLVTNPKRIAEAIQKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >OMO60923 pep supercontig:CCACVL1_1.0:contig13645:36028:38206:-1 gene:CCACVL1_23810 transcript:OMO60923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Strictosidine synthase, conserved region MITYNSIMSMKATSAPIFSTLIFLLCFSSTVLSVSFTKIPLPLKATGPEAFAFLSRAGDFLTGVADGRVLKLVSQARRFEDFGFFAPNRSKLCNGRVNDPNCGRPLGLALHHATKRLYVCDAFYGLGVLEGEGGLGTILSSGADGQRYRLCDGLDVHQQTGDVYFTDASSNYDIRQIPLAVAKNDSTGRLLKYDFNTKQVTVLLRNLSGPGGVAVDKDAQFVLVSEFIIGRTIKFWLKGPKANTYDIINSQPMPDNVKRTESGNFWLAAVKRNLTTLFLPIPIGQLVKASGSVLKTVDFGPWYGVIPVSEVQECQGKLYLGSMFAPFVGVYKD >OMO60925 pep supercontig:CCACVL1_1.0:contig13645:63270:66733:-1 gene:CCACVL1_23812 transcript:OMO60925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LINININGRKLIKEDFGQHFATPPPLCSTASDV >OMO60921 pep supercontig:CCACVL1_1.0:contig13645:18817:20898:1 gene:CCACVL1_23808 transcript:OMO60921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Centromere protein Mis12 MEGSESEAIFDSLNLNPQLFINETLNTVDDLLDDAFDFYLQKASKLLKTEGTDRSQDLTKGVNYVRNLVQSSLDKRLAMWEKYCLRHCFTVPEGFSLPKNVGSCLDSMELLTYLDELPGSCSMVQDALSDPNVDAELVSLRDKLTLVGAESEKLNRELKELERQSASSGHCAGLVNETLQLYESASAHDMFQGHKDISIE >OMO60919 pep supercontig:CCACVL1_1.0:contig13645:7544:11867:-1 gene:CCACVL1_23806 transcript:OMO60919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKFQKATDKAMVFLQRKALSTLYIPKRKLHMAMNTPTPKVQLLYDLCKSTFTPSGFSSASSQPVHKLCSLLDTFGPADVGLKEESPDDDQGHGFFGLNRVTRWSQPITFLDIYECDSFTICIFCFPTSLVIPLHDHPGMTVFSKVLYGSLHVKAYDWVEPACIKESRQPGYPQVRLARLAVDKVLTAPCSTSVLFPKTGGNLHCFTAITPCAVLDVLAPPYREDIGRRCTYYMDYPYSTFGNGAQISSGKEEEYAWLAETPPDDLYMRQEAYIGPAIQI >OMO60920 pep supercontig:CCACVL1_1.0:contig13645:12512:13351:1 gene:CCACVL1_23807 transcript:OMO60920 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein MKTLIHFSLVAKRYSSLVPKTHSLFVDLNSTPPPTLTPTFNIGGFLRSLVAKLRRVPTPNVPKPMNQKLSLIKDGFKQLKHLHLHNGFNPSSPCSWKSWVCEYGSKSHFETCFCLMASSVMINHDQSNSNPNLSVAGTNSNSDINMLAYHDEDPEYFLDLFIKMLKHLRERHDIIRQVVLDCKLLETVVYIDDSVLGGNFILGKELIARLQGKLEVSNSDPDLDPVELLVWFVHVPTLLLPKSGYTLNDVICISAVPILAKHFECFGSFPLYKVVRFWS >OMP11651 pep supercontig:CCACVL1_1.0:contig01060:7143:12531:-1 gene:CCACVL1_00363 transcript:OMP11651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MSLKSFCNILALRVVLSMALEITELLIAKLKVLGGKETQVIGCLQRLQRFKESLKGAEDDKANGQIVDEWKKLLPVIYTLEDYIDSIRSETTLPNPLSFRNPIGKLKSKLKKFNNGFNLDAAQDESVSRSNSEFDEEVEHYMSDNMPQSPTEDESNSAEVTPDRPTKESEASPALRRTNSDDVLLRPRQLPENMLEDKSSPLELLTKTYSEDVPLHRRTNSFDDAQPQLRKRLSRNSSDRQLSEPTEDYTSTDQPNDSEQNISEEKSVTVVFEDLLKMLAKPVVSKRKLRPLMLKADVSSPGKKILLWTVYNSETVKQRFQFRAWINVSELPSELDVVNAILQIAFKGKEEEVNSPERRLHDFLVWRRFLIVLYGVQEGFLSDGLKSAFPHSLNGSRVILAAPGIDGSSSKSLENTHVYLADDSNVRSAKAVIHELTEAILRSRRRLLFLISVVGLVGSDKTSLLWPIYNAQDVKQHFDCRAWVNVVEVLRTDDILAKILEQVSNIKMGTDTRPSREQLQRRLHSFLSKKRFLIVLYGVWTAEIWNKLKACFPNTLNRSRLILAVDSIHVAQRTNSWIFSISPDNLSDDLNDMLVNVSSGKRVKRWVNLADIKDEASEMVGLDDKVEQLAEVALDNSNTTHVIAVLGVEGSGKTTLVRTFYNSRATKQHFDCRAWVSVPQNFDDKDILLDLFRQLRKAKEKQSLSLEQLKEGIRNYLTWKRYLIVLDDVRTSGIFKTLNLDFQNTSSSSKVILTTRDTFLARHINTPTTAIPQCLLKDIENLELLMKKDICKTLNLDCQNSSSSSKEDIWKTLNLDCQNSSSSSKVKVILPTPDTSLARQDNTLTMAIQQRLLKDIKSCALLKKDNWKTLINLDCQNSSSSSMVILTSRGTFLARHISTRTTVIQQRLLKDKESWELFMKKVVTSKTMKIDAEDQELLKFREKILRRCRGLPQQIVLLGGLLSTKNSYDEWPTVIEQSSQKTEKKKEIQDEDQLKSSGLLVSSTNTKQNNTDNKLDSKQKGEKIAVDNQSNSLADQLVTKQKEEHGKQEVTEQATSSSGETQSNSLLEQPPSDIKRTEEKGKVVVVDEDAMAGEDQEIPSSHLASSSNYKGDVTSNSGMEASAADNQSTSSETTPSGDYLYDSYQQLSIHEKCCLLYLGLFPEKYEISIRRLLLLWIAEGLVIPSDGKTPFEEAMHYFERLETLEMIKITDGSPKKCSVPNAVREKLHATAQKVGFFHFHSKNKDDNASLGQRQDDKFTVRRLAESEEMYIRTNPHDNCLRHLRTYISFYQKKGDAPTSGVNNLLKKIVDRGSAMLVVLDLEGVYKPVLPDKLGNLIFLKYLSLRGTLLDSIPESVGNLPNLETLDIKHTYIITLPSTIWKAKKLQHLYMSEIYVDLSIEKELACGVSNLKTCVPMGESSGTGSDALKDLQTLWGLVIRGSIDEENWLSQVVGLRKVKLTFHKESSEVLSGWLSKQTNLQSLKLRCISKSNKPASLHLGPLMTASSNLKQMYLVGKLPKPIEFGELPQGLEILTLSVSCLNVDPMEMLGKLQKLKVLKLYANSFRGETLRCRHNGFPALRILKLWMLPKLKNWIVEKNAMPELKEVEIRYCEKLETVEGLNELTKLTDLILTNMTDEVFNNVKGKVDPKVLITQNSLTFNPLWKDDQEDTQGLQQPF >OMP11650 pep supercontig:CCACVL1_1.0:contig01060:1667:1762:-1 gene:CCACVL1_00362 transcript:OMP11650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLTSEVITAPKTQIQSCKTMHHQKTDEIT >OMP11649 pep supercontig:CCACVL1_1.0:contig01060:653:721:1 gene:CCACVL1_00361 transcript:OMP11649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SDPPVEELKFLRWSLKELWVPS >OMO63169 pep supercontig:CCACVL1_1.0:contig13014:33533:34033:-1 gene:CCACVL1_22435 transcript:OMO63169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLVKKQFVEVERELEETGLKEKQLNNRLCEFQLMEKTRYQRLVAREKHCEERLKEISLKETRVEESFEELELRSKQSEERSKELRLKEKLIKQQFEAKEEQFGLKNKHFEQSSLDLKIKETCREKNRQDLEVKQRHYEECLREIKLKEKQIEESSEQLKRKYEL >OMO63170 pep supercontig:CCACVL1_1.0:contig13014:43834:47250:1 gene:CCACVL1_22436 transcript:OMO63170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAERVGGADNHVSSFIPTTIAADGLPPDGVGWP >OMO50882 pep supercontig:CCACVL1_1.0:contig16036:88905:92524:-1 gene:CCACVL1_30186 transcript:OMO50882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MAREMQKSSMFIEQNPSDYENGGIHKDLLDDDGRVKRTGTWLTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVLMAFSFITYFTSTLLADCYRAPDPVHGKRNYTYMEVVRAYLGGRKVQLCGLAQYANLLGITIGYTITASISMVAVKRSNCFHKHGHQVKCETSNYPFMIIFAAIQLVLSQIPNFHELSWLSIVAAVMSFAYSSIGLGLSIAKVAGGNHVRTTLTGVTVGVDVSGSEKVWRTFQAIGDIAFAYAYSTVLIEIQATFGNDAPGNFLTGFGFYEPFWLIDFANVCIAVHLIGAYQVFAQPLFGFVESWCARHWPESKFINREYGVDVPCFGVYYVNMFRLVWRSTYVIVTAVVAMIFPFFNDFLGLIGAGSFWPLTVYFPIEMHIAQAKIPKYSFRWIWLKILSWVCLVVSLIAAAGSVQGLIQSLKTYKPFQTMD >OMO50870 pep supercontig:CCACVL1_1.0:contig16036:5212:10148:1 gene:CCACVL1_30174 transcript:OMO50870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVREKGVDAKEIKDEDENKKKQKTKKKKRRSPSLARFGCLRVESDKNGGVDMEVEFPGERNNPTHLVIMVNGIIGSALDWRYAAKQFLKKYPQDVVVHCSERNSSLLTLDGVDVMGDRLAEEVKEVKRRHPSVQKISFIGHSLGGLISRYAIARLYEEDVKGELSHINRHCGTDQLEDAKDELSHCGTDQLEDVKGELSHCGTDQLEDVKGELSHCGTDQLEDIKGELSHCGTDQLEDVKGDLSQTNGHCGTDQLGDTCLDLKSRGRIAGLEPMNFITVATPHLGSRWHKQVPLFRGSRALEKVAAHTSWLLGRTGKHLFLTDGTKGKPPLLLRMTNDCEDLKFMSALQSFRRRVVYANASYDHIVGWSTSSLRRRNELPKLRLWPQSPAAFFLYIARCCNGGVRLTLIPKSVRE >OMO50879 pep supercontig:CCACVL1_1.0:contig16036:56470:69509:1 gene:CCACVL1_30183 transcript:OMO50879 gene_biotype:protein_coding transcript_biotype:protein_coding description:secretory carrier-associated membrane protein 3 MTWQIVSDKEWQSIRYSLINLETLTVSCLSLSASVDLCSGDSSIRRQYVASTACHHNTSKLMVSTAPPARPSPLSPKPVGFNCDREADIPLDNALGESQYQDLKKKERELQA >OMO50878 pep supercontig:CCACVL1_1.0:contig16036:51228:56071:-1 gene:CCACVL1_30182 transcript:OMO50878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase MRFEEEFSHNVHDDDDHSKTMSQCSVGALRPEHKNFVVVGYALTSKKIKSFLQPKLEGLARNKGILFVAIDQNRPLSDQGPFDIVLHKEYRRTHPEVTVLDPPDAILHLHNRQSMLQCVADMNLSNSYGIVGVPRQLVIKRDASSIPDAVAKAGLTLPLVAKPLVADGSAKSHELSLAYDQYSLQKLEPPLVLQEFVNHGGVLFKVYIVGEAIKVVRRFSLPDVSKRELAKNAGVFRFPRVSCAAASADDADLDPSVAELPPRPLLERLAKELRCRLGLRLFNLDIIREHGTRDHFYVIDINYFPGYGKMPGYEHIFTDFLLSLVQS >OMO50876 pep supercontig:CCACVL1_1.0:contig16036:42962:44593:-1 gene:CCACVL1_30180 transcript:OMO50876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRLTVGNLALKIPVASKPARSVVHPSSSPCFCKIKLKNFPLQTAVVPYIPPENQFPDNQFQTLAATFHLSKADIDKLAAKSIFSAKPCLKIAIYTGRRGTTCGVNSGRLLGKVSVPLDLAGTESRACVFHNGWISVGKEANKSSSAQFHLNVKAEPDPRFVFQFDGEPECSPQVFQIRGNIRQPVFTCKFSFRSTGDRNQRSRSLQSEPSSSRSWLSSFGSERERPGKERKGWSITVHDLSGSPVAAASMVTPFVASPGSDRVSRSNPGSWLILRPGDGTWKPWGRLEAWRERGSSDGLGYRFELIPDMSAAAIVLAESTLSSGKGGKFVIDLGGTSNGNGTNGRATPGSATSPACSPRSSGDFGYGLWPFSVYRGFVMSARVEGEGKCSKPSVEVSVQHVNCTEDAAAFVALAAAIDLSMDACRLFSQRLRKELCNDQDLHG >OMO50871 pep supercontig:CCACVL1_1.0:contig16036:11274:11794:1 gene:CCACVL1_30175 transcript:OMO50871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGRSLSRLLEAAQKRNHEEERALVMRNLIGSRPPRCERRCSSCPHCEAIQVPVTTQSQNNRRTRQFSAGIFSISYSRGDDISNYKPMSWKCKCGNLIFNP >OMO50873 pep supercontig:CCACVL1_1.0:contig16036:20727:23687:-1 gene:CCACVL1_30177 transcript:OMO50873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALGWWLMLVGLLRLASVWVGFFHIGALRHGVFSNTTMECTSTNTR >OMO50872 pep supercontig:CCACVL1_1.0:contig16036:19347:19901:1 gene:CCACVL1_30176 transcript:OMO50872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKQSASRNQRYKGFKVKHVLQICVLLALCIWLLNQVKNNYEKNGSGILQEAAMKLGRKDLDPQVQRSSNENEDVEGEEEVEEIKAEETEDDGRGGGDDEIDGRNREKAEEEVEDLIDEEDRDKENQIEDLSLLEEQTMMTDGEEILQDPREEQDMKHDASTTLMQNEIDTTGIAQMRRLTSVA >OMO50877 pep supercontig:CCACVL1_1.0:contig16036:48325:48708:1 gene:CCACVL1_30181 transcript:OMO50877 gene_biotype:protein_coding transcript_biotype:protein_coding description:plastid-specific 50S ribosomal protein 6 MPMISITSAPKITYLPNQIPSCSPLLKTRSVPSSSIPNVGSVIECSSRPQKKATKHHMKTRPRKTQAWDIRRKPTVYAPLPPLPPEWTLVSSAAADTFENDDGGVVAGAAAEAGGAGSALQAPPASG >OMO50880 pep supercontig:CCACVL1_1.0:contig16036:77985:79891:-1 gene:CCACVL1_30184 transcript:OMO50880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLGTKAAATTMANKVSTPPPPPPPVLSSPEIRQDKRNSSGVLVHTRFFSGRRDSIFDRSAAPKLIMCRENGSWVHFPAEVVEKLRVGFLDRKTMVEVLIQGSKYIFHLNLMAQFEYSTGDFRPISWIDEKGMRFFPVSSRAKAELGDVNHDGDNVSSTDKRNENNFKPKIENVVNNDQTSLKKRELGDEPEVSSYNRALGANVVKRQRVEEKGVAIWPNTRLARATEGLYLIVEDHFYSGIRKFDAQAAITSIHQLEREGNSFKVRQEVFQKQVEIIKAARGTSNMVYAWYGASANTIKSVMAHGFAFPSQLPATDVYGSGMYLSPVGLPHLSANLADVDENGLKHLILCRVVLGNVEKVQAGSHQYHPTNGNFDTGSDDPNNPKWYVVWSTIANMSILPECVVSYRPGNMQGPRRPVPGLSYSFEKLVSKIKSLLPPAKIQEIFILYSTFKAQKLGRDAFLKRLRAVAGDQVLKSAILDIIAS >OMO50875 pep supercontig:CCACVL1_1.0:contig16036:34459:34584:1 gene:CCACVL1_30179 transcript:OMO50875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKPNQTEANRSEPTSINHHPKAFMFSCLLQLETLSADPT >OMO50874 pep supercontig:CCACVL1_1.0:contig16036:26616:32033:-1 gene:CCACVL1_30178 transcript:OMO50874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISNLTTVGIFAGGRSPLQAL >OMO50881 pep supercontig:CCACVL1_1.0:contig16036:84578:87921:1 gene:CCACVL1_30185 transcript:OMO50881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSTPSKRPHDWHSSETNEKRKLQKCGQSKILPGGIVFRVLCPVSKTGSVIDNDGTIISQIYQETDAKVKVEEVVPGCDERIVVIMGSESSDKEEVGMKPGKEDGGSEEANAANQIYKEKENAENNDDKVSDPVKELSSVKDTSYLQKAFLLVCERIIEAESDSGGVGEETVKSSTMVLRLLVLSSQVGCILGKGGSVIKQMSADSGAQIRILPRDKLPLCASASDELVQITGEIDAVRKALQSISQQLIENPPRDHDSSPLNPTRQPSHSFGPRPEVHQPPNRSLSSHAAGPREVDNHLAVPPPIPGRMMPSLEMLIFRLLCHQERVRGLIGKGGAVVKTLQQETGCEINVVDGIADSEDRVIIISGPAHPDDRISAAQDAVFRVHSRVNRAMPDSKDKTVTARLLVSSNQIGCLLGKGGSIIAEMRKSTGAHIRILGKDQVPKCASEGEEVVQINGEHDTVREALFQITTRLRQHFFRDAFPSINHPLNPTFLEQVPPFPSFMGRREFSPPGGLGPYHHFDAFGGPPPRGGFHPHDDPPFIRNIHRPGMPPHISERRPWGPQGFMGMPDFAGPPHRRISGFGGGSQPAIITSTTVEVVVPRSLVPIIYGEDGACLKQIRQISDAKITITEPKPAATETVIRISGTPEQTNAAQSLIQAFVMSETESALS >OMO89599 pep supercontig:CCACVL1_1.0:contig08665:43675:43761:-1 gene:CCACVL1_07744 transcript:OMO89599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWQSMASGGKTKERRGRDGESRPFVLG >OMO89600 pep supercontig:CCACVL1_1.0:contig08665:50528:50590:1 gene:CCACVL1_07745 transcript:OMO89600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGFKSDATGGDITGKQNM >OMO89598 pep supercontig:CCACVL1_1.0:contig08665:17248:32198:1 gene:CCACVL1_07743 transcript:OMO89598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MERQEEQEEQRLIWKSKAEEWMVFVTIGRAMAALLTARPKKLHHSISCLSTNFSDKTSLVSLDECLWFLHKYVKDAVQRDETFDAVLVPMIEHSLKYKDSKHGGQPMILLNRLFQDELLFQAVAINLANIITRKDDRYIAFGWCTLVRELVEYKSSMDQFNVNGIKEKYIALLKILCSCIPRLSYIAHKGRTDNVYTEDAIGCCLQDKFELPSRLSVAAADCLLALTEGSQILNSGALLLSSCWKHYGVLLRLEDHKLTKNYKELLDQYLSEVYRLSNHEDFAKMKKFEDIVSEYGKQMSHVLLSQLHCNDDDVIDGVVSIFKAVIFKPNISFGSSVTDMKQMDLVVPLLLQLLDERDGVARAVVMLIAEYCSITADDHCIEEVLYRLASGTSIHRRNAFDVISNIIHISTDTEHTIFHSTWQNIANNLLLCLGDEEIAIREGTSNLLPLIDPTFILPALVRLVCSSNEKIQPAAGEAFIRLLKHHNKKPEVVCMLLDNLSNLSQGLADAEIGAHVVKGVQLLLLLSAYLNMPISSSFVAELMLLSLCLIVEIKSLVSNFDCDRVLRLITEWSKTVQDWKILIGPLIDKLFAEPSNATIVRFLSHINEQLAEAADVILYRVVLQMKGQNEIDEGVFSKWEIRTCTSDDLEKTQQFLFERLCPLLIIRLLPLRVFDDLNSSFMYGQLHNQVAVNEYNDTISSRDEMSVATFLLNRAFSKFEFEDVRKLVAELCGRIHPQVLLPIACSQLEHAADSRDLLKIKACLFSVCTSLVVRGKESLIHPFILEIWRIIKVILLWPSSDGDEVSKAQHGCIDCLSLMICVELQAPELFKDLASLRRNIAEKKGGASLRPHILGPVVHWLIDDYGEVIPMSNLHDENCITRHQSPSPFVCAWLMFSSVIMDPEIRAACIQVLFSAVYHLKSAVLPYSRDLLKLSLNFLGKGSEKERMAGAKLMASLMAGEYLILESISAGLLEARSVLSDISLTDPSFDIQQVVMMPKVSLSLIVKVMDKCFAFDVQVPI >OMO89597 pep supercontig:CCACVL1_1.0:contig08665:8785:13188:-1 gene:CCACVL1_07742 transcript:OMO89597 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pentatricopeptide repeat-containing protein MEKIGFWGDERRNICNLLLSSTHSRSLPKGLQLHAHIIKSGLQTIPLISHHLINFYSKTQLPLFSRQIFLEAPHKSATTWSSVISSLAQNELPSLAIQFFQFEDFESKQIIAEPENQTISLHLWAVKQKLFTWSSVTVTK >OMO89601 pep supercontig:CCACVL1_1.0:contig08665:73369:73569:1 gene:CCACVL1_07746 transcript:OMO89601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLFFAVAFSAVPLTLYVPPIRSLNLFVETVEDVLRQSTLYTLRAYPRIRLAISRIFNSIFHLSR >OMO94737 pep supercontig:CCACVL1_1.0:contig07808:22321:31289:-1 gene:CCACVL1_05855 transcript:OMO94737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFEIMDQRNASASSHFFEDLRFPAERQIGFWKPNTMSDNQDKLVGSSPLEKLSANCMELPHTNLARDQEEKLGIGWKGITNLSEPSWNSVNHHPKSLSNMYTQPAVNFNGSSTNVNMLQHESSLFSSSLSEIFSRKLRLMGSDLYKAASHHEEEPFKSMEEIEAQTIGNLLPDEDDLFSGVIDESGLNTHASKGDELEDFDLFSSGGGLELEGDDCLSIGPKNSDVGLFNGQGGSNGSVVGEHPYGEHPSRTLFVRNINSNVEDSELKALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMRALQNKPLRRRKLDIHYSIPKDNPSDKDVNQGTLVVFNLDSSVSTDELQRIFGAFGEIKEIRETPHKQNHKFIEFYDIRAAEAALHALNRSDIAGKQIKLEPSRPGGVRRFMQQSEQEQDEPNLCESPFDELSSGHIVSPGVIGSGCMDNGSTQVLHSVIQSPVSAFVEPHRSSSVPINLASPARVAPIGKQLSLREPNQSLDEMKFANQSAPSFHPHSFPECYDSLANGVPFNSSSTITDMASSVGPMMAEGLDSRHIRGASSNGHLIEPNSGLFGSSGNGSLSLNGNHYMWNNSNSHQQHPSSAMVWPNSPSFVNNIHANRLPHMPAFPRAPPVMLNVGSPVHHHIGSAPPVNSAFWERRHPFTGESPETSGFHLGSLGSVGFPGSSPSHPVEIASRNMFSHVGGSCMDLTKNGGVHSPQQMCHLFPGRNPMIQMPASLDSPNERVRNFSHRRNESNSNNADKKQYELDIDRIARGEDNRTTLMIKNIPNKYTSKMLLAAIDEHCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQEPFPMGTNIRSRPGRPRTSGNEENLRQVSSSTSANGEEFSNGVDPLLDVPKPRTERKPYPTPLKELIKRAKQEKELRKAQPCRLLEHPPDNGLLVPELVEVAHRVHRAREFLLSGLSKLVRVIPVHRCRVVHDERHSVKQIPAILELCIQAGVDVENYPSKRRTKPVYSIEGRIVDFESAAGMDQMESKLFPQNLDGSEVASKHQSRNGMHAWQEATVDDIVGPNYVWHVRDLNGPPLRNELKRYYGKAPALVELCIQAGAPVPDQYTSMMRLDVDPPERDEVDLVA >OMO94739 pep supercontig:CCACVL1_1.0:contig07808:42839:42949:1 gene:CCACVL1_05857 transcript:OMO94739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLTDLGATAVACTKVTAEWGIIYGTNFLLSFSFY >OMO94733 pep supercontig:CCACVL1_1.0:contig07808:2573:3296:1 gene:CCACVL1_05851 transcript:OMO94733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLTSHYEGKPVADMPAKAQSQRAKLKV >OMO94742 pep supercontig:CCACVL1_1.0:contig07808:51054:52286:-1 gene:CCACVL1_05860 transcript:OMO94742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSASLSSHIPFQTNSRFSSAFPPKLPNKQKTTPFKASAIPPLSTAASVTDLSALDSTTIALIGGGSVAALAAVLSLTDPERRRRLQAEQVGGGDKEVVRNYFNNSGFQRWKKIYGETDDVNKVQLDIRLGHSKTVENVMQMLTDDGSLKGVTVCDAGCGTGCLAIPLAKEGALVSASDISAAMVAEAEKQAKEQLAASNGEIAPVMPKFEVKDLESLDGKYDTVVCLDVLIHYPQNKADGMIAHLASLAENRLILSFAPKTFYYDLLKRIGELFPGPSKATRAYLHAEADVERALNKVGWKIRKRGLITTQFYFARLVEAVPA >OMO94741 pep supercontig:CCACVL1_1.0:contig07808:49083:50269:1 gene:CCACVL1_05859 transcript:OMO94741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKNATSPLDEAKEQTFQATDGKKNTRHARWTREETIVLIQAKLAIEKRVGARNRSSDQNVSVSVSVSKWDSVSSYCKQHGVRREPVQCQKRWSNLLVDFKKIKTWESQIMKEDESFWKLTSDFRREIKLPGLFDRQVYDILDGNGRRFADAVAATPLAQLTVTTEMDNDRIDQEAAAEEDQVNEEKEANEESGQANEKEAIATRSPLKTTGSGSPISGEVRETCPCSIARRGSMIQEGLKRRRLSMEGSKDISWGKVLERNSNMINSQLEAQNKNYQLDRDQRKEQADSLVHALNKLTDALVKIANKL >OMO94743 pep supercontig:CCACVL1_1.0:contig07808:53054:66390:1 gene:CCACVL1_05861 transcript:OMO94743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGRWWILIATLLCICWWCVEAKYIVYNTTSKIVPGKLNVHLVAHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSIVPALLADKNRKFIYVEQAFFQRWWRDQSEAVQETVKKLINSGQLELINGGMCMHDEAAPHYIDMIDQTTLGHRFIKQEFNVTPRIGWQIDPFGHSAVQAYLLSAEVGFDSLFFGRIDYQDRAKRKDDKSLEVVWRGSKSLGSSAQIFAGAFPQNYEPPSNFYYEVNDDSPIVQDNMELFDYNVPERVNEFVAAALSQANITRTNHVMWTMGTDFKYQYAHTWFRQMDKFIHYVNQDGRVNALYSTPSIYTDAKYAANEVWPLKTDDYFPYADEINAYWTGYFTSRAALKGYVRSMSNYYMAARQLEFFMGRSKVGPNTDYLGDALALAQHHDAVSGTSKQHVADDYAKRLAIGYEQAAKVVQTSLASLTRSSSKTGSGTGSPATKFQQCLLLNISYCPPSEVDLSNGTNLVVVVYNPLGWKRDDIIRIPVLDENVIVKDSSGKEIESQLLPLQKASLAIRNYYSVAYSGKSPRVTPKYWLAFSASAPPIGFNTYFISKGKRPATVSKSQIVYSSEEKQNDAIEIGPGDLKLVYSRKQGKLVRYINSRTKVEESVGQSYTYYSGNNGSVVNDSQASGAYIFRPNLTYPITSSDGEASFTVLRGPLLDEVHQRINSWIYQTTRVYKGKEHAEFEFTVGPIPIDDGIGKEVVTQISTYLKTNKTFYTDSSGRDFIQRIRDYRKDWNLQVNQPIAGNYYPINLGIYSKDDSKELSILVDRSVGGSSIKDGQLELMLHRRLLHDDARGVGEALNETVCVQNKCTGLTVVGKYYLRIDPLGEAAKWRRSFGQEIYSPFLLAFTEQDGNGWKNSNVLSFTGMDPSYTLPDNVAMITLQELDNGKVLLRLAHLYEVGEDNDLSVMTSVELRKVFAHNKISKVTEMNLSANQGRTEMEKKRLVWKVQGSSGESPKAVRGGPVDPAALVVQLAPMEIRTFISAFCSVFAGAMAKVNEVAAMDIDDPNSNVSDQISPKFSINVLQLLKTAQMQHGLRHGDYARYRRYCTARLRRLYKSLKFTHGRSKYTRKAITESTVSEVRFLHLVLYTAERAWSHAMEKRQLPDGPNARQRIYLIGRLRKAVKWADLFSHLCSIKGDSRTSLEAEAYASYMKGNLLFEQDKNWDTALRNFKSARAVYEELGKYGDVENQVLCRERVEELEPSIRYCLHKIGGSNLQTSELLQIGEMEGPALDLFKSKLEAVMAEARSQQAASLTEFHWLGHRFPITNAKTRVAILKAQELEKDLHGPSADSLSAEKRLATFDKVFTAYHEARSCIRSDLASAGNAENVKDDLNGLDKAVSAVLGQRTIERNQLLVSIAKSKLTRRRDDKNEKVTKPEELVRLYDLLLQNTADLSDLVSSGRDRKPEEVTFGEECQLKSLAFRAERCFYLARSYSLAGKRTEAYALYCRARSLAEDALQKFQAHSNSDQMMIKELKTLCDECRSYSCIEHAAGIIEEQKAPENLSKKISTISLSGTDKKVEKYLLEKLDLYESAIGGSNVKAVPRIEPFPPAFQSIPRNPIVLDLAYNRIDFPSLENKMKKENKGLLSRLWSGQIKK >OMO94736 pep supercontig:CCACVL1_1.0:contig07808:17214:20557:-1 gene:CCACVL1_05854 transcript:OMO94736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDSKKVKKEEEEDESSIAEQEEALLALIEHRTKEVEHLRQRISYYTSQLEQAEKRLQDSESKLARFRGQHNASSSSGSHANGIRNVKVERRSISPERVNGSSKNLPQPRTELVIPSVNPKTSQPVKSGGSGANTAAICGLEASPASNKAVKVKKEKSHRTSADAEVVESKDRGTKRKFEQKEHKELIPLLRRSSSPNTFQCYSNHIPSQHKRKLRSLALNPVNDQLFVTSALDGFVNLWQIQARGSSASFLSTTDCASSQERRWPEDIAWHPDGNSLFSVYTADARDSQISVLDLNKTKGRAHVTFLEDKPHVKGIINSIMFMPWENTCFVTGGSDHAVVLWNERDNNVWKPKQLHRNMHSSAVMGVAGMQQKQVVLSAGADKRIIGFDVHVGRADYKHQIDSKCMSVLANPCDFNLFMVHAGAHERQLRLYDIRIRQTEVHSFGWTQQSSESQSALINQAWSPDGLYLTSGSADPIIHIFDIRYNAQKPAQSIRAHQKRVFKAVWHNSLPLLISISSDLQVGLHKM >OMO94734 pep supercontig:CCACVL1_1.0:contig07808:3516:9033:-1 gene:CCACVL1_05852 transcript:OMO94734 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGNCCSDVGGGMTAVGGTAASASASGDNQNDAVDAYLKSRGIHGLFSQIELSFSATNLRDRDVFSKSDPMVVVYIKEKDGAITEVFRTEVVLNSLNPTWITKYTITYHFEVVQTLLFHVFDVDTQFHNVEVKMLKLEEQQSLGEASCALSQILTKPNRSLTLDLVRREEPVTSHSQHSGKLTVHAEELFSSRTTAEMTLKCTDLESKDLFSKSDPFLVISKLVESGISIPVCKTEVLKNDLNPIWKPVFLNIQQIGAKDSPLVIECFNFNSNGKHDLIGKAQKSLADLEKLHSGGEGEHLFVPTLVGHDLHNKTLKSKLFVDKYSETVQHTFLDYLAAGFELNFMVAIDFTASNGNPRLPDSLHYIDPSGRPNAYQKAIYEVGEVLQFYDADQRFPAWGFGARPIDGPVSHCFNLNGSSNYCEVEGIRGIMMAYTSALFNVSLAGPTLFGHVINRAALIASQSLADDAPKYYVLLIITDGVVTDLQETKDALVKASDLPLSILIVGVGGADFKEMEILDADKGERLESSSGRVASRDIVQFVPFRDVQSGEISIVQSLLAELPTQFLTYMRSRDIKPSS >OMO94735 pep supercontig:CCACVL1_1.0:contig07808:12649:15360:-1 gene:CCACVL1_05853 transcript:OMO94735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLQTVPLLGANKMALNTQVSPDIVPVLNNSSDPAQALAANRNDSALRGKDVDWHDQERLSGFIFMCNGRTKPQCYRYRVFGLPAGKMEVVEKIKPGMKLFLYDFELKLLYGIYEATSVGTLNLEQTAFDGRIYKDCLTLHESSFRHAIKYNYQKGLKFNQELNQQQVRSLLSLFRPLTASTPKPLQLMGHQAVQNQSSLPFIKDSFAQVVESHHTQQTGLQEHECYRPQLSTGRYHPVLDPQVSLAPVDPNVTASQISYVQQISEPQNVLQSAPSLQQHYFGYPINMGHSYPTMMTQVWPTSDGQYYIASQPYVPGYPTQPVPGHYNRYGTMGIDQQVGSGDKYQSALQREGENSSAEGSFIQYYSSYPSLASSSFTPAMLGSGNIPEVGIPQAANGALPHPHAYSYPFTGAAPTHH >OMO94738 pep supercontig:CCACVL1_1.0:contig07808:37789:38198:-1 gene:CCACVL1_05856 transcript:OMO94738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKLNVDGAVKCPACFELRAQEAQPI >OMO94740 pep supercontig:CCACVL1_1.0:contig07808:43680:47020:-1 gene:CCACVL1_05858 transcript:OMO94740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVIVSAHRNEHLATSLRSFRDELRAKEPQSERRRKKAPRKDDKATATSNSVHATRRPFKLSFHLLP >OMO94744 pep supercontig:CCACVL1_1.0:contig07808:67053:69278:-1 gene:CCACVL1_05862 transcript:OMO94744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHKMKPSSSNEPNQNFFKAFQEKREAEKMMTAKKYDEARKHLRKAKELSPNIDNIDSMLTVCDILAASSVMFPGYGIDYYWILQLAPSSTLFVIERRHQKLVSMLQPIMRTFPGAELALQHIQDAYHMLSNNESRSKFDSKRGMGQEECRSFKVQAPSGQSSFSDRETISTAQSSSMDSPRHRLDPSKKLRAEILSVAVGNSVETSTTFEDNEKQFDAVWEKIGAGIGMSLDDGINLSTNSLRPVSEGVATCQDYYNFEDDRKADNLEEGQIWAAHYRAKLQHSYRYAQISINSKPQVCVTWLKPIPVSLGERKWCDKGHPVACGSFELVPEMKEEVSWKTVSSYRCSWTQGITEDQFEMYPKKGEIWAVYKDWDHIHKDWDLDSWSFDAGAMKGCRLELVEIISEFSKYLGADAACLVKVDGFKSVFKRQTMGGNPITFHISPSNMFMFSHIVPAYRFRGGEIDNIAEGMFELDQMALPDYMIQDTDSQQAPKDNGRLVVSKSTPSLNPYPENNILKKRLAAGQVWAVYCGKDEMPRQYIRVNGMNSESRVCGTVLEPMPVLDHEINWQKENLPIACGIFKVSGKSINLDISLFCSLVQCQKSSSCESFYRILPQKGEIWAVYKNWNAKWKRCDYENCQFQIVQVLFVAEDGVKVARLREVNGYLTFFHKLQYEGFDLTYTVPKEDKLSFSHRVPAFRVPGIVKYGIPEDSSHLEPDALPPRRRKYYLHTTLAQLQAVA >OMO55547 pep supercontig:CCACVL1_1.0:contig14671:81718:83235:-1 gene:CCACVL1_27203 transcript:OMO55547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLGVFPDKYTFPCLLKGCCDIREDLEVRKIHGLVFKLGLHLDLFVGSGLVKCYLKFLFMEDAEKVFDELLVRDVVLWNAMVNGYAQIGRFHEALGIFRKMCSEAVEISSFTVTGVLSVFAMMGDVVNGRAIHAVVVKMGYGSSIAVSNALIDMYGKCKCVCEALKTFEIMDERDIFSWNSIIYVHEQCGDHDGTLRLFRKMLREGIRPDLITLTTVLPSCTQMAALIHGKEIHSYMIINGLLKDRNFEDIDDVLINNGIMDMYAKCGSMREAHLVFDKMSHKDVASWNILIMGYGMHGYGSEALHMFSLMCESEFKPNEVTFVGVLSACSHAGFVNLGHQFLREIESKYGVVPTIEHYTCVVDMLGRAGQIEKAYQLALTSPSEANPIVWRALLAACQLHGNSDKAEFVAKHVFQHDPKHCGNYVLMSNVYVAAGKYEEGLDVRNMMKQQNVRKSPGCSWIELMNGIHAFINGDRMHPRSDCIYAELHSLTTLLHEHEYVPDF >OMO55543 pep supercontig:CCACVL1_1.0:contig14671:41456:51995:-1 gene:CCACVL1_27199 transcript:OMO55543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MDTVADNHSPSSSSSSDVDGTPRGATSAVHRALQLIQSDDSDSKLQAAKEIRRLTKTSQRCRRLLADAVVPLVSMLRLDSPESHHESALLALLNLAVKDEKNKISIVEAGALEPVISFLHNENLNLQEYATAALLTLSASVNNKPIIGESGAIPLLVDILRHGSTQAKVDSVTALSNLSTHPENFTIILGTSPIPPIVNLLITCKKSSKTAEKCCSLIESLVGFDEGRTSLTAEEGGVLAVVEVLENGTLQAREHAVGALLTMCESDRCKYREPILREGVIPGLLELTVQGTPKSQTKAQTLLRLLRDSPYPRSELQPDTLENIVCNIISQIDGDDQSSKAKKMLAEMVQLIAEIVGTYFVIFTGCGAVAVNKIYGSVTFPGICVTWGLIVMVMIYSLGHISGAHFNPAVTITLAIFRRFPLKQLMGSILASGTLALVFDITPSAYFGTVPVGSNGQSLTVEIIISFLLMFVISGATTDNRGVGELGGIAVGMTIMLNVFVAGPVSGASMNPARSIGPALVKHVYKGLWVYIVGPIIGTFAGAFTYNLMSSKVDLKKMRPMILKRIEDRAKDYPVPGMIPVAQEVLEARALLIRGVSILVKLFPVHACKRIGNSRVHEWVSGGVKDILVPVEAFHLRNMFQGVIKHDQRFDFDRVPAIVELCWQAGAHINDETLNSGSLTADEDYGSVSGVESLSHEDLRVIANGTLKAWETLRTGVQRLLLVYPTKVCKHCSEVHVGPSGHRARLCGVFRYQSWRGAHFWKNANVDDLVPPNNVWRRRPQDPVVLLDEGRNYYGHAPAVVDLCSQAGAVVPTKYLCMMKVNGLTAPRSS >OMO55540 pep supercontig:CCACVL1_1.0:contig14671:18266:18700:1 gene:CCACVL1_27196 transcript:OMO55540 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nutrient reservoir MVPHSHQKDLHQSLLLTLIFLFVISISLPIPTNASAKKLQEIVPANDEIKCGTCPCVNPCGQQTLPPPPPPPPPPPPPRFTYYCPPVQLPPPPPRFYYVTGVPGQLYTTEPDDRWTYFSSGGRNVGGILLLLFGYGLLGLFTIW >OMO55542 pep supercontig:CCACVL1_1.0:contig14671:40400:40687:1 gene:CCACVL1_27198 transcript:OMO55542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVVEILTGSLFNVEVGDDATLGDLKKEIATQQSLPEDRMMLMIGDDESRVVIDQEDGAALVDCGVQDGCHVYLFFNLPLEPPEGESDFRHVFT >OMO55539 pep supercontig:CCACVL1_1.0:contig14671:14054:14284:-1 gene:CCACVL1_27194 transcript:OMO55539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIGIPICVQCGTRSNPCRCKVVGPTLGFLAFAAAAIVEWPIGAFVYCFKHMKGRRIMAHPATVVYPSVTKAIPI >OMO55538 pep supercontig:CCACVL1_1.0:contig14671:10573:10995:-1 gene:CCACVL1_27193 transcript:OMO55538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLLSIFLSALHKNRGFQRKENNTNKQGKRIMSSEKKLLSKINSNLGSKAQLMVKLVSWKKVQASAEEEEEEEHNYDQINGTEEAVWRKKIMMGERCRPLDFSGTILYDCYGNLLPSESTTTRQGDHKSKNKVILLGK >OMO55544 pep supercontig:CCACVL1_1.0:contig14671:54351:54428:1 gene:CCACVL1_27200 transcript:OMO55544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEDTLDRSRQSSSPPLSGGDDEN >OMO55548 pep supercontig:CCACVL1_1.0:contig14671:84541:86001:1 gene:CCACVL1_27204 transcript:OMO55548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDKRRSHSHRSPSPPPQKPRTEKLPNFPSYLTVPNLHPKVKLICEIISTTPSSAVENLLEDTGLRITQPDVETVLKFSYSFPSQAVKFFRWSGHKLQHQHSPYSWNLVVDLLGKNGFFDAMWDAVQSMKKEGKVGDVRGAELIWDAMVGKVGLMPDTQMYNSMIDLYCYKNNSDMAKKMLDEMIYTGAFPDLQSYNVIFHSFIKNRRLKEASVLFNEMVKNECYPSKANCIAAVKLFIDIGDPYVAIKVWKFMIENYDSDLEDSGNILVVGLLDVRMLPEAVKYAGGMIEKGIKVTSATLTRLKQSLGRANKIKVYEELLRKWKSY >OMO55546 pep supercontig:CCACVL1_1.0:contig14671:73973:78017:-1 gene:CCACVL1_27202 transcript:OMO55546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein MENPIPASLQIEACNQPSAPNPSTSNNPSTSRTQEPLMHKNRLNTYAQRLSMEHPVYQTVNEGPPHVPRFRSTVVVDGISYTSVNTFSHRKTAEQDAAKLALESIAKKIKDEGWPLIREDTVFCKSILHEYAVKMNLEMPTYSTIQPEVSVPYFVSSLVFNGVTYNGNCGRNKKEAEQLAARAVLRSLLDDPRYGTFLSEIIKSKTKMFNAVNKVKDLSFSRPASVPTEANMLIHKNTEVETAAVTDSTSTGAILQPSSEAKPPHHELIHETKEVETAVVPDRVPTTAILQPMSAKPPHHEFRIRKLEQETECVNLPITFVPSAFGQSSDVGQSSSSKRRKKKKRAKLHNDTQLAVASIPLNQVESSQWPAVNFKQEASC >OMO55537 pep supercontig:CCACVL1_1.0:contig14671:7579:9666:1 gene:CCACVL1_27192 transcript:OMO55537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRFISSLLLLCLLLLTCDHGDAVKDLKEKLSSINFNESCDQVDEEKTRYEVDAVEEKVALLERKYKPGYVVDEVDEKITRLENKYKPGYAVNEDEKIVLLENKYKPGYAVNEVDEKIARLESKYKPGYAVNEDEKITLLERKYKPGYAVDEAEEKITRLERKYKPGYAVDEADEKIAHLESKYKPGYAVNEDEKIVLLERKYNPGYAVGEANEKITRLESKYKPGYAVKEDEKIANLESKYKPGYVVKEVKGDNSTPLSSIIVEHHYTKHNHMEHVHRLDDDIGSEDVGVFTIEDIRAFHVGRKLSTFFAIRNPSLYPGFVPRQVADSIPFSSPDIPKILQFFSISPGSQKGKAIREAIKTCEIEPVRGETKICATSSEFMLEFLKNAFGENDFDFIRTSHPTMKRPILQNYTILESPREIESPRKVACHPLPYLYTIYMCHYDDTETKVFNVPLVGDNGDKVDAIIVCHMDTSAWSPDHVALTLLGAKPGISVCHALPEAHAVWIQSSPKKVAVM >OMO55541 pep supercontig:CCACVL1_1.0:contig14671:26386:38929:-1 gene:CCACVL1_27197 transcript:OMO55541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLESTIKEELCMEIDPPFKENVATAEDWRKALNKVVPAVVVLRTTACRAFDTEAAGASYATGFVVDKKRGIILTNRHVVKPGPVVAEAMFVNREEITVHPIYRDPVHDFGFFRYNPDAIQFLDYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLERVVRALNFLRKGGDAYMSKWEAVSIPRGTLQATFLHKGFDEIRRLGLRSDTEQMARQASAQGETGMLVVDSVVPGGPAHNHLEPGDVLVRVNGEVITQFLKLETLLDDSVEQKIELQIERGGTPLSVELGVQDLHSITPAHFLEVSGAVIHPLSYQQARNFRFHCGLVYVSEPGYMLFRAGVPRHAIIKKFAGEEISKLEDFITVLSKLSRGARVPLEYISYLDRHRRKSVLVTVDRHEWYAPPQIYTRDDSTGLWTTKPAFQPESTLPLSGVNGQATHMEHLHHDNHQQLTDGVTSMETSCEHATGELHSRDETGIGSKKRRVEEDMSSDGVVADCSLNETGEVKLEDTTATENAVLRDYQGATAAAANASIAERVIEPTLVMFEVHVPPSCMLDGVHSQHFFGTGVIIYHSNSMGLVAVDKNTVAISSSDVMLSFAAYPIEIPGEVVFLHPVHNYALVAYDPSALGPIGASVVRAAELLPEPALRRGDSVYLVGLSRSLQATSRKSVVTNPCAALNIGSADCPRYRATNMEVIELDTDFGSTFSGVLTDEQGRVQAIWGSFSTQLKFGCNTSEDHQFVRGIPVYALSQVLDKIISGANGPPLLINGVKRPMPLVRILEVELYPTLLSKARSFGLSDDWIQALVKKDPVRRQVLRVKGCLAGSKAEKLLEQGDMVLAVNKEPVTCFRDIENVCQALDNGDNSGNLNMTIFRQGREVDLLVGTDVRDGNGTTRVINWCGCIVQDPHPAVHALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKPTPDLEAFVNVTKELEHGQFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFDPEAAIWRRRMIKALASL >OMO55545 pep supercontig:CCACVL1_1.0:contig14671:69678:71013:-1 gene:CCACVL1_27201 transcript:OMO55545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAKEPISFNENLFDHHQEALEKNSGGIVKNFSMTSSSSFSSPSSVSSEGGFVFQGVNYHQPQESDHNNSLISFMHGGNNGSLLSFEQNERVVLQNTYLKTSSCEKDDYGIWDQQQDYNQWNQKSISTDPRLVEDFNCFETASNFNSMASATKDHNHGDWLYSEVAVVADSVQESGSPESPGLKRPNKGENTQALKKQCTNEAKKAKTTKSGPSKDPQSIAAKNRRERISERLKILQDLVPNGSKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPVQGGKAPDISQVREAIDAILSSQRDRNSSSK >OMO61258 pep supercontig:CCACVL1_1.0:contig13552:5540:5617:-1 gene:CCACVL1_23640 transcript:OMO61258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEVVEAPYYSHEASNPTSKASPARL >OMP12021 pep supercontig:CCACVL1_1.0:contig00559:116:747:1 gene:CCACVL1_00176 transcript:OMP12021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoesterase MAAEASSATSFPIKTVVVLVQENRSFDHMLGWFKSLNPEIDGVTGSESNFVSTSDPNSNQVTFKDSAGYVDPDPDHSFQAIYEQVSGKTWDTNNPDPNPVITMNGFAQNAERTQAGLSETVMNGFKPDAVPVFKELVTEFAVCDRWFASLPASTQPNRLYVHSATSHGAMIFSVDIILQ >OMO62231 pep supercontig:CCACVL1_1.0:contig13304:35784:35960:1 gene:CCACVL1_22955 transcript:OMO62231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAFPASSSSSVGAWATIPSFPTSLQLQQLSTVNLFASIFSCASSWKETQKEIDDSD >OMO62229 pep supercontig:CCACVL1_1.0:contig13304:28529:30025:1 gene:CCACVL1_22953 transcript:OMO62229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGCGSSEDGRYVDSVTGRNSSICMTMLG >OMO62227 pep supercontig:CCACVL1_1.0:contig13304:8076:11805:-1 gene:CCACVL1_22951 transcript:OMO62227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSSFSKALQSWNAWQHKSGAAMAMSPPFSQASTKHQRSKTSLAIPHRLFDIQIAKPKPMTHLQPSTVVEFLGHSIEPSGTKLGFSNCPIVQSSLIFPSILSQFNVVQGNSAFSLVFCC >OMO62228 pep supercontig:CCACVL1_1.0:contig13304:12264:18381:1 gene:CCACVL1_22952 transcript:OMO62228 gene_biotype:protein_coding transcript_biotype:protein_coding description:plant intracellular ras group-related LRR 1 MAADVTGVAEETSPKSAPCSVLRTMGSRPNHESVDLAWSKLNEIDAALSKSLEELVLSS >OMO62230 pep supercontig:CCACVL1_1.0:contig13304:34370:34528:-1 gene:CCACVL1_22954 transcript:OMO62230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSIKARAPFQGARCHSLASTWMSMLVLGLGRQVSARRQVLGAVFMKLWGI >OMO95862 pep supercontig:CCACVL1_1.0:contig07593:11834:11893:1 gene:CCACVL1_05213 transcript:OMO95862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEKDISLQTSLEESSME >OMO95861 pep supercontig:CCACVL1_1.0:contig07593:3431:7524:1 gene:CCACVL1_05212 transcript:OMO95861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPRQSYSFSIRDLDYEKNDDLEESNYSKGFSSVTDSDTYSETHSEADNVVDCSNTGADQKEVDRVCKIIDELFGLDRNMEAVLDECRINLTHDLVIDVLERFRHARKPAFRFFCWAGQNPGFDHDSRTYNKMMNVLAKNRQFETMVAMLEEMGAEGVLTMETFVISMKAFAAAKERKKAVGIFELMKKYKYKVGVDTINCLLDSLGRVKLAKEAQTLFEKLRDRLLREMQEKGCPPDGRTYNALIKLLTGQRMPHDAMRVYEKMIQEGVQPTIHTFNMIIKSFFQTRNYDMGRAIWDEMSKRGICPDDNSYTVFIGGLISLGRSGEACKYFEEMMEKGMKAPQLDYNKFAADFSRAGKADILEDLAEKMKFSGKFDASNTFTRWAEMMKKRRRAIDSLYLGGQATAEEYTRLLLQCVRANDVDRAKRLQSHMDLHCFPPNDTFLHKSSPSLYAKFGKISDARNLFDKMPLKDVISWNAMLSAYAKSGSIENLTVGSLLCCDNYSYSISSFQSNERVERTKLESA >OMO95860 pep supercontig:CCACVL1_1.0:contig07593:2322:2405:-1 gene:CCACVL1_05211 transcript:OMO95860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLNKAKKEREQPDKRQDQKPKTEV >OMO94316 pep supercontig:CCACVL1_1.0:contig07918:13580:13829:-1 gene:CCACVL1_06060 transcript:OMO94316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARFSVEDVKRSNTRASSETSIDDWN >OMO94318 pep supercontig:CCACVL1_1.0:contig07918:59156:65388:-1 gene:CCACVL1_06062 transcript:OMO94318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MLSILIFKSMISPWRIIRHSEVKQSRLLATLSTWSPSTPLGFDIPEKVWSGKDPSYAHLKVFGCKAFLHVPKEQRSKLDSKATPCIFVGYGDFEKTEKTSWVVHDDDVILTTVPPRRATNGGDEQGTELSTDEPAIGNDEFDGDDDIAQPDAAGIEQGEQPPLPENTEPQLRRSTRGIFHPPVQLSKGKRPLKNKWVLKLKKDGNKLVRYKARLVVKGFTQKAGIDFDEIFSPVVKMSSIRVVLGLDSSLNLEIEQLDVKTAFFHGDLQKDIYMDQPEGFEVKGKEHMVYMLKNSLYGLKQAPRQWYKKFNSFMVSHLFTRTAADRCVYFRSFGNDFIVLLLYVDDMLIVGRDVELICKLKDDLSRSFDMKDLGPAKQILGMDIIRDRKAGKLRLSQEKSSIDSASGGAFIEKTPAEAWTLVKNMAANTQQFGSREDYSREGNIRRINEVSTHSTTLEQQLQKTNQQIAMLTDLFHVNFLSVLKVCRICSQGHYNDKCPTLEDKTQEVNAVGVQEAYQRKPEPYWRAEQPSQPIWKQRSTKNLIATKGTVSAITLRSRKDLEEPYPTHATQDEGEPSYTKEGELQVPVKEIKIEDEPILIKAIRDGGKDKETAAVKPKDKKEIHFPSRLKKSKPKQLCTNKKRLQGKVSAGANVSAMLQKSLPPMCKDPGTFSITCSIGRSVIENAMLDLGASLSLADGSMVHPKGVVENVLIKVEHFIFPIDIFVMDMEHSKDQSPLLLGRPFLRNSHTKIDVFNGSLTMEFEGDVIHPRISSQSPLKSNDFVYVVNSKWVEKGTIEEFSSTMPAQISKVRQRIASFQQRKDEKFYQAWDRFKMLCVNCPHHGITQHILITYFYQGLGVDDQILVDNINDIPLFDRTPDAAYEALKALSKAP >OMO94317 pep supercontig:CCACVL1_1.0:contig07918:20205:36419:1 gene:CCACVL1_06061 transcript:OMO94317 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MTPTMVCRLPRTASTVVCRPRRTARHTTVGAVLHTMVDTVLGGRDTTVDAVLGGRHTMVGAFLLGRHTTVVHRVDRGSSPESVMASASPESSSSSSSKEVPMKTEQEICIDNKELDGGRDSKEAAINDDSRAAVDRSAMNNGGAESSSAVRRSYSEVGALPVGTSSIEEMDSIHPKLEKSKTEAQRHRNILAQEAAQIFDNKISAQQKFCHVGGHKETYTEIDVTVDNNKRKSIWTKDLIFSETCVLGDVIKSYKPVQLKLLNRIATVKDDGTVEFEVPGDVEPHDLVVDQGDVYTEVAEEESLEADLQYIPPLQIVMLIVGTRGDVQPFIAIGKRLQDYGHRVRLATHSNFKEFVLTAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPIQRNQIKEIIYSLLPACKDPDPDSGIPFKADAIIANPPAYGHTHVAEALKVPLHIFFTMPWTPTSEFPHPLSRVRQPAGYRLSYQIVDSLIWLGIRDMINDVRKKKLKLRPVTYLSGSQGSDSDVPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLATNYEPPESLVKWLEAGTKPIYIGFGSLPVQEPEKMTQIIVDALEQTGQRGIINKGWGGLGNLAEPKDSVYLLDNVPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHARGVGPPPIPVDEFSLPKLIDAIKFMLDPKVKEKAVELAKAMENEDGVTGAVKAFFKHLPRKKPEADPSPETSSLFSISRCMEDIDHHDDEERVSPTNNNIEDGTTSRVFPCLFCSRKFYSSQALGGHQNAHKKERSAARKAKRASEYGVPSSSPPFPMVYAAATHHPLSFLHPPMYITAHAANLGRYFPNSSINQQFSDRFGSHGAARFENKLVFYGGSSNLNSNYKHQFEEEDQPSYLNWHRSIKCNGGLSRQLAMADNNHGGIAGASSDHMDKDQKLDLSLHL >OMO57265 pep supercontig:CCACVL1_1.0:contig14418:4919:6573:1 gene:CCACVL1_25870 transcript:OMO57265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQGQVEKEKPELSMFDLEIVSKIKAELTKYEFTGLV >OMO57264 pep supercontig:CCACVL1_1.0:contig14418:94:1430:-1 gene:CCACVL1_25869 transcript:OMO57264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDWFSSPSPSAQSLLKELLSHDKDNDPDTCLLKKACIICSIQDRIADGSIPEDILDSLLLVEQVDRTQGLAVSDSMRAAFTAVALHCTLSFLPLSWYSYYDAVRRIWGQRLQILEDAHSTHLIDPELAQWRTDVEAALWDSEASQRLLRLNTRRDALHHLRVYLKEARSLIKPAFLRLAFLAPKDPVTAAAQPIDGAQLTALDEGAQPTAPPGEGPQPTAPSDEGPQPTAFPEEDAQPTAPLDKSKRVLRVNSQKGYKLDASRRHNRGRIVINDLGDDKPSCSNSGSLSTAEVNKVQDALNSSIANLLDVVTDPLPDALKVAERVASVLEERNKNKDVHASSVNPAVDVPGTSLNPNTELARATGEI >OMO57266 pep supercontig:CCACVL1_1.0:contig14418:7443:9637:-1 gene:CCACVL1_25871 transcript:OMO57266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRREGREGPGQRQAAIEAAPDRLGSFNQTAINGLPKVGIGQALFGDGCLQLSDLCPLGAHIDDQVITLISKEFGRFLTPKG >OMO75305 pep supercontig:CCACVL1_1.0:contig11054:33586:38053:-1 gene:CCACVL1_16234 transcript:OMO75305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MSKSTEDLEEITSAGSQSAKIDVMSPFYLHASDNPGQIYVSDLLHDGNYGEWVNDMSNALFAKNKIGFVDGTIPRPEVDSPNLQHWMRCNAMVKGWLKSAMGKDVRGSVRYASTAREIWVDLEERFGKGSDPRAYEIRRAVTLLRQEKMSVSSYYTKLKGFYRTRCSQFSHCRNASVLVMHITWLRKMNNKNRSRQTANPLLRRRRSKCKEAKMEGTEDLERKTNQGVNIVKKVGHTKDQCYEIIGYPAARCGHCQKSGHTKDQCYEIIGYPAGWRKNLRDKKEKGGQTVNHRTFPKAAQVESEMTSIPGLTQAQLAKLVQFLNVDGESSKQTQRSTTSAVNMAGKIETDKSWVIDSGATDHITNNGELLAEIEKAIGGSPVTIPNGDKIPVKSVGKVKLPNGMKIDHVLNIPDFKCNLISVGRLTNDLNCALIFIADFCVIQDLPSRRLIGVGRLRDGLYYLEPVRNGGVAMSVNKGKDSSVWHRRLGHASNEKIQQIQLSCCEVVCENVLHCDSCLRAKQTRLPFSISGIKSVSCFDLIHCDIWGGYKTASSSGAHYFLTIVDDFSRGVWVYLMKYKSEVSHYLIMFCNMIATQFGKKVKQIRTDNGPEFQSNCMLDYYKEHGIVLQTSCTDTPQQNGIVERKHRHVLETARALRFQANLPIRFWGECVLTATYIINRLPSKVINNKTPFEMLFGKKPEYDHLRVFGCLVYAHDNSKRGDKFSERGKPCVFVGYPNGQKGQMIVIQEKQSLTAGGEYCRPVLNAANNDCEEVMTLPQVKGLGNAADRFIAEEIPETAAGDITAGVTASQETTVTESTAEEPVVSSAVPISGQSRVEVRRSARERTQPKRFDGFDVQLPPSTVPAQPALPSADSSVYPLSHYVSYDRIAHSHKAFLATITSHDEPKHFSQAVKHKHWREAKEKEIQALEENGTWDLVPLPQDKRAIDSKWVYKVKFKPNGEIERYKARLVAKGFTQIEGVDFHETFAPVAKLVTVRCLLAIAAKRRWEVHQLDASRNWYHKFTKALEDVGFRQSKADHSLFLYDKGETFLTALIYVDDVILAGNNGDKIQEIKSYLNDKFDIKDLGPLKYFLGIEVARSPAGIVLSQRKYVLDILEESGMQGCKPSAFPMEHNHKLRADSNGTIIDAAQYRRLVGRLLYLTVTRPDLTFAVNVLSQFVSAPRQEHMDAALRVLRYLKKAPGQGILLSAEGDLFLTAYCDADWGGCLTTRRSCTGYFITLGGSPISWRTKRQQVVSKSSAEAEYRAMAVTVSELLWLRWLLTDLQSPQTEPTPLFCDNQAALHITAKPVYHERTKHVEMDCYFVRERAQSREIAPRKISTGAQLADIFTKALGKDRFESLVFKLGVANLHALT >OMO75306 pep supercontig:CCACVL1_1.0:contig11054:44325:47674:-1 gene:CCACVL1_16235 transcript:OMO75306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 16 MTSCYKLKSFIITLLFLNLFRISVASIVSTGNFNDDFFVMWAPSHVNTSADGRERSLKLDEESGSGFASNQMFLFGRIDMQIKLVPGNSAGTVLAYYLASDQPNQDEIDFEFLGNVSGQPYIVQTNIYVDGFDHREERIYLWFDPTEDFHTYSILWNLYQIVFMVDSIPIRLYRNHADKGVPYPRWQPMGIKVSLWNGDSWATRGESALLVCNKKDSSSECDKFDPAKKPTITPVPRSQVLGKVRDFLGVMAEANKRLELDAKNNSQAYDIEVLNGNESEVIEMDLMLGVADLHTSEALAAAESAIAGNQPAIPLADSSNESESDDSSEDSDQNEESNNDGSDDTETSSHNKLEKSNTSEAARKNRSKKRPRIVELS >OMO95622 pep supercontig:CCACVL1_1.0:contig07641:84330:84887:1 gene:CCACVL1_05348 transcript:OMO95622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAVYRIKFSLVRVAWVGTLLGEKWANLG >OMO95623 pep supercontig:CCACVL1_1.0:contig07641:89768:99783:1 gene:CCACVL1_05349 transcript:OMO95623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKTHPMPRLFLSFSEVAAPLITQPPWPSLKLHRLQLSFLFKSCLSLLLSLTNPKPFFFLSFLAAPPLTCSQHHRLRRNQPRNSLKEREDLLLHVWDEESWQRTHMSYMINGAITAPMWHAT >OMO95624 pep supercontig:CCACVL1_1.0:contig07641:106727:115296:1 gene:CCACVL1_05350 transcript:OMO95624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTQIRTLTSNHDSTRSTRLNLDLRDPSIPTEKRKEGKVQVIKQERAGKREKETGRRRRQKEEAVVVKGE >OMO95620 pep supercontig:CCACVL1_1.0:contig07641:9997:11310:-1 gene:CCACVL1_05346 transcript:OMO95620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETDRAREVANVQRFSIRKLLEPAIREDNQSTPEYKRWHKDRVKDLVPPPLKDPHYPIGEESQDQLTHLIYEVERLRTGEVMQEILREQAEERAKWEKERKEISDNNLDLMIKYETKKKEKEELQKKLDEQIEKFEFRRITETDKISRVREWKVKAKSMEEERDQARANLEEEKEKNRILTAEGIRASTRVEHLRKSRARAKKRELRLEGDVSRKIKEIAALKKEVQEVQNHIIGLEKYWAEVLELEATKHEKNMEEMEEDHMRELGRHLAKIQFLGKHLLLAGCKIANMVNSWEECDDTLNDVLTEIGEGVGMARELRKQVEDVIGAVFPTGPYGQKLAACLQNVLDCLNVFIKKHDKEIVIVY >OMO95621 pep supercontig:CCACVL1_1.0:contig07641:55908:69592:-1 gene:CCACVL1_05347 transcript:OMO95621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCRSIPIQTSALGGNMNPIDLAIVVFGREALHAMYPVSNYYRIIL >OMO95625 pep supercontig:CCACVL1_1.0:contig07641:177572:181527:1 gene:CCACVL1_05352 transcript:OMO95625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MDPANPHFPPMEQLPQEARRLIFILEDFLQMSYPVVNDNIPAPILGRPIMAEITRLIIAYHFGTTIQSIRTQTGILEWIGLDHDDLPTTKRIVSQLQQPKILKALCDSGLANFRLPILNIDIQNPKTPVVNLQQSEHNFTIQSTDKVAYIFTASNIIQAKIGLRTEFNLILETLSYSVGFHFGRPDNLSELSTASVPFNYPIDVTILYYNVRGANLASFRTHPGSLIVEYEPDILIMTETRMGNLEGHEIGAAIGCNQIVVVNSTNYSGALWLFSNMTAVSIEEILRTRASLTINLLGVQKFSDMRERQIALLTDLFHANFSNVPRVCATLEDNKQGVNAVGLQGAYQRKPEPYWRPEQPSQQYGNQGNFQGRPNFQRPNFQTSQAIELQQIKEAMEMMRKQISQLASDLSDLKTQGQQRIPSQPKVPPREDVSAISLRSGKELQDPYPNLTAHQQEEGEPNYTKEDKLQVPAEIKIEDEPNNKGAAPIEDSNKHDAVIQPKGKGVCDFIKPKTDSNLIPFQSRLKKSKKEDDDQDILEIFRKVEVNIPLLDAIQQILNYAKFLKQLCTNKKRLQGKVSAGANVFAVLQKSLPPKCKDPADGSLVYPKGVLENILIKVEHLIFPIDIFIMDMEYSKDQCPLLLGRPFLKTSHTKIDVFNGSLTMEFDGEVIHPRISSQVPLKSNNFVYVISSKFVKKGAIEDSSSTKPDRISKGRQRIMDIRQLYNETFHQFWRRFKQLCVDCPDHGFKEEILIQFFYGGLGLKDRVLVDSFNESSTSHEKRDRAKETKKEPEVNNKEVQPPLKIDQPSNSTKKHDIDLEHRAKVASILQRKVENFPQFWQRFKKLCAAHPHHGYDQRRLVQIFYQGLRASDRLSVDTLIYIPLFDHNTADIYEIMENFAQMITNTSKEAAQGLSPVKPVPHKPVCPAATWPSTSHGRPTPAKASQACATSNLAAPNPATPEPHVRTPPADAVPPARSSQATAAPSVPPAQPCSALSASSAHLPMSCHAMSALAVPPARSVPVMQASCRDTTAPCPALSAHHARPLPSHPRTIPSHYEPLPRHPKSQPYHRRTL >OMP12400 pep supercontig:CCACVL1_1.0:contig00014:13475:13582:-1 gene:CCACVL1_00010 transcript:OMP12400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPFSMNEIAFDPTCINTDILLGADRIPHQIQYL >OMP12399 pep supercontig:CCACVL1_1.0:contig00014:7948:8604:1 gene:CCACVL1_00009 transcript:OMP12399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYVDEEEVWKCAKHPSKRRRTGICPVCLRDKLASLCPDCAHARPCACSATTSSSSSSSSSFSRFSAPAAGDMSGVGSVGRVSNLIEIEPAFRRSRSLAIPFLRSKPESLSDRCDLAGNNKSKTPSFWSMFRAGNKSKRYDGEEHQREKDKAAEEERRRMMKKSRSVAVTSHSGIGDLKSSPSTKGKGWYFPSPMKVFRQSRVSKLVVQEHSPLYRG >OMP12398 pep supercontig:CCACVL1_1.0:contig00014:3169:3312:-1 gene:CCACVL1_00008 transcript:OMP12398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWHSTEPHPKPPFKMGSTTDLPPVAPPSLSLMHIISHCHISIHLQ >OMP12397 pep supercontig:CCACVL1_1.0:contig00014:688:1404:-1 gene:CCACVL1_00007 transcript:OMP12397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIMAWKPRPISPLSEHTYAHDSGKTSYQSKEDFQSPQLFSLFFKFLLLVLAFSALVLVGIYIKDGKDGPMTRPKVFLQFDSFSVIDFKVMESQLVANWTARFIFINNKNNSEISIEPFELLAFYERTNLVSCASMAEPLVLRTKYQSVIEVEFSPKSCNKLKLPMINGEVLEEMGEDLNKGKISLSLNLEANCKGRIWGHCGVKLEQSCGLDLQFNSTKQSAKDCRIPLPACLIHH >OMP12401 pep supercontig:CCACVL1_1.0:contig00014:16683:17198:1 gene:CCACVL1_00011 transcript:OMP12401 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG2-like protein MAAEVTKALIFTYGTLKRGHGNHYLIEDLICKKDAIFMGAHVTHQPHPLVIGPYGIPYLINLPGHGHRVKGELYSVSTRGLARLDELEGISIGHYERLPIQVYEEDKGDGLVAAEAYFAHRSFGERLWEKKGKVGLNEFGEEDGKGYVSKNDRPQGYNIINELTSFCNNPS >OMO88374 pep supercontig:CCACVL1_1.0:contig09030:23608:24054:-1 gene:CCACVL1_08435 transcript:OMO88374 gene_biotype:protein_coding transcript_biotype:protein_coding description:upstream activation factor subunit spp27 MATSRVFVKLGKSLIAATKTSSASFASSAPNVGGGLKRAYPISPQLGKFLGNSSAPPVLLAPLPSRRFGTTSNSTISR >OMO88377 pep supercontig:CCACVL1_1.0:contig09030:45944:49028:-1 gene:CCACVL1_08438 transcript:OMO88377 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MPLNCIAPKPELSSCGPVEALSETPPDADNSSQVGPGLYTHNRAVLAFPLQQHNSQLPLRLALFPITLKFEEVVYKVKLEQQRGSCLGGWITREKTILNGITGVVCPGEILAMLGPSGSGKTTLLTALGGRLTGKLSGKITYNGHPFSGTIKRRTGFVAQDDVFYPHLTVTETLFFTALLRLPNSLSRDEKLQHVERVIAELGLTRCRNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAQRILTTIKRLASGGRTVVTTIHQPSSRLYHMFDKVVLLSEGSPIYYGSASAALDYFSSIGFSTSMTVNPADLLLDLANGIGPDFKQSVDQVENTEQEQKSVKDALISAYEKNISTRLKAELCNSDLNNYANTKEACARNDKSEQWCTSWWHQFKVLLQRGVRERRYEAFNRLRIFQVISVAFLGGLLWWHTPTSHISDHNALLFFFSVFWGFYPLYNAVFTFPQERRMLIKERSSGMYRLSSYFLARTFGDLPLELALPTAFVFIIYWMGGLKPDPVAFILSLLVVLYNVLVSQSLGLAIGAILMDIKQATTLASVTSLVFLIAGGYYVQQIPAFIVWLKYLSYSYYCYKLLLGVQYSEDDYYECSKGELCRVGDLPAIKSMGLNHLWIDVCIMALMLVGYRLVAYMALHRVRLK >OMO88375 pep supercontig:CCACVL1_1.0:contig09030:25077:25624:-1 gene:CCACVL1_08436 transcript:OMO88375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVGRVILRANGKGKGVPAASSRLGKFLGIPEPPRCDTSKLISTFIKINNPRNPGMKKDGRCMEKLKALLEGKDRVGAPEIAKLLSQQFVNSA >OMO88373 pep supercontig:CCACVL1_1.0:contig09030:15817:23273:1 gene:CCACVL1_08434 transcript:OMO88373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTNSGSHSNNRVPPLFITIHLQTNSKSPIRTFAALASVICFGF >OMO88376 pep supercontig:CCACVL1_1.0:contig09030:26738:29349:-1 gene:CCACVL1_08437 transcript:OMO88376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIGEEGGGIRLSKRFGDSKAGSSGEVDYKTTAGTAWSHSFLNQKPWHPLSYPNQRRKWIAEQTHAQRQRRAEEVAREYAQEQDFFRQTALISKKDKEKVEMMKAVSFMYVRPPGYNAESAKAAEVADERKRTDQNTSDYQSTDEPSTAMATDPLPGRDEQKKKPRPKDVFGRPLPTEEEFEILKNAPRLETGAPARVKPFGVEVRNVKCLRCGNYGHQSGDRECPLKDVIMPNEESRLKRDDPLTAIMAQMDPTEPLKWELKQKPGMSPPRGGFQPDDPNQQIVAEDIFDEYGGFLSGGNIPDLLMNSSYKPKKRKSSKKSKHRRRLSPSSRELEVPDQDGSSDGSELDRHQRRRRRKHSYSSEDSDSDSQYRVKESREKYSYTTEDSDPDEDHKGERCRQKHTYSSEEFDRHHRKSKHKHSYSSEDSDCGRHDQRRN >OMO88372 pep supercontig:CCACVL1_1.0:contig09030:9438:9650:1 gene:CCACVL1_08433 transcript:OMO88372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAPSDGRNLRSERHKGEHGKPNCSFSGSYEFRRPVITAKQGGNDGG >OMO76213 pep supercontig:CCACVL1_1.0:contig10917:97401:101141:-1 gene:CCACVL1_15826 transcript:OMO76213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1-like protein MAGRIASVFASLKEFPFVRYRAAKSIDATTPTTVSDLIPTKLAARVWNSLMRYKETVPNFPQTETCELLILDRSIDQISPVIHEWFYDAMCHDLLNMEGNNYVQEANERLHDKMTNFIAQYKATQHCGTRFGTDLSTRELQKIVQVLPQYSEEIDKLSLHIEIAGKINKIIRDMRLKELGQLEEDLTFGVAGMKDVIKFLKMNADATNENKLRLLMITAAIYPEEFDGEKGLNLMKLAKLSAEDMNAVYNMILLGGSPHAKKSFSGIFSLNFDFRKKTHGARKERTDVEQRWQLSRFCPVIEELIEKLAKGELSNKEYPCLNDPVTSCDSSPASACSMRTRPTWARHRDYTGDSVPRHGSSDSRKMGRRIFVFIVGGATRSEKMKQLSVDGLTPDYL >OMO76218 pep supercontig:CCACVL1_1.0:contig10917:136232:148030:1 gene:CCACVL1_15831 transcript:OMO76218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKLEGPSAPTTRRDPYEVLCVSRDSTDQEIKTAYRKLALKYHPDKNGSNPEASEHFKEVAYSYSILSDPEKRRQYDTAGFEAVEDTMDMEVDLSSLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVSGKVEKQCAHFFGVTISEEQAESGIVVRVTSTAQSKFKLLYFEQDINGGYGLALQEDSEKTGKVTSAGMYFLHFQVYRMDSTVNALAIAKDPESAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTATYTIEALCAKSYEDTTEKLKDIEAQILRKRNELRQFETEYRKALARFQEVTNRYSQEKQSVDELLKQRDGIHATFTVTKTPISVGVNLSNGSSSSKVPADAESPYEDGSSDGKDKSGKKKWFNLNLKGSDKKLGLALLDFRAKIVSDPYGAFANWNCNDSTPCMWFGVHCVDSKVRMLDLSGLSLEGTLAPELGKLSDLRSLVLYKNRFSGAIPKEFGELKKLELLDLRKNNLGGTIPAAIGSMLSLRRLLLCDNKFEGSIPSDLGRLNLLSELQFDENLLSMPATGISCVNRKFGLCIWQSSLKQMNRAESLLIPIKGALIHYLNALPLPLFKLQKDSLDEHQHSCCGDAPGSSEQQMAHNIRNAVPFARRRLLEQAKNLEAIAPAESPTVQIIALPTTRSSGTFPAVPQTKKQPRLAEPPLQGTNSKPDDNSTETQAPQKSSASGNLWKYLIIIPCVVALLAIVVMVIMCRKRAAKSIGPWKTGLSGQLQKAFITGVPKLNRSELETACEDFSNIIASIGGCTIYKGTLSSGVEIAVAAICAPSSKEWSKNLEMAYRRKIDALSRLNHKNYVNLIGFCEEDEPFSRMMVFEYAPNGTLYEHLHVKEMEHLDWNTRIRIAMGVAYCLQHIHHDLNPPLVHGGLTSQWIFLTDDYAAKVAEPFDYETSLESKTSGDGETDELPPVADAEVNVYSFGILLLELISGKLPYSDEQGPLEKWAAQYMNDKRSISYMIDPSLKSFKNDELDVICEVIQDCIQVDPRQRPTMKDVISKLRTVINIPPEQATPRLSPLWWAELEILSVEAT >OMO76229 pep supercontig:CCACVL1_1.0:contig10917:194022:197522:1 gene:CCACVL1_15842 transcript:OMO76229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MAAPKPEEISHPPMDQLQGLEYCIDSNPSWGEAIALGFQHYILALGTAVMIPSFLVPLMGGSDDDKVRVVQTLLFVEGINTLLQTLFGTRLPTVIGGSYAFMVPIISIIHDSSLMSIEDNHVRFLNTMRAVQGALIVASSIQIILGYSQMWAICSRFFSPLGMVPVIALAGFGLFDRGFPVVGRCVEIGIPMLILFIAFSQYLKNFLTKQIPILERFALLISITVIWAYAHLLTASGAYKHRPELTQLNCRTDKANLISSAPWIKIPYPLQWGAPTFDAGHAFGMMAAVLVSLIESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILLDGLFGTLSGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPFTIFAAVYCVLFGLVASVGLSFLQFTNMNSMRNLFIVGVALFLGLSVPEYFREYTAKALHGPAHTKGEWFNDFLNTIFFSSPTVALIVAVFLDNTLDYKDSARDRGMPWWVKFRTFKGDSRNEEFYTLPFNLNRFFPPS >OMO76204 pep supercontig:CCACVL1_1.0:contig10917:44131:45450:1 gene:CCACVL1_15817 transcript:OMO76204 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MPTCLLHSFILLVIVFCYLNVANATVPTSRTFKYINEGDLGEYYVEYFADYRALPIFNLPFQLCFYNTTPNAFTLALRMGSRRSESLMRWVWEANRGRPVGENATLTFGKDGNLVLADADGTVAWQTGTANKGVVGLNLLGNGNLVLYDKKGKYIWQSFDHPTDTLLVGQGLRSNGPNKLVSRTSIADGSEGPYSFVMEQRYLKMYYKTKNSPTPLMYYRSDEFGNGQGSIANLKFYCYPETEQGYAFELRFTFDMNNSTSSGTYILARPKYNSTYSMLRVESDGNLKIYTYNEHVYWGTWENTYKLFDGDDHESLCQLPKRCGSLGICEDNQCVACPKPKGLLGWSKSCAPPVLPSCKTGGSNVGYYKVVGVEHFTNAYTEGIGPMKLNDCRVKCSNDCGCLGFFYREESSKCLQVPELGTLVKVSNSSHVAYIKMPK >OMO76221 pep supercontig:CCACVL1_1.0:contig10917:153613:154380:1 gene:CCACVL1_15834 transcript:OMO76221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNNQRSKCCFDEWLKTQEQQLSELLEAQNRQENEVESQLPDIILNGLVNRGNLAKISPNQLRKINDLHMKTIREEERLSNELASLQENIADQPIAMIAKMMSGVGESSSEIDRALDQHETALANIMQEVDKLRLSTLKELLGILTPVQGVDILAAGKKLHLCIHQWCKTRDQKHGRN >OMO76230 pep supercontig:CCACVL1_1.0:contig10917:198107:201400:-1 gene:CCACVL1_15843 transcript:OMO76230 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MGKQGSARSPRPMSQNSNNSSLFRMKDYHIIRSSSESLGASGIDPSIGRRILGGENYWNPKLVLLHGLKEDSGKLIPPCKGVYGGKRHTWFRRNVKSLAFLFLLMAFFFLLDSLMVSIFGSINFHSSSTTTNSRDPEAKGDGLGYIQEERPTVQMYGRLLNLAFSALAEKEFKQDNLNYWKEPYPQASKWKPCADRKTSTSLGKPEKSNGYIMVSANGGLNQQRVAICNAVAVASLLNATLVLPKFLYSNVWKDPSQFGDIYQEDYFMRILKDDVDIVKELPPHLKALDIEAIGSLITDSDIEKEAKPDDYVRNVLPILLQNRVVHFLGFGNRLGFDPLPPDLQKLRCKCNFHALKFVPKIQEVGSLLIKRIRKHYAAKRQLDKQLLGTFLPSISSKEHNAARGQSKYLALHLRFEEDMVAYSLCDFGGGKHEKRELQAYREVHFPLLIERLKTSKPVPPAELRKLGRCPLTPEEAALVLAALGFKRETYIYLAGSHIYGGSSRMQPFTSLYPNLVTKETLLTPSELAPFRNFSSQLAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGDGQAPTLRPNKKRLAAILSENSTIEWNVFEDRVRKMIEEGQRVRVRGSGRSIYRQPRCPECMCRSQ >OMO76198 pep supercontig:CCACVL1_1.0:contig10917:4341:6502:1 gene:CCACVL1_15811 transcript:OMO76198 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 protein MDLSKERENLVYNAKLSEQAERFDDMVSAMKKLAELDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEESKGNEQNVRQIKGYRQKVESELSDICKDIMSVINEHLIPSCLGGESTVFYYKMKGDYYRYLAEFKMGDERKEAADQSMKAYQSATTTAEAELSPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDSLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGEEAQKLGAGKAGEGEE >OMO76226 pep supercontig:CCACVL1_1.0:contig10917:170712:173128:1 gene:CCACVL1_15839 transcript:OMO76226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFGFGRLLLLFSLVVAVQSTCNSTDYGLVSKAFKSVSGFNISWLKSHDSNCSYPPIKIEKSGPFSFETESGSSWVADIKEPTSAPVIMSSKPLMNLTFKDLIAATSHFGKESVLAEGSCGPLYRAILPGDLHVAIKVLEHARDVDHDDAVAIFEDLSRLKHPNLLPLAGYCIAGKEKLVLYEFMANGDLHRWLHELPTGAPNVEDWSTDTWENHNGAGSQTSSPEKTNWLTRHRIAVGVARGLAYLHHVGSCHGHLVASNILLSDNLEPRISDVGIRNIGSKKEENDVGNDIYCFGVVLMELLTGKQGTEENVKRVRKSVKEGNGVNVLDSRLRLGGESESEMVESLRVGYLCTAESPGKRPTMQQVLGLLKDIHPAVDFN >OMO76210 pep supercontig:CCACVL1_1.0:contig10917:83829:84443:-1 gene:CCACVL1_15823 transcript:OMO76210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTIRCCISCILPCGALDVIRIVHSNGRVEEISGTVKASEIMKAYPKHVLKKPSSPSDDGMVPKIVVVPPDAELQRGKIYFLMPVPSAPEKTRSKSSSTTSSKKKRRDSNLDGNNNNIDKNSDGNSHSRHHRRQSRSMSNASKNNNAAAANNNTISMTNLLISDQYLSEILSEKLSTQRDRRRGRVGVWRPHLESISETPNDG >OMO76212 pep supercontig:CCACVL1_1.0:contig10917:93436:95472:1 gene:CCACVL1_15825 transcript:OMO76212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MAATSSNVHFHDIPDVILCNIFSLVSDTRSRNAMSLVCLKWHLLERSTRISLTLRGNIHVFAKLPLLEDLVLDICHNVRDAWPALELLNSRCPRLKSLKLGQFHGICNSKPDGIALCQGLESLSIKNSADLTDEALVSISLGCPRLSKFEILGCKRITGTGIWKLTNVLRKTLVDVKISYCKNLNAVSSLQALEPIRDRIERLHIDCVWQSVEQLTGMAGSSSKRDTKKKCKYNINIGNNENLLFSSRTWKKLQYLSLWIADIDVNQRSLLLPAAGLLAQCESLRKLFIHGTANEHFMMFLLRIPTLRDVQLREDYYPAPENDTSTEMRVVSCSRFEDALNSRQVPD >OMO76197 pep supercontig:CCACVL1_1.0:contig10917:409:3368:1 gene:CCACVL1_15810 transcript:OMO76197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASLIQAGCGYSPRFSSNNNNNHSSFRTGSFGPLSSSPSSSCSCSSSSSQNLNFSSGTSNNWKQQGLSAQAMSTFTQGNFASSKSIGNGKNDPDHLLVLVHGILASPSDWTYVEAELKRRLGRNFLIYASSCNTYTKTFTGVDGAGKRLADEILQVAKKTESLKRISILAHSLGGLFARYAIGVLYSENDSSCIRSDNAVDSSEGNLQTSCPSRRGTIAGLEPVSFITLATPHLGVRGRKQLPFLLGVPFLEKLAPPIAPFLVGRTGKQLFLTDGKPNRPPLLLRMASDCEDGKFLSALGAFRCRTAYANVSYDHMVGWRTSSIRRETELVKPPLRSLDGYKHVVDVDYCPPVSSDGPNFPPEAVKAKEAAQNEPNVQNTVEYHELMEEEMIQGLQKLGWKKVDVSFHSALWPFFAHNNIHVKNEWFHNAGAGVVAHVADSLKQQESSPSFLSASL >OMO76208 pep supercontig:CCACVL1_1.0:contig10917:75168:76088:-1 gene:CCACVL1_15821 transcript:OMO76208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLMDSLTPSTTPTPPSSTPHSRPLPVREDCWSEEATSTLVDAWGRRYLELNRGNLRQKDWQDVADAVNALHGHTKKTHRTDVQCKNRIDTIKKKYKIEKARVTSSNGTQASSWPFFERLDSLIGSSFSAKKPSPSPKLSPKPSPRLSPRIPGSPPVALPLPLPYRRTPASAAVVALPQKRAAADDGYFRRNYSAVAAAAAAAAAAESEEEEAEESEGEESEGEGDEKEGMRRLARAIERFGEVYERVEGEKLRQMIELEKQRMKFAKDLEVQRMRMFMDTQVQLERVKRGKRSSGSSGEAYSSS >OMO76211 pep supercontig:CCACVL1_1.0:contig10917:88929:89882:1 gene:CCACVL1_15824 transcript:OMO76211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVFKTPFNGYSVKFSPFYESRLAVATSQNFGILGNGRVHVIDLSPTSPVINEIIAYDTADGVYDVAWSESHDSVLVAAIADGSIKIYDTALPPQSNPLRSFKEHAREVHGLDYNPTRRDSFLSASWDDSVKLWTLDRPASIRTFREHAYCVYSASWNPKHADVFASASGDCTGMDPRAA >OMO76227 pep supercontig:CCACVL1_1.0:contig10917:179172:182447:-1 gene:CCACVL1_15840 transcript:OMO76227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEREFVLTRQIGRVDEDPTNLAYTGRCTGESQMIIDFKEVLNDN >OMO76205 pep supercontig:CCACVL1_1.0:contig10917:47573:48907:1 gene:CCACVL1_15818 transcript:OMO76205 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MPCSNLHTSLLKLFTLLLFTSCSLIVANATVPTSRTFKYINQGDFGEYTVEYLADYRVTPIYTFPFTVCFYNTTPNAFTLALRMGTKRSESIMRWVWEANRGRPVRENATLTFGKDGNLVLADADGTVAWQTGTANKGVVGLDLLENGNLVLYDKKGKFIWQSFDHPTDTLLVGQALRSNGSNKLVSRTSISDGSEGPYSFVMEGRYYKLYYKTKNSPTPLLYYMSYEFGNGQGSLTNLKFYCNPETEQGYAFELGFTWDMSNSPSFGTHILARPKYNSTYSMLRVESDGNLKIYTYNENVDWGAWENTFKLFDGDDHESLCQLPQRCGSLGICDDNQCVSCPKPKGLFGWSKSCAPPVLPPCKSGGANVGYYKVVGVEHFTNAYTEGIGPMKLNDCRVKCSNDCGCLGFFYREESSKCLQVPELGTLVKVSNSSHVAYIKMPK >OMO76202 pep supercontig:CCACVL1_1.0:contig10917:27147:27665:-1 gene:CCACVL1_15815 transcript:OMO76202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MVMASQEGQGIKLFGTTITLHGRQQVKEEQINKGDVNNPSLDKRPDKIIPCPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPVGAGRRKAKPPGRGLGGFPDGCLYDGSSDHEVVQSQFELEGMVLAADEWHVAAAAANGGFRQVFPMKRRRISCSGGQPY >OMO76214 pep supercontig:CCACVL1_1.0:contig10917:111393:111883:1 gene:CCACVL1_15827 transcript:OMO76214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MMKQFHTPNLSSNQCGSSLVQTIDAPLSLVWSIIRRFEHPQAYKQFVKSCSLSFGDGDIGSVREVMHRLVNYRSTVTLHEIDEEEVSGGGKTVVVESYVVDVPDGSSKEDTCSFADMIIGCNLRSLARVTEKMAKL >OMO76207 pep supercontig:CCACVL1_1.0:contig10917:68368:68925:1 gene:CCACVL1_15820 transcript:OMO76207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTEIAKDSAEGSSRSSTGNHHQQPQQPPPLSRYESQKRRDWNTFGQYLKNQRPPVALSQCNCNHVLEFLRYLDQFGKTKVHLHGCVFFGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYEEHGGSPETNPFGNGAIRVYLREVRECQAKARGIPYKKKKKKKNQIKVPHDEAKSSKQQKQHAS >OMO76215 pep supercontig:CCACVL1_1.0:contig10917:113383:115387:1 gene:CCACVL1_15828 transcript:OMO76215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Granulin MGFQRIILALAFLILASLTCLSSSSLLPTEYSILEHDVDAFLSDERVVEIFQQWKEKHRKVYNHAEEAERRFQIFKRNLKYILERKSTKQGHRVGLNKFADLSNEEFRKAYLSKVKKPVNKGRTLSRNMRRNGQSCDAPSSLDWRNYGIVTGVKDQGSCGSCWAFSSTGAMEGINALVTGNLISLSEQELVDCDSTNYGCEGGYMDYAFEWVINNGGIDSEADYPYTGIDGTCNITKEETKVVSIDGYQDVEESDSALLCAVAQQPISVGIDASAIDFQLYTGGIYDGSCSDDPDDIDHAVLIVGYGADEEGEEYWIVKNSWGTSWGIEGYFYLKRGTNLPYGVCAVNAMASYPTKEASSPSPYPSPSVPPPPPPPPSPPPPPPSPSPSECGDFSYCPSDETCCCLFQFYDYCLIYGCCAYENAVCCTGTEYCCPSDYPICDVQEGLCLKNAGDYLGIEARKRKMAKHKLPWSKTEQTDKTYQPLQWKRNPFAAMR >OMO76206 pep supercontig:CCACVL1_1.0:contig10917:57684:59018:1 gene:CCACVL1_15819 transcript:OMO76206 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MRCSLLTFSIHSFTLLLIVLVSSFNVSNATVPSSRTFKYVNEGGFGEYAVEYFADYRTLLISNYPFQLCFYNTTPNAFTLALRMGRPGSEFFLRWVWEANRGRPVGEKATLTFGKDGNLVLADADGTVAWQTATANNGVVGLKLLPNGNLVLYDNKGKFIWQSFDHPTDTLLVGQGLRSNGPNKLVSRKSIADGSEGPYSFVMEGRYYKMYYKTKNNSPNPLLYYRSDEFGGAGSLANLKFYCNPETEQGYAFELGFLWDMNNSPSFGTHILSRPKYNSTYSMLRVESDGNLKIYTLNLNVEWGSWENTFKLFDGDDHESFCQLPQRCGSLGICEDNQCVACPKPKGLLGWSKSCAPPVLPPCKTGGANVGYYKVVGVEHFTNAYTEGVGPMKLNDCRVKCSNDCGCLGFFYREESSKCLQVPELGTLVKVSNSSHVAYIKMPK >OMO76199 pep supercontig:CCACVL1_1.0:contig10917:7476:8098:1 gene:CCACVL1_15812 transcript:OMO76199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MATSFKAFFFFASTLCLVSLALASHSSETFKVVGRVYCDNCRAQFVTKISKYISGAKVRLECRDREGGHLTYKSEGETDSTGTYELKVEGDHEEEVCEVALVKSSDPDCAEIDRENFLRKSARISLAKDSGISSDIRLANPLGFMVKERLPECTEVLRELGITPSGLV >OMO76225 pep supercontig:CCACVL1_1.0:contig10917:165114:165281:-1 gene:CCACVL1_15838 transcript:OMO76225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFDARIHVITIKEVTRGDHERTGGKLRGLFGKRTMKNGWAYAFFRSTALIYRV >OMO76222 pep supercontig:CCACVL1_1.0:contig10917:155093:155284:-1 gene:CCACVL1_15835 transcript:OMO76222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMENDRNDLRQRNDLVTRACLASFLVLALAGRPFDKISHIEEVLAHSWATNLSTLHSSLGSF >OMO76217 pep supercontig:CCACVL1_1.0:contig10917:123311:125071:-1 gene:CCACVL1_15830 transcript:OMO76217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGKEMLEEEDQKRMRVESFEEELQAENLSAVSSGGQKCSSFDLNEEASSEEDYDIDNIANYKEGDDEASVDEDNEKRAEESSIICQSNRQIQGRDDFRRMLQQVSPRQHFRMENGGIVLTARSESLENNIISPTSLFQRSLDLKATFPIRHQPNSFMSKARGQENGFPKLPGLHNIQGQQSNQIHGILMDTAMIRSIGPMRSGRFLEEKRWRPFKMLSNRWKVNATMGKLDTFGNTYSSTRPTEQANMGQFVSNKHDLQSKFNSCRPEFESSLWFELNRDKVLKDREWLPDLQLRIGIDQDKAHCKGTQEISTQLTLS >OMO76203 pep supercontig:CCACVL1_1.0:contig10917:33413:38807:-1 gene:CCACVL1_15816 transcript:OMO76203 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein SCAR3-like protein MPLVRAEVRNEYGLGQPELYKQVNREDPKAVLDGVAVAGLVGILRQLGDLAEFAAEVFHGLQELVMSTASRSHKLMSRVKRIEAALPPLEKAVLAQTSHIHFAYTAGSEWHPRIRNEKNHFIYNDLPRFIMDSYEVCRDPPRLHLLDKFDVGGPGSCLKRYSDPTYFKRASGSCIEEDAEKVPRDKKSRKSKKRRSSHRNGELSRGPSLSNRSGRMQFTSPIGNGRTSSSHTASTVDMALKSDIGERSNSFDSRTGSGYIECVFNQGSSRLPEEDEAKEVSSRLMQETDTLDSDFSYERTQEVDDHFSHSSSQEQIAPSSSCVTWDEKAEIVESKGGNFDRDRVPEMNFDVHVQEPGASDLGNGDKINNPFNDIDLPQSTSIQDQNDEIESEPDNYMDALNTIESESENDMECHTKREVKQCYEDDVVECQTKWEMQQNDDVNNVDHENGEDGMHVVMDDDSNHHPSIIECSTSSNDISNNEMSTSLHDPVPSENLASKEMPQISCKSSDPDHSPSTDPCTSDEIHNGSQLESVIIDPSSSAGSTVSDHHPSIIECSASSNVISNNGTSTSLLDPLPSEKFASDCMQDPVHDRILNSVSESQNSQTELSSIHSVGFWTNGGLLGLQPSKPPDFAASATAQSSATKSGEAFGPPDQTHMPMHDGPKGTSGTPVENAGSAEKVPVSSSEKTSLPTADLDADLEKPANSHRNFSLDSFNGGLSINTSLPQGKKHPVRPNIKATSIESDEENDDNSSRMFGLGHKLLVNGFRRKVSITHDGEADPASSMKSGLLEQRNGHQTISNQKLPWTAFNEQIGNGSPENSLTSSPPLEHMKLSFNPIDGIETSKLRLQFPDGNHYQESVRDMFPSFQLVPGPAVPMHNVASDSDDDTFCRSSPCMSDDCLSQCSESNSELWESSGTPESKDPELYDALSRLSSMDSVSSSLQVREAAYNGAHVNGEHKTIVPGTGAEPSLPVSLDLPSFDAINPLVQDETKSNSHQKNQPESQNSAEVTPLPPPPPPAQWRVSKPALVEAEERQHALSESLRHELDLRLLGSTVSPKPKPPSANQQQINNDEAIALKPEKKHMEKVHFNRQKEANQLSSGRGMDEKEDFLHQIRTKSFNLRPTVTAKPTVTSGPTTNVKVTAILQKASAIRQAVGSDDGEDDDNWSDT >OMO76224 pep supercontig:CCACVL1_1.0:contig10917:161870:163303:-1 gene:CCACVL1_15837 transcript:OMO76224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTSLAAPTTARKDANGGQALLLGRYEVGKLLGHGTFAKVYHARNVKTGDSVAIKVIDKEKILKGGLIAHIKREIAILRRVRHPNIVQLFEVMATKTKIYFVMEYVRGGELFNKVAKGRLKEDVARKYFQQLISAVNFCHARGVYHRDLKPENLLLDENGNLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARKGYDAAKVDIWSCGVILFVLMAGYLPFHDQNVMAMYKKIYKGEFRCPRWFSPELIRLLTKLLDTNPDSRMTIPEIMENRWFKKGFKHIKFYIEDDKLCNVEDQVDDDVGSCSDQSSWSESEAEFEPRRKVTTLPRPASLNAFDLISFSPGFNLSGLFEEGDEGARFVSGAPVSRIISKLEEIAKVVSFTVRKKDCRVSLEGSREGVKGPLTIAAEIFELTPSLVVVEVKKKGGDRGEYEEFCNKELKPGLQNLMMEESRSAVAAAAADASHLPSDTE >OMO76216 pep supercontig:CCACVL1_1.0:contig10917:119569:121862:1 gene:CCACVL1_15829 transcript:OMO76216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKLNFNAPLLSVRRFSATSAVSDRAKQKKIEKSPPNRRHTFTSCNSSVIMEQVTEPVAVPFMWEQIPGKAKDGIEHESQPGKEDSGTPRIPPGRVWDIVKYPVEKEVDNQIVVKPQIGTYSQNDNVAKLDCSNKGINGQCIPESDHEDDDVYSDALDTLSQTDSVSMNCSVNSLSTGSGSPVAKPSGTFSTDPQTRDFMMSRFLPAAKAMTLETPQYASRKQQSIAPEQPREVKTLVVAERKPTVVNHNQSIIIPHYDQDLEQEETEDEYDDYGEDSGNLSRKACGLLPRLCFKTSMCLLNPVPGLKVRTHSPVSSTREVPKPGKATYMKSHSQILEKQTWDAIQKKKSERAVESPRLLNNKPDKGVVESLSLLENKAVNGVQSPKRVTCESNRFTNPNEQQVVNRSPPKKPPGSARISPYRRERPQSPFRGGGFLGMPKEADQKYNGMPKEAEKYKANMLVKYSRSNNNNNNSQELVPYQSTRQHSGSLSPAIEKTLYVDTVNFAEISSPNSDSSGSHSQPQMYSMGKLEEPATPESCIQEIKCMNLLDGKGISEYETTGSMNSSRSSFSEKPDITKGQAELTMDCFRQNGGLDQESKALGRIKVRADEENLALIAVDDFSPLPPPLPKTPSESWLWRALPSVASRNSFSQSRFNPRKQEPQEPAATDTKWETIVKTSYLHHDHVRYSEELVPHYSQQSKA >OMO76201 pep supercontig:CCACVL1_1.0:contig10917:13392:17290:1 gene:CCACVL1_15814 transcript:OMO76201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEMESINTVAEDIKSVGQQGNGKGRGKKKKSQVRKKMGAATSSDFEVCGDPAPIDQLVLYPVDHSLHQDQQQQPILAGQDQSPHLFVDKHLDQQLPKYLDQQLPKQLDKLVSEQPSKHIRARSPACKLHKKQELEDYRLKEELEEERLKKEKGDEKGRLKKEKEDERLKKLGDERLIEQLEDKKESQELLGNQESTDVDEKLGDKEPPNSLYVFGVFGFYINFYLCTSIFNVLVFVLIFICELLSKIDVLFQEEDYDVNVDRSLLIRYRDSQKAMKEYNSLKDIEKKCSEGACYVIKLDSLGIYKSFENGNEVFDFLAEDSTPLNEWVKQKYEDFYKGEEEDHAREAWWKFIKADLRRIFW >OMO76200 pep supercontig:CCACVL1_1.0:contig10917:8869:10322:1 gene:CCACVL1_15813 transcript:OMO76200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHEFKHKDLVEICKKEQGFEGIYYNATLLAAIGRNKYLVRYDTRFSDDETRYLTEAVEAEEVRPSFPKNISYTNFVVSDRVEAYVNFAWRVGTVTRKVDPNYYVRLDCNGNEEHCAFYKVRLHLEWENGRWFYPGTGSQSSQASTSEQGAQPASESNQANTVSEEGDQPKNESDQPNPGQ >OMO76228 pep supercontig:CCACVL1_1.0:contig10917:188205:190632:1 gene:CCACVL1_15841 transcript:OMO76228 gene_biotype:protein_coding transcript_biotype:protein_coding description:HCNGP-like protein MASRKKESEGIALLSMYNDEDDEDMEEVDRPEHEQGGEEEDNEYRELNNNMEEEDSRANDNTPPFPHRSSASSQQQQQSSVSSPQQQRRVVSSRRNGRGRPTIVDYGHDEAAMSPEPEEGELGSSDDPMFGRDDHVANGKTLPTAIEATPQFSDVEPSQLETKDNAVGESEGIEVEESVSVDNVDLLDKFLPLPPKAKCSEDLQKKIDKFLYLKRSGKSFNAEVRNRKEYRNPDFLLHAVKVQEIDQIGSCFSKDIFDPHGYDISDYYDEIEADMRRERERKEQESKKNQKVDFVSVGSQPGAVLTAPKVGMPISVVAASGLPSAPTAVDNIVRDGRQNKKSKWDKVDTDRRNPLPAGAQDSLSTVGAHTVLLSAANAGTGYTAFAQQKQRETEEKRSSEKRLDRRS >OMO76220 pep supercontig:CCACVL1_1.0:contig10917:151586:152345:1 gene:CCACVL1_15833 transcript:OMO76220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRNRLSQQDVSGFFSPSWNSALVNSMMWIAGCRPSIFIRLTYALCGSEVELQLPEIILHGLIPRGNLAQISANHIERINVLQMKTIKAEGKLSNELASLQENMADHPIAIIAKMMSRVDEPSGEIDRALDEHDISMVDIMQKADKLRLSTIKELLGILTPVQGVDILAAENGRDGSPLERAVEHQAGTTDDCRRGASEAFCKESRASGL >OMO76209 pep supercontig:CCACVL1_1.0:contig10917:77399:77500:1 gene:CCACVL1_15822 transcript:OMO76209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANREGANQKRREAVASIFGMMLMSGRDMPAAD >OMO76223 pep supercontig:CCACVL1_1.0:contig10917:156552:157910:1 gene:CCACVL1_15836 transcript:OMO76223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGAILMNKFELGRLLGQGTFAKVYHARNLTTGQSYAIKVIDKEKILRGGLIDQIKREISVMRLVRHPNVVRVYEVMASKTKIYFVMEYVKGGELFNKVAKGFLPFHDQNLMEMYRKISKGEFKCPQWIQPEVRKLLSKILDPYPSHRITVAKLMENCWFKKGYKHIDTPPPSPHPRRHSDIHAVFDSSESESCSDENEALASPSSTVKPSAFNAFDIISLSQGFDLSGLFEKDLNQRDCSRFTSRKPATDIVSKFEEIAQTESFSIKNKDGKVKLEGNYKEGRKGALAIDAEIFEVTPSFYVVELKKSSGDTLEYKHFCNEELKPSLNDIVWAWQGTEQQQQQKF >OMO76219 pep supercontig:CCACVL1_1.0:contig10917:148763:149524:-1 gene:CCACVL1_15832 transcript:OMO76219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQVGEKFSEFFDKWICQLEDYLQQLLRVSKSKSKQDDAEQRALVSKLTTHFKEFYTAKWSAAHEDVLAFYCPVWSTKLENGYSWVTGWKPSMIFQLVDSMRRTRVPGPGLAELTEEQLKKIEQLRMKIKLEEEKVESEMERQQVAMADRKMVELVRVMSRVRNGELVGQVDGLVEVALKGILAGLEKVMKAADCVRLKTLKGVLDVLNPSQSVDFLAGTCLLQIQLRQWGRTRDTQKGPRLELQHSNNVLF >OMO85800 pep supercontig:CCACVL1_1.0:contig09576:9225:10485:1 gene:CCACVL1_09994 transcript:OMO85800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACFGVAKPEESPSSAPSPSMSCSTIIYDMADCISFISEGSKDKKPTPSCCTGFKTVVQADAQCICEALKSTSELGIDVNLTRAATLPSACRVSAPLTLSKCDVSTSPEKPPSPSPGKAPSPSHGAAPPSPAPKIAPFPPTTPPPAPTTAPSPGTAPVTPPSTAPAEPPPSSPPSKGGAPSPNSKEAPPPVVPSPSNSSPPSPGGEEVPEPSIAPAESSGTFSSSPCFFVLIIGMLVALF >OMO85801 pep supercontig:CCACVL1_1.0:contig09576:26328:38435:1 gene:CCACVL1_09995 transcript:OMO85801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxyl transferase MSEAQRKSTMAGAGRGNNGYVNGVLPIRSPATISEVDEFCFALGGKKPIHSILIANNGMAAVKFIRSVRTWAYETFGTEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASENPELPDALNAKGIIFLGPPAVSMAALGDKIGSSLIAQAAEVPTLPWSGSHVKIPADSCLVAIPDEIYSKACVYTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQHGNVAALHSRDCSVQRRHQKIIEEGPITVAPLETVKKLEQAARRLAKSVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMEHGGGYDSWRKTSVVATPFDFDKAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRPLAIANMVLGLKEIQIRGEIRTNVDYTIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASTSSAAMVSDYIGYLEKGQIPPKHISLVHSQVSLNIEGSKYTIDMVRGGPGSYRLKLNQSEIEAEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLVAETPCKLLRFLVSDGCHIDADTPYAEVEVMKMCMPLLSPASGMIQFKISEGQAMQAGELIARLDLDDPSAVRKAEPFHGSFPVLGPPTAISGKVHQRCAASLNAARMILAGYEHNIDEVVQSLLTCLDSPELPFLQWQECISVLATRLPKNLKNELESKYKGFEVVSSSQNIDFPAKLLKGVLESHLSSCPEKERGSLERLIEPLMSLVKSYEGGRESHARVIVRSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKVVDIVLSHQGVKSKNKLILRLMEQLVYPNPAAYRDQLIRFSALNHTSYSELALKASQLLEQTKLSELRSSIARSLSELEMFTEDGETMDTPKRKSAINERMEDLVSAPLAVEDALVGLFDHSDHTLQRRVVETYVRRLYQPYLVKGSVRMQWHRSGLIASWEFLEEHIERKNGSEEQMSDKPLVEKHSEKKWGAMVIIKSLQFLPAIINAALRETTHNLHEETSNGSLEPTSFGNMMHIALVGINNQMSLLQDSGDEDQAQERINKLAKILKDKEVGSSLRSAGVGVISCIIQRDEGRTPMRHSFHWSAEKLYYEEEPLLRHLEPPLSIYLELDKLKGYENIRYTPSRDRQWHLYTVVDKPVPIQRMFLRTLVRQPTTDDGLTAYRGLDVDVMRNQWAMSFTSRSILRSLLAAMEELELNVHNATLKSDHAHMYLCILREQQINDLVPYPKRVDLDDGQEEAAAESILEELAQEIHALVGVRMHKLGVCEWEVKLWMASSGQANGAWRVVVTNVTGQTCTVHIYRELEDTSKHRVVHHSLSVRGPLHGVPVNSQYQPLSVLDRKRLLARKSNTTYCYDFPLAFETALQQLWASQFPGTKRPKDKVVLKVTELVFADQKGNWGTPLIPVERQPGLNDVGMVAWCMELSTPEFPSGRTILIVANDVTFKAGSFGPREDAFFLAVTDLACTKKLPLIYLAANSGARIGVAEEVKACFKVGWSDEFSPERGFQYVYLTPEDYARIGSSVIAHEMKLESGECRWVIDTIVGKEDGLGVENLTGSGAIAGAYSRAYKETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILNWLSCIPPHIGGPVPILKPSDPPERPVEYFPENSCDPRAAISGTLDSDGNWKGGIFDRDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAIMDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYRQPVFVYIPMMGELRGGAWVVVDSRINSDHIEMYAERTAKGNVLEPEGMIEIKFRTKELHECMGRLDQRLISLKAELQEAKSSGAYSKMESLQQQIRTREKQLLPVYTQIATKFAELHDTSLRMAAKGVIKEVVDWDRSRSFFYRRLRRRIAESSLVKIVKDAAGDQLSHKSAMDLIKKWFLYSDVAKGREDAWVNDEAFFSWKDDERNYSEELKELRVQKVLLQLTNIGNSASDMQALPQGLAALLSKMEPSSRAEIVNELRKVLG >OMP10517 pep supercontig:CCACVL1_1.0:contig02334:10:477:1 gene:CCACVL1_00905 transcript:OMP10517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTIVVAETADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKSSSQLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQI >OMO94427 pep supercontig:CCACVL1_1.0:contig07887:4848:14786:-1 gene:CCACVL1_06010 transcript:OMO94427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPSTPPQLPDTIQHWLRPESHPKALKLSLFSPKHQTRP >OMO94428 pep supercontig:CCACVL1_1.0:contig07887:18929:22000:1 gene:CCACVL1_06011 transcript:OMO94428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTTQQASKSQQLLRSERRMFSASDDTAMMKQIQSTHAPDGRVVDVKPILQIIESVLRHVTPNIDRALNGGHGHLDSFDEMTSQATVDGMLEALAYIVHKISCEISCKCSGGGDAHSTTMVLLNLLSGYSWDAKVVLTLAAFAVNFGEFWLIVQLCTTNSLAKSVALLKQLPDILEHSHSLRPQFDALNNLIKAMMDVTKCIVEFTELPSQYIMSDVPPMSSAMAHVPTAAYWTIRSVVACASQIASLVGLKHEFVTSTSEAWELSSLAHKVSSIHDHLQKLLRLCYQHIDEKRQAEAYEGLVHSLETPQLDNLKILIKIFCLGKEDPHNALVGPDKTKVHMDVLRRKHVLLLISDLDISHDEIQVLELLYKYERVSSELNYEIIWLPIVDKSTWNDTYQQKFLNLQSMMPWYTVHHPSIIEPAVIKYTKEVWRFVKKPIVVTLDPLGKVTCPNALNMLWIWGNAAFPFSSEKEESLWKQESWNIELLVNGLEANLPNWMREDKVICLYGGEEFEWIQNFTSATKVVAQNLGIGLEMVYAGKNNAKERVRKITALINERQISHTWQDTTVWFFWNRLESMLFSKTQHGKTNEHDVIKQEVMTLLGYDGSEHGWAVFFWGPTEMVRAKGDKVLGSMQSFEKWEELARQLGFIPALRKHLEGIADEHHCTRLILPGISGGIAERVVCAECGRPMEMYFMYRCCVD >OMP02867 pep supercontig:CCACVL1_1.0:contig06175:3315:26387:-1 gene:CCACVL1_02673 transcript:OMP02867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFCQGDLSTPKLQQVQLADEENMQWEGNLTIKQMFEEKVGYSGLKHLKFSEILVLLNIWNSNPQEISRFKNLEHLEVCDSNDLKCIFNLSIASSLCRLQQLEIRRCNNLEVVIEEEEASITRDDKTMIIFPLLKSIIIEACPDLRSFYRGRTSLAKFEVSHCPNMTAFISTFSRDEDKLATIEGNNDLITSFFCYEKSDLWNKTKDLSSGVDYAQGRIDTPKNARQRRIGLRFPSQISHPWTVDNHNYVAVVVVQNPTAMSALVCRLDLLLQLPSPFKALLPFEFN >OMP02866 pep supercontig:CCACVL1_1.0:contig06175:388:2388:1 gene:CCACVL1_02672 transcript:OMP02866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MQQKGYRLYDLSNQEYLVSRDVVFQENIFPFQQSRTPPTPSQVLPLPIPDNHSFNSLPSTPIESPNETPIISNDSSLNEISLPSNEDQPLARSQRNRRPPPYLQYYECSKVRRQPSQSSSTTSGSGTRYPISNFLSTHRLSSTYSTFVSNITSIAEPQSYSEAIKDPNWKAAIDAELHALEANKTWSIVDLPPHKSPVGCKWVFKVKYKSYGSIERYKARLVAKGYTQQEGIDFHETFAPVAKMTTVRCLLAIASTKNWPLYQLDVQNALLHGDLDEEVYMSLPPGVTSKGENSVCKLHKSLYGLRQASLQWFAKFSTALLTYGFVQSRSDYSLFIKSSKTDFVAILVYVDDIVITGNNSKLIDSVKNALQRQFSIKDLGSLKYFLGLEVARSKQGIYLSQRKYTLELLSETGLAGARPLYVPMEQNTKLSAHEGELLKDPSPYRRLIGKLIYLTITRPDIMYSVHVLSQFMNQPRHPHFDAALRLVRYLKSSPGQGILLSSLSDFKLRAFSDSDWASCPDTRRSLTGFCILLGSSPISWKTKKQQTVSCSSAEAEYRAMAFTCREIVWLQSLLHDFGISQCTPASLHCDNKAALHIAANPVFHERSKHIEVDCHFIRDKLQQKIIETSYISTTQQPADLFTKPLGKDQLHHLLRKLAVHDIHSPT >OMP02868 pep supercontig:CCACVL1_1.0:contig06175:27697:30305:-1 gene:CCACVL1_02675 transcript:OMP02868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGYGGLKHLKFSKMPELVGIWNRNPQEIVDFKNLEFLEVCNYSSNMKSIFNLSMASSLAQLQQLEIKRCNNLKAVVMEEEATTTTDDKVIIFPLLKSMIIEDCPNLTSFYRGISSPSLIQFPSLTKFEVSHCPNMTAFVSAFSRDKLAIIDDGTESANGDIHILTPFFCHKALNLG >OMO49489 pep supercontig:CCACVL1_1.0:contig16490:24160:24666:-1 gene:CCACVL1_30981 transcript:OMO49489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, AN1-type MAEEHRCQAQQLCVNNCGFFGSPATQNLCSKCYRDLQLKEQQSSSAKLAFNQTLVPSPSSSSSLPSSSTSSSPSISSPSFSGSVAAKEESSSAEAKVEEIQVQVRPNRCLTCKKRVGLTGFKCRCGMVFCGTHRYPEHHGCTFDFKAMGKEQIAKANPVVKGVKLQKI >OMO49490 pep supercontig:CCACVL1_1.0:contig16490:26628:29265:-1 gene:CCACVL1_30982 transcript:OMO49490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRDKKQRHQQKNSHRGASYYTSQHDDDYEDESYTFQQPSQDSEEEQLEESDEEEKEENDPNPSMEMPSKFLLYQQSVQSPKGDISYLQKFFLMYVGGRLPLHLQEDFCGTALLSTEWLRTDSRRTAVGLDLDLEALQWCLENNINKVGVDGYSRISLLHGNVLKPSEAKLVSFKPQELIQNIQLKESDDNSKIAATEPKMSEGSASLSNQESIKADSILPARDIVCAFNYSCCCLHKRAELVMYFKHVLEALSKKGGIFVMDLYGGTSSEQSLRLQRKFPNFTYTWEQAEFDIIERKTRISLHYHLHKQQKKLRHAFSYSWRLWSLPEIKDCLEEAGFRSVHFWLRKMPDASEMRSTEGFGIGRDVKYEEVKSFQQEDAWNAYIVAVNK >OMO49487 pep supercontig:CCACVL1_1.0:contig16490:7935:8000:-1 gene:CCACVL1_30979 transcript:OMO49487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANESDLAGSTKEGLVSIANA >OMO49486 pep supercontig:CCACVL1_1.0:contig16490:6877:7776:-1 gene:CCACVL1_30978 transcript:OMO49486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSVVKPDIKSSDFQSWIQCNAVVKSWLINPISKELQTGAAHADTARDIWCDFEERFAQGIAPRVYELKRTIALLQQEKSSISTYYGNLKTVWSELQSLRHVPLCTCGCTYGAGKKMQAMHEEEKVFDFLMGLDDTHQTVRSHILSIDSLPTLGKVYAVTAQDEKQRAVAAARVPTIEATTLLAKTPTRSQVSDQRNDRRGDQGGDKRESRLHCTHCNRNNHSRENCYELIGYPSGWRKPKTKGEQQPRTHGAANQSFAAAVGPSASDPACPNLTPMQIQQLIDLLSRQSQPSANMAS >OMO49491 pep supercontig:CCACVL1_1.0:contig16490:36207:39999:1 gene:CCACVL1_30983 transcript:OMO49491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVQEDNAGNYSKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRAVLDEGGPGPGSNGDAAVATRPKSKPKDGSGGGEGGDGEDPEQAKLRAGLNSAIIREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHTDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDVKARQHMFKVHLGDTPHNLTESDFENLARRTEGFSGSDISVCVKDVLFEPVRKTQDAMFFYKTSNDMWMPCGPRQPGAVQITMQDLAAKGLAAQILPPPISKSDFDKVLARQRPTVSKADLEVHERFTKEFGEEG >OMO49488 pep supercontig:CCACVL1_1.0:contig16490:13635:14810:-1 gene:CCACVL1_30980 transcript:OMO49488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 29 MKRSVRPFVSILVLLALAVTLSCRIAIRRGGIFTFPAELESGRVLIQPPPIPYFNSTLLKFAATDMGEEKAKLEIEQLLEGNFGQGRYKTFATWRRFNHHDIKARNSNGLPVMLRSPKFYRYWLDFRRNLQDWARRKMFQPDIMMDLVRLVKVPIDSHNGLMSSDRKYKSCAVVGNSGILLNSDQGKLIDGHEVVIRLNNARTERFEKYVGSKTSISFVNSNILHLCARREGCFCHPYGGDVPIIMYICQPIHFMDYLMCNSSHKAPLLITDPRFDMLCARIVKYYSVKKFVEETGKPLSEWGSTHDGSMFHYSSGMQAVMLALGVCDRVSIFGFGKSVSAKHHYHTNQKAELKLHDYEAEYAFYNDLVTNPRAIPFISDNFWFPSVVIYH >OMO49492 pep supercontig:CCACVL1_1.0:contig16490:41788:45625:1 gene:CCACVL1_30984 transcript:OMO49492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPAMPSITLFTWGNTLIPIQNLLSELTMRCLLHLEGAKEAALHHYSKTFRGFSAMLTTEQAKQLAESDWVVSVFESRTSKLQTTRTWDFLGINSIEQNKQLPMDLSSDVIVGVVDGGIWPESESFSDKGMGPVPEKFKGECVPGEEFAVSNCNRKIIGARFYSKGFEAENGPLESFNKTFFQSARDSDGHGTHTASTIAGSVVANVSLFGMARGTARGGAPGARLAIYKACWFDLCSDADILSAMDDAINDGVDILSLSLGPDPPQPLYFEDANSIGTFHAFQKGILVSASAGNSFFTGTASNVAPWILTVAASSVDRVFNSNILLGNSTVLKGFSINPLKMETSYGLIAGSAAAAKGVTPGNASFCKNNTLDATLITGKIVVCTIETELDNRREKGIFVRQGGGVGMILIDPAAKDVGFQFVLPATLIGQEEATLLQEYMATEKNPVARIYPTVTVLNTRPAPAVTAFSSRGPNIITPDIIKPDITGPGLNILAAWSPLATEAAGGRSVDYNIISGTSMSCPHISAVAAIIKSKQPSWSPAAIKSAIMTTATVLDNTRHIMGRQPNGAEATPFDHGSGHINPTAALEPGLIYDFDSSDIINFLCSTGASPAQLKNLTGHLTYCQKPLTPPYNFNYPSIGVSNMNGSLSVHRTVTYYGKGETIYAAYIEHPVGVQVRVTPSKLCFKKTGEKMSFRVDFTPYKTSNGSFVFGALTWSNGIHNVRSPIGLNVISL >OMO49493 pep supercontig:CCACVL1_1.0:contig16490:45859:49241:-1 gene:CCACVL1_30985 transcript:OMO49493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSISLRSLAKTQTKCSLKTLNNPLYQSLLYFQTNALDSQNSKLYAKSATLQQSHHPFEKSPQPDLPRYNGLLFEYSRNNKNKEALNLFLGIHSSGLPVDASTFSCVLKVCGCLSNEIAGRQVHCQCLKLGLLEDVSVGTSLVDLYMKTENVKDGKKVFDHMGGRNVVSWTSLLGGYAQNGMTEEVLEIFITMQREGIKPNQYTFATVLGALASEAMVEMGVQVHSLIIKFGFETAIFPCNALINIYLKSGMDKDAKAVFDGMDTKTAVTWNSMISGYVTNGLDLAAFETFYHMRLADVKLTQMVFAPLLKLCANHKELSFARQLHCHVFKNGFVFDPNIKTALMVAYSKCSEMDDAFKLFSMMHEARNVVSWTAMISGYLQNGGIVQALKLYCLMYKEGVRPNHFTYSTILTAQPAVSPFQIHAQVIKANYEKSPSVGTAILDAYVKLGYVEEAAKVFELIDERDIVAWSAMLAGYAQIGDSEGASKTFIQLAKEGFKPNEYTFSSAINACAASTAPLEQGKQFHAWAIKSKLSDALCVSSALVTMYSKRGNIDNAYQVFKMQPERDLVSWNSMVSGYAQHGHAKKALEVFEEMQIQKIEMDDITFIGVISACTHSGLVEEGEKYFNLMVKDHHILPTMELYSCMVDLYSRAGMLNKAMDMINGMPFPAGATVWRTLLAASRVHHNLELGKLAAEQLISLQPQDSAAYVLLSNIYAATGNWKERTRVRKLMDERKVKKEPGYSWIEVKNKTHSFLAGDLSHPMSDHIYSKLDELKIRLRDMGYQPDTNYVLQDIDDEQKETILSQHSERLAIAFGLIVTPPGTALQIVKNLRVCGDCHTVIKLISLIEQRDIVVRDTNRFHHFKADITGQPAILRGAALIKIGNEQNTGTNFCIEFHGRKDLD >OMO49485 pep supercontig:CCACVL1_1.0:contig16490:4356:5876:-1 gene:CCACVL1_30977 transcript:OMO49485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MPLSVLNNQTPYEVLFGKVPSYDHLCTFGCLYYGHVNSKPRDKCAFRSKPGIFVGYPHGQKGYRVYDLESKVIYSSRDVQFFESIYPFASTNDGNIDPQGHNSSFGLVDQSPFDYENQEVGISLPTTLSHSSPTLDADVEVSPQNHTNLDQPTLEVTTHVPDNSDQPLISPAKSHNFATKRTRQVSKTLSGYDYTLPPSLLPASSTSHSPAPSANSTVYPLSHTISYSKFFNTHVAFLAAISSIDEPKSFSQAVKHVHWRDAMEKEISALEANHTWTLTSLPPVKRAIDSKWIYKVKFNPDGTVERYKARLVAKGYTQIEGVDFHETFAPVAKLVTIQCLLAVASVRNWELHQLDVNNAFLHGDLEEEVYMKIPQDFAKQGEHRVCRLQKSLYGLKQASRNWYQKFTQALLTAGFIQSTSDHSLFTSTQGESYIAVLIYVDDVIVTGNDSTKIAWLKEYLNTKFQIKDLGQLKYFLGLEVARSPIGIALNQRKYILDILAESGLTG >OMO49494 pep supercontig:CCACVL1_1.0:contig16490:50466:56176:1 gene:CCACVL1_30986 transcript:OMO49494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAEKPSWVRHEGMQIFSIDVQPGGLRFATGGGDHKVRIWNMKSVGRDLENDESTQRLLATLRDHFGSVNCVRWAKHGRFVASGSDDQVILVHERKPGSGTTEFGSGEPPDVENWKVAMTLRGHTADVVDLNWSPDDSILASGSLDNTIHIWNMSNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTEGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWAATFDFLGHNAPVIVVKFNHSMFRRNFANSQDAKAPPVGWANGAAKIGGKESQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGTVATFHFEVKELGHRLSDDELDELKRSRYGDVRGRQANLAESPAQLLLEAASAKQTTSKKTALDVQQNQIPAKPLVELGVTNKNSEPQNDDGKKNGAAASDGLNKVASSARISSPVRQREYRRPDGRKRIIPEAVGVPSQQENISGSAQSQPLDFPVASSDHRKNDNGVVPIDGVREASVRGTISRSSDLKERSGVTARATVTESLVIEKVPVAAGQEHSINVEQSGSMKPSGSSASSTTSLSIRVFDKKEGEGATPVCLEARPRERAVNDIVGVGNACMMKETEIVCTRGAQTLWSDRISGKVSVLAGNANFWAVGCEDGCLQVYTKCGRRALPTMMMGSAATFIDCDESWKLLLVTRKGSLYLWDLFCRNCLLHDSLASLITLDPTSSAKGTIKVISAKLSKSGSPLVVLATRHAFLFDMSLMCWLRVADDCFPASNFASSWNLGSIQTGELAALQVDVRKYLARKPGWSRVTDDGVQTRAHLEAQLASSLALKSPNEYRQSLLSYIRFLAREADESRLREVCESFYGPPTGMASDSKNPAWDPYVLGMRKHKLLREDILPAMASNRKVQRLLNEFMDLLSEYESVENNIDQRNPSPPPTTTQPAIDPMDSTPSETGQTDSAVPTPTTDKTENPSLGTNQMDSAPSSITDQVNTGTPLSTDQINEAPTTKDAGS >OMO63261 pep supercontig:CCACVL1_1.0:contig13002:5021:5356:-1 gene:CCACVL1_22424 transcript:OMO63261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSENNASNLEVAVTPEVCSTTRSSSTSTAPSSTSTSSGLSSQKTSRPMATRTRHHKQPGGRGNAGGMQHHRILFDISCPIVNIDKLWSLVPEDVKAKGNKDSTPMIDVT >OMP05449 pep supercontig:CCACVL1_1.0:contig05385:15282:17032:-1 gene:CCACVL1_01898 transcript:OMP05449 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MMKIPTHYSPSTILILSMVLLALTSSTSAIKNYNVLSFGAKPNGKTDSTMAFLNAWNAACGSTDSTMIYVPEGRYLVGAMTFKGGCRSPQIIIRIDGTLVAPADFRVLGKSDNWLSFEGVSGVSIVGGAFDAKGTALWACKASHGNCPAGATTLSFTNSNNIRISGLMSLNSQMFHIVINGCQNVHVRGVKIIAAGNSPNTDGIHVQLSRNVEIISSSMKTGDDCISIGPGTKNLWVERVTCGPGHGISIGSLAKDLKEEGVQNVTVIRTTFIGTQNGLRIKSWARPSSGFVKGVRFSDSIMRNVQYPIVIDQNYCPHNLNCPGQVSGIKITDIVYEGIRGTSSTPVAIKFDCSAKNPCTGVRLQNVNLTYLNKAAQSSCSNVVGKAFDLVRPNSCL >OMO51988 pep supercontig:CCACVL1_1.0:contig15649:497:2532:-1 gene:CCACVL1_29456 transcript:OMO51988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQNLSTSATSNSAPRTSNSTSSSAASNSAPRTSNSSSASTAYNFAPRKLDHVTYFDCRCGIQLWVKSYDKGDSFNIFPSVLYQYPRRVKGTGELYMVPRTSSSTSSPAASNSASQTSNSASSSITSTSTASNFACRIRVACVKLDHVTYFGCRCGLQFWVKSYDKGDSFNISPGLAVAVDKCTIINIRPRVKSLNVSAREGIK >OMP07431 pep supercontig:CCACVL1_1.0:contig04446:563:865:-1 gene:CCACVL1_01302 transcript:OMP07431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVFLPGELIGLLRAERTGRALEEAICYRAVLLGITRASLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGMIPAGTGFKGLVHRSTPT >OMO88814 pep supercontig:CCACVL1_1.0:contig08924:206:3681:-1 gene:CCACVL1_08186 transcript:OMO88814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MGLNESYGHVRGQILLMNPLPSVNRAYSLLLQEEKQRQVSAAPDMNSDKVAFAVAHSFSNRTQSFHSGGSKFLSKNRPTCEHCKMVGHTKNKCYILYGYPPGHHLHRGTKSKIDQGGQISKENTSSMASLTSEQIQQLLSLLPNNQSSKANFVGKVSLSPNFPIWIVDTDNHSFNSLPSTPIESPNETPIISNDSSLNEISLPSNEDQPLARSQRNRRPPPYLQYYECSKVRRQPSQSSSTTSGSGTRYPISNFLSTHRLSSTYSTFVSNITSIAEPKSYSEAIKDPNWKAAIDAELHALEANKTWSIVDLPPHKSPVGCKWVFKVKYKSDGSIERYKARLVAKGYTQQEGIDFHETFAPVAKMTTVRCLLAIASTKNWPLYQLDVQNALLHGDLDEEVYMSLPPGVTSKGENSVCKLHKSLYGLKQASLQWFAKFSTALLTYGFVQSRSDYSLFIKSSKTDFVAILVYVDDIVITGNNSKLIDSVKNALQRQFSIKDLGSLKYFLGLEVARSKQGIYLSQRKYTLELLSETGLAGARPLYVPMEQNTKLSAHEGELLKDPSPYRRLIGKLIYLTITRPDIMYSVHVLSQFMNQPRHPHFDAALRLVRYLKSSPGQGILLSSLSDFKLRAFSDSDWASCPDTRRSLTGFCILLGSSPISWKTKKQQTVSCSSAEAEYRAMAFTCREIVWLQSLLHDFGISQCTPASLHCDNKAALHIAANPVFS >OMO91021 pep supercontig:CCACVL1_1.0:contig08390:10782:11954:-1 gene:CCACVL1_07232 transcript:OMO91021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGCSASRPDTLLFTKSNNNSPEEDPYSSCCSSSLEYSMVSSSHSPPVPRALSLPTPLVHHPPVKKGDTHHLVSLTSTTYGSLILIDTKTPDINGQDFSDHHQIQSPLHHADPEDSLSPDSVINTWELMDGLDDDDFCDFDLDERDISMDSMYRTELQSLVGGKAISLPQVFIRGKHVGGVEEIKQLNESGELAKLLEGFPIRDPRIVCEGCGDARFVPCPNCNGSKKVFEEDEGRLRRCPDCNENGLTRCPGCCS >OMO91020 pep supercontig:CCACVL1_1.0:contig08390:5200:6640:1 gene:CCACVL1_07231 transcript:OMO91020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFTVGFSPTYRHGSVAVGWVGSSREDKVCPRMLLGRITKGDYDLAVWEYKKAKAIALPSHGHCRMYEIMKEKEVAAIVKNKEEPKWKAPEDGWIKLNVDGAYDISNENDGIVVLELQGIVARTVYKHHICNFCVDPL >OMO50292 pep supercontig:CCACVL1_1.0:contig16226:22374:29100:-1 gene:CCACVL1_30529 transcript:OMO50292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLKPLRVGRITEISPSYRPLHIRRGRYRCDCRIPVTMREKSYRFELGVTSRARVPKTKLD >OMO50291 pep supercontig:CCACVL1_1.0:contig16226:16409:17200:-1 gene:CCACVL1_30528 transcript:OMO50291 gene_biotype:protein_coding transcript_biotype:protein_coding description:C1-like protein MDQLMKKCDLCGDPVEGFFYECNYLCGFNVHPVCTQLPEYVNHVKDKAHRLRLQRCLTADSCTICRKACWPWRYRCEICFLDLHLECVLAPCEEAMSSTPASRALNSPFFNAYNYPYGYGANPGPPNYGYGGVPLAPPYVTPNYPHHYGVNYVQPQFHPYAHSHSYSHWPYIPPPPYNLLHGNYIYGGVPTAPQNYYAGAHGGNEFPSSSNYSGQYNDNNVQHSNSQVQGGSGRFGKKMYAIIGSLALGVTSNALFGAISSCI >OMO90083 pep supercontig:CCACVL1_1.0:contig08537:30848:31030:-1 gene:CCACVL1_07521 transcript:OMO90083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol 3-beta-glucosyltransferase VKTRAVELAKAMEGEDGVAGAVNAFYKHFPGKKAKEEPKPAPAHHSGIFSIRQCFGHGLV >OMO90082 pep supercontig:CCACVL1_1.0:contig08537:15885:29005:-1 gene:CCACVL1_07520 transcript:OMO90082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESARSDGEGCGGGGGGTLMGIDCRVLQTQREIALSPPNRFDNIKLKTSGGISMIGPDGLVVSGLFEVACGGHQGLSSAKQLCHAQQLPPSREVPSRLPKAGWWSSDEYLKLVAVAKACRASRNCVMLNNCPTAVRYHCLCFIWRSTLQIFFRSLLGGRLKAFKIDLYFSSTSWKISVISPFWDSVYEFLSFAFDFYAFISSHALLLLLFELLAPSVGEGSFSILRSVSVLHFAFDERPTLRDRRALSILPSGAFFICSRGAFSISRSASVLRFALSVSPSREFFTCSRGAFSISRSASVLRFAFGGYWTIRKASSFSLLGRGRFLPIARLPVGQTFRRVSSFSLLGRGMILRRSPASRWPYLVEDGYAEHSPVSVGPLGGFIIFRTRSRMVHADRLPVDRDTRSSSALPGRSPVGVGTLEGIFIFYTRSGMVHADRLLVDDHTRSSSALPDRLPVGVGPLEGIFIFYTRSGMVHTDRLPVDGHTRSSSASPDRSPVGVGPIEGIIIFYTRSGMVHVDCLPVDGHTRSSSALPDRSPVGVRSLEGFFISHTRSGMVLVDRLPVRGDTRRRQLLRAPSRLPEAEQSCGSRFILSPILEEIKDHLRGMAITRNTPEEENTIAIKAIWSDELTRIFCELCVKEVESGNRPTTYLNVKGWDNVLALFQARTGKHYGIPQLKNKWDTLKKEWRLWKDLLIKASGIGWSPIKKTIDASDEWWVQTIKQNPKFKIFRKRGFDPELDDLLWRMFGGIVATGKHAWTPSLGVTPGGASTEDATPGEGCGDSDANDHLEMADTKDVGSHDVSQEMVAAAQQKGKSKFPPRKTIGKRMTSQIDKLCDSMSSPRKSVSTSVFPTSRYGVEEAMDALRAMENEVPPMTFLYYFALELFHQQIKREIFLNLRQFERKWWLEREYEKHQANERISSLLATPSFGFQPFHQTPPSHDMDDYYNFYQNIESNQGHVELSQDDQQRIATIVVQVEKDNYYHELEDNAVSCVQVHHRTYISRQPCMNSENTDTIKPLEGQFNEVPDHIRNDSRYWPHFKDCIGAIDGTHIKASISSSLQVPYIGTTHDTRIFLEALRRQDVNFPKPSTGKYYLVDSGYPQMNGYLGP >OMP08233 pep supercontig:CCACVL1_1.0:contig03920:194:256:-1 gene:CCACVL1_01141 transcript:OMP08233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EIIYSIRLVPGSSPGQSHYD >OMP00569 pep supercontig:CCACVL1_1.0:contig06657:193:288:-1 gene:CCACVL1_03332 transcript:OMP00569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPERPEITSVLNDLKTSKWTNLTKMIIARS >OMO84229 pep supercontig:CCACVL1_1.0:contig09766:17137:17724:-1 gene:CCACVL1_10938 transcript:OMO84229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLNKAACEMPSLEPPEDESIRTLYVGGVDKRINEQDLRDNFYAHGEIESIKMVLDKACAFVTYTTREGAEKAAEELSNKLVIKGLRLKLMWGKPQAPKPAHSGLLPRAVISQQQERTLYPSMDPQRMGALVSSQDGENKAGSEKPQQGQHYAYQAMPPPPHGQHFHQLYPPYGYMPPYQQYPPYHSAMPPPRA >OMO84226 pep supercontig:CCACVL1_1.0:contig09766:6809:12711:1 gene:CCACVL1_10935 transcript:OMO84226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 35 MAANGGAAADKVPAVANPLSEKPSEIASNINYHAQFNPHFSPLKFEPEQAFFATAESVRDRLIKQWNETFVHFHKVDPKQTYYLSMEYLQGRALTNAIGNLNIQDAYADALNKLGHKLEEIVEQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYKYGLFKQRIAKQGQEEIAEDWLEKFSPWEVVRHDVVFPVRFFGQVEVNPDGSRKWVGGEVVQALAYDVPIPGYKTKNTISLRLWEAKACANDFNLFQFNDGQYESAAQLHSRAQQICAVLYPGDATEDGKLLRLKQQFFLCSASLQDIILRFKERSGKGSREWSELPSKVAVQLNDTHPTLAIPELMRLLMDNEGLGWDEAWDVTTRTIAYTNHTVLPEALEKWSQPVMWKLLPRHMEIIEEIDKRFLALINSTRPDLEHKLPSMRILDHNPQKPVVRMANLCVVSAHTVNGVAQLHSDILKAELFADYVSVWPTKFQNKTNGITPRRWLRFCSPELSNIITKWLKTEGWVTNLDLLSGLRKFADNADFQKEWASAKMANKKRLAQFILRVTGVSIDPNSLFDIQVKRIHEYKRQLLNILGTIYRYKKLKEMSPEQRKNTTPRTVMIGGKAFATYTNAKRIVKLVNDVGEVVNNDPEVNSYLKVVFVPNYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFSLNGCLIIGTLDGANVEIREEIGEENFFLFGAKADEVPKLRKDRENGLFKPDPRFEEAKKYIRSGAFGSYDYNPLLDSLEGNSGYGRGDYFLVGHDFPSYMDAQARVDEAYKDQKKWLKMSILSTAGSGKFSSDRTIAQYAKEIWNINECRVP >OMO84227 pep supercontig:CCACVL1_1.0:contig09766:13790:15767:-1 gene:CCACVL1_10936 transcript:OMO84227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSRRSRYSRSPSYKHYSRSVSRSLSRSRSRSRTPESDVENPGNNLYVTGLSPRITKRELEKHFASEGNVIDVHFVVDPWTRESRGFGFVTMATNAEAERCIKYLNRSVLEGRVITVEKAKRRRGRTPTPGRYLGLRTIRVRGRSRSYSSRRSPSYSPYLRSRSRSPRYSSDRSRSRSYSRSRSPYSRSPDSRYYRRRDRSYSPDDRYYRRRDRSYSPDDRYYRRRERSYLPDDRYYRRRDRSYSPDDRYYRRRDRSYSPYGSRYDSPDDRYSRRHRYRSISRSPTPPRSRRSSRRSYSRSVSPKRRTSSRRSYSRSASPSERRSRSSSRSISPPPRKSSKSSRQEGSPDRYSSSRSASPTSRSVSRSVTPKSSSS >OMO84228 pep supercontig:CCACVL1_1.0:contig09766:16682:16807:1 gene:CCACVL1_10937 transcript:OMO84228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWRVANGYVIVLGGSNFQPTEYGQISASRLQPSTPHHSHP >OMO84230 pep supercontig:CCACVL1_1.0:contig09766:18342:19758:-1 gene:CCACVL1_10939 transcript:OMO84230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MQMGGSVLIFPIICESCLGGNPYVRMTRAGYDKKCKICPRPFTVFRWRPGRGARFKKTEICQTCCKLNNVCQVCLLDPESGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGLDYESSYGKVRPNDTIMKLQRTTPYYKRNRAHICSFYVRGECTRGAECPYRHEMPETGELSRQNIKDR >OMO81673 pep supercontig:CCACVL1_1.0:contig10128:6966:7115:-1 gene:CCACVL1_12308 transcript:OMO81673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVIGITPFHVLRYLPASRLTHNARSHDALLAFLEYHHGLKGDYSQGLQYK >OMO55335 pep supercontig:CCACVL1_1.0:contig14725:19:1113:-1 gene:CCACVL1_27306 transcript:OMO55335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDNLSDESDKERHWKRAKTTKLRSQRLTIEMFGDISPDHSEASVSNSHDFDRLADQVGNSEVHHSSRKTILLERSTPQINMQGMGENIVVKPHPKALPLSVPEFTRLSADKVIEGGQLQLALILWESIQLKIARTPFDQVHLLVDEVRKIFAAIEGIKVVDSAALKGRVEEYFSQIAKFTDLESSFSSRMSSKYQVDKLQNLTTRLEESVSKERQAVVCHDKLTSELAKVKKEISVLQEKKAKLESSLKENDKALEVVRAHVSHIREEMASAESCPILSEADAKALKVLEDILRSSREDLKNLKWKP >OMO55336 pep supercontig:CCACVL1_1.0:contig14725:16402:18143:-1 gene:CCACVL1_27307 transcript:OMO55336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADVTSLHRVLSGYKDDLTVGNESTGAKSSALITRDLLGVGDGGGGVGGAGGGSALLFKNNDQSQELDLDLQVPTGWEKRLDLKSGKVYLQRCNSSNSSSSSDGTKHQMNQTVPKLQDLNFPPSSKPLLNLFDESSLELKLVSSPSSNYQSVCTLDKVKFALERAEKEPVRKRSSLWKSSLSPSYSSSSSSIKDSQEYGADGGDQEKLLASLMAAGCPGCLSYVLIMKNSPKCPRCNTLVPMPAAKKPRIDLNMSI >OMO51141 pep supercontig:CCACVL1_1.0:contig15956:13345:17332:1 gene:CCACVL1_29985 transcript:OMO51141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKICLFQNGEFGSPTFGCHIGLVGMLGITFPPPP >OMO72480 pep supercontig:CCACVL1_1.0:contig11441:1586:2320:1 gene:CCACVL1_17767 transcript:OMO72480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTNRSSTVIGIPSYLVTMGAHNINVQIQAPNPAPALPARAAPVPVWAIFCIFILSAIICSPLIFYMIYYSTSCPKFELKSLSVSDLNVSGSEIITGYWNAEIKVRNRDHVFHGYGHPIVSVLYKNQLLSEVTMSQELNLKPRKTQSLAANGTITLSRKIIDDDNNQVVANEIATDYWSQGVVAFTVRLFRAYGTSTLNVTCADVKVGFSNNSSHGISMLLDHELQHSPNVTTQLCTTHADMC >OMO72485 pep supercontig:CCACVL1_1.0:contig11441:48642:49310:1 gene:CCACVL1_17772 transcript:OMO72485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRNRSSAAIGLPSYFVTATTTDNIIVRVERPLTTRESRCIALCPILVFVPLLTSIVIFGIHNYPKFGLKSLSVSDFNISGSEITGNWNAEFLVRNPDFDIYWYDNPVFSVLYKNRMLSAVTMDQEIYLESRSKTKSFVSNGSITLSRRIVDDNQVADSIARDYWSQGGVVAFTVRLLYADGKKALNVTCGDVKVIFSNHSSHGTLMLLDQLQYSPDITNE >OMO72482 pep supercontig:CCACVL1_1.0:contig11441:19379:20469:-1 gene:CCACVL1_17769 transcript:OMO72482 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MAVQLPPGFRFMPTEEQLLHGYLLKKVKGEALPCDIVTDCDIYGDGKEPWKIFGENSTEKFYVFAKLKKVSANGKNIERKAGTGTWKGQKPKIVKDSNNNHIGLNKSFVFQVKNSGSGSASSNVNGHWLMHEYSLVNDQSGYVLCVIRNKISNSEQVEDEDKEFTAEEFEDKLSTPEIQPFSHHQQQQNYYMPPLAALSYY >OMO72483 pep supercontig:CCACVL1_1.0:contig11441:24149:24856:1 gene:CCACVL1_17770 transcript:OMO72483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRNGSYVNGVPINVRVQAPPFAPPPQQVIPAATADHHLRDLILIICAGILVIAGIILTIALTSKRSFPKFGLKSLSVSELNITGSEITGHWNAEFMVRNPDIVSYTYQHPIFALLYKNQAISAIIMDKIHLKHKTTKSFVANGTVALSRRIVDNDNQVVVDEIARDYWSQGIVAFTVGFLYADHRAFLSATCRDVKVGFSNHSSHGTLLLDGFQYSPKITDHQAFTLCSTHAI >OMO72484 pep supercontig:CCACVL1_1.0:contig11441:39036:39794:1 gene:CCACVL1_17771 transcript:OMO72484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTNRSSTAIGIPSYLVSMAAHKINVQVQAPNPAPAPALPARVYAARRVCAIFCMLILSAIILGPFIFMGIYYSPSCPKFELKSLSVSDLNVSGSEIITGYWNAEIKVRNRDHVYYDYGHPIVSLLYKNQLLSESEVTMSQEVELKPKKTQSFAANGTITLSRRIVDENRDVVADEIARDYWSRGVVAFTVRLLHADETSTLNVTCADVKVGFSNNSTHGISMLLDQVQHSPINVTSQFCTTHAGDSREKC >OMO72486 pep supercontig:CCACVL1_1.0:contig11441:52748:53290:1 gene:CCACVL1_17773 transcript:OMO72486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29 MVKARIKIQELRKKSETVLLSQLKELKAKLALLRVAKVTGSKIKVARLSVAKVSRVISQKQKVMADNLSDNVQKYNTVF >OMO72481 pep supercontig:CCACVL1_1.0:contig11441:9937:18247:1 gene:CCACVL1_17768 transcript:OMO72481 gene_biotype:protein_coding transcript_biotype:protein_coding description:guanine nucleotide exchange factor DBS MASATMDFKACGESSKCRTSVWSNSRSIPVTFPAFSCSSSSTSGYRLKKNMETINRVLFLYHAILKNSRRFWKTGKLSIPMLKVGIRAMLQV >OMO95753 pep supercontig:CCACVL1_1.0:contig07613:20407:24414:1 gene:CCACVL1_05272 transcript:OMO95753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSYKERKEQEGLFLLTSSKATLPLVLKACAKLKAVETGKRIHWNIRSSNLMEDVRVGTALIDFYCKCGFLEDARKVFDEMVERDLVSWNAMISGCVGCGRFGEVFFLVMSMQREGFSPNSRTLVAMLLACEEVVEVRLGKEIHGYCLRNGLFLDPHVGTALIGFYLSFNVRASRVVFDLMAVRNTVCWNAMIKGYFDVGENMKALKLFEKMLMDGVEFDTITMLALIQASAEFGSLGLESADGLRKACSHSGMIEEGLQLFDSMIQEFRITPQLAHYGCVVDLLGRAGRLDEARKFIESMPIKPDASVWRALLSAYRDDGYTKDAKAIFDKIVELEPMNPGNYILLCNLYAAAGLWSEAKQIRINLREKGLRKPPGISWIVVRSQVHSFAAGDISHPMADKIYANLNSLLHSMKEIGYVPDLRWILHVDEEYI >OMO95755 pep supercontig:CCACVL1_1.0:contig07613:29882:34551:1 gene:CCACVL1_05274 transcript:OMO95755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase MDFSQPQSGLSLGFGKSSIADDSISLQIDTSFRCSSNPVRPVPFRFLEEKDDGHRPPRFENGDQKSHVKEEDIRILGQFLKRQRDPQSAPSPFKRLVSEPPGMDQRRAAVRSWGNQRLEEADPELSEIMNKEKQRQFLGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYTGNHFIDQIETLCQERALAAFSLDSEKWGVNVQPYSCTSANFAVYTGLCLPGDRIMGLDSPSGGHMSHGYYIPGGKKVSAASIFFESFPYKVNPQTGYIDYDKLEEKALDYRPKILICGGSSYPREWDFARFRQIADRCGAVLMCDMAHISGLVAAKECASPFEYCDIVTSTTHKSLRGPRGGIVFYRKGAKPRKQGICLNNGDCTGQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKTYMQQVKKNAKALASALLRRKCKLVTGGTDNHLLLWDLTTLGLTGKCYEKVCEMCHITLNKTAVFSDNGAIFPGGVRIGTPAMTSRGCVESDFETIADFLYRAAQITSAVQRDHGKKDFLKGLHNNKDIVDLRNRVEAFAAQFAMPGFDT >OMO95752 pep supercontig:CCACVL1_1.0:contig07613:14547:16435:1 gene:CCACVL1_05271 transcript:OMO95752 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE nucleotide exchange factor MAVSFSNHSLFSPRLSSSSLKSSEEPKLPFLTINSPYQKPLFSKLISGFSPSKLSFPSYKQHRRPTLIASLPTQESASTDDVRTSNLPSLKAMIRVYKEALLSGDDRIVSEIESRINLIEKEKNELEKKVLEMSAEITTGKEKFIRLQADFDNFRKRSEKERLTTRSDAQGEVIESLLPMVDSFERAKEQIKPETEKETKIDTSYQGIYKQFVEIMRSLRVAVVPTVGKPFDPSLHEAIAREESQKYKEGIIIQEFRRGFLLGDQLIRPAMVKVSSGPGNKRVSVASEKSSGQPATTGVDE >OMO95754 pep supercontig:CCACVL1_1.0:contig07613:24974:26008:1 gene:CCACVL1_05273 transcript:OMO95754 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE nucleotide exchange factor MEIMRSLQVAVVQNVGKLFDSSLHEAIAREESQDCKEGIIIEEFCHGFLLGGSASQTSMVKVSSLPSEKSSGQPATTGVDER >OMO95756 pep supercontig:CCACVL1_1.0:contig07613:36735:37845:-1 gene:CCACVL1_05275 transcript:OMO95756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSTSSVNGFYTFLTRGIDDLERLYLSNNFMSIQFLQRVLALLRSFHSQLLLLVQKLHLPVGDKWLDEYMDESSKLWEACHVLKSGISGMENYYSAGFNITSSLDNHRHLTPQLSRQVVRAISGCRREAVGLEEENRALMENRIQPLSLRFDEKVSIESKLNGFNGFRGVLYAMRNVSSLLLMILLYGLVYCWPESSFLRGGYEGCLFFGSPFMISTARLQQRVAAEINQINGRPGILLYEFRRSKLAMEELRGELERFCGEGEAEVGIRERVENLKGCFGVLRSGAENIVGQLDDFFDEIVEGRKKLLDFCSHR >OMO88453 pep supercontig:CCACVL1_1.0:contig09010:9741:9875:1 gene:CCACVL1_08385 transcript:OMO88453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLKEGQAVLAQWRVAIERQNHQSALAAFSHVHYVELLHDFDH >OMO88452 pep supercontig:CCACVL1_1.0:contig09010:3518:4024:-1 gene:CCACVL1_08384 transcript:OMO88452 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein GLUTAMINE DUMPER 3-like protein MATRQPFNVTANSPSGVTTPHSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLENGEGREGERDLEAGEGKGDETQKGSPVMEQKFLVIMAGQVKPTFLATPISSSRSSSFGDKSEKSCCSEKGDKLEEEKQGSSPADVVDDQAQSGSTSQQSSSQETQNH >OMO76791 pep supercontig:CCACVL1_1.0:contig10867:14998:15123:-1 gene:CCACVL1_15419 transcript:OMO76791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEKKNLANLLKNGVGRRLRTGARTGRESSVEERSRIVRT >OMO76790 pep supercontig:CCACVL1_1.0:contig10867:2311:2881:-1 gene:CCACVL1_15418 transcript:OMO76790 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein MDFACRGLLNLLQISPRLESLCFEQGVCLSTYDEKDDWILDPVPKCFLKHLKMVEFRLFNATNEELHVLRTLLRTAKVLENPYFPVDMAAKSPEMFFALLKESASDIVQLIEDSACNIGFR >OMP10571 pep supercontig:CCACVL1_1.0:contig02179:436:552:1 gene:CCACVL1_00868 transcript:OMP10571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKSRGTELTHSEHPLKDYVVRLDRINPYRIKVQPPVNLQ >OMP11314 pep supercontig:CCACVL1_1.0:contig01390:3343:4680:-1 gene:CCACVL1_00577 transcript:OMP11314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSWLATCSTSSSATSAHNSTIPFPKNASLLPENLVSDDSSSDNRGSATSSSASSSDTSYSSLHSNLSLQTLPSVPSLQKIFPETLTFSVSNITVTSIKPRPKHPITCLAVQGNFLYAASVNEINVYDRETSTLLDVYNGQDSSSGSVKSISFCNGKVFSAHQDCKIRVWQMTMTKKHKFLTSLPTVNDRLRRFILPKNYVNVRRHVKRLWIEHADAVTGLAVNNGLIYSVSWDKSLKIWRASDVRCLQSIKAHDDAINAVTVSADGIVYTGSADKRIRVWAKPSGEKRYELVATLEKHKSAVNALALNDDGSVLFSGACDRSILVWEREDSADYMAVTGALRGHGKAILCLINVSGLLMSGSADRTVRIWQRGVEGKFCCLAVLEGHEKPVKSLTAVKEDEQNGVVSVISGSLDGEIRTWKVSVSRPSSPASTTSDFLKWNL >OMO62599 pep supercontig:CCACVL1_1.0:contig13234:3758:6232:-1 gene:CCACVL1_22726 transcript:OMO62599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDQTLLPNRWSRRKGFRFGANAATDFAGLQPCRTDGRRSTLGLTICFYH >OMO87187 pep supercontig:CCACVL1_1.0:contig09288:4232:4327:1 gene:CCACVL1_09213 transcript:OMO87187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQHEQGLVKPKFPKAHALLLDCIFASNEESI >OMO68820 pep supercontig:CCACVL1_1.0:contig12169:25306:27461:1 gene:CCACVL1_19808 transcript:OMO68820 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MGATAKVKGRDKDASKDLKREKLSVSAMLASMDQKPDKPKKGAWASGATAKPKAKGPKVSSYTDGIDLPPSDEEYYASEEEQTESNRHQRQLKPLDTTISDKELKKREKKEILAAQAAEKAKQEALKDDHDAFGGEDDSDELNEDDAGERLAELYEKLQILGSDAAEAQASKILAGLGFTKDMQGRPTRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLSRWKKTLVVVSHDRDFLNTVCTEIIHLHLHDKKLVSYHGNFDDFESAYEQRRKERNKKVEIHEKQSKAAIRSGDRVQQEKVKDRAKFAAAKEAAKNKRKGKIDEDEFTELAEAPKKCKDYRVEFHFPEPTELTPPLLQIRNVSFIYPNRVDFRLSDVDLGIDMGTRVAIVGPNGAGKSTLLNLIAGDLVPSEGEIRSQKLRIGRYSQHFVDLLSMDETPVQYLLRLHPHQEGLSKQEAVRAKLGKFGLVSENHLTPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMESIDALADALDEFTGGVVLVSHDSRLISRVCEDEEKSQIWVVENGKVNTFPGTFEDYKDELLREIKAEDDD >OMO68822 pep supercontig:CCACVL1_1.0:contig12169:45074:47368:-1 gene:CCACVL1_19810 transcript:OMO68822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MGKSGGRKKKGGSNQVSVDNNSSNTTAHVNGGVDLDSSIFLKRAHELKEEGNTKFQNKDYVAALQIYDNALRLIPKTHPDRAVFHSNRAACLMQMKPIDYDSVIAECSMALQVQPRFVRALLRRARAFEAIGKYEMAMQDVQLLLGADPNHKDAVEIARRLRTALGPRQEAQQDLQSRPSPAALGASAVRGAPIAGLGPCLPARPVPKKTSTSPPGGSVLSPTNKLEKHQNNLGTENGPENKAQLPKLVLKPSSGAFKATDKDGRREQSFSTSVRGQVPEVAIQWRPLKLVYDHDIRLSQMPVNCSFKVLREIVGKRFPSSKSVLVKYKDSDGDLVTITCTAELRLAESAVDALIPKEPEADKTSGFGMLRLHIVEVSPEQEPALLEEEEEKPLENDETKVDESGSHSSLGESVLEGVDTETEKTEKEPSKEKAGASEDPECKEVEMDDWLFEFAQLFRTHVGIDPDAHIDLHELGMELCSEALEETVTSEEAQILFDKAAAKFQEVAALAFFNWGNVHMCAARKRIPLDESAGKEVVSAQLQVAYDWVREKYSLAREKYAEALVIKPDFYEGLLALGQQQFEMAKLNWSFALAKKIDLSSWDPSETLQLFDSAEEKMKAATEMWEKLEEQRINELKDPNSSKKEELLKRRKKAGSGADAELSGTGELSAEEAAEQAAVMRSQIHLFWGNMLFERSQVECKLGMDGWKKNLDTAVERFKLAGASEADISTVLKNHCSNGDAVEGDENKVVAEAESSKVDQTSDK >OMO68824 pep supercontig:CCACVL1_1.0:contig12169:57742:57816:-1 gene:CCACVL1_19812 transcript:OMO68824 gene_biotype:protein_coding transcript_biotype:protein_coding description:CWC16 protein MGERKVSQKYYPSDFDPSKLPRVW >OMO68821 pep supercontig:CCACVL1_1.0:contig12169:37765:38124:-1 gene:CCACVL1_19809 transcript:OMO68821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQDTEVADQIGRQDEYPDTPVGELRRFLHEYYELKRQVEAKQEEYIIRSQSLTAIKNGQACLISNEDHVKAFALFVSLHLDQYPRARASIKGSLADDKKKQLYDLAKSLGIERFLDI >OMO68818 pep supercontig:CCACVL1_1.0:contig12169:1140:1618:-1 gene:CCACVL1_19805 transcript:OMO68818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGIVPCIAKPYKAMQASVPKEVMETKDMQAAMP >OMO68819 pep supercontig:CCACVL1_1.0:contig12169:11267:23027:1 gene:CCACVL1_19807 transcript:OMO68819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSQSDSNVFSVRIVSIDHYMAPPIPDFDICYSSFQGEKVNEVPVIRIYGSTPAGQKTCLHIHRALPYLYVPLADILPQGSYTRQEEEACTDALALALEKALKLKGSVGSKRQHVHGCSLVRAKRFYGYHSSEELFVKIYLYHPHDVSRAANLLLAGTVLDKSLQPHESHIPFILQFFVDYNLYGMGHLHLSRMKFRNPIPDKFRSRKFNYCSGHGGMDDLTLDSADASHDVCVSSPVWTSTTIPGEWMWHVSNEPDMSSDQDICHVKRQSFCELEGDTTIDGILNQQFKIYTSLSQTSSDVKMVQSLIPIWELVLQEEYERTGMHETALASDPDRPLPQDVLKILSLGLGHENELMELCGRVEDTLCSNESGFEHSVVPSAEEEYLVGPTNMNSKHTIPRAEVNLPESLSQHCEPCEKEMNAAPSEENDVYPELLSVGEKQSLETVGTDTEALGLLRWLATSQAAEDINSDDELVRDTILTPLLPATSIEKVLEKAGIDYESESQKECQDILDSVGDLIDFEGLKERDSHSFNHIQKSSGKSIPQIDGSSDDLCLSPSAESVENSSKKDIKTELKRSSQDTCKISSTKQKRKRSLWGSLPLSVTEKGKDNSDSMTFNTTEACTDGIKEYSTIFSAESQLANPSDPLNRNVHASDHDKQEASALVGLSTRDLMRRKRSRRIEPADCGSLRSESIHLTENKETDVLFFPKQLESLGLHDELDDKASGSLNQRLSLANEQKEFHEAVGLKPTEFDSMYCTKRQSSGVYNPSETNTGHSEEIGKKLALNFYLKKHDSAISIDAYASKSHEEIYSPDERLRQTGSSGSRSLSASPHKHKVLSMGGYIHKNDNTGGTSPFIDKLVGISAMTDKSCLQKEDCGDRKQESNNNLVVDVDAKPLELIGMTFCKKPPMAYCNDEATENISHSAATQYSPALYNEANCQGTSGHCEEEIQNKSLVNNNSNLHQEAVLGIPTHYQNDGSLLYLLTPVFSPPSADSVYRWLSCDDKEPFSGSSECLITAENSSPVNCNEASTEPDSKYLMGSMLDQGHPEKNVVFGSELKSCGNESIATCQSEGYIRKVNEYTNGSQDLSQISGPDGKSRPTPLSQIGFRDPASVGAGQQLTLLSLEVHTESRGDLRPDPRFDAVNVIALAIQNDNDSVIEVFVLLYSITGCQRNLDGISEFKVLAFSEEKHLFGHFTKILCSLDPDVVMGWDIQGSSLGFLAERAAYLGIGLLNKISRIPSETKIKPEETNVSENSLEDEMLPKPLNADSVGMEDAIIEDEWGRTHASGVHVGGRIVLNVWRLMRGEVKLNMYTVEAVAESVLRRKIPSIPYKVLTKWFSSGPAQARYRCIKYVVERTKLNLEIVNQLDMINRTSELARVFGIDFFSVLSRGSQFRVESMFLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCFCTCLGKIVNSKVNTLGVSSYAPDPNVLQGIIDQVLLTPNGVMYLPSKVRKGVLPRLLEEILSTRIMVKRAMKKLSPSQQVLQRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRCTLERAISFVNTHEKWKANVIYGDTDSMFVLLKGRTVEEAFKIGHQIASAVTAMNPNPVTLKMEKVYYPCFLLTKKRYVGYSYETPDQVKPVFDAKGIETVRRDTCGAVAKTMEQSLRLFFEQQDISKVKEYLHRQWTRILAGRVSLQDFIFAKEVRLGTYSAKLGSLPPAAIVATKAMRADARAEPRYAERVPYVVIHGEPGARLVDMVVDPLELLAINSPYRLNDLYYINKQIIPALQRVFGLVGADLNRWFSEMPRPAREALGKCGVHALNPQRTRIDYYYLSKHCILCGELVQSSAHLCGKCSQNETAAAAVIIWRTSKLEREMQHLAAICRHCGGGDWLIESGVKCNSIACSVFYERRKVQKELQGLSAIATDKGFYPKLSDTASYLQLWALSLAHSELSSLFYDVLLLAWR >OMO68823 pep supercontig:CCACVL1_1.0:contig12169:48037:52670:1 gene:CCACVL1_19811 transcript:OMO68823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MAQSPFAFILQKRLSVSSKTLLKPHIISSSSSLIFNSIKVRPFSSGLPHPAASTTPEPPKPTSLSARMSFVFDQIDRIEKQRQEQSVQNDETLQRIRAWRQSKKEAQETQKQFQSGEENPDSGIAQNDANLTEFTLSDSPELTKSQNGDALRRIRAWRESTNGENKECLEGEIAKNEANFTVFSTESGAELGELGKQKGKELEVVHPWPEWIELMERLVQQNYFDHKRRDEEKMVEELGFDMSEVDDQIKDDAGIDFKDFKTVQTACVNFGKDRFDILRSLSRQDIQILVGFGCPSADKKVVFSAKLLRKRVHLDEGDVCSSCSLRNSCERAYLLTNKEDEARTIDVMRVLLTYGFDYVNGSVVNESVQKQKSVKTVVRKLLHQVVKLSAVPIDPNLPPPVIKKPPPKVKQPPPPPKKRVGRDDIEMKKGDWLCPKCDFMNFAKNTVCLQCDAKRPKRQLLPGEWECPECNFLNYRRNMACFHCDCKRPPDAVMEEGKIQEMQPGPRTRFEKGARRPEVSNAWNFDFDDDESDGADVAAFEYADFSARVEASPSDNLGGNLRGLEDDFDTAGRGSRIHERSYSDMHSSRHGMGFDDFDDEDDIDSYEIDTQQNNPRQKATSSIYSENEGFSEPEQSEGSNDSLSSRRTRFPSYNKSPNHMHKNVALSGAEDDDLDFHSDAELSVHPNWKSSHVADTKHRGRGAPKNLSYGSDEDLDLDSDLDDDFGNFRSKGRKENKRSYSKGKFRGGSSDFEDDCFSGSEGSHSRRNGSRRDKIGYGRRENNGSGRGGYNLVNDSRSRSNPKMDDRRNYSDNFDRSHRGSRGDSRRDDRRIYSNDKFDRSSRGSRGDSRRDDRRIYTNDRFDRSSRGPRGDNRRFPEGDYGSQRKNDRQKFMGSRQDGVFENRRPGRSHEYDSSTGRDDPGEFRNSRRVIER >OMO68825 pep supercontig:CCACVL1_1.0:contig12169:63259:71089:1 gene:CCACVL1_19813 transcript:OMO68825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Always early, putative isoform 3 MAPTRKPKSGIQRHSSINEVSPDKDAGNSNKSKPKVAAAVRNRSTEMVEALYSMNQAYLGLPEGTASVIGLIAMMTDHYSVLGGSDCERENNELSEIPQKAQKRKRGKFNLDSSKDVSQPQAIVSSEGCLSLLKKAGLNGIHPHAVRKRTPRVPVQYSYMRDDTESYIPPNKKVKKPEVDDDEHIAALTLTGALQRGGSPQVSQTPIKAKCRRSSPVQGYDGMQSEAIKAKLRDSSHECWMEGRPKGRKTLIETHAKDTDRLRDMEAVGSIQVHRNGQKFYRKKVKVDEIKKNLSDDGGEACSGTEEEIRGNALKVKVDMEIGSEELSPWSQRKSSNKKLVFGDESSPVDALLALANLSTSMLPTSVMESESPVKLKEDRMTVESDDKCRLPEAASVNHHGDKIKHLGSKEKVRNSTPGIDDSTLRKSKVGRYSAAEGNVCETKQQPEPTNSSWKRKRKSSSYKDMAEEEKKYLNKGKSGAQPSIRQRPWKGSLDKKQCEPGAQSSIWSRPWKGSLDKKIDSVVSASRVPEDMAEEENYLSKGKSAAPSSIQSRPWKDSLNRRQGKGSRGSKDNDDPKLAGIDSVVSTSQVPAANPVSLPTKHQNRRKMNLKRALISKDLNSSKCTSKNQPNKRCVTQDRPEEKLFTCLSSSLARRWCTFEWFYSAIDYAWFAKREFVQYLNHVGLSHIPRLTRVEWGVIRTSLGKVRRFSERFLSEERQKLKEYRESVRGHYTRLRSGTEEGLPTDLPRPLSVGQRVIAIHPKTREVHDGKVLTVDLDRYLTDIILQDVDCMPLNSLENMPEALKRQNLAFDKFSVTTKESQVNGQSGVGGSTVFTSKGCMENATSPVNMLANQIKVNEYCNISHDKAAIPNVISTREVAYDQHLSTARIQGREADIRVMSELNRALDRKEALVMELRNSNDILENQNGESLKDSEPLKKHIATAHTAILNLRQRNAYPAIPLSPLQKPPTNSNFFGCLTSSLDSSLVIPELGSAVDEIVTGSRLKAHDMVEAAMKAMSSMKDGEDAFIRIREALDSVDKQQFTSDIKMPVLKSTERENGSISYHNHSVTSTSKPAAPSDWTPNPNLQEASDKEEQIPSELITSCVATLLMIQTCTEQQYPPGDVAQMIDSAVTSLHPSCPQNLPIYREIQTCMGRIKTQILALIPT >OMO68826 pep supercontig:CCACVL1_1.0:contig12169:79668:79984:1 gene:CCACVL1_19815 transcript:OMO68826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIARRTAEAELCKTQAFASNLTMSDRE >OMO84001 pep supercontig:CCACVL1_1.0:contig09808:18756:18824:1 gene:CCACVL1_11050 transcript:OMO84001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGVNIGGKRRFKEFAAWNRN >OMO83998 pep supercontig:CCACVL1_1.0:contig09808:635:834:-1 gene:CCACVL1_11047 transcript:OMO83998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFKGQPRLPKFAVPKRYDIRLKPDLPAPFPSTSTSLPRLASSSLMPPNFQSTLAPSPSHLGTHP >OMO84000 pep supercontig:CCACVL1_1.0:contig09808:10528:10635:-1 gene:CCACVL1_11049 transcript:OMO84000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTQSLPFSSHPPILSFFFLFSFFSPSWPFSRPF >OMO83999 pep supercontig:CCACVL1_1.0:contig09808:6016:9154:1 gene:CCACVL1_11048 transcript:OMO83999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEEETDVDTNFQKAKASEGSSEWEKQRKPHA >OMO84004 pep supercontig:CCACVL1_1.0:contig09808:35600:36943:-1 gene:CCACVL1_11054 transcript:OMO84004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNNNSNLPIDQPSRNRMNRLSVIREHRQRGRFHFVRPRGSHGRRILDRTSNLHLLSSQNRLDISDSVMGVDVDNGSEIYAERTHLDEDSPRNLVSRDNHANYIAFIQRRDVINSRTVVGVNGNVISTTTSTVGYDLVTSSMESNLLPIYQSAEVGSSSATIEGVSLPGSEDSLPTEFNGEFNVIPEVESVSRFQTENSSGEFNVITEGVNVSGSQVENSSDSIVSDQLDIKSECGDVLEQGEPSAFDNGQYISIHH >OMO84002 pep supercontig:CCACVL1_1.0:contig09808:26263:26821:-1 gene:CCACVL1_11051 transcript:OMO84002 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRAS1-related extracellular matrix protein 1 MSEEIIVEIPGTNRMSRRRDFTILTLCMLVRAGQINPFLNSCFATQIYVNLPINETAMVAAIYEDINGRIEMMPPANQPPDHPPQ >OMO84003 pep supercontig:CCACVL1_1.0:contig09808:29050:29475:-1 gene:CCACVL1_11053 transcript:OMO84003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSRDVPEATLLQLLNNDPLTVQDEIAYKIDG >OMP10866 pep supercontig:CCACVL1_1.0:contig01826:144:245:1 gene:CCACVL1_00767 transcript:OMP10866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGSHVALHLTTNTRRGMPYHEANGKVLTCNCQ >OMP11441 pep supercontig:CCACVL1_1.0:contig01285:1361:1522:1 gene:CCACVL1_00517 transcript:OMP11441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKDREAESEEGKGQGSPVVPPLLSTRRDSGSTAPSIKGSHSHRRWRGLGGS >OMP11442 pep supercontig:CCACVL1_1.0:contig01285:2353:6017:1 gene:CCACVL1_00518 transcript:OMP11442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQNSSFISELQVLPGTVASVSKSSLLNKGGELRQKQPISKTMGLVYVVHFKCNKKLLREYPNLFNYTKDIYQVPGMSSTVNMQHIKGHDYGSHPTLNPFGIIPAGPNIDHSSPHDRARFSS >OMO73709 pep supercontig:CCACVL1_1.0:contig11187:13975:16594:1 gene:CCACVL1_17170 transcript:OMO73709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSISLLLAAWQKILSGYTNCTIEKVIINSIIHRRNDAEVTLKASSLNNADDSKEAKLEESKSFKFKKLAFKENKIVIPIKSTLKLLEPMELEFSSPRPVIELDAAATKLQKVYKGYRTRRNLADCAVVVEELWWRTLDSAALKRCSVSFYNIEKPETVMSKWARAKTRAAKVGKGLSKNEKAQKLALRHWLEAIDPRHRYGHNLQFYYDVWSASKSTQPFFYWLDIGDGKELNLQKCPRTDLQRQCIIYLGPKEREAFEVIVESGKLVYKQSGMLVNTTEGCKWIFVLSTSRLLYVAQKKKGFFQHSSFLSGGAITAAGRLVASQGVLEAIWPYSGHYLPTEDNFKEFISFLEEQNLDLTNVKRCSIDDDDYTSFKVTDDKSKHGRIKDQTATETAEQTDSEAENAGRPATEACIKNESMGSGAANMEASSFNMATKHLSCKWTSGVGPRIGCVRDYPTQLQFQALEQVNLSPRPMPCRFGNSGPIPSPRPSPEILVSPGLAYMGLPSPRVPITCC >OMO73710 pep supercontig:CCACVL1_1.0:contig11187:17089:21716:-1 gene:CCACVL1_17171 transcript:OMO73710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MGPLRLSAESARIFHEGLGLILSRWSVLTTAVENECSGHDSRAIVNILCSEVFSYFTDDAEESGSKEDNEFHMGRQPLIIVADAELCKEVGIKKFKDFTNRSIPSPIAASPLHQKGLFFTKDSRWSTMRNTILCVYQPSHLASLVPTMQKYIESATENLHDSKEDIIFSNLSLKLATDVIGQAAFGVDFGLSKPKSNKTVQSDEVSDFINQHIYSTTQLKMDLSGSLSIILGLLIPILQEPFRQILKRIPGTMDWKVDRTNKKLIGRLDEIVSNRMKEKNRGSKDFLSQILSARESETAAKNVFSPDYISAVTYEHLLAGSATTSFTLSSIVYLVSGHPEVEKKLLEEIDGFGPRDQIPTAYDLQHKFPYLDQVIKESMRFYLVSPLVARETSKEVEIGGYILPKGTWVWLALGVLANDPKNFPEPDKFKPERFDPNCEEEKRRHPYALIPFGIGPRACVGQKFSIQEIKLSLIHLYQKYVFRHAPTMEKPLELEYGIVLNFKHGVKVTAIKRT >OMO73712 pep supercontig:CCACVL1_1.0:contig11187:33781:47851:1 gene:CCACVL1_17173 transcript:OMO73712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMGISKPSIKAFFGVAVRLKMMVTATEPPLAMLVPLGTI >OMO73708 pep supercontig:CCACVL1_1.0:contig11187:9906:12477:1 gene:CCACVL1_17169 transcript:OMO73708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MGSPQGSTLRTNVAGLVDGSTVQTEVSHIDCLPVYVKELIAGGAAGAFAKSIVAPLERTKILLQTRTHGFESLGVHQSLRKLLKHEGIVGFYKGNGASVIRIIPYAAIHFMSYEQYRGWILENYSSLGSGPLIDLLAGSASGGTAVLCTYPLDLARTKLAYQVVDTRSNFRGGISSLYPRPAYSGITDVLTTVYKEGGIRGLYRGVGPTLTGILPYAGLKFYIYEKLKTHVPEQHQNSIVMRLSCGALAGLLGQTFTYPLDVVRRQMQVESLQSSTIQGDTRFRNTWEGLTTIARNQGWRQLFAGLSINYIKIVPSVAVGFTAYDMMKVWLRIPPRQKDVSA >OMO73713 pep supercontig:CCACVL1_1.0:contig11187:48239:59983:1 gene:CCACVL1_17174 transcript:OMO73713 gene_biotype:protein_coding transcript_biotype:protein_coding description:aldehyde oxidase 4-like protein MEIVTRPYYSHSSVGCIIRVYLKAPFGSIECYWITAKSYDITILGSRITLLVLTFWGPPLLLGLAGSFHYATRAAIKEAKRQLSSWSGHQNEPDSTFQLEVAATMPVVKAHCGLDCVERPSAKS >OMO73711 pep supercontig:CCACVL1_1.0:contig11187:25113:26851:-1 gene:CCACVL1_17172 transcript:OMO73711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-rRNA-processing protein TSR2 METITLSAESAHIFHEGLGLILSRWSALTTAVENECGGRDSRAIANILCSDVFSYFTDDAESGEYYIEDLLDILHQNLLRRNMKVEYSSIEEVAGRIMIMFDECLQDIYRSVENLRTSTPPPVAHVRLLEMVYSAHMSKKVRLLDINNLMYLTVFSLSYMKL >OMO87258 pep supercontig:CCACVL1_1.0:contig09266:11175:14677:1 gene:CCACVL1_09164 transcript:OMO87258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMEKSVLAEENFSEFEEENAVRGGENSRQFDEETTFSVQKRVHQCQYENVDNKQKCNIKNLQVIGVDILSMIQNLEIGFASAFARSGISDAPVGNTDMSELVSELYEQIQLCLSELKQLCSYYSFADPEGTCYNDVGVLESLDLIRQNQQSSSPYQEDSDLGKELSAKIFEIVKLKSDNLLKEIELEALRHRQKELETQVSSVEKEKSQLEENMEIMRREVAVTAKFLDDLRSEMMDLDGNMDSQISANRILVKKSSELGNGKQEAQVHVSELEEENLLLSDRLSQLEEQLRYLTDERESLYMEVQTPESEAMKFKDEKIRSEDEMEAHKVDRKQKIEEMQKQWSEVQEECEYLKTENRKLIEECGVLQKANGELRKENMELHERCLAWETESKESEKVFSNILNKVVNLQRENQLLEEEILAQLQKKILLQDEILALKETISDTKFENEMLEASFVMLSRDYEELEAETTFFVQKIVNTCKCSKVTLEEALGIRETWLKNKLADSMRENHIQVDDNQNCNNESATFMGIELAEALEADDMCNAQLNSLLSKELSIHLDVPEKSTGEGAAAAKERCLLLTVEQDSEHISSVKHKLGTFLGSSKG >OMO87259 pep supercontig:CCACVL1_1.0:contig09266:15370:15786:1 gene:CCACVL1_09165 transcript:OMO87259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B MAPKAAEKKPAEKKPAEKAPAEKKPRAEKKIPKEGGADKKKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >OMO87260 pep supercontig:CCACVL1_1.0:contig09266:16328:21463:1 gene:CCACVL1_09166 transcript:OMO87260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGSSSFTSTKLTSLSLPSHKTSENALQRGASSFKFVCVRASAASSVPKARFVARRKESVSVRQLERPLIEYMSLPASQYSVLDAERIERVDENTFRCYVYRFKFFNFEVCPVLLVKVEERPNGCCIKLLSCKLEGSPMVVAQNDKFNASMVNQISCTNQSDSLVQELTSDAVIEVSIEVPFAFRPIPLGMIESTGTQVLEQILRLMLPRFMAQLVKDYQAWATGDTSRQPLGTGKI >OMO87257 pep supercontig:CCACVL1_1.0:contig09266:687:6284:-1 gene:CCACVL1_09163 transcript:OMO87257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTESSYVSSPEAARKRSPPPPKSPTSESGEKPTYIRFLVSNAAAGSVIGKGGSTITEFQSNSGARIQLSRNHEFFPGTSDRIIMVSGTIDEVLKAMELILAKLLNELNIEDNDDGEPRTKVRLIVPNSSCGSIIGKGGATIKPMLLLLATTSAKGEHNLTVKAYWSRKSFIEDSQAGIKISPQDNNFYGLNDRLVTLTGTLDEQMRAIDLILSKLSEDPHYSQAMHAPFSYAGIFFSGFHGIPYAYVLPSVATAAYNSMSYVPNGGGGKFQNHKEDRSNSVTIGVADEHIGLVLGRGGRNIMEISQVSGARIKISDRGDFMSGTTDRKVTITGSQRAIRQAESMIMQKVAYATERVMD >OMO92858 pep supercontig:CCACVL1_1.0:contig08160:25346:32786:1 gene:CCACVL1_06739 transcript:OMO92858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLQSVDAEKIRQESEEKQFGIQEVIGLSLHERFRIIRNLIEISSLNPKSNRNSCELLRSKCKVVCEYFVQLQKKNDHGDAINQIQTMITCLDFTTDFVSLSAHEAGASLALPVVVAALSNFLNFYNWTVG >OMO92857 pep supercontig:CCACVL1_1.0:contig08160:10541:11092:-1 gene:CCACVL1_06738 transcript:OMO92857 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRE-NHR-6 protein MFTRPRLQASSGASFEPRNQHVASALGQPRPKSSSSTALISTDWSLCSVLNRPRLLANLAPVSLLLKFTDNQLRLQRCSFLHLGPELGLFRTKSNSSLSRCLVGIKPANNFVTSNTLSFLLKIGPVFQLQAAPAALQQLLRYCPRLVVTSNPHCHRIQKLTSPNYVFNINLPRYRQPRPNPKT >OMO85125 pep supercontig:CCACVL1_1.0:contig09666:127959:129155:-1 gene:CCACVL1_10418 transcript:OMO85125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKKGISHEILGSRSFTHRAKSSVLSIILFALLIFFMLLNSAAFKGKQDSDEVLLQKRIIKVATGYQNSVNSLLSSSGDGEEGKYRRKLPEFEMFMSDELTEKFHGRVLEFFNQNCEVQFFMTWISAAESFGKREILALESVFKSHPDGCLVILSRTLDSVNGYRILKPFLDRKLKVQAITPDLPFLVKNTPAESWFEDMKDGNKDPGGIPLAQNLSNLMRLVVLYKYGGIYLDTDFIVLKSFKSLKNTIGAQSIDVVSKNWTRLNNAVLIFDMNHPLLFKFIEEFALTFDGNKWGHNGPYMVSRVVYRIEGRPGYNFTILPPMAFYPVDWHKIGSLFKKPTAGAAAQLKWVEAKLRQLKQETYGVHLWNKQSSKLIVEEGSVMGRLISENCVLCEQ >OMO85102 pep supercontig:CCACVL1_1.0:contig09666:22042:22146:-1 gene:CCACVL1_10395 transcript:OMO85102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSGEIKMNWVVDTTRRRHEQIRQTQMALQEN >OMO85106 pep supercontig:CCACVL1_1.0:contig09666:39953:40902:1 gene:CCACVL1_10399 transcript:OMO85106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRPPPVVHVKWPSIVPPLVVHVKCLCSISARQQLTVDIIRVFTCRCGYQYPCSMRLAQGLHPGEVEFDTGEASRENALEVYTFFRNVLRRDENVRVWPPPPFGIVPYPYVGGAAIADVADWPKDLPSESAGPSQCRFAGLSQTRSVLVGLLPGPEARERLSSNGEWRMYGKGNRPTIFNEVSKAMEDNGYPNRSYRACIKRFDEYNNPLDLLKGEDYMGRAKNPLDLRGVMSKAEAQTVRNFVGYVHFIYCKMLDLEPTLEEPMKPTEVEEQPHEGKEEEPPEGEED >OMO85111 pep supercontig:CCACVL1_1.0:contig09666:61141:63464:-1 gene:CCACVL1_10404 transcript:OMO85111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAHRHFEPISKCSSEGRSNQTVAADLDGTLLVSTSAFPYFMLIALEAGSLLRALVLLLSVPFVYFTYLFISESVAINTFVFITFAGLKIRDIELVSRSVLPKFYADDVHPETWRVFSSFGKRYIITANPRIMVEPFVKTFLGADKVIGTELEVTKSGRATGFTLKPGVLVGEHKRAALLKEFGTNLPDLGLGDRETDHDFMSLCKEGYMVPRTKCDPLPRNKLLSPVIFHEGRLVQRPTPLAALLTFLWLPIGIVLSLLRVYTNIPLPERIARYNYRFLGIKLIVKGTPPPPPSKGQSGVLFVCNHRTVLDPVVTAVALGRKISCVTYSISKFTEIISPIRAVALSREREKDAANIKRLLEEDRIVPVAINTKQTVFHGTTVRGHKLLDPYFVFMNPMPTYEITFLNQLPTELTCKGGKSAIEVANYIQRVLAGTLGFECTNLTRKDKYAMLAGTDGRVASKKEKEQEKENGKA >OMO85123 pep supercontig:CCACVL1_1.0:contig09666:121942:122091:-1 gene:CCACVL1_10416 transcript:OMO85123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLSINTANIKTGEVLPSPHMTTVVGLGVATTTTTTGTTKDTENEDPL >OMO85104 pep supercontig:CCACVL1_1.0:contig09666:32921:36842:-1 gene:CCACVL1_10397 transcript:OMO85104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MSPSPSLSINYSLSPPFFAHPSSHSSSSSLTLYPPNSVKVLSFPSSSAIPIGLIVKRSSFSESVRLKSEKYRSKRVAVVRASEDMAITEVREEENEDLNAPLIDPENNSRPRRIALFVEPSPFAYVSGYKNRFQNFIRNLREMGDEVMVVTTHEGVPEEFYGAKCIGSRSFPCPWYQKVPLSLALSPRIISAVAQFKPDIIHASSPGIMVFGALIIAKLLCVPIVMSYHTHVPVYIPRYTFSWLVKPMWLVIKFLHRAADLTLVPSAAIARDLEAARVTAANKIRLWNKGVDSESFHPRFRSHEMRLRLSNGEPDRPLVIHVGRLGVEKSLDFLKSVMDRLPEARIAFIGDGPYREELEKMFTGMPAVFTGMLQGEELSQAYASGDVFVMPSESETLGLVVLESMSSGVPVVGARAGGIPDIIPADQEGKTGFLFNPGDLEDCLSKLEPLLRDKELRETIGKAAREEMEKYDWKAATKKIRNEQYNAAIWFWRKKRAQLLRPLQWLTKRLFPSPEVL >OMO85115 pep supercontig:CCACVL1_1.0:contig09666:88523:90998:1 gene:CCACVL1_10408 transcript:OMO85115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFRTVFVAVILASGKILMDMVTQSEAAPPWAVTQTKCGCKKAKNKFEY >OMO85116 pep supercontig:CCACVL1_1.0:contig09666:93527:96323:1 gene:CCACVL1_10409 transcript:OMO85116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRQVGFYKPSLCRCRACFPFKSVPLCGGGSILARL >OMO85112 pep supercontig:CCACVL1_1.0:contig09666:68699:70406:-1 gene:CCACVL1_10405 transcript:OMO85112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MEGQGEDVRVGANKYRERQPIGTAAQTQDAKDYNEPPAAPLFEPGELSSWSFYRAGIAEFVATFLFLYITVLTVMGVVKSPSKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLLLARKLSLTRAIFYMIMQCLGAICGAAVVKSFQQTQYERLGGGANTVSSGYSKSSGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPVTGTGINPARSLGAALIYNKEKAWADHWIFWVGPFIGAALAALYHQIVIRAIPFKSK >OMO85107 pep supercontig:CCACVL1_1.0:contig09666:45531:45626:-1 gene:CCACVL1_10400 transcript:OMO85107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARWESIDSAKERDLVKLEEEETLLCEFE >OMO85118 pep supercontig:CCACVL1_1.0:contig09666:99516:102657:1 gene:CCACVL1_10411 transcript:OMO85118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MLPQKQAEEAIVSNFSETEHETREEDKETDEQHSMFSVKNFLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQVFYGLMGSWTAYIISVLYIEYRSRKEKENVSFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALIHGQADGVTHSGPQKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATLYVFTLTLPSASAVYWAFGDQLLNHSNAFSLLPKTGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTRSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRKASARQNAAEKPPFFMPSWTAMYVFNAFVVVWVFVVGFGFGGWASMTNFIRQIDTFGLFAKCYQCKPPTPAGGAAAGAAAQHH >OMO85122 pep supercontig:CCACVL1_1.0:contig09666:113274:114641:-1 gene:CCACVL1_10415 transcript:OMO85122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQKKFSVRSMLGPLRKMSKKSLTYRLISRMAKSPVLSTITFGAILFFIYADSLMSNLSINTANIKTGEILPSPQMTTDFATNATSLNSLPVVGLGVATTTTTATTTEDTENEDPLIPPFKASVDERMEWFRRKLPELEILKSTELSEKFHGRVLELFNKNCSAQFFMVWLSSAQSFGPREFLAVDSLFSTTPDGCLLILSSSMDSPKGYRILKPLLDRGFNVLAVTPDMPFLVKNTPAEPWLDDLRSGNMDPGSIPLFNHLSDLIRLSVLYKYGGVYLDTDFIFLKDLSPLRNVIGAQSINQQTKKWSRLNGAVMIFDIHHPVLVEFLKEYATTFNGNRWGHNGPYLVSRVLERLGGNSFSLQIGDNSENNVTVLPPKAFYPVDWIKIAKLFKKPETEADMKWADDTVADIGGESYVVHLWNKRSRELKIEEGSVMARLIAEHCNICENIYDT >OMO85113 pep supercontig:CCACVL1_1.0:contig09666:73572:75966:1 gene:CCACVL1_10406 transcript:OMO85113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MFSNIHFSKAALFISGLVFSHGLAENAVMCILISYFMDNWKTKTNLRQAAAVVNVEEGISAIMAIIVSYVSETYLCQFKVIVYTTALFVIGLVLLWVSTLLNPELEVRILYPIVMLIALGKAGRGPPLKAFLLDQVTDNGEDHQAIDEEEVEGRKNFWWRVAWSLGVVASFSLSICSWRRTFLTSAMVMAIAYLWWLDKASIVESNEEEITGRLYTVAQVNQVKFLITIVPIWTTYFVNGLVEATGRTFFVEQANNMDDNIGNLFIVPVTLFFMLKSLVTSLVPFLLQLLIPKQISRSKRRLIILVKIGIGMVFAMFSCIVAWQIEVHRLSLIKRGKINDQYSISTNIPMSIFWLAPQFTLLGLMAGFAEDGLRDLFYNFDESKTLICNNSSSSSSMDWTLGIGNFLNILFAFLFRAWFGDNINSSRLDKYFLMLAMFSIGNLCLYCLVTLVLYNKFWREEQVREENIV >OMO85109 pep supercontig:CCACVL1_1.0:contig09666:50106:52362:-1 gene:CCACVL1_10402 transcript:OMO85109 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MDVIKTQQISSRPIEKVIVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGTSFKGTVDVTNSYAVPFEEDEKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDIHRLFHNYVPNPVLVIIDVQPKELGIPTKAYYDVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLTALKGLDARLREIRAYLDLVIDEKLPLNHEILYHLQDVFNLLPNLNVNELIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDAKPATVPAAS >OMO85127 pep supercontig:CCACVL1_1.0:contig09666:159738:165235:1 gene:CCACVL1_10420 transcript:OMO85127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLLAWDRVLINADAPYIKMVSEQFDRCHGTLLQYVEFLCSAFTPAAAYAQLIPSLDDLVHLYHLDPEVYELGALSSCCHFRDDSDGVILDLGPPQKPIVWAELLDTVKTMLPSKAWNNLSPDLYVTFWGITLYDLYVPRNRYESEIAKQHAALNALEELPDDSSSASDESIYERECGNMPGFAVFYRYPNSQRVTYGQFIKCGNDAPISASSMLCEASQIWRDYMMITYGDPSVRMPHLNQPAYNWNIKLHNLHVFACYILLNMWHHDVVTQLIVESTVLSADPIAQKSDGLEESADPSFDDVVQLALDFSGYKIVNWLSEETYVLPLLFVLSEGLLTTAMEYLKLLGSDELSPELVILKYTVLQFLQNLQIHIERRVSEMLETGAATSNVDSSVVPCGA >OMO85103 pep supercontig:CCACVL1_1.0:contig09666:29772:31190:1 gene:CCACVL1_10396 transcript:OMO85103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MPSSSATLISKCVIYPDQKSNIKTLKLSVSDIPMLSCQYIQKGVLLTSPPDLSFDELLISLKQSLSTTLSHFPPLAGRLTTDSDGHVHISCNDAGVDFLVVKYPNLSINDILCPGDVPDCVKEFFTYDKTISYSGHSKPLAAVQVTELADGVFIGCAVNHAVTDGTSFWHFFNTFAEINRGVSKISKSPDFCRDTVFNSQAVLKFPPGGPTVTFAGDEPLRERIFHFSREAILKLKYRANYGCLLTKQTNNSEVLGKLSNDSWKSVNGETNGKVKSNSNNNSEISSFQSLCAQLWRSVTRARKLDSSKTTTFRMAVNCRHRLNPKLEPYYFGNAIQSIPTFAPAGELLAKDLSWAADLLHKNVVAHDDNTVRRGVADWEKQPRLFPLGNADGASITMGSSPRFPMYNNDFGWGLPLAVRSGMANKFDGKISAFPGREGNGTVDLEVVLAPETMAGLENDADFMQYVSAVVYE >OMO85117 pep supercontig:CCACVL1_1.0:contig09666:97589:97690:-1 gene:CCACVL1_10410 transcript:OMO85117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPGRPPCCGCQLLLPPPKLKGSDPNPNLTQ >OMO85108 pep supercontig:CCACVL1_1.0:contig09666:46555:49251:-1 gene:CCACVL1_10401 transcript:OMO85108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASRDKRDIYYRKAKEEGWRARSAFKLIQIDEEFNIFEGVKRVVDLCAAPGSWSQVLSRKLYLPAKQSPDSKDDDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHVLKQGGKFIAKIFRGKDTSLLYCQLKLFFPEVTFAKPKSSRNSSIEAFAVCENYSPPEGFNPKDLYRLLEMVGSPSGADDLDCSSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPKVADGTYQSLDPVQPPIAPPYKRALEMKKASSQGIRDLEKLSLDS >OMO85101 pep supercontig:CCACVL1_1.0:contig09666:13560:14889:1 gene:CCACVL1_10394 transcript:OMO85101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNRGLEILSPASYLQTSNWLFQESKGTKWTAEENKCFENALALYDKDTPDRWFKVAAMIPGKTVGDVIKQYKELEEDVSDIEAGLIPIPGYSSDSFTLEWVNDSHVFDGFRQYYTPGGKRGTGTRPSDQERKKGVPWTEEEHRQFLMGLKKYGKGDWRNISRNFVTTRTPTQVASHAQKYFIRQLTGGKDKRRSSIHDITTVNIPDTPTSSPDHSKPLSPNNSAAVMQAQQQQKAAGVTKELFEWKQQNEGAMVFNQTSGNAFLSPFCGISSYGVTKVEEQDLIPRSQFGSYNTLFQMQSMQRQ >OMO85124 pep supercontig:CCACVL1_1.0:contig09666:127194:127274:-1 gene:CCACVL1_10417 transcript:OMO85124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEHEAAAEEKEEDEDEDQYKDFWY >OMO85110 pep supercontig:CCACVL1_1.0:contig09666:53613:56225:-1 gene:CCACVL1_10403 transcript:OMO85110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MAYLRVLALCWADFFNAYVIWLMMPYLTDVWKLNFIPASTIVNLWRGSIAILPFFLMFLADTVTGNFWMLFLSSISCSTGMGLLSISTPPVLAKETGGCGSYNPHCISDEKRILFFAALGLIAFGISGLKSSLLSFIEKQDPELDEENITCGRALGFCFGLLSVLLIPVAAILSLSYLKQYSFKFGLPAILTTAATVAFMTGSCRYTREPPGGSPFTTIIRVFFSATSKMFLRSPNPARLYERRGSNGAAETDSFSLPHTPGLSCLDKAAIVLPNQNPTQQRNNRWRRLCRVTEVEETKIIIRMIPICLSFIICGLVSSIGHTYFISQANHLNRTDHGRHYPLLLLTFFCEYYKSEYTRTYLSCAKNSSGKLRKFGPPIGIGISMVFAIFCCVTAAKVENQRLDLVKSHGLIDKPDEEIPMSFFKLTPQFALLGALDGIFQASVAGFFTSQAPPSLAPYMTRLSEGIRGVGIIGNVLSVFVVGKISERGGKQRSWFQDTLNKSRLDNYYWTLTVMVSVNFVFYILMAFLFDYKDPTEVSDSEQSSDSDQSIGYEETRQELAFQDP >OMO85119 pep supercontig:CCACVL1_1.0:contig09666:105145:105701:1 gene:CCACVL1_10412 transcript:OMO85119 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S31, chloroplastic-like protein MASLLLGAVATPIPAQSLNLSSVSRISSSHSQTLGTSFSVSTSALSVSNASSPSTLYVYCGRGDKKTAKGKRFNHSFGNARPRNKKKGRGPPRVPCPPSPPRKDKFDDDEKIKIEIDESPFF >OMO85126 pep supercontig:CCACVL1_1.0:contig09666:143419:143739:1 gene:CCACVL1_10419 transcript:OMO85126 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glutamate carboxypeptidase 2-like protein MADQNLPLHPSTVALREFMSAQKEAKEAKEAVRLAKAALDEAAAALLDGDDHPKIELKSREDLTMVKNLKQIYGFNGKVKISSPLPENVKSYLRSKAAELGIEVQD >OMO85105 pep supercontig:CCACVL1_1.0:contig09666:38819:38881:-1 gene:CCACVL1_10398 transcript:OMO85105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEESVVAGQIGLQPYWRR >OMO85121 pep supercontig:CCACVL1_1.0:contig09666:110077:111357:-1 gene:CCACVL1_10414 transcript:OMO85121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQKKFSVRSMLGPFRKMSKKSLTYRLISPSSMFNLSINNTANIKTGGEVLPTELPTNATNLNILPVVENEEPLIPPFNASVDERMEWFRRKLPDIEILKSTKLSEKFHGRVLELFNKNCSAQFFMVWLSSAQSFGPKDFLAVDSLFSTNPNGCLLILSSSMDTPKGYRILKPLLDRGFNVLAVTPDIPFLVKNTPAKPWFDDLKSGNMDPGSIPLFNTLSDLIRLAVLYKYGGVYIDTDFIFLKDLSPLRNVIGAQSVNQVTKKWSRLNNAVLIFDIHHPLLVEFLKEYATNFDGNRWGYIGPYLVSRVLERIGDNFEYDVTVFPPKAFYPVDWFKIAKLFKKPETEADMKWVDDTVADIGGESYMVHLWNKRSRELKIEEGSVMARLIADHCNICENIYDT >OMO85114 pep supercontig:CCACVL1_1.0:contig09666:76261:78669:-1 gene:CCACVL1_10407 transcript:OMO85114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-tRNA ligase, class II MMPRQKAGPDASVKKTDVWVPGSTRNPEAYILKDQETRYRQRYLDLMLNLEVRQIFKTRSKIISYVRSFLDNRDFLEVETPMMNMIAGGAAARPFVTHHNDLNMKLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMELTEKMLSGMVKELTGGYKIKYHANGLDNDPIEIDFTPPFRRLDMVGELEKMANLNIPKDFSSDEANKYLVDACAKFEIKCPPPQTTTRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFINKHELCNAYTELNDPVVQRQRFEEQLKDRQSGDDEAMALDETFCTSLEYGLPPTGGWGLGIDRLAMLLTDSQNIKEVLLFPAMKPQDEPSAKAPAPAS >OMO85120 pep supercontig:CCACVL1_1.0:contig09666:106034:107506:-1 gene:CCACVL1_10413 transcript:OMO85120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAHSCDGQSKISPVNILQKMGVRNWFRMSSYQVFSHTVKLPTFSTIPLFFAISVGAIIFIIYQNILTSTLSMYPEDTQLHQILTAPTFSSNPLLITTQGQEEARVESENLDPLIPPFNVTSEERIAWFRNKVPELELFKSNNTSSQFHDQVLGFFDGNCTIRYFMIWLSPAQSFGPREFAALDSLFKASPKGCLMILSPSLDSGQGERILKPLIDFGFKVIAVTPNLPFLVKNTPAEGWVDELKSGSKDPGSISLSYNLSNLIRLAVLYKYGGVYLDVDFIILKDVNGLRNAIGAQSIDSITRKWTRINGAAMIFNKEHPLLYDLLREFAMTFDGTKWGHNGPYLVSRVIRRVENLPGYNLTILPPKTFYPMDWMKISRLFKKPKTESEWKLVEDMLVELNTSTLAIHLWNHGTKELMIEEGSVVERLLSTYCVICQYSSNNQVQQTLQF >OMO78068 pep supercontig:CCACVL1_1.0:contig10640:6146:6205:1 gene:CCACVL1_14671 transcript:OMO78068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAKTKTPNLVVRTNHA >OMO77629 pep supercontig:CCACVL1_1.0:contig10722:1121:4423:1 gene:CCACVL1_14926 transcript:OMO77629 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MRTFFPSDSCKESQLNAINPQSWLQVERGKLSKLSSSQTGSSSIESFIKVPEPPIVPFFKPVDYVEVLAQIHEELESCPPQERSNLYLLQFQIFRGLGETKLMRRSLRSAWQKAATVHEKIVFGAWLKYEKQGEELIADLLSTCRKCAQEFGPIDVISQLSVEVNESSQETVAMNGSEKLKNVKFRIGNEKIVCNRQKIASLSAPFHAMLNGHFTESLSEDIDLSENNISPSGMRAICEFSKTGSLRKVHPDLLLEILVFANKFCCERLKDACDRKLASLVCSKDDAVELMDYAIEEDSPVLAVSCLQVLLKDLADCLNDERVVEIFSHADRHQRSIMAGKASFSLYCLLSEAAMNLDPQSDKTVCFLEQLIESAETDRQRLLGFHQLGCVRLLRKEYEEAESHFEAAVSLGHVYSIAGLARLGYIKGHTLWSCEKLSSVISSANPLGWMYQERSLYCEGDKRWEDLDKATELDPTLTYPYMYRAASIMIKQNVQAALAEINRVLGFKLALECLELRFCLYLAIEDYKSALCDVQAILTLSPDYRMFEGRVAASHLRTLVREHVDNWTTADCWMQLYDRWSAVDDIGSLSVIYQMLESDGAKGVLYFRQSLVLLRLNCPDVAMRSLELACQHASSKHERLVYEGWILYDTGHCEEGLRKAEESIRTKRSFEAFFLKAYALADSSVDLSCAGTVISLLQNALKCPSDNLRKGQALNNLGSVYVDRGELDAAADCYINALKIRHTRAHQGLARVHYLRNDKAAAYEEMTKLIEKAKNNASAYEKRSEYCDRELIKADLEMVTRLDPLRVYPYRYRAAVLMDSYKEKEAIAELSKAIAFKADLHLLHLRAAFHEHAGDVLGALRDCRAALSVDPNHQEMGEMLEIHHLANSQEP >OMO77631 pep supercontig:CCACVL1_1.0:contig10722:6454:16588:-1 gene:CCACVL1_14928 transcript:OMO77631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFNLVLFVLGFVVCSTTRSYAESSTCLTAYKEGGAPAVFQSPKCPRWKLPDHDSGKRDATPTTARCQTAMLQGRRKHMEDRTLCMLDLHIPFPSKMGVKEVTVGIVAVFDGHNGAEASEMASKLLLDYFVLHTYFLLDATFSVVLKTPIGRLPYMGERDIVFQVLNWDEELGGHDLNFERFKFSVPENLDDSFHLDILKEALLRAIHDIDATFSKEASRKNLGSGSTATVILIADGQILVANIGDSKAILCSEKFHSPTEAKATLLQLYREQRRNGVVSPLRNSNLKLAASNGLVRYIVKELTRDHHPDRDDERSRVEAAGGYVDDWSGLPRVNGQLAISRSIGDISYKSYGVIAAPEVTDWQPLNANDSYLVVGSDGVFEKLSLQDVCDLLWEVKAHGTMGSGLSSSCSLSLADCLVNAAFEKGSMDNMAAVVVPLGSSYLSQSLLTEWHERKRVKEYPADGLQKYLIGNDIRRDLLQLEHTHPIVAKLGRLLVEGKHGTYGCFYLSENLDNDVDDSIQNQMEDQEDYAHDQPQALPNAWEQPCGGTLNVYNDRSLCSNFGMTVDGAKDQCVNPEGFAAFLGLLESVPFHDTSSSYGSEEYPMPDSRYVLKKRFGRGSYGEVWLSFSWNCHQGSNTSSGSEGNKNINIDDIRFDTNSNSSCNSSYDSHVGYPDGGLFILKRIMVERGASVYLSGLREKYFGEIFLNASRNLGSFTSAGASEPCMEESGSGFNENMNPESGTPWSSGNMFPNKFRWHRAASEEGLNHIARYVESFESRSNEIWLVFHYEGMSLSKLMYTMEEAESNTTKEKFEEVKRVQVLRPSKWWHWLKTTEEGQEEMQNLIRQLLLGLKSCHDRNITHRDIKPENMVICFEDQETGRCSREIPNGDKNFTTRMRIIDFGSAIDGFTMKHLYGPTGPSRSEQTHDYSPPEALLNATWYQGPTRTTLKYDMWGVGVVMLEMILGSPNVFQISALTRTLLDHHLEGWNEGLKELAYKLRSFMELCILITGSSSKHHRTMDQGDISPASWKCSEEFFSRQIRSRDPLKLGFPNVWALRLVRDLLRWDPDDRLSVDDALRHPYFHRRKS >OMO77635 pep supercontig:CCACVL1_1.0:contig10722:51260:57061:-1 gene:CCACVL1_14932 transcript:OMO77635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSLRIQRFEHLPSATEFASQIESRNVPAVFVGCVKGWEAVSKWNPSNGGLEYLEERVGSFMVEAMLSRTAPVFYGDLRSHERVPLPFSTFIGFCKHHRQNVDRCCSFNCNSEGHQHGESDTEQGSSLFVDAAPQQIYLAQVPIANAENEERVQLETLREDIQMPAVLEEKDLSSINLWMNNAQARSSAHYDPHHNLLCIVTGRKQVVLWPPSASPMLYPMPIYGEASNHSSVALENPDFSVHPRAQYSMEYSQKVILDAGDALFIPEGWFHQVDSDDLTIAVNFWWRSSIMSSLTDHMDSYYLRRILGRLTDREMDQLLPKAMWRQERNIPKLQCNKDHVGNSLGQTSQRKDLKGKEEQQSVLLNDLEPRALRNLYELVALVHDHVNASGQNQPALPTSSSALMCYATDEQDKTLTEIFHLEDDSVAKILWALEPGTFQDMFLAMANNFPRTLEALVLHLLSPVGAEVLTRKFDELDQKNTEEDRNKFYQVFYGVFDNQVAAMDAILNGKEAFARQVTL >OMO77633 pep supercontig:CCACVL1_1.0:contig10722:25592:25744:1 gene:CCACVL1_14930 transcript:OMO77633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNIRDSGVFFMMGITEFEQNPENKLRVSIKNQVEKRKWQKKRNIEKGN >OMO77636 pep supercontig:CCACVL1_1.0:contig10722:68020:84245:1 gene:CCACVL1_14933 transcript:OMO77636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGSKGKTMKWVSLLKDIKEKVGLAQSPTATPTAATVPSSSSSSSSSFNRDANASSTLLDYAYSPSRDKHELELDFKRFWEEFRSSNSEKEKEAALNLTVDAFCRLVKQHANVAQLVTLLVETHIFSFVVGRAFVTDIEKLKISSKTRSLDVLKVLQFFSEVTKDAFSPGSNLLTAVEVLVSGPIDKQSLLDSGIFCCLIHILNALLSPDEANQRPTITDSEESMLAKKDTADNVSQARRLEVEGSVVHIMKALASHPSAAQSLIEDDSLMLLFQMVANGSLNVFSRFKEGLVSLHIIQLHRHAMQILGLLLVNDNGTTAKYIHKHHLIKVLLMAVKDFNPDCGDPAYTVGIVDLLLLCVELSYRPEAGGVRLREDIHNAHGYHFLVQFVLVLSSMSQNQSIIYSKPHADKDSSLDGAHDFDNIGDKDSIGKEDPSSEHLSPTLSRLLDVLVNLAQTGPPEGKKSKYSHTKAGGHSRSRTSSTDRLGDEIWEQGNSKVKDLEAVQMLQDIFLKADSRDLQAEVLNRMFKIFSSHLENYKLCQQLRTVPLLILNMAGFPSSLQEIILKILEYAVTVVNCVPEQELLSLCCLLQQPITSELKLTILSFFVKLISFDQQYKKVLREVGVLEVLLDDLKQHKFLLGPDQHGSNTNQLERKSSSSSFKKHLDSKDVIITSPKLMESGSGKFPIFEVEGTVAVAWDCMVSLVKKAEASQASFRSANGVTTVLPFLVSNIHRPGVLRVLSCLITEDTTQGHPEELGALVEVLKSGMVTSVSGHQYKLQSDAKCDTMGALWRIMGVNTAAQRVFGEATGFSLLLTTLHSFQGDEGHSEESSLLVHIKVFTYLLRLMTAGVCGNAINRTKLHAIISSHTFYDLLSESGLLCVDYEKQVIQLLLELALEIVLPPFMTAESATSVNSVENDSSSFLLTTSSGLVNPDKERVYNAGAVRVLIRSLLLFTPKVQLEVLNLIGELARSGPFNQENLTSVGCVELLLETIHPFLSGSSPILSYALKIIEVLGAYRLSASELRALVRYILQMRLMKSGHTIVEMMERLILMEDMNLENVSLAPFVEMDMSKIGHASVQVSLGERSWPPAAGYSFVCWFQFQNFLRTPAKESEPARSGPSKRKIGSNSQHQDQRILRIFSVGAVNNDNTFYAELYLQEDGVLTLATSNSCSLSFSGLELEEGRWHHLAVVHSKPNALAGLFQASFAYVYLDGKLRHTGKLGYSPSPIGKPLQVTIGTPVTCARVSDLTWRLRSCYLFEEVLNPGCICFMYILGRGYRGLFQDADLQRFVPDQACGGGSMAILDSLDADLSVSPGMQKLDIASKQGDSKADGSGIVWDLDRLGNLSLQLSGKKLIFAFDGTCSEAVRASGTSFMLNLVDPLSAAASPIGGIPRFGRLHGDIYICRQCVIGDTIRPVGGMSVILALVEAAETRDMLHMALSFLACALHHNPQNVRDMQTYRGYHLLALFLRRRMSLFDMQCLEIFFQIAACEASFSEPNKLERIQTFTSPTATIHETSFEDLNLSKFRDETSSAGSHADMDDFSAPKDSFSHISELEHADMPVETSNCIVLSNADMVEHVLLDWTLWVTAPVSIQIALLNFLEHLVSMHWYRNHNLTVLRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLASELENVVRFVIMTFDPPELKPQHQIMRESMGKHVIVRNMLLEMLIDLQVTIRSEEMLEQWHKIVSSKLITYFLDEAVHPTSMRWIMTLLGVCLASSPTFALKFRTSGGYQGLMRVLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPSDGGHVELKFVELLESIIAMAKATFDRLSMQSILARQSGNISQLVAELVDENADMAGELQGEALMHKTYAARLMGGEASAPAAATSVLRFMVDLAKMCPPFSAVCRRAEFLESCVDLYFSCVRAAHTLKMARELSVKTEEKNLNDCDDASSQNTFSSLPVEQEQSAKTSISAGSFPQAQVSSSSEEMPASSNFMPTRSPEELNNSLQEDGQGNQSIDDDSVDQVSATSSSNEFSFRSIRDNLTIQPPDSQSSASLAIPGSPILSERSNSRMALTPSTSPIMSASEFDQSSDLKPGSQGPTTANETFSVTPKLLLEVDDSGYGGGPCSAGATAMLDFIAEVLADFLTEQIKAAQVVESILEMVPLYVEAESMLVFQGLFLSRLMNFIERRLLRDDEEDEKKLDKTKWSSNLDALCWMIVDRVYTGAFPQPAAVLKTLEFLLSMLQLANKDGRIEEAAPIGKGLLSITRGSRQLDAYVHSILKNTNRMIMYCFLPSFLVTIGEDDLLASLGLLVEPKKRSPSNSSQEDMGIDICTVLQLLVAHRRIIFCPSNLDADLISCLCVNLISLLRDQRRSVQNTAIDIVKYLLVHRRASLEDLLVSKPNQGPQLDVLHDGFDKLLTGSLSAFFDWLQSSEQIVNKALEQSAAIMWVQNITASSKFPGVRMKTMEGRRKKEMGRRSKDTSKFDLKHWEQVNERRYALEVVRDSMSTELRVVRQDKYGWVLHAESEWQTHLQQLVHERGIFPIRKSSVPEDPEWQLCPIEGPYRMRKKLERCKLKIDSIQNVLDEQLELGEADFSKVKHEDGPDVSDSDSEAIFNLFNDSVKQNGVASELYDESLYKESVDVKDVTSVRNGWNEDRASSVNDASLHSALEFGGKSSAVSLPISESVPGKSEPESPRQSSSVKVDEVKVTEDKLDKELRDDGEYLIRPYLEPLEKIRFRYNCERVVGLDKHDGIFLIGELCLYVIENFYIDASGCICEKQCEDELSVIDQALGVKKDVTGSVDFQSKSTSSWATTPKILVGGRAWAYNGGAWGKEKMVSSGNLPHPWRMWKLDSVHEILKRDYQLRPVAVELFSMDGCNDLLVFHKKERDEVFKNLVAMNLPRNSMLDTTISGSTKQESNEGGRLFKIMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESENLDLSDPKTFRKLDKPMGCQTPEGEDEFKKRYESWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSAENQKLQGGQFDHADRLFNSIRDTWLSAAGKGNTSDVKELIPEFFYMPEFLENRFNLNLGEKQSGEKVGDVLLPPWAKGSVREFIKKHREALESDFVSENLHHWIDLIFGYKQRGKAAEEAVNVFYHYTYEGSVDIDSVTDPSMKASILAQINHFGQTPKQLFLKPHVKRRSDRKLPRHPLKLSNILVPHEIRKSSSSITQIVTFHEKILIARENRVLKPTTYAKYVAWGFPDRSLRFMSYDQDRLLSTHENLHGGNQIQCAGVSHDGQIVVTGSDDGLVSAWRINKDGPRVSRRLLLEKALCAHTAKITCLHVSQPYMLIVSGSDDCTAIIWDLSSLCFVRQLPEFPAPVSAVYVNDLTGEIVTAAGILLAVWSINGDCLAVINTSQLPSDSILSVTSCTSSDWLDTNWYVTGHQSGAVKVWHMVHCTDQESTASKSTSNVTGGLDLGKLPEYRLVLHKVLKFHKHPVTALLLTSDLKQLLSGDSGGHLLSWTLPDESLKASFNQG >OMO77630 pep supercontig:CCACVL1_1.0:contig10722:5633:5710:-1 gene:CCACVL1_14927 transcript:OMO77630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPHRRPKNKRAGGGRFLLRNMRA >OMO77632 pep supercontig:CCACVL1_1.0:contig10722:21772:23623:1 gene:CCACVL1_14929 transcript:OMO77632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding protein MNPYFPVKEEYPSSSYSVSEEDSTTMPPPQPMEGLHDTGPPPFLTKTFDMVDDPNTNYIVSWSRGGGSFVVWDPHSFSTNLLPRYFKHNNFSSFVRQLNTYGFRKIDPDKWEFANEGFLRGQKHLLKNIRRRKTTSQPPGSSQQALGPCVEVGRFGVDGEVERLRRDKQVLMMELVKLRQQQQNTRAYLQAMEERLQGTEKKQQQMMSFLARAMQNPSFVQQLMQQKEKRKEIEEAMSKKRRRPIDQGRAGHVVSIGESSRGSGSGGIMNHVKPEPLDFTSDYGYQVTELEALALEMQGYGRTRKGQEDSQDDKELDAGFWEELLNERFEGELDIPGTEVGEDEDVNVLADRLGYLGSSPK >OMO77634 pep supercontig:CCACVL1_1.0:contig10722:40853:44002:1 gene:CCACVL1_14931 transcript:OMO77634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPTAMSNSTSLSEEASVSSDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNSKEIKKRAYVCPEPTCVHHHPSRALGDLTGIKKHYCRKHGEKKWKCEKCSKIYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARLSANQLAAAATANPALHHLTSQANPNPSSLFPFQIQSQSHISLNPWDPTQTSNPNPNNNPLHVKPESHHFSPFFQEQPPPLPPKTIINSPFQTLHVSSNNPSSNGATSAAAAAHLSATALLQKAATVGATATQINNNSNSMAASHHVSPEFMGFAAGNLATWQKTSDRFTRDFLGLTGDHHHHGGGNGNVNVSMNVRDVLTYAGGMELQHFERDHSLLKPHGFGFAEPPASETWGDC >OMO90188 pep supercontig:CCACVL1_1.0:contig08511:34811:36573:-1 gene:CCACVL1_07480 transcript:OMO90188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561, eukaryote MAAISPCLVPLVLFARIAGLLVAALVLSWALLFKSSFIPFPAHSSFSSQEELIFAVLHPLLMVIGFILISGEAILIHRWLPGSRNLKKSVHLGLQGVALGSGVFGIWTKFHGRDGVVANFYSLHSWMGLICVCLFGAQWVVGFLSFWHRGEVRMTRAKVLPWHIFLGLYTYGLAVATAETGLLEKLTFLQTRRNVPKRCPESIIVNSLGLGLVLLCGVVIFTAVSPKHHALQLQLQTKLVYSADKRCLSS >OMO90192 pep supercontig:CCACVL1_1.0:contig08511:47664:49600:1 gene:CCACVL1_07484 transcript:OMO90192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, typical subtype MGVWCGGQAKAEADIEAAAPMEKAEQAALYSVIQGFVGDWWNGTDLYPDPCGWTPIQGVSCDMFGGLWYVTALSIGPIHDNSLGCATKVEFRPQLFQLKHLKSLSFFKCFISPREHPTTIPGDKWEKLGGSLELLEFRSNPGLSGKIPTSLGHLTKLQSLVLLENGLTGELPSSIGNLTNLSRLVLAGNRFNGQIPYSFGRLKKLLILDLSRNCLSGHLPCALGGLTSLLKLDLSSNQLEGKLLEDFVSLKNLTLMDLRNNNFLGGLTHSIVKMQSLEELALSNNPLGGDVVGLEWQSLQNLVILDLSNVGLVGEIPESLSQLKRLRFVGLDNNNLTGHLPPQLASLPSLSALYLNGNNLTGVLQFSREFYGKMGRRFGAWNNPNLCYPVPVGVGLTTATNAPYGVKPCQPGVTLLEPNSRAELGDANLNQNSHFVASLGYSSYGIHGLWRFFLVNTLVTVLAI >OMO90189 pep supercontig:CCACVL1_1.0:contig08511:39360:40469:1 gene:CCACVL1_07481 transcript:OMO90189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Taurine catabolism dioxygenase TauD/TfdA MPLVLEPPEADKGDIESLLLGLKANKDWFEQLIITNSAVLLRGFDVKNPQDFNEIVETFGWEDIRYVGPAPRTHIYKRVWTANEGPLSEFIYYHHEMVLIKESPKKLMLFCEVPPPEGGETPIVPSFRVTERMVEEFPEAVEEMEAKGLKYTFTALSKNDTSSMRGRGWEDAFGTSDPEEAEKRAKALGMDMEWLPNGGVKTILGPRPLTRVFEGRKGRRMWFNTVVGMHGKELSSATMADGTELPEKVVKRCGQIIEEESIQFQWEKGDVLFLDNLALLHGRRPSKPPRRVLVATCK >OMO90186 pep supercontig:CCACVL1_1.0:contig08511:20377:22511:1 gene:CCACVL1_07478 transcript:OMO90186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arsenical pump ATPase, ArsA/Get3 MSSFLLSSPVLFLHYNFSSSLLSPSRRRTSQNFLVKAVDNKNDNVDSSSNNSSTRLITFLGKGGSGKTTSAVFSAQHYATAGLSTCLVLHGQDRTADYLLNCKIGSSPIVYRDNLSVVRLETTKMLLEPLNQLKQADSRLNLTQGVLEGVVGEELGVLPGMDSILSALAVLRLLGLFGKWGQRNHPKHKFDIIIYDGISAEDTLRMIGSSSKARLYLKYLRTMAEKTDLGRLAAPSILRLVDEAMGISGKHSQLNGTMSAEIWDSLEQILERGSSTFSEPHCFGCFLVINPNIPTSVTSALRFWGCAIQAGTRVSGALAIASPHSDVELNKNFLPLPFAVIPNLSTASPQDWNSIVLGNTSEGARDLLSLKLGPKHGIVPSVNFDAARKSVTLFMPGFDKSEIKLYQYRGGSELLVEAGDQRRVIFLPPEIQGKVGGAKFMDRNLVITIR >OMO90191 pep supercontig:CCACVL1_1.0:contig08511:44992:45066:1 gene:CCACVL1_07483 transcript:OMO90191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERACVGNGKMERDEAEAKKMGVK >OMO90184 pep supercontig:CCACVL1_1.0:contig08511:4338:6595:-1 gene:CCACVL1_07476 transcript:OMO90184 gene_biotype:protein_coding transcript_biotype:protein_coding description:CWC16 protein MSSLAAARADNFYYPPEWDPSQGSLNKFHGQHALRERARKIDQGILIIRFEMPFNIWCGGCSSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKSACCKHEIVIQTDPKNCEYVIISGAQRKTEVFDVEDAETLELPAEEERGKLADPFYRLEHQEEDLQKKKEAEPVLVRLQRVSDARHSDDYALNKALRAKLRSQKKRIVEEESASRKMGLSIRLLPATVEDAATAAGVKFPSKFDRNRKDKRALIKSASIFAGSSGSSTSNQKRLELESKRRKICAGAASNLLTGGFKPSSWSQSCVKKSATRKL >OMO90194 pep supercontig:CCACVL1_1.0:contig08511:69653:70480:-1 gene:CCACVL1_07486 transcript:OMO90194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTITAKSPHDSSFSFSRRYFNWKKRVELEDDEDEEEILTYSSSSHFCEEAKQEAAADQELRIPVASQLSLVPAASRKKLPVPVVAVSKLRSALSVFGKKRSAYRSGLGTKVVGTLFGYRRGHVHFAFQEEAKSIPAFLIQLATPTSVLVREMASGLVRIALECEKRTGKKGVIKLLEEPVWRTYCNGKKCGFAMRRECGPEEWEVLKAVEPISMGAGVLPAGNCNSNQGTSQGELMYMRARFERVVGSKDSEAFYMMNPDGTGGPELSIYLLRV >OMO90187 pep supercontig:CCACVL1_1.0:contig08511:22822:34214:-1 gene:CCACVL1_07479 transcript:OMO90187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTASPSSLFISPKTSTPRHISNLSFFTSPPSTFLRYPRSSNSRILRLSASLLQSDLHLSWSPPDPNSLLNDYGGWAVVQVQAPPNPDTNRKGLSSILVKGFVGSSVAVSIAAIAYFSLYRKGFKFQFTSPLNTLSCTETKGNQTKVIDHLESDERAAEAIPDSEPPTITDTVVSASMHKRERIVVPVALDSTQLEALSVLKKLKIIEDDVRADELCTRREYARWLVRMSFLFERNPKHRIVPSIALSGSEAAAYDDVGAADPDFESIQALAEAGIMPSKLSSRITGPDGSKGQEGINFSPDRYISRQDLINWKALVDYDFEPRVIEQISRTKIDYMDLKEISPDLSPGLFIDMLAGERSILRKVFGQSKRFQSNKPSTKAQAAVALTSGRMTEAISNELLKLETESSSRRVEMKEIKSELLEKGDIQRFWDQKLDEERTCGLELEKLYYSALQDLEQEKIVQEKWAAESLKEKAAMDCQRQLVSSLKEEVAEMSERLASERTMYVMEQSKLQDMLHGLQTKQEGIFDSKSILEAEIEALRILSKRPSHSTKVNLRLIMKPYHMIHGNWDGREERGECSQPPALLDNILAAVAHLRAHYEIDFSFLQQSLVAIAVKRLNVKSSKSSSSLAKSSENGEAGFEQHPNAGENKKQFKYSSDSLKRTDIEEEEEEEEVKLISSIFNRANASQPDISDEDILPEFEDLLSGEIEYPLPTDKFDRAEKERVYETEMANNESELKRLRQLVKELEEREVKLEGELLEYYGLKEQESDITELQRQLKIKTVEIDMLNITINSLQAERKKLQEEIANGASVKKELEVARSKIKELQRQIQLDANQTKAQLLFLKQHVSGLQAKEQEAIKNDAEVEKKLKLVKELEMEVMELRRKNKELQHEKRELTVKLDAAEAKIAALSNMTETEIAARAREEVNNLRHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPAGKMSARDLNKSLSPKSQERAKHLLLEYAGSERGQGDTDLESNFSHPSSPGSEDLDNVSIDSSNSRYSLSKKPSLIQKLKKWGKSKDDSSALLSPARSFSGGSPSRTSLSLRPRGPLETLMLRNASDGVAITTFGRNEQELIGSPEMPTLPNVRTRAPSGDSLDSVAASFHLMSKSVEGILEEKYPAYKDRHKLAMEREKHIKKRAEQARAERFGDKSNFNSNFESRTKADREKSVMLPPRLAQIKERTVNPGDSSEQSGDDKAVDSQMISKMKLAHIEKRPPRVPRPPPKPASGNSAGANTATTGKPPAPPPIPGAPPPPPPPPGAPPPPPPPPGSLPRGAGSGDKVHRAPELVEFYQSLMKREAKKDTTSLISPASNPSDARSNMIGEIENRSSFLLAVKADVESQGDFVQSLATEVRAASFTNIEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLVKLEKLVTSFVDDPNLPCEAALKKMYKLLEKVEQSVYALLRTRDMAISRYREFGIPVDWLLDSGIVGKIKLSSVQLARKYMKRVASELDALTGPEKEPNREFIVLQGVRFAFRVHQFAGGFDAESMKAFEELRSRVHSQMGEENKPEA >OMO90190 pep supercontig:CCACVL1_1.0:contig08511:43619:43882:1 gene:CCACVL1_07482 transcript:OMO90190 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear matrix constituent protein 1-like protein-like protein MEDDVSKRLAVLISKEKEAESMRSTLEAKEKDLVAFEEMLTTREKGEYKPAISKTSYLYAC >OMO90193 pep supercontig:CCACVL1_1.0:contig08511:59523:59831:1 gene:CCACVL1_07485 transcript:OMO90193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCSSLSFQSKQISCTRQLSRTICVIFLIIMLLAGSSFATRPGRTMMITDDDELSMTSFRRKHETSFQYQGQMFNFFPKGTPIPPSGPSKRHNSVVDSTQN >OMO90185 pep supercontig:CCACVL1_1.0:contig08511:9071:9403:1 gene:CCACVL1_07477 transcript:OMO90185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKVSHLLFSFLWVSLLLLLLHEFHNFKFKINSKQATPSPLITRKLVAAKFDFTPFQKHHQHYKQDKVHYYYSPQTKKHSDPAGDDTEIDPRYGVQKRLVPTGPNPLHH >OMO58518 pep supercontig:CCACVL1_1.0:contig14217:33075:34067:-1 gene:CCACVL1_25453 transcript:OMO58518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNFDEARVGGEVARDKDQEERYRAVRVSRFSKHNWECKL >OMO58517 pep supercontig:CCACVL1_1.0:contig14217:30562:30636:1 gene:CCACVL1_25452 transcript:OMO58517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTTPCPYAAADKQSPKGKPTTP >OMO58515 pep supercontig:CCACVL1_1.0:contig14217:4253:5140:1 gene:CCACVL1_25450 transcript:OMO58515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box AFAWRRAWTIECKSCKALRSSSKELLHWLRLRKTQIMIEQMEDLRKKERQLGDLNKQLKIKVATEHSYLH >OMO58516 pep supercontig:CCACVL1_1.0:contig14217:16927:29701:-1 gene:CCACVL1_25451 transcript:OMO58516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAVGQSGDGLDLWWEAPKFEPPHAHIGSASTIGNANCKTAANIREKKTN >OMO71740 pep supercontig:CCACVL1_1.0:contig11567:28442:28921:1 gene:CCACVL1_18081 transcript:OMO71740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGFYFRLPSSILHFLLLLSALRKQIGAAVRLTTRSPHIAPPESGSPHSAPTISPVTSASPPAPVAPSPSDLEEGKSPASSPAPSPDASDINHSNINADGSEEYVGGGGGMSGGKKAGIVVAVLVVVCLVGLGGLVYKKRQDNIRRTQYGYAARREIM >OMO71741 pep supercontig:CCACVL1_1.0:contig11567:53236:55003:-1 gene:CCACVL1_18082 transcript:OMO71741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRPPIQHPVIDLAMIDNKSYKEALCGSKRADSMPRIENSPFEQDQSGSSDSSSTSVGSFDPLFLNIDILKDDLEWLNRSMVGKPYGGVDCEVLKGELSKEGLLVQLAYLSSLNTLITFPSSNSKEASLRSHAGDSKGVIPNIISGKISIIPFKIIRSSFMVAPSPVLEGKSSSKGTSKGSSSRTSLPASYSSMGIRNVSSGSNKEFNNNVERVNEDEELVQKEKGEPSEILSLKKKYRCFIFRNPNVKRLILSSTCFYGGRELSMVSSQMQLEKQEGSIEALDMKCVFVNVYAPNNDNERRSFFDNFDLRNKLYQYKGELWKLM >OMO94387 pep supercontig:CCACVL1_1.0:contig07902:17653:23744:-1 gene:CCACVL1_06034 transcript:OMO94387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AWKPKLNWLSYDRMQTAWVRSMSGGCFKSTEPPPKQGIKVEEDSGGLDVFDADARDSKVSPEHLVIMVNGLIGSAADWRFAAEQFVKKLPDKVIVHRSECNYSKLTFDGVDLMGERLAQEVLAVVKRRPEVRKISFVAHSLGGLIARYAIGRLYEHSSELDPADLYRDSSTEEHTNSSSRYIKHLCEDRIAGLEPMNFITFATPHLGSRGHKQLPFLCGLPFLEKRASQTAHLIAGRSGRHLFLTDNDDGKPPLLLRMVDDGDDLKFISALRAFKRRVAYANANYDHVVGWRTSSIRRQNELPKSNLLVLDEKYPHVVYVERETTNKIENKASFDAAAQASDLEEVMIQGLTQVPWERIDVSFQKSKQRYVAHNTIQAS >OMO94386 pep supercontig:CCACVL1_1.0:contig07902:11045:13308:1 gene:CCACVL1_06033 transcript:OMO94386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLHHPNVVRIHEVIGSKTKIYIVMEYISGGQLSDKLSYAKKLSEPEARKIFQQLIDAVDHCHSRGVYHRDLKPENLLLDGKGNLKVSDFGLSALRKPGDMLTTLTTACGSPCYVAPELLANKGYDGAAADIWSCGVILFELLAGYLPFDDRNLVVVYKKISAAQYTCPPWFTECQRKLIVRILNPDPKKRITIPEIIEDAWFQTDYVPSCSYEDDEKIYLDDVSAAFDTVEDEKKQRKKIGSKHTVNETIKKIEAAAMDVSLSVEKVNNFKMKMHPKQKINRCCRSYYDISAEVIEVAPTNCVVEISKSAAELTVYKEFCKSLSSLLIEKSDVTSQIQEPESVNIDSNSIVINEW >OMO51235 pep supercontig:CCACVL1_1.0:contig15925:4308:5645:-1 gene:CCACVL1_29916 transcript:OMO51235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLGGLLPSAPTKPTWGRSSDTLESIVHQATCHSPKPNFNLLHHDRIIPAPNRNNPLAAAAASSGGNWAESASRLPVAPAAAATAALLKKWTRSESDHCRKFQDERSACASASATFCRDNDTTMMTWASHESPRSMKTMKTADEDSACHDGSENRDEDRETRTETGRSHSTSRRSRAAAIHNLSERRRRDRINQKMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQLQMMSMRSSMPQMNMMMPLGMQQHLQMSLLARMGMGLGMGMGMGMMDMNSMARNASQPLMHPSPVTAHPSPTFLPPTFVPPPMLPTRGAPQPNSNATSNPSLPLPDPYCAFLAQ >OMO51236 pep supercontig:CCACVL1_1.0:contig15925:22655:23725:-1 gene:CCACVL1_29917 transcript:OMO51236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEEYDREFAIGVAAAAYAINSLEEEEARHRIKIERARHDSNRVRNSDRVTRRYSSKEVKVAETSSRKSMEKDYRSKESSLPSSKPVHSSSARHTTVEAGDQRWKGNYSQLNVGEITKADAWERAQMEKLNKRYENMKASILSWENEKKLRAKIKMEKRKKELEHRIKRNQQLYQTKLARIDHIAGGARAQVDEKRRNEEMMIKEKARKMRASGKVPVTCFCF >OMP01675 pep supercontig:CCACVL1_1.0:contig06393:174:397:-1 gene:CCACVL1_03038 transcript:OMP01675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQELTQRVGKEVADEWRKFSLIKDK >OMP01676 pep supercontig:CCACVL1_1.0:contig06393:2715:2876:-1 gene:CCACVL1_03039 transcript:OMP01676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEREQLNSRKGGSKHAELNRRKGGTSRDKRTKSKRGDNLGQELTQRVGKEVAD >OMP07760 pep supercontig:CCACVL1_1.0:contig04215:3:65:-1 gene:CCACVL1_01230 transcript:OMP07760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVLLRHLRAARKNSPVEAPP >OMO52887 pep supercontig:CCACVL1_1.0:contig15409:9232:16051:1 gene:CCACVL1_29036 transcript:OMO52887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S59, nucleoporin MASASLLPASDESNKPMVGQGLNKTAEVTLRLQINNLYVEKQEVDGIVKKLRESMRRQGAQFIAFDPANGEWKFLVNHFSRFGLSDDEEEDIIMDDATKVAQDPGEMTGGEGSEIDEDMQMDTNGTLLSHSLPTHLGLDPVKMKEMRMLMFPNEEMELEDFRGSASHQKPTFGKDYVRSPLHNSSQRTSYRPSPPVVRKTPVALLEYKSGTFDSSSSGTVLMAQENKGLPLKMIKKEGFKLDLKQETPVTGSHSGNIVDAALFMGRSFRVGWGPNGILIHSGTPVGSHDSQRENNAQPKAVAADNEEDVMQDIREGPLEVDPESLSLIRRAEFSCWLQESVCHRVQEDVSSVNESGYLEHLFFLLTGRQLDAAVELAASKGDVRLACLLSQAGGSTVNRSDIAQQLDIWRMNGLDFNFIEKDRIRLYELLAGNILGALHGIKIDWKRFLGLLMWYHLPPDTALPTVFRTYQQLLGDGKAPFPLPVYIDEGLVEANANWSRVERFDLSYYLMLLHASEDSQLCSLKTMFSTFSSTHDPLDYHMIWHQRAILEAVGAFRSNDLQALDMGLISQLLCQGQCHWAIYVALHMPYRDDYPYLQAILIREILFQYCESWSTQESQRQFIEDLGVPSEWLHEAMAVYFNYHGDLPKALEHFLECANWQKAHSIFITSVAHMLFLSADHSEVWRIATSMEGHKSEIENWDLGAGIYISFFELRSSLKADNNTMGELDSLDSKNSECRKFLGCLNESLAVWGDRLPVDARVAYSKMAEEVCALLLYDIREGPTRDDQLSCFDTVFSAPLPEDLRSNHFQDAVSLFTCHLSEVAS >OMO52886 pep supercontig:CCACVL1_1.0:contig15409:1843:5861:-1 gene:CCACVL1_29034 transcript:OMO52886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFGAAAANHNPNKSFEVVQPPSDSVSSLCFSPKANFLVATSWDNQVRCWEIQRNASAVASTPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQPVTVAMHDAPIKEVAWIPEMSMLVTGSWDKTLKYWDTRQSNPVHTQQLPERCYALTVKYPLMVVGTADRNLIVFNLQSPQTEYKRIVSPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDDAQQSKNFTFKCHRDGNEIYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMSRCSHAIPCSTFNNDGSIFAYSVCYDWSKGAENHNPATAKTHIFLHLPQEAEVKGKPRVGTSGRK >OMO59945 pep supercontig:CCACVL1_1.0:contig13835:7858:13789:1 gene:CCACVL1_24514 transcript:OMO59945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAEQADVLVRGYGGYNTRWALFLLHHLFPLDSKKHQLATTIFFGANDAALLGRNSERQHVPVEEYKENLSKIVKHLKECSPTMLIVLISPPPIDEEGRMEYARATYGEKAMKLPERTNDMAGVYAQGCVELAEELGVRSINLWSKMQETDGWQKKYLSDGLHLTPQGNAVVFQEVVRVFKEAWLNAEEMPYDFPHHSQIDGQNPEKAFQQKCL >OMO59946 pep supercontig:CCACVL1_1.0:contig13835:18882:20508:1 gene:CCACVL1_24515 transcript:OMO59946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLASKRLLGLATGEAASSRPVQILPRLYHENVIDHYNNPRNVGSFDKNDPNVGTGLVGAPACGDVMKLQIKIDEKSGKIVDACFKTFGCGSAIASSSVATEWVKGKSTEEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDVEAKRAKLNGSEAAPAEKAADA >OMO59949 pep supercontig:CCACVL1_1.0:contig13835:57110:57694:-1 gene:CCACVL1_24518 transcript:OMO59949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWVGLVKAIRSGRPSVREITGPLQFRTFQHDFVPRDPNVKPKKYKYPQVYDPYGPRPPPSDKIVQLAERIAALQPEERKQIGPVLAERLSHPKLQRVETDGMDLGSQGGGGAGATKVEEKKEKTAFDVKLEKFDATAKLKVIKEVRAFTNLGLKEAKDLVEKVPAVLKQGVTKEEATEIIEKIKAAGGVAVME >OMO59944 pep supercontig:CCACVL1_1.0:contig13835:3316:7122:1 gene:CCACVL1_24513 transcript:OMO59944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bicarbonate transporter, eukaryotic MEETFVPLRGIKNDLKGRLLCYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERNTDGSLTAVQTLASTALCGIIHSIVGGQPLLILGVAEPTVLMYTFMFNFVKDRKDLGPKLFLAWTAWVCVWTALLLFLLAILGACSIINRFTRVAGELFGLLIAMLFMQQAIRGVVEEFGIPKRENPNQAALQPSWRFGNGMFALVLSFGLLLTALRSRKARSWRYGTGWLRGFIADYGVPLMVLVWTAVSYIPAKDVPRGIPRRLYSPNPWSPGAYSNWTVIKEMSNVPPLYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPASYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTARKSISKNSNLSQLYRSMQEAYNEMQTPLVYQLPSTLGLKELKESTIQLASSTGYIDAPVDETIFDVDKEIDDLLPVEVKEQRLSNLLQALMVGGCVAAMPLLKMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEGYHATFVETVPFKTIAAFTLFQTVYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYLLPNFFKGAHLQDLDAAEYEEAPAVAYNMAFEDQEIQARTTHIDSAEILDEIITRSRGEIRHTQSPKISSTTPSSQGDIKASYSPRISQRAYSPRINQLRGEISPSLTEKGLELKHSPSPGPSTLGQSSHGSSSS >OMO59947 pep supercontig:CCACVL1_1.0:contig13835:25338:35022:1 gene:CCACVL1_24516 transcript:OMO59947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPASNSIHRSGSRPQLDLSKAAIQGNSEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHEDRSSDDKRKKTVKERLGISNGNRRSYPILGGRLHFVKFETSKLNECLDFISSKQLHRGGIDSHRWNSEAPANENAVIKATGGGAFKFADIFKERLGVSIDKEDEMDCLVAGANFLLKAIRHEAFTHMEGHKEFVQIDPNDLFPYLLVNIGSGVSMIKVDGEGKFQRVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNRTIDMLVGDIYGGMDYSKIGLSASTIASSFGKAISDKKELEDYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVQFWSQGEAQAMFLRHEGFLGALGAFMSNEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVLKGTEITAPVPMAPHGTTGLGGFEVPSSKGCTLRSDASALNVGVLHLVPTLEVFPLLADPKMYEPNTIDLSDHSELEYWFTVLSEHLPDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFHDAYRSIKQRENEASLAVLPDLLMELDRMDEEARLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRDKMQRPWRVDDFDLFKERMLGSKGKKPRPHKRALLFVDNSGADVILGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMNNTLDGSKENSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIILEGMGRALHTNFNARFKCDALKI >OMO59948 pep supercontig:CCACVL1_1.0:contig13835:52785:53939:1 gene:CCACVL1_24517 transcript:OMO59948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MEEGGDPCFRMIWLEHSVLQGQEEPRPSPSPFPQVEVTISLDMDFCCEYNDCAAVYNRISGINAKETLAFDLTLLSANPPTAASQLIRDILSRLLKTTDLKTATAAALNLDRNTPRFQTSGRFLDCDFDENDVDFERAIHEIIQFGVGKMTTTVTAMPIAVLPISAQLTAHVDVLPNNSNNNRAHIDREPPAMDGSLLLDQSSNSHDIDTDIDMEDFDYNSLVDVCGEWESNFMEDDGILLDQSSNNHGIGMDINNMYMMLNNPNTPIWLIDPNLFRTMAMRMVDENYHGMVPADESSINNTLLNSKVIISPLKVKVKVKVKESHDQQAEDCCVVCLEQLAEVGSQVSQMPCSHQFHTACIQTWLNNSHYCPLCRYELPTRLEC >OMO59950 pep supercontig:CCACVL1_1.0:contig13835:59382:59966:1 gene:CCACVL1_24519 transcript:OMO59950 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L1 MANVPCLCLVDLGYYVYEENQEEPKASESSPSPQVEVTISVNLNVYCGSGNYPCNPLGARETLGFDLDLLTGNNPATTSQILRGLLHKIVQRIHLQTHQRFLDENSAEFDPLIHAIFRLGLEQKKTNVEIRVLPVFASVWGTVLVQNMDGGVNQEDDEISQEDDNVEDIDYDDEILDQLEEISSNNNLLKVKHV >OMO49818 pep supercontig:CCACVL1_1.0:contig16391:6451:15018:-1 gene:CCACVL1_30795 transcript:OMO49818 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MDSVSFSDMVKGVNRTIPKVWSDWANEDPLMGMSYGEDSDSEFMGDGDDDCITFTKEEKEAMRKPWRNALIVKLLGKSLGYNGLQAKIHQMWKLEGEYRVTDLDHDYFIIRFEKKSRIMNMSCKEVHGLLVATTSLLVEYFNLIALKKMGGKVGRVIKIDQVLVEYEGLSLICFECGIFGHRKDECLKKGPPVEEVVVESPSTVVPETPQEEGVDIRKVIAGANVSGVKSGNPTKLQVKQQYIPKSVLKDMAAQSSQDKIVGVNEEISKKVGKNVLNLGHFFAAGNKGGGSFSTGVRSNGPEVTSKSKKTASPGLGLYVNKAQQKGRCFVISETNKDDGNNTITQALDLISSQSVEAPIMIFGRGHSSSLKHDVASGACQPDGGGKEEVEQIPIASSSSSNQSKDSQVDSMQVEIGLNQQNSTLTCKEMVRSYKPDVLCLLETKAASPSIQKLAKLLHFSKCFRVMGDFNDFAALTERWGGKEEVRSLFRRIEKFREIWDAYPTRKLAVGVCMGEPNWVCCGHHAMPDPGQRQGDGQDIFMTYDMTDLPLMVPKVDSGQDFACNLGSAPHRPFRFEAAWLTHPDFSDLFATAWAKGGDSVSDSIAEVTRELEAQLREEFQQVLYQEELLWLQKSRLDWIYNAERNTKFFHLTTVMRRNRNLIVGLNVADVWTTDQAVLSSHVKDFFFTLFSRKMQEFLPAVYDLFAPKLNEEERLSLLAAISLDEVHKATFSMKGLKAPGVDGIQPIFYQKHWSSVQVSLVSFVQNAFEQRQVELNILRAHMVLIPKGQNPGSVRDLRPITLLNTWYKILSKVIVNRMRPILQRIIGPYQNSFLAGRSTSDNILIAQEVIHTLSNLKGRKGAMVTKIDLQKAYDNVDWRFLEEVLVFYGFPAPLVQLIMFCVPNTELSIIWNGDALPHFKPQQGLRQDNLLLFASASDSQFENVMDTLEEFGKASGLRSNLQKSRMWVSPNVHNSKAVVLSRICGIPLMQELGTYLGVPLIHKRVTKDTYSYVVDKVIRRLANWKGKVLSQAGRRTLIQSTLSSIPIYTMQTAMLSASTCETLDRINRNFLWGGDVDKSGYHLKYLKGIGFVENKPHGRQSSTWRGIRKTVDCIRNGSKWCIGDGVTTNFWLDKWVGDRALCQEIPHPEHSLDRNTKVAAFIRDEGSWNLDDLSLIVALEKLDEIRAIPLPISPGVGDRCFWAWDKSGVFTNKSAYGSLANHFGPDALSGSCRWIWRLHTTERIRFFLWSLTSFKDWLHDNALKVAPLVPNGQLPISWSCLFVSILWSLWKARNNHIFKDVCPSAEAVLRYGAGGLLRDDAGNWVGGFMLNIGRTSSLCAELWGVRQGLIMARNMGLKSLVVELDAIVVVQFLKTKVSMVHPCYTYLCDCYELITGNWIAEIHHILREGNRCADMLATLAHEGPPGVTWVLEPPEQLQPLLAGDKSGEDVLRL >OMO49819 pep supercontig:CCACVL1_1.0:contig16391:20740:21042:-1 gene:CCACVL1_30796 transcript:OMO49819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLRRAQTGIGIVVRDDEGYVLGAHSSHIVLALDPKMVEAQAHFKALEFAADPGQSRIEVEGHCLSLINKIKNTEADLSLFGTIVEDAKHTSHRFEYDD >OMO97331 pep supercontig:CCACVL1_1.0:contig07250:21821:23863:1 gene:CCACVL1_04596 transcript:OMO97331 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport protein MNKGATSPSGKGNSFQTHFVDIHEVLPEEEEEDEGVIKTEEKVTKKGLHKPIKLKELKRPQRSFSRQVSLETGFSVLDRESKAKDERKILRRSGRSFGGFDSTNRIGGEAARKGDFNIFRTKSTLSKQNSLLPTRKEMEPKRTDHGANDLDESINKSVPAGRYFAALRGPELDEVKDYEDILLPKDELWPFLLRFPIGCFGICLGLSSQAVLWRALSTSPATKFLHITPLINLFLWIIALAALVSVSITYMLKCIYYFEAVKREYFHPVRVNFFFAPWVVCMFLAIGVPPMLAPEKLHPAIWCAFMGPYFFLELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAAKVGWIEPAKFLWSVGFAHYLVVFVTLYQRLPTSEALPKELHPVYSMFIAAPSAASIAWGSIYGEFDGCARTCFFIALFLYVSLVVRINFFTGFRFSVAWWSYTFPMTTASVATIKYAEAAPSVFSKTLALSLSFMSSTMVALLFVSTLLHAFFWQTLFPNDLAIAITARRLVREKKPFKKAYDIKRWTKQALMKNNSGDKEHHENDEVTY >OMO97330 pep supercontig:CCACVL1_1.0:contig07250:19425:20018:-1 gene:CCACVL1_04595 transcript:OMO97330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MASNSSKMFFIISLVTVLVIPSSLATEFVVGDDKGWGLDFDSQGWTAGKEFRVGDKLVFKYTPGVHNVLRVNGTEFQACQAADNAVPLVTGNDVITLATAGRKWYICGVARHCATRNMKLNITVLDQVASPTSAPGPNSAATGNSASSFYAWTLLMLGIVAMMY >OMO97333 pep supercontig:CCACVL1_1.0:contig07250:35615:38152:1 gene:CCACVL1_04598 transcript:OMO97333 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MTRTRFSRKRSPEPEKEKAGGGGAVMRVIVPLQGVVQGSGGLVLGSIIPCALFYFLQFYLKRNRDDPNDQNESNSPSQTQNPTTRSPSSGQLTELTGLTRTPSRPLLSPRSHTGPVSISGRVHGIVKGSDSPYYVGLRRVKEDQYDELGNPNGVIQLGLAENKLSLELVKDWLAENASHAILGNGKELSISGIATYQPFDGLMEFKVTVAGFMSQVMENAVSFNPSQIVLTAGATPAIEILSFCLADAGNAFLVPTPYYPGFDRDVKWRTGVEIIHVPCRSADNFNLSITALDRAFNQAKKRGLKVRGIIISNPSNPVGNLPSRESLYTLLDFAREKNIHIISNEILAGCTHGNEEFVSMAEIVDHEDVDRKRVHIVYGLSKDLSLPGFRVGVIYSFNEEVLAAAKKLTRFSSISAPTQRLLISMLSDSKFVQTFITTNRERLQRMYVQFVAGLKKLGIGCIKSSGGFYCWADMSGFISSYSEKGELELWDKLLNIAKVNVTPGSCCHCIEPGWFRFCFATLTEKDIPVVMERIQKVTETCKLKS >OMO97332 pep supercontig:CCACVL1_1.0:contig07250:24384:28888:-1 gene:CCACVL1_04597 transcript:OMO97332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEEEMDMDMEMEMEPVFEVREIDLDYEFDAARFFDFTREESPVEAREAELWFEAAPSCPPSPFVAKLVLREDSLLENAGTSPECKEVEDTRTLENYYENGTALEFSAMAASNRGFTNYNHTTSDKSKPNSKNVKPFSTRSSTLMKPTASQLAKQNRPPQAAASRFQKLQTLNSDRSLGNSSVVESQAAKRQKLEGGLSHKVADVKEQTSFIHKAPKKDGTVDRSSNNNKLKLTIPREPELETAHRAQRMRPKNSTEQEHVPSVMHRFKARPLNRKILEAPSLPLPKKSTPKLPEFQEFHLKTSERAVQFSSTVSSSSFHSNDADKRSVKAGTSSATDNGTRATRRPSVMDATRQDDCEIRYNFKARPLNKKIFSSKGDIGIFRNIKKETTVPMEFNFHTEKRAQQNLPIDLFSKLSLTSELQPSNGTQIKLHRPTFIATKGSKENRSTSFQSGHEMRNFEKEKPHAFGGKNNQCATDVGNHLSTRSLGIR >OMO97338 pep supercontig:CCACVL1_1.0:contig07250:62628:63024:-1 gene:CCACVL1_04603 transcript:OMO97338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSPSHPCNSVSSKRRHRSKQSKVQIYRLSRKRLEENVEEDMELMNLKLYLENKSIIEENEKLRKKASLLHQENLALMSEFQKKFPHLDRLSTTLLLLLQKH >OMO97337 pep supercontig:CCACVL1_1.0:contig07250:56036:61102:1 gene:CCACVL1_04602 transcript:OMO97337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bile acid:sodium symporter MSSTTGQLLIQRPRFNHVYLQDNRFHLPKQVQFPVLPLNSHVPVPVLSISQFGSSRFLVCKCASEKFSSSFEREPGQGYRPEPNQIVKQNKGSIVEILKQSNSILPHVVLASTILALVYPPSFTWFTSRYYAPALGFLMFAVGVNSSEKDFIEAFKRPDAIFAGYVGQFVVKPLLGYIFGIIAVSVFGLPTPLGAGIMLVSCVSGAQLSNYATFLTDPPLAPLSIVMTSLSTATAVFVTPMLSLLLIGKRLPVDVMGMVSSILQIVIAPIAAGLLLNRLFPRLCEAIRPFLPPLSVLDTAFCVGAPLAININSVLSPFGLTVSLLIVAFHTSAFVAGHFLSGHLFRKAPDVKALQRTLSYETGMQSSLLALALANRFFQDPLVGVPPAISFIQNGMATLLSGDLEYHAPCFKSVYNVKKRKSDQREKSPPKSSTMASMALLCSPATPIVKPTYSAPILHKPEIRPKTRQLAAKVLTRRLIWNQGTVLGLVGSGLVLALVDPASASELPPLFLGSSLQLSEPANALSLPTWAIHVSSVVEWITAMALVWQYGEKSGFESWKGLSWGMVPLLGGAFCACTWHFFYNSESLEVLVAIQAALTVIGNATMCIAAFRIYKLSQERSPKL >OMO97334 pep supercontig:CCACVL1_1.0:contig07250:39988:49523:-1 gene:CCACVL1_04599 transcript:OMO97334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFYDDDKTNEGGEVVPELRLKIEGDYGDDVSGKRVNLRQRLLEECEAEERDLLLNDPPWRIWYWMKLGFLILFLGLLAAVCLKWVGPYFMDKELIPIINWEMRTFSTPMLAVLVFASVALFPTILLPSTPSMWVAGMAFGYGFGFLLIISAAAVGVSLPYFIGSLFLHRIQGWLEKYPKKAAILRAAGEGNRFHQFKAVTLIRISPFPYMIYNYCAVATNVKYGPYILGSLVGMVPEVFVAIYTGNLLHTLADASHDQHSLSAQQILLTVGGFLLTVLATIVFTVYAKRQLKVLQTEEELLLQIRILTVSSAATEKEILLQFKGNITDDPYNSLASWVPGGNPCVDFSGVFCNPEGLVDKIVLWNTSLRGQLPAALSGLSSIRVLTLFGNRFSGNIPQEYSLLQTLWKINVSSNALSGSMPEFIGDLPNIRFLDFSKNGYTGEIPFALFKHCYKTKYVSFSHNSLSGAIPESILNCSRLEGFDFSFNNLTGELPSQICDISVLKYVSVGSNALSGSVLEKMSKCQSLLHFDLSRNSFTGLAPLGVLEFKNMTYFNVSHNSFFGEIPEIATCSDTLEFIDASWNSLDGEIPTSILNCKGLKVLDLGFNKLNGTIPVDIGELGRLLAISLANNSVSGTIPVGFGSIEILQVLDLHNLNLVGGIPGELSNCSSKKLLLCCCRDVSVNSLEGQIPDTLYNMSNLEILDLHSNQLNGSIPSSLGNLTKIQYLDLSQNSLSGSIPPSLGNLNMLTHFNLSYNNLSGIIPTAQTIQSFGASAFFNNPDLCGSPLTSCSRSGTTSTAGKTKVLSVSAIVAIVAAAVILTGVCVVTIMNIRARKSKKEEVTMVVESTPPGSSESNLIIGKLVLFSKSLPSKYEDWEAGTRALLDKEGLIGGGSIGTVYRTSFEGGISIAVKKLETLGRIRNQEEFEQEIGRLGNLQHPNLVALQGYYWSSTMQLVLSEFIPNGNLYDNLHRLNHPGTSSGVGNTELSWSRRFHIALGTARALSYLHHDCRPPILHLNIKSTNILLDEKYEAKLSDYGLGKLLPILDNYGLTKFHNAVGYVAPELAQSLRLSEKCDVYSFGVILLELVTGRKPVESPTLNEVVILCEYVRGLLERGSASDCFDRRLRGFAENELIQVMKLGLICTSEVPSRRPSMAEVVQVLESIRNGMET >OMO97335 pep supercontig:CCACVL1_1.0:contig07250:51031:51684:-1 gene:CCACVL1_04600 transcript:OMO97335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHNGAPGHDYKVLLTVAKPRDMSDNNGLWAFPTLCFNCHVRIKYVSDKIDARIPITHITQYDSSSFFDLPMDELTCDNNFSSYQPENFVWKMLGLMNIPFQLDRLFLRTSIPNEKSVPLKNSGNVVSHILDTARVVADEIGLPGKSNLLVVLIEKIVTVPYSEYVKMLMEIRPDLFCKIGDQNVTLRQLKIQRPNHSISTMKQHEHHQPPMLLQC >OMO97329 pep supercontig:CCACVL1_1.0:contig07250:12354:15907:1 gene:CCACVL1_04594 transcript:OMO97329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MEPQPLKIARFADFGIGVTNLADSWNMESTNRLNGEEIGLGLELGRGSSHSQRQTGFTKSSGFTVFQLQELQLQSLIYKYMEAGLPVPHNLILPIWKSVAASLGGLHYSSPYQLYSGGSYLGCGPLHLEYKNGLDPEPGRCRRTDGKKWRCSRDAVPDHKYCERHIHRGRQRSRKLVEASSATANNNNYSTASSRINRTGNADTNLSISLQVDSSSSSGSSSNISSSNFLGFSPKSVLLGNGGNPKAESSVLQFQELPLSAKACAVKKTQMVEAQKWTTRRMSNPRLDSTATADHQVLDIPATPTGDVRNSIYSVGSSISPNLLTALIIASWFMSNIGVLLLNKYLLSIYGYRYPIFLTMIHMISCACYSYVAINFLEIVPRQHISSRKQFFKILALSAIFCFSVVCGNTSLRYIPVSFNQAIGATTPFFTAIFAFIITCKKESAEVYFALLPVVFGIVLASNSEPLFNLFGFLVCIGSTSGRALKSVVQGILLTSEAEKLHSMNLLLYMAPMAAMILLPFTLYIEGNVARITLEKARTDSFVIFLLLGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAMAAFVSVMIFRNPVTVMGATGFAVTVMGVVLYSEAKKRSKVTTH >OMO97336 pep supercontig:CCACVL1_1.0:contig07250:53928:54515:-1 gene:CCACVL1_04601 transcript:OMO97336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSTDDLVTFMLQVCHRMIGLNRKKLNLIVSVRKVVTVPYEEYLAMLKAEKQQELLEQLAVMVRYGRHGNSNIRVPRQEWQEMTAAIREAGLGNSIRDALDLMRQRAMRESGEVIRVVPAAATSIQALEKVTMMSDGIDDTEEIIKCIFCLEEMAKGCEVTRMPCSHIFHGPCIEKWLKERSHMCPICRFKLPTGD >OMP08585 pep supercontig:CCACVL1_1.0:contig03686:5727:17060:-1 gene:CCACVL1_01105 transcript:OMP08585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTYKVATPSSLCPILSNGCHCQVSNQLLFPHNLNHGIWRANRKLKGCRLKAGFWENIRSGFLKNDTTQIIEPPSILEEEEELVPEEFVLVEKAQPDGETEQIIFSSGGDVDIYELQALCDKVGWPRRPLSKLAAALKNSYMVAALHSVTKLPGSEGIEQKKLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKAMIEKLIRTLLQRDIGNITLFADSQVVEFYRNLGFEPDPEGIKGMFCFIYQTSEGLGTLEEGTCMDSSSMPLLREGLGFESQVQNRFLAEPMFKAFQLKIFGEGIDVADALKAIRRELQDANKHLRNWKGRDPCATNWTGVICTPELADGYQHVQELRMLRLNLTGRLAPELGQLSNLYSLNFMRNNISGSIPKEIGNLTSLQYLLLSGNQLNGSLPDELGNLSNLSIFQVDGNQISGLLPASFVKLGKCQHFHMNNNSISGQLPPELSAMPQLKHFLLDNNNLSGHLPPEYSLMPKLTMLSLRNCNLTGAIPDFSRTQVRYIDLSHNQLTGEIPTNKLPDILTTFNVSYNSLNGSVPSNFSGLPNLQRLAVDNNMLTGEVPSTIWQNGNSNATSNLILDFRNNLLSEVSGSVAPPSNVTVRLDGNPVCTTENLLDKTLFCTTNGDGSVENLSPGSQSTSNNSCSLCPTGDHFELVPDSPSPCFCAIPLEVVLRLRSPTISDFSTYINSYQQDLATQLGLSPYQLFVRLYEWEQAGPRLWLYVKIFPPYSKYTGRFNQSEVIRITGLFATFQISPKDIYGPLELIEFPVGPYTDVLQTLNSKTSKGALIGIILGSISFAISLSLVCIKVLSFYKRRKQSQQEVPREQSISIVPIRTDSIKEFSFLELEAATNGFSDAAQIGQGGYGKVYTGILANGTVVAIKRARQGSMQGHIEFITEIQMLSRLHHRNLVSLVGYCGEQGEQMLVYEFMPNGSLHDLLSNRHRHSFAFPLRMRIALGAAKGILYLHTEADPPIIHRDIKANNILLDSRFTPKVSDFGISRLAPVPDAEGASAHISTVVKGTPGYLDPEYFLTHKLTEKSDVYSLGIVFLELLTGMMPISHGRNIVREVHGACQAGLMFSIIDRSMGSSYSSECIKKLMALALKCCQDDPKDRPTMLEAVRELENICSLLPESDEVPTESDNSSTSGRQLALSSGRNSQVLLTTQVLGSELISGVIATIRPR >OMO74624 pep supercontig:CCACVL1_1.0:contig11109:22848:22985:1 gene:CCACVL1_16572 transcript:OMO74624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPTERCLEQHRTEWVSWLPPIPASLKCSPRIPFRNGQKIPISN >OMO67372 pep supercontig:CCACVL1_1.0:contig12443:16690:18093:-1 gene:CCACVL1_20560 transcript:OMO67372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQNVARTLKTAKGRCPSTLSSNPYQHIPAFISQLLATSKPFNDSTLSDLNPATFHDILANPDLKASKCFHFFDFVLKNQSLVPFKPDLQAHLTLIGRLLQARLFRNAEALFKSVSVDENFRYPFLDIASAVENCCFEPKIMTKIFNSMLKVYSDNGKFGEVLKVFDYMKNKGIMIDERTCTVHLHALNGADELESLLDFFNQMVESGLEISVYSLTVVVDGLCRNGEMKKGREIVEEMAARGVTANVITFNTLIDSCAKRWEFDELDSVLSLMEKQGVKFNADTYKFLINGYTSYGKIEEAERLAGEMHDKGLRVDTYFYNLMINGYCKLGSIESVLLLFDTMNNRNVKPNADTYWPLISWYFKVGEMEGAMKCVNEMQKKGLELDKVMYDMLIDRFCRNGMVDEAFDLHIEMERKGFHADIPLCDQMGKLFCEINQTEKGKMLLNIMIKRGVSPKKVSFVSAIS >OMO67381 pep supercontig:CCACVL1_1.0:contig12443:67042:72762:-1 gene:CCACVL1_20569 transcript:OMO67381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyltranspeptidase MGRDNMEAPVLDSHLLEMLLENEKAKKKIRNNNWKRALCFFSILLIFFVLGLIFRDHRSYLFLKGGNKKNGSVESEQGVVAADDARCSEIGILMLKKGGHAVDAAVATALCVGVVNPTSSGIGGGAFMVVRSSSTSETLAFDSRETAPLAASQNMYANDINSKYRGALSMGVPGEIAGLHEAWLRYGRLAWRTLFEPAIKLAKEGFLVAPYLGKSIASNADLIRKDPGLWQVYAPNGKLLQAGEKCYNVELAKSLEAVAEQGPGAFYNGTIGEKLVKDVKQVGGILTMEDLRNYKVKVTDAVVANVMNYTIYGMPPPSSGTLGLSMVLNILDSYGTSDAAKGDLGLHRLIEALKHMFAERMNLGDPDFVDITKYVSEMLSVSFAEQIQKKIFDNTTFPAEYYMYRWNQLRDQGTSHFCVVDAERNAVSMTTTVNYVFGAGVLSPSTGIVVNNEMGDFSAPTEISPDMLPPAPANFISPNKRPLSSMTPLIITKNNQLVGVIGGSGGMNIIPAVIQVFLNHFVLGMEPLAAVQHARIYHKLIPNIVSYENWTVIDGDHIELAEESKIFLTEKGHQLQAKSSGAIVQFIVQSLQNPIEMGRKYGKETNVFHGTLTALNIPTNHSDEILAAAESLLSESSDLDEMNRKDLTYLKVYAIDVDEAAELDDALSATRLQDGRIRVWIHVADPTRYVEPGSIIDKEAMRRGTSVFLPTATYPMFPEKLAMEGMNFRQGQLCNAVSVSVILHSDGSIAEYSVQNSIIKPTYMLTYDSATELLHLNRPEKEPELKILSEAAALRLKWRRQHGAIETPTLDPRITVVNPEDPEPTFNLYVENQANPAKRLVTEMMILCGQVIATFGSSNNLALPYRGQPQSNIDISAFSHLPEGPVRSSAIVKTMRAAKIDFSKPIRHASLGLSGYVQFTSPIRRYLDLLAHYQVKAFLRGESPPFSAGQLEGIASMVNKQVRVVRNLCSTSLQYWIIEFLRRQPKEKKFRALILRFIKYGIADLLLLEAGFQASASVSVGTKIGDEIEVKVEEAHPRDGFLKLKEVITY >OMO67371 pep supercontig:CCACVL1_1.0:contig12443:12035:15353:1 gene:CCACVL1_20559 transcript:OMO67371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISQRVFERIRSESSHKPVLQEGSETLISDGLKGNLEKEEIRPTNDDSHRAEAGGRLVSDKDDRSKSIPFELYKRRTSVVVKRETFLDLVCDALAEYKYVGPNQRADLVLACRLRERKESVTVLLCGTSGCGKSTLSALLGSRLGITTVISTDSIRHMMRSFVSENQNPLLWASTYHAGEYLDPKAVAEAKAKKKAKKLAGIAQSLPKGELADGSSAGKSDARPVDSISPSTEFISPKQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMVYITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHLVPKINNTNVDRSVAAIHATVFSCLRRREAGEPLYDPMTNTVAVVDEEYRNQCAANSLTSKGMFQLIQRNGSSRQLMALVNTDGSVAKAWPVESVDNNGRPIPGHRNECGIGIPLYGPLKIGKAEPVHLQFGHFGISAWPSDGGTSRTGSVDESRCDGTDNGSRYQSSCCSSPRMSDCPSKELKEENSVYGSDEEVDDAPEVDSDEDFSDDGEKQVDEEVGSVDEGSTDEEYDDLAMQDVMQNGYWSDDEEDPRDKVVRFAGDQATTRTRGDKYDKNLDLFLRSRSEQLPEPLCSYSSLLMEKNEKRMPPIGNAKMRRRSLSIPALGKHGSIISDPILSGAPQR >OMO67370 pep supercontig:CCACVL1_1.0:contig12443:10302:10379:-1 gene:CCACVL1_20558 transcript:OMO67370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATVKGVRVGPSNWARASMSGNLM >OMO67376 pep supercontig:CCACVL1_1.0:contig12443:38416:40847:-1 gene:CCACVL1_20564 transcript:OMO67376 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MNKSNLGSVSSSDLIDAKLEEHQLCGSKQCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSDRSAANLETGGSNVVVVDPNNSRRDSGSGSCSSKEVNISHRDHDHQVSGSGVAAALSSFAGAIDIQQLKSDHFSFTPFRKSFDEVGIAEASAAREAQTQGTCEEIREHPHQHQHPHVRPHHMTHDQQQQQPPPHHHHTDHPIAATTAFHISRPSHPISTIISPPPLHHTSIILDEDSFHVSRIMLQNETFQFNQ >OMO67377 pep supercontig:CCACVL1_1.0:contig12443:46154:50368:-1 gene:CCACVL1_20565 transcript:OMO67377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAAASRLRNIKGRTFPRVPARFASSSAVATTSSSSGGFFGWLLGRQSTSIPPLDFPLPGVGLPPSLPDYVEPGKTKITTLPNGIKIASETSANPAASIGLYVDCGSIYESPASSGVTHLLERMAFKSTMNRSHLRVVREVEAIGGNVHAAVSREQIGYTFDALKTYVPQMVELLVDSVRNPVFLDWEVKEQLQKMKEEIAEAAENPRGLVLEGIHSAGYSGALANPLLAPESALNTLNSEILEDFIAENFTASRMVLAASGVEHEELLSVAEPLLSDLPNIPRPKEPQSVYTGGEYRCQAGSGDETHFALAFELPGGWHNEKEAMTLTVLQILMGGGGSFSAGGPGKGMYSRLYVRVLNEYPLVQSFSAFSSIYNHTALFGIEATTVSDFAPEAIDVAVKELIALATPGEVDQKQLDRAKKSTKSAILMNLESRMVASEDIGKQVLTYGQRKPVEHFLKSIDEITPKDVSDLAKKLLSSPLTMASYGNVNNVPSYHTVSSKFK >OMO67379 pep supercontig:CCACVL1_1.0:contig12443:58199:62390:1 gene:CCACVL1_20567 transcript:OMO67379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVLASPSTSSFSSSPLNKINHFSTDCFYNYNFISNNTNTSRFSVSSSSGICNLRKFSSVKFDYGYRYGCGCRLRKRSGCSKIESKNTGDFMGSSPSPSPAISQPKVVTGPGGYILEDVPHLSDYIADLPTYSNPLQNNPAYSVVKQYFVHVDDTVPQKIVVHKDGPRGIHFRRAGPRQKVYFDSDEVHACIVTCGGLCPGLNTVIREIVCGLYHMYGVKKVLGIDGGYRGFYAKNTVQLNPKVVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQRGASVIFEEIRRRGLKVSVAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAESFENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFFLEGPGGLFEYIEKRLKENGHMVIVIAEGAGQELLSESMQSMQQKDASGNKLLQDVGLWISQKIKDFFSKKRKMPINLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYTGFTSGLVNGRQTYIPFYRITEKQNKVVITDRMWARLLSSTNQPSFLSPKDVIEEKIEGEKEPSNALLDNGNCSQETLVTSKEIPKEKPK >OMO67380 pep supercontig:CCACVL1_1.0:contig12443:65133:66347:1 gene:CCACVL1_20568 transcript:OMO67380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFILMVNKQGQTRLAQYYEWLTLEERRALEGEIVRKCLARNEQQCSFVEHRNYKIVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGSIIETSKANILTPIQLMDKAS >OMO67373 pep supercontig:CCACVL1_1.0:contig12443:19387:21274:1 gene:CCACVL1_20561 transcript:OMO67373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase (Class B) MASPPLSLSLSIIFLLLSPLISSASSQPIIRLPTETTNRKSTVDGDDLYCSSWHLAVETNNAGSWKTIPTRCRSYVQDYMTGQRYTSDSEIAANFSLAHASSVEIGRDGKDAWVFDVDETLLSNLKYYEDRGFGSEIFDEDSFNAWVDLAVAPAIPASLKLYNEVKQMGFKIFLLTGRSESQRNVTAKNLLFAGYTGWERLILRGHSDEGTKATAYKSERRSELLDEGYRIQGNSGDQWSDLQGFAVAKRSFKLPNPMYYIA >OMO67374 pep supercontig:CCACVL1_1.0:contig12443:22371:23597:-1 gene:CCACVL1_20562 transcript:OMO67374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSWLLAFILWQIIPTQAAITVGGGVGINIGNAGGGGGGGVWIGGGINGPTTSGPSVSKLSSAYTALQAWKSAISDDPLGILKTWVGSDVCSYKGVFCGVDSSSQQPFVAGIDLNHANLQGILVKELSLLTDISILHLNSNRFSGTVPESFKDMSSLQELDLSNNHFSGLFPVVTLYIPNLVYLDLRFNSFSGTLPEDLFNKRLDAIFLNNNQFEGEIPENLGNSPASVINLANNKFSGNIPASFGFMSSKLKEILLLNNQLTGCIPEGVGVFSEMQVFDVSHNSLMGHLPDTISCLSDIEVLNLAHNKFSGELPDLICSLKSLLNLTVAYNFFSGFSQECSKLFYRNVGFDFSLNCIPGRNMQRPTPECSGIPGGGLNCLRIPSAQPLVCGALLGNLEVNQTSESP >OMO67382 pep supercontig:CCACVL1_1.0:contig12443:76976:77406:-1 gene:CCACVL1_20570 transcript:OMO67382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKFLTVEAPKNVVEDFKLKFYELEQDDLARISRWIEYAARCQDFILASDQIPSLLEANLNIGAHSHFIIKGKSPRTRLVE >OMO67375 pep supercontig:CCACVL1_1.0:contig12443:29914:31733:1 gene:CCACVL1_20563 transcript:OMO67375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase, catalytic MKIEELDDVDTDRESEDVYAVKQKQLQVVRVDGAKRVLVGAGARILFYPTLLYNVFRNKIQSEFRWWDEVDQFLLLGAVPFPKDVRRLKQLGVGGVITLNEPFETLVSTSLYHAHGIDHLVIPTRDYLFAPSISDISRAVDFIHRNASCGRTTYVHCKAGRGRSTTIVLCYLVKHKQMSPADALEYVRSRRPRVLLAPKQWKAVVEYSRRRQAATTHSPSADAVMITKADLEGYHSTVDDITGKELVLVPRMVRASPMMARLSCLFASLKVSGVSGPVSGRLPEARAC >OMO67378 pep supercontig:CCACVL1_1.0:contig12443:52584:54606:1 gene:CCACVL1_20566 transcript:OMO67378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALESGVQQSPAFHCQQFLRVPITSWTQNFSFPGLSKGFLRKSSILSISMSPSFQFGIIREKKGIFHVMSPDVASPGLAVELLDAWDDEYNGVIIDTQCLPSSPNAFASALGASLSNWKLKGKRGIWLKILSEQADFVPIAIQEGFEFHHAEPGYVMLTYWIPNEPCLLPSGPSHQIGIAGFVINDRKEILVVKEKCPCSCSGVWKLPTGYINKYEDIFSGAVREVKEETGVDTIFLEMVAFRHAHRVAFEKSDLLFVCMLKPLSSEILIDEQEIQDAKWMPIGELIGQPFYQDDHMSRKMIEICTSAYENCYHGFTAQQLISKLDGRLTQLYYKDNKPKI >OMO51177 pep supercontig:CCACVL1_1.0:contig15943:25041:32726:-1 gene:CCACVL1_29953 transcript:OMO51177 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MAAQTPSVSIPSSQEDSNQNVASSTVNTPQSSSSKKWSPAWDEFTMLSAEELRVIYSNGGGKSKARCNHCGTTIVAESYSGTTALNRHLKICKKRPANLGEVWESDYKIDANVVRRMLVECVIEHGYLFEWCCALILNLIVQSGLEIIKEGLLKIRECVKYVEGSEGRKIKFRECVAQAGLEYCKGLWLDVPTRWNSTYLMIERFLYYRSAFEVLSRIDEVNIVQMEEKVVGGPEDKNPRFDRKKEEYEEKSSHQGSSDLLDKVLVNYVLPNTFLAYEKHDIIDEMSETEEVSAKPMASLALEDDFDWTPEVNIAKKEVDGVKIESNQSSEILILVDNGAVVNVIPHRMLAKLKAESTELLPSDIIISGFDGGATRAKGILPVNLQVGEIVFLWKENGEIEILQADNKPFVVSAGCLDAQLYSNKYGLLRIEEGLNGEEFKATSLIDNSEWRKLYAFTPLLRLKSEENFLWNVEHQIAFEEIKKYLINPPVLMPPRKGVTFKFYVACTEKSLGAFLAQDSSEGKEQARYYLSRNMIDAELRIREENQVCEITTAKSSEDWRMPIKQYLEKPDSKVDQIIKDRAMQYCLVNDELFKKGIDGIRLKCIDDKESMRVMGVVHEGMCGSHQVGKKMMWLVKRFKMSYYQKSIDAPRAYHGLEEHNGAIGDHVLDKLGDVLEIQEDATDDPGLNTSLAEQGVEDRPPSHGATGHNRPSRRPTHGPSGHNRPLDPLAIPQGPMTRARAKRFKETLLGFVRSHLGSLESIEDHLESIEVDITKNIPIDSKVFTLLEIDEH >OMO51178 pep supercontig:CCACVL1_1.0:contig15943:37743:42834:-1 gene:CCACVL1_29954 transcript:OMO51178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIRMERMMSCEEVIRLGFVLFHLSSFGMLSWQVLECLNYVNGSSQSNVTRDTIEARKLKFPNGEKAMMIDQNPFPPGVTISTLTPMISEFEKSQSQENVSLGSSNGEKKRKLLEILSKCVDAMIVPKNEQGLTSKGTEVKQKQGQQQKMPFADIIREEEHRMGEKSIPVYKGRRFPNEFEHEFVIERGPIPKERYLRPYPRSVQNIHVRMVQNNQRQVVEIGEPVEKRIPLQQLLPRRNMWERVNSPQNREKKSFKAPPDTPANRWFSIELAIGRVRVQVFSGSGYVGFGYFRARAMSGSGILGFGLGLGSGRV >OMO51176 pep supercontig:CCACVL1_1.0:contig15943:18289:19263:-1 gene:CCACVL1_29952 transcript:OMO51176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYSGAISLSQAHTSDDDSSEHSPRSHAALSTAGSGGGGGSSKSKTPTSKIMSLDHHHLHHHHHHQSQTPSSSDNSARKPRGRPPGSKNRPKPPIVITRDSDSVMKPVILEISAGSDVIDTIINFARRSHVGVTVISATGTVSNVTLRHPISHAPAISLHGPFGLLCLSGSFLASGILPSSNKTPQSSTSPSPSAFSCTFGITLAGAQGQVFGGIVGGKVMAATQVIVVAATFINPAFHRLPCEADNSEEHHHHQETKPCIHSNVGSNGGGGGGGGGAAGVGATESCSSTGMSMSVYGVSSPTPLNCQISPDVLPWGSSSRPY >OMO94456 pep supercontig:CCACVL1_1.0:contig07873:2560:2655:1 gene:CCACVL1_05998 transcript:OMO94456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFSFSRFPSPAAVNYAFSSTMRLLVVFFLSF >OMO88692 pep supercontig:CCACVL1_1.0:contig08966:27131:42933:-1 gene:CCACVL1_08259 transcript:OMO88692 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative s-adenosyl-l-methionine:delta24-sterol-C-methyltransferase MATKRRRGKKTKKIVARSQSQARFGPFEIITTDIAKESVYTVSIPSEFGYVVGFLRIVHYLRSVTFSLEGGYKIHVLKDDNLGIIWEFYHTIDVNSPPILSSPWPVLDVGCGIRGPLREIARFRLTS >OMO88691 pep supercontig:CCACVL1_1.0:contig08966:3528:12452:1 gene:CCACVL1_08258 transcript:OMO88691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKYFRPNDDECKDAPDKLGFDFEKPVCADELNYEDIEDQTLLLDFVRLVEQEEKQDMPGLDPEVAVPKLPIRPECKPVQQKLRRMKLDKMALEDQEKPAFVMPFGLKNVGATYQRDIVNLFQDMIRKIGMLGKRRRRQFPETGRPLLRHRRRLGLVVNLRNGVVVEKFKGRLAISLTTVYYWNLKEKITGSSSNNGSSKKQKTDQGRNLIDTVFSWSIEDALNKNLYQAKVEKIPETFMATRDYLHSFVAPLIEETHADLLSNMTKVSKAPCQELHSVTRHKTYKPPKDLFYEIVLENSDLVTYQPQVGDLVALTDVRPKCISDLNRPNMPYLLAYVQWVDIDNPCQVLILSSKPIVIEEEMQGKEKLLEQSCASLEAQHKPSLFIVFLINMTTNIRIWKALHPDPQGGNLKMINRVFQMNGADEENCAMCLSEKKCGSGTAPSFKSYGLNDSQEGAIVSCINTLSCHHQNTVKLIWGPPGTGKTKTVGLLLFGLLRMKCRTITCAPTNIAVVEVASRLMKLVSETCEHDTYGFGDIVLFGNRERMKIDDHDDLLDVFLDYRVEMLHKCFNPLSGWKASLVSMINLLENPQVQYRQYVSALESENCDEKQKMEEEICDVKLMDKKGRNAWKKVINETLKQREKLRPGKEGKKNDPMSLEEFVKKRFYVLNERLRFCVVNLYTHLPTHIISLELVKNMMVALDLLRTLETLLDCFNLADKGLMEALIKGCDVAQLAKLRIIKTSCLQTLKSLPQSFPFPGLPEKSIIKNFCSNNACLLFSTASASSKLNTTQPLELLVIDEAAQLKECESTIPFQLPGLRHAVLIGDERQLPAMIQSKVLDHVVILSPFIFIFVNSHLSDIVNLYLEQISDQATLGRSLFERLVLLGQQKQLLNMQYRMHPAISSFPNTEFYNGRILDAPTVKNSSHEKHFLQGSMYGPYSFINIACGKEQLDHQHSLKNMVEVAVTCKIVANLFEEFTRTKQRIRVCVISPYKAQVHAIQKKIEKKYTEFADSGFTVSIRSVDGFQGGEEDVIIISTVRCNINGSVGFLCNHQRANVALTRARHCLWILGNEATLVKSGTIWKKLVADAKGRACFYNAHEDKHLSQAITSALLELEQFDTLLRPDSPLFKEARWRVCLFIIVNLFVNLSVCAWNYFQEENNINLKQVWWSNDFWKSVARIKNAESHKKMLDLLVKLSNGWRQSDHDVEQTNLLQVYPVNGFLNLLWSVDIIKENSEFIQVLKVWDILPLSDIPKAAKNLDNLLGEYTVKKMRFCKYQCLERKMVVPMRWPLEEFPNIGNENLSRSIALLSLEDESRASTSNFKFQILANPYII >OMO89160 pep supercontig:CCACVL1_1.0:contig08836:41163:61276:-1 gene:CCACVL1_07994 transcript:OMO89160 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MRKRFVPSFYTNGLYQELQSLRQGTRSVDEYYSEMMLLMSRAEIDEAPQATIARLLAGLNREIHDIVEMQQHYEVEELLQHALKAESQIKRNKKSFTSSSSSSWKTPMKKDDKSSKEKESAQKVMTPKTDSKSSSSSSSKSYVKCFKCQGYGHFARDCVNKKVMLTNDNGEIVSEDEYIALGSSGDGDDEEDAHDDSDDGDGFALRSLVARRTLSAYVKDDVNTKGRTCFILGCTKEMYCAISYPCKHAMYYLDDHGNITTKFNMMDFAKLDSEFRAKEKTNHANLDKNCDIVKHASSKKVNKECMLATKSEIKEVLNDNSVLILLLLKYTLVSTNHLESELPNNIVSLLSDYVDVFPEEIPSGLPPIRGIEHQIDFIPGAQIPNKPAYRTNPDETKELEKQVGDLLQKGFVRESLSPCAVPVLLVPKKDGTWRMCVDCRAINNITVKYRHPIPRLDDMLDELHGACLFSKIDLKSGYHQIRMKEGDEWKTAFKTKLGLYECRNLDEHVRHLRCVLDVLRVEKLYANLKKCTFCTNKLVFLGFVVSSQGIEVDEEKIKAIKEWPTPTNVGQGLELELFNARVGTPVAYFKFVIHTDHESLKYLRGQQKLNKRHAKWSEFIESFPYVVRYKQGKENVVADALSRSCIDCLQAKSTSKPHGLYTPLPIPHEPWTHISMDFVLGLPRSRRGKDSIFVVVDRFSKMAHFIACTKTDDAINVANLFFKEIVRLHGMPRTIVSDRDAKFLSHFWRTLWAKLGTKLLFSTTCHPQTDGQTKVVNRTLSTLLRALIKKNLRTWEDCLPHVEFAYNQSIHSTTGCSPFETVYGFNPLNPLDLLSLPLSVQVDMDGQRKADYVRELHARVQAQIEKKTQHYMKNANKGRKEVIFEPGDWVWLHLRKERFPEKRKSKLLPRGDGPFQVLERINNNAYKLDLPSEYGNVSATFNVSDLSLFDSDADLRTNPFQGRGDDAPRAYHGLEGHIGANEDQGDAIDASLKEHGVGGAKRHIGANEDHGDVIDASLEEHGDGGASDRPRDLEMPAQHRPCDMEMLGHHRPCNMAMPGHTRPLDSALPGHNRPHDPLSMPLGPITRARAKRCSSAGRLGDTMGDRQEEQNQGENTNAMLQQIIRQLGTMTTRLEALETRNPQAQQGANAAINNEDHPPPPRQIARIDPMERLRQQELGGQAHNENMRPKRGIEREEPKDNIKYKIPKFNGRGSPSDYLEWESKLDMYFDYYPHAEPKKVQIATLEFTENALNWWNQLVQTRRRNLEGPINTCLSLKSYMRKRFVPSFYTNGLYQELQSLRQGTRSVDEYYSEMMLLMSRAEIDEAPQATIARFLAGMNREIHDIVEMQQHYEVEELLQHALKAESQIKRNKKSFTSSSSSSWKTPIKKDDKSSKEKESAQKVMTLKTDSKSSSSSSSKSYVKCFKCQGYGHFARDCVNKKAMLTNDNGEIVSEDEYIALGSSGDGDDEEEDAHDDSDDGDGFALRSLVARRTLSAYVKDDVNNQRENLFHTRMYANGKPSSVIIDGGSCTNMASVYLVKEMQLPTTKHPKPYSLGWINDKEEIRVNKQVLVSLCLGRYKGDVLCDILPMQACHVLLGRPWQYDNKVQHDGETNQYTLMCGKKPFNFIPLSPQEALKDQLKSKGEFAKLDSEFRAKEKTNHANLDKNCDIVKHASSKKVSKEYVFLEDIPSGLPPIRGIEHQIDFVPGAQIPNKPAYRTNLEETKELEKQVGELLQKGFVRESLSPCAVPVLLVPKKDGTWRMCVDCRAINNITVKYRHPISRLDDMLDELHGACLFSKIDLKSGYHQIRMKEGDEWKTAFKTKLGLYECRNLDEHVRHLRCIEVDEEKIKAIKDWPTPTNVGQVRSFHGLAGFYRRFVKDFSTLAAPITSVMKKNAPFKWGDEQQEAFETLKDKLTNAPLLVLPNFNNTFEIECDASGVGIGAVLMQGGKPVAYFSEKLNGPALNYPTYDKELYALVRALQTWQHCLWPKEFVIHTDHESLKNLRGQQKLNKRHAKWSEFIESFPYVVRYKQGKENVVADALSRRALIKKNLRTWEDCLPHVEFAYNRSIHSTTGCSLFETVYGFNPLTPMDLLSLPLSVQVDMDGQRKADYVRELHARVRAQIEKKTQHYMKNANKGRKEVIFEPGDWVWLHLRKESDADLRTNPFQGRGDDAPRAYHGLEGHIGANEDHGDVIDASLEEHGDGGASDRPRDLEMPAQHRPCDMEMPGHHRPRDMAMSGHTRPFDSALPGHNRPHDPLSMLLGPITRARAKRFKEALDMYPESLNLDHHEIEHEIESSTAIEGNSGSGTKVRKQYSKVWKDFDVIERQGKSPSEKIRAIRKDYGKDYDGQARSGTSNLKRHLETCPKNPNRIEEGTAKLDQKIFRESVALEIIEHGLPFSYAEYEESKRSNRILNPNVKFFSRNTFKAEVLKVHNKLKEDLKTTLHKVPSRICLTSDMWTSCQNRGYLSLTAHYVDENWKLCSKVLNFCHAPPPQRANNLHGTIHDGLKVLGDALEKVRESVKYVEDYPTANLYFENVYKVRGNLLKEIENPDSCLREVAKAMKENFDKYWNQHCVVLAFAVILDPRFNYDSDESTSSNKSQLELYLGEPRVQITLMPYDVLAYWKDNYTRYPEFATMARDIMTIPITTIASESTFSMGRRVVNQWRSSLTCKKAKALITTRNWLHGCTIGSAFANSTDFLRLRRIQVQIKWVVSDAPKAYHRLEDDNGEHGKDVQGLQGSMEMHEDHGDIDKHVPSTKKMPIGPMTRARAKRFKDALMGLFRTHLEDMKQIGITYIDIRPIETKRSGKRKNCVRSSRVIRGPPKHRLSANTRAHCGNHTHTHCEEFSLSLLTFCVGTMKDKGDGENQEVNMTTMLQNLVQRMDTMSTQLNQRTDTLEAQNQQRANLAQLPPPRRGELGDDARQPQAQRQVVRGGPLDRLREQEARGQAYLDNLRPRRGGDRDELKDNIKYKNPKFNGRGVPEYYLDWESKLDMYFDYHPYAESKKVRIAILEFVENALNWWNQLVQSRRRNRERAKVEEVRQATMARFLAGFDREIHDIVEMQQHYDLEEMLQHALKAEVMHPKVTHHSLL >OMO89159 pep supercontig:CCACVL1_1.0:contig08836:20370:33235:-1 gene:CCACVL1_07993 transcript:OMO89159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYIHCGDADGSQLNKIRKIVSEMAGKDEDNVRVVVSPYRICPLGAHIDHQGGMVSAMTINKGVLLGFVPSDDTQVKLRSGQFKGEVTFSVNETQQKRHTISKCEESKGDNSSPSSEECDWGRYARGALYSLQSRGNHLAQGIIGYIYGSEGLDSSGLSSSAAVGIAYLLALESANNLTISSTENIEYDRVIENEYLGLRNGILDQSAILLSSRGCLTFINCKFNLEPNLARRAEHYFSENDRVTKGVEAWASGDLKYFGQLMSASGLSSIINYESGCEPLIQLYEVLLKAPGVFGARFSGAGFRGCCVALVGADGAEEAANFVREEYPKLQPVLASQLNQDTAVLICEAVPKGWWWRIDLLELFDDDILVYSRNLDEHIKHLRCVFDEKLYANLKKCTFCTNKLVFLVFVVSSQGIEVDEEKIKVIKEWPTPTNVGQVRSFHGLAGFYRRWSTKVVNRILSTLLMALIKKNLRTWEDCLPHVEFACNRSIHSTTVHSAFEAVYGFTPLTPLDLPLSMQVDMDGERKADFVKDLHARVRAQIEKKTQHYMKNANKARKEIIFEPGDWIWLHLRKERFPEKRKSKLSPRGDGPFQILERINNNAYKLDLPSEYGNVSATFNVSDLSLFDSVADLRTNPFQGRGDDAPRAYHVHEENNGDHGEVDHGLEGSMDKLEEGGGITNPNSSSMGIVNRRRTKEVYLVKIVDIQV >OMO53495 pep supercontig:CCACVL1_1.0:contig15190:18643:20838:-1 gene:CCACVL1_28603 transcript:OMO53495 gene_biotype:protein_coding transcript_biotype:protein_coding description:GINS complex, subunit Sld5 MASGSEEWSAAQIDDYETLISTTDVELLKRAWRNEKAAPEILPFEEALVKRAKEQIQLMEETVDDFAESGHDPLIASLYQMDLDRAQFLLRSYLRVRLQKIEKFMFHIWKTDTYRNRLSIEEEKFTERCIRDIGKHLEETVLSKLADNYQSLLKQSVISEEDDMVPEPQLDTFVVAKCQKATRPLYLDGSRQSASFDSTHLAEMITSKWCQGIYAFCVTGHFRRN >OMO53492 pep supercontig:CCACVL1_1.0:contig15190:371:9736:1 gene:CCACVL1_28600 transcript:OMO53492 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein PFGHDGENHEANGVLGGGGTGGSREGKDGLLWFRDIGKYGSGEFSMAVVQANQVLEDQSQIESGQFGTFVGIYDGHGGPEAARYVCDHLFRHFRAITAESHGVVTDETIQRAFLQTEEGFTALVSELWSTRPNMATVGTCCLVGVIYQQTLFIANLGDSRVVLGKKVGNTGGMAAMQLSAEHNANIEDIRHELKDLHPNDPQIVVLKHGVWRVKGIIQVSRSIGDVYMKHARFNREPINAKFRLPEPMHMPILSANPTIISHALHPNDSFLIFASDGLWEHLSNEKAVDIVHSHPRAGSAKRLVKAALQEAARKREMRYSDLRKIDKKVRRHFHDDITVIVLFFNHDLISRGAVQDPPFLLMESRSSLSYLLSCAWILVALSGLATLTESAQQAFKRDPGHPHWHHSAFLDVRDSVRSDVRRMLHSRAEVPFQVPLEVNVVLIGLNGDGGYRYTVDAPKLEEFLRVSFPSHRPSCLESGEPLDIEHHVVYNTFPAGQPELIALEKALKEAMIPAGSARESDFGREVPLFEVDATAVEPVFQKLYSYIFDTDNGGYSAEEADRPVPNAVFIVNFDKVRMDPRNKDVDLDNLMYGKLPPLSEEDMKKQEGDYIYRYRYNGGGATQVWLGTGRFVVIDLSAGPCTYGKIETEEGSVSYRTLPRIRNMLFPRGLAAVSDHATHDNFMGHLAALVATTVEHVIAPDVRFETVDMTTRLLIPIIVLQNHNRYNVLEKGHNYSIDIQAIEAEVKKLVHGDQEVVIIGGSHSLHRHEKLAIAVSKAMRGHSLQETKKDGRFHVHTKTYLDGAILKEEMEHSADVLAAGLLEMADPSLSNKFYIRQHWMDESENSTDSVLKHKPLWASYNSKRSKKKKIQKKKGDLHRTYGTRVIPVFVLSLADVDPQLMMEDESLVWTGKEVVIVLEHQNEKIPLSYVSETEKRHAIPSQAQRHILAGLASAVGGLSAPYEKASHIHERPVVNWLWAAGCHPFGPFSNTSQISQMLQDVALRNSIYARVDSALRVIRDTSEAVQSFAAQYLKTPLGEPVKGKKNKTTTELWLEKFYKKTTNLPEPFPHELVERLEKYLDSLEEQLVDLSSLLYDHRLQEAHTNSSGILQSTMFTQQYVENVLTSERDKMRCCNMEFKYPVHSSQTFVYGGILLAGFIVYFVVIFFSSPPSR >OMO53493 pep supercontig:CCACVL1_1.0:contig15190:12470:14838:1 gene:CCACVL1_28601 transcript:OMO53493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSSSRGRRGVAVDHHVLKNYKLEKTLGFGAFATVKIAQHAFTSHKVAVKILNRTKIKDMNMEEKVRREIKIMRVLIHPHIIRLYEAIETESDIFVVMEYCSSGELFDYIAQKKRVHEIEARKFFQQIISGVEHCHRNMVVHRDLKPENLLLDSNYNVKIADFGLSNIMRDGRFLDESCGSPCYAAPEIVSGKLYAGPEVDVWSCGVILYAMLCGTLPFDDERNDFPTIFRKIKGGIYSFPSRLSAGARDLISRMLLVDPMKRITIPEIRRHPWFQPHLPRYLAVPIQQAKRIDDEILQKVVRMGFERNHLVESIQNRIQNEGTVAYYLLMDNRFQVSNNGYLGAEFQETMESGFKYLHPAEVTAPGNAYNQAMGLRGVERKWSIGLKSRGVPREIMAEVLKTLQELNVCWKKIGHYNMKARWLPGQHQGMATNNPLPLHNINGSESTIITNDGVIESKNVVKFEMQLYKTSGEKYLLDVQRVQGPQVLFLDLCAAFLAQLRVF >OMO53494 pep supercontig:CCACVL1_1.0:contig15190:16856:17353:1 gene:CCACVL1_28602 transcript:OMO53494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MCPSDRNSRIRPERTSSVSDFRSAFEVIDADRDGKISREDLRRFYAGFSNGFGHDDDEMIGTMISLADFNKDGFVEYEEFERVLSRGKQSSAASGFGVMEDAFKVMDKDGDGRLSHQDLKSYMNWAGFSATDEDIKAMIRLGGGDENQGVSFDGLLKILAVDFAH >OMP01264 pep supercontig:CCACVL1_1.0:contig06487:15882:21756:-1 gene:CCACVL1_03129 transcript:OMP01264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSFRRYSLSGDSLKGDSLVMRYSLKGDSLAMRDSLRGDSLEGVSLITQDSLRGDGSRSNPFGMHRGAGLEAQARRQVENIIQLEYFHRYKSLLFKCEWFDPEKGVRVNPLNGRVEIKHKAMLASNRPSEQFCFSPFSSSQCEWRAVFKPKPKSNYELLSIDKGVVADCTHMKGFESIETAKDVLDAGVGCGEAVKDVLDAGVGSMESAKGALDVAFGPEEVGSEEAAKDTLDVAAGSGEAIQDVLDAGVGFMEAAKDALDAAVGPEEAVQDVVDAGIGSREATKDALNAGVDSMETVKDVQDTSSSRFCGEIMYRGRPLGVGRLGFLEGEEYDAANICALSNYAELAPYFKLFDEMVRRDSPSITDEELINARHTRFMKWFKQYGQENKDKLDPHIYSISYGPSDEVKSFNGYYINGFKFHKLEYGKTLKQKNFGVCVRRCHYKDRVCDFYGILVDVIELCYDVPKLKFLLFKCDWFDPEKGVRVAPFGRIEIKHKAMLASNRPFVLAEQAEQFYLPIYIDSQCEWCEVHIQRRRWAYELPSGDKGVVADCTHMKGFESREGAQDVLDVGVGCGEAVKNVLNAGIGSGEAAKNALDAGIGSAEAVKDALDAVVGSEEAVQDVVDAGIGSKEAAKDALNAGVDSETVKDVHDTSSSRSAASNSVCGPPKRKANDTNEQVLEEIRVLRAEMHDFMAKMQKMIETRFQDLPGQKKKRFQDLESNNRRRL >OMP01262 pep supercontig:CCACVL1_1.0:contig06487:185:2014:-1 gene:CCACVL1_03127 transcript:OMP01262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQRERSGEEEDLLARSTKKIKSTDLALVEDDDQIMTENLDVEGAAAVDGEDTDEEAGAFDNGVEFMRDAKEATETVMASREKGTVPSFRDMVRGENRAKTFDEEDIFGALNNNDGLLEVSTVDSWPAIKTSPKMKQFLHHKWRNCLIVKLLGRNIGYKTLQVKVTSLWKPRGHLELIELGEGYFVAKFSLEEDLQFALAEAWVRFPELPTEYYDERFLLALGNTIGRAIKVDKTTHFASRGKFARVCVEIDLNKKLVPKVMVDDQWTRVEYEGLPLFCFHCGYIGHRDCKEFKEQLAEQNGNGNNAAPANNLDVNGESSSDKAEEKVPEVQPTFGPWMVAQTRRSRMPVRKNTEFVRKPNGVGENATGSRYAALANIQEQETVVQTNKDKDISDKGFVAAKSHGLKTSSPTHGMTKGKPTGAKQKEKTHEKDNMVNMETRFETHIAGAGVSGSRTGGMGYGGLGFSLAQPIRIHDKSGDFEDSDVLFSGDMMIDGQQASENSVLGGTKVTLQHSPSLRSSDHRNRDPPNSSGLSVVPETQLIEGNMTIDYRFDSGKMIDDVASPGEHGNLGRLNQFS >OMP01263 pep supercontig:CCACVL1_1.0:contig06487:4154:10663:1 gene:CCACVL1_03128 transcript:OMP01263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTIAMTRQECLDKCLPACQPAPASRCIESCVEECQKYPPPAAPQTRIKG >OMO69997 pep supercontig:CCACVL1_1.0:contig11911:7715:7804:1 gene:CCACVL1_19151 transcript:OMO69997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDNSGKVVIGGPRWPALKDAAKIGGCS >OMO69999 pep supercontig:CCACVL1_1.0:contig11911:17034:19768:-1 gene:CCACVL1_19153 transcript:OMO69999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MLEESKDPAIKLFGKRIHLPADGEISGISADDFDKAKCRSDDEEEKNKTQQESLAEITTDTGQEDDDIPPSDREESTNPEALPEPNDVNPKTPSTDEESGKSKTGKTDTEQSDATNSQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKACQRYWTAGGTMRNVPVGAGRRKNKNSSSHYRHITISEALQAAQIDAPNGLHHPALKSNGRVLSFGVDAPICDSMASVLNLGERKVFNGTRNGFHCLEEQKSSVPCREENGDDCTGGTSITVSSSMDEGSRSCIQETMMGNINGFPSPIPCLPGVPWPYPWNSAVPPPAFCPSAGAFPVSFYPPAAYWNCGIPGTWNIPLLSPQSSSSSNQKAPTSGPNSPLGKHSREGDIVKLDDSEKEKPSKQKNGSVLVPKTLRIDDPSEAAKSSIWATLGIKNESHSGGGLFKAFHSKSVEKNHIAEASPVLRANPAALSRSLNFHESS >OMO69998 pep supercontig:CCACVL1_1.0:contig11911:8829:13527:1 gene:CCACVL1_19152 transcript:OMO69998 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease MIIESKDSKSKSKGRSRSRPSSPPRSRSSKLTPPSATLVDGEVVTEPEGSSAITSLFENLQISQQDSSSSNPRIFPYNVKQQCWEKAEKVKGRDPDRWRRDAVGNIVFRKLVGCPGCLCHDYDHILPYSKGGKSTLENCQVLQATVNRSKGNRTELSKADLIQKSSYCRVSGRDMDLIELSAYGNVRHADDNAGGCRIQ >OMO69996 pep supercontig:CCACVL1_1.0:contig11911:1621:5644:1 gene:CCACVL1_19150 transcript:OMO69996 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like protein MAPEAIVWRVLIFPKGNGVDHLSIYLAVADARSLPPGWTRFAHFRFTVKNQFGRKHSIFRDAWQEFNEKDPEWGFIEFIPLSELHDPKGGFILNDACLILVEVDCSTDGTSDLLSHEFVVETDSNVLRDKLELEIDNVRPALKKHKSVINKPEDDTKSPNQPLPTALPSSHSEVSTKATNPNSVDEPTAYSVCKTIESDEEIEKDIDMFYTSLESELANQKTVSFQEEAMKALAKTEEALNMAPVSFYETGKFSSLKNAFMVLSSFECSAALMIEQKTELLAMEENFKLLTERVAKAVQEKNLLSDKESVKLTLTRNLEKSLNIFKEIKENFKATKDMKMELEAIGKEWPEYEAKAKAADEELKTVAAEWKRMKAAARPSMSSVVSVLEGRVDFQELVRNSTSISESELNMEKMKTLYQEIEENDADKKSMLADGPWTSSSTSTADLYPLNLTSGYWQNRDSTKVKLGLKPAILALKFVVEGLVKNIKGRKKKIAAKNDATEEGEAVVEDE >OMP10293 pep supercontig:CCACVL1_1.0:contig02647:406:915:1 gene:CCACVL1_01001 transcript:OMP10293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTPRTGAISEFREEDGNSSRFEHSDGENSMYNYGTDDDECKNNSYYRKKDEHRIENKNVNENPLGINSSVAFGSNDWDDFEQEAGTVDLASFMLDASVMRQRGQVGSDLQENANGLDLFPIGFSSSTEPQLVEKVKDIPVATYPEE >OMO70615 pep supercontig:CCACVL1_1.0:contig11804:10126:25075:-1 gene:CCACVL1_18760 transcript:OMO70615 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA-like protein MLTCIACSKQLNSNNNGSIGRQQDDDSMETPRTRQAIKALTSQIKDMALKASGAYKNCKPCSGSSNHNRNYADSDAASDSARFHCPYRRTGSSDSTPRVWGKEMESRLKGLSSGEGTPASVSGRTESVVFMEEDEPKEWVAQVEPGVLITFVSLPEGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNRQAVPLPTPPRSEDEGSRIESAKDSPMTPPLNKERPRNFVRPTGMGYSSSDSLDHQLTQSRQYYDSAALASTPKLSSISGAKTETSSIDGSVRTSSSREVDHSGELSISNASDIETEWVEQDEPGVYITIRALPGGTRELRRVRFSESGKEMVSGEKIEGDISAPPVRKAIQSIREIVGNHSDADIYAALKEANMDPNETAQKLLHQDTFHEVRRKRDRKKESIDHKDSLDSRKRSENVGQGMKFRTYAERGTHTERGTYTERGTHTECGTRTERGPRTERGPRRGGYTRNSLPGVNKEFRVVRDNRVNQNANKDLKPPISQCSTSANEQVPANAADNKGSAGTPSNQRPYSSRSFSQTSNGPSSSHPRHARDANSNAIDRKGTSEEKRTSIPNAVSRSQAVKPNNSQTQSSPVIGVYSSSTDPVHVPSPDSRSSGAVGAIKREVGVVGVRRQTSENAVKDPSGTSGALSNSLVGRDNSSEAFQPFPAISKADQLNHTSATESVMPGISGNRSFLNNQYGSRQHQQVLGHQKANQHTKEWKPKSSQKSSVSNPGVIGTPKKSASPPAADAKDLDSEKAKLLDKFSEVNIYENENVIIPQHIRVPENDRHRLTFGSFGVEFDSSRNLVPGFQPTGVADDSNGESAASLSVSAPDNSSDDAAGGKPINILDDQVRNSGSDSPPSGTASEHQLPDKKDASSPQNLESYADIGLVQDNSPSYTPSESQQHQDPPELPGFSAYDRQTGYDLPYFRPAIDETVRGPSPQEVLSTHAANIPASTIPMVQQQQPPVAQMYPQVHVSHFAMPYRQFVSPIYVPQMAMPGYSSNPAYPHPSNGSSYLLMPGGSSHLNTNGLKYGIQQFKPVPASSPTGFGNFTSPSGYAINAPGVVGNPTGLDDSSRIKYKDGNIYVPNQQADASDLWIQNPREIPGMQSAPYYNMPQTPHGYMPSHTGHASFNAAAAQSSHMQFPGMYHPPPQPGAMGNPHHLGPALGANVGVGVAPAAPGAQADASDVWIQNPREIPGMQSAPYYNMPQAPHGYMPSHTGHASFNAAAAQSFSHAIPRDVPSSSTTGCNGKSTSSRPCIGC >OMO70614 pep supercontig:CCACVL1_1.0:contig11804:6891:9763:1 gene:CCACVL1_18759 transcript:OMO70614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWKHRKKAKVLLAIPRKKAPTDPKHTHTNTKLKSPQNPDSPGTYRESYGGELEEKEPSFDQ >OMO70616 pep supercontig:CCACVL1_1.0:contig11804:33296:38564:1 gene:CCACVL1_18761 transcript:OMO70616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol/phospholipid:diacylglycerol acyltransferase MSLVRRRKPIVNESDKTAEGSDSKTQKRREEEDDYDDDGNDKNKKKSPWKIKKKREEKAHKWSCVDTCCWFIGCICVTWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLQKEGLKAKHPVVFVPGIVTGGLELWEGRKCAEGLFRKRLWGGTFGEVYKRPLCWLEHMSLDNETGLDPCGIRVRPVSGLVAADYFAPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGKKAVVLPHSMGVPYFLHFMKWVEAPAPMGGGGGPDWCSKYIKAVVNIGGPFLGVPKAIAGLFSSEAKDIAVARAIAPGFLDNDIFKLQTLQHLMKMSRTWDSTMSMIPRGGDTIWGGLDWSPEEGYSCGNNRQKKNDTQVADEAAENAVCQARSANYGRIISFGKDVAEAPASDIERIDFRGAIKGNNAANTTCRDAWTEYHDMGLGGIKAVAEYKAYTAESVVDLLHYVAPKMMARGTAHFSYGIADNLDDPKYKHYKYWSNPLETTLPIAPEMEIFSLYGVGLPTERAYIYKLSPAAECYIPFQIDTSSDDEDTCLKDGVYSVDGDETVPVLSAGYMCAKGWRGKTRFNPSGIQTYVREYKHSPPANLLEGRGTLSGAHVDIMGNFALIEDVLRIAAGASGEELGGDYVYSDIFKWSERIKLKL >OMO70617 pep supercontig:CCACVL1_1.0:contig11804:39915:41845:1 gene:CCACVL1_18762 transcript:OMO70617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGGGREFGGGRKRGRHDYALNGNGGPKKSKQGLPS >OMO89023 pep supercontig:CCACVL1_1.0:contig08864:5542:8929:1 gene:CCACVL1_08054 transcript:OMO89023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroorotase homodimeric type MILTTLVLPTKALKFSSVKFEGATKSKYRGPKMELTLTQPDDWHLHLRDGDLLKGVAPHSANHFGRAIVMPNLKPPITTTAAAIAYRDSILKAVPADSNFTPLMTLYLTDTTKPEEIKLARQSGVVFAVKLYPAGATTNSQDGVTDLFGNCLPVLEEMVEQNMPLLVHGEVTDPDIDVFDREKVFIDTILQPLIQRLPRLKVVMEHITTMDAVRFVESGKEGYLAATVTPQHLLLSRNALFQGGLQPHNYCLPVLKRDIHRQAIVSAVTSGSKKFFLGTDSAPHERRKKECPCGCAGIYSAPIALSLYAKVFDEAGALDKLEAFTSFNGPDFYGLPRNTTKIKLKKDSWKVPLSFSFPFGDIVPMFAGETLEWQPCLS >OMO89024 pep supercontig:CCACVL1_1.0:contig08864:13653:15734:1 gene:CCACVL1_08055 transcript:OMO89024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MATTVNDIPDVILSNIFASISDTRTRNSLSLVCRKFMLLERSSRVSLTLRGNARDLFMIPTCFRSVSYLDLSLLSPWGHPLLSSSPLSDPLLLAHRLRVAFPAVTSLTVYARSPSTIQMLVQQWPGLKRVKLVRWHQRLAQWPIGEDFFCLLEQCENLSSLDLSSFYYWTEDLPPVLQACPKVSASLAHLNLLTTSFAEGFKSQEIKEITSACPNLKSFLVACTFDPRYIGFVGDETLLAVANNCPKLTVLHLVDTSSLSDVRGDPDSDGFTSEDAMVTRGTLVEFFSGLPLIQELVLDVCRNVRDSGVALEMLNSKCKDLRVLKLGQFHGICQAIESQLDGIALCSGLEELSIKNCGDLTDMELIAIGRGCCKLARFEVQGCKRITEKGLRTMACLLRKTLVKVKISCCKNLDASASLRAVEPIRDRIQWLHIDCVWDGLGETGNSEQDGYQVEDPFSEYDQEDMMSRKKCKYSFDLSDSYSMEESNGFWCKKWDKLQYLSLWIGVGELLTPLTMAGLEDCPALEEIRIRVEGDCRGRPKPSVRAFGLSCLSLYPLLSKMQLDCGETIGYALTAPSGEMDLSLWERFYLNGIGDLRLNELDYWPPQDRDVNQRSLSLPAAGLLAECFELRKLFIHGTAHEHFMMFLLKIPNLRDVQLREDYYPAPENDMSTEMRADSCSRFEAALNRRLILD >OMO89025 pep supercontig:CCACVL1_1.0:contig08864:16162:22151:-1 gene:CCACVL1_08056 transcript:OMO89025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWCPEHPSFRARARSMLSGDRNSLRDFSDDGATSSYRSEGASTLEARRIRRRSISMTPEIGDDIVRAVRAMNETLKQNRLLQERGEDRSFAHTSNDQNESPDLQKNVPNFHLVGRDGITGGRSALLKLSRDQINSQKAISLPSSPHDYRSQTSERSGVSRYVANDELVATWNKVLESSMFNNNPLLAYPEWNIDFSELTVGTRVGIGFFGEVFRGVWNGTDVAVKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPQLSMITEYMEMGSLYFLIHSSGQKKRLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRIMTSNPMRDSASAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWDGVPPERVVYSVANEGSRLEIPEGPLGRLISDCWGEPEERPSCEEILSRLVDCEYSLC >OMO89022 pep supercontig:CCACVL1_1.0:contig08864:5099:5293:1 gene:CCACVL1_08053 transcript:OMO89022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSGKPVFIYPSRLRRFDPKIRFHLFFSGVKLPLTPVATALNSLSHITATLSPPSLELPQSQK >OMO93861 pep supercontig:CCACVL1_1.0:contig08043:21119:21425:1 gene:CCACVL1_06315 transcript:OMO93861 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LRR receptor-like serine/threonine-protein kinase FFTKQVNCGQNFPNQCVLSGYDSGSSKKPKVGIIVGIVRGCIILLLFGGLMFFLCKGRQK >OMO93860 pep supercontig:CCACVL1_1.0:contig08043:3113:7829:-1 gene:CCACVL1_06314 transcript:OMO93860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKASVMLKQIISLVWGSSVTKAKSMAVKGKMSAAKARLILFTFMKSKKSVFLGSISNKIHGLLGSSQEDDDDIIDINAKAIVPYNMNIADEEDDEKYPDLRHCLFEEAEELELQAEAEAGSIIDMVRNSKEEGGEDFSLEDEIDHVADLFITRPFEPTPYQIGIESFGCGETYHVSTRKHGVRVAPNETVEGLRALVETLQNRLHVVEERERRCEESEGRSSSHTRRGSRGGGASTSSSECIRMIGQPDDFLDWLHTVERIFEYQDEPENKQVKLVAIKLRKHASLWWENLVKQRERRGKDKIRTWEKMV >OMO93862 pep supercontig:CCACVL1_1.0:contig08043:27639:46827:-1 gene:CCACVL1_06316 transcript:OMO93862 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRA-YDG domain-containing protein MVVQYWKQKGISGFIVFKYRLRRLEGQPTLTTSKVTIRHQLFSTVDPTASLYTVEDKEDR >OMP06908 pep supercontig:CCACVL1_1.0:contig04750:733:975:1 gene:CCACVL1_01407 transcript:OMP06908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLGLLSGEPKYPETVIPFRLTSSSTYVSSTSSPDSVKSRPETRLGNRKETSSSSGTGTLSLLTAPLDSEQLSSSDYGKT >OMP09981 pep supercontig:CCACVL1_1.0:contig02843:332:397:1 gene:CCACVL1_01023 transcript:OMP09981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENSTRAAKILNLSRVEEST >OMO67915 pep supercontig:CCACVL1_1.0:contig12351:11435:11497:1 gene:CCACVL1_20212 transcript:OMO67915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAYTILNDVATSPKVVT >OMP00934 pep supercontig:CCACVL1_1.0:contig06581:15497:17722:-1 gene:CCACVL1_03229 transcript:OMP00934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven-in-absentia protein, sina MELDTIECVSSTDGMDEDEIHHHTLHQSSHPHHGHHQFSSSKPHNGTNNTTANGNTVNNIVGPTTITPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKFYNLGCPEIFPYYSKLKHEGVCNFRPYTCPYAGSECAVVGDIPFLVAHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDEVEARNYSYSLEVGANGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDAGVCIPNLCS >OMP00936 pep supercontig:CCACVL1_1.0:contig06581:37220:61270:-1 gene:CCACVL1_03232 transcript:OMP00936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDLRHRGLKRERALVLYDLDDDDDGDYYRDYHFKVLLPNGTSFDYTSNDRRPEISVEDFIESIRVKYNETVRRLSQSAKRRKVNWDSDNLYLEDAEEKKKITRINFRKQFKPHKCHILRLHDGSGNIAKTFENMWDLTPDTHLLKNLPEDYKFETALADLIDNSLQAVWSNDKNHRRLISVDVREDKISIFDTGPGMDGSDENSIVKWGKIGASLNRLYKEKAVGCKPPYLVPFFGMFGYGGPIASMHIGSGAIVSSKTKESKNVYTLCLSRPELLSTSNSERSPWRANGSIRDLLKDESEKSPHGSFTKVEILEPKRKDLDIRELQCKLKDIYFPYIQCDELSKVGRTITPVEFQVNGVHLTEIEGGEVAITNLHSCNGPEFIILLHFKKDKAAEKSSEANARLKCVYFPIREGKENIERILKSLDDEGWAINENFESFSRVSIRRLGRLLPDARYGLLPFMDLRQRKGDKSQLLKRCCLRVKCFVETDAGFNPSPSKTDLALYNPFSISLKSFGSRHEDKGVDVEIYRDGKKISFPQMERDYRDWLLQMHDRYDEEMVSGEDQPVLVIDPSNKKALGISYDFIRVYQILKRKGVTWKSGQKIKVLKGACAGLYKKDVYATIEHFLIEGLQGDFGGEARIICRPIDAANGCILSVEGENASLDIRESLSLPVSVIDSEKCTAIADTVWNCQLQKVPSRIDLLNAKECRELQLNGALPTAVTVQAGLRPPKDIVAVLRPSSFDSSSPSNNLDQKDIVKTNLVMSMEVNFKRTEDHQAVKQICLHKVTPSSHRGFYGLYIFQLGSKFPKLFQAAGKYTFSFSVERPGCQKCMKTLKVIPSNEVGEWQLLLDKEIQSYHVRVGSCFPPLSIACYDIYNNQMPFSSIPDFEIKINEGLLVDLAKIKPSLSSDNLVLSIEDVMIETCELDSMRPHYAATLMICSKDEEVSVSVKCQVTPGALHHVKASPEVLENLLLPGLVIQQFVLEMFDAYENHVAEGLEVQFNLDGFCIDGQVGSKYKVDDNGCIDLGGRLKVTASYGKPVSLSVSHEDKVVFHQEFETEKRELRIASRVPEQCIAGSKLENLVFEVVDSNGVVDETFHDDEKCGLSHTLRVSSKSFKVDDSICYAFRKGCCIITSFPLPQTEGPLCFMAFHSRYKELYVNVKLFLAPAPRIEPGAIEYPTLDGKGLFQQSLQSVKDARSLLPLLRCESKELEVEVCKYAEPAPTIESGVIEYPTLDGKGLFQQSLQSVKDAGSLLPLVKCEGKELEVEVCKYAEPAPTIEPAAIKYPTLDGKGLFQQSLQSVKNAGSLLPLVKCETSLEPNVINNLDSLSTKEEMMELIEERDHSAASVLCSLAHERLFQEPWIHVREDIVGVVALLGTVCTSKLSRILAEYLGEDQMLAIVCKSYAAACALEKYEHNGEVDCEFGLHAEAAALGKSLSGRFLVVCLEDIRPFPGQTVLGDPQRRLYMPDPKSPNRSTPQGFLGYAVNMINLEHFYLENFTASGHGLRETLFYSLFSELQVYETRKHMENARACIKDGAVSLDGGILRKNGVISLGYRYFVEVHVPEESKESFKQIKEMQLELRSISDKISGVTRSHGKAFKKYDKKKGEMEKSSQLSYNSVSKSENPDGDPERKSRGESKLNSAMFPANNQFSSHPMPLAIMESTIPMQVDQNEAPLAARDEMQNGGFSQAKSLIQSSEKLQEDLRAMGVKIKQHEDNIKQLKTQKNKLDDSILDMQVMLGKYHSSSAPTVENEDHSQLQSEEETTEQILRHEKSAAGILYQLKARHGTQVSHLTRTKDVLGPVATLGKVDDENLSRLFSEYLGVQTMLAIVCRTFEGVKALETYNQDGGIDRTSGLHGLGAATGRAIDGRFQVISLENLRPYAGDILADDPQRRLDLLKPRLKNGECPPGFIGYAVNMIHVDNSNLFCVTANGDGLRETLFYNLFSHLQVYRTRSQMVLALPCISEGAVSLDGGMIRSTGVFSLGSREEVDVRFPKPSAASTVPPEYTETEKKMKEMRWEKEKLEEDIKREQVLLHNAKNNFENKKQDFVRFLAQSSSYAAQCASCKTLISSVLHEHLPSFRLRKSPPFLHQSDPFPREKRDKFLMAGKAAQSVAKAVGEYQYPWREKLAKYQGELSKGVWGYWELGAWKPLGISARRRARLRKEVLLAGQDWPYDPERKEMKTKMKGHKCDRIAAEKRENTANLMQKMPQMLLAYKKRRWEKKMKEEEKAKEKSI >OMP00933 pep supercontig:CCACVL1_1.0:contig06581:11808:13769:1 gene:CCACVL1_03228 transcript:OMP00933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKPTFLTHLKTLARPHRSLRPCFVTLRHLSFNTPEEAAAERRRRKRRLRIEPPLSYAQRSQQQAQPVAPPKPIQNPNAPKIPEPVTVLTGNRLNLHNKILKLIRENDLEEAALYTRHSVYSNCRPTIYTINAVLNAQLRQSKYADLLSLHRFITLAGIAPNVITHNLIFQTYLDCRKPDTALEHYKQFSNESPVNPSPTTYRILVKGLVDNGKLEEALEMKKDMLEKGLAPDSVVYSYLMLGYARSGDADGILKLFEELKEKKDGVLDDGEVYGNLMKGYFIRGMEKEAMECYEEACGENSEVKMSAVAYNYVLEALSKNGKFDEALRLFERMKNEHSPPRRLAVNLGSFNVIADGYCAEGKFKEAIEVFRSMGDYRCSPDTLSFNNLIDQLCQNGLLSEAEELYGEMGDKGVNPDEYTYVVLMDYCFKVSRIDDGAAYFKKMVESGLKPNSAVYNRLVDELVKVGKVDEAKSFYDIMVKKLKMDDESYKFMMKALSDVGRLDDVLKMVDEILDDESAEFSEELQAFVKEELRKEGREEDLTKLMEEKERLKAEAKAKEIEAAEATQRSARAAVSSLLPSKLFGKKEDESESTEATENAIEAASGGESQTQAGNEGPIEEATVMESVASDTSSEDESTVTDSNAVDTKPF >OMP00938 pep supercontig:CCACVL1_1.0:contig06581:70127:75984:1 gene:CCACVL1_03234 transcript:OMP00938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MESLQLSRVISCNLSTDVSRKVCFFRPSRHLGFGHLLRRSFKNGKLTVVRSEEIGKGSGFGGLTDNSNSNAVEDEKQEEEKEQHGLKLGIDRDDSGSIIGLQLIPPPGDHEVIDSHEDVAADAEDKIEDIEEEKTPTRVTYNIVFVTSEAAPYSKTGGLGDVCGSLPIALARRGHRVMVVSPRYLNGTPADKNFEHAVDLDRRIKLSCFGGEQEVAFFHEYKEGVDWVFVDHPSYHRPGNPYGDIHGAFGDNQFRFTLLCHAACEAPLVLPLGGYTYGEKCLFLVNDWHAGLVPVLLASKYRPFGVYKYSRSILIIHNLAHQGVEPAATYKNLGLPSEWYGALEWVFPTWARTHALDTGEAVNILKGAIVTADRLLTVSKGYAWEITTVEGGYGLQELLSSRRSVLNGIANGIDVAEWDPSSDQHIAFHYSTDDLSGKAQCKTALQKELGLPIRPECPLIGFIGRLDYQKGIDLIRWATPELMQYDVQFVMLGSGDPLYEDWMRSAEAAYRDKFRGWVGFNVPISHRITAGCDILLMPSRFEPCGLNQLYAMRYGTVPVVHATGGLRDTVENFNPYAAEGSGEGTGWTFSPLTKESMLEALRIAIMTYREYKPTWEGLMKRGMQRDLTWESAAKQYEQVFEWASIDPPYII >OMP00935 pep supercontig:CCACVL1_1.0:contig06581:19352:35365:-1 gene:CCACVL1_03230 transcript:OMP00935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVGETDNEAGNGTQLCAQRDKRNRQEEVSQESVNPAVEEKAGVNPPIDGGDQGTQSWFGEGGGAEGVFEWFGEEGGVNGGESLKLWGVEDGFGLGIGGSSKGAHGVECKGGRPWFDDGVFGNLGGEEIQMGGSGAVAFGNGGMGLADGLIQDLFGEPNVGLNVVGEGIQGLFEEIACGNGGQIQFSGEGKQSQVGCSENAGTHQESKDEGGQVKGKRGRPKGSKNKMKIVGAEQSIEGLSDGKVKKLGRPKGSKNKQKGLSGEGKKGEGDVCRMEENLCQEKDSKNGKADVAVETTEALQLKPMRGRPKGSKNRKKSLPSEQSIQGMTEVSGHEPIVHAKEEIQEGDNNVQPKKKRGRPKGSKKKVGLSSDQQTVQSNDKQCFPEVSKDGKENNEGLLEVPVLVTAFVREEGMVMPGKAIAGEFEISSVVAEKDKEIPIEGSGANEGENGPKVNHWCEEDMKKEPTVHAKEESHQSMEVTCKHDGGNEGLRWKRGRPKGSKNKRKLYFCEVSERKKYKFCQSHGQIVGGEGNNLEMCKRISNKLLQDSLDVKSTVSTVDVVNAQKKSRRKKQKSSSQSEGSFSSDDSSQKSVRRRGVMCHQCWRSDRSTISCSSCKRKRYCHECLAKWYPEKKREEIEVACPFCQRICNCRKCLKENLAVMDEHEETDTSIKLEKLLYLLHKVLPLLRHIQQEQHEELEVETNIRGVQVTEQDIMVSLLEDDDRVYCNNCNTSIVNFHRSCPNPDCSYDLCITCCREIRNGSQSGGNVAKFSHRQSVGRVNSQATDSNEQIPAVTVECDQKSVLSPECISDMSCNSLDLKAEPDGRIPCPPKGRGGCGSETLSLRRIFEANSVDQLIQSAEELTINFQLPESEFSEGCSLCHISSSAENEATDFEVRQAAHRENSHDNFVYCPNVMHLEDNKIQHFQMHWMRGEPVIIRNVLEKSSGLSWEPMVMWRAFIGGAATKILKEEARRVKAIDCLDWCEVEINILQFFKGYLAGRRYRNGWPEMLKLKDWPASNSFEECLPRHGAEFVAMLPFKDYTHPNSGVLNLATKLPAELKPDLGPKTYIAYGTLRELGRGDSVTKLHCDISDAVNVLTHTTEVKIPPSQSKIIDELQKSYEAGNAHQHRCGQTRKVSRISGKRRRKRPRKLDSKNPEYSNASKIEDVAESRVSMSGVDTCSNSAAFGELQSTNTLGAKHETVEEMMSDPEHNHSIARDTHKISKGGSLNQIEDLGSVTENKSSESTYGGAVWDIFRREDVPKLIEFLSKHHKEFYHTSNLPVDSVVHPIHDQTLYLTERHKKQLKEEFNVEPWTFEQYVGEAVFIPAGCPHQVRNRQSCIKVALDFVSPENVQECIQLTEEFRLLPKSHRAKEDKLEVKKMAIYAAKLAVSEAKILSTKLKSEHRDPSCIYQILQVPMAAAAALRSKPSVETASLTVSQFRHFLSNYMHYGRMASSSHCTYNRTKWDDHYVNTPYHFTSFKPVSLRGELVEKGSQLLDIRRNSRGVSKDFDRELRDKLSYNNNCTVLSSYGDPPEVWQPPGDGVAVRVSGVNLGGGGGGGGGAGGGGGGATSGSGGGFGPGSKDGCWGGSNLGHNFPTPKEICKGLDKFVIGQERAKKVLSVAVYNHYMRIYHESLQKRPAGDSGSSVADILDDDIVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLVVADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGENIQIDTKDILFICGGAFIDIEKTISERRQDSSIGFGAPVRANMRAGGVTTAAVASSLMETVESSDLIAYGLIPEFVGRFPVLVNLLALTEEQLVQVLTEPKNALGKQYKKMFQMNGVKLHITEDALKLIARKAITKNTGARGLRALLENILMDAMYEIPDIRTGDDVIDAVIIDEEAVGIEGRGMGAKMLYGKGALDRYLSQQKSKESETTVEGSEGEPEVETELPSVVASM >OMP00943 pep supercontig:CCACVL1_1.0:contig06581:97671:98916:-1 gene:CCACVL1_03239 transcript:OMP00943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK FLDFKDLIDEFALFDNNSSSSATLRPSLNEDMLVDVKPKPVVKTEVDSFELYCDKITYIDDGNSSNALALTVKREYGEVSDWEGEQQIRSICGRKRTTPLELEEIQKFFNFPISQAAKELNVGLTVLKKRCRELKIMRWPHRKIKSLKALIDNVKELGLTDEILMLEEHKKMLEKLPDMELTDRTKRLRQACFKANYKKRRSLGICC >OMP00939 pep supercontig:CCACVL1_1.0:contig06581:77220:78003:1 gene:CCACVL1_03235 transcript:OMP00939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNPNLIFYACIAKGPIILAEFSSEEAGIESLAQKCIEKTPPFHSMFSHTVAGRTYTFLIDDPFVYFIITHEDLDKSESFWFLDRLKCAFEDFLGTGLMVGTDNLTPNCLQSHFNPVFSQIMAPDLEFSKSSSKEDRDPSVQSKSSKGKRSGVAPLLGNPLKGLKKKKRSAALEVNGGEAKDAGGGGNGNGMENKVDMEASNALMVEN >OMP00942 pep supercontig:CCACVL1_1.0:contig06581:95847:97158:1 gene:CCACVL1_03238 transcript:OMP00942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEAEYFEETAYSNTPKLSLYSFPSKAKESPAPGVTTSPIHSSVSIPFQWEEAPGKPRLPCPAGSDISSTPRCLELPPRLLAEAKVANMPSPTTVLDGPDHASRSVSYTFSFRKGGSFRSPDNNNNNNNNRKVLFGSSRWGSFRKPGRVVQGSFDLSSIPIVDGADSNGGGGGGSNGGDTDTQVKITRFRRKGSLLSHSQARSHVFASIYESFKQVVPWRRGHEKLKKKAS >OMP00940 pep supercontig:CCACVL1_1.0:contig06581:80836:83720:-1 gene:CCACVL1_03236 transcript:OMP00940 gene_biotype:protein_coding transcript_biotype:protein_coding description:TAP42-like protein MGECKIEDMPLPALFDQARKIHLTATESGADQDLVKKGCELLGKCEDMISKLGLFSSNETKEDISTTNLKYLLVPFYLAELTEKLAQDDRIQVLKTSLAKLKEFISFCEAMELVPQEELEASSQGAANSFADRRALKIARFKRQRAAEAKLTEIKERKERRGRSTKAAALSTPIEAGEEDVLDDDGEEEREAWLTTISLAICKAFDLLEMLKKEEEMLSAIKEKQLKEGDKEFSQSILDERTKKAEAWHRDYAARSRYTKPAPPITCATFAQDVLEGRAKVSEQHDHKHQPMIFGPQSLIGGSLTSERQRMAAQVFQPHHRMPTMSIEEAGLKEMEIMNKWQERNVKMFEEANSAWYKDPPKMGPSEEDEEDDAAQEKARAFDDWKDDNPRGAGNKKLTPCG >OMP00937 pep supercontig:CCACVL1_1.0:contig06581:66418:69094:1 gene:CCACVL1_03233 transcript:OMP00937 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGKKGSGWFSSVKKVFKSSSKDLPDKKKDQNVEKWPNEAPEVVSFEHFPAESSPDDITNDESTTSTPLHEDRSHAIAVAMATAAAAEAAVAAAQAAAKVVRLAGYGRHSKEERAATLIQSYYRGYLARRALRALKGLVRLQALVRGYNVRKQAQMTMRCMQALVRVQARVRARRLQLTHEKIIHKKVDANEEEEELRAMEELQRKPKSPLKKYDQSWDGGLQSSEKVKETASKKHDAVMRRERALAYAYSYQQQQQQTPPTPHQQLLMQQHPNGSDVGLYLNERDKAQWGWNWLERWMSSQPYHARQLGLQEGSYMTLPTTTATTTTTDNMSEKTVEMDVVTTMDSGSGSYSAQQQPQSGSFNVPSYMAPTQSAKAKVRGLGPVKQQRGPSAPQWNPSTKKASNCDSSSSGGGTSIYQAPRSPGPKNNGTRVPSRRLGGCSPDTAGGGEDWRLPIGSHGW >OMP00941 pep supercontig:CCACVL1_1.0:contig06581:88829:91524:1 gene:CCACVL1_03237 transcript:OMP00941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRRISGSYLIISEEKCDSLYPMYFGVSCAFFALRLLTGPEKEDERWSEFKEKMLQGSAQLLGLLVWRIQREEANLEKSELLQKLQAAEKEIEELKKRRHEDAKANEKVVGIFASQEQGWLIERKRLRQQIGALIHELRVFGKKKEEEIADLNNKLNEMESKDKVIEEMELKGKELEEKVMNLESIVEELRETAKREAQEHSTELWKHKTAFIEIVSNQRQLEAEMGRAFRQVEATKMELDSVLEQKEESVLLAQKLSIEMTKMRKDLEQKDKILSAMLRKSKLDTAEKQMLMKEVKVSKAKKKQAELETERWRAVSESRHERLSLKNMFSNQTSAKMELPSGLKEVSNSGKTRSQPTDLGFDYDYSELKTIPEAFSPSPEEKEDLVVTADVKRLESWVRAEAEKYATVIEKRHHLELDAFAEQMRLKDEKLEAFRWRLLSMELESKRLQSHVEGLNQDVTQLRQDNMKLEALLMEREEELDSLKEQFGSQLKPLMSCQKTTPNILNTSISLHHHDPALTHDSFWPKVKIITKKSTDQKEQQETKSSFVDKSQEEVIPPYNESKTIRSIVQSPEKEFEDEREIPNPSPIHKEANGSPVDVNIPIDKSLSNSKTKSTPWRMDLQALGVSYKIKRLKQQLLMVERLTGKQESGDDIEGDDINGMKGFLMLISLLNKQVTRYQSLQGKTDDLCKRMHDNDLDTSQLGDSSSKKTKGAGTRTLEHFLEETFQLQRYMVATGQKLMEVQSKISSGFTGVELDKAATFDMKRFSDNIRSLFQEVQRGLEVRIARIIGDLEGTLACEGMVHFRR >OMP11537 pep supercontig:CCACVL1_1.0:contig01189:3741:4006:-1 gene:CCACVL1_00458 transcript:OMP11537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MEPKPVLIFAGMIVRTFNGGPYLMSCSASKIYVNLEIPEVALVKLMYEQMDGPGTPEIAP >OMP05958 pep supercontig:CCACVL1_1.0:contig05112:4688:17636:-1 gene:CCACVL1_01774 transcript:OMP05958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASPITRAKVHSVVGWSDFYFKRLRCKNHKCPVPLPSVWTSIGGITRKFKFQVYCEFHWELDELDILEAPNGEEISLLLRCSERAASIVETLTASSPSVATCDLSSPGLTPCQKKNYACKMLYIYMLGYDVDFGHTEAVSLISSTNYPEKQVGYIVASCLLNENHNFLRLAIETVRNDIIGRNETFQCLALTMVGNIGGREFAEFLAPDVRELLLSSSCRPVVRKKAALCLLQLCRKNPDVVNVDGWADRMAQLLDERDPGVLMSSMSLLVALVSNNHEAYWTCIPKCVKILERLVRHQNVPQEYTYYDIPSPWLQVKTMRALQYFPAIDDPETRSTLFEVLQRILDAAKSDNENNSALHAVLFEALALVMHLDDEKEMMSQCVDLLLRKIIVFPEPEPEPNIGYLGLKNMTRMLMVTDVQDNISIRQRALDLLYGMCDVTNAKDIVEEILQYLGTADFVMRKEMSLKAAILAEKFAPDLLWYVDVILQLIDKTGDFISYDIRFRVLSFVTNNEDLQPYAATKVKEYLEKPAVHETMVKVSAYILGEYSHLLSRWHGCSPKEIFSILHEKLPTASTSTIPILLSAYAKILMHTQPPDQELQNQIWAIFNKYESCIDVEIQQRAVEYFALSRKGAALMDILAEMPKFPERQDHNSTVYPQAHSANLFFGCLSSQLGTEGPLGVIVQSEDNTLSRLEGDAVDDMEIDEQTNTVQSIEDTMRGPYIQSSIDSAKRFPDLCLKDSGVLYDDPNIEICFEAEWKLYDGHLVLTFRNKSTTSALVLVQAPIVTSDNLMMKLSPVPGTIPHCEKVRCLLIVANLWPSRDAAILKFSYKFGDITVMVKLSIPIVLNTFFRPKAEDVEELKRQWNRLTGPLLKVREDVVLDNPMSISDMAELLKTLRIMICPFDPNSNVLAASTTFYSWDTGPLLCMIEIHINPANRTHVAVLVASRDYRLTRGLMSFLEKHFMSPIMEKSSNYSE >OMP05956 pep supercontig:CCACVL1_1.0:contig05112:873:1795:-1 gene:CCACVL1_01772 transcript:OMP05956 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc finger protein MDKETELLSRLAANHLHLAQFEPLRATLLSLRTKNPDLALAILQTIVANSGRFDNIVWSPSCPSPSLLAYLSTLELLQFNDPTSIWSFDPDTLRLQAEFVLLVQILIDKVLASLRRDVDLEQIEKEKECVSEGFEEDKPELLDRSEDLKEGSDELGDCIRVLDRFLELGMMSLRPDVVMEGVDGDGAKESKAVPEKVLIEENGMGCLRKVIMDYANAFDALCGNIQRQLKGLEVIDLGMAIMVRREEKVRLDACDEEHKTVLGSIQKSVQLAHLDAIKDCMKDDDIEGVVSRIRFLHLDYGVDEVEY >OMP05957 pep supercontig:CCACVL1_1.0:contig05112:2807:3057:-1 gene:CCACVL1_01773 transcript:OMP05957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVLTAAWTMRFVMLPNYVGARK >OMO96059 pep supercontig:CCACVL1_1.0:contig07540:2380:2541:1 gene:CCACVL1_05090 transcript:OMO96059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEKTEESTLVTGRGSTFLSGNGYRAWNMFFRQRLLAKENKGVYLGSRNRGV >OMO96061 pep supercontig:CCACVL1_1.0:contig07540:4974:5213:-1 gene:CCACVL1_05092 transcript:OMO96061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAQGAQPKEAKTATVYESVESGENKTKMDLRSREDQGSIQVDRMEEKVQNPTGDGGPIFGSTPKDDSGKDLGVTGTA >OMO96058 pep supercontig:CCACVL1_1.0:contig07540:1069:1236:1 gene:CCACVL1_05089 transcript:OMO96058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQIGIEKTEESILVADRESTFLSGNGYRAWNMFIRQSLLAKENRGVYLGDRSRGV >OMO96060 pep supercontig:CCACVL1_1.0:contig07540:3632:3706:-1 gene:CCACVL1_05091 transcript:OMO96060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRRKRKMNSVEIRDGKGGGMWG >OMO96063 pep supercontig:CCACVL1_1.0:contig07540:19487:19579:1 gene:CCACVL1_05094 transcript:OMO96063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDPFPLEESCVESDSGATFFFFLGSGK >OMO96062 pep supercontig:CCACVL1_1.0:contig07540:6099:7493:1 gene:CCACVL1_05093 transcript:OMO96062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl/Asparaginyl-tRNA synthetase, class IIb MVSMEFTQVGSLTKELKDKEVLIRGRAHSIRAVGKKMAFLFVREGGFTVQCLASSETEGVTSEMVKFIAKLNRESVVDVIGIVSIPKNPIKGTTQEVEIQVRKLYCLNKAIPNLPINIEDAARSTTDLQAGQQFSRVNQDTRLDFRVLDLRTPANHGIFRIQSQVGIIFRQFLVSDDFVEIHTPKLLAGASVGGSAVFKLDYKGQPACLAQSPQLHKQMAICGDLKRVFEVGPVFRAEDSYTHRHLCEFTGLDVEMEIKKHYSELMDMVDRLFVTIFDSLNERCKKELEAVGKQYPFEPLKYKPSTLRLTFEEGVQMLKDAGVEVDPLGDLNTEAERKLGQLVLEKYDTEFYILHRYPLAVRPFYTMPCYDNPLYSNSFDVFIRGEEIISGAQRVHEPELLAERAKACGIDLKTISSYLDSFRYGVPLHGGFGAGLERVVMLFCGLGNIRKTSLFPRDPRRIVP >OMP11550 pep supercontig:CCACVL1_1.0:contig01166:312:1844:1 gene:CCACVL1_00447 transcript:OMP11550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSSDELYETSLKRQEESPDVVHLTHLTTTDSIYHLPLGFAYLASKPYASKWYLKIAMWPVTWFCGRTFVSERNVLNDKLKLQSWVVPRYTMHYLSKGTQKGDLNKLIEDAILEADKKGAKVVSLGLLNQDEELNGNGELYIQRHPKLKTKIVDGSSLAAAIVVNSIPLETTQVLLTGKFSKVGQAIALALCQTGVQVATMNEDVYNKLKQSIDTKFGNNLLLAQNYDQKIWLVGEGLSQIEQFKARKGTLFLPFSKFPPKNVREDCYYHITPAMVAPKSLGNLYSCENWLPRGVISAWRVGGIIHGLEGWNVDECGESMFSMDNVWDATLRHGFRPFSLPINY >OMO90987 pep supercontig:CCACVL1_1.0:contig08401:7782:11154:-1 gene:CCACVL1_07240 transcript:OMO90987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MDWCIMRFHYAGYFATEGDTLTYEGGLVDDLRVDPDRLSWYELSGVLRRGGYRNIKAIYYRIQYASLANGIRILDNDASVNSMVSEMMLEGTIDVYVEHGVEEPEVALHLIEGPPANDGENGNEGDENNVGGAEFVDIEVEVEGAEQAAYHGQVAGEDASEVDNGDSGMDSDSVASSYRDSSDFGDLESDLEEIVDEATRRDRRYPLYNEDVVVPHITEGMIFMNAAQFKRADIYGVDVYMSMVRRAKKKILESYVLNIEEEFGQRWKQSNVSYRLAMVEDENGDSWNWFLELVQADLDHMGNGAGWTIMSDQHKAIEKAIKDITPEAEHREFEENLEKLKAISPDAGTDIEKYALVQQWCRAFQKEESMLELIDNNLCEALNKTLLEARRMSALDISQHCRVFHNGQRGYEIEHGENRYLVRLDEKTCSCRRYNLSGIPCAHAICAIRDVRVDIEEYTSSWLWKETYLKAYGKSLQPVPGKKVWPITNDEPVRPPEFRQKPGKPQLARKKGPNEPKKTKGPKKASGGVSRKGMIMTCTHCHKQNHNKRACPYKGREIPTATDQEMGQSTQTGQQHSQLNTSTAAASTSNQATSNQATSTQAASNQAASNWKKRARASATSVGNASASLSITAAGINATTNANTRTQSGPATAAAQCSTVFSAPSTNTGTAPGNTPGTNTVSASSKSKTKRKKGPNTRQVSGRRGVGFSVVGGAGNVKTTGGGRSSPQSRAKAVGKKLITYSTLQKEAQAKFKKKFGRSGSYTNQFTE >OMO96527 pep supercontig:CCACVL1_1.0:contig07448:13722:16221:1 gene:CCACVL1_04914 transcript:OMO96527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily MNQLKRRFSQSHPSFIFNISNSSLRSSTKHEAISSQNPIFTAKFPDPTMPFFTANSYSMPRVNTHFSNPLKQPNLTPKTPTFPQIRTFCNKSPNPNAELSATNDIPVLSTVSSPTQNSQPIDAGSSMRKPISLWPGMYHSPVTNALWEARSSMFEKTDDDKKESESELVAKSPSKSRTSILYKFSSDYTLREQYRNPWDEIRTGKLLEDLDALAGTISYKHCRNDDGAARPILLVTASVDKMVLKKPIHVDSDLKISGAVTWVGRSSMEIQLEVTQSTQDSPNPSDSIALVANFTFVARDSKTGKSAPVNQILPETEHEKLLWKEAEERNKMRKQNKSEQKKDVGNRDEDKLNMLLAEGRVFCDLPALADRDSILIRDTCHENSLICQPQQRNIHGRIFGGFLMRKAFELAFSNAYAFAGAAPCFLEVDHVDFFKPVSS >OMO55746 pep supercontig:CCACVL1_1.0:contig14592:36882:41578:-1 gene:CCACVL1_27045 transcript:OMO55746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSPLPLQNLGGKEKQRKCGKEALVKILRWHFGHSDFRGKQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALENQVMALKEKGIAAEFLSSTQTSQVKNKIHEDLNSGQPSLRLLYVTPELIATPGFMSKLTKIHGRGLLNLIAVDEAHCISSWGHDFRPSYRKLSSLRSHLPDVPILALTATAAPKVQKDVISSLNLQNPLVLKSSFNRPNIYYEVRYKDLLDDAYADLCNVLKSAGDVCGIVYCLERATCDDLSSHLSRNGIPCATYHAGLENKLRSSVLDDWISSKIQVVVATVAFGKDVRIVCHFNIPKSMEAFYQESGRAGRDQLPSRSLLYYGIDDRKKMEFILSSAESRKMQSSNSQDVLSKKSVSDFKLMVEYCEGSGCRRKKILESFGEQVSPSLCKKSCDACKHPNLVTKYLEELQTACSVRQRNGFSRILISSSTDVIDKDVQFSEFWNRDDEASCSEEDISDSDDGFDLAKSVSRSKFSKKTGINERIEFLQRAEENYYQNKAPEKQANKPDKNVISEMLRESSKQRLLDALKQAHQRLGDLKVDLEAASDFLESECFKKYGKSGKSFYYSQVASTVRWLSTTSSADIANRVGTNTTSPSENITSRKEEAPSPVSAILDRREEGIIGEEYCGNAELETSVSALPMQSPCPGTKLPPIPSFSQFVNSKKGKQTQTNASEKHSPDKNMKRMKLQ >OMO55738 pep supercontig:CCACVL1_1.0:contig14592:279:4519:1 gene:CCACVL1_27037 transcript:OMO55738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKWLPLHTLAASGEFYLVDAVLKHNIDINALDKNGLAAIHKAIIGKKQAVTNYLLRESANPFIRDEDGATLMHYAVRSASTPTIKLLLLYNVDINLQDNDGWTPLHLAVQGRRTDIVKLLLIRGADKMLKNKMMLGAQPCVRTVATFWTFSDQIKNAPYPTASSCSLHSSSIRLRFTMQRCRPPKPILISCRSARGSNNFDPQSSNGDNQDHQFLEASVLVSETILHYRMLRRGFQQDLIWQSSLARRHRPTSMPKITTIGQAFLSRFPNPTIFLKISCDGDFLLPIIVGEFAVEKLIAASWGDDNGDNPDQFQLVRNMVEKLGYEVQMVRITERVVNTYYAKLYISKPGESDVISVDARPSDAINVANRCKAPIYVNKQIVLADAIRIGYGMGRVRDTKSTYDVSLDSAADGPDLLTEELDLVRNMDLAVKEERYSDAATLRDKLVKLRKSSHGQ >OMO55743 pep supercontig:CCACVL1_1.0:contig14592:23150:24542:-1 gene:CCACVL1_27042 transcript:OMO55743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASLISSSSCFTLPSSLSPQNLNSRGLFSLTVNPQRSRIAIARKYLSFCPKATLRGNVQAAGVPTSVPVRVAHELHLAGHKYLDVRTPEEFSAGHVPGAINIPYLYRVGSGMTKNPNFVAEVSSHFGKYDEIIVGCQLGKRSLMAATELLAAGFTAITDIAGGYAAWTETGLPTE >OMO55744 pep supercontig:CCACVL1_1.0:contig14592:28878:30636:-1 gene:CCACVL1_27043 transcript:OMO55744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase, class-II MSYWSNPSRLKTLLRKARTLVGLATTSAAATVTTAESFSSSASSAMESPLRTKVCIIGSGPAAHTAAIYTARAELKPILFEGWMANDIAPGGQLTTTSDVENFPGFPDGILGMELMDRCRNQSLRFGTTIFTETVNKVDLSSRPFKITTDAKTVLADSVIVATGAVAKRLNFPGSGDAPGGFWNRGISACAVCDGAAPIFRNKPLAVIGGGDSAMEESTFLTKYASKVYIIHRRDTFRASKIMQSRAVSNPKIEVIWNSVVVEAYADGGRGVLGGLKVKNLLTGEVSDLKVSGLFFAIGHEPATKFLDKQLELDSDGYILTKPGTTQTSVRGVFAAGDVQDKKYRQAVTAAGTGCMAALEAEHYLQEIGSQEGKSD >OMO55747 pep supercontig:CCACVL1_1.0:contig14592:42331:43366:1 gene:CCACVL1_27046 transcript:OMO55747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLCWARPSPEQQKACINKSGTFNYDTKYKGATMKPVSSIKEDKELSKDGYLVNHARVLVGSGLQTYEKGKAALQNWRHFGLDWAFVDPKTPIQSGVKFCVCLKEFLPWVMMPLEVVYVKDSKSAKTKKKKGVASFGFGSGTLQGHLLAGEERFSIELDENDQVWYEVLSFSKPAHFLSFIGYPYVQLRQKYFAHQSANAVLKHV >OMO55739 pep supercontig:CCACVL1_1.0:contig14592:5334:6827:-1 gene:CCACVL1_27038 transcript:OMO55739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MSLPINYLPEGLGPDEASPDWLSKGDNAWQLIATTLVGLQSVPGLVILYGSMVKKKWAVNSAFMALYAFAVALLSWVLWAHQMAFGNYHSPLVGKPVPSIRDGVLLAQSDQHRFPVADYVLFQFAFAAITVILLAGSLLGRMNFYAWMMFVPLWLTFSYTVGAFTIWGSGFLQYKIIDYAGGYVIHLSSGVAGFTAAYWVGPRHSHDRQHFPPNNIIQILGGAGFLWLGWTGFNGGAPFSAGLITSLAILNTHICTATSLLVWLALDMVVYKKSSVIGAVQGMITGLVCITPGAGVVDPWAAVIMGMMSGSIPWYTMMVLHRKSAFFQSVDDTLAVFHTHAVAGFLGGLLSGVFARPNLLRMLYSTQSQADDYGPGLLHSKGQLHRGLRQMGYQILGAIFITAWNAAVTSIICLVISRIVNLRMDEEALEVGDDAVHGEEAYALWGDGERMPKPRRLRIPPICRRLVSAPS >OMO55745 pep supercontig:CCACVL1_1.0:contig14592:35025:35921:1 gene:CCACVL1_27044 transcript:OMO55745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQISESDQEQLIQKLDIFKIKGKDKRGHKILRIIGKFFPARLLSVDVLNKYLEEHIFPRLGKKRFSVVYVHTGVQRSENFPGISALRSIYDAIPTNIKDNLQAVYFVHPGLQARLFLATFGRLLFSGGLYGKLRYVNRLDYLWEQVRRNEIEIPEFVHDHDEDLEYRPMMDYGLESDHPRVYGAPAVESSPVSLYSMRCIS >OMO55742 pep supercontig:CCACVL1_1.0:contig14592:21680:22212:-1 gene:CCACVL1_27041 transcript:OMO55742 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGTARNWFNTVRKKLINKSQRDITILHSPSHNSDISFIRDFDSAATSSRSVSFQTRHLTRQDIAAIKIQAVFRGHLARRAYRALRSLVKLQAVARGVYIRKQAQIALQCMHALVRLQVRVRARQLLTTYSQSHHHR >OMO55748 pep supercontig:CCACVL1_1.0:contig14592:44401:45981:-1 gene:CCACVL1_27047 transcript:OMO55748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGVPELPEELLTLIGKNLQTRFDIIRFRSVCRRWRYSLPCCLSNSHGPLKKDHNPHSDSESSLKAKRYTSLHRSAVYILNQYPVPCPIPTPWLLGLEETKEVYRGRFVAVDRCGTVSIVDFSSKPKVTKYTPPIFNGGSKKHLAVFCEHLYLVDRYLDRARTTQNPYEKVYAKVVAFKVHKFDEEWGWKGVTSLDDKMLFIGKWLNFFVPTKELSGCKGNCIYFIDEKRTWYDDEDKASVGSTGDGMAVFSLEDGKIGKLSSFSGYSDMFWPPPTCFTANQNPS >OMO55740 pep supercontig:CCACVL1_1.0:contig14592:8533:8649:1 gene:CCACVL1_27039 transcript:OMO55740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHPCSLSDSETDTTTPPQLQSSALLPLDVAAPADAH >OMO55741 pep supercontig:CCACVL1_1.0:contig14592:15529:20875:1 gene:CCACVL1_27040 transcript:OMO55741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEDDTESCGSRAVDSQSLAHVNPRQQRQKLDVYSDVLMRIQESNYEEANLPGFDDQLWLHFNRLPARYALDVNVERAEDVLTHKRLLHLAEDPANRPAFDVRIVQVYPTAHSIDTVPSDSSVKEDAQSAYHPNRQGIHAPPTFGSSPNLEALALQSSRYHVEDGDSAVNSTSHQFRPMHEITFSTVDRPKLLSQLTSFLAEIGLNIQEAHAFSTADGYSLDVFVVDGWPFEETEELKKALEREILKSKEESYSRKGSISAITEHIKEGVISLPDCVEIPTDGTDVWEIDTRLLKIENKIASGSYGDLYRGTYCSQEVAIKFFKPERVTEEMLREFSQEVYIMRKIRHKNVVQFIGACTRAPNLCIVTEFMARGSIYDYLHKQRGVFKLPSLLKVAIDVSKGMNYLHQNNIIHRDLKTANLLMDENQIVKVADFGVARVQTQSGVMTAETGTYRWMAPEVIEHKPYDHKADIFSFGIALWELLTGELPYAFLTPLQAAVGVVQKGLRPTIPKCTHPRLGELLERCWLQDPSQRPNFSEIIDILQQIAKEVGLGDEGGDRRKSKSSGGFFSAMRRGHN >OMO79413 pep supercontig:CCACVL1_1.0:contig10420:380:3773:1 gene:CCACVL1_13691 transcript:OMO79413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IEKIVLFLLEQQGRLASRLSKLRVQNDAFEEEPEFSQIAELREAYRAVGQDLLKLLYFIEMNAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVDPVVDAIKAAVDRLSYSTNFLNFLAQHALIMQEELPAPIEEHIDEERYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGVVIGAMAVAQVFSSVYFSAWSNRSYFRPLVFSSIVLFVGNAMYAMAYDMNSLTVLILGRLFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGILQTNFKIYKLTFNQDTLPGWVMAAAWLLYLIWLWISFKEPARDIEENPSPQTSNSAPAENDALEKGLKQPLLLTSDDKQEDDEEGDGSEESSEDSRQPATSIVDAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITTYYFSWSTGAVAIFLACLGLTVLPVNIVVGSYISNMFEDRQILLASEIMVCIGILLSFHIIIPYTVPQYVLSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGYLGESRLLNVTLLPSLLICVGSIVATCFTYNSLY >OMO79414 pep supercontig:CCACVL1_1.0:contig10420:6955:9384:1 gene:CCACVL1_13692 transcript:OMO79414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGLWRLLGAAIASFFFFHGFSASEQAPNYSFMHNATSAPSSSYYDYIIVGGGTAGCPLAATLSQNATVLLLERGGSPYGNPDITNLARFGVALSNLSPSSPSQRFISEDGVINARARVLGGGSCLNAGFYTRAAPDYVRQVGWDGRLANESYQWVEKFVAFEPPLGPWQSAVRDGLMEAGVVPNNGFTYDHIYGTKVGGTIFDQQGNRHTAADLLQYANPSGLTVLLHASVHKILFATKGRRRPMAHGVIFRDASGARHTGISKQRIQK >OMO67943 pep supercontig:CCACVL1_1.0:contig12346:45292:46239:1 gene:CCACVL1_20182 transcript:OMO67943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MDFSSVIETIGMPLIQEVISLWGLTDQVERLERELKWMQSFLKDADATKVADSELVHTYVADVRELAYEAEDAIETFALKVASDKKLGGIPSFVKTSACCLKEGWLRYKTKLKIEKITAKIEDFMGLLQKYDVRRLRDEGAPSSSSTDQRRESRRPYPHIIDDNIVGLDVDIKKVVSILVDEEHHDRRVVSICGMGGLGKTTLAKRVYDHGQIRSHFNHLAWVYVSQQYQKRKVWEVILSGLNSMDKEDRKKTDEELAKKLSDILKENKCLVILDDIWKTEAWDSIKPAFPTRDTSSKILLTSRNKEIVSHADRR >OMO67944 pep supercontig:CCACVL1_1.0:contig12346:51796:54149:1 gene:CCACVL1_20183 transcript:OMO67944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MIKHCGGLPLAIVVLGGILATKFSLNEWKKISENVLTYLKRDKGHGIEHVLDLSYDDLPPYLKPCFLYLSHFPEDYKIEVDRLIQLWIAEGFLSIKHDEGNGLGETVEDVAECYLIELAGRYMIQVEERDVIGKIKTCRMHDVIREACLSKAKQESFLHIIDHSKSSSPLKISKVPRVAAHEYFPLKGIRNPHLRSLMLFHEFFPQDVIEGSLPQKLVDYVNKDLDEESNRVIIWAVMLAILCVVQPKIRATWTYMLNNFKLLRVLDFENDNFGGCKLPNDLGNLIHLRFLCLGNFSFFFSKLPSCLGNLRCLQTLDLRGMQSFRLINGDDFEGPIHVPNVIWKLRELRHLYLPECLKRKTRLRLDTLEKLQTLVNFNMRNCYVADLLKLTNLRDLKIVWLSSAHEAFEKHLNKNPQIITSKYLRSLSFNHIVFTKGYGKMDPTHLTQFFSNCVDIYELSISWVAMNKLPYYHHFPSDMAYVSLKETQLEEDPMPTLEKLPNLKILKFSAAAFIGNKMVCSAQGFPKLDSLSLDGLFSLEEWKMDGGAMLALRHLEISNCGLKMLPEGLKLIATLQELKITFMPNTFQAKLSKKLKPVPLFPSALHKLTKSGINSSFSMEMFDLL >OMO67940 pep supercontig:CCACVL1_1.0:contig12346:1429:6102:1 gene:CCACVL1_20178 transcript:OMO67940 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MVFKSKIKWVALFVLTLSLGSLVAHLSMTKFSSMNLVQYSAKDALSHDFPGIGLPGGRNKRLWGAVRSLESLQPYANPRNSYPVPSENNNGFIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQESTRAKGISNKFKSFSYLYDEEQFIASLKNDVIIVKSLPEHLKAARRNNEFPVFKPKSSASPNYYVKEILPNLKKAKVVGLHITNGGCLQSILPPSMSDFQRLRCRVAFHALQFRHEIQILGRQMVERLHGWGQPFLSYHTGLVRNTLAYHGCAELFQDVHTELIQYRRAQMIKQGIINEELSVDSHLRRENGLCPLMPEEVGLLLRSMGYPSKTIIYLAGSETFGGQRVLIPLRAMFPNLVDLTSLCSKTELSDLVGPETPLPADTFKLAHQKSEQQLKDEWNRAGPRPRPLPPPPDRPIYQHEKEGWYGWITENDKEPEPSPMDLRMQAHRLLWDALDYIVSVEADAFIPGYNNDGSGWPDFSGLVMGQRLYERASSRTYRPDRKTVAALFNITRDDMYHPKNNWTLSVKEHLNRSLSEDGLIRQSLFSKPTSFLSHPLPECSCRISSVESTKQTKGKDGRYLYGGEQECPKWMQSAGVEGVKNDDNEVAEDDNDVVEQPESDGADMTSSLTLLIDHDEEWDPND >OMO67941 pep supercontig:CCACVL1_1.0:contig12346:28726:32818:-1 gene:CCACVL1_20180 transcript:OMO67941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLLTESFEIPRGQGSRGGDIELGNQMNSGELGLQGFFKKVQEIDKQYEKLDKLLRKLQEAHEESKAVTKAPAMKSIKQRMEKDVDEVGKVSRFIKGKIEELDKENLANRQKPGCGKGTGVDRSRTATTLAIKKKLKDKMAEFQTLRETIHQEYREVVERRVFTVTGTRPDEETIEKLIDTGDSEQIFQRAIQEQGRGRIMDTVSEIHERHEAVRDLEKKLLELQQIFLDMAVLVEAQGDMLDNIESQVSSAVDHVQSGNTALQKAKKLQKNSRKWMCIAIIILLLIVVIIVVAVLKPWSSNKGA >OMO67942 pep supercontig:CCACVL1_1.0:contig12346:42228:44666:1 gene:CCACVL1_20181 transcript:OMO67942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSGDDSDTSDQSKMEIDLVAAMDTSSKPKTEENPPSDCDHEDQIVWPWKGIVANIPTQKADDGRSVGQSGSKLRDELIRRGFNPIRVLPLWNYLGHSGTAIVEFNKDWPGLQKAMSFEKAYEADHHGKKDWLFADKNDGFKSGLYAWVARADDYKSSSLIGEHLRKTGNLKTVSEIMEEEHRKQETLVSDLTNIFETKNKHARELESKCSENFKFLVDAMQEKDKLLQSYNEEIVKRQESAREYSQRMLNDHMKLKSQIETFDHELELRRVELEKRQAMNESERNKLAEELKQGLGELSIGADIDIKRMGELDCKPFVEEMKRRYVNVEEGLPEEKALELCSLWDDHLRNPEWHPFKRVKLDGEGENYQEVIDDNDEKLRDLRNEMGNEVYEAVGSAMEEINEYNPSGRYIVSELWNYKEDRKATLEEGVLHLLELWKAAKSKRKVRIDSES >OMO67945 pep supercontig:CCACVL1_1.0:contig12346:66307:66950:1 gene:CCACVL1_20184 transcript:OMO67945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEENFEDIFEKLNGELGLETLQLIDDLSDEDADNLVGFAPAMMRCLDEVLKRGEDISHAMVALAELVEDNPELFLEGLHETLPLMFKIAMADDLGQVIKQSTLSFLLDLIHYCPFMFGMEEGYVKAGGNYRRRLLHELAHLLTEVSEEQWQKRYAALDLLAQIPLYHYEVE >OMO66563 pep supercontig:CCACVL1_1.0:contig12539:27709:29250:-1 gene:CCACVL1_21086 transcript:OMO66563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYTKSTNQINIRQAKIPSQRMQGLKRKDTPTPEQKQGAFRC >OMO66561 pep supercontig:CCACVL1_1.0:contig12539:15860:21778:1 gene:CCACVL1_21084 transcript:OMO66561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSLFSTLPWASKQKHHSVGHVMKEEVKEVVVRLPGLEGEVTGRIQGLD >OMO66565 pep supercontig:CCACVL1_1.0:contig12539:56306:59318:-1 gene:CCACVL1_21088 transcript:OMO66565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoesterase MVSEISNCSPYPVKTIVILVQENRSFDHMLGWFKSLNPEINGVTGSESNPISTSDPNSSRLFFKDDAEYVDPDPGHSIQAIYEQVFGKPWISDDPDPPQEPTMNGFVQNVEATQKGMAEAVMRGFRPEAVPVYKELALEFAICDRWFAAVPASTQPNRLFVHSATAHGATSNDTTKLIQGFPQRTIFESLEESGFTFGIYYQYPPSTLFFRNLRKLKYLDNFHQFDLNFKKHCEEGNLPNYVVVEQRYFDLLWIPANDDHPSHDVSEGQKFVKEVYEALRASPQWNEMLLVIAYDEHGGFYDHVPTPTTGVPSPDDIVGPNPYYFKFDRLGVRVPTFFISPWIEPGTVIHGPSGPYPTSEFEHSSIPATVKTIFNLKEFLTKRDAWAGTFECVLTRKTPRTDCPVTLPEPRKMRHTEAKETAKLSEFQQELVQMAAVLNGDYKKKIYPHKLVENITVAEAVKYVDSAYKKFCDQCQKAKEKGKHESEIVELGQLPDKSKSKSFLHKMFSCIVCDN >OMO66566 pep supercontig:CCACVL1_1.0:contig12539:60436:67365:-1 gene:CCACVL1_21089 transcript:OMO66566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKDVVYMKQQYSSALQPVEVQKKLKDMADKMLTEGTGKHSTNYDKLNKSEKIVKEPGLASIDMLHTSSYSQVEDVNFFRKRKGGEWKTSTSHSEWCQTVQSEPDVISMSFIPITSLLTGVDGSGFLTHAINLYLRFKPLIDELHQFLEFQLPKQWAPVFGELTLGPDKKPQNNASLQFSFMGPKLYVNTTPVYVGKKPVTGLRLYLEGKRGNCLAIHLQHLSSLPQSFNLEEEQSTSISDPSSERKYYEKIQWKSFSHVCTAPVESDDDLSIVTGAHFEVRDSGLKKLLFLRLHFSRLVGAKAIKEPEWDGSPALAQKSGIISTLISTRLSGAQKQAPQPAEVNINSAIYPGGPPLPSQAPKLLRFVDTTEMTRGPQDLPGYWVVSGARLVADKGKISLRVKYSLLTMILPE >OMO66560 pep supercontig:CCACVL1_1.0:contig12539:8999:13965:1 gene:CCACVL1_21083 transcript:OMO66560 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MVIDDTIKQDLANVLKDEENLWAMKSRVDWLTAGSHSSLIQTPSWDEIKSALWSLKHFKAPGPDGLHAGFYQKCWHITGKVFAKLFRRLYPPFIIANSIKPFLDDLISSMQGAFVARRRASDYVILAQECLHSTRTSMAKDGWMIIKIDLEKAFDRLEWSFIKKMLDFYNFPRELVQLIMSAITDPSLTVMVNGSPSKEFEASRGIRQGDPISLYIFILCMEYLSLCIEHECKEGLWKPIQVGRNGPRISHPLFADDILLFASVTPQNCNSILKFRKTLNLERYFGSPLLDRAPKRMDYSFLLENLRGRLAGWKAKTLFLAGKATLIQSVTSAIVDYPMQTAVLPASVHLEVDRLHRNFLWGDTLEKKNMHLVGWDKVAKRKKFGGINVKKAKWRNLTFMAKLIWRIKKKPNEQWVKCLRAKYNSSLLDKRATNNSITWSSICKGKRIFQNGCKKIIRTGNQTSFWHDRWATDFSLRSLIQGPLNRDEEELLVSHYLLDNGGWNLGILSFVFPSEIEDLITSTVSSPYSFGEDKMSWSNSSSGDFSLQTAYSLAIKDPRDSNPFWSKLWKANCYPRVKYFLWTLAHYSLCTKAMLNHTEGSAARFAVIFVLNIFSFLSPLFVTTTLLLLAFLTLTPTPRPSFVNQQGSVCHLELPESSSKVSFLLTTYQTLVETLRSKVEDQSDGFGCLEELEAYKMVFETETSPTDEVLEIESNEDSLEAVEAPLENNLDEEKPAEIARPEANNQVRAVVKLFGDFLQEKEGVENLSSKRREKEVKSLISLESNKGDEEQEEAEEFMSGSKAAAMLGNIVTDKDQTMEWNSPLGNFGSVTKEKEWRRTLACKLFEERHNVDHHGGGDGMDLLWETYETDHSNSNKVQLKNSSSKKGKKGGMSNEYYDVDEEDEYEEESDGQLCCLQALKFSAGKMNLGMGRPNLLKISKALKGIGWLHHVGTRHAKKGYH >OMO66562 pep supercontig:CCACVL1_1.0:contig12539:23852:26039:1 gene:CCACVL1_21085 transcript:OMO66562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MANHRVSSRSSGGGAGVTGGGGPKFGGFGFTVRLLASAITVAVCFFFVLSFFFTSHSHSPHLETNFGFTTGSYGIGSTRRSVLALKSDPLKPRLDQIRKQADDHRSLVLAYASYARKLKLENSKLVRVFADLSRNYTDLINKPSYRALFETDSLSIDESVLRQFEKEVKERIKATRQMISEAKESFDNQLKIQKLKDTIFAVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLAMRLMEERIAHPEKYTDEGKPTLPEFEDPNLYHYAIFSDNVIAASVVVNSATKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLRQLESANLQKFYFENTLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVQKDLTGLWNIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKQKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITYYSTTKPLDKSWHVLGLGYNPSISMDEINNAAVVHFNGNMKPWLDIAMNQFKPLWSRYVDYELEFVQACNFGV >OMO66564 pep supercontig:CCACVL1_1.0:contig12539:30387:34579:-1 gene:CCACVL1_21087 transcript:OMO66564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTEQQVQADHTPTAELVGIAFVHQYYLILHQSPALVHRFYQDSSKLGRPEENGGMSITTTMKAINEKILSLGYGEFTADITTVDAQDSHNGGVLVLVTGYLKGKDNVKRKFTQSFFLAPQDNGYFVLNDVFRYVDDAKHQSGSQEPVNDINASLTPEPVPSPAPENHVGEQPASSPEEANVPEVYNPSENGDVSIEEEEAPVAEVVDEIPDDSQMVADSNSKIEEVPKKSYASIVKVMKENATPLSAPTHTPVKSAVKSHDQLATAAPPAPAPAPDTQISSNNVAETGNNQDVEVEGPSIYVKGLPINATPTMLENEFKNFGPIKSGGIQVRSQKGFCFGFVEFEEASAVQRAIEASPINIGGRKAVVEEKRSTSRGKLLLSTPFKVLVNNNSHDLVNHEFFHLGNKGRSSSGSGGGYRNEGARARGNYGNGRGYNRSEFGNRDRAEFGNRSNSRGYSNNRGGDGYQRGEHMGSNGGRVNRAGGLVNAAAKNVAPRVSAPA >OMP09738 pep supercontig:CCACVL1_1.0:contig03060:2354:2485:1 gene:CCACVL1_01044 transcript:OMP09738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTSLAVLRRWDMSISSPTGQLEASSKARRATVLSNSSKKL >OMO84890 pep supercontig:CCACVL1_1.0:contig09683:193:3263:1 gene:CCACVL1_10586 transcript:OMO84890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/sulfate symporter MESLALHSFSTATTTSFSLSSRTSLHLRSKPISKSSQPSISASLSFPTLRSPLKPLLFSPLSRQPKPLLSPIHATSQNDNTPSTETTTPPPPPPPQGAKLLPFFISISIGLIVRFLVPKPVDVSPQAWQLLSIFLSTIAGLVLSPLPVGAWAFIGLTTSIVTRTLTFTTAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLALSETLIAPAMPSTTARAGGVFLPIIKSLSLSAGSRPGDSSSRKLGSYLIQSQFQCAGNSSALFLTAAAQNLLCLKLAEELGIIISSPWVSWFKAASLPALVSLLLTPLILYKLYPPETKDTPDAPAMATKKLESMGPVTRNEWIMVGTMFLAVSLWVCGEALGIPSVVAAMIGLSILLLLGVLDWDDCLSEKSAWDTLAWFAVLVGMAGQLTNLGIVSWMSGCVAKVLQSMSLSWPAAFGLLQASYFFIHYLFASQTGHVGALYSAFLAMHLAAGVPGVLAALALAYNTNLFGAITHYSSGQAAVYYGAGYVDLPDVFKMGFVMALINAIIWGVVGTVWWKFLGLY >OMO84894 pep supercontig:CCACVL1_1.0:contig09683:24455:27596:-1 gene:CCACVL1_10590 transcript:OMO84894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENEVSTAVSSSSSSASDQKLTLDSQVPVHLSSSAASGPDMVASDSREAFNIDESVGSETAKTSAGKGRRSFYLCNTRAVENITDNFHSNNLIGLTQFGRLYRGKIERTGKETRFVTVKIWDERADRFTYYMDKKTLMREEVKILNHPSLKHPNLVKLMGGCYEKHVKAIIYDLYPLDTLHNYMSRGGHGHARTYHDVYSFGLVLVELIAKRITSEDEISKGVKSTRRWVKQEHKPGCSLVCQSLQNQAGYDPSDGLMITELAMDCINDAPPERPTIETAVERLENLSVVKSHAGFHKN >OMO84891 pep supercontig:CCACVL1_1.0:contig09683:7469:16090:-1 gene:CCACVL1_10587 transcript:OMO84891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance, DNA-dependent ATPase MDSDSQKEDEVPMRLHSAAEYLLRCHADQLRSILLSPDPKLHYPLFLEYAELMDADPTLARLVFANPTDYLRDFDQAAILAHKRVLKSMASHEKGIEKKFIHVRFNVSGSPLECPETFPSIGRVRVKHRGILLTLKGTVIRSGAVKMYEGQRTYQCKKCKYMFPLYPELETRNSITMPSVCPSQRSKPCEGTKFQSIENTTVCHDYQEIKIQESTQVLGVGVIPRSILVILQDDLVDTVKAGDDVIVSGILTAKWSPDLKDVRCDLDPVLIANHVRRTNELKSDIDIPDDVSMQFKQFWSDFKHAPLKGRNAILRGICPQVFGLFTVKLAVALTLIGGVQHVDASGTKIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTVVFGATNPKGNYDPDQPLSVNTALSGPLLSRFDIVLVLLDTKNPEWDAVVSSHILAEGESEESKEDEDLGNMWPLPILRRYIYYVKKHFKPVLTKDAERVISSYYQLQRRSAAQNAARTTVRMLESLIRLAQAHARLMFRNEVTTLDAITAILCIESSMTIWAIVDSIGNALHSNFTENPDQECILGNFLFTS >OMO84892 pep supercontig:CCACVL1_1.0:contig09683:17567:20263:-1 gene:CCACVL1_10588 transcript:OMO84892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENEVSTAVSSLSASDQKLTLDSQVPVHMSSSAASGTDDMVASDSGEAFNIDESGGSETAKASTGKGQGGRLILYHSSVLKDFTRNFNPDNFIGLTQFGRLYRGKIETAGEETRFVTVKTWDERLNRFKYSLNEKRLILEEVKILTHASLKHPNLVKLIGVCYDKHVKAIVYDLNPLDTLHNYMSRGGVGDAYKWNDVYSFGVILVELITKRITSEDGLWEGVKATDDWAKEEYKPGFLLCARA >OMO84893 pep supercontig:CCACVL1_1.0:contig09683:20773:23617:-1 gene:CCACVL1_10589 transcript:OMO84893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTENEVSTAVSSPSSSDQKLTLDSHVPVHMSSSAASGPDDMVASDSGEAFNIDESGGSEIAKTSAGKGQVRTLYLCSTRALKDITDNFDSNNLIGLTQFGRLYRGKIERTGEETRFVTVKTWDERADRFMYYLDKKTLMREEVKILNHPSLKHPNLVKMMGACYEKHVKAIIYDLYPLDTLHNYMSRGGHGHARVYHDVYSFGLILVELITKRITSEDEIFLKGVEPTRDWVKQEHKPGCCLVCQSLQNEAGYDPSDGVMITELAMGCINDAPPERPTIETVVERLENLSVVKTHAGFY >OMO55043 pep supercontig:CCACVL1_1.0:contig14802:22037:26719:1 gene:CCACVL1_27419 transcript:OMO55043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDQRRKSAVDVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTELLGTPSAEAIARVRNEKARRYLSSMRKKKPIPFTQKFPHADPLALRLLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEYLEGSEPTGFMYPSAVDHFKKQFAFLEEHYGNGTTAAPLERQHASLPRPCVLYSDKSVPNSTDVTDNLSKCSIKDTEKTHMERSCGIPMTRLPLQVPQSIQASAAKPGKVVGSVLRYNNCGAAAAAETLEQRRMVRNPAVPTQYTATNCSYPRRNPACKSDRGEDEVVEGSNSLQPKPQYMARKVAAAQGGSGSQWY >OMO55044 pep supercontig:CCACVL1_1.0:contig14802:27850:31470:-1 gene:CCACVL1_27420 transcript:OMO55044 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MGTISFHRELPKPSTYSSPPSSSSTSSTSRTETVNGSHQFKIKGFSLAKGMGVGKYIASETFMVGGYEWAIYFYPDGKSAEDNASYVSLFIALASEGTDVRALFELTLLDQSGKDRHKVHSHFGRTLESGPYTLKYRGSMWGYKRFFKRTLLESSDYLKDDCLSISCCVGVVKSHTEGPKTYSIVVPPSDIGQHFGRLLESGNGADVKFEVDGETFEAHKLVLAARSPVFRAQLFGPLKDRNTQCIKVEDMEAPVFKALLHFIYWDALPDLEELVGSTSKWASTLVAQHLLAAADRYAIERLRLLCESKLCEGVAINTIATTLALAEQHNCLHLKAVCLKFVALPENLKAVMQTEGFEYLKESCPSVLTELLQYVARIGEHSVIARGYRKETSLDGCDVNGRRVKPRLQ >OMO55046 pep supercontig:CCACVL1_1.0:contig14802:39089:41659:1 gene:CCACVL1_27422 transcript:OMO55046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MDRGSSLEDDGSVRVQINGLRRFSETSGSNTIFEPQPQTSPGPRESTAESGYSAPAVRAPEKKLTLFALRLAIFEKAATGLGTLGFIWATVVLLGGFAIVLDKTDFWVITIILLIEGTRIFSRSHELEWQHQATWSITDAGINSFRALRSSSHILVRAVKAMFRPCCLIRKQSQNTREITQFDQSRVGRWNHARAPTRAWISSDVPLFPYAQWVFLSRNISKVLYWLQLLSAIACVVLSSMKLIKRNYGEWEKGDSDKRNRKSALVIFYTLALAEALLFLMEKAYWEWKVIYCKLLEQVNKECEFGPSGMVSIKRFFYDAYSRCVNGSIFDGLKMDMVTFGMDLLVSNSADEQLIGARILRQFALSERFSDDTLQKLGINLSVVERLVEMLNWKDLQEEEIRKSAAEILSKLAGKKQNCLRVAGIPGAMESISSLLQTNRSSGDGADEIGEKNLILDHASYSFWTFNHLGLLILKKLARDHDNCGKIGNTRGLLPKIIDFTHAGETLLRDENVAPSQILTVKRSLQVVKMLASTTGATGKHLRKEISEVVFTISNIRDILRHGQKHPVLQKLSIEILTNLALEEEATERIGGTGGVLKELLNIFLNQETLEDPNHVRRAAGEALAMLALESKTNCHRILRLQALDTLVEALEIPLLRVNAARILRNLCTYSGTECFYQLKGVMAAAPIVLKAIMSAENKLQEVMVGLAAQVFKHMTSEESSMMFERAGIKEEQLAEALVKILQKYYHPSAKVPRIRRFTIELAIWMMHDNVKNVYIFRELGMEKELEGVLETTAELESFNIFSGTVGLSRHSTTIHSLVETALKLLRDR >OMO55050 pep supercontig:CCACVL1_1.0:contig14802:63941:68183:1 gene:CCACVL1_27426 transcript:OMO55050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQILAPSTQWQMRLPKTTFGTPIQTKMWSSLVMKQNKQGAAKSSGRFRVVALAENSTVNRLEKLLNLDITPYTDKIIAEYIWIGGSGIDVRSKSRTISKPVEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDAYTPAGEPIPTNKRARAAEIFSNPKVVAEVPWFGIEQEYTLLQQNVKWPLGWPVGAYPGPQGPYYCGAGADKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWASRYILERITEQAGVVLTLDPKPIEGDWNGAGCHTNYSTKSMREEGGFEVIKKAILNLSLRHKDHISAYGEGNERRLTGKHETASIDTFSWGVANRGCSIRVGRDTEKNGKGYLEDRRPASNMDPYVVTSLLAETTILYEPTLEAEALAAQKIAINVLIGKHPWWAVNHAIERTHETASVLSIPARIFPIYFPMGNMATGFFIIFSLIAGVVGVATSVTGLTNVIQWDEPNLSAAAGSSFLTWTLTLPAMGLACKEINIGWTDANLRTLEVMTIILSATQLFCTGAIHAGVEDLAPKRPAVAGTV >OMO55051 pep supercontig:CCACVL1_1.0:contig14802:71755:73117:-1 gene:CCACVL1_27427 transcript:OMO55051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEGRMYYCRRETEEREAATAAKRVRYCPKQNQNRANRKKNSESTKYRNSDIDIDNWRLTIDSSFLKHVASFYKYTSAS >OMO55048 pep supercontig:CCACVL1_1.0:contig14802:48356:55845:-1 gene:CCACVL1_27424 transcript:OMO55048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLVNFIIRPPRAEYNPDNDLLDQEFMLKGKWYQRKDIEVKNSRGDVLQCSHYVPLVSPEGKPLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSGGEHVTLGWYEKDDLKAVVDYLRADGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVDTYKFRLPKFTVKFAIQYMRKSIQKKAKFDISDLNTIKVAKSCFVPALFGHAIDDDFIRPHHSDQIFEAYMGDKNIIKFDGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGETIYDSINDYFSRGSWAVPEVSFLPDSSAASKEPATSSTADVINQVRSKRPMSRTEVPSDIPSEEDPGHQDKDTVDANGPSSSSNMISFELSNGHAFGPNVPATMDDDQYVEYQLDDLTGFPCNVEEEERMFMEAILESLKDLEVRRPQTEEQPKASADSSDPLQKDDINGRDTSSTAEKCSSLPAESSSSPVEHHAPLETNSSAINSSNVANENTSPDSSLSSREPVFDTPSTLESGSTVTSARSDTSASIQSSSDADMSASTKATVTVVKNPSTNIMDGLMRRWDLFRNNR >OMO55045 pep supercontig:CCACVL1_1.0:contig14802:34879:35595:1 gene:CCACVL1_27421 transcript:OMO55045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase MADAQKFHTIPSLDKASKPELLRALESSLGSSFSSDSVCPAPNPLIIVISGPSGVGKDAVIKRLREVRENLHFVVTATSRGMRPGEVNGKDYYFVSKEEFLSMVEKDELLEYALVYGDYKGIPKKQIREFMAKGCDIVLRVDIQGAETLRKILGDSGVFIFLVAESELALVERLIDRKTESKEELLVRVATAKEEVKYAKKFDYVVVNAQGKLEEAVNLVGSIIDAEKAKVHQRRPFI >OMO55049 pep supercontig:CCACVL1_1.0:contig14802:60610:63431:1 gene:CCACVL1_27425 transcript:OMO55049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIETYIMMRQFRQYPLSGFLSQAIFRQFPAGARK >OMO55047 pep supercontig:CCACVL1_1.0:contig14802:46146:47543:1 gene:CCACVL1_27423 transcript:OMO55047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MLRSDEKNRIKEVFYDLSCLERRICLASSAAKSLEVECSEVRKRVKIVSKMIETLLCFIDSAQVPLYLRPIYCIFSDVRETLKGALDIVSNCGTKTLLNRIFTACSNLNQFHTLFHRLDASISDLEWLLTLYDPPNGATCPMSGRKSVKLAVWCCIATAQMGRKLEDRLHAADCLGLLASEKDEYKEIIFEEGGVPPLQKLLKETTSGSLPLVANALCLLAYGNKTREFIVNEMISTIVDRLATRSPMTDRIQAADLVASIAEHNPELKECDSIREHGIWRLVTSLSPCRWQSEDDPSAKLQELQLKTSCSKALLILVRGSCRNCMALRETKGMLCLAKLVETEQGELQYNCLMIIQEMTSLAESDICFRHSWFKSTSPAANAVVEQLRRVIEEVDDTKLKILAIKARIFSAKHSWVISGLVTQLANADPQVATEAAIALHKFVCQENFVSFEHSKSIIKLRGQF >OMO92218 pep supercontig:CCACVL1_1.0:contig08250:94:174:-1 gene:CCACVL1_06902 transcript:OMO92218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDSCTSIQTKQNRENEQGIKSKSRANA >OMP04369 pep supercontig:CCACVL1_1.0:contig05753:4396:6918:1 gene:CCACVL1_02166 transcript:OMP04369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MGEGDVYDFNYEETPLLEKQQTESNLINRTGNIWTAVAHIITGVIGAGVLSLAWSMAQLGWIAGPLAMLLFASVTLISTFLLSNCYTTPHPELGLIRNRSYTDAVNITLGSTNAWVCSLFVQVGLYGMGIAYTITSGISMRAIQKSNCYHQQGHDAPCHYQDIYYMLAFGAVQLLLSQIPDFHNIHWLSVLAAIMSFAYSFIGFALGIAKVIENGYAKGAIRGISTYSSAEKVWLVSQALGDIAFAYPYSLILIEIQDTLNSPPPGTKTMKKASIIAISATTFFYLCCGGLGYAAFGDETPGNLLTGFGFYEPYWLIDLANACIVIHLIGGYQVYCQPLFANVEKWISYKFPDSALISKDFNLKLPLIPAFQLNLLKLCFRSVFVASTTTIGMLFPYFNQVLGVLGGFYFWPLSIYFPVQMYIKQRHIEAWSRNWVALQSFSMFCLPVTLFALVGSIQGLISAKLS >OMP10914 pep supercontig:CCACVL1_1.0:contig01775:3092:3426:1 gene:CCACVL1_00750 transcript:OMP10914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDICLAPHTPYTEAIDAREEDMKKKKKKD >OMP11164 pep supercontig:CCACVL1_1.0:contig01512:378:626:-1 gene:CCACVL1_00647 transcript:OMP11164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGEGSFTLLLKTDCLCSTKEGPGIKVEFKMKLDCTCEDCIQKVCFIASTFE >OMO77876 pep supercontig:CCACVL1_1.0:contig10699:55659:70574:-1 gene:CCACVL1_14755 transcript:OMO77876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle CX2CX4HX4C MGKVEYEGLHLICFGCGQFGHRKDACSLNIPNATAAATNVDSNVSRQGPTHEEGSSDAGFGPWMIVQRKGHRPVKDTPGKEKVKADGEGSESWTRFDDVSGAGRDSRNRYNPLNVVPLDSGDKAKEPLSTVGNVKSAARWEWVPKKQGGIKIVSKSALKKGQKDKKKVGEAGSSKPINVDPKRLENLVGELSSIILPKEGFSAGLGAASPNF >OMO77873 pep supercontig:CCACVL1_1.0:contig10699:7613:12889:-1 gene:CCACVL1_14752 transcript:OMO77873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYRQQYHYGNGTTSDHVAIGVRGGGAGAGNKAARWRRSGRADKSRRISFSSLIVVLSLVLVVTVLVYYYISADNNDSEELNDFHSKDDDLKVDSDFLANVTQVDNSKVLSFGRGSVAHGRDSRYWDRDDRRRDDDYDEEVVEHNAMDSSDESLDGGHVSTKVKNEKEASLVSKRDLDRRGVGLYNEAGRNELKMYEARYEESLKDGGKSRKELENSHLDSDSKDFGMHDEVDDADDHYNDGIDSNETTRMEDYDDIGHGNEDNLDDAKSHDENVKESSTFFKAKSKVQHIVKEVKEESAMSREVSQDFDDVDANSQHISSLGRKSGKSSRVDSKKKPRRRKFSGSCDMKLLNSTHLVEPLESRKFARFSLQYTQMEEKPEGEEQWVPRFAGHQSLQEREESFLAHDQKINCGFVKGPQGYPSTGFDLAEDDVNYISRCHIAVISCIFGNSDRLRTPAGKMVTRLSRKNVCFVMFVDEVTMQTLFSEGQTPDGGFIGLWKIVVVKNLPYADMRRVGKIPKLLPHRLFPSARYSIWLDSKLRLQRDPLQLLEYFLWRKGHEYAISNHYDRHCVWEEVTQNKKLNKYNHTVIDEQFDFYRADGLKRFNSSDPNKLLPSNVPEGSFIVRAHTPMSNLFSCLWFNEVERFTPRDQLSFAYTYQKLRRVNPDKPFYLNMFKDCERRSIAKLFRHRSEEKRNVQQQATL >OMO77875 pep supercontig:CCACVL1_1.0:contig10699:15087:36423:-1 gene:CCACVL1_14754 transcript:OMO77875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKDETLNFGRKSRNRPVCSGTGQNSPVRSRTNSGTKLKVYRSVELTKRNDKAKTVGTDSVNPEKLNVSLRLGLCLCLGRRQSMKTASNQKLLVSKSCIYDIIKRRK >OMO77872 pep supercontig:CCACVL1_1.0:contig10699:591:5170:-1 gene:CCACVL1_14751 transcript:OMO77872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFEEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCIAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALKKKTVAPADDILRLGQLSQMNSDFFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTMRGHMNNVSCVLFHSRQDIIVSNSEDRSIRVWDATKRTGLQTFRREQDRFWILACHPEMNLMAAGHDSGMIVFKLERERPAFSVSGDSMYYVKDRFLRFYEFSTQKDTQVIPIRRPGSTSLNQGARILSYSPTENAVLICSDVDGGTHELYIIPKDSFGRGESIQEAKKGIGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKVALPIIVDSIFYAGTGNLLCRAEDRVIIFDLQQRAILAELQASFVRYVVWSIEMESVALLSKHSVIIANKNLVHRCTLHETIRVKSGAWDDNGVFIYTTLTHIKYCLPNGDNGIIRTLDVPVYITKVSGNTIYCLDRDGKNCGIVVDTTEYVFKLSLLRKRYDQSMSMIRSSELCGQAVIAYLQQKGFCEVALHFVKDERTSFNLALESGNIQIAVASAKEIDEKDHWYRLGAEALRQGNSGIVEYAYQRTKNFERLSFLYLVTGNMDKLSKMLKIAEVKNDVMGQFHNALYLGDIRERVKILENAGHLPLAYLTASVHGLHDIAECLAAELGDNIPSLPEGKSPSLLIPPTPVLCSGDWPLLRVMRGIFEGALDSVGRNAQEEYEEAVDADWGEDLDIVDVENMPNGDISMVPEDDAPEEGDEGGWDLEDLELPPEMDTPKTSGNARSSVFVAPTPGMPVSQIWIQKSSLAAEHAAAGNFDTAMRLLSRQLGIKNFAPLKQLFLDLQAGSHSYLGAFSSAPVIPVAVERGWTETASPNVRGLPALIFKFYQLEEKLKAGYKGTTSGKFMEALRLFLSILHTIPLIVVESRREVDDVKELIIIVKEYVHGLQMELKRRELKDDPVRQQELAAYFTHCNLQAPHLRLALLNAMTVCYKNGNLMTAANFARRLLETNPSNENQAKTARQVLQTAEKNMKDKAELNYDFRNPFVVCGATYVPIYRGQKDVSCPYCSSRFVPSQEGRICTVCDLAVVGSDASGLLCSPSQLR >OMO77874 pep supercontig:CCACVL1_1.0:contig10699:14695:14760:-1 gene:CCACVL1_14753 transcript:OMO77874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERGRDEGFRLLRRLLFSS >OMO50285 pep supercontig:CCACVL1_1.0:contig16230:5598:8802:-1 gene:CCACVL1_30535 transcript:OMO50285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQANTFFLEQWLRTNSAGFSQTVSGHSSSSTSASSSLSARAIIQAWSELRDSLQNKSVHPNILQPLKTLFNSQASLHVADPQAKLLLSILSSQNFDLPSESHPILLRLLYIWVRKSVRPSIVLIESVVDVLSRVFSTEFGLKKTAPFLAEGVLLLGAISSVPPVSESSKIVCLELLCRLLEEDYRLVRSWEEIIPEVLAGIGYALSSSVDVHFVKVLDSLLGIWGKEDGPSSTVPTALMILHLVEWVVSGLLKSHAFKKIQAFSQGTLGTPKPNYAPFALVMVAAGVLRATRSADSSQGLEIVSTLRISAENQIVSMAQNLITKTKGLVNSNSYLTNSLLLQCMSLALARSGAVSFSAPVLVCLASALLSEIFPLRHLYSRILQLLHSSGSELGFNEIKTHLDSVLFKEAGSITGVFCNQYVSADEESKILVESCIWEYCRDVYSGHRQVAMVLRSRKDDLLVALEKIAESAFLMIVVFALAVTKHRLTSNFSQEMQREKSVQILVSFSCLEYFRRIRLPEYMDTIRRVVACVQENESACISFVESIPSYIDLTTWQDFSSKQKMEYEWSKDEVQTSCILFYVRVIPTCVERLPAPVFRRVVTPTMFLYMGHPNEKVARASHSMFVAFISSGKDSDDERVLLKEQLIFHYMQRSLEGYPGITPFEGMASGVAALVRHLPAGSPATFYCINSLVDKTNKLCSDASTLNADEWKNWQGGLEPCKKILELLLRLISLVDIQVLPVLMKSLAQVTLQLPKAGQNMVLNELYAQVAESDDVTRKPTLVSWLQSLSYLCSQAESEVVLPKRRDTRESPASPGATESLKWDKINARL >OMO95158 pep supercontig:CCACVL1_1.0:contig07731:52900:56649:1 gene:CCACVL1_05541 transcript:OMO95158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MPEEETGTPTASAPATPGTPGGPLFTSMRVDSLSYDRKSMPRCKCLPVTAPTWGQPHSCFTDFPSPDVSLTRKLGAEFVGTFILIFAATAGPIVNQKYGGAETLIGNAACAGLAVMVIILSTGHISGAHLNPSLTIAFAACRHFPWAQVPAYIAAQVSASICASFALKGVFHPFMSGGVTLPSVSYGQAFALEFLITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSSGGSMNPVRTLGPAVAAGNYKGLWIYLIAPTLGALVGSGTYTLVKLRDEETDPPRQVRSFRR >OMO95155 pep supercontig:CCACVL1_1.0:contig07731:34069:34185:-1 gene:CCACVL1_05538 transcript:OMO95155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDIIQHRQDTTEKAELTALSTHLAQLLVSFRNFRI >OMO95159 pep supercontig:CCACVL1_1.0:contig07731:57962:61131:-1 gene:CCACVL1_05542 transcript:OMO95159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGSESINGVSKMQSEIPKSLSTENNHQRVENAEDQNLVGLSPASVEHFHETKVDANGDSIGEIEEDLLDFDVERVVEKQNTHELFCPNCKLCITRTVILRRRKPKIPIRSKSKRGKKLEPIPDSVADAHSGDLPEIESNVTPTTPAPGVDNTDTEEREAFSCLSCFSIFVPIGNGCFKIFQIFRGKQNENTQSQQEIRPGEGTQGLPEASTQSPQEMNPSENTQSPPMTSHDENKHGLEQISQNGNAQSSQNISHNEDTQSPQSYNENKQGLHSIIQNESKPSPQKVSAAKPNWIFSVFGFHKSEEAVEKVQASHGSSVQDAEVPNISTSDREPVDDAVMKPNKPGISEIFSSTNGSVVNKVKVPAREKKPYAGILEGNAGDNETPDLEQGLLEPSSSPRTDVAPSQSRTENEGRGVGAGEAHEWEILKSIVYGGLLELITSLSIVSSAAGAGADTLKVLAIGAANLFSGLIIIFHNLRELKKEQPRGASTEIDDEEDRYQALLGRRQNFVLHATVAILSYIVFGLVPPVVYGFSFRQSDDKDYKLAAVAGASLFCVILLALGKGHVRRPNRTYLRSLSYYITLAITASGISYVAGELFKRLLEYLGLFESSSAVSNVTLLGTMPFDIGRASY >OMO95153 pep supercontig:CCACVL1_1.0:contig07731:16060:22841:-1 gene:CCACVL1_05536 transcript:OMO95153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGGGGGGGAHQSQYGEMGAPPPVTTGVSSSHMESEQLVEAASPISSRPPATGSLDEFMRLASAGGDDGGDDGDRTGGGGGGGVASGNRWPRQETLALLKIRSDMDATFRDATVKGPLWEDVSRKLAELGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYKFFSELEALHTTSATAGANVSTPVTPVTAAAAASLDVAPVSVGIPMPISSVRINPPVSTIPMSSSILPLPGSTAPPPMSAPAPVPPPATVLQTPITTATAATFGIRFSSDSSSSSQGFEDDDDDDDDDEGIGGEPSSVAGTSRKRKRQASRGGGGGTTRRMMEFFEGLMKQVMQKQEAMQQRFLEAIEKREQDRMIREEAWKRQEMARLTREHELMAQERAIAASRDSAIISFLQKITGQTIHLPTTVTIPTAPPPPTQPTVTVVPPAAPISKAAAPPLQHHPPQQHQQQQQQRNSQQQQQSQSRNQHQPPPPPHPQQQQQTQPQNTEVVRYQQQQQPISSEIVMAIPEQQVPLQEIGGSGEPSSSRWPKAEVLALINLRSGLESRYQEAGPKGPLWEEISAGMARMGYKRNPKRCKEKWENINKYFKKVKESNKKRPEDAKTCPYFHQLDALYKRKILGGGTSGGSSSFSDQNRAEEETSTQQHQDTSDAPPPVTAAPQLMQQPTDHSANKTGPTAEEGLPGSLFGEGNGGAAKKAVS >OMO95152 pep supercontig:CCACVL1_1.0:contig07731:10888:14855:1 gene:CCACVL1_05535 transcript:OMO95152 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMPIT-like protein MEAMGESKKLEEEVARVVEQARELQESGSSLVSRISNEEQSLRQKANTIDSSIRRLRRFLRMFLGPINVRASRKDVQLKVKEEYNSYRDRTAFLFLLFPLILLILRSWIWEGCLPAFPVQLYQAWLLFLYTGLALRENILRANGSDIRPWWIYHHYCAMVMALVSLTWEIKGQPNCAQKQRGVELFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKANRMDVVWGETAGVDGQLWVLCPILFLMQGFEAYVGLLLLKTAFVGVVSEWQVIFCGILLVLMAIGNFINTVQTLMVKSRFKAKMRRTKSKQEF >OMO95154 pep supercontig:CCACVL1_1.0:contig07731:31926:33763:-1 gene:CCACVL1_05537 transcript:OMO95154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLPCHNNLAVTNERSPRGSRSRCRRWRQSRTGTVVVAVIALLISTAAWLSLVFPGTTTRYWRRLREWEDSPHSLSLALSWSYSPYPLPVPSPPPLPPPPPPPPPPASLRNRSLSERRNGKQELVLNDIVFGIAGSSHLWKRRKELLRLWWRPLEMRGHVWLEEQVPPQETDDSLPPLMVSEDISRFRYTNPTGHPSGLRISRILMESFRLRLPDVRWFVLGDDDTVFNVDNLVAVLSKYDPSEMVYIGTPSESHSANSYFSHSMAFGGGGIAISYPLAEALSKFHDDCIERYPKLYGSDDRLHACITELGVPLTREQGFHQWDIRGNAYGLLASHPIAPFVSIHHVEYVDPLYPGLSALDSLKLFTKAMKTEPRSFLQRSICYDHDRRLTFSVSLGFVVQVFPNIVLPRELERSERTYVAWNKLKQRNEFDHDTRDSYKSVCKKPALFFLKDVMKDGNATLGSYARAKAKDDLKRKVFCFPHSPPLQYVQNIEVLGYPVGKNWHLVPRRLCCKVNNTVDEHLRLTVGQCEKGTLSSFTDSL >OMO95157 pep supercontig:CCACVL1_1.0:contig07731:41865:47671:1 gene:CCACVL1_05540 transcript:OMO95157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLYRFLRLKKLGRRGKPTSSTIEPEEKNKGKKDSGGEVEGCLRKFKWDEIKFATKNFSRVIGQGGFSNVYLANLSGSSQGAVKVHVGSDRLNQVFRQELDILRHLRHDNIVKLVGYCDDLEEGAMVFEYVPNGNLQEKLHGTEREVLPWKTRTAIAYQLAQAIEYLHEKCTLQIVHGDIKASNILLDEKFNCKLCDFGSAKMGFSSTVMPPSSFRVKQVMVGSPGYTDPHYLRTGLASKKNDVYSLGVIMLELVTGMEAFCPERGQLLTSIVAPNLRDIAEHAAEEKVAELVDPRLAGEFDLEEVRAMLSIAALCLHQSPTVRPSASQIMQSIKYKIAAPLVIRFPANATSNSNRNPKFQSSNRQILKSKDVFGSGISLRKAKSYSTARKRLCCKSQLAEFAPVTSAAYGIILFSGGLFAFTKSGSKGSLFGGLTGAALMATAYFLMQASDTKAVGDALGFGSAFLFSCVFDIVEVK >OMO95150 pep supercontig:CCACVL1_1.0:contig07731:3558:4929:-1 gene:CCACVL1_05533 transcript:OMO95150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWDPSSVAFLIYRPVMRLFKCQRRSDVFWPLNANEANTTTASSKSESKDDADGVILDLGPPQKPIVWAELLDTVKSVLPSKAWNSRSPDLCCLLCHVCAHSSLSWHTVFNTVNHIDVLICETLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDESIYERECGNMPGFAVY >OMO95151 pep supercontig:CCACVL1_1.0:contig07731:6729:7132:1 gene:CCACVL1_05534 transcript:OMO95151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGTGAIGGGGGVGGRGPWKNRVAKVELGLGFGGAKKRKNGSEKRERVREERGEMDKRLGFGGERRSKRKRLGKML >OMO95156 pep supercontig:CCACVL1_1.0:contig07731:35667:39206:-1 gene:CCACVL1_05539 transcript:OMO95156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCSLSISSGPPSLNYPRLIAFASIPYLRLRLARAGLPSKDMGFWAHKKLFLAFYFRCETEDNN >OMO74250 pep supercontig:CCACVL1_1.0:contig11152:97254:99771:1 gene:CCACVL1_16894 transcript:OMO74250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein MASMGQPPSLKRRDAPSTREGDQLTITPLGAGNEVGRSCVYMSYKGKTVLFDCGIHPGYSGMAALPYFDEIDPSTVDVLLITHFHLDHAASLPYFLEKTTFRGRVFMTHATKAIYKLLLTDYVKVSKVSVEDMLFDEQDINRSMDKIEVIDFHQTVEVNGIKFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAELPQFSPDICIIESTYGVQLHQPRHIREKRFTDVIHSTISQGGRVLIPAFALGRAQELLLILDEYWSNHPEFHNIPIYYASPLAKKCMAVYQTYILSMNERIRNQFASSNPFKFKHISPLNSIEDFSDVGPSVVMASPGGLQSGMSRQLFDMWCTDRKNACLIPGYVVQGTLAKTLINEPKEVTLMNGLAAPLNMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMGRLKQKLITEFTDGNTKIITPKNCQSVEMYFNSEKMAKTIGRLAEKTPEVGETVSGILVKKGFTYQIMAPDDLHVFSQLSTANITQRITIPFAGAFGVIKHRLEQIYESVESSTDEESGVPTLRVHDRVTVKQDSDKHISLHWTSDPVSDMVSDSIVALVLNISREIPKVVVESEAVKTKEENGKKAEKVIHALLVSLFGDVQLGENGKLRISVDGNVALLDKQSGEVESENEGLKERVKTAFRRIQSAVKPIPLSAS >OMO74249 pep supercontig:CCACVL1_1.0:contig11152:90191:95866:1 gene:CCACVL1_16893 transcript:OMO74249 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGRDSTESSGSDGEAFFLHGELDLWIIEAKSLPNMDLASERLRRIFTMFGTCDTHFWKKSKKAASGRKSIITSDPYVSVCLAGATVAQTRIITNSENPLWDEHFIVPVAHPVAKVEFLVKDNDVLGAQLIGVVEIAVEKVLSGQEINDWFPVVGQIGNCLKPYPELHISIQFRSVQNNPLYQHGVGTGPDYIGVPNTYFPLRKGGSVTLYQDAHVKNSLLPEISLDGGKVYQQGKCWEDICHAILEAHHLIYIVGWSVYHPIKLVREPTKPLPDEGQLSLGDLLKYKSEEGVRVMMLIWDDKTSHDKGLLKTAGVMQTHDEETKNFFKHTSVRCVLAPRYASNKLSFFKQQVVGTLFTHHQKCVLLDTQASGNNRKITAFIGGLDLCDGRYDTPEHRIFRDLDTVFKDDFHNPTFPSSAQSPRQPWHDLHCKIEGPAAYDILTNFEQRWRKAAKWRNFKLKSVNPWHEEALLRVDRISWIISPSSGPDGDTIVRVCDEDDPENWHVQVFRSIDSGSVKGFPKDVKQASNQSLICGKNLKVDRSIHTAYVKAIRSAQRFIYIENQYFLGSSYFWPSYKDAGADNLIPIELALKIANKISANERFSVYIVIPMWPEGVPTSNAVQEILFWQTQTMGMMYSIIAQELEKAGLSEQYHPQDYLNFYCLGKRDPPSSEYSSQETDHRALALAQQFRRFMIYVHAKGMIVDDEFVLMGSANINQRSLEGSRDTEIAMGAYQPNYTWAKKQSHPHGQVYGYRMSLWAEHLGKLEDTFQEPQSLECVKRVNKIAKRNWKSFVDDDYSEMSGHLMMYPIKIRKNGMVGPLPGYETFPDVGGKILGASTNLPDVLTT >OMO74243 pep supercontig:CCACVL1_1.0:contig11152:57553:60894:1 gene:CCACVL1_16887 transcript:OMO74243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine phosphate synthase MASFTILPAPSSLFANKNCLILTPTLASAKGFTVKYSRVSNSPSISLGARSSIQLQTFAAMQEKGTSALNGDLKMKIPHVLTVAGSDSGAGAGIQADLKACAARGVYCSTVITAVTAQNTVGVQGVSNVPEDFVAEQLRSVLSDMQVDVVKTGMLPSVGIVKILCKTLREYPDQALVVDPVMVSTSGDVLAGPSILSVFREELLPMAGIITPNLKETSALLDGIKLETVDDMRSAARLLHNMGPKHVLVKGGDLPDSSDAVDILFDGDNFYELRSPRIKSRNTHGTGCSLASCIAAELAKGYSMLSAVKVAKRYVETALDYSKDIVIGGGPQGPFDHLLRLKSNSRDCQRLQAFNPNDLFLYAVTDSGMNKRWGRSITDAVKAAIEGGATIVQLREKDAETRDFLESARACLKICQSHGVPLLINDRIDIALACNADGVHVGQSDMPVRVARTLLGPEKIIGVSCKTPEQAHQAWVDGADYIGCGGVFPTNTKENNTTVGLEGLKTVCMASKLPVVAIGGIGISNARDVMEIGVPNLKGVAVVSALFDRQCVLTETRKLHTILSEANVSILH >OMO74238 pep supercontig:CCACVL1_1.0:contig11152:15100:16169:1 gene:CCACVL1_16882 transcript:OMO74238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSCTTSSVNGFYNLLTRGLEELDCSFLSCNFMSIQFLQKILSLIRSFHSQLTILVQKLHLPVGEKWLDEYMDESSRLWEACLLLKSGISGMETFYTSGNNIASSLDHNQHFNPQFSRQVIRAIVGCQREIVGLEEENRNRMEARARQLSLRFDENVTIESKLNGFNGFRGVLYAMRNVSSLLLMILISGLVYYWPELSFVHGEHEGHVIFGSAFMVSIARLHQRVSNEMEQIGGGQPGIMLYEFREARIAMEEVREELERIVKYGISEVEIQDKIDNLKGCFGLLRCGVETIIGQIDDFFDEIVEGRKKLLDMCTHR >OMO74246 pep supercontig:CCACVL1_1.0:contig11152:76112:76549:1 gene:CCACVL1_16890 transcript:OMO74246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTATLSSAMVSTSFVRRQPVTSFRSLPNFGDALFGLKPQRGGRLTMAAYKVTLITPEGTKEFSCPDDSYILDAAEEEGIDLPYSCRAGSCSSCAGKIQSGTVDQSDGGFLDDDQIEEGWVLTCVAYPTGDVTIETHKEEELVG >OMO74247 pep supercontig:CCACVL1_1.0:contig11152:77299:81259:-1 gene:CCACVL1_16891 transcript:OMO74247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MEKLIKQGRSLAETPTYTVASVITVLVFVCFLVERSIYRFGRWLKQTRRKALYASLEKIKEELMLLGLISLLLAQWARGISQICVNSSLFTSKFYICSESDFDLNKKLFFESSSSFPNETVIPKGLNTHTTHQCGPGREPFVSLEGLEQLHRFLFVLGITHVLYSFVAVGLAMSKIYSWRKWENQATLALDGNLQAKRIKVMKRQTTFVFHHTSHPWSRSRILIWMLCFLRQFKSSVKKSDYLALRLGFITVGLNIYFWLSFIPAILVMLVGTKLQHVVSTLALDIKEQTGPPTAAQVKPRDDLFWFRKPEILLRLIQFIIFQNAFEMATFIWSLWGLKQRSCFMKNSFMIAFRLISGVLVQFWCSYSTVPLNVIVTQMGSRCKKALVAESVRESLHSWCKRVKQKSKRESLHSHTARSVCSLESIVDDERDGITVASGTLSRSSSMESLNQVTITSSEQAEAILGASIPGQGEYSSRVAEYLSDSININASMPLTIDEEEEQNNEGITEEVKVDTLYDLFQKT >OMO74241 pep supercontig:CCACVL1_1.0:contig11152:38430:45451:-1 gene:CCACVL1_16885 transcript:OMO74241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVNWEGAWPVLFHQVMSMLWKMVIIYKGTVRLSNTKVLGGSSRNPSVNSGQLKQSAEVLANEIDDDDLLKDIDVDKIVSEHYQSTCTPQPSVSKFPPITPSVDKKAFSGQEEPRLPPELCSICSLGCQLRLCPEAASHLQEKKDMLIAVSNELLDNATHLSPDQIEKLRHDRLQLNRQIQLLEKHLSDVERQKSHFSASTATRTFQYGTPQSASISIELIRFDAQVHLHNEPNGYENWNSSSVSFSSANSIGVSGGVGRKPYIPKIVEVNYIEGSHDQKWSSRDFPWTIKLEANNKKVFGNHSFRPNQREIINATMSGCDVFVLMPTGGGKSLTYQLPALICPGITLVISPLVSLIQDQIMHLLQANIPAAYLSANMDWPEQQEILRELTSDYCKYKLLYVTPEKVARSDVLLRHLDSLNTRDLLARIVIDEAHCVSQWGHDFRPDYQGLGILKQKYPKTPVLALTATATASVKEDVVQALGLVNCIIFRQSFNRPNLWFSVIPKTKKCVEDIDKFIKENHFDECGIIYCLSRMDCEKVAEKLQEYGHKAAFYHGNMDPAQRASTQKQWSKDEINIICATVAFGMGINKLDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCILYYSYSDYIRVKHMISQGVVEQSPSVSGYNRFNNSGRILETNTENLYRMVSYCENDVDCRRFLQLLHFGEKFDSANCQKTCDNCCTVNSFVEKDITNIAKQLVELVKSTGQQYSSSHILEVYRGSLSQFVKRHRHETLSLHGAGKHLAKGEASRVLHHLVTEEFLVEEVKKSDIYGSVSSVLKVNESKVQNLCLGGHTITLRFPSSAKATKLSKSGATPAKGSLTSGRLSPPRVDTPAQSNSNVDLNLSAKLYSALRMLRTLLVKEAGDGVMAYHIFGNATLQHISKRVPRTKEELLEINGIGKVKRSKYGDRLLETIEATIKEHYKTDKNNSSSNDSNDSAKKRMTSPEAPAGLRKEKKRCKWWGRWKYRR >OMO74237 pep supercontig:CCACVL1_1.0:contig11152:7373:13403:1 gene:CCACVL1_16881 transcript:OMO74237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKLKPEAHALNMKKVEAQAQAEKQKEEGAGQSSENTTPKSDQVEDDDSSLSLSSLSKLILPPLGVSSYNQNLIKSKGWIISPMDSRYRCWETFMVMLVFYSAWVYPFEVAFFSSSPPRKLYIADNIVDLFFAVDIVLTFFVAYIDSRTQLLVRDSKKIAVRYLSTWFLMDVASTIPYEALGYLFTGKSKVGISYSLLGLLRFWRLRRVKQLFTRLEKDIRFSYFWIRCARLLAVTLFLVHCAGCLYYLLADRYPHEGNTWLGSVNPKFRETSLWIRYISALYWSITTMTTVGYGDLHAVNTVEMIFIIFYMLFNLGLTAYIIGNMTNLVVEGTRRTMEFRNSIEAASNFVCRNRLPPRLKEQILAYMCLRFKAESLNQQQLIEQLPKSIYTNICQHLFLPTVEKVYLFNGISRETLLHLVAKMKAEYIPPREDVIMQNEAPEDVYIIVSGEVEIIDCEMEKELIVGTLHSGDSFGELGALCCRPQRFTFRTRTLSQLLRLKTTDLIEAMQSKQEDNVAILKNFLQHNKRLKDLKIGVESVDEDDDPKNISINLLTVAETGNAAFLDELLKARLDPDIGDSKGRTPLHIAASKGHEECVLVLLKHACNVHLRDMNGNNALWDSISSKHHSIFRILYHCAAISDPFTAGDLLCTAAKRNDLNVMQELLTHGLSVDAKNRNGLTALQIAMKEKHEEMVDLLVMNGADVINTNTYEFSSTTLNELLKKREIGHRITVTDTPSSEEILKKIEGKDHVGNLSKCSSGLDQLRVSIYKGNPIMRKESGCMEPGRLIRLPNSLEELKNIAGEKFGIDTKNAIVTDESGAEIDSIEVIRDNDKLFIFEVPVDYYM >OMO74248 pep supercontig:CCACVL1_1.0:contig11152:86205:88299:1 gene:CCACVL1_16892 transcript:OMO74248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNILRHRRTLTTLTTLHRTLSTPNSTAPAITAIVSNSTRTPPPSSSTAALGIFQSRWFRSSGGPLLAQRQYKLYKEGDEITEDTVLFEGCDYNHWLIVVDFPKDNKPPHEEMVRTYEQICAQGLGVSIEEAKQRIYACSTTTYEGFQVLMSEEESKKFENIPGVVFVLPDSYIDPVKKEYGGDKYENGVITPRPPPFQYRNQGGRLRQPNRNPDQPRYDRQGNPMQNQQGNQSYNQQGNQSYNQQGNPNPMQNQPGSPSYNQQGNPMQNQQGNPSYQQGFAQGDGRNNRAPQNYPPQQNYGQQPPVNRDYAPRGNNPSYQGSYNREEQGNYMSQRDSRGDQRNYAPPPGGNYVQGANPGYGQNYGQGTDPGFGQKYGQQANPGYGQNYGQQANPGHGQNYGQQANPGYSQNFRQGANPGYAQNFGQGANPAYGQSYGQGPNPTSGHNYGPGATTGSGQTDPRYGQTYPGHGADQGFSQAEHRNVQGGMQQGRY >OMO74240 pep supercontig:CCACVL1_1.0:contig11152:31177:37167:1 gene:CCACVL1_16884 transcript:OMO74240 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex 11 METPETGRPVALEFPATESPSLSRVPSRIRKRLLVECKTPTVEEIETKLRHADLRRQQFYESVSSKARPKPRSPPRDLSHEDDLGQRLEAKLQAAEQKRLSILAKAQTRLAKLDELRQAAKTGVEMRFEKEREKLGTKVESRFQQAEANRMLLLKAYSQRRATLRERSSQSLLRRMARESKYKERVRAAIHQKRVAAEKKRLGLLEAEKKRACARFLQVQRVANSVSHQREIERSKMRDQLEDKLQRAKRQRAEYLRQRVRPHKSVRVNWNRIMHKQADMLSRKLARCWKRFLRQRKTTLELAKAFDALKINESSVKSMPFEQLALLIESTTTLQTVKALLDRIESRVKASKVVGATDNVSSLDNIDHLLKRVATPKKRATPRSSMRSREAKRVGSVREAAKSLIKLSRYPVRVVLCAYMILGHPEAVLSGRGEREIALAKSAEAFVRGFELLVKIILEGPIQSSDEESDSTLPKRLTFRSQLAAFDKAWCSYLNCFVVWKVKDAQSLEEDLVRAACQLELSMIQKCKLTPEGDNTALTHDMKAIQRQVMEDQKLLREKVQHLSGDAGIDRMECALSETRTKFFQSKESGSPVGSPPTSFLSPSMGGSTSSPAAGLDNRSDVTQMPNRVVRSLFKEDGSSPAKNSSSSVPSSSHSNAQLASSIGKQQVTENELIVNEFLHEQRGFVDSFSVTGGQSSIESKIRETMEKAFWDGVMESIRQDEPNYDRIIELVREVRDEISEMAPKSWREEITAAIDLEILSQILKSGNLDIDYLGRILEFALATLQKLSSPANDDDLRAANLRLLKELGEICEGRDKPNHSPALAMIKGLRFVLEQIQDLKREISKARIRMMEPLLKGPAGFDYLRKAFANRYGSPSDAYNSLPLTMRWISSVWNCRDQEWGEHQSSMSTLKTQDSSSQGLPTSITLKTGGSYSSANASQIVNPTTMSVTDHQPECKGERVDTVVRLGLLKLVSGVSGLTPDALPETFMLNLSRLRAVQAEIQKIIVISTSILICRQILLSEQVVASPTEMESIISNCTEQLLKLLDRDEDVGIEGIVEIISDFSRDGDTEKLQIRKAVMARMLAKSLQAGDAVFNKVSRAVYLAFRGIVFGGSGTNGKKLAEIALRQVGASSLTERVVKAAEVLVVAATVSINVHGPWYTNLIGKM >OMO74251 pep supercontig:CCACVL1_1.0:contig11152:102812:105673:1 gene:CCACVL1_16896 transcript:OMO74251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg2+ transporter protein, CorA-like/Zinc transport protein ZntB MGKGPFSLRRSFSRRRRPKSTTVPQLPAPGPNGAASSSSPPPPSAGNLIAGGGGGGGGAGAVVAGKGKKKTAGARLWMRFDRMGVSELVEYDKNAIIKRASIPARDLRILGPVFSHSSTILAREKAMVVNLEFIKAIVTAEEVLILDPLQQEVLQFVDQLRQQLPPKSAFRIQGAAAMDVNDSEMHVSTGGRWLPVPEAMEGFQCELPFEFQVLEIALEVVCSFLDKNVAELERDAYPVLDELARNVSTKNLEHVRSLKSNLTRLLARVQKVRDEIEHLLDDNEDMAQLYLTRKWIQNQQSEALLGAAASNSISATPPRLPRLSSTRSASIRSSHNLDDDDVEDLEMLLEAYFMQLDGTRNKILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFAIAIETLISGWFGMNIPCTLYEMHGIFEIFVGGITLGSVLIFLLILGYARWKKLLGS >OMO74239 pep supercontig:CCACVL1_1.0:contig11152:22424:25495:-1 gene:CCACVL1_16883 transcript:OMO74239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase MDLSSQSTDTSSTLSLGLVSPSPPSHRSQIADDSITLQLETRDSTHPVPPVPLQLLEPQTENHKSLQNGNSKEREGYNGDDEDNKDMEEFHILGHPMCLKRRRDSCSLSSSSSSTPKRMTVEMDLETRKAAVNSWGSQPLQVADPDVFDIMEQEKKRQFKGIELIASENFVCRAVMEALGSHLTNKYSEGMPGARYYGGNQYIDEIETLCWKRALDAFGLDAESWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPCGGNTSHGYYTPNGRKVSAASIFFESLPYKVNPQTGYIDYEKLEERAVDYRPKILICGGSSYPREWDYARFRQIADKCGAVLLCDMAQISGLIAAKECANPFEYCDIVTSTTHKSLRGPRGGIIFYRKGTKPRKGGTGMLQGQGDDNDQYDFEEKINFAVFPSLQGGPHNNHIAALAIALKQVATPEYKAYMHQVKKNAQAFASALLRRKCRLITGGTDNHLLLWDLRPFGLTGKLYEKVCEICHITLNKIAIFGDNGVITPAGVRIGTPAMTSRGCLESDFETIADFLLRAAHIATMMQRDHGKLQKASLKSLHNNKDVVDLRTQVETFASQFAMPGFDV >OMO74244 pep supercontig:CCACVL1_1.0:contig11152:62104:64696:-1 gene:CCACVL1_16888 transcript:OMO74244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYELVKDIGSGNFGVARLMRNKETKELVAMKYIERGHKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHSLQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTISRIMSVQYKIPDYVHISQDCRHLLSRIFVASPSRRISIKDIKSHPWFLKNLPRELTEAAQAAYYRKENPTFSLQTVEEIMKIVEEAKAAPPVSRSIGGFGCLGTDLGTTRV >OMO74245 pep supercontig:CCACVL1_1.0:contig11152:69828:74385:1 gene:CCACVL1_16889 transcript:OMO74245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MSSSVFSTVRSFSVFAFLFLFLSPALASESDHKYQPDDPITLWVNKVGPYNNPQETYNYYSLPFCHPGTNPAHKWGGLGEVLGGNELIDSQIDIKFQKNVDKSTICPLELDEAKVRQFKDAIENSYWFEFFVGFVGELHPDKNSDSGKHVLYTHKNIVIKYNKDQIIHVNLTQESPKPLEAGRALDMTYSIKWIPTNVTFARRFDVYLDYPFFEHQIHWFSVFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPRTLVVLSAVVGTGAQLALLVLLVILLAIVGTLYVGRGAIVTTFILCYAFTSFISGYVSGGMYSRNGGKNWIKSMILTASLFPFMCFGIGFILNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSMMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAIYVYLYSVYYYYVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSNLFVRRIYRNIKCD >OMO74242 pep supercontig:CCACVL1_1.0:contig11152:47969:56083:-1 gene:CCACVL1_16886 transcript:OMO74242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLTVCQIQNPQKLHHSQVEKAWQVLSSLPTSCRTYLRPGTTCPVNNSSDEISHNRRGRYTLTNSSDMKWSENMHVQHNTNETNGKISELGRCMASSFPSSNVSAMEDGYNLQGHSEAKTSMFNHSNSKVLGKSLRNPSVNSGQLKQSAEVLVNDIDDDDLLEDIDVDQIVSEHYQSTCTPQPSVSKFPPITPSVDKNAFSGREEPCLPPELCSVCNHGCQLGLCPEAASHLQEKKDMLIAVSNELLDNATNLSPDQIEKLRQDRLQLNKQIQQLEKHLSDVERQKSHFSASTATCTFQYGTPQTASISIEPIRFDAQVHLRNEPNGYENWNSSSVSFSSANSFGVSGGVGREPYIPKIIEVNYIEGSHDQKWSSRDFPWTKKLEANNKKVFGNHSFRPNQREIINATMSGCDVFVLMPTGGGKSLTYQLPAFICPGITLVISPLVSLIQDQIMHLLQANIPAAYLSASMDWPEQQEILRELTSDYCKYKLLYVTPEKVAKSDVLLRHLDSLNTRDLLARIVIDEAHCVSQWGHDFRPDYQGLGILKQKYPKTPVLALTATATASVKEDVVQALGLVNCIIFRQSFNRPNLWYSVIPKTKKCVEDIDKFIKENHFDECGIIYCLSRMDCEKVAEKLQEYGHKAAFYHGNMDAAQRASIQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCILYYSYSDYIRVKHMISQGVVEQSPSVSGYNRFNNSGRILETNTENLLRMVSYCENDVDCRRLLQLLHFGEKFDSANCQKTCDNCCRVNSFIEKDVNNIAKQLVELVKSTGQQYSSSHILEVYRGSLSQFVKRHRHETLSLHGAGKHLAKGEASRVLRHLVTEEFLVEEVKKSDIYGSVSSVLKVNESKVQNLCFGGHTITLRFPSSAKATKLSKPGATPAKGSLTSGRLSPPRVDTPAQSNSNVDLNLSAKLYSALRMLRTLLVKEAADGVMAYHIFGNATLQHISKRVPRTKEELLEINGIGKAKIIKYGDRLLETIEATIKEHYKTDKNNSSSNDSNDSAKRRRDANGAADGNIEDDDDFTRSTGRSKKRISKSQTLDSYYFPKDPDGNNNIQCSGNGDLEFDDFDDFDAESNCPDIRVDMSGSGRVLPSWSTT >OMO85381 pep supercontig:CCACVL1_1.0:contig09625:3361:9582:1 gene:CCACVL1_10206 transcript:OMO85381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRGKVELKRIEDKSSRQVTFSKRKNGLIKKARELAVLCDVELALVIFSPRGKLYQFSSGESLRKILERYQIHVDEEAAIYNSVHEAAKSRDEHSDLWRATSLQEMVERHIEGQDIQQLNMTQLINLEKQLDSILRQTRNRKTQLMMDTVDALHEKENQLKQEKNVMENKIAAEMQVEDGEKDDELNQEDPHSDSNQTPLGKGLLQFL >OMO85388 pep supercontig:CCACVL1_1.0:contig09625:53586:53795:-1 gene:CCACVL1_10213 transcript:OMO85388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWGPVFVALLLFILLSPGLLFQIPGKSRFVEFGNFQTSGVSILVHSIIYFALICIFLLAIEVEVHFG >OMO85389 pep supercontig:CCACVL1_1.0:contig09625:64148:67042:1 gene:CCACVL1_10215 transcript:OMO85389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MMGRLKERLVSFVNNRWLVFVAAMWIQSCAGIGYVFGSISPVIKSSLNYNQRQLSSLGVAKDLGDSVGFLAGSLSEILPLWGALLVGALQNLVGYGWVWLIVTGRAPVLPLWAMCILIFVGNNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYTMINFPDQASLIFMVAVGPAMVVIALMFMIRPVGGHRQVRQSDGLSFTFIYSVCLLLAAYLMGIMLLEDLVDVSHVLIVIFTVILFFLLVIPIIIPIILSFWEEPRHPAEEVLLPKPQEQEAGEAGYSRQDSQEVIFSEVEDEKPKEVDLLPRSERHKRIAQLQAKLFQAAAEGAVRVKRRRGPHRGEDFTLMQALIKADFWLIFFSLLLGSGSGLTVIDNLGQLSESLGYDNTHIFVSMISIWNFLGRVGGGYFSEIVVRDYAYPRPIAMAVAQVVMSVGHVFFAMGWPGAMYIGTLLIGLGYGAHWAIVPAAASELFGLKKFGALYNFLTLANPAGSLVFSGVIASGIYDSEAAKQAQGHPIPPQISFSFFSGLFAAADEPLKCVGSVCYFLTCMIMSGFCIVAAVLSIILVHRTKSVYANLYGKSRT >OMO85387 pep supercontig:CCACVL1_1.0:contig09625:48283:51834:-1 gene:CCACVL1_10212 transcript:OMO85387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDWGPVFIAVVLFILLTPGLLIQIPGKSRFIEFGNFQTSGLSILIHSVIYFALIWNSKQLEFGSMKTNGKAIAIHTLLFFAIYAVLILAVHLHIYTG >OMO85399 pep supercontig:CCACVL1_1.0:contig09625:106652:107641:-1 gene:CCACVL1_10227 transcript:OMO85399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleotide reductase small subunit MPSIPEEPLLAPNPDRFCMFPIQYPQIWEMYKKAEASFWTAEEVDLSSDLRHWETLTDDERHFITHVLAFFAASDGIVLENLAVRFMKEVQVSEARAFYGFQIAIENIHSEMYSLLLETYIKDSAEKSRLFRAIETVPCVAKKAEWALQWIDGAETFAERLIAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYTLLRSKLSEERVRGIVKDAVDIEREFVCDALPCALVGMNGELMSQYIEFVADRLLGALGYGKMYNVANPFDWMELISLQGKTNFFEKRVGEYQKAAVMSSLNGNGGTHEFKMDEDF >OMO85385 pep supercontig:CCACVL1_1.0:contig09625:44205:44342:-1 gene:CCACVL1_10210 transcript:OMO85385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEQLDFWFFGIWSVPIEAKRPSKDGYGAKSGRRPGDLLPSRKR >OMO85397 pep supercontig:CCACVL1_1.0:contig09625:99624:101824:1 gene:CCACVL1_10223 transcript:OMO85397 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MESENININLESTNNTPLEAEPLMLHIHDDDDGDEVEEVKDGSSSTRKRRRTSNVCSVFKDTKEKAPDGKPIAKCKWCGKQQKYNSKYGTGNLKCHVETCVKRDTKDVGQMIIDVKDKSITVRSSKFDPLKLRELAIAAIVMHNLPLAFVEYKGVRAILSYCLDGRDVGLVSRNTAKSDLLKLHAREKGRVKSLLEDVPGRISLTSDLWTSVVTDGYICLTAHFVDKAWNLQKRVLNFSFMPPPHTGVALSEKVYNLIDDWKIDRKLFSITLDNASSNDTFVDFLKIQLTTRHALLKDGEFFHIRCCAHILNLIVQDGLKEVDKAIIKVRESIKYVKGSQGRKQKFLECVNLVSLNSKKGLRQDVPTRWNSTFLMLQGAIYFRKAFSHLEINDSNYRHCPSKDEWDRIEKLCTFLSDFYDVTYVFSGTKYPTSNLYFPAIYPVRVSLQVHLNGTDEFMKDMAARMFTKFEKYWKDFSIILAIACVLDPRYKLSYVEWVYKKLFGANSDEFKKVKETLFSLYDEYAKDVGVTSNPSPTLDFNDFGDGNSKGSTRLTCEKILKKQLCVI >OMO85390 pep supercontig:CCACVL1_1.0:contig09625:68615:72527:-1 gene:CCACVL1_10216 transcript:OMO85390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MEVRTGLMLFLVFMILQKGEGQLSETFYSKTCPKLESIVKQVVANKISQTFVTIPATLRLFFHDCFVEGCDASVLIASPNGDAEKDAPDNLSLAGDGFDTVIKAKQAVENKCPRVVSCADILALATKEVVAQAGGPSWKVELGRRDGLISQASRVAGNLPEPNATLIQLNAIFAKNNLTQTDMIALSGAHTNLVAKKGLFTSDEVLFTNPASQPTVIDFAQNPGDFNAAFITAMRKLGRVGVKTGKAGQIRGCDASVMIASRNHDAEKDSSDNLSLAGDGFDTVIKAKKAVEAKCPKVVSCADILAIATRDVIALAGGPSYKVELGRRDGLVSQASRVAGNLPEPNFNLTQLNTIFAKNNLSQTDMIALSGAHTLGFSHCKPFANRLYSFSPSSPVDPDLDPTYAEQLKQDCPPNVDPRIAVDMDPRTPRKFDNVYFKNLVVKKGLFTSDQVLFTDPASKQTVIDFAKNQTNFKAAFVTAMTKLGRVGVKTGKTGEIRVDCTAFNA >OMO85384 pep supercontig:CCACVL1_1.0:contig09625:42889:43107:-1 gene:CCACVL1_10209 transcript:OMO85384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQGIKMKPMIKMTEPNSIKAKQIPRNKEANAAGETWENVTCVWGGLEQEEEEEEEGAEGSSAIGNGGDFRI >OMO85395 pep supercontig:CCACVL1_1.0:contig09625:89697:90167:-1 gene:CCACVL1_10221 transcript:OMO85395 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MASSSKKIILKSSDGETFELEEAVAMESQTIKNMIEDGCADSEIPLPNVTSETLAKVIEYCKKHVEAAADQEKKPEDDMKAWDTEFMKVDQNTLFDLILAANYLNIKGLLDLTCKTVADMMKGKSPEETRRIFHIKNDYTPEEEAEVRKENAWAFE >OMO85394 pep supercontig:CCACVL1_1.0:contig09625:85841:86857:-1 gene:CCACVL1_10220 transcript:OMO85394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L27 MATATMSFSLVGAFRGLSLSSSSKSSFLTGEFGSIPKTASVSFPRKSPFPLTIESAHKKGAGSTKNGRDSKGQRLGVKIYGDQAAKPGAIIVRQRGTKFHPGKNVGLGKDHTIYALIDGLVKFEKFGPDRKKVSVYPRVEQPENPNSYRARKREYFRMRRERRKAKKEGVFAEPELVLASAADADAADSNAADC >OMO85383 pep supercontig:CCACVL1_1.0:contig09625:32930:40430:1 gene:CCACVL1_10208 transcript:OMO85383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMTSIIFVGDLRKTLERYQVHVDEEAAVCNSVHEAAKTQLMMDTVDALHEKVAAEMEVEDGEKDEELNQDPHSDSCQTPHEKGLLQFL >OMO85391 pep supercontig:CCACVL1_1.0:contig09625:73795:75761:-1 gene:CCACVL1_10217 transcript:OMO85391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MEFLRSGLVLLMVFMILQRGEGQLVENFYSNTCPSVESIVRQAVSTKFSQTFVTIPATLRLFFHDCFVEGCDASVMISSPNGDAEKDAKDNLSLAGDGFDTVIQAKQAVEQQCPGVVSCADILALAARDVVVLAGGPSWEVELGRRDGLISQASNVPGNLPEPEFNLVQLNTIFARNNLSQFDMIALSGAHTVGFSHCDRFADRIYSSPVDPTLDPNYAQQLMEACPRNVDPSIAINMDPETPQTFDNVYYQNLVNGKGLFTSDQVLFTDSASQPTVNDFASNPGNFNGAFITAMRKLGRVGVKTGNEGEIRLDCTRFNS >OMO85398 pep supercontig:CCACVL1_1.0:contig09625:104037:106292:-1 gene:CCACVL1_10226 transcript:OMO85398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTQLQKHEFLSREQLIYLFDRFSALISQPDVKKRIVDAVNDKQEPVAVTTAIQEGICLEMGVDPGFGLSCLGKLNITYENDQDLMIRYYRFVANEELACDEAELEPEEFAEKLNSQQKLQEQQLEMLKYMRKFHLDDQSAILEKLHLQLEDANFDSDASVLSSDQIQEIVRRRVSPSFEPRMNQ >OMO85393 pep supercontig:CCACVL1_1.0:contig09625:82987:83297:1 gene:CCACVL1_10219 transcript:OMO85393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase complex, SecE/Sec61-gamma subunit MDAVDSVFDPLREFAKDSVRLVKRCHKPDRKEFTKVAFRTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >OMO85392 pep supercontig:CCACVL1_1.0:contig09625:80593:82366:1 gene:CCACVL1_10218 transcript:OMO85392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MAMAVDYNHDSSFPYWSSTRRRFDPDSPFFSSGNIERELLAKQVALDLTEDERSQLEKMVAKDARGVFCPIAGCGARLSSLENFEDHYNARHTASCSVCSRVYPTSRLLSIHVSEAHDSFFQAKVARGYAMYECLVEGCGLKFKSYKGRQQHLVDKHKFPTSFEFFKKANPSKKQRQKNQRKQAIHKKDEEASSKMEVESETMDGLVSAVSKLTTSDSSPSTISFGRRHTRGLTFVPRSVQR >OMO85386 pep supercontig:CCACVL1_1.0:contig09625:47015:47077:-1 gene:CCACVL1_10211 transcript:OMO85386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPLVHMLPNSITLVLDGS >OMO85396 pep supercontig:CCACVL1_1.0:contig09625:92917:93906:-1 gene:CCACVL1_10222 transcript:OMO85396 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAETSHVLEINLISAQGLTESSAAGHGRIKTYAVAWVDSSTKLRTRVDRLGGHNPTWNDKFLFKVSSEFLLSKTSAVSVEIYAAGMFRDRLIGCVRLLVSTFLETGSASFSSMKTPAFVARLIRSPSGEFFGTLNVGAMVIDGSGFQSALSKVSGIDYRDLTGEKQSRLGTKKSKDKVKEINGDQSEISNGNSLISSSSSVDLPTVLKELSLTNQKNNEEEDGPSVALETSMEEQEKDDEICGVGSEKSLEEIANMIWPNEGISMEKMEKDVEIVMEEVKEQWTVISDLKGRVKSLEKRLSRKNKPKKGYNGKSNSATMSYVGAIQEAG >OMO85382 pep supercontig:CCACVL1_1.0:contig09625:18835:25739:-1 gene:CCACVL1_10207 transcript:OMO85382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLDLDMLPESCVSVILSLTSPPEACKYSLVSTTFQSAAESDLVWERFLPSDYWEVVSNVCNYNNLKFSSKKELFLLLCNPILMADGKLNFKLERSTGRKSYVLSARELLITWSNDPMFWVWKSIPESRFSEVAELRTVSWLEIQGKIRTKMLSPNTKYGAYLIVKITDRAYGLDSIPSEISVQVGSNQAMTNTAYLRSPDSKKLQNMENLFYSNRTQMLKSRVIEGDGRVLNKREEDGNWMEIELGEFFINGENDDDDEQVKMSLKEIKGEQLKGGLIIEGKKCFVLSARALNITWAENPLYWTWKSFPASRFYEVAELRTIWWLEIQGKMNSRMLSPNIDYEAYLIVKFVDRAYGLDILPSKVSVEIGNHGKLEGTVYLRQHENEKHCLEGFSSQRSMAASKGVEEREKREDEWIEIELGSFYNHGDDNFEVKMCLKEVTGTHLKGGLVVEGIELRPIT >OMO83787 pep supercontig:CCACVL1_1.0:contig09853:8939:9754:-1 gene:CCACVL1_11187 transcript:OMO83787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKGRLNATDKVEATETAKNRAKQIEEANSGTLPGPDGARIQDLEIAREQYMTMISELDAAKQELRKVHQKAKVAP >OMO83786 pep supercontig:CCACVL1_1.0:contig09853:6818:7030:-1 gene:CCACVL1_11186 transcript:OMO83786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAWWAVEGELIRRWRKREQKEAAEPSINIKVSDSPHNITESLTRSPELTRIMSCRLFDILSLWSLAP >OMO67830 pep supercontig:CCACVL1_1.0:contig12369:2004:2993:1 gene:CCACVL1_20284 transcript:OMO67830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRPWYDLPVEILNLIHSRLPIVEQFHFRIVCKSWHLVEAHFERNLPWVLCHFWDDSGPNCSFHIPSLNQTHIVSDHVMVNLWDAGISASKHGWLLLQQSNETFFFNPFSAKVIKLPNMDISFNRATFTSSPTCPDCICFAIGSSKSCDKILISTCSPGDLKWRTTTLDGFNKVVEDVVYSNGSFYCVFSGGVLGAFFVEDYSWSMLTDTEPNNRIFSWSRLQLVESNGEILLVSRTTNFCVFKFDQSDMVWKDVNKLGNRALFLGCTSFAVEAEGKTSALAGRIYYHEYPRFSFYSLHTEKTYTCEDFYPWVVPNAQGKIWIEPPSF >OMO67831 pep supercontig:CCACVL1_1.0:contig12369:14382:16227:1 gene:CCACVL1_20285 transcript:OMO67831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MRLKIAFLVLLFILPLASAQLRVGFYRRSCPRAESIVKATVARRFRTDKSIPAALLRMHFHDCFVRGCDASILIDSTRKSQSEKDAGPNFSVRGFDLIDEAKRNVEAVCRRTVSCADIITLATRDAMALAGGPSYAVPTGRRDGVVSNPDEVNLPGPSLTVSQSFQFFKAKNMTMDDMATLLGAHSVGVAHCSFFLDRISGPQPDRTMDPALAKKLSNICGAASARNPDPTAFLDQGTSFTMDNQFYKEIRMKRGVMKIDQELANDRLSSGFVSRYALNSTLFRTRFAQAMVKMGRIEVLVGKAGEIRQNCRAFNPRRRF >OMP11027 pep supercontig:CCACVL1_1.0:contig01687:60:791:-1 gene:CCACVL1_00719 transcript:OMP11027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDTSFKEDFPFLNSLFTDNPPSFKPPEHFGNGFPFESSSSSSSPSPSSKGLFHNFILPDENTNQSHPDHVNKNGSSLLNLNPLHQFPSKNNPFLGIPSTCNDGFESYTNGFNNDLNVYIPSLPFAPPESSDDGGANPNTGILQGSEGCWDFSQKVSTQLQPQPDLSLQPSETLNFQDHQQGDSVTAKFGDEVALSGDKNGFQDYQTKNTRFQTRRGFQPQPLIKKTTTPTIKGQWTPQEDR >OMO96414 pep supercontig:CCACVL1_1.0:contig07475:20977:22380:1 gene:CCACVL1_04964 transcript:OMO96414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILQYPDSFNVPELQVWNNAAFDTGESEDHTSAMKVSWGHLGSGSVNQSLESDGSKENQSPVWLQSPVSFKSTASIVKPPLRCKNVFGNSKEQPLKGGFIEPASAKLKNGAGKEEEKKRDEKKIDMEIEEVEKEISRLSSKLESLRLEKAELTARSIAMRGRMVPAKFMEPKQSIKNLEMGKKMEDPLFSSAKGKLNRRGVSLGPAEIYSATKSRQLTKQDTITPVQSIQSRRKSCFFKLEGIDEGKVTRERGKSLSLSPKSRKTVSKVQAPKPAATTVGSKRAVKKEDGFLATIQPKRLFKDGEKSVTAKKPMKPGRIVASRYNQIPNQSNGNSTVNEARKRSLPENDKEESDRHDKRRVSDERIVDSSKNQKSESRVKKKWEIPSEVIVFKGAAMEEESPQSISRINNVLPKIRTLRNLIDESPRDSGPAKRVAELMGRKSYFCIQEEAEDSVFQALSFAEEED >OMO96416 pep supercontig:CCACVL1_1.0:contig07475:26998:28811:-1 gene:CCACVL1_04966 transcript:OMO96416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVEDAQKLRNTVIDCFEKASLPSVSDEERKRILHFVVVGGGPVGVEFAAELHDIVAQDIAKLYPSVNNLAKITLVEAGDHILNMYDKRITQFAKQKFQRDGIDLKTGSMVTKVSEKDVSTKDRVTGNSSTIPYGLVVWSTGIAPRPVVLDFMKQIGQGSRRVLATDEWLRVEGCDSVYALGDCATINQRKVMEDISAIFSQAKTDGNYLNKQEFKKVIGDITERYPQVELYLKKSKVKNMVALLDKYQGEIDIEKFKEALSQVDSQMKNLPATAQVAAQQGEYLAKCFNRMEKCEKYPEGPRRFRTGGQHRFHPFRYKHFGQFAPLGGEQTAAQLPGDWVSIGHSTQWLWYSVYASKLVSWRTRMLVVSDWFRRFVFGRDTSRI >OMO96412 pep supercontig:CCACVL1_1.0:contig07475:7558:7797:1 gene:CCACVL1_04962 transcript:OMO96412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVAMVKSHSEDQGQKWKSGVKFLQRGQRRLFDADASELRPVSGMIGSDLSGVVGNRDAQADESIRRVMYLNCWGQG >OMO96415 pep supercontig:CCACVL1_1.0:contig07475:24367:25793:1 gene:CCACVL1_04965 transcript:OMO96415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVSRGFKLFLRCRCTFKYNFSSIRGLGNRVAIGHYPHDTYIKSILVEFHCVSHIVRETVGNYNSLSTQAAPDEEEVADKVFNQILATYENSPKSSRETCNAYVHKLCKAGNLSMARRLLQLLRDKDILIPNAYDTLLAAAAERNDFDLSFQIFKDLLVFHRPLSSSCYLNLARSFANINDCTALIRYVKQLADLAFPSSTTVLNQIIFAFSKCRQIEKALLIFNEIRSFGCEPDVITYNTILDILGRAGQLDKMVHVFASMKEAGFIPDIITYNTLLNSLRKVGRLDMCLLVFREMSDNGIEPDLLTYQAMIETFGRSGNTNEALRLFSEMKQRQIRPSIYTYRSLINNLRKAGKLDLANSLLEEMNSSSLSDLAGPKDFKRKCR >OMO96413 pep supercontig:CCACVL1_1.0:contig07475:9037:20136:-1 gene:CCACVL1_04963 transcript:OMO96413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGRQVFTVDLLERYAAKGRGVITCMAAGNDVIVLGTSKGWVIRHDFGVGDSYDIDLSAGRPGEQSIHRVFVDPGGSHCIATVVGTGGADTFYTHAKWTKPRVLSRLKGLVVNAVAWNRQQITEASTREVILGTDNGQLFEISVDEKDKREKYIKPLFELAELPEAIMGLQMETATLSNGTRYYVMAVTPTRLYSFTGIGSLETVFASYVDRAVHFMELPGEIPNSELHFFIKQRRAVHFAWLSGAGIYHGGLNFGAQHSSPNGDENFVENKALLDYSKLSNGAEVVKPSSMAVSEFHFLLLIGNKVKVVNRISEQIIEELQFDQASDSSSRGIIGLCSDATAGLFYAYDQNSIFQINYILSFEEITLKFISVSEQDALRTFLLRKLDNLTKDDKCQITMISTWATELYLDKINRLLLEDDTALENRNSEYHSIIKEFRAFLSDSKDVLDEVTTMKLLESYGRVEELVYFASLKEQYEIVIHHYIQQGEAKKALEVLRKPAVPVDLQYKFAPDLITLDAYETVESWMASNSLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEFCVHRLHNEDPGIHNLLLSLYAKQEDDSALLRFLQCKFGKGRENGPDFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMVAKHVIEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNKQIEQLKQEMNDATHGADNIRNDISALAQRYAVIDRDEDCGVCRRKILAVAGDYRMALGYTAVGPMAPFYVFPCGHAFHAHCLIAHVTRCTNESQAEYILDLQKQLTLLGSEARRESNGGIKDESITNMNPADKLRSQLDDAVASECPFCGDLMIREISLPFISLEEALQDTSWEIKPQNLANQRTISLPL >OMO52646 pep supercontig:CCACVL1_1.0:contig15492:4483:9336:-1 gene:CCACVL1_29144 transcript:OMO52646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADIIQEVGASGDDFNSVEPEAGSIRADMLDVISSPGEVNGELGRASEIITRLELDLACSSEKLVNLNILTMHVATREIDFESFMSEKNFMIVDSVEKALEFDILSGILDSEARELDKFMKSIETDIIGARETVSSFKHLGETFFRMEEKLHDSEESLKQSQDQVSEIKTQSADFQRILSCLHGDEYWNDERGMNISEADRCSNNNAKLTMQTIEQQRHILRMLEKSLAREIDLEKKLAESRQIEEEMKLRILSLEEGLEEEAMDISERLFEAENAAEVLMGISKELLGQIQIVQFNLNSSIYREAELRSKLEDSSEKLEAKESALQKLESGGARVSDFLLAQTENLKASLTEAEQKFILADAEAFTLREKIEILEKQLSESELNLLNEKASANESREQYDVICSELNKQGNVINDLKDKLSKAENKADNAEAKCKLLAETNMELNEELGLLKCHDITPEKVEALERQLKDSEIRLLSAVASAEASQEKQNMLYSTIGDMENLIEDLKLKVSKSEKRADSAEDKCIILSETHAELNEELSFLRGRLECLEAALNQAEEMKMETAKDISVRTKLIANFLMQLTVERERLNQQISALAMENKILVVKLKQTNKDPSINVSHENRGNVDDFLLSKQNSNTASARESKEETTKLSADGSESELIPAALAVKILAERDKTDLKYTKLDKTTESVGESEVKSADAMSEFENVRRIDARLLNFKHISLASFILLISVAAYFFLKHAGPFW >OMO63103 pep supercontig:CCACVL1_1.0:contig13051:13440:13553:-1 gene:CCACVL1_22479 transcript:OMO63103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCPLEQTLRFTGTFWRHISKLLGPIFTLKPSVSTV >OMO69954 pep supercontig:CCACVL1_1.0:contig11925:77256:78917:1 gene:CCACVL1_19186 transcript:OMO69954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPESVRVLRWRAKACTSVCGEEGGCATAQLPTLHYDGTLVKEPISIVDRRMIKRNNKVVTEVLVHWSYSFPEDDTWEDRKELQAKYPNFNP >OMO69955 pep supercontig:CCACVL1_1.0:contig11925:91103:91273:-1 gene:CCACVL1_19187 transcript:OMO69955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 LMIKLALKKVREEIISHVGEERLVNESDHSKLPYLRCIVNETFRLYPPAPTFTRKG >OMO69949 pep supercontig:CCACVL1_1.0:contig11925:4030:4938:1 gene:CCACVL1_19181 transcript:OMO69949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSMEARMQWLEREWKTMQIDIFELKCKQETMAEEIEQLKKHVVKALIMSGDIDEVYKIIAKTKPSFRSNTSFRPPTHKAKSQPRFQTMSQPPSPEKEPVELTNLIPIPCTYTELFPALVQQGLIAFLPFSKPMKNPGSWYNTNSYCAYHSGTQGHSTENCLRLKEEVQRLIIEGELTFKADGSPVFSQASSSSSSRASGSVW >OMO69953 pep supercontig:CCACVL1_1.0:contig11925:56901:58375:-1 gene:CCACVL1_19185 transcript:OMO69953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNKCWGSQLDRTRGKKAAKFKGVKGFKAEEESFRESESEAAETLRFRGSVKGRIF >OMO69952 pep supercontig:CCACVL1_1.0:contig11925:35012:35159:1 gene:CCACVL1_19184 transcript:OMO69952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRHTSKDVENVQLVFQTLVSILIH >OMO69951 pep supercontig:CCACVL1_1.0:contig11925:21056:24947:-1 gene:CCACVL1_19183 transcript:OMO69951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLAIKAYEAKGALVISPKFKLHDSAANFLPEPDPKTPLEDPGPYANAI >OMO69950 pep supercontig:CCACVL1_1.0:contig11925:5378:6771:1 gene:CCACVL1_19182 transcript:OMO69950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase MDMMKHQLAEQRGKTVQEDHPMLEGQDMTMNTEGISAEERRSIEANQINLNQGTTVNKQVDVLNSKDGETREMIDERSQGLWDKIDERITSFEKGQNHHGLMDASEVVLVSDLVMPKKFKMPEFEKFDGTKCPRNHVISYLGRMAPYLGNDKLLMAFMIHYKYLSNLAPTRECLKTVKRMPGESFREFAQRWREKTAEVNPTMEETEMSPKFLDSVELTYFKRMLPLVTEPFSKLIRVGELLDVSFKSGRIRSEKKVESKEDQAGEQGYDEAAQIGQQFDYLGPHDPYYPHPPYYPYPPLPPANSYVNQNPYPYLNQVLSLSTILEHQIKELLHQLIQQGLVEPVYVEPMQPPYPMWYNPNVQCEYHMNIQGHSTENCTGLKKKVQALIKAGLLSF >OMO57388 pep supercontig:CCACVL1_1.0:contig14384:2121:6404:-1 gene:CCACVL1_25797 transcript:OMO57388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYGLASPVHCIDRRGAESAGRAFKKVSELCILSGVKIGIVVLSPTPRVKPFCFGHPNIDEIVHRFVSKNPTDPSAVVVDHDDDDDGALTTKDLPGLEEIDKKFEGSVGLLEKEKERGKDKENNGGGFWWDEADIETMGVEELEMYVDGLDKLRKNVTARMAMDNPSSDPDLQKPLEEFVFIRKLYYVDMVVKGSFGDKGQASVSVDWRSKLFSVFIANLSFRVSRHALWDAFSEYGRVADVFIQRRNQQKGPTTYAFVRFWNEKDAYWGLVKVDQRYLEGCRIRTYKARDTRKQKWVRRSEEHGEMVCVESKLDGRSNRDVVNSVKEADNPPPRYVRDRFQSCFEISRPDSKYVRSGSRSVSNVMSFVNNQERRQDSFVASEVQLFKDVGSKGVDSLVDPNSPIVYPDKEEAYPELAEQGHESNKEMELDVLIPEEHRSWLERSFVVLFRSVNEVEEVISFIKAGDFEVEIRELSNVMLLNSVEEEDFIDDCMKMVIDKCFNSVVDVFPWDSTGFQRQVLVWVQLDDVPLELWHVNFFSVLGDKWGKFLQLDDFTRNKNSLKTARMQILAPSLSILPNLAVGYSSGIKFRIRVTVESEAVVEPLRQRSWPKTNFELSGGFLGANSVETDKMENNLNSIDCDLPNGVMVSNDAKSLETDLVVDILNSNRSGLANGNMAIYGGINSEDFVLDSLEENLVSNSGLHASPFDSDGQRTKVSERKSRVLEDIEEDIEEDWFSNLLEKNKKCSKKLSKKLKLQRTKSQKSKQQIGEVVDDSLSDGDVRNRNRILIEEAQATLDIGKKLGISFDCDDSVILEKFKRMEEES >OMO98808 pep supercontig:CCACVL1_1.0:contig07021:45862:48771:-1 gene:CCACVL1_04063 transcript:OMO98808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHNPVELPSGSRSYALPRTSKIVLVIIFVTLAILTIIPVLYPLLGYPIYLLKFSPSSSSSSKPSTPSTYENDEEVGAASIKISDQAEGCDIFSGEWVRNLEAPYYTNTTCWAIHEHQNCMKYGRPDTEFMKWKWKPDGCELPVFNPAQFLEILRGKSLAFVGDSVGRNQMQSLICLLSRVEYPIDVSYTPDERFKRWKYTSYNSTIAYFWTPHLIKSKERDANGPTQTGLFNLYLDEFDEEWTTQIEGFDYLIISGGHWFYRPAVFYENHQIVGCHFCQLENVTDLTKFYGYRKAFRTAFKAINSLENFKGITILRTFAPSHFENGIWNQGGNCVRTKPFRSNETALDGDNLELYMIQMEEFRAAEREGRKKGKKYRLLDTTQASLLRPDGHPSRYGHWPHENVTLYNDCVHWCLPGPIDTWNDFLLQMLKMEGIRSHQERLHSGDRKMKFQ >OMO98805 pep supercontig:CCACVL1_1.0:contig07021:17120:19315:-1 gene:CCACVL1_04060 transcript:OMO98805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 81 MLKRLSRKVKTIITKPFKKPKKPHRPPKSQPPSPSPSPPPPMSPPKPQKPSRNNPFLFPKAKSSVLPDPARFFSPNLLSSPLPTNSFFQNFTLKNGDQPEYIHPYLLKSADSTLSICYPSQSRNSAFLYQIFVSDLTIFATNNPTSQKNHVISSYSDLSLTLDFPSSNLRFFIVRGSPFLTCSVTGNTPISISTIHAILSFSSNSSQTKYTIKLNNNQTWLIYTSSSISLDHSLSLITSSCGFSGIIRIAVLPDSDPTYESILDQFSSCYPISGDAALTKPYCLEYKWEKKGWGDLLMLAHPLHLNILSSDDSDVKVLENFKYKSIDGELVGIVGDSWVLKPHQVSVTWHSIKGVKEDSYPEIVTALSKDVEALDSSAITTTSSYFYGKLIARAARLALIAEEVCFLDVLPTIRKFLKDTIEPWLDGTFNGNGFLYDSKWGGLVTKQGMTDTGADFGFGIFNDHHYHIGYFLYAIAVLAKIDPAWGRRYKPQAYSLAADFMNLGRRSNSNYPRLRCFDLYKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALMGLAYGDTHLVATGSTLAALEIQAAQTWWQVKEGDDFYGEEFTRENRVVGVLWANKRDSGLWFAPPEWRECRLGIQLLPILPISEVLFSDIGFTRELVNWTLLALGRDGVGEGWKGFVYALEAIYDKESALEKIRNLNGHDDGNSLTNLLWWVHSRGDDYEDGCGPEGKYCWFSHYCH >OMO98810 pep supercontig:CCACVL1_1.0:contig07021:85353:87187:-1 gene:CCACVL1_04065 transcript:OMO98810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHNAVAELPSAGRSINALPKSSKSALLIIFVTLVLFTVTPVLYPSLNYPLYLFQSSFSSNYPKTYEIPQQQEKDHIHASDQSENVCDLFSGEWVRNPDAPYYTNMTCSAIHEHQNCMKYGRPDTDFMKWKWKPYGCELPVFDPSQFLEIVRGKTLAFVGDSVARNHMQSLICLLSRVEYPIDVSYTRDDKFKRWKYTTYNFTIASFWAPYLIKSDESGTSPKFSVATNVYLDEFNEKWTSQINGFDYLIISSGHWFVSRPAVFYENHQIVGCHNCHLQNVTHLKFYGYRKALRTAFKAINSLENFKGITFLRSFAPSYFENGWWNNGGTCNRTKPFRRNETALVGDNLEIYMIQMEEVRAAEKEGRKEGKKYRVLDMTLAMLMRPDGHPDKYWHWARANVTFHDCLHWCLPGPIDTWNDFLLQMLKMETR >OMO98806 pep supercontig:CCACVL1_1.0:contig07021:36597:40013:1 gene:CCACVL1_04061 transcript:OMO98806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLAWAADVVGGHGGNNSQDDDFDNIPLIFSPEQQNYVRELERKASSLSRQIQDLRLRLPPPDISQRLPHLHAHSLASNAALALQLNAHSSTREQAQLREETLQQENAAYEKAISNCENKIQEKVQEADILRAKLKELDDVEKSLKVQLEDTQTDASHTRKSADAEVESTVAAEDLAKSAILDKLEKKKKELSSIEEAVQDLENKWENIQNKALKQPSPAQREKALDKQLHSLIEQLAAKQAQAEGLVSEIHLKEKELEGLNGLWTKLENSNAEANAARNRFGRGASDRGSTSDFSVDSHQKLPYYTGGRIDNQQRLMLLRSGFVLYILALHILVFIKISFF >OMO98809 pep supercontig:CCACVL1_1.0:contig07021:57848:59654:-1 gene:CCACVL1_04064 transcript:OMO98809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHHAVAELPSAGRTINALPKTSKFVLLIIFVTLIFFTVTPVLYPLLNYPIYLLQSSFTSKSSSSTYEIPQQQEKDIHASDQSENVCDIFSGEWVRNTDPPYYTNMTCSALIHDHQFCMKYGRPDTDFMKWKWKPYGCELPVFDPSQFLEIVKGKSLAFVADSLGRNQIQSLICLLSRVEYPIDVSYTPDDYFKRWKYKTYNFTIAYFWAPYLVKYKERDPSAQYTGLINLYLDEFNEKWTSQIDGFDYLIISSGHWFISRPAVFYENHQIVGCHDCQLQNVTDLTKFYAYRKALRTAFKAINNLENFKGITFLRSFSPSHFENGLWSNGGTCNRTKPFRSNETVLGGDNLETYMIQMEELRAAEKEGRKRGKKYRMLDITQAMLLRPDAHPSKYGYWASKKVMFNDCLHWCLPGPIDTWNEILLQVLKIEGTR >OMO98807 pep supercontig:CCACVL1_1.0:contig07021:40960:42318:-1 gene:CCACVL1_04062 transcript:OMO98807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQTMELPNGKNSQQNSSIKVFLLTFSLLLTSSIPLCLLKSSPLLLPSAKINLKSIEFEKKCDVFNGKWVPHPEGPYYTNQTCPLIIDQHNCLKNGRPDTEFMKWRWKPNDCELPLFDATHFLEIVRGKSMAFLGDSVGRNQMQSLLCLLANVAYPEDITDKNSSNPLYFKRLYYADYQFTLAALWSPFLVKSRDADPEGHSFDSLMNIYLDEADEAWANQIENFDYVIMSVGQWFFRPLFFYEKGELVGCHKCHGNNITSLTRYYGYRKAFRTAFTTLLNLKNYKGTTFLRTFSVAHFEGDWDKGGNCPRTRPFASQEMKMDEYNWEFYVTQVEELRKAEEQGKKIGLTFELLNTTEIMWLRPDGHPSRYGHSMNKNSTVNDCVHWCLPGPVDTWNEFLLYFMKREFLIRLKGKLMKNV >OMO56070 pep supercontig:CCACVL1_1.0:contig14556:10915:11553:-1 gene:CCACVL1_26781 transcript:OMO56070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSDKLSSLCCELRILQAKNIEIKAPGNLFVRYYLSAGNNNKRIQLNSKEISSKSTELIWNESFYLECLGAEESVNNLKKQTVVFELRWRNTVPVLGRIIRKSQLLGRAEVPWKAVFDSPNMEIEKYWVTLISMNDHCAFESFKPPSLQISMKVGGVPAMVDMEEEKKKKQRKERLKNNLDGCGCKDKIGGGCNSCSDYEIFALAAALEAL >OMO56071 pep supercontig:CCACVL1_1.0:contig14556:13852:31105:-1 gene:CCACVL1_26782 transcript:OMO56071 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MDKVSPDCPYPGCFFCVMKEGNPSKRRASILKFFRDLPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAVHAGVIAPLVELLRGRLTWVEQRVAVRALGHLATYTSTFPTVASHEEILEVSIQLAMSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLVKLPGMWGGLVNENSPAGIGLLRTICHHKLGRGPVASCPGIIEALCNIARSSDDWQYMAIDCLLWLLQDPSTCHKVIDKAVPTLVDLAEITTLGDHKKLGDSIVNVLQECIQPQGTGRSSLSNRTKELIEELLSSRQRLKWEKSMPKEDLHIKQAAALVVKLEGNSLFSSGNIAGAASKYSEALSLCPMRSKKERVVLYSNRAQCHLLLQQPSAAISDATRALCLHNPLNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAIKLGGVHCEGDAGDMYGQDTDDSEWETASESDIGNDGRDEMGDEEDDDSEWKNEDERKNKYEKPSMKATSRHWKPCCLLSPHPTCRYATLSICTLLPKALLLASGIVVAGGAAAYVQSRFGSKRPDSCGHYNGVQDNRENSDETVKKNNNAKGIRQKKSGLKSLQVLAAIILPEMGQIGARDLLALVGIVVLRTALSNRLAKVQGFLFRAAFLQRVPSFFRLISENILLCFLLSSIHSTSKYITGTLSLRFRKILTKLIHRHYFENMAYYKISHVDGRIRNPEQRIASDVPKFCSELSELVQDDLTAVTDGLLYTWRLCSYASPKYILWILAYVLGAGAAIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGESREESHIQQKFKSLVRHMRVVLHDHWWFGMIQDFLLKYLGATVAVVLIIEPFFAGHLRPDTSTLGRAEMLSNLRYHTSVVISLFQALGTLSISSRRLNRLSGYADRIHELMLISRELSADDKKSSLQRAGSRNYLSEANYVEFSGVKVVTPTGNVLVKDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAFGTLRDQLIYPLTRDQEVEPLTHSEMVELLKNVDLEYLLDRYPPEKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWKVHYKREESSVESEDVNDLTKSSETDRQTDAIAVQRAFTASQKNSALSSPRSQSYVSEVIAASPVVNRDVKLPVVPQLQTVPRVLPLRVAAMFKVLVPTIFDKQGAQLLAVAFLVVSRTWISDRIASLNGTTVKFVLEQDKVAFIRLIGISVLQSAASSFIAPSLRHLQARLALGWRIRLTQHLLKNYLRNNAFYQVFHMSSKNVDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGRRGVAILYAYMLLGLGFLRTVTPDFGDLTSREQQLEGTFRFMHERLRTHAESIAFFGGGAREKAMVESRFRELLNHSLLLLKKKWLYGILDDFVTKQLPHNVTWGLSLLYALEHKGDRALVSTQGELAHALRFLASVVSQSFLAFGDILELHRKFLELSGSINRIFELEELLDAAQSGDLSSDNSSQSQRTGLYAEDVISFAEVDIISPAQKLLARQLTCDVVPGKSLLVTGPNGSGKSSVFRVLRGLWPIVSGKLYKPSYHFDKEAAFGCGIFYIPQRPYTCLGTLRDQIIYPLSREEAELRELKLYGKGKKLAETTKLLDARLKTILENVRLNYLLEREEGGWDANLNWEDILSLGEQQRLGMARLFFHKPKFGILDECTNATSVDVEEQLYRLAKDLGITVITSSQASIPLDTTD >OMO56072 pep supercontig:CCACVL1_1.0:contig14556:44038:45450:-1 gene:CCACVL1_26784 transcript:OMO56072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARREGQDIGSNSTWKDGQIFIKQAIA >OMO56069 pep supercontig:CCACVL1_1.0:contig14556:5814:8544:-1 gene:CCACVL1_26780 transcript:OMO56069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSTPVRKPHTSTADLLTWQETPPPASSNSAASGSTQRSSARSNQPSDKISKVVFGGQVTDDEAQSLSKTKPCSDYKMKEITGNNIFADNGASEPTATKPTNKTGLRIYQQAVNGISQISFSADGRVSPKKPSSIPEVAKQRELSGTLQTDSDAKNKKQISSAKYKEISGQDIFAPPPEIKPRSLAAARSAEARESKDMGEPAPRNVRTSVKVSNPAGGQTSILFSEEPVVKTSKKIPNQKFQELTGNNIFKGDVAPGSAEKPLSQAKLREMSGNDIFSDGKRESRDYLGGVRKPPGGESSIALV >OMO56068 pep supercontig:CCACVL1_1.0:contig14556:1839:4373:1 gene:CCACVL1_26779 transcript:OMO56068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin MATLKVPAHVPAPSEDAEQLHKAFAGWGTNEQLIIDILAHRNAAQRNVIRKVYSEAYGEDLLTSLDKELSSDFERAVMLFTLDPAERDAYLANEATKKFTSSNWVLMEIACTRSSHELFNVRKAYHARYKKSLEEDVAHHTTGDYRKLLVPLVSAFRYEGDDINQTLAKSEAKILRDKISDKCYNDEEVIRILTTRSKAQLNATLNHYNTAFGNAINKDLKADPNDDFLKLLRATIKCLTVPEKYFEKLLRQAINKLGTDEWALTRVVTTRAEVDMERIKEEYHRRNSVTLEKAIAGDTSGDYERMLLALIGAGDC >OMO75835 pep supercontig:CCACVL1_1.0:contig10963:11397:11531:1 gene:CCACVL1_16018 transcript:OMO75835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTPSISLKIKEIWMENGRESYPVKLVDMLFEAELAKEELITLHT >OMO81282 pep supercontig:CCACVL1_1.0:contig10220:82796:84487:1 gene:CCACVL1_12510 transcript:OMO81282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSGQLIKEEKLSRVLLQADA >OMO81269 pep supercontig:CCACVL1_1.0:contig10220:48072:48146:-1 gene:CCACVL1_12497 transcript:OMO81269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKLAAEPSAATGKVLINPVTLP >OMO81280 pep supercontig:CCACVL1_1.0:contig10220:75942:78098:-1 gene:CCACVL1_12508 transcript:OMO81280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFGGVCKEGLKKGGYEEEKEKWGLKLKAMPWKRAFEIPLVGRWCAVPARAD >OMO81277 pep supercontig:CCACVL1_1.0:contig10220:62731:64314:-1 gene:CCACVL1_12505 transcript:OMO81277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MSYSDSFPSFGKPPQPTYPGSDAIGIWPEFGMNDGQFDPHSDFEQPQHQPPFKRPRHSDDSQSNVLQCTPTNPRMPLNPPVNKGTTNIFFKTRMCAKFRMGACRNGEGCNFAHGVEDLRQPPPNWQELVGGREEERPAGNWDDDQRIIQRMKLCKKFYNGEECPYGDRCNFLHEDPSKFRDDSGRFRECSAISIGTTAPPTGPVIPPDQLEVNRPVIVSNTVSDAFRGGNNMKPVYWKTKLCVKWETTGYCPFGDKCHFAHGHTELQGFGGRVDGDLGNSGMPRNGSISTKIYNLPVNDAPPVTATIPSINEETQAKKCLFKWKGARKINRIYGDWLDDMPLVHNLPNQVES >OMO81274 pep supercontig:CCACVL1_1.0:contig10220:56523:56729:-1 gene:CCACVL1_12502 transcript:OMO81274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDNGDETVPNMCWPKLKTLEIIDCKSLKYVFPITLAQGLPHLESVEMIDCPQFGPSVHQIYKSLKN >OMO81283 pep supercontig:CCACVL1_1.0:contig10220:87959:88537:-1 gene:CCACVL1_12511 transcript:OMO81283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIASSRDESDYDSSSSSITVPDSSRSWMSNLSFGSRRSSVSLCSVESSFSSSQKPHKANQAAWEAMKRLRRAQGRVGLDHFRLLRRLGSGDIGNVYLCQIRNPVVGLPQCFYAMKVVDREALAIRKKLQRADMEKEILCMLDHPFLPTLYAEFEASHYSCLVMEYCPGGDLYASRQRQPGKRFSISSAK >OMO81275 pep supercontig:CCACVL1_1.0:contig10220:57063:57746:1 gene:CCACVL1_12503 transcript:OMO81275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSGVLTFGTDIIFEGLKNFTKAARELITPLQKTDGDNYPETLNRMFIINAGSIQDVVEHC >OMO81279 pep supercontig:CCACVL1_1.0:contig10220:72736:73381:-1 gene:CCACVL1_12507 transcript:OMO81279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MSWTGGDWMCAACQHQNFKKREECQRCGYPRYGGPDVSTYLYNRSEVLAGDWYCNCGAHNYASRTSCHRCTAIKSDYAGYGVMGAEGSVPPGWKTGDWICTRYGCGVHNYASRVACFKCKTPRDFGA >OMO81273 pep supercontig:CCACVL1_1.0:contig10220:54871:56146:-1 gene:CCACVL1_12501 transcript:OMO81273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSRLIPLLTTPGVGGDTASNDGVRYESTLKNSATKNPSQTAEPKKENYNEETHQEDSMALLHQPLSISFMMVPEQIKSSYLLHSSLN >OMO81278 pep supercontig:CCACVL1_1.0:contig10220:67810:68193:-1 gene:CCACVL1_12506 transcript:OMO81278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNPDYYFPPETNSNYYPMELPPPPPQYSQLTGDQSGNSFFSLPSDGHSKTALVGVFCVLFVFAIIGCIYCAYRKNKKSESSKAESSTSQPETTSVPVLADFSAKASARAQDERPIPKGADRIEALV >OMO81271 pep supercontig:CCACVL1_1.0:contig10220:49805:51659:-1 gene:CCACVL1_12499 transcript:OMO81271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVEGKDTHNANANANAGPSTRKQNQVRNPKQNEWTRWEYKGRFKPDRRGRGGCYSSKNMLQKPNESRFPTPNPWKSNNVQNIQPEDM >OMO81281 pep supercontig:CCACVL1_1.0:contig10220:80501:81612:-1 gene:CCACVL1_12509 transcript:OMO81281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin 93 ENOD93 protein MARNVAQSSTLASLDQRLAMAKRCSHEGVVAGAKAAVVASIATAIPTLASVRMLPWARANLNHTAQALIISTVAGAAYFIVADKTVLATARKNSFKHAPKMEA >OMO81267 pep supercontig:CCACVL1_1.0:contig10220:20447:22359:1 gene:CCACVL1_12495 transcript:OMO81267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSTQINQGQSVENFFSSEQEHVNLIDEKLEKLIYSGQLDAKQLDRLAVVSMAGLAAEGLKYDKVVGQSADLFSLQRFINRSTPKLSNDQQQNLTRWAVLFAGSLLKNNKAIHEALISAMSNKATVLECIQAIENTA >OMO81268 pep supercontig:CCACVL1_1.0:contig10220:39089:43621:-1 gene:CCACVL1_12496 transcript:OMO81268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase FAD/NAD(P)-binding protein MAATFFRRLAKAAPASFTAAFGAQPKSGFTGYRFSAIAALAGGISYYYYLPESNLAHLDQSNEETGPKVALNPDKWIEFKLQDTARVSHNTELFRFSFDPSAKLGLDVASCILTRAPLGQDDEGKTKYVIRPYTPISDPDAKGYFDLLIKVYPEGKMSQHFASLKPGDVVEVKGPIEKLRYSPNMKKHIGMIAGGTGITPMLQVIEAILKNPDDNTQVSLLYANVSPDDILLKQKLDILATSYPNLKVYYTVDNPSKSWKGGVGYVSKDMVTKGLPAPGEDTLILVCGPPGMMKHISGGKAKDYSQGELSGILKELGYTEQMVYKF >OMO81276 pep supercontig:CCACVL1_1.0:contig10220:59074:61887:-1 gene:CCACVL1_12504 transcript:OMO81276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINLNAHAFAGNPIRPKTPKTQDPLSPSSAFESLKTHLLQSPEIHPPPTSPLFKVLPFRKGRPLASSSDLPGGDVGVPSWHLGWLSLADCKGILGKSGVELSEEALVYLGSKAEDDVVYWAIDVSEENGLVPELSSRQFCFVELRTLMVATDWSDSLAMGELAIAGHARALLEWHKVSRFCGHCGEKTVPKEAGKRKQCSNELCKSRIYPRVDPVVIMLVIDRDNDRALLSRQSRFVPRMWSCLAGFLEPGESLEEAVRRETYEETGIEVGEVVYHSSQPWPVGPSSMPCQLMVGFFAYAKSLEINVDKEELEDAKWHSREDVRKALTIAEYKKAQRTAAAKVDQMCKGVEQKQSLSADFNVESGELATMFIPGPFAIAHHLISTWAYQDPSTGVNGLSKQPSSSISSL >OMO81266 pep supercontig:CCACVL1_1.0:contig10220:6909:8678:1 gene:CCACVL1_12494 transcript:OMO81266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVERNRQREVMEDDLIVDLENECDSVREATQFGLIGKIISNRVLNRRGVMNVLHLIWPSRVLLKIFDLGPNLFGFSFADQKSMEFALNNGPWTVMGHHLCLRKWDIALAVGEVKFKEISFWTQVHNLPLELMSLNNARRIGVSLGNIIEIEDPNWITGYGRSFLRIKIAVNLRKPLIGKFKVPRGDGHSEKNCPSAGDSLLLESRRGKYGAWISTKSHDGGQYEGSNSVIHQFGMNMERGEGFGGIQEDILVEKGVHTVTVSSTSSLLSNTSDSYIVELPPEEDVQSEGLTNPVGARVCQEIVSQQLVPVLSNPSLKRPHKVLGEEESIHYRQKARQVELYEIAQKVFEEGEEEICEVEEVQSSISIGRSSNMHQGSVSAAKVQKQRRVVRRRRKRM >OMO81270 pep supercontig:CCACVL1_1.0:contig10220:49056:49462:1 gene:CCACVL1_12498 transcript:OMO81270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKAFRLSSAVILPWMRALLSLKLHFNHLELKEAKLKPLDAGSSVRIGVLAACETIASGFYQEKLQNQVI >OMO81272 pep supercontig:CCACVL1_1.0:contig10220:52672:52737:-1 gene:CCACVL1_12500 transcript:OMO81272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNLGQKFYGNDEKREENNG >OMP11069 pep supercontig:CCACVL1_1.0:contig01596:1735:1815:-1 gene:CCACVL1_00687 transcript:OMP11069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GQLGHFLQINGDISGNEGDGKGNLGH >OMO89227 pep supercontig:CCACVL1_1.0:contig08818:22524:24679:1 gene:CCACVL1_07976 transcript:OMO89227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIENTKETGEIEASTQGPIDEPKSDPNPRTVKTKVPEVEIRLYRRGKGPIDVFQSRLGGWEQDQLEVREILEKYGFKSIYAFNTQSGRGVPIRFHPRNGRSILGYKDGSVIHVDGEPKDSLIKPITKILVGIALITLLIALVMKDTPAWIKKLNISVGDFPPWVLACVVIVFTRMRKRTKDLLKKVGW >OMO89228 pep supercontig:CCACVL1_1.0:contig08818:31195:31721:-1 gene:CCACVL1_07977 transcript:OMO89228 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDLHQQEFDDDFLLNLTVFAAADHQNQNQNLPLLESLMTASTSSVVSDSCFSLEGPSNNSCLDDLLPIDDDRDGESGVGFKVVFRTKSDIDVMDDGFKWRKYGKKKVKNSPNPRLKYLY >OMO89226 pep supercontig:CCACVL1_1.0:contig08818:2883:5492:1 gene:CCACVL1_07975 transcript:OMO89226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor eIF-4 gamma, MA3 MDFTNGFVSNDHRELAQSASESADPLSASPMQIPNSSNSARTLKSPKVHGKGSPINYDRHSHSPRDGRPKKGGCGGKGTWGGLLDTDDSYCLDPNDPNYDSSEEYGPSSRKSACDFDAYKKKATIIVEEYFATDDVVSVTNELRELATPNYNFYFVKKLVSMAMDRHDQEKEMAAVLLSELYADVIDAPQVYRGFSKLVESADDLIVDIPDAVDVLAVFIARAVVDDILPPAFLKKQMALLPSDSKGVEVLIRAEKGYLAAPLHAETIERRWGGSKTKTVEDMKARINNLLIEYVVSGDTKEAFRCIKDLKVSFFHHEIVKRALIMAMEKRQAEDRLLDLLKEAAEQGLINSSQITKGFDRMIDTVEDLSLDIPNAQRILKSLISKAASEADDVVNGFVMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPQQLEEIGSQFSGTDSIGSRVLQMAKSLLKARLSGERILRCWGGGGGSRPGWAVEDVKDKIGKLLEEYESGGDVREACRCIKELGMPFFHHEVVKKALVTVMEKKNERLWGLLRHCFGSGLITMNQMTKGFTRVAESLDDLALDVPDASNQFAKYVERAKTKGWLDSSFSCTNSIHAKENGNCL >OMO64606 pep supercontig:CCACVL1_1.0:contig12776:116:8439:1 gene:CCACVL1_21643 transcript:OMO64606 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MERKPTESYTEFAQRWRDMAAQVRPTIGESEISGLFIDTQKSIFYDKLFNMLGEPFAKIVVIGQKLDNSIKSRKIVVPDEAKKGSTGKKKEEVSSVNQVGYQPQGSYTPYYTPPAYNYQQPTQSPMNYYRPPTPAPYSYQPVNNVGGTSYRAPAPNQQSSVLTPQPVGQAFPPRATFRQAREQVDHIPYTYSELLPQLVQKNLVRRVQYQRPLQSQFPTWYDVNAYCDFHCGAQGHSTENCLRLKQEVQALAKSGKLSFPKVEQPNTTGNPLPNHNGGQVNAICGREIVIRDVHKVVTPMETVYKALVKADMMEPGLYGDQFYIEVPMEDVNVVIEKEKPKPFIPTLPTRLPPSPFVPTIPMEPIRRDEEFGKGCPVDKPPVMEQGQSSAQGEIGKPCFTEKEACEFLKIIRHSEYSVVDQLNKMPARISILSLLLNLEAHWKALLRVLSQAYVEQGITVENLDHIVGSITAGHITFTDEDIPEGGRNSLKALYISVGCNGFHVGRILIDNGSALNIMPYHSLEKLSVDLSYVKPNNMMLVGKGWKVGCGLGKRLQGIGEAISTPKSAGWENSLEKIDALHLTTEVSAVRGKFIELSVSVVEDGSEDRCPWIYPAESGSEEVNWTEFEFPVVTNNEMPTGNECKDEPDSPANIFERPMCNNDMAEEYAEDSVLPSDLMRLIEQEDRQIQPHKESTELINLGTEENRREVKIGTTLMPKDRQQLVDLLKEYADVFAWSYQDMPGLNPEANIVPVPKKDGKVWMCVDYRDLNRASLKDNFPLPHIDILVDNTARHSLFSFMDGFSGYNKIKMAPEDKEKTAFVTAWGTFCYKVMPFGLKNARATYQRVMVTLFHDMMHKEIEVYVDDMIAKAHSPEEHLVNLRKLFQRLREYQLKLNPSKCTFGATSGKLLGFVVSQNGIEVDPDKVKTIQNLPPPRTQKEVRGFLGRLNYIARFISQLTAKCDPIFKLLRKNNPGEWDDECQVAFEKVKEYLLNPPSDAPRTRKTFDLVKGVAPLSLHREGQQPRRFQEEDFAFKFSQRLRQYMLYHTTMLVAKLDPLRYIFEKRGLSGRIARWQVLLSEYDIAYVSQKAIKGSVVADFLADRASNDYEPVKFEFPDEDLMAVMNVEKEVSEEPTKWKVYFDGASNMLGMELVLCWFHQMGTIFQMAIEKKVRVLEVYGDSALVVYQMKGEWETRDSKLIPYREYLLELMEQFEDISFHYVPREGNQLADALASLAAMFKFGGGVNIPPIKLRLKEALAHVMSVEEEPDGNPWYYDIVQNLKHQKYLEHATDNDKRVIRRMSLGYFLDGEVLYKNSQGQVLLRCANSAEAKRILEEVHEGICGSHASGHRLARQVMRAGYYWLTLETDCINYARKCRKCQEYGDLIHTPPPPLHVLVSPWPFSIGGIDVIGAINPKASNGHQYILVAIDYFTKWVEAASYSSITQSVALRFIKKEIICRYGLPERIITVNAMNLNGKLIAAACAQFKITHSNSAPRRPKMNGAVETANKNIKNIIRKMTETYRDWHEKLPFALYAYRTCARTSTGATPYSSVYGMEAVVPVEVEIPPLRVYREVRLEEAEWEQERYDQLNLLGEKRMIDLCHGQAYQKRMIRAFNKKVRPRQFKEGELVLKKILPEQHDPRGKWASNWEGPYVVKKAFSGGALILQEMDGDELPSPINSDAVKKYFA >OMO69832 pep supercontig:CCACVL1_1.0:contig11969:92018:94172:1 gene:CCACVL1_19242 transcript:OMO69832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTKYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDNYGEDALKEGMGGGGGAHDPFDIFSSFFGGGSPFGGGGSSRGRRQRRGEDVIHPLKVSLEDLYNGTSKKLSLSRNVICSKCKGKGSKSGASMKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVIVEKGMQNGQRITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKGVDDLVVEHTLTLTEALCSFQFILTHLDGRQLLIKTQPGEVIKPDQYKAINDEGMPMYQRPFMKGKLYIHFTVDFPESLTPEQCKALESVLPPRTSVQLTDMELDECEETTLHDVNIEEEMRRKQAQAQEAYEEDDDMHGGAQRVQCAQQ >OMO69833 pep supercontig:CCACVL1_1.0:contig11969:124849:125349:-1 gene:CCACVL1_19243 transcript:OMO69833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MNNTLQESNNDSPQVGGIAYAIGFSIGIIALLFMITLTAYFCTNPRPGTTASTDHQDSTGGGGSAMERGLDEETLSSYPKLLYSQAKSPNKDSVTSSASSCSICLGDYIDTDMLRLLPDCSHVFHLRCVDSWLRQHPTCPICRNSPLPTPVATPLAEVTTLAMSRV >OMO69828 pep supercontig:CCACVL1_1.0:contig11969:16217:16839:1 gene:CCACVL1_19238 transcript:OMO69828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHEEEKDKVIIANEGIDNPNGVEANCYLIGKEYDGEQQLETMVFDSCPFWVNVHGVPFKMLNEKVRVAIGETMGNVLDIKPITGRSLRIRIDMDLRLPFKNGTTLSASTWDVDISFVYDKQPDHCWVCGRVDHQESDCAY >OMO69829 pep supercontig:CCACVL1_1.0:contig11969:72538:76249:1 gene:CCACVL1_19239 transcript:OMO69829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECRTGVGVKSDKVSEADGRQH >OMO69830 pep supercontig:CCACVL1_1.0:contig11969:77923:78120:1 gene:CCACVL1_19240 transcript:OMO69830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDKCDEGGNGSIAKVRGGEKQKQCFIEKSIDLNAVPKSNDNGLGADEIGDPDFGVKSFREFKSA >OMO69831 pep supercontig:CCACVL1_1.0:contig11969:80967:82228:1 gene:CCACVL1_19241 transcript:OMO69831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALTWSDGVTSNFEVNPEMEVKVEEFQWQA >OMO82591 pep supercontig:CCACVL1_1.0:contig10011:8117:17149:1 gene:CCACVL1_11874 transcript:OMO82591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFQASQGKPPSVLGQTFKASQGIVSSVSRQTFRRLKVGFQTSRQVLYNSTQGRSSLWFINKI >OMP04844 pep supercontig:CCACVL1_1.0:contig05611:1602:3129:-1 gene:CCACVL1_02124 transcript:OMP04844 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TRANSPARENT TESTA 1 protein MYGIERKCDKAFVVKGDWRTHEKNCGKIWYCICGSDFKHKRSLKDHIKAFGSGHATFANDSHSLEEDDDPTSEFDLD >OMO97013 pep supercontig:CCACVL1_1.0:contig07328:18304:19206:-1 gene:CCACVL1_04712 transcript:OMO97013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MRNHMLALDLWDYVVADKGKVKEQEEPSKEVGGIKIEAEATPAKSRYRQDKYKALFFLLKQKSEVAEVFWKFKAIVEKQNGSKIKVIRTDNGSEYTTEKFDKYCSTKGIEHQLTVTYSPQQNGVSERKNRTVMDMASLVPSAKREKLDKRSKAWIFVGYSQFAKGYRVYIPATKKVIVSRDVKFDEEAVWRWSD >OMO97012 pep supercontig:CCACVL1_1.0:contig07328:15397:17748:1 gene:CCACVL1_04711 transcript:OMO97012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stem cell self-renewal protein Piwi MENRVTNSQMGGRNIVLLDALSWRIPLVSDIPTIIFGADDTHPESGEDSSPSIAAVVASQDWPEVTKYAGLVCAQPHRQELVQDVYKTWQDPKRGTVTGGMISKFCTKIRRILWLVASLRLQEPVCLVRWDQRDQPCIIITDQVYNFSMLGKMRSQVATMYYI >OMO70949 pep supercontig:CCACVL1_1.0:contig11768:11449:13336:1 gene:CCACVL1_18553 transcript:OMO70949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKATRWFKGLLGMKKDKNSSSVDQHSNSSSAVFDDKKEKKRWSFAKSGKDVNAINNNNPPLSFARNIPATDAAWLRSYISESEKEQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSNGRANETARKAHRALKGLVRLQALVRGYLVRKRAAATLHSMQALIRAQTAVRSQRIRRSFNKENRFYPENRPRKSIERFDEPRSEIHSKRLSASIEMNAYDHDSPKIVEIDTFKTRSRSRRFNNVGLSEYEDDFPYMISSPLPCPIPARASIPNCQNLNDYDWCFTGDECRFSTAHSTPRFANISRSNAPTTPAKSVCGDGYFRPYSNFPNYMANTQSFNAKLRSHSAPKQRPEPGAKKRLSLNEIMAARNSISGVRMNKSCYQVEENLEY >OMO70953 pep supercontig:CCACVL1_1.0:contig11768:39387:40530:-1 gene:CCACVL1_18557 transcript:OMO70953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MAAESTMNLPVIDLFGGNVKPGTAAWVSKCGEVRRALEEFGCFLATYDGVSSQIQDRVFNSVQELFHLPTEQKIRNTSQKPYFGYFKHPSIPLTESMGIDNPTILEETQSFTDLMWPNGNQNFCQSIYAYSNLVSELDRRVKRMVFESYGVKNRYDSHIKSTDYLLRLIKYRVPNEDEIENFDDGCPHTDKSFMTILHDNQVSGLQIKTKSGNWVDVQPSGSMFIVMAGDAFLAWSNGRIQSPIHRVIMKAKRERYSLAFFSFSGETVQTPAELVDESHPLMFKPFDNMDLLRLFSLDDVQKYAKSFPHAKCGA >OMO70951 pep supercontig:CCACVL1_1.0:contig11768:22299:23205:-1 gene:CCACVL1_18555 transcript:OMO70951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDIQGDDLKGMMKKSDNDHHHHCWMNMEGINHHHNINDHDDDDDDDVITSSSSESSMGEFSRTTTSQGSTSSSSDLVDDASSSSNSSINSNNNNGPLFQLSELMAQLPIKRGLSKYFEGKSQSFTTLSSVKSLEDLVKKETPYNNYSSRKRMKSCKSYGGGLDGHRLYTLPRATISKKVTRNSIPSLSFPARRGSFLSGGAGRPPLIPVQKNFSPVS >OMO70952 pep supercontig:CCACVL1_1.0:contig11768:36491:37942:-1 gene:CCACVL1_18556 transcript:OMO70952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin MSWQSYVDDHLMCEIEGGGGNHLSSAAIIGHDGSVWAQSANFPKFKPEEVIAIMKDFDEPGSLAPTGLHLAGAKYMVIQGEPGAVVRGKKGAGGVTVKKTGQALVFGIYEEPVTPGQCNMVVERLGDYLVDQGL >OMO70950 pep supercontig:CCACVL1_1.0:contig11768:18116:21035:1 gene:CCACVL1_18554 transcript:OMO70950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSKNKVSFATRPFKGSDENDRIDQEMGLNLGNPNKETGKNKNFMWPTVSADSKASFQRKKILAERNESSGSNFSDTHSSKSLNFDSKPSPKTTPKPSHKNISPNLDAKVSPKKTPKSYNSRDETPSPGPYDPLINYLSPRPQFLRYDPGRRKQIFMRLQMNAKDADDDTDSCCGEDGSSSCECGEISDESGSVLEQEDDEIDIESDEESEEEVGWNIIRKGFKFLLWSIILLLGTAYICSMNSPTHPQDFGLIQNSSNGTAESVVEGLLVLNSAVLDKSIEESITKRETLTLEIEDRHHVESPEMVEQVKTDGIIEDLSEEESGKIAYASDQSGNSAVLDKSIEESITKRETLTLEIEDRHHVESPEMVEQVKTDGIIEDLSEEESGKIAYASDHFSEEKATVKETEDVEENGESGDIIEDELVETKEVSGQHEFLDTVETGEHIEDVETEDVEENGESGDMIEDELVETKEVSGQHEFLDTVETGEHIEDVEKVKGVNEGNESEDVIEEKLVKAREVYDQMVIVSEEDVQACILCDGSDHRETSIDATYPLEKEMMDENEAWIEIQNEAIHEDMVQATILQLLFGALTCVTIVASLVLGWWRKAIASKHSSRVDKHSSEPVLNEKLSLVLPAEREDHHALMNTLPLDNSADKDIEESYQRRAPSVELLSELEVGAISSARKSCGITTTNNEVNSHSDFLEKDLGSKAYAVTVQDKKSFSEFTPVNSDSSKKLTAKKKLFKKELVNNSTAGLDGEGRNEVQTPSTLRRSDRLRNRSVTSPPNR >OMO70948 pep supercontig:CCACVL1_1.0:contig11768:832:4967:-1 gene:CCACVL1_18551 transcript:OMO70948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEGLSGLPPPVAVPVKQYGVTKPISMAGPTEVDIQRSRELEKFLVEAGLYESKEEAAKREEVLGLLQEIVTDWVKQLTRLRGYTDQMVEDANAVIFTFGSYRLGVNGPGSDVDTLCVGPSYVNREEDFFFVLHDILAEREEVTELQPVPDAHVPVMKFKFDGISIDLLYASISLLVVPQDIDISDMSVLYNVDEPTVRSLNGCRVADQILKLVPNVEVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVYTQWRWPNPVMLCEIEEHELGFSVWDPRKNPRDRTHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQYGNRICEEIELNRVKWSALFEPYLFFESYKNYLQVDIVAADADDLRSWKGWVESRLRQLTLMIDRDTYGKLQCHPYPHDYVDPSKPCAHCAFFMGLQRKQGELVQEGQQFDIRGSVDEFRHSVSMYMFWKPGMEMHVSHVRRKQLPGYVFPDGYKRPRIPRVTPHHQPDKLSHDNGTACQTESDGKFLKRKKDPDGEYIEHSRPEKRQSPDKSESVSPEIITCKLSRSSPGCSTSDLDKMNAVAEGNSSSSSSVISSCSHEDIGNESTAGSSEGNNGGDDGSIEGSTNPVSSPSDSCDADSKSSLEDERADCNRVFQDGLLELEPSAAVAAVLKSINGVDSENVQNSVLRHVGFDVNSMMDKNLD >OMO99606 pep supercontig:CCACVL1_1.0:contig06850:1967:8242:-1 gene:CCACVL1_03709 transcript:OMO99606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor class I/class II MEAIFKPLLSSPTLLKLPKPVYLSSYPNINKAALVSTASFSFSTIPSAPSSRFASSVIYATPESQLTQGVEANTREWVMQDFYALRKDVETASERVEEIRASAGLHLLEKDLAELESKAADSSFWDDRSRAQETLLALTDVKDKIKLLNEFKTKVEDAETIVKLTEEMDSIDAALLEEAVSVIKELNKALDRFELTQLLSGPYDKEGAVISISAGAGGTDAQDWADMLLRMYVRWGEKQKYKTRVVEKSLGEEAGIKSATIEVEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFSGVEVMPLLPEESVDVELPEEDLEITFSRAGGKGGQNVNKVETAVRITHIPTGVTVRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTGFETSDITSVMDGELDAFIKAYLKYKYSESLSATATGAN >OMO99608 pep supercontig:CCACVL1_1.0:contig06850:25284:27286:1 gene:CCACVL1_03711 transcript:OMO99608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHLEDGDENSVALTRFMVVGKLLANRILNRRGVISVLRGIWPMDVAPCIREISDNMYGISFRSERERTRALEEGPWFVNGSCMLMRKWQQGLNLLKWTSELSLFGFRRNMDKIWADVKYERERVYKFGPHMRATPARSVNWMSALGKENRRMEHNYPVTKQNTLTGENSWVKEDFGVINNRKDVGGSGRPLISDKGKQVVRSDNLLSLKEDDIRSSGVRVLDCGWRNFNRSQEKLVGDHNVTEQIPFSHDLPITVENMIEPIDHDPLPTPTQLSLPMLSDASSPDYDDGDPVPKLPYPDGVIPNDEDDGLSPGEFVPNTPSNTFAPNTFLNLDEIGTSWDTLQTTPIENSSFIPLGSTDNSLSETIQTIVHKTNSDSLFSEPTQNNIPTMPAFLPSRIFIYDKNLKTYVPANNSVLESGGGLPDEEEGIMDLGLRRREFSQHDRITEEVLTSSIRDLKLKRGQEDIEEGGGMVKRRRVEKGIIIRELPLENENNGGVSILNNVAGQKGKRKVVQRKSRRKGIAVWSRKQIDELCLFDVPVSFVSSSGRVAEDISFSQSGNLAEGSGDWPLSVTQQL >OMO99607 pep supercontig:CCACVL1_1.0:contig06850:10576:23357:-1 gene:CCACVL1_03710 transcript:OMO99607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVDMEVEIDQQVSADSLPFARSYQLEALEKAIKQNTITYLETGSGKTLIAVMLLRSSAYLLRKPSPFYAVFLVPQVVLVKQQADVLEMHTDLKVGKYWGDMDVDFWDSAKWKQEIEKYEVLVMTPQILLNCLRHSFFKINLIQVLIFDECHHARGKHPYASIMTEFYHQQLKAGESNLPRILGMTASPIKSKGANSADNYWQKIHELETIMNSKVYTCDSESVIAQYVPFSTPKFKFYQHKEIPYPLYARLVEELNTLKVKHEWSLNISDPDAAESARKKISKIQSTLVYCLDELGVWLALKAAKYLSCYESDFLMWGKLDLIGEKIVKSYSLDAFHAFEACIPSVSDWTSTHNIKASVDAGLITTKAFCLIESLCEYRGLKEMRCIIFVERVITAIVLQSLLCELLPKHNSWKTEYIAGSNSGLQSQTRKKQNEIVEEFRKGVVNVIVATSILEEGLDVQSCNLVIRFDPSATVCSFIQSRGRARMQNSDYLLMLKTEDISTHTRLKNYLASGDIMRKESLCHASQPCSPLRNDLSDEECYRVASTGAYMNLSSSVGLLYFYCSRLPADGYFKPTPRCVIDKDRGICTLHLPKSCPIQTVSVQGDVKTLKQKACFEACKQLHKIGALKDNLVPDIVVEEAEAEAEAEETGREPYSDDQPIFFPPELVNKCSHESMTRYYCYLIELNQNFDSEFPVHNVMLLLRSNLEMDGNSLGFELEVDKGILMVTMKYVGLIRLNPDQVILCRRFQIGVFRVLMDRKAEKLTEVLGDLRSGNNSDLDYLLLPSTYRGQNPVIDWQSVSSVSFTYKNGWKDHVNCNAGMIQTKNGLLCTCMIKNSLVCTPHNGNAYIINGFLNNLNINSLLRLSDGTTMTYTEYYELRHGIQLCFNQVSLLAGRHVFTVHNYLQRCRREKGKESSKASVELPPELCNVLMSPISVSTFYSFTFVPSIMHRIESMLLATNLRKIQLDHCVQNVAIPTMKVLEAITTRKCQENFHLESLETLGDSFLKYAVCQQLFKKNQSYHEGLLSIRKDKIISNKALCMLGCDKKLPGFIRDEPFDPKRWMIPGFNSGSYALSEDTLCNSKKIYICGRRKVKSKKIADVIEALIGAYLSTGGEAAGVLFMNWIGIDVDFIKIPYERHFKVHAERLVNVRHLESLLGYSFQDPSLLVEALTHGSYMLAEIPGCYQRLEFLGDSVLDYLITLHLYNEFPGMSPGLLTDMRSASVNNDCYALSAVKFGLNKHILHTSRKLHKDIAETVESFMELSLESTFGWESDSSFPKVLGDVIESLAGAIFVDSGYNKDAVFRSIRPLLEPMITPETMRVNPVKELNELCQKEHFKRREPIVSRNNGVASITIEVEANGVVFGHTSTASNKKMAKKLASKAVLKSLKESLSELEQLSYGAIFV >OMO81762 pep supercontig:CCACVL1_1.0:contig10111:27397:31746:1 gene:CCACVL1_12238 transcript:OMO81762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGSNDSSADEESFSYAMQIAQSLVLPMSMHAAIQLQVFDIIAQAGPDAKLSAKEIAAKLPTKNPEAPSMLDRLLRLLATHCIVGCSLANDEEGGHPRRLYSLTPVSKYFVPNEDGVSLGPFMNLAQDNVFLASCSPIRRQSHHRNPSHQTNQRIAGEFESSTFSVYFTNFPEIWIGTYKLRFYKARCQEKITAHPPSNDFNPKPTVCNEDSPTKVEANRDVFKESIPSNHLQQPEKTFDENNDSNESPNHSSNSITINLENGFLVIKINVEDWLRSKKSESKIDHVSVVNSANTEAVEPSKALARLPNPIIPPSSDIVTCHEPMRFQLPFCLRMAPIYNWTLVNFLSSCGKPFESHKLNSNHLTVENIGCSPCSDDELSNDPNVVLDQDQNYYLVDSDGYGTPGSPCF >OMO81759 pep supercontig:CCACVL1_1.0:contig10111:5627:13014:1 gene:CCACVL1_12235 transcript:OMO81759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFFIQQSHAKSERKRFSCIAVKSFFKLSQRKNQKGFLQRQKLLFHFHFQLKAQRSA >OMO81760 pep supercontig:CCACVL1_1.0:contig10111:14934:15923:1 gene:CCACVL1_12236 transcript:OMO81760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKSRRWLLDEDAALIAAMVDMRNVGRFNADTGFKGGYLLELERMLHESLPQANIKAKPHIESRIRTLKKEWGIIYDMINGTNTSGFGWDDHRKMLTAEDKVWDDFMAAHPRAAQFRVRTFPYFSELTAIYAKDHATGKDAQTAADILEEMAQETEGEAADQDAEMESNNVVQDDTGLDEMDVSTTPSMPSKRRREYGEFEPISADCIISAAKLIGDGIREAGKDLSKSIGSEMVIQEKVQELNIILGEIDGLTEEERDIALNKIPDHPAQMLVFGSLPPHRKLAWVKRFLSSH >OMO81761 pep supercontig:CCACVL1_1.0:contig10111:17498:18066:-1 gene:CCACVL1_12237 transcript:OMO81761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFGRNGLGNVAVTRPVRWSRDEERALIECFKTSMHRNVCRVFRDVELIERLFNVKCPTKNPRIAAIKNHLCSLMRTYKKVHYFLEQGFTFDVETNKVVGTDEQWIAVQVRNQEFPHFLKLDKIMIYKREQEAARNDREQEAAAMEGEIFIEFG >OMO59430 pep supercontig:CCACVL1_1.0:contig13969:22:111:-1 gene:CCACVL1_24832 transcript:OMO59430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLQSRKAFDEKGERVRFDGKGKVSESS >OMP03987 pep supercontig:CCACVL1_1.0:contig05877:10343:13392:-1 gene:CCACVL1_02219 transcript:OMP03987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MWQDAESRVYLIQELDKRTGQGHSAISVDDTLKIIACREQQKKLSALEMDLAAARQEGFVSKQRSDNDGTRPKKKPLAVIGIITTFGRKKNRDAIRKAWMHSGAALKKLEEEKGIVVRFVIGRSSNRGDSLDREIDNENSETNDFIILNLVEAPEERSKKIKSFFVHAVESWDAEFYVKVNDDVYVNIDSLGATLSANLDKPRVYMGCMKSGEVFAEPSHKWYEPDWWKFGDAKSYFRHASGEIYAISRALAQFISINRSILRTYAHDDISAGSWFIGIDVKHVNEAKFCCSPWSTASDSIGIWIVLFYSST >OMO63209 pep supercontig:CCACVL1_1.0:contig13010:46282:46368:-1 gene:CCACVL1_22426 transcript:OMO63209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKWTLMEEAKVIGLIREDKSKGRGPK >OMO55698 pep supercontig:CCACVL1_1.0:contig14603:1926:2021:-1 gene:CCACVL1_27076 transcript:OMO55698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RIETKLASGKALGHRNSRLKKIVPVCINKTS >OMO98663 pep supercontig:CCACVL1_1.0:contig07060:7309:7428:1 gene:CCACVL1_04116 transcript:OMO98663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSFSLYPFPQEFGVLLHSHRLSSLQLSLIFSQKTLFFSL >OMO86233 pep supercontig:CCACVL1_1.0:contig09514:16232:16753:1 gene:CCACVL1_09700 transcript:OMO86233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MGLEGIALVSLGVLVLMISCSSGVKQETLTSICFPTQNQEFCENLLMSDKRTSSADLPLLSIISIQLTEKQAKKNHQTFQQLHDNATQVSIKGPLNKCVAIYKEMIGKIREAYQLSMSKRYKDIRQLAQATKLGYKCENGIPLSYNLSMASSETMILTCQTSDYVNLYIARQL >OMO86230 pep supercontig:CCACVL1_1.0:contig09514:2230:4891:1 gene:CCACVL1_09697 transcript:OMO86230 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MAKMVVLQQPTKQPSISLLPSSFSDFNGTKLCQIQYKRKVGKPKGALHVTASSTKKILIMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQQLPDESDSDYSDFKSQILHLKGDRKDFDFVKSSLSAEGYDVVYDINGREADEVEPILDALPNLEQFIYCSSAGVYLKSDLLPHCETDAVDPKSRHKGKLNTESLLESRGVNYTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPNSGNQITQLGHVKDLATAFIKVLGNEKASKQVFNISGEKYVTFDGLAKACAKAGGFPEPEIVHYNPKDFDFGKKKAFPFRDQHFFASIEKAKHVLGWKPEFDLVEGLTDSYNLDFGRGTFRKEADFSTDDLILGKSLVLQA >OMO86242 pep supercontig:CCACVL1_1.0:contig09514:58050:61290:1 gene:CCACVL1_09709 transcript:OMO86242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDLNAELSKQTAIFGLKVWEVIGIVVALFIIVILSLLSFCLTSRKKSRARNKIPLTQIPSVSKEIKEVRVEQVSTNDFVPGDGILLTIHDKTSDKESDKVLVHLGMGKSKNGDNSSQSGSFHHLDKDGAGSQSGEEGSSGTVTIYKPSSSYPITAPSPLVGLPEFSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGVVYQGHLINGTPVAVKKILNNLRSEEVVDPNIEVRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESEEYPIPREDRRHRRTHGSSVEIDSQRDNSDTDRSDYPASRSESKRAQS >OMO86231 pep supercontig:CCACVL1_1.0:contig09514:6270:6431:1 gene:CCACVL1_09698 transcript:OMO86231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDYPANATRNRYSGPHLTSPVKIRKSTTDASKGATWSGWITWRYQSGTHTT >OMO86232 pep supercontig:CCACVL1_1.0:contig09514:8236:9777:1 gene:CCACVL1_09699 transcript:OMO86232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNITGTKAAAVPTKATVLAKAATLPKRAYVTFLAGNGDYVKGVVGLAKGLRKVKSKYPLVVAILPDVPEEHRKILVDQGCIVKEIVPVHPPDNQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFENIDHLFDMEDGYFYAVMDCFCEKTWSHTPQYRIGYCQQCPDKVQWPAQLGPKPPLYFNAGMFVYEPNLAVYDRLLSTLKITPPTPFAEQDYLNMFFRDIYRPIPPVYNLVLAMLWRHPENIELEKVKVVHYCAAGSKPWRYTGQEPNMEREDIKMLVNKWWDVYNDESLDYRNYVTSGEAEAEEEEQAGLQPFLAALSSEAGVVTYRSAPSAA >OMO86240 pep supercontig:CCACVL1_1.0:contig09514:43671:52669:-1 gene:CCACVL1_09707 transcript:OMO86240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MDNRKAKSGLWSEIIASDSELQAIAKEEEKRRDRIQNQVQPIYHRRRQTPTHSSGSTAEAVQPPPIPRNRLTLAAALKRDSWNRSLSTRGRTSIAVGPCVKNQPQEKQAKRRGKPPLPKGHVAEPPSFDKEREYFQEVDAFELLEESPSPKNSWVVGNRSITEPIPHVSSRLEKWLSKKLNLCCEPSSTLSKILETPSTLMDPTYISDWDSTPATSKDPTYISDQDSTSATLKDPIYISDDQDSGLTTPEKCNKISTSSADEGFKDVKDIDAAIRKLSLASTATSVDIDCVDSFASLLEICKQSTPLKLLDLFSKYCDPENIVKIGEGTYGEAFKAGKTVCKIVPFDGDFPVNGEVQKKSEELLEEAVLSQTLNTLRKFENDVSNACMTFIETIDLKVCQGPYDAALIRAWEKWDEKNSSENDHPKEFPEKQRYVVFVLQHGGKDLESFVLENFDEARSLLVQVTAALAVAEAAYEFEHRALVGFSYDARGESAASSISRAISFLQLNKVRVFTADDRVLTSLSNSGVSVDLLLNESFVENLTSSSSNSSAISWLKTHLLAHLNIKTIVVSSSDTDTDNNTHLAKLLSTLKSIDSLLTSFKLHRQVKVSVAFSSSFLPKLNSPTYQSDLLRIFGFINKIGSSVIVDAEPSMGDQFLHSAIEAPTVPILMTIKSPAFPRATEFIAKVGKSLETNNEVSAMEDFVEEKEEQSTGGRQLLSTSVKLKTTEHDIIFPPTTTIPNNPNPTPTIVTVPSTNPVTITPANPADTPAAIPTTTPVTVPSTNPNNPTVPITNPVTTPAPVAVPGAAQPVTNPVTTYPAPTGGVPVSTPVTNPVTVPPPVTTNAPAIPGQSWCVAKSGAPESSLQAALDYACGIGGADCSQIQQGASCYNPNSLQNHASFAFNSYYQKNPAPTSCDFGGTATIVNTNPSSGSCIYPNSASQSTPTATPTAIPTVTPPTTSSTSGAGVPGSVTPPSVLNSSTPGSGSGATTVFGSDAPPSSNTSTSMSGSAAMKPLISSFIAMISFVAAVIFLGV >OMO86235 pep supercontig:CCACVL1_1.0:contig09514:24822:27306:-1 gene:CCACVL1_09702 transcript:OMO86235 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MLSEFMPFLANVLVQLGYAIMNITSKLAMESGMKPLVIVAYRQIFATLALAPFAFFLERATANQVFYFVGLENSTATIACALNNVLPAATFVLAAICRQEAVGIKKSSGQAKVMGTLVCVGGAMLLSFYHGHIIGIGDSKIHWKYADEMTSKSSSNGSNNFFLGPFLVMASAVAWAVWLIIQGQTSKNFPAPYTLTTLMCFMSSIECTIIAVFTDHKVSAWSLSSSIRLVAALYAGIVCNAIAFCVLSWSIQKKGPLYVSVFSPLLLVIVAVISWALLREKLYVGTVVGSVLIVGGLYAVLWGKDREIKETKSSEEEKGEAAKPSDQNDDLELQLPQAKGSHEPKP >OMO86239 pep supercontig:CCACVL1_1.0:contig09514:39184:42240:1 gene:CCACVL1_09706 transcript:OMO86239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAEPSTVSPSSQSLLPRDFDPLLKDLNEKKQSFRRNVVSLAAELKEVRSRLASQEQLFTKETLTRQEAESKAKNMEDEIFRLQTRLEEKSGQLQASASAAEKYLRELDDVRSQLSVTQATADASAASAQSAQLQCLALLKELDAKNSTLKEHEDQVTRLGEQLDCLQKDLQARESSQKQLKDEVLRIEQDIMQAVAKAGASKDCELRKLLDEVSPKNFEKINRLLVVKDEEIAKLKDEIRIMSAHWKLKTKEMESQLDKQKRADQELKKRVLKLEFCLQEARAQTRRLQRMGERRDKALKELRDQLAAKQQCGAVGAEKQNFWETSGFKIVVSMSMLVLVVFSKR >OMO86229 pep supercontig:CCACVL1_1.0:contig09514:101:1598:-1 gene:CCACVL1_09696 transcript:OMO86229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSQPPVENYANPKTCFFHVLFKAAALAFYILSALFFDSFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEINDLGESVWKFECLDQDSLARMNKKDSWLFWWTLYLSAVAWIVLGIFSLIRFQADYLLVVGVCLTLNIANIIGFTKCRKGYNLWFCFNSAL >OMO86236 pep supercontig:CCACVL1_1.0:contig09514:27823:29687:-1 gene:CCACVL1_09703 transcript:OMO86236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGIVNNGHGWKACRLMSCNPRAGAEG >OMO86243 pep supercontig:CCACVL1_1.0:contig09514:66755:66829:-1 gene:CCACVL1_09710 transcript:OMO86243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPEDAAIFKCQFRKKIDFVIA >OMO86237 pep supercontig:CCACVL1_1.0:contig09514:32219:33370:1 gene:CCACVL1_09704 transcript:OMO86237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MQFLHFKARSLELVHAAGSRNLINEQGFRNALYIIDIGQNDIADSFDKNLSYAQVTKTIPSVVAEINNAVKLRSELVDATIVYVDIYAIKYDLIANSSKYGFSSPLMACCGSGGPPYNYNIRVTCGQPGYQVCDEGSRFVSWDGIHYTERANSIVASRVLSTAYSTPRTTFDFFCRN >OMO86241 pep supercontig:CCACVL1_1.0:contig09514:56100:56530:1 gene:CCACVL1_09708 transcript:OMO86241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPDGAIHASQTMGWWWWLWPVGCGTTCRAFRAAAGSVFCLIAVWTFTFTFPALPCP >OMO86234 pep supercontig:CCACVL1_1.0:contig09514:17458:23056:-1 gene:CCACVL1_09701 transcript:OMO86234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSFAKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYIILFFYQIQMEQLTSTKLCRSHGYGDLKDMMGELPDDVLVLVLSCLPLKDAARSSVLSRRWRHLWHWSTGSLYFDSPEVIRKLAWEESKTPGLDKERDRYVEWVNQVVESHQSLTIDEFKVRFQLNQNHGHHIDKWIEFAFRKRVKKLELNFQTFDLYEDWVQVYSVPQDWFSCPGIELLTSVCLTSLDVSDQIVESFVSSCPLLETLYVSDSEALRSIKLCGSSSLCLKLKHLHISACVNMELVEVSAPNLVWFEYHGKKTKLCLRYAPKIRNISYGAQPLDRHSINYGLDLISSYLSQLVTLKLCGLSTRVLLGKRDVLYCFGKRGFKFPKFPKMSCLRHLTFDIRDGNNLLGLTSLIEASPSLETFVLRIQMEQLTSNKLCCRHGYGDFKAMISELPDDVLVLVLCLSATMIGSQGNAFLHVLK >OMO86238 pep supercontig:CCACVL1_1.0:contig09514:33704:36870:-1 gene:CCACVL1_09705 transcript:OMO86238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKLSTLKFPFKLSPCRNLPARYFSTTTLQLDLQPHPNPDDFQSKIQFLRNNLYPDSLLRVLDQTQDLNSALNIFKWAALQKRFNHTADTYYHLILKLGFAGNIKELDNFCLNLARDKCVGAEEAFASLIDTFVKRSCLNEATRVLVRTISGGYTPSINVFNHLLGALVSQKTDFQQVLFVYKEMVKAGVLPTVDTLNSLLEVLFETNRVELGLDQFRRMNKKRCTPNARTFEIVIKGLIVNGKLNDAVLILHEMLDLGCRPDLSFYTCILPLMCQENKLEEGIQLFRLMRASNLVPDSLICRHLIRCLCINLHLDDATNILREIIEIGENPPVDSFVDIVNAFCEGESFSEAIHFLENNSADLTSPYNSLLDGCCKAGNFFLGKSQLDKMSERGIADCDSWNILIRWVCENVGIKKAYELLGSMIVRSLVPDSGTYAALLLGNCKLNKYEEALELFYYTRSKFWVLDSICYSRLVEGLCRLEKIKEAVEVYSYMSKSGCSLEVTTFNMLIRAICDVGKVDEAIKLRQLAYHSGTSCSTLTYTTIMLALHKSQRAKDVLVILSQMLIGGCDVHAEAYCILIRSMTTLNRFKDCAFFLKLMLDEGLIPDSETLHDLFSCLASHSQLHLVSKDIDKIISRSENLDSAIYSLLIEGLWKEGCKSEAHLLLDLMLERGLVPDATIHTLLIGSNVKEVTSSGTTMYANSMEEDNPQNCRCCVHSHPQEDQNYIILSQLHGSDVHICNRTAFSGIVVMRDSSAMDSFEDIFDSSLKLEETHYQEGYKEGYNHGIETGREEARQVGLRTGSETGEELGFYKGCVDVWKSAIRIDPTRFSARVQKGIKQMEEFIGKYPVTDPESESVEEIMDALRLKFRVIRAALGVKLEYDGYPKPKDIEF >OMO64578 pep supercontig:CCACVL1_1.0:contig12795:48165:48260:-1 gene:CCACVL1_21663 transcript:OMO64578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYWAGPDPNLQNTRLDPTQPYSTHHVIIH >OMO95296 pep supercontig:CCACVL1_1.0:contig07703:23802:25055:-1 gene:CCACVL1_05440 transcript:OMO95296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MHPFTVLFLIAFSFISVSALAPYKYKTLVAPIVKDPKTSLYSITLNSRENYVIDINAPLSWRLCQNPGYPASPVSCFAPQCYQARSILSGMCPPANNIWMKNGQCHCIVTPVNPVAKSCALARLTSGNPTLSWTNGKNPTGVAKLNKTYFSCAPNTLFKSLPQGVTGLAALSRAPLAFSSQLAPSNLGVAKKFAICLPSTNRAPGVTFFGDGPYNFGHYIPTEFDAAKILSYTPLVKKSNSVEYYIGVKGISINEKASKFLPNAFAFDSYGNGGVKLSTLVPYTVLRSDIYKTFLNDFSKATKNIPRAKNVSPFGLCVKASALGWSRVGLMAPLVEFELGNGAKWGMIGAHSMKEVGNDVACLAFVDGGKTAKEAVVIGSYQMENNLLQFDLAASRLGFGSLTARRSSCTSFNFTAV >OMO95295 pep supercontig:CCACVL1_1.0:contig07703:15730:18428:-1 gene:CCACVL1_05439 transcript:OMO95295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MLHKVRSDIEGITTKITVLIQRLQAYGIKELSSDIGAGAASSSSSSRKQQLRQTYPHTVESFVVGFEDDIKQLASILVDEESRHCRVASICGIGGLGKTTLARKVFHHSQVRNHFNFFVCAFISQQFQRKMVWRTILSSLGVNIDERAGPAGILNLDEQVLAGDLYSFLKENKCLVVLDDIWSAEDWDLLRDAFPMEDTTSKILLTSRNMDVALHADSRGYLHELQCLAEEDGWKLLQHVAFPTSDSDSADYAIEEKMEELGKDMVKQCSRLTLAIVVLGGVLAKMHSLDDWQNVHENVKSYLKRGRGLGTYDVLTLSYDNLPAYLKPCFLYLSVFPEDYEIHVDTLIQLWVAEDIVSIAESEGTGGEMAEDVAERYINELVERYMVLVGKRDARLKIKTCRMHDLVRDLCLSKAKQENFICIDVNNISSSACGKVRRLASHDSFLMINHFKIPHLRSALFFDKQIIENLMDSSPLINCLRTKWPDLDDDQLSTIIILLVMMFFLLGPRISKLVRYICKNFKLLRVLDFEEVPLIACQLLGNIRSLIHLRYLSLGKGSSYFCLSSSISKLRCLQTLDLRADETSVNVPDIFGRMERLRHLYLPKEISTKSKLKLDNLRNLQTLINFNTKDCRLGDLSCMKYLRRLGITTPFVVENFIEEDLNLNPPIITSKHLRSLSIIIGNDGSPVDPRHLTYLLSSCVNIYELELRVKISKLPEPQHISSNIASICFSLSELDEDPLPTLEKFPKLRVLELSEQAFTGSVMVCSAEGFPRLVSLSITSLENLEELKVNEGAMPSLQNLMIAKCRKLKMLPVELKFITTLKELKMEEMPKAFKDKLVQWGEDYYKVQHVPSVIFQNCDD >OMO95297 pep supercontig:CCACVL1_1.0:contig07703:29010:30254:-1 gene:CCACVL1_05441 transcript:OMO95297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MHSFTALFLIAFSFISVSAALPYKTLVAPIVKDTKTSLYSITLNSREKYVIDINAPLSWRLCQTPASPVSCYAPQCIQARSFLSGMCPPANNIWMKYGQCQCVVTPVNSVAKSCALGNFTYNNFILSWTNGKNPTGVAKLNKTYFSCAPNTLFKSLPQGVSGLAALSRAPLAFSSQLAPSNLGVAKKFAICLPSTNSAPGVTFFGDGPYIFAHYIPAAQLDASKILSYTPLLKKSNSVEYYIGVKGISINGKASKFSPNAFAFDSNGNGGVKLSTLVPYTVLRSHIYKTLLNGFSKVTKNIPRAKNVSPFGLCMKASALGWSRVGLMAPTIDLELGNGAKWSMIGAHSMKVVGNDVACLAFVDGGKTAKEAVVIGSYQMEDNLLQFDLAASRLGFGSLTGLRSSCTRFNFTSAV >OMO84853 pep supercontig:CCACVL1_1.0:contig09689:1339:8190:-1 gene:CCACVL1_10609 transcript:OMO84853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSKKRKRLKTLDTSMRRLRSDMEEISKEQKKIKEGQRQVREKFEAIEFECDELRKETNLIMQQSVSTQFRLAFMFQILKARENQEFDKAAQLTCALRKSQIASRVIGNNQVAEEYQLQTNQLPCLTSSYLLLAGRKLSSRGTRTSLHKFDMITGISVRKEGKGEKEKGFLSTKDIIINVTLDDKQTQTL >OMO84854 pep supercontig:CCACVL1_1.0:contig09689:24318:24860:1 gene:CCACVL1_10610 transcript:OMO84854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKRKIIESRKKDRPMKKNMIDLKKEMKNIKLHLDAFSAVQAKLIEAISSLSASPNRDQLMMIRNFNLNVKQMNVRIGEDYIDEMLLKLTADEVNGK >OMO84855 pep supercontig:CCACVL1_1.0:contig09689:25775:26204:-1 gene:CCACVL1_10611 transcript:OMO84855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRKRLKNVDTCMRVLRSDMEDISKEQKKIKEGQRQVREKFEAIEVECEQLRKETNLIMQQSASTQIRLVFMFQILKARENQEFDKAAQLTCGLRKLIAS >OMO71604 pep supercontig:CCACVL1_1.0:contig11591:24751:26860:-1 gene:CCACVL1_18138 transcript:OMO71604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAVSEGSEGGRCSICLDTTAPECDRTIVRLRCTHAFHLDCIGSAFNATGNMQCPNCRQLENGQWRRFPTQATFLADHFEEGTNDDGDDDDDQPLRYDLRPRVEDSYDSIFDQWARRSMSHDHDGAALNPFGPQPFTIGSNNVAPTRPEPSAPVESVGHRFSLDNKSGTSSGNSGGNSNPIFPHRKQPFTDPRPNWDQEIGQSFRPPPPLNIDHNNYPFRRPYEKSPSPAVLASMASGRSKWPFDESVCNRVSFKGGSSSSQQRTSELFTIVGPGTPRGSSSSEQIVFEGQSDSTLEEASQTRGIDHIAFMSINSDATDDDEPQQVSIEPAPPQDGGATDVNGGANFDMLDDDKLNSMFD >OMO71603 pep supercontig:CCACVL1_1.0:contig11591:13364:18511:-1 gene:CCACVL1_18137 transcript:OMO71603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein MDEGKEKGGSVSVAVESSVTVTETVVETMVCEGQVPIEEDGEGGPSNGDDIMVEVLGSHVYVDGICTTDGGGEGDVGGESNDEAVRGGDESGEVGLEGNLTSLNGEADAAGDSRSRDEVAGSEASSINERGKGQNEVNGDGVKGSGAPGSSVSAEAVEKSECTSRTGEGEGGGDANQASETQKVGDLDRDELNQGNQNVVECSSAASEHSSMQTQIVDEAAKVTNGEETMEAAVVDEDTKSSDVKTQVTAEGVPSEAKDSLSSSQSTKLVTEGRLDDKVNPNMEISKQGTDSPQLQIEVNTPQGCSENHATGDDQNITSEAVSEREEEVDPSKEEAMDVDKQVSDAKNVEIQKESVHTENHKNTCEDSELLGNQTNVYVGSDGSEVPKVNDGVLAKSASEDDLMVGQDMNVDEQVIDAEQDGLDQVQEMEVEEDDTDYEEPSNIDEKTGKRLAPKSASAVRVHQAKYQMPSEEEGDFKVSDLVWGKVRSHPWWPGQIFDPSDASEKAVKYHKKDCFLVAYFGDRTFAWNEASLLKPFRTHFSQIEKQSNSEAFQNAVDCALEEVSRRVQLGFACSCIPLDVYDKIKFQKVENTGVRQGSSRRDGVDMLFSASSFQPDKLIDYMKELAESASGGADHLDLVIAKAQLLAFYRLKGFHQLPEFQFCGGLSENEANTSHSDEKMHGEAIGHGTRMDTDGEQISTDQEASKTQRSSNKLKRKHNLKDGLYPSKRERSLSELMGETFDSPDAENGSDATGNKLLSPSGKKRKAVDSFEDSVIQEGRKTISLAKVSPTSPNIPKPSFKIGECIRRAASQMTGSPSILKSSGDRLQKLDGSENPAADGFDVPFDNSEDALRKMNVSSEYSSLDELLSQFHLAACDPMGSYSSLNIIITFFSDFRDSLILDQLPGDKAGGKRKKLSNFILGSPETFEFEDMNDTYWTDRIVQNGSEEHPLQGNGRGQYQIVPVELNKPVQRGRKSRKRYSDANHDVAAEKPPGYVDEKAPAELVMNFSEINSVPSEIKLNKMFKHFGPLKESETEVDRETSRARVVFRRSSDAEVAYNSAGKFNIFGSVAVNYQLNYTISESFKASLYAPTLAEETPLLASGLGDHDHALVASTLGEEASLISPGLGEEASFIVSTLGEDTLPISTTFHEDGSMIASSLCEDTLAIPTTLGDGNSVIATTIYGETYPIATTAGEGTMALAATIGDQTFMVAKTVDDHSSTIVTTITEQTSTVAPTVGQETSTADVSLGEGTSSITTLGEETSTIPTALSAETPSIPATLAEETPSIPATLAEETPSIPTTLAEETPTYSVTLAEETPNVPPTFSTETPALPSTSSKETPTIPMTLDQVTETIPTTTGVETKTDPATLGVEATTDPTTLHEETATEPATLHEETATEPATLHEETTTEPAILHEETTTEPAILHEETTTEPATLHEETTTDPTTLHEETTTEPAILHEEATTDPPTLSKETPTDPPTLGEETPNDSATLGEETPTDPTNLGEETTTDPTTLHEETPSDPTTLHEETPTNPMTLGEEGSTIPTSLGEETSTDHKTSDEEAIGEENPTDKSMAMEVETVPPVEAMEVETVPPVTAMEVDSEPPVTAEKEESAS >OMO71605 pep supercontig:CCACVL1_1.0:contig11591:33450:36068:-1 gene:CCACVL1_18139 transcript:OMO71605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMSNNNNIGQFGDTTLTKVFVGGLAWETPKEAMREHFEKYGEILEAVIISDKVTGRSKGYGFEAEAAKKACEDATPIINGRRANCNLASLGARRPKSANAAAPPQQGSNVGPRATSAAPANHVQWYYPAGTPATPFHHQHHQAVPFYGYSPTYIATDISYNHKLSYTGGSYMNGHYSQVYPGQAIVGANTLMPMYPLYHYHQSQTMGVPAQFFPPTTGGPIATVPAAIMSKPAAAIAPNPGTVGTGESIKKVG >OMO71602 pep supercontig:CCACVL1_1.0:contig11591:8449:11592:-1 gene:CCACVL1_18136 transcript:OMO71602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNRNVLKQFQFPEASRDRKKVDIDGFRLIEAGKDSILQREEQQKPDQFESKYDLRNSFAWDSAFFTSPGVLDPEELFETLNFHEGDNGVCNALPSSESLAATRIGDCIARRSLAWDSAFFTCEVSSVFFPCLGVLDQDELSKVNKGYKKSEMQTNILPGIEEEFWKSADSNSTIDSDYSLASLEFDLFDDMRASMQPYNKASDLVNSSSKFQSQTGLPKPNSSKRSDITSKFQIKPAPRRQTISMHGPAFGQANPLPTAITKRASLGANHLKMETKVRKAASGKIMSKKPCFDDSGSIVSSPTPSSERAPSRLQIGTRTSARSGCSQSVMIDKSPKQLRRKNDLLACDSSSRTPSGSLTRSRNELLDSHQPSPLPSTPNSSCTSLSSSNECWSSESTSRNHVTSNSSDSDQNHSCDQPFVRNQSKKTRLVYGGSPLPTTALSREIKPSGLRMPSPKIGFFDVENSRPLTPNGGLKFHSGMQGSCKTRSGVHHPNGTSNKARNGKLQPPRTSTRNANMREKKLSSQQSEKLIFKPNCTAITKVEGGKACHEFTFNGTIKSSAAPSFKAETNYDGLGSCSKEDNQIVQKPCVNQNEDFSAQARENQLHYSDDKENIFSFENQVDVLSKQIEAIDFRGDLVMEF >OMO62488 pep supercontig:CCACVL1_1.0:contig13258:1222:2995:-1 gene:CCACVL1_22792 transcript:OMO62488 gene_biotype:protein_coding transcript_biotype:protein_coding description:disease resistance protein MNYFSKLKEIEVESCEKLSNIFPMNMMERLENLEKVQIVNCDSLEEIFVPQALTGNQPHGVATTESILEETMAKFVFPSATYLRLKNLPSLKSFYTRAHATEWPSLRKMKVVDCQNVQIFASECPGFGETQKGSQVEISNQPPLFRVNEVTFPILEELILKPNDTWHGQVLSTECFRKLKVLELIYISEKSTSLACCFIQSLPNLEKLLVKDSSFCQIFQFEGLSDDQRNAALTHLTELRLSKLPELTHLWKEEFQPGVITFSNLKLLEVLDCGKLKTLVPSLVSFNNLTILKVSGCHGFTNLITYSIGRSLMQIRRMSITDCKMIEEIVACDADEIQGAIVFSQLRYLKLSCLPNLESFSLRNHGFEFPNLQKVIVHECPKLEIFCQGDLTTPKLQQVLLAEDEDEDDEEKRRWEGDLKTTIRKLFEEMNVHNSEVIEVTLELPTLQ >OMO59198 pep supercontig:CCACVL1_1.0:contig14032:23067:23327:1 gene:CCACVL1_25006 transcript:OMO59198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHSVGNCVKRVAVIFFQNPVSFINSLGTAAALAGVFLYSRAKRLKPKPKAA >OMO59197 pep supercontig:CCACVL1_1.0:contig14032:9612:11228:-1 gene:CCACVL1_25005 transcript:OMO59197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFLHCFSLKCLLTIIFFIFPNYYILTQSVSSIQPPAACWDSERSALLHFKDSFILNNSASDFPLAYPKVKSWKVGGDCCSWDGVECDDNTGHVIGLDLSSSFLYGSIDSNNTLFHLHHLTTLNLSDNNFNGSKIPSAIGNLSRLSLLDFSFSAFSGQIPIEILELSELETLDLSGFNNDPELPFENNDNHLELKNPSLKSLADKLVKLKYLDLGGVNVSSTVPQSLANLSSLTHLSLRSCDMNGEFPIEIFQLPNLQFLSVSYNHRLKGPLPEFPINSSIESLRLSRTGFDGELPKSIGNLKSLSDLEVIECNFSGQIPSSLKNLTQLTVLSLPLNLFSGQIPSWIGNLSRLRVLDLSSNELRGPIPQSLFNLVNLEHLDLELNHLSGTVNLEQILQIRGLVVLQVSWNDFSVLTTTTKNASVPKFKWLTLDSCNLSKFPDFLAHQDELEFLNLGNNKIDGCIPKWLWGLSAKTLVALELQGNSLTGELTPMICNLSSIVVLDVSNNNLSGALPPCLSNLSRSLSVLNLQNNSSVA >OMO59199 pep supercontig:CCACVL1_1.0:contig14032:31392:31892:1 gene:CCACVL1_25007 transcript:OMO59199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLSMMLMLVLVFVGYSATLINARSLSSQLLNFEMLPKGVPIPPSGPSTRTSADVPPPPSLNFQMLPKGVPIPPSGPSTRTSADVPPPPSLNFQMLPKGVPIPPSGPSTRTSADVPPPPSLNFQMLPKGCADSSIGAKHSDISRCSTTSYASLNVHIYNINVLY >OMO93931 pep supercontig:CCACVL1_1.0:contig08030:43045:53998:-1 gene:CCACVL1_06261 transcript:OMO93931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMETLTQLMKGNGKQEEQNHVSEEQKLAALGIEGEFREKRSKKWFVREGLKIIP >OMO93929 pep supercontig:CCACVL1_1.0:contig08030:32009:33759:1 gene:CCACVL1_06259 transcript:OMO93929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation Initiation factor eIF-4e MGVEENLKSLSINEEENKNPNPEEEELEEGEIAGEEDDTTSSSSKKGIVEQPHPLEHSWTFWFDNPSAKSKQATWGSSMRPIYTFATVEQFWSLYNNIHHPSKLAVGADFHCFKHKIEPKWEDPVCANGGKWTVTFSRGKSDTCWLYTLLALIGEQFEYGDEICGAVVSVRARQEKIALWTKNAANETAQVSIGKQWKEFLDYNDTIGFIFHEDAKKHDRGAKNRYTA >OMO93928 pep supercontig:CCACVL1_1.0:contig08030:28332:31132:1 gene:CCACVL1_06258 transcript:OMO93928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAESVVPKQKLKIKFPLQRVESIRAPQSIDFGQQLNRSLDERKMSSAGNSKETSGASKRKAEGVIVDSPQEKRRKMDRGMTQQCSALLKSMMNHPAGWVFNKPVDPIALEIPDYFSIIKHPMDLGTIKSKLINNTYLGVEEFVADVKLTFCNAMFYNPPDNNVHKMAEEMDEFFEGKWKSLEEKWNQENKYGRLSVRLKDVNESRQSCSKTQLSRNISLPKKSKPSEEKVVKVPLNTRPLEVELPKLAQNSVNRLAGKVLQKGTTIVGRAHGSINAKPPLSPDACKCRSCGSVKCQCSLPSDSNHASSSDLTSERSFSGDHRVCSADASKLDCQAKSTLTSQMSKSDPDSDGAVSALDDGNVCPSSELTTSATDTASGEGLFTPIFDVPLSPKKALRAAMLKKRFADTIMKAQQKTLLDHGEKADPVRIQHEKEKLERRQREEKAKIEAQIRAAEAAAKLKAESELKKQREREREAARISLQKMEKTAEIEQNLVILKELEVFIGYTLIHQLQGSKNGPEKVTGVYRGNNENPLHRLGLFIKDDECLEEDDNEDAVVNEDGEEGEILS >OMO93927 pep supercontig:CCACVL1_1.0:contig08030:21528:23125:1 gene:CCACVL1_06257 transcript:OMO93927 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MSSTAFSSVYEVCSYAAGVAGNLFAFVLFLSPIPTFQRIIRNGSTEQFSGMPYIYALLNCLICLWYGTPLVTPGVILVATVNSIGAVFQFIYISIFIRYAENRVKLKMLGFLVSIFSIFGLIVFVSLRFLDSPSRQLFVGYLSVASLISMFASPLLIINLVIKMRSVEYMPFSLSLATFLMSLAFFVYGMFKHDAFIYVPNGIGTVLGTVQLALYTYFSSASQEESRHPLIDP >OMO93926 pep supercontig:CCACVL1_1.0:contig08030:17154:18356:1 gene:CCACVL1_06256 transcript:OMO93926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561, eukaryote MIMASSKSLLVSLLFLSFLFTTTFAAAEPCANHRFDEGKTFASCTDLPSLNFSIHWNYYSSSHTVEIAIRHGGGVDKEKPPSTWMAWAINPSSKGMVGSQSLVAFRRDDGTMAAYTSPITSYGTQLQQGNLSFPVNGLSSVVNKDNEMIIFATITLPENTTTVNHLWQEGPLEGNVPGMHRLSGPNVGSMGTLDFQSGEIVLDKTSSSRNNWKIIHGVLCTFGWGLLIPIGALVASGKQYLGQGPAWFNIHIACQCTGYFLGLVGGIIGILLWKGVFGGGDSHGIGSHQYIGIVLLCLGVIQVIVGFFFRPNKEDKKRIYFNVFHYLIGYGCIGLSIANVFLGFNLVQLKVGDWPQLTYIVAISFLVIIGAILQVTSCSKRVKASKTPIVEKESSCHIIF >OMO93930 pep supercontig:CCACVL1_1.0:contig08030:34928:36777:-1 gene:CCACVL1_06260 transcript:OMO93930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MARLVSGEDHHHHLELDKLHSTCKEWGVFQLVNHGVKSSVVEKLKNELEEFYNLPMEERMKYKIKAGEHEGYGNIGKEDGKRDWADRFYFITNPIHRRNPHLLPELPSSLRNILESYFMELQKIAMKLLSFIAKALEIDVNEMIELSENGMQSVRMTYYPPCPNLELAMGFAPHSDATLITILNQVNGVDGLHVNKDGVWLPLIFQPNALVVNIGDILEIFSNGVYHSIEHKATANMVKERITVAFFVNPKFEAEVGPSPSLVNPKNPPLFKRVGMEQYGRDYFVRRPHGKTYLQHMRIEDGQDNLNKTSYIEN >OMO93924 pep supercontig:CCACVL1_1.0:contig08030:8600:13502:-1 gene:CCACVL1_06254 transcript:OMO93924 gene_biotype:protein_coding transcript_biotype:protein_coding description:XPG/Rad2 endonuclease MGIQGLLPLLKSIMVPIHIKDLEGCSVAIDTYSWLHKGALSCSTELCKGLPTSRHIEYCMHRVNLLRHYGVKPVLVFDGGLLPMKIEQENKRARARKENLARAIEHEACGNSAAAYECYQKAVDISPSIAHELIMVLKQENVCYVVAPYEADAQMTFLAISKQVDAVITEDSDLIPFGCPRVIFKMDKFGQGVEFKSSMLQQNRELSFSGFTKQMLLEMCILSGCDYLQSLSGMGLRRAHALIKRFKSYDKVIKHLRYSSVSVPPLYEESFKKAILTFQHQRVYDPIIEDIVHLSDISDNTAQGIARGDLDPFTQMPFEGLNNSSQLVLDGNLHLKSLKPESERKKLDLPVQKNLLTNYFCFASLEAKRNFKAPRVSPNHSSAGTNSSISPDKHNIVEGASCVIDTSLLSSPDSENTDNSGENGSISKLPGYSESPSHAMEDDERSPDHAFLLESSRSIHRPSPVLHKEHDCSVILDAAKSKTRTESKKVTVKSRYFATKQVNENGLEDRHGKFCSKGSIPNGLPDNRNTYINKTTLKRKNSIECCEMENVNPKQIYMDSSHDDDGHSNLETVKETKAGEAKFGSNISHLGQYSDIAEKSMERFVSVISSFRFSSPGSRASGLRAPLKDAQNTCTNRSSAAVDFSQFAYVPKNKKAVLASRRL >OMO93925 pep supercontig:CCACVL1_1.0:contig08030:15246:16424:1 gene:CCACVL1_06255 transcript:OMO93925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKSLLVSLLFLSFFFLFTTFAAAEPCANHRFDQGKTFASCTDLPSLNFSLHWNYHSPSHTVDIAIRHGGVDKEKPPSTWMAWAINPNSKGMVGSQTLVAFQRDDGTMAAYTSPITSYGTQLQQGNLTFPVNGLSSVVNDNEMIIFATLTLPENTTAVNHLWQEGPLVRNVPGIHRLSGSNVGSMGTLDFLSGKIVLDKTRSSRNKVKIVHGVLCTFGWGFLIPIGALVARPPKEDKKRIYFNVFHYLIGYGCIGLSIANVFLGFNLVHLKVRAWPQLTYIVAILFLVCIVAPILEVVACRKRMKPSKTPMEKENQLV >OMO92154 pep supercontig:CCACVL1_1.0:contig08259:12474:13263:1 gene:CCACVL1_06914 transcript:OMO92154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPIRVPTPVGKALQNLYPVKERDEAGDNIGFEDVSTATKTKNSATLSKDTSPNQLLRPLLTSRGSVPVEVSELYEGFGDGNFEISTTGPNVDVSKDYQASALITSGQSPSIASTEQVPMEIINNSELVQAGVKTPKGRGEEHLSDPPNTGSKMDMDDQSEKTCIVFEKTWLLKVFLFLS >OMO50389 pep supercontig:CCACVL1_1.0:contig16197:2872:2949:-1 gene:CCACVL1_30472 transcript:OMO50389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPDDAAEIDGSLDGRVNLVIFKKV >OMO59015 pep supercontig:CCACVL1_1.0:contig14067:1482:3649:-1 gene:CCACVL1_25158 transcript:OMO59015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant MLGTSKAKQSNGDGAEVNGPEVNAAEFNGEEVEDDGFHPQDGDAEQQAPEEVILEEVIADDDGRRLAPDHEDNFYYDSDDNAWAFVRNEDNMNDDATRMKTGHAVYNPNAREPYIESGMVFKNSVEFKHAVSLMAIKANKAVYWAKNDAKRVRPKCKNEKCTWMIYAAWNKALNSLQVRDFQKDHHCNKIFKNKLMTQKIMVEIWQAKITKEPFMRNKAIVKTMTDDWGVNVNLSMVRRAKKEIFDQIVLNYEKEFGQLNNYANELKRTNPGSTVILKSKKGTVNSPPVFERMFICLDGFRKGFKNCRNFLGMDGCFLKSLTKGELLCAVDRDANNQMYPVAWALVEVECTDSWRWFIDLLAEELELGDGRGWTIMTDQMKGCDNAIDELLPHVEHRYCARHVRNLMVRIKEKKVEVKKWRGNLGPRVRTVIEKNIEVANKCDVHFNGADSYEIEIGEKIFVVSLEHKTCTCGKYTLSGIPCGHAICAIRDSGGDIEDYTSSWYHIDVYMATYENVINPMTGREHWAKAPGEHETLQPPEYRVMPGRPKKNRRKDADFISQLNLSLENGCFYRVILSLLFPCSRHTFEDNLSGKLI >OMO59016 pep supercontig:CCACVL1_1.0:contig14067:7931:8489:-1 gene:CCACVL1_25159 transcript:OMO59016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQERQSKKAQNKRVQETQNDGVKETKSKAHNDQAQKTHSKKKKYQVRGTQNNQVQETQNNQVGETQNSGVQKTKKGADVDLHVYDSDGDGDDDVKKDVLVLEQ >OMO55990 pep supercontig:CCACVL1_1.0:contig14566:17519:19056:1 gene:CCACVL1_26838 transcript:OMO55990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIQKPKKQKLVNKKIKKTLKKIKVKPNNSEKPENPTTIPEPEPESSDSDFDPETLPELLEPYTREQLIQLVSEAVAENPSFLSFVRHHADRDVSHRKLFVHGLAWETTRESLSSAFEPFGEIEDCNVIVDKATGKCKGYGFVLFKKRKSACQALKEPKKQIHNRITSCQLASVGPTSAAKDSDQTHQKKPADVKNKSPAEPTLLFPPQPQQQQPQVLAALAAAQNLSFLGHSNPIYGSLLGSQFNPAAAVGGTSKMAVTPVPTSNVGAVGGALGRANSSFLGQGLQHLYPNLQLGQQQPGAGRGQILRANLQLASIFVEFVDTYTRSVALLSFLIKVVFSTHIVALSTGTNFSSHFIDLFQ >OMO55989 pep supercontig:CCACVL1_1.0:contig14566:12446:16823:-1 gene:CCACVL1_26837 transcript:OMO55989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MSNESEESPLMAPPPMPEPGEIDLEAGPSDQIQCRICLETDGRDFIAPCKCKGTAKYVHRECLDHWRAVKEGFAFAHCTTCKAPYHLRVHVAADRKWRTLKFRFFVTRDILSIFLAVQLVITSLAYLVYLIDSYQQSWLRLAWGFDSELSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFESCASAAGECGCLGGAGEAGLPLLFIMALVVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEVTGSDWSPPPLPPEHVQQLKSLGLL >OMO55988 pep supercontig:CCACVL1_1.0:contig14566:5628:9632:-1 gene:CCACVL1_26836 transcript:OMO55988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAMLVAEEYERRVLGRRDQPLLGVTNAAGEGPDKDYDFEE >OMO55991 pep supercontig:CCACVL1_1.0:contig14566:21578:22024:-1 gene:CCACVL1_26839 transcript:OMO55991 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Sodium/potassium/calcium exchanger MGLLLTNKTGVKGDNAQNQPKPEDADGEDDGEDDDDGDGGFGEGEEELSSEEGEDYGNNPNSNKNNPKKGPGGGAGAGAEQNGEEEDDEEDGEDPEEEDDDDDDDEDEDEDEDGGEEDEEDVEGVENEDEDEEDEDEEALQPPKKRKK >OMO92374 pep supercontig:CCACVL1_1.0:contig08230:12:3598:-1 gene:CCACVL1_06872 transcript:OMO92374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MDKGKAPMTELQRMSEEMRAQLQSKQQVKGDCLPKGHQSRLPAEAYIDTKQNDLAQLKKIWGKVTNGQDFVRRYGNIAALLDVEVDHQMIKAMLPFWDPSYRCFVFGDIDMVPTEEEYEELIWPVCPTMKEIKEIAERGVDKKNEDTVYWRNFNPQAQKKLAELTGIDSATWGREITPISEGNAKYKRLYLPRASENSTRSYKDWHRDRVKDLVLPPEKDPHYLVGPNSLDELTHLKYEVSRLREGVELKEVEERHELEKTALNQEVDQVSNVNLVLMSNLGKEQLERTLLQKKHDELKKKHTSLLKTASFFRNDSGKMKTNWLSEKKRKLTILDADSRYQLDEFLDRLSDVEDKFLDLGRGINGLIDAWDKSGDSWKDALARMKKGVAVARELKKHVEKVYGEAYPTSPVGQKLVNCLQNILKAKGPMEALPAVNDQETPEYPPGFAPNQGHRSSTMVGQTFNIPTPPQNPYPFQSQYASQGQYSNPPQAADPTFAPRPPMDTSYLFKQGTSVARPINVPDTEDARKMVMEENQKLRSMMEERFKSIEGGKGYFGTMDMTKIALVSDLVIPPKFKVPEFEKFNGSKCPREHVTTYVRKMQPLTSDEKMFIHYFQDSLTGAASTWYNQLDGTRIHSWDDLANAFVAQYQYLSDLAPNRGTLKNIMRKLGETFTEYAQCFRDKASLVRPTMGESEISPCNIR >OMP00024 pep supercontig:CCACVL1_1.0:contig06739:6145:6700:1 gene:CCACVL1_03490 transcript:OMP00024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGILNSWKPKDWNGDDSGRNGPLRWPVAPCKVGFTTNFRGCPTMPSRQPQAAAKHALGHPSGHI >OMP00026 pep supercontig:CCACVL1_1.0:contig06739:20794:22074:1 gene:CCACVL1_03492 transcript:OMP00026 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-S seed storage protein, plant MEMELSPKFPRTFFESEAGGYYNWSSADSSILSEAKVAAGKFVLKPQGSALPHYSDCSKIGYVLQGVCTVGLVSLNKKDKMSFVYLKKGDIIGSPQGSVSWWYNHGDSDFAIVFLAETSKAHLPGEITYFLLTGPIGYLSAFSPEFIAKTYRINQEQAQTLSGSQQDAMLIKLGEEQAKSIPLPHDQDALNKWTKNLDRSLPGVDVKKGGKSTTLTGGEFLLLEEIGLSANRLELEANAIRTPNYATDTQVFYVVKGSGKVQIFGLNGKLVLDTEVETDQLFVVPRFLMVSLLAGGEGMECFSVLTSARHDIGELAGKDSVLNTIPSFLQVVLNLTPEFTQVFKQMQETGTVIVPPMN >OMP00025 pep supercontig:CCACVL1_1.0:contig06739:17985:19206:-1 gene:CCACVL1_03491 transcript:OMP00025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNVVASANISWDFVKITFKEKLERRGIGLVMVGRIPTSQFYNCL >OMO56645 pep supercontig:CCACVL1_1.0:contig14493:2418:2591:1 gene:CCACVL1_26398 transcript:OMO56645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALPPDRPFFTLRHPNDVTPSPISSLTRLSLTQPTQSQSFPLQFLQRQQFRSKTG >OMO56646 pep supercontig:CCACVL1_1.0:contig14493:3230:3407:-1 gene:CCACVL1_26399 transcript:OMO56646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KFLHSQLLHSLGNQNKSLLIRNQNESLGLILVPDEKGFILVRDKGLTSEEKEAGNEETS >OMP01131 pep supercontig:CCACVL1_1.0:contig06525:28878:28997:1 gene:CCACVL1_03153 transcript:OMP01131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSKQSKDLSPLLSYAVCNISPQQSATFRGFKRRPRAE >OMO99001 pep supercontig:CCACVL1_1.0:contig06973:36011:41248:1 gene:CCACVL1_03968 transcript:OMO99001 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding factor MASILTKKPKKTKLKELKTLGQQLLSSRAHINNLPLLLTVITPSSPPQHVLESLLSLQSFFTTVLPDLPPSSNSKKRRLNDSAKDDPEFIYRTWLRSKFDEFVTLLIEVLVSPDTEETLREIVLDSFMEFVKLGNRGRFYSAIYHKLLRNTVHSATPVDFLSELLASKYFKYIDVRYFTFISMEKLAETLDTTNIHDDKTVSGDGDSTNQSRESMELSIHKIHYIISHIPPLEGIAGNSEHEMWSGSELSKLRKAEDKQLKTDKGDNDVLSPATICKKMKLKFTKAWISFLRLPLPIDVYKEVLATLHQAVIPHLSNPIILCDFLTRSYDIGGVVSVMALSSLFVLMTKHGLEYPNFYEKLYALLAPSIFMAKHRAKFFELLDSCLKSPLLPAYLAAAFTKKLSRLALSVPPSGALVIIALIHNLLRRHPSINCLVHRDVVKGDNSGTGTSISHKRIGIDHFKDEESNPMKSNAMRSSLWEMHTLRHHYCPPVSRFVLSLENDLTVRSKTTEMNIKDFSSGSYATIFGDEIRRRVKQVPLAFYKATPTCLFSESEFPGWTFKFEESKENDTGNGNTSAENDVSTKRQRIEIECS >OMO99004 pep supercontig:CCACVL1_1.0:contig06973:54653:58839:-1 gene:CCACVL1_03971 transcript:OMO99004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MSGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKKEFQLESEPTIEGLIVAMSLIGATCITTCSGGVSDWLGRRPMLILSSVLYFLSGLVMLWSPNVYILLLARLLDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSIGMFLSYCMVFGMSLMPLPNWRLMLGVLFIPSLIYFVLTIFFLPESPRWLVSKGRMSEAKKVLQRLRGREDVAGEMALLVEGLGVGAETSIEEYIIGPANEDPEDQDISADKDRIKLYGPEEGLSWVARPVTGQSMLGIVSRHGSVANQSALGLVDPLVTLFGSVHEKLPETGSMRSTLFPHFGSMFSVGGNQARNEEWDEESVVREGEEYQSDGAGDSDDNLHSPLISRQTTSVEKDMVPAAHGSLVGSMRQGSHANAGEPVGSMGIGGGWQLAWKWSEKEGPDGKKEGGFKRIYLHQEGIPGSRRGSIVSMAGADAPADSEYVQAAALVSQPALYSKELMKQHPVGPAMVHPAETAKGSTWTDLFEPGVKHALIVGVGIQILQQFSGINGVLYYTPQILEQAGVGVLLSNLGLSSSSASLLISGMTTLLMLPSIAVAMRLMDIAGRRSLLLTTIPVLILSLLILVIGSVVNLGSVVNAAISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGICIAICALTFWICDIIVTYSLPVLLKSVGLAGVFGMYAIVCVISWVFVFLKVPETKGMPLEVITEFFSVGAKQVAASKDN >OMO99003 pep supercontig:CCACVL1_1.0:contig06973:47688:54161:1 gene:CCACVL1_03970 transcript:OMO99003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine kinase MAAISITMNLVLLLSTSILFMGVFSEEVSKPEVVNVGAIFSFNTINGKVSKIAMKAAEDDINADPSVLGGRKLSITLHDSNFSSFLGIIGAPSDLFQMTAIADMISYYGWAEVVALYTDDDQSRNGIITLGDKLSERRCRISYKAALRPDPTATRSDVMAELVKIQMMESRVIVLHTFTKTGLLVFEVAKSLGMMENQYVWIASSWLSTVLDSNSSLKSETPDSILGALTLRPHTPDSKRKRNFISRWNQLSNGSIGFNPYALYAYDTVWMIARSVKLFFDQGGTISFSNDTKLNGLGGRTLNLSALNIFDGGQQLLQNILNTNMTGLTGPVLFNQERSLLNPSYDIINVVQTGYRQIGYWSNHSRLSIVPPETLFSFSTMFFAHRENTVSTLGRLILIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIESLVSSGESIGFQVGSFAENYLMEELNIPKSRLVPLGSPEEYTLALESKRVAAIIDERPYVDLFLSDHCEFSIRGQEFTKSGWGFAFPRDSPLAIDMSTAILTLSENGELQRIHDKWLSRKACRSDNFDGDVEQLDLPSFWGLFLIIGIACFLALLVYFFLVFRQFKRRHSEEKDSASPGSSRSARVQTFLSFADGKMAICFQLQSPLKPIYFPPSTTKKAPVFSCKASLSAVVTTEPEPVFTSVKSFAPATVANLGPGFDCLGVAVDGLGDFVSLSVDSSVRPGHVSISEISGCSKLSTNPLYNCAGIAAIATMKMLNIRSVGLSLKLEKGLPLGSGLGSSAASAAAAAVAVNELFGAKLGVDELVLAGLESEAKVSGYHADNIAPAVMGVSPEFEAPTKKMRAALPAEIGMPHHIWNSSQVGALVASILEGNVPALGKAMSSDRIVEPRRAPLIPGMEGVKKAAIEAGAFGCTISGAGPTAVAVIDNEEKGKEIGQKMVEAFLQQGSLKAVAMVKRLDRVGARLIDSVPR >OMO99006 pep supercontig:CCACVL1_1.0:contig06973:70686:74362:1 gene:CCACVL1_03973 transcript:OMO99006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCRGSFKGKLYQGFNQPEDSTKRNNNTSSNRSSSDYSPSSLNAQQLVAQEFSKDTTKKDNNHLAVISPTKKDNIMRRGTDNQAYYVLGHKTPNIRDLYTLGRKLGQGQFGTTYLATEISTGNEYACKSISKRKLISREDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLYVHIVMELCSGGELFDRIIQRGHYTERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGHPWICENGVAPDRALDPAVLSRLKTFSAMNKLKKMALRVIAESLSEEEIAGLREMFTAMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFRYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSLNMSMRDGPAA >OMO99007 pep supercontig:CCACVL1_1.0:contig06973:75807:76229:-1 gene:CCACVL1_03974 transcript:OMO99007 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor GTE9-like protein MLQVSASTERAARAAMLTSRFSDIICKAGKLLDHDIKKPRPKQATARMLFQREEQLRRRREDSRRKLERMKPTATFDNSEILKEFSALCGCPSQSLPYRFEGSSSLEKFGLRLRTFTYDDIEEAVRLDDAERDIEEGEIV >OMO99008 pep supercontig:CCACVL1_1.0:contig06973:77591:79275:-1 gene:CCACVL1_03975 transcript:OMO99008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASCVIGGVSHNRTINSLSSPLPKHGNIAVYHHHHHSKVNLLSFSHPQHHFHCVNSRIFTPSCLAKTAVSDVELHSSNPTTAAADSWSEFARNVSGEWDGFGADFSVEGSPTELPESVVPEAYREWEVKYSIDERNIGGVDNKVSAFAYQSSGCYAAIWPVAESSTHEVWELEHCLINPRDKESRVRIIQVVRTNIGIEFVLQNIRVFCEQWYGPFRNGDQLGGCAIRDSVFASTAATKASDVIGVWQGQNVVANFDGSGNALRHNFDEQNVLPEPDITVYCELVLKSPEPFSRAEK >OMO99002 pep supercontig:CCACVL1_1.0:contig06973:43475:44803:1 gene:CCACVL1_03969 transcript:OMO99002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MNRMNFPKRYLIVILTFFSTFVCYIERVGFSIAYTVAADAAGISEASKGLLLSAFFWGYACSQVPGGWAAQKIGGRKILLLSFVIWSSTCFLVPLDPNRATVLIVARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAAMGMLFLPSLVKFKGPQSVFLAEAALGVMWSLLWFEYATDPPRSEHPKATAAGFGESLLPTKASQKMKVENGGSTVRTAQIPWRQILVSGPVWAIVVNNFTFHYALYVLMNWLPTFFEQRHQVSLQEMGSSKMMPYFNMFIFSNIGGVLADHFITKRIMSLTKSRKFLNTVGFMVASVALMAIPIFRTSGGAIFCSSVALGFLAFGRAGFAVNHMDIAPRYAGILMGVSNTAGTLAGVIGVHLTGWLLEAAKVAYADLSSPESWRLVFCIPGFLCIFSSFAFLLFSTGERIFD >OMO99000 pep supercontig:CCACVL1_1.0:contig06973:12971:21312:-1 gene:CCACVL1_03967 transcript:OMO99000 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MVGRLLTERSFNKQAMINTLKQVWKLVKEFSIIALEENLFLFKFASEADMDRVLEGSPWTFDKHLLLFANYDGNLCPEDYNFTRAPFWIRIYELPLGKRNLEVAEKLGKKMGKLIAVDPSLDMEGWSRFLRVRVEIDVTKPLRRTILLGKPSEGTRGRLAYERLPVFCCYCGLIGHCGVDCEDGEGGNIERTVHYGEWLRASPLKAKLTGTGSRVPRRGENNGNLSPAASQVGSDESRERLAIDCRNHGVNQGNCVASQLEEDIEKEMGRLNSALLAGPKKSKRDTDMEINGPARSKQKLALIDEDSISSFGNNSVAEVDEGGETGNSKNNGVSMGGGNKSNKKAKSSWKRRARITSGVRDASLLNEKISLAIGDGLTRVENQFTAPPRAMIGVSWNCRGLGNPRAVRALRALIRKERPNVLFLMETKLPEHKLDSIRRRCGFIACFGVGAIGRSGGQLATHAEKTWFCFGDFNEILWQEEKDGGRLRPERQLVDFRDALQDCELQDVGFHGHIFTWNGVTRTGKLVKKRLDRGVASMDWLSRFPTACVSHLITSVSDHSPILLKTEVRRHRRKRKPKECKRSYFETSWCKEVDCEQLIVDNWEFSNGMELLARIGHLRDSLRGVYAKKFSVVKERIDEITQALKVVAETDRWGNMRKKEADLREELNRLLEEEETYWMQRSRVNWLSDGDRNTSFFHAQASKRRKKNSIEGLEGDDGQWTNDLADIQEITSNYFKKLFDSSGFLQFDEILEAVNPSITAEMNEHLLTEFTAEEIFTALKQMHPIKAPGPDGKQGSGVFFALKLDMSKAYDRVEWDFLEAIMLRMGFATRWVEMIMRCVRSVSFSVVVNGDVTEEFKPARGLRQGDPLLPYLFLMCTEGLSALLSKGKTDGLLSGVSVSRNGPRVSHLFFADDSPLFGKANSAESEKVRDYLKIYEECSGQKINFDKSVVFFSSNTLQADRDRIREFFGVGEQSIIEKYLGLPTLVGRNKKSTFNWIKERIAKKIGSWNMRWLSQGGREVMIKSVLQSIPTYAMQVFSFPQTLCNEIDGMIARFWWKQQIDKRPIYWVAWSKLCRAKDFGGLGFRNMEWTSFLDAKVGWTPSFTWRSILKGRELLQFGLRWRIGDGRNVRVLRDKWVANLDDFIPHSGNGKIPEDMLVAELMQEDDTCWNRDLIRTIFNEADAEAILQIPLSYRLRHDILVWHFDNKGFYSIKSGYMTLCNLHGDEENEDLETIQDDKRFLRCICNAEVPPKVRVFAWRLFYEILPVMENLYSRHIEVERWCFRCKQEHETIIHAIQHCPFAIEVWNHVSNFFVHDEANQVVDGVRIDLETNSDWFHLNLLTAWAIWGARNMALYDNKVTRPFDTTFFAYSYFKEYARCKKAAAIRQKPDPPRWSPPVSEIVKINFDAAVSAAKKIGAYGVVARNSSALPLGACSGMIPHVVDAYIAESFAAVRALSWAREMGFHRIILEGDALNIIRKINSHESDFSPIGAYVEEARSLKILFQNCSLQHIGKSGNMVAHHLAQHGLSINEKIVWIEDMPLWLHDSLNNDSMVFDKRPISSSSSKKLKSPLPREDTPLIGNKKPLSSQPKTFANVFIAIVGAGVLGLPYAFKRTGWIMGLLMLFSVATLTTYCMMLLVYTRRKLESYDNGFAKIASFGDLGFAVCGSVGRFVVDVLIILSQAGFCVGYLIFIANTLANLFNGETSTKLSLSLGMSSFTAKSLYIWGCFPFQLGLNSIATLTHLAPLSIFADVVDLGAMGVVIVEDVALILKAKHEVVAFGGLSVFLYGMGVAVYAFEGIGMVLPIESETKDTSKFGRVLALSMGSISLIYGAFGVLGYFAFGEDTKDIITANLGAGWCVLRFGVCIASFVSFAGVQGRAGVEGMDFGYRNCDHRPCSWSCRNMVFSNGDFLSEGIRHMPYGVAMKVKL >OMO99005 pep supercontig:CCACVL1_1.0:contig06973:63438:66499:1 gene:CCACVL1_03972 transcript:OMO99005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETMKRVERRFCEEFSPGYYGICTVGGMLSAGTTHLAVTPLDVLKVNMQVNPIKYSSISSGFSALWKEQGPSSLWRGWSGKLFGYGAQGGCRFGLYEYFKGLYSNVLVDQNRTFIFFLSSASAQVFADVALCPFEAIKVRVQTQPNFAKGLVDGFPKLYKTEGLAGFYKGLCPLWGRNLPFSMIMFSTFEHSVDFIYRRVVQQRKEDCSRVQQLGVTCLAGYAAGAIGTVVSNPADVIVSSLYNKKAENVLQAVKSIGLANLFTRSLPVRITIVGPVITMQWFFYDSVKLLFGLPTSGGLNRQLEEGNLSA >OMO94061 pep supercontig:CCACVL1_1.0:contig07989:7442:8828:1 gene:CCACVL1_06206 transcript:OMO94061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESKLTYEAMRKRRMEENKKRMEELNLKTLSQALNKASPKLSPQGKKPRTPRIAVDVTAVRRSGRVADKPKPNYKDVPLEPLERPRRGYSYSRRNLLNRVDASDEERAYSIEKAQHLESNLESESDFPTFVKPMLHSHTTGGFWLGLPGYFCRTYLPKHEKSIITLVDEEGEEFPTIYLLEKTGLSGGWRGFSIDHNLVDGDALVFQLVAPTEFKVYIIRAYESDDKEDDNGEDDDKKPDAKPDVQVLDRSAKRIRAS >OMO94060 pep supercontig:CCACVL1_1.0:contig07989:2402:3316:1 gene:CCACVL1_06204 transcript:OMO94060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPKQKMSSVKAKRGRPPKSKLIRKRPSKLDKMTLNQMVSHEKEKVKW >OMO94275 pep supercontig:CCACVL1_1.0:contig07928:30470:35191:1 gene:CCACVL1_06075 transcript:OMO94275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIE, alpha subunit MTGYEPFNRLVKLAARAFYDDHTTKGDNQPRMGRGDNRGIAVVILDALTRRQWVREEDLAKELKLHSKQLRRTLRYFEEEKLVTRDHRKETAKGAKIYSAAVAATIDGQVGGKEGEEKIKLHTHSYCCLDYAQIYDVVRYRIHRMKKKLKDELEDKNTVQVYVCPPPCGKRYTALDALRLISSDGESFYCERCNGELVAESDKLAAQDVGDGDDNARRRRREKLKEMLQKMEVQLKPLMEQLNRVKDLPVPEFGTLQAWEARASAAARALNGDSGSNDPSRSSQGYGGTPMPFLGETKVEVAFSGLDGKEEDVKSETASTSLKVLPPWMIKQGMNLTKEQRGEGKQESETDGSSAQGDFSDDKKSTIENDDKKNFQDEYVKAYYAALLKKQQELEEAAKKQEELSDIPLTSSDGSNRQTGMKSKREEDEVDDGVDWEEAPVAGNTTESFKVNDLNVEAEASGDDEDDVDWEED >OMO94274 pep supercontig:CCACVL1_1.0:contig07928:987:2159:-1 gene:CCACVL1_06074 transcript:OMO94274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKIKSSMNDIPCEVLFYILSMLPLKYLMKLKRVCKTWCLLINELFDLHVKHMQNTYHGILLFHVRHSSDDTVEATISALRIGLSPEIIGLMTLTHGRTRPYQHFHMMQSVNGLICVTNQEVFTVCNPLTKEVVTLPICRNNPVPRHDHPSHRVPHGFGFGYNSSTKEYKVVKIYNFVPNADDDVKKIIRYECAVFTLGSKSNSWRNIEGPSYPVCVWFGAISLDGALYWIVRREGKMLMTFFDIDKEKFTSLEILGFLANQKVFHFGVVQGRVWAGLIQPMEGEDYNDIWICNGEPERNVEIWIMVDDYGHHWVKKHVILHEEYHTYWIPTVDCLIILDEERLLLQRQSFGAVQSFAFYNLKSKVYEDFEILTGHNYRGAIRYSWESK >OMO94276 pep supercontig:CCACVL1_1.0:contig07928:36422:38334:1 gene:CCACVL1_06076 transcript:OMO94276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MTSKSISFTVLVAILAIFASKVVIINPNPELFLKYLVNLAPTSSIIIAKFIPFNFDDFRRHRKHPDKGKPVSICDDFPPNFPPPETNTTVTLCVDRNGCCNFTTVQSAVDVVANFSMKRTVIWINSGIYYEKVIIPKTKPNITFQGQGYTSTAIAWNDTANSANGTFYSGSVQVFAANFIAKNISFMNVAPIPRPGDVGAQAVAIRIAGDQAAFWGCGFFGAQDTLHDDRGRHYFKDCYIQGSIDFIFGNGKSLYEGCQLVSMASPVAPGSKGINGAVTAHGRASADENSGFAFVNCSVGGTGRIWLGRAWRPFSRVVFALTSMTDIIAPEGWNDFNDPTRDQTIFYGEYNCTGDGANMTSRAPYVQKLNDTQATLFLNTTFIDGDAWLQSFNS >OMO94277 pep supercontig:CCACVL1_1.0:contig07928:39297:41759:1 gene:CCACVL1_06077 transcript:OMO94277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALPLPLSATSTATNNNPSSSLSHSSPPIITSSPPPNPTLKTPTIRTRLSKICQQGQPHLARQLFDTIPQPKTVLWNTIIIGYICNDLPEEALLFYSYMKNSSPHTKCDSYTYSSVIKACTLLGNFRVGKAVHCHFIRGSTNPSRIVYNALLNFYATCLSSMANMEMDGYSTGFDYSRNDLVCKVFNMMRKRDVVAWNTMISWYVKTGRYLEAVVLFRTMMKMGIRVSAVSFVNVFPALSGLEDYDNAEVLYGMLLKLGAEYVDDSFVVSSAIFMFSELGCLDYARKIFDNCSHRNIEIWNTMIGGYLQNGCPLEGMELFLQAMESEIVFDDVTFLSALNSVSQLQRLDLAQQMHAYIIKNLCNFPIIVANAILVMYSRCNSIHTSFEFFDKMPERDIISWNTMVSAFVQNGLDDEGLLLVHEMQKQGFLVDSVTVTALLSAASNLRNQEIGKQTHAYLLRNAIEFEGMDSYIIDMYAKSGLIRNSQLLFEKSNCSNRDQATWNAMIAGLAQNGLIKEAIVVFREMLQLNVLPNAVTLASVLPACSLLGSIDFGKQLHGFSIRNFLDQNVFVGTALVDMYSKCGAIKLAENMFCNILEKNSVTYTTMILGYGQHGMGEKALSLFRSMHTSSIEPDAITFVAVLSACGYAGLVDEGIHIFKSMEREFKIKPSTEHYCCVADMLGKAGRVDEAYEFVEQLGEEGNFLEIWGSLLASCRLHKKIDLAEVVAKKLLQVSTGNSMTGYHVLLSNIYAEEGNWNNVDRVRKAMQEKGMRKDVGCSWIEIAGCVNYFASKDQEHPQSDEIYELLEGLRKEMKDA >OMO99679 pep supercontig:CCACVL1_1.0:contig06836:1129:2742:-1 gene:CCACVL1_03684 transcript:OMO99679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVYTNWERLVRATLEREQLRNAGPGHERRPSGISGAVQLPPSLGRATNIDAILQAADEIQAENPHVARILCEQAYGMAQNLDPNSEGRGVLQFKTGLMSVIKVPLHKHSLL >OMO99680 pep supercontig:CCACVL1_1.0:contig06836:17952:19656:-1 gene:CCACVL1_03685 transcript:OMO99680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVENWTCRVISSVWRLIRSLFTVFELDEIQAAPKCSLGCIDYVA >OMO77753 pep supercontig:CCACVL1_1.0:contig10716:36794:36904:1 gene:CCACVL1_14848 transcript:OMO77753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKCALINIGREHKYSCTRNEQLLPVNNATIKWPAK >OMO77749 pep supercontig:CCACVL1_1.0:contig10716:14265:16910:1 gene:CCACVL1_14844 transcript:OMO77749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPEVLQRDADLLLSSPLVELRQGENGKSRGLSIEKKIELLESLTGKVTNRRSRRWLNDRLLMELVPRLNAEEIRGLFAPPPWGDDVPKSAFYKANVGEWDKFRNIDMDKEANIIDALNNSSTKKQFHADGDKMAVLNAWRRIDCRTREALRRSFLSELIAGYEDCIRTFINESGDADVLALQVQDPFHRLLLHGVCEFYNLVSVTVTQSKDAESLKVTRIKKKKTGMVELPNITLSDFLKMSKEGIW >OMO77752 pep supercontig:CCACVL1_1.0:contig10716:27101:28699:-1 gene:CCACVL1_14847 transcript:OMO77752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase FKVGLCQLSVNPDKNQNLIRAHNLIKVAAEQGARLVVLPEMWNCPYSADNFAKYAEDFGNGDLSPSFSMLSEVASCYRITIVGGSIPELCNGQLYNTCCVFGPDGNLKAKHRKIHLFDIDIPGDISFKESDIFAAGDEPTIVDTEIGRIGIGICHDIRFPELAMLYRAKGAHLICYPGAFNMSTGELLWELVQRARAADNQLFVATCSPSRDSAGSYTIWGHSTLVSPFGEIIATSEHEQTVVVAEIDYSKIQLTRESLPLEKQRRSDIYQLVDMHH >OMO77747 pep supercontig:CCACVL1_1.0:contig10716:2004:2858:-1 gene:CCACVL1_14842 transcript:OMO77747 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA isopentenyltransferase MGATGTGKSRLSIDLATRFPAEIINSDKIQVHEGLDIATNKITEEERCGIPHHLLGVINPNIDFTATNFVDMASNAMDSILSRGQLPIIAGGSNSYIEALVDDKDFRFRSKYECCFLWVDVAMPVLHNYVSERVDKMVENGMVDEVRNYFDSNANYTKGIKKAIGVPEFHRYFREEPYLDKKSRAKLLQEAIQEIKRNTCKLACRQLEKINRLKNKKNWKIHRLDATQVFLRRGKEADEAWEAMVAGPSTEIVAEFLYSNYVSSDQVPVTKAAIREYIAQCLVA >OMO77750 pep supercontig:CCACVL1_1.0:contig10716:17839:19924:1 gene:CCACVL1_14845 transcript:OMO77750 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenazine biosynthesis PhzF protein MAKAPVKYYVVDAFTDSAFKGNPAAVCLLEEERDEKWLQAVAAEFNISETCYLTRITDSASPYPRFRLRWFTPVAEVNLCGHATLASAHTLFSTGLVNSNIIEFDTLSGILTAKKVSDSNLTDVSQIQNGGHECFLIELNFPAVPVNEFNSTEVSAISEALNGAQLIDVKRTATADDLFVVLPSAKSVIELEPQFDAILKCPGRGIIVSGVSPPDSEFDFSSRFFCPKYGINEDPVCGSAHCALVKYWSQKLGKCDFVAHAASPRGGIVKVHLDEQNDRVFLRGKAVTVMEGCLLV >OMO77751 pep supercontig:CCACVL1_1.0:contig10716:20527:26753:1 gene:CCACVL1_14846 transcript:OMO77751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenazine biosynthesis PhzF protein MAKKPVKYYVVDAFTDLAFKGNPAAVCLLEEDRDEQWLQSVAAEFNLSETCYLTRINECSNSPRFRLRWFTPVTEVKLCGHATLASAHILFTTGLVNSDIIEFETLSGILTAKKSPDFKAPPTDVPQIQNGEERQHCFSIELDFPTVPYTEFSSTEVSAISKALNNAAIVDIKRTTIDGDLLVELPSWKAVIELQPEIDDIRKCAGEGIIATGAAPPESGFDFASRCFFPIAGINEDPVTGSAHCALAPYWSQKLGKFDFMAYQASARGGILGLHLDKKNQRVLLRGKAVTVMEGSLLVDAFAESAFKGNPAAVCLLEEEKDEKWLQAVVAEFNQPVTCFLTPIAASTTYLNPKFRLRWFSTVLEVRLCGHATLASAHALFSSGLVNSNTIEFETLSGILTVKRGGPKHEVYEINLPSVPIMESNLSTDEVSAICKALNAASCIDIKTTTAGDLFVVLPSGKSVAELQPSFDDINKLGARGIIVSGIASPESGFDIVSRFFYSRLGVDEDQVCGSAHCALALYWSPKLAKPDFISYVASARGGMVKVHLDEENQRAQTCVLKMHDKCENCLKKVKRMLQKINGVHSINIDADEGKVVVSSTIDPHQFISMLARAGKRAEILSVSTAKPQPQATMNSHKKIQYLTPDQIASLVDPGINKRSKLAQFQEFAKNPRLKQVELNQSMNIKMIFKDSDKFGSSSSSSVGDNRHVVEINNMDDHVENQGPDSCQVQEQNGDAYESATSMLPPPTPEPTPPSPSLKLKNPCGGYGEGSSSSVHCFHDRPQVEFACSSGGPFSIKYMEEPVRPAAASYYYPPPPLHYVHPFAPPPSYYRQPPPPAAIPLPYDMCYGEDPNDCSVV >OMO77748 pep supercontig:CCACVL1_1.0:contig10716:10194:13146:-1 gene:CCACVL1_14843 transcript:OMO77748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMITAHAWFSSFCLLTALSYATRLIMLIGISLEILLLVSPDLFQLPTFPVFLLTVCNTWNPVCDFTSGDMDGQQGHKNPSMSLNSRGSATAYDYDLCYGHVYNPNPVIPMAAGRTNNHSSPLSLSQWIEGPKTPHSYVEFLRENIEKAPSADVLESIGYPVGGIPAIDGISEIQRELLHNNAGKANFISGPNDYPLDQSEHGKLKLSATHTINPSNHEASASGRFLRPHDRPSVNRFSSSGFDESHAQQNHTYLKQEPNEYDCKVFQLQAKENMSAPSFLCQPHHCVPKPKASGSDRQRRLRIAESVRALQELLPNSAEHDQATAVDDIIDHIKFLQFQIKELTRSRLGGEPAYPFDYFKGCGHYSLHEQTMNEPLEEMMGKLLEINPLAATQLFERKGLYMMPWTLVDGLHRAT >OMO88956 pep supercontig:CCACVL1_1.0:contig08879:9887:14458:1 gene:CCACVL1_08081 transcript:OMO88956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MATASSVTSRPEDLPSSPYFIHPSENPSLVLVSSVVTGPNFYQWEKAMQMALLSKNKLDFVDGTIPVPASTDPLFLAWKRCNNLVISWLVHSVSTSIAQSILWLDSAPAIWKDLKARFRQTNSFRICDLQTEIHSCVQGSLPVHDYFTKLKILWDEFQLLRPIPSCKCQPICSCGLSKIREYLDNDQVMVFIKGLNESYSTVKTQILLMDPLPLLNKAFSLVLQQERSIQSIHVNVLAVKGNSSSFVPKKFVNSNKKPMSPYQFTKEQYEQLMTMIQSNSVPSHHVNATVALQKPSDSSYGNISYPYSVLNPKISLHVFTNPRNATSDWIIDTGATDHITCTFDSFKTYRPVNNVFVGLPNNTKVQVTHIGTVQFNDSLILFDVLYVPDFTFNLVSVGKLTSDLHCCLITVSSHCVIQDINHWKMIGTAERSDGLYKLQRHTMKHATAMPVIETVDSVQCSLPIDSASVQSSNVVSNSISCKTDANSVLWHNRLGHLSNSRLKLIIPSSIPVELYEVCHMSKHKRFPFPVSSSVTAKCFDLVHIDIWGDNYAPTFKGDTYFLTIVYDLSRFTWVFLMKNKSEARVIVQNFVALAKVQFNAEVRCIKTDNGQEFNMAPFYESKGILHQTSCIKTPQQNSIVERKHQHILNVARSLRFQASLPIDFWGECVLHAVFLINRIPTKVLGNVTPFQKLFNESPNIDVLKVFGSLAFASNHSNIKNKFDSRSIKSVFLGFQPGVKGYKLYDLQNNKKNLSRDVTFYEHIYPFTEEYAKTDNLQFSKHISTENLVLPNSDNFAAMNDSIPSSDVSTQQNMSHLSNVPVASSSSNTEAVLQIPIATVYQNNPVLHEISEPILQSSNVGPANSTIPNTSQQSNTNYHNVRRSTRLKFRPPHLQSFECNQVQKTSPHSLSSVFSYDNITSKHKAFAVAIDQDTEPRNYKEAIKSQQWQQAMNEELEALEKTKTWKLVDLPHGKQPIGCKWVYKVKRKADGSIERYKARLVAKGYTQQEGVDYLDTFSPVAKIATIRTLLVVAALKGWYLHQCDVNTTFLHGDLSEEVYMKLPEGYLEGSTKVCKLVKSLYGLKQASRQWNLKLTESLIKYGFHQSQADHTLFIKFVDKNFIALLVYVDDIIVASNDITEVINIKAYLHDLFSIKDLGELKFFLGLEVARSKQGINVCQKKYTMDLLKDMNFLVCKPTSTPILPETRLTTESGTPLADASQYRQLVGKLQYLTTTRLDISYAVQQLAQFLDKPTSDHLQVAHRVLRYLKGTIGQGLLFSSQGIFQLKAYSDSDWGTCLDSRKSITGYCIFLGDSLVSWKTKKQNTVSRSSSEAEYRALATTVCEIQWLNYLMKDLQITLEPSTPLFCDNLSAIHIAKNPVFHERTKHIDIDCHVVRTKLQEGLIKLLPVSSKLQLADCFTKVLSSTNFINAFSKLGIQNLYIPSLRGDVRES >OMO81203 pep supercontig:CCACVL1_1.0:contig10228:260:11125:1 gene:CCACVL1_12551 transcript:OMO81203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNNSCGCWAVLKRGVCKSSASRDSATVSIPRTSLVYDAATETRYLNASNRELCAPYEAHLSSDNPDPPPSDNKPPWQLLQFTFQELKSATGNFRPDSILGEGGFGYVFKGWIEENGTAPAKPGSGITVAVKSLKPDGLQGHREWVEQAEEINTISPA >OMO81204 pep supercontig:CCACVL1_1.0:contig10228:12014:12175:-1 gene:CCACVL1_12552 transcript:OMO81204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYLRNTGFSNSSMSSIQEIDGF >OMO81205 pep supercontig:CCACVL1_1.0:contig10228:13602:16881:-1 gene:CCACVL1_12553 transcript:OMO81205 gene_biotype:protein_coding transcript_biotype:protein_coding description:UAA transporter MDSLNKEEVLPLIDPAKTERRHETTVVASAMSIKGRYAALGYMVSAVLLVLFNKAALSSYSFPYANVITLFQMICSCALLYAMKSWKIISFTEDERQSITSSNPVKLVPMKTLFHTLPLAVSYLLYMLITMESVRGINVPMYTTLRRTTVAFTMIMEYLLTGRKHSAYVVGSVGIIILGAFVAGSRDLSFDAYSYSIVFMANICTAIYLASIARIGKTSGLNSFGLMWCNGVICAPILFLWTLFKGDLEAMMNFTYLYSTGFQKASALLASCLANPSTSIPA >OMO81206 pep supercontig:CCACVL1_1.0:contig10228:22279:29916:1 gene:CCACVL1_12554 transcript:OMO81206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEAENHDLSDDADYAASQQQGSASMMRCDSGKRSSSSEPEGAEIVFLKDNVTIHPTQYASERISGRLKLIKQGSSLFLTWIPYKGQTANARLSEKDKNLYTIRAVPFADVRSIRRHTPAFGWQYIIILMSSGIASPPLYFYNGGVREFLATVKQHVFLVRSGEDANVFLVNDFQNPLQRTLSSLELPRAVPAASVPSSPVSVRDSTSHENEDRTDMGVSDKSASSQYYGKQRQKVNDPARDLSIQVLEKFSLVTKFARETTSQLFREAHSHSNGFGAFERRNSNQSSLDYSHKASDDEEEVPNRSPVAPDPLEFDKLSLVWGKPRQPPLGSEEWATFLDSEGRVVDSKALRKRIFYGGVEHKLRREVWAILLGYHAYESTYAEREYLRSIKKAEYETIKNQWQSISPEQAKRFTKFRERKGLIEKDVVRTDRSLPFYDGDDNPNVNLLRDILLTYSFYNFDLGYCQGMSDLLSPIVFVMEDDESESFWCFVALMERLGPNFNRDQNGMHTQLFALSKVLLPLSISTC >OMP07725 pep supercontig:CCACVL1_1.0:contig04240:4228:4551:1 gene:CCACVL1_01236 transcript:OMP07725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVNLMVVLIIMWSSLVSKGNSETKKEIGGRYVWRTCQGYLNGLESEVSSECCEAFVRTYKSRDHTLFTIEMRGTPTLRSTPTLFRPHLRYWHPREYLPSPSTLST >OMO54380 pep supercontig:CCACVL1_1.0:contig15004:13031:13111:-1 gene:CCACVL1_27840 transcript:OMO54380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLPEAKKKAADQAKSRGQNKPNHGLN >OMP07222 pep supercontig:CCACVL1_1.0:contig04579:1052:1310:-1 gene:CCACVL1_01344 transcript:OMP07222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVFLPGELIGLLRAERTGRALEEAICYRAVLLGITRASLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGMIPA >OMP07823 pep supercontig:CCACVL1_1.0:contig04176:36:614:1 gene:CCACVL1_01217 transcript:OMP07823 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MVRRPCDPAWEHCTKINIGKANRVKCNYCSHRMWGGVSRMKEHLAKKRGDVTPCPNCPPGVSSKMRDLLIKTAFAKEEKLRHKRESLEKMKVESSAASDDIKEEEENDGFKRAIKESLETYEKEKKEDEEFNDSYEKACRESLMFFEMEKKFRRGQGCSGSASNMAGPSHDFNDSVIIDLEVDSDYDNDLGF >OMP07824 pep supercontig:CCACVL1_1.0:contig04176:947:2509:-1 gene:CCACVL1_01218 transcript:OMP07824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASSCCGSDKVDEGHVVTPGNTTWRIFTYKELHTATNGFSDDNKLGEGGFGSVYWGKTTDGLQIAVKKLKAMTSKAEMEFAVEVEVLGRVRHKNLLGLRGYSVGTDQRLIVYDYMPNLSLLSHLHGQYAGEVQLDWKRRMKVAIGSAEGILYLHHEVSPHIIHRDIKASNVLLDSDFEPLVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLELLTGRKPIEKLPGGVKRTITEWAEPLIAKGRFRDLVDPRLRGNFDENQLKQAINVAALCVQNEPEKRPNMKEVVGMLKGYESRGKVMTVQTRIDSVKYKEELLALDQQTSDDEEDGGPEESYGVFGAMEVQKMQDPYRRYGERKIPKHV >OMP07825 pep supercontig:CCACVL1_1.0:contig04176:11319:12278:1 gene:CCACVL1_01220 transcript:OMP07825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MKKDAAYLVKKCDKCQLFTKIPRLPTEELNIIKATWPFAMWGIDIIGPFLEATRKRKYAIVACDYFTKWVEAEPMASITKEEVKHFLWQNILCRFGVPNAFISDNGRQLQAEHVHEFCAKYNIRKIASSVVHPQTNELTETANGKIIITMKKKLDEAKGKWAEALPGILWGIRITSHTGTGETPFNLAFGTEAVIPTELTILTLRIRNFQAPRNEEELRTNLDLVEEMRMEAQLKQANRSQQVNQYLNKRVQTIQEGDLVLRNFKASKPTGEKKKLSPNWEGPYQVVEVLGKGAYRLMDLEGRMIPRVWNAMHLRKYYQ >OMO51929 pep supercontig:CCACVL1_1.0:contig15675:2723:3686:-1 gene:CCACVL1_29491 transcript:OMO51929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKQEEIEEDDEINASESQSQEKNQKRKAEEIKVFIGVRRQKRVNQKRSHEEISFFVEKVMAELEIAVEDDVQLNKDGKPAIKKLQKLPFMRDVLCKKRLQSFFLNHGILTLLKNWLEPLPDGSLPNCNVRTEILNILTDFPLHLNQLYQRQQLKKSGLGKAVMFLIIFDKNESYSDVRNSDYIQVPVERKALSRPEFRGCDLDFNVKNIQKHTKSSQGAMRPEPAALVYKVRPKSNWKPVTQPVLDESRERILKRLRVSKKSNKRTRGHCKLKSLVPRVVKCSYFDIKQCNISTK >OMP07028 pep supercontig:CCACVL1_1.0:contig04717:93:170:-1 gene:CCACVL1_01391 transcript:OMP07028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENRLDTLLLGSSARVISVRVRVAA >OMP11054 pep supercontig:CCACVL1_1.0:contig01628:1398:1989:-1 gene:CCACVL1_00697 transcript:OMP11054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MYKRVVGHGLNAQELARNPRLDYFFVKDLNQDQELEIEDASFDAVLCTVSVQYLQQPEKVFAEVFRVLRPGGVFIVSFSNRLFYEKAIGSWRDGSGYSRVQLGVQYFQCIEGFTQPDVIRKLPSAGNSEDDKSPFSWFMKWIGLFSGKDPFYAVIAYKNFKPLYE >OMO98967 pep supercontig:CCACVL1_1.0:contig06978:13794:13853:1 gene:CCACVL1_03993 transcript:OMO98967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAYLGDRWRVLISHLQLA >OMO98966 pep supercontig:CCACVL1_1.0:contig06978:3690:4830:1 gene:CCACVL1_03991 transcript:OMO98966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >OMO98965 pep supercontig:CCACVL1_1.0:contig06978:63:212:-1 gene:CCACVL1_03990 transcript:OMO98965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERISKKTNTRRNETKREEEKTLLLFLPAAVNSAQITNQVLLNGFIEF >OMO85541 pep supercontig:CCACVL1_1.0:contig09606:21242:38060:1 gene:CCACVL1_10111 transcript:OMO85541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAGSGWLLGMVLLLGILCAESKYMVYNTSAGLVAGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSMVTALLADKNRKFIYVEQAFFQRWWRDQSEQIQSIVKEFVRSGQLELINGGMCMHDEASPHYIDMIDQTTLGHRFIKEEFGITPRIGWQIDPFGHSAVQAYLLGAEVGFDSFFFGRIDYQDRTKRKNEKSLEVIWRGSKSLGSSAQIFAGAFPENYEPPSGFYFEVNADSPIVQDNIDLFDYNVHERVNDFVAAAISQANITRTNHIMWTMGTDFRYQYAHTWYRNLDKLIHYVNKDGRVNALYSTPSIYTDAKYAASKSWPLKTEDYFPYADRINAYWTGYFSSRPALKRYVRSMSGYYLAARQLEFFKGRSDSGPNTDSLADALAIAQHHDAVTGTEKQHVANDYAKRLSIGYSEAEKVVASSLACLVNSKSSNGCEDGDTTTNFKQCPLLNITYCPASEVDLSHGKNLVVVVYNSLGWKREDVIRFPVVNEDVVVHDSEGKEVESQIVPTVDAYIDLRDYYVRAYLGSKPNDAPKYWLAFTVSVPPLGFSTYTISTSKRTGTGSTKSSISKYWSSENSAIEVGQGNIKLTISATQGNIINYVNSGKLIEESVEQSFSYYTGFNGSNDKPPLIPQNSGAYVFRPNGTYPIKPEQQGSVTVIQGPLITEVHQQINPWIFQTTRLYKEKEHVEVEFIVGPVPIDDGLGKEVSTQITTSLKSDKTFYTDSNGRDFIKRIRDFRTDWDLEVNQPIAGNYYPINLGIYIQDSEKEFSVLVDRSLGGSSIVDGQVELMLHRRLLLDDSRGVEEALNETDCVLKDCRGLTIQGKYYYRIDPLGEGAKWRRSFGQEIYSPLLLAFTEEDGDNWMSSHTSTFSGIASSYSLPDSVAVITLQELVDGKVLLRLAHLYEIGEDSVRSVMTKVELKKLFLGKKISKVTEMSLSANQGRAEMEKKRLVWEVEGHSGENPKVARGGPIDPKKLVVELAPMEIQSKYMVYNTSAGIVDGKINVHLVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSVVSALLADKNRKFIYVEQAFFQRWWREQSELMKSIVKKLVSSGQLEFINGGMCMHDEAVPHYIDMIDQTTLGHRFIKEEFGVTPRIGWQIDPFGHSAVQAYLLGAEVGFDAFYFTRIDYQDRIKRKKEKSLEVIWQGSKSLGSSAQIFAGATPENYAPPSGFSFEVDEDSLIVQDDINLFDYNVEDRVNDFVAAALSQANITRTNHIMWTMGEDFKYQYAHTWFRQLDKLIHYVNKDGRVNALYSTPSIYTDAKYAMSKSWPLKTDDYFPYADNANAYWTGYFTSRPALKGYVRIMSGYYLAARQLEFFKGRSDSGPNTDSLADALAIAQHHDAVTGTERQHVADDYAKRLSMGYVEAEKVVASSLACLADSKSSYGCEDGDSTINFQQCPLLNITYCPASEIDLSNGKNLIVVVYNSLGWKREDVIRFPVANEDVIVHDSEGREIESQLIPAVDAYVDLRNYYVRAYLGSIPNGMPKYWLAFKVSVPPLGFSTYTISTSKRTGAGSTKSSIYKYQRSEESAIQVGHGNLKLTISVPQGKIINYVNSRNLVEESVEQSFSFYTGYDGTHDKDPQNSGAYIFRPNGTYLIKAEQASLTVIRGPLINEVHQQINPWIFQTTRLYKEKEHVEVEFIVGPVPVDDGYGKEVATQITTSLENNKTFYTDSNGRDFIKRIRDFRTDWDLEVNQPIAGNYYPINLGIYIQDSDKEFSVLVDQPVGGSSIVDGQIELMLHRRLLLDDARGVAEALNETVCVLDDCRGLTIQGKYYYRIDPLGEGAKWRRSFGQEIYSPLLLAFAEEDGNNWMNSHMPTFSGIASSYSLPDNVALITLQELDVGKVLLRLAHLYEIEEDSVLSVMTSVKLKELFPGKKIVKVTEMSLSANQERSEMEKKRLVWEVEGSAGENPKVVKGGSVDPNELVVELAPMEIRTFLIDIDQTSSSNKRRIFDS >OMO85545 pep supercontig:CCACVL1_1.0:contig09606:47462:47903:1 gene:CCACVL1_10115 transcript:OMO85545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Light regulated Lir1 MQAAATLSINAPTLLPKFSPSKIFSAQPLRQGCSVAPRRVSIKATATTYDTSTVDYNSIFSVFPAEACETVGGDACRADMYPEVRLQPEARNVDSAAAAELIDREYLQYNEAKT >OMO85546 pep supercontig:CCACVL1_1.0:contig09606:49129:50147:1 gene:CCACVL1_10116 transcript:OMO85546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPYRPTIALKSLASLAPSKPLKPPRNVETVDARSIKTGFDRNTCRSNFMVEKFLRQGDLSVARQLFDQMPNRNTVSPNSIVSGYVKSGDLLRARQMFDAMIERTAVTWTIMIGGYSQKKQICEAFKLFAEMRRHDMEPDCVTFATLLSGCNDAEVHKELVQVHACVVKLGYESSLMVSNSLIDSYCKTNHLNLACRVFREMTEIDSVSFNALITGFSKDGLPENAITLFLEMQNLGYKPSDFTFAGVLAAGIRLNDFAFGQQIHGCLVKTGFVWNVFVANALLDYYSKNGCLVEVRKLFDEMPNLDVYAF >OMO85542 pep supercontig:CCACVL1_1.0:contig09606:38738:43135:1 gene:CCACVL1_10112 transcript:OMO85542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSILPSQAQSSKASSSSQSLSQNPNSNHDNHHQSSPPSISFGSLNISDPSPPFPLSNSPPGPLASVAQDSGGSSKEVSEVETPNGKGSSQQENRGKNSQSRGKMSVNMTSTRNQQGTGSQGSATHSAGRRSQTVNGNHLLNFQYDPIARSRPQPRGPPPRRQRKIKPYNKDLFLKANYKFVVLDTGRYMPESMDPDKMLIWEDIICVRYSTPFPVQCPICLEYPQCPQITSCGHIFCFPCILQYLLMGKEDHKGECFKRCPLCFVMISQKDLYTIYIENVRQYFVGDTIEFMLLTRQKDSFVPSMKNEIETSTVPCGDKDKYDPFSKFTFTSDVDLSVRQAISELDSWLARADSGLVDDLEKLPYVCAAMEQLEQRKKYWNEHRASNSNKASGNSISEVDSHGLSSIGNALNTNGDTLSLGGGTLTSRADEQHKGHRNLTTDKSIGGSGLDHTADIADSHEAQDTLSSSSYEENKDLQEQLGGSRDAKDNDYYNFYQAVDGQHIILHPLNVKCLLNHYGSYDSLPHRMSGRILELETITQSEAVRKRYRYLSHFSLTTTFQLCEIDLSGVLPPDALLPFMDEIKKREKQRKQLARKDRKEKIRAEVEAAAQITPMISGFGRSSYENSPTFSMDDFEALGSSAVMSSSPPVTGERRLFSSVTRLGFAAGHDSPSLKIEEGNALPKNEVATDSTGVAGDALMPYFTALVVDAIDIVAGGLNSTLLSFISVVKRMTFI >OMO85547 pep supercontig:CCACVL1_1.0:contig09606:53259:53846:-1 gene:CCACVL1_10117 transcript:OMO85547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTIDFRRLDEGFGGKTFKRKRQEKEIAVEAAISNDASTAATSMDVDDSCPPPAKRSAVPSTEDPNKPTFGQPTYDGVIAGRVSGRNWKQPRKHRASAKHVSIKRPTLEEREKQKEIKRAYKERINELKEEIRQNKIEKRKKREEREKKKKENVLRSGTKFQKITNPNTLKKIAKSKQKKLLQVVPDEFVNKKK >OMO85538 pep supercontig:CCACVL1_1.0:contig09606:350:7943:1 gene:CCACVL1_10108 transcript:OMO85538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQSPHTVESSVPTAKNASKREKVSPPDKDNFSGERRASARLQAAAKQKAEKKALLSKRRVEFPEKDGGRIRKKPHVENEKVKPADTENGTQQLLEVSPERTDPKVSERVAKMVERAAKIAEGLDGINANAPNLVEKSAHIQVKETLRFFNKHYLHFIQEEQKRCGDSKAAKKAGKGAKKAGKVAKKAAMGKKKSPKGMKAEERDIPEGDGKGRAKRPDLKAITKEMICPNVFTKLKYLQMMERNEVLYPEKRIGSLPGIDVGHRFYSRAEMVAVGFHSHWLNGIDYMGQAYKKGEYKHYKFPLAVAIVLSGMYEDDLDNAEDVVYTGQGGHDLTGNKRQIRDQVMERGNLALKNCVEEGVPVRVIRGHESTSSYSGKIYTYDGLYKVVKYWAEKGLSGFTVYKYRLRRLEGQPILTTSQVQFTYGSVPKTLSEIRGLVCDDISGGQENIPIPATNLVDDPPVAPTGFTYCKSMKLAKNVELPANADGCDCKEACWNPKTCACARLNGSDFPYVNCKGGRLIEAKHVVFECGPKCGCSLACVNRTSQRGLRYRLEVFRTPMKGWAVRSWDFIPAGAPVCEYIGVLTRTEELDSVSENNFIFDIDCLQTMRGLGGRERRQQDASLPMIQDMDKADEQRSEIVPEFCIDAGSFGNVARFINHSCEPNLFIQCVLSAHHDFKLARVMLFAADNIPPLQELTYDYGYALDSVHGPDGKVKRMPCYCGAEGCRKRLF >OMO85543 pep supercontig:CCACVL1_1.0:contig09606:43606:45585:-1 gene:CCACVL1_10113 transcript:OMO85543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVVPKEIEEAKEKISGGDYLGARNILLQVKRNFPALDDISGILAVCDTLFSASLGFMDCDFYFVLQIPPEATNSEIEASYNKLTSLIEPIANANNFPAAASALRMVQDAYSGLLNPEQRKVFDDKRARKLECYASGILDSEATETLCQTHENSSSCESSKMGTYKAGDDMITDAEVSINLGETQPQSSCLKDCNQDYYNFVDARKRDIYSVGQIWATYDEEDLPRKYAQITWIDESSFRLFVSWLNPLRVTAHERKWYEAKMPVVCGVFNLDGNVTAWVEPTLFSHLISAHASEQVGIYPQSGEVWAIYKDWRPMEWLSNPKARKECRLEIVEIIDGYFNLSDVVVKRLVKVEGFKTVFRRSMDKDSDHTFAIPAKSLYKFSHKIPAYRFSGQEIDGISEGMFQLDHLALPNAIPVPKPSAAEAKSLKHKWSIAEFSPEQVWAIYKGQDLMPRRYVVVNNAVSWNKVSVTLLEPFPMLVEEISWVQSNLPLATGSFKRGETRLDLDLSRFSHSVNCEKMGEDQSLYRIYPKKGEVWAIYKNFDGSRKPADVNSDQCRLVETVTDFSEESGVMAVSLIEVPGWNSFFQRQQQDGYRVSHTVSRKEMIFFSHQVPAHTVEGIDDSHGIPKGSWHVEPDALPLTISTLELSCHSTAFMLF >OMO85550 pep supercontig:CCACVL1_1.0:contig09606:78347:80798:-1 gene:CCACVL1_10120 transcript:OMO85550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MLRPLARQFKFVSNDLVSKQLRFYSAADGSASARRLEGKVALITGGASGLGKATAHEFIKNGAQVIIADIDSQLGPQVANDLGPAAQFVQCDVTIENNIAEAVQLAMASHGKLDIMFNNAGIAGQAYPPSISDLDLDEFDRVMRINVRGMVAGIKHAARVMVPLGSGSILCTSSISGLMGGLGPHPYTISKFTIPGIVKSVASELCRSGVRINSISPAPIPTPMVINQIAQIFQGVPKEKVIEIINGFGELKGTKCEEIDVAKAALYLASDEAKYVTGHNLVVDGGFTSFKNLAFPSPGQLA >OMO85549 pep supercontig:CCACVL1_1.0:contig09606:74113:77832:1 gene:CCACVL1_10119 transcript:OMO85549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase MAASSLQFSGVKTAASHSFPRQRSLECQSGRLNFAAFRSSISSNLYRSLRHCCTSRVVKVSCEARNLDLIERYGIEQAGLGEAENQLTCVMKFGGSSVASAERMREVADLILSFPNERPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVDSIEELSFIKELHHRMADELGVERSIVDGHLEQLEQLLKGIAMMKELTSRTRDYLVSFGECMSTRLFAAYLNKIGVKARQYDAFEIGFITTDDFTNADILEATYPAVAKRLNDDWISDPAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPRAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPNAPGTLITRNRDMSKAVLTSIVLKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQASELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLVLEKAFHVLRTNGVNVQMISQGASKVNISLIVNDDEAEQCVRALHSAFFESDLPELDQFVSANGSAN >OMO85539 pep supercontig:CCACVL1_1.0:contig09606:8747:10374:-1 gene:CCACVL1_10109 transcript:OMO85539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSFSVRVDKVFGSLASSSSYSSSKPESLSSLWSLNDDEIERREWNRDKGSPEPEEEEEDRLFEKFRQKKTTDFGKEFEKDLDDLDDDEDGDDGKADGPSSSSKGGGGGKPDDYNDEEWEIKSAIGRDCTLDNEEEEDEYDKVAVGREKAGDRLYLKDVNDYDVVDADSYNELPTTLRDFNRDPRANHIAAKLRLKEDAEAAKKRLEEDTETAKKIDSLRMSDSDAPAAEDANIKSILKRKDAESNPKSKRVRFEDEDVGKGTLSTEEEEAMVSNETFTLPPDYPSGIPDYMRNPSKYTRYTFDSGDVDDASNRQAYMDFLKAMKAIKKSAATEPQEDDGPVDLTKPVTFIPRKKTGDVIMGENCSESKQRREDPDKESMERRGLPIVITAGDDTNDGVCAMEEDEPQPSIDRSNNTQRSGRQYRSKPSSDVDD >OMO85544 pep supercontig:CCACVL1_1.0:contig09606:46523:46624:-1 gene:CCACVL1_10114 transcript:OMO85544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSSVLSKSSTSSSQQGTGDEVELLLHPTTYL >OMO85548 pep supercontig:CCACVL1_1.0:contig09606:65073:73349:1 gene:CCACVL1_10118 transcript:OMO85548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGANGMVWMDGEEDEDAVSSWTRNNNNNNSSSNNNEIETTTKEGDLNMSGASLSTFKSMLDTDWYMNPAMNQDLQIRELGFCSNQVDNNLLLHQPIDSSASCSPSQAFTLDPSQSHPFLPPKSCFSSLLCNNPFDNSFDFASETAFLGHFQPNQTPNLVGFAHSQMGTPPEFSSSSEFQSTRLYTGNENASALSGGFSGGGFEGFDGSGNALFMNRAKILKPLEVFPSVGSQPTLFQKRAAMRQGSGGSDRLGNLDISGLRFGGQPSDGKRKRYDEGDMDEGSIDMSGLNYDSDERNDDSKMEESVKNGGCNSNATSTVTGGGDQKGKKKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHNELESTPPGSLMPPSTSFHPLTPTPPTLPCRVKEELCPSSLPSPKSQPAKVEVRVREGRAVNIHMFCARRPGLLLSTMRALDNLGLDIQQAVISCFNGFALDVFRAEQCREGQDVLPEQIKAVLLDSAGFHGIVVQIKGSQKQKDEKEMRIYVHRARRSPHQATHWSTSDSIF >OMO85540 pep supercontig:CCACVL1_1.0:contig09606:12461:20097:1 gene:CCACVL1_10110 transcript:OMO85540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVILLAFVFSGANSSYIEYNTTQRIVPGKINVHLVPHSHDDVGWLKTVDQYYFGGNNSIRGACVQNVLDSVISSLLEDKNRRFIYVEMAFFQRWWRQQSNAKKIKVKELVDSGQLEFINGAMSMHDEATPHYIDLIDQTTLGHKFIKDEFGQIPRVGWQIDPFGHSAVQAYLLGAELGFDSLFFARIDYQDRAKRLKEKTLEVVWQGSKSLGSSSQIFTGIFPRHYDPPDGFTFEINDVSPPIQDDVLLFDYNVQERVNDFVAAALAQANVTRTNHIMWCMGTDFRYQYANSWFRQMDKFIHYVNQDGRINALYSSPSIYTDAKYAANEQWPLKTDDFFPYADKVNTYWTGYFTSRPAFKGYVRLLSAYYVAARQLEFFKGKSSSGPNTDALADALAIAQHHDAVSGTQRQHVAADYALRLSIGYLEAEKLVASSLAFLAESKSATGKGNGVTSFQQCPLLNISFCPPSEASLSNGKSLVVVMYNSLGWKREETVRIPVSTASIIVKDSEGREIESQLLPLSNSTSKIRSLYVKAYLGKTPRETVKYWLAFSVSVPPLGFSTYTVETAKQTGPSSAISTVHTYEGTKNDAIEVGQGSLKLLYSADEGKLTRYVNSRNMVTAFPEQSYGYYSGSDGSDKDPQASGAYIFRPNRTITVKSESQTKLTVVRGPLLDEVHQQLNSWISQVTRVYKEKEHAEVEFTIGPIPVDDGIGKEIFTQITTTMKTNKTFYTDSNGRDFIKRIRDFRTDWDLEVSQPIAGNYYPVNLGIYLQDNTTELSVLVDRSVGGSSLVDGQIELMLHRRCLHDDLKGVGEVLNETVCVPGGCEGLTIQGKFYLRIDHIGEGAKWRRTLGQEIYSPLLLAFSEQDGDDWMSSHVPTFSGIDPSYSLPNNIAIITVQELENGKVLLRLAHLYETGEDKDYSVMSSVELKKLFPNKKIKKVTEMSLSANQERAEMEKRRLAWKVEGSAAEEPKVVRGGPVDPDKLVVELAPMEIRTFLIDLDYLQMFSA >OMO50579 pep supercontig:CCACVL1_1.0:contig16121:4002:4576:1 gene:CCACVL1_30367 transcript:OMO50579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MTDGGVDRSVECTGSLPAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPVNFLNERTLKGTFFGNYKPRSDIPAVVEKYMNKELELDKFITHSVPFAEINKAFEYMLRGEGLRCMIRMDA >OMO50580 pep supercontig:CCACVL1_1.0:contig16121:8386:8454:1 gene:CCACVL1_30368 transcript:OMO50580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKQAKSRAEKAIEIERSKME >OMP11257 pep supercontig:CCACVL1_1.0:contig01439:155:797:1 gene:CCACVL1_00595 transcript:OMP11257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESISKKHYAFAVLLAAAMAVALTNIPTAVGVAGPFGNARKLEETCGAGGILGSSCNSDEQCGIGVCRSGSCCHQAGNRCKSATHCCNNGDCQSEKCCIRNGCGCNFDVDCCGGSCNISSNTCCGPLGTSCESDGNCCDGVCTAAPVNVVIQLVLVPPMLVNVALANY >OMO57088 pep supercontig:CCACVL1_1.0:contig14446:25306:26634:-1 gene:CCACVL1_26015 transcript:OMO57088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MPSVTWGVVQGKKEKLVNRVKICDYLKTLGIIPDELENLELPSTIEVMSERVQFLLRLGLTIDDINEYPLMLGCSVRKNMIPVLGYLEKIGIRRSKLGEFVKNYPQVLHASVVVELAPVIKFLRGLDVERQDIGFVLQKYPELLGFKLEGTMSTSVGYLVSIGVSPRDIGPMVTQYPYFLGMRVGTKIKPLVDYLVSFGLPKKILARMLEKRAYILGYVLEETVKPNVDCLISFGIRREAIPSVVAQYPQILGLPLKAKLSLQQYLFNLKLKIDPDGFARVIEKMPQIVSLNQTVLMKPVEFLLGRGLPLEDVSKMIIKCPQLLALRVELMKRSYYYFKSEMGRPVKELVEFPEYFTYSLESRIKPRYVKLHSKGIRCSLNWFLNCSEKRFEERLEGDYIESETLGPSFYIGGKLELPGNEIVSDEEDESDDEILYRRTVSL >OMO57085 pep supercontig:CCACVL1_1.0:contig14446:608:670:-1 gene:CCACVL1_26012 transcript:OMO57085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLRFRLDFENVGGDATS >OMO57087 pep supercontig:CCACVL1_1.0:contig14446:20116:22994:-1 gene:CCACVL1_26014 transcript:OMO57087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATESSEDGGAHAEPPDPDVLEIDPTSRYIRYNEVLGRGAFKTVYKAFDEVNGIEVAWNQVRIDEVLQKPEDLERLYSEVRLLKSLKHSNIVRFYNSWIDDKKKTVNIITELFTSGSLRQYRKKHKKVDVKAVKSWARQILAGLNYLHSHEPPIIHRDLKCDNIFINGNQGEVKIGDLGLATVLEQSNAKSVIGTPEFMAPELYDENYNELVDIYSFGMCMLEMVTFEYPYSECRNSAQIYKKVSSGIKPAALSKVNDQEMKLFIEKCLVPAPQRLSAKELLQDPFLQVNGAAKNRPFPLPDIVMPKMGAFGDRCLMSEGPASTRNRPPSADFDDSELPIIRSLDNSLGMEVRRTNKGNLFLLKGEANDEESSVSFILRIADQNGRVRNIHFLFFLESDTALAVSSEMVEQLELENDVFFAEMIDLLLVNLIPSWKPCVPVDHLVPPNRRQTSRDCQNSKPEECGETSLGSCKHAYKADNGSWSKLSCNTSPLGGPDESMRHISSLGPPKVLASISRKTSYVDMAYQNINMAEDACSERSYLSATSYDWIDKFSSIHSFMSAESGFIGSRGMSQLSSGTELFFDAKSKSTNITAVSSPSDPEVDEELRLELEMIELQYQEAMKEISKKRHDAIMDTRRRLSQKRMQSVC >OMO57090 pep supercontig:CCACVL1_1.0:contig14446:40539:47521:1 gene:CCACVL1_26017 transcript:OMO57090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MGSYLRKVIRRASQLIKNVEDIPSTMAKIEQNVGKVVEETVLSSEKRLEGELAEVRRLIHHKSVYQRLLEFGAIFSTAVSAHTLNKDLAKTENGSGGLETQALHPKRPTGSILDIYKIEKREEEPAVLDDQEGVSIMGVPLQSSPPNPKSLTAISDLKGFASSQLEDIKRSLIDGPHSEVLKDLEAYQSRSTSASRSQGSLEASCLLLAHTKPCFLTCLHTTYSWQQVKAERLKARAREKCANKLAATRRIAEEKRANIEAKLNEKAMRTCERADYIRRTGHKSSILIFLQIWQEPSQYELEGGKGEHQMYWQPLKMVLLFSQWKRPATAARIVSVLQIHYIGYTFPAGWIILACTPALHLNPDKYEDPLTFNPWRWKGRELSVGSKFFMGFGSGARLCDGAEFVKLQMSIFLHHSVTKYWWTVIKEGVALRQPGLVFPEGFHIQILNKNAMNMLQHGGDTKPLKIFICTYLHLASIYEPSNVHSFLCNQAKDDSGNVTRRICICNSSSICRRCCREFSASDRIDHQGISNVAPADAPLRSLPIPKAESGLELKTSNPVVEAIRIHDCISNLRFSISPTAFFQVNTLAAEKLYSRTNMIVPVPQHIVLILSSILDKTILTMLLLEAFYVVDVNY >OMO57086 pep supercontig:CCACVL1_1.0:contig14446:9620:19244:-1 gene:CCACVL1_26013 transcript:OMO57086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, ribonuclease inhibitor subtype MVRGEEVDINTAKRSYRLAKEEGNRQEEARWANVIGNILKNRGEYVEALKWLRLDYEVSNKYLPEKQLLATCQSMGEVYLRLGDYSNALKYQKKHLDLAEDAKDLVEQQRASTQLGRTYHELFLKSDNDPQSIRNAKKYFESAMNLAKILKENPLDSKSFLKEYIDAHNNIGMLELDLDNFDVALKFLTKGLEICDEEEVAEDDDGRSRLHHNLGNVYLELREFDKAHEHIQKDIIICKRIEHCQGEAKGYINLGEMHYRHQQYDKAICCYRTALNLAKSMEDEGALVDQIDENIEVVNKAINVMDELTKEEQHLKKLVRNTIAAKGTPQERKFLLQQNSCLDRLIEKSATIFYWDKHREFAKEKTRIASELCDKEKISDAFLVVGESYHKVREFREAIKWYIKSWEGYKSIGNLEGQALAKINIGNVLDSKGDWTGALEAFEEGYRIAVEAKLPSVQLSALENMHYSHMIRFNNVEEARTLQSEIDELKQSKAEPEANHIRTDYWPETDTEVDDNISDNISNADSRVMSKCNSNRSAHVAATEDFNDDVPLISLIQSSKRSLKSKAAQTGKYNSSTEPDKALSKSLSKSTNNQQTVVGRKRVRIILSDDEGDMNDEVEGSAGRLDKWPVDTAASDEFKSKIGRVCPDDKPQDFSPFAPTSPNRPSSLINIEESTCSYKLVSPKITVSDGKVNRSLSNAENVGSGFAGSSLKCDIKLHSPDDEDDVSVCHTSYAQLNLGYVTFKIDNSMIHLKVSSFLAADKRSMESIKVELACLYYLQLPVEKRSKGLLPIIQKMECGGRPLESLEIFDSLRNQLRKLLVDVFIDGWVQKRLMKLYIGSCKELSEEPNMKLLKKLYISEVEDEVNMSDCELQDISVAPLLNALHTHKGVAMLDLSHNLLGNTTMEKFQQFFSLSDQKYGGLTLDLHCNRFGPTALFQICECPVLFTRLEVLNISGNRLTDACGSYLSTILEKCKALYSLNVERCSITSRTIQKVADSLDSGSVLSQLLIGYNNPISGNAISNLLSKLATLKRFSDLGLNGLKLSKPVIDGLCRLAKTSCLSRLMLEGTGIGTDGALELTQSLFSSIQEPLKLDLSYCGIASTYVSELNTDLTFISGILELNLGGNPIMHEGADALASLLMNPHCCLKVLILNKCQLGVAGILQVLQALAVNDSLEELNLADNADLDKQLTLPNDLRNEGTEFLEPNPTISESSHKPYVSKELNDEHGLCAFNSDCNNLEVADSEDDEMRVDTAASRLNDSSASSCQRNSSLECQLIQDLSTAIGIVKNLQFLDLSNNGFSKQASEALYNAWSSGSRVGLAWRHIENKIVHFSVEENKCCSVKPCCRKE >OMO57089 pep supercontig:CCACVL1_1.0:contig14446:27388:31141:1 gene:CCACVL1_26016 transcript:OMO57089 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminyl phosphatidylinositol deacetylase MGWMLIIIPILVVWVASLCKIFLAPSSHSNPTFLNNGPAFQKRNVLLVVAHPDDESMFFSPTISYLTSRGHNLYLLCLSVGNADGLGSIRKDELYRACAVHKVQLQQVQVLDHPDLQDGFGLVWNHDLLAKIIAEEVDSHGIDALITFDSYGISGHCNHRDVHYGVRKFLLDSSPRNIEAWELVSINILRKYSGPLDIWLSNLESMRHPRGTVHWLLSEHPRKSFLAMAQHSSQWVWFRKLFVAFSSYTYVNTLRKIK >OMO78737 pep supercontig:CCACVL1_1.0:contig10544:12882:15794:-1 gene:CCACVL1_14156 transcript:OMO78737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipopolysaccharide-modifying protein MAEHSKGFRGFWPCGYQISACFPNVCLGRSKGLKSATAFIFFTFILIAALVNWTDINVIQDYPIFQMISARSINNTKKHHERIEFPLNCSEPGSSQKCPETYPTSFEPDEPSSSKTCPDYFRWIQEDLKQWKTSGITEEMIERGKPFAYFRLVVVEGKAYVEKYRKPYQTRDVFTIWGILQLLRLYPGKVPDLDLMFNCEDKSMILKRDYQGLNATSPPPVFHYSGNTDSLALVFPDWTFWGWAEVNIMPWENMLSAIKKGRQRTKWEDRVPYAYWRGNPHVYEERVELMKCNLSNKHDWNVRVYRQDWRKERKEGFKNSNLEDQCTHRYKIYVEGNAWSVSEKYILACDSMTLVVKPKYFDFFSRSMVPLRHYWPIRSNSICKDLKFAVEWGNNHTEKARAIGKEGSKLMEEFLAMENVYDYMFHSLNEYAKLLKYKPKISPNAKLVCAETMACSEEGLFKKFMEQSMVKSPSHKLPCKLPPQYEPHHIQSLLATNEDITRQVETWGDEY >OMO78734 pep supercontig:CCACVL1_1.0:contig10544:1194:1894:1 gene:CCACVL1_14153 transcript:OMO78734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MANSSSGMAVSDECMLKFLELKAKRNHRFIIYKIDEKKQEVIVEKLGNPDETYDDFTNSLPVDECRFAVFDYHFTTNENCQKTKIFFFAWAPDTARVRSKMLYASSKDKFKRQLDGIQVELQATDPSEMSFDIVKGRAL >OMO78735 pep supercontig:CCACVL1_1.0:contig10544:2228:5409:-1 gene:CCACVL1_14154 transcript:OMO78735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipopolysaccharide-modifying protein MAEHSKGFRGFWPCGYQISACFPNVCLGRSKGLKSATAFIFFTFILIAALVNWTDIDYSIFEMISAPPRNNTKKHHERIVFPLNCSEPGSPQKCLETYPTSFEPDEPSSKTCPDYFRWIQEDLKQWKSSGVTQEMIERGKPFADFRLVVVEGKAYVEKYRKAYQTRDIFTIWGILQLLRLYPGKVPDLDLMFNCGDQTVILKRNYQGPNATSPPPVFHYCADKDSLDIVFPDWTFWGWAEVNIMPWDNMLSAIKKGMQRTKWENRVPYAYWRGNPYVSVERVEFLKCNLSNNHDWNVRLYRQDWSKESKKGFKNSKLENQCTHRYKIYIEGNTWSVSEKYILACDSMTLVVKPKYYDFFSRSMVPLQHYWPIRSKISTCRDLKFAVEWGNNHPKKARAIGKEGSKLIEEFLAMENVYDYMFHSLNEYAKLLKYKPTIPPNAKMVCAETMACSEQGLFKKFMEQSMVKSPSHKLPCSLPPQYEPHHIQSLLATNEDITRQVETWGDEYWRQ >OMO78736 pep supercontig:CCACVL1_1.0:contig10544:7884:10224:-1 gene:CCACVL1_14155 transcript:OMO78736 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipopolysaccharide-modifying protein MAEHSKGFRGFRPCGYRISACFPNVGLGRFKGLVSTTFIFFTIILIAALVNIWTDINVIQDYSIFQLISAPSRNNTKRHHERIEFSLNCSEPGSPQKCLETYPTSSFEPDESSSKTCPDYFRWIQEDLKQWKSSGITEEMIERGKPFAHFRLVVVEGKAYVEKYRKPYQTRDVFTIWGILQLLRLYLGKVPDLDLMFNCEDKPVILKRDYQGPNVTSPPPVFHYCADKDSLDIVFPDWTFWGWAEVNIMPWENMLSAIKKGRQRTKWEDRVPYAYWRGNPSLSVGRKELLKCNLSNNHDWNVRIYRQDWRKQSKEGFKNSKLEDQCTHRYKIYIEGRAWSVSEKYILACDSMTLVVKPKYYDFFSRSMVPLHHYWPIRSNSICKDVKFAVEWGNNHTEKARAIGKAGSKLMEEFLAMKNVYDYMFHLLKEYAKILKYKPKIPPNAKLVCEETMACSEEGLVKKLMEQSMVKSPSHKLPCSLPPQYEPHHIQSLLATNEDITRQVETWGDEYWRQQRK >OMO58353 pep supercontig:CCACVL1_1.0:contig14246:1709:2992:1 gene:CCACVL1_25478 transcript:OMO58353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate dehydratase MQAVSPTQNLSSIIRPSKPRLNQMTRFAVRCVYRSDSVQFPNGVGSSRADWQSSCAILSSKVFSQDQGSGDKSTPSASDHLAAAVNGHKTSIDLNLVPIEKNNKPQPPSPAPPQKPLTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYLTRVISHPQALSQCEHTLTKLGLNVTREAVDDTAGAAEYISSNNLRDTAAIASARAADLYGLQVLADGIQDDSSNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDANVGTAKHFEYMFYVDFEASMAEVRAQNALAEVQEFTSFLRVLGSYPMDMTPWCPSRED >OMO56411 pep supercontig:CCACVL1_1.0:contig14526:13543:16829:-1 gene:CCACVL1_26568 transcript:OMO56411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRYVDIGRAALVNYGKEYGELVVIVDVVGQNRQKLIKFNSHLASLTRSSHYQDVLNLFDEIYCLHDNVKPDHYTLSTTLKACANLCNVEFGIKLHCYAIKSGLKAFSHVSNTLLFLYSKTHDLVSVKRVFDEIQDPDVYSWTTLLSSCTKLGDIPYACEVFDKMPKNEVAVWNAMITGCVENGYEDLGFGLFKEMHVLGFKHDNYSFASVLSECFSEMLVFGGQVQGLVVKTGFLSRASVFNALITMYFNCDDVLNASRVFEEVESSVCDQITFNVMIDGLMNLGRVEQALVMFREMLEACLSPSELTFVSLMSSCSCGRVGDQIYAQAVKMGFERCTAVSNAAITMYASFGDLNTAHIVFDILEEKDLVSWNTMVSTYAQGNYGRLAFLVYLEMQKAGAKPDEFTFGSLLSCSECIEVGEIIHALVFKNGLISRIQVSNALVSSYSKHGKMNQAYQLFQMSPKNLISWNTIISGFFLNGSPAQALKQLSKLLMSNLRPNAYTLSIALSICASLSFLSHGKQLHGYILKHHLSLETSLGNALITMYAKCGILNWSLRVFNEMIEKDTISWNSLISAFAQHGEGKEAVNCFKEMKDGGRVKPDHATFTAVLSACSHVGLVDDATWIFTSMVNDYGFVPGEDHLSCMADLLGRAGYLDEAERLIDSQHIEAHSNIWWTLFSACAAHNNLRLARTIAGILLETERDNPSVYVLLSNIYAAAGQWEEAARVRESMKNLGVMKQPGSSWISL >OMO56410 pep supercontig:CCACVL1_1.0:contig14526:1080:5677:1 gene:CCACVL1_26567 transcript:OMO56410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKNKQRPSEDPKPSQEEEEIKQEEKQKEEDAAEEEEEEKSFEELGLDHRLIRALLKKGVDKPFPIQQSAIPLILQGKDVVAQARTGTGKTFAYLLPLLQKLFPLDSGSKARLAPAGFILVPSRELCQQVYKEALSLIELCRVQLKIVQLTSGMKTSDLRAALAGPPDILVTTPKCIRDCLSNGLLQPASIRESLEIVVLDEADLLLQFGFGDDLKALTPVIPRRCQCLLMSATSSPDVDQLKKQILHTPVILTLSEVEDVKDEVISKNVQQFWISCSASDKLLYCLALLKLELVLKKILIFTNTIDAGFRLKLFFEKFGIKSAILNAELPQNSRLHILEEFNAGLFDYLIATDDIQTKEKEQANGDKHVDSRKSRKHSKAKLDSEFGVVRGIDFKNVHTVINFDMPSTATGYVHRIGRTGRAYSAGASVSLVSPDEMEIFEEIKSLLGDEEEGDTNIIAPFSLLTKDAVESLRYRAEDVARSVTKIAVRESRAQDLRNEILNSEKLKAHFEVNPRDLDLLKHDKVLSKGPAAPHLRDVPDYLLDQKTRDASKMVKLTRAAMGDGKSSRRQGSKRKFRKNRDPLKTFSAEGPKRGRKDGIKGEGKGTDFTNNSKKQKSV >OMO56413 pep supercontig:CCACVL1_1.0:contig14526:20287:22657:-1 gene:CCACVL1_26570 transcript:OMO56413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MAVEYHCCETAFFIRIIIVAGLVLFAGLMSGLTMGLMSLSLVDLEVLAKSGTPSDRKHATKILPVVRRQHLLLCTLLICNAAAMEALPVFLDTLVSAWGAVLISVTLILLFGEIVPQAVCTRYGLAIGAKVAPLVQILVWICFPVAYPISKLLDLVLGKGHEALFRRAELKTLVDLHGNEAGKGGELTRDETTIIAGALELSEKTAMDAMTPISETFAININAKLDRDLMKLILEKGHSRVPVYHECLENIIGLILVKNLLTINPADEVPVKNITIRRIPRVPETMPLYDILNEFQKGHSHMAVVIKQNNKTEQTASEKLDRDVRVDIDCEKHHPRGKCLPTKRKLKKWKNLDGNLERASSKSKKWAREFHSEILQINDDSLPLTTGDGEAIGIITLEDVIEELLQEEIFDETDHRHES >OMO56412 pep supercontig:CCACVL1_1.0:contig14526:17220:19145:1 gene:CCACVL1_26569 transcript:OMO56412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFTSKTFSLIPKHRHLATSSYPNSHRHFHLSGNDDDGGQLLTNSKPSFGLVTFETKQPSIEPETNQHSDDFASDVEKIYKILRKFHTRVPQLNLALQQSGVVLRPGLTERVLNRCGDAGHLGYKYFTWASKQPGYHPSYEIYKAMIKILGKMRQFGAVWALIEEIKKENPHFITAELFVVLMRRFASSKMVKRAIEVFDEMPKYGCPQDDAMFGSLLDALCKNGKVKEAALVFEEMKYGVLKDLLNPLLRAGKLEMAKDVWACIVSKGCDLNVSAWTIWIHALFSKGHVKEACSYCLEMMDADLMPQPDTFAKLMRGLKKLYNRQIAAEITEKVRKMAADREMTFKMYKRRGERDLKEKEKAKTDGRKRRTRRRRWGGARSRANIS >OMO56417 pep supercontig:CCACVL1_1.0:contig14526:35246:35631:-1 gene:CCACVL1_26574 transcript:OMO56417 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide repeat-containing protein MTQISWTIQSFRNLLKTCITDRNLRTGKSLHTLYIKSLIPSSTYISNHFILLYSKCGRLTAAHNAFYQTQDPNTFSFNAIIAAYAKESLPFIAHQLLWSDGTCIGVV >OMO56416 pep supercontig:CCACVL1_1.0:contig14526:30551:32858:1 gene:CCACVL1_26573 transcript:OMO56416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRQVGSTRRFVDSGNFPFAGALHSKSRSSPILSIGLVVVGAILLIGYAYSGSGKFRGEAVSRIEGDYSCTLEVQRAIPYLKKAYGDSMHKVLHIGPDSCSVVSKLLKEEETEAWGVEPYDIEDAEASCKSLVRKGIVRVADIKFPLPYRPKSFSLVIVSDALDYLSPKYLNKTLPELARVASDGLVIFAGYPGHQRAKVAELSKFGRPAKMRSSSWWIRFFVQTSLEENEAAIKKFEQAASKRSYQPACQVFHLSPYH >OMO56415 pep supercontig:CCACVL1_1.0:contig14526:29926:30009:-1 gene:CCACVL1_26572 transcript:OMO56415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHNTARLFDTVISASVMHTTSFDAK >OMO56414 pep supercontig:CCACVL1_1.0:contig14526:24918:29423:1 gene:CCACVL1_26571 transcript:OMO56414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVLSLSRTCLRSRCQSVPNQTYYPSSFSVLSSPYSTLKEQNKGAEVEQYTAVSLFNRDPTDPPRLFLVQPRLRPASFLQAKLNEALCLANSLEEQRDGYFDTDFFEKELPPHVVVQNPSLKSSKIRADTYFGPGTVETIKCHLNNVESKGEVDAVFVNTILSGVQQRNLERLWDKPVLDRVGLIIEIFNAHAHTKEAKLQAELAALMYKKSRLVRVRGPGGRYTFGGAGEAEVVSARGRGSGGRGFISGAGETELQLQRRRILERRSHLLAQIEEVRRTRAVQRAARKRRGGLDGQGLATVAVVGYTNAGKSTLISALSDSDLYSDARLFATLDAKLKSIILPSGRKVLLSDTVGFISDLPVQLVEAFHSTLEEVVEADLLVHVIDCTAPNLEEHRSTVLQVLQQIGVSEEKLENMIEVWNKIDYKEEMGADEYMDDDEDAEMSDFSGAEDGKTNNSSEEEDCKTASELLDGKSVDNQVDGNVDADDSDLYKPSPGDRQETMDDNQGDISDGWLLSGDDSADEYWNTPNEQQTETSSVWSVQKDSQTHSQHVPHVKVSALTGVGLQELLEIIGDRLKVQDDKLKSQKAVENNIFDRKWRPPRKEEEAVAVDQ >OMO56418 pep supercontig:CCACVL1_1.0:contig14526:43693:45403:1 gene:CCACVL1_26575 transcript:OMO56418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MGLLSRKATCNSHGQDSSYFLGWQEYEKNPYDETKNPKGIIQMGLAENQPKTQMQQVSKEMANPFSKNLLFSKITMAIPEFKKALVDFMSEIRGNKVTFDPNNMVLTAGATSANETLMFCLAEQGDAFLLPTPYYPGFDRDLKWRTGAEIVPIQCSSSNRFKITESALEEAYQQAHKKNLRVKGVLITNPSNPLGTTMSRAELDLLINFITAKEIHLISDEIYSGTVFSSPGFVSIMEVLKDRQLENTDVWDRVHIVYSLSKDLGLPGFRVGAIYSNDPIVVAAATKMSSFGLVSSQTQYLLSAMLSDKKFTKEYLSKNKKRLLKRQNKLVSGLEKAGISILESNAGLFCWVDMRHLLSSNTFEAEMELWKRIVYDVKLNISPGSSCHCTEPGWFRVCFANMSEDTLKLAMQRLKSFVDSMNVNDSNKSHQELKNARKSRSRNKWVFRLSFQSFHDREQDER >OMP03158 pep supercontig:CCACVL1_1.0:contig06134:496:1755:1 gene:CCACVL1_02533 transcript:OMP03158 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription initiation factor tfiid VRLLILPNLEAYLKLLEPELLLERQKNEMKRHEAWRVYGALLRAAGQCIYDRLKMFPPLPSPPAHTVLKSRVRVVTAAPNKRKASMEPLEHEPALKRIATDGPIGVASANSSPSPMQVETVALHTSGNVDADEPSSSERIANGERSGNRVGKDGQILKMSAILKQVWKDELNSGHTLVSLFELFGDGIMSFIPAPELSMFL >OMP03161 pep supercontig:CCACVL1_1.0:contig06134:17697:18216:1 gene:CCACVL1_02536 transcript:OMP03161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine rich protein MSAKTSCLFFALLAAVLLLISSEVAARDLAETTTQINNGEVATESEVEDAKYGGYGNQGGYGGYGGRGGNGGYGGRGGYGGYGGRGGYGGRGGYGGRGGYGGGCAYGCCRSDYYGRGCRRCCSYAGEAVDAEIHN >OMP03160 pep supercontig:CCACVL1_1.0:contig06134:5529:5942:-1 gene:CCACVL1_02535 transcript:OMP03160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSVEVLDSATIFKFIEDEEAFNVSIRDHFALLDTDNDGILSYAEMLKELQSLRVFETHFGVDVKTDPNEVAHVYDSLFGQFDRNSNGTVDLEEFKAETKQMMVAMANGMGFLPVQMVLEEGSFLKRAVDWESSKPYY >OMP03159 pep supercontig:CCACVL1_1.0:contig06134:2235:3184:-1 gene:CCACVL1_02534 transcript:OMP03159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTLQNKTQAKSPICLGLYDTSVEVLDSATIVNFVEDEEAFNGSICDRFALLDSDHDGLLSYAELLKELQSLRVFETHFGIDVKTDPKELARVYNSLFVQFDHDSSGTVDLEEFKAETKRMMLAMANGLGFLPVQMVLEEGSFLKKAVERESTKLALAA >OMO58683 pep supercontig:CCACVL1_1.0:contig14188:16938:19345:1 gene:CCACVL1_25414 transcript:OMO58683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MNPKMGLIIGVLGLIFLAALLFSGAEGATHNYYWVVEETNFTKLCTTSTILTVNGSFPGPEIHARKGDTIFVTVQNDGPYGITIHWHGVRMPRNPWSDGPEYITQCPIKSGRNFTQEINLSTEEGTLWWHAHSDWSRATVHGAIKVFPANGTSYPYDEPDEDHTIILSSWYKSNVMDVLKEALSTGRDTNASDAYTINGEPGDLYDCSNETMYSLSVEAGKTYLLRIVNCILNEEMFLVIANHNMTVVGSDGAYLKPFSTDFLFIAPGQTMDVLLTANQKASYYYMVLSPFLDTDSSYDNTTSRALIKYRGNYTTPTNQVSTPVFPNISDSKSAQDFVVRLRSLGDAHHPINVPQNVTNKYFITVAVNLLACAPNATNCTVNGTNKLAASLNNNSFVTPSTALLQQYYENNFKLNGIVDKLPNKPPTRFNYTTALNMSVYTSQGTRVITLDYGDTVEIVFQGTNIGATQNHPMHLHGYSFYLLGMGPGDFDCGTDPRRFNLVDPPEVNTIAVPRKGWAAIRFIADNPGVWFMHCHFERHTIWGMSTVIIVKNGDTNETSILPPPSYMPPCS >OMO72760 pep supercontig:CCACVL1_1.0:contig11392:29341:35663:1 gene:CCACVL1_17611 transcript:OMO72760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLIMWTSVQALIPIVGDYYPVEEEALVMLRNSIGTRKLYLNWTGHPCVNNQSRWSGISCSNGHVVRVVLGGIKLSGSLPPHVFLNLTFLTKLSLRSNSISGPLPDLTNLFHLEYVFLSHNLFSGSIPLDYVQLPKLNKIELQQNYLQGQIPPFSQQNLVDFNVSYNSLEGPIPPTNVLQRFPQTSFQHNSDLCGYPLETKCPVIPPHNNKRSIFEARNLALIVAASVLVPFLVILVFLCYYYKRVQRNESPQAQRKKSGESSSIELAERKTPPSGRSADDPEKRVELEILDKNIPVFDLDDLLRASAEVLGKGKLGTTYKAKLESGVVVSVKRVKDMNGLSKKEFVQQMQLLGKLRHENLAQIISFYHSKDEKLIIHEFVPNGNLFDLLHENRGAGRVAMNWSTRLCIIKDVARAMNFLHQHLPSQKVPHANLKSSNVVIHRQSQNYHSKITDFCYFPLLPSRRSAERLAIGRSPEFSQGKKLTHKTDVYCFGILLLEIITGRIPGDEISGENDLSEWVRVVVNNDWSTDILDVEIASAREEHDDMLKLTVLALECTDEAPEKRPKMIDAPRAYHGHEEHNGDHGGDDQGLQGSMDKLIGEDGIAKSHEDANGIATPHADAIKMPFNPWKTPLVPMTRARAKKNSIVGLIGSLSPTREEEKLCEGCRHTHLGVEEDQGDSWYNGRQITRGRSTDLAPMLQTLMQRMDTMNSRRGVEREESKDNIKYKIPKFNERGSPADYLECESKLDMYFDYHPYAESKKVQIAILEFAENALNWWNQLVQLRRRNLERPNETWLELKSLMRKRFVPSFYVNSLYQILQSLRQGTRSVDEYYSEMMLLMSRAEVDEAPQATTARFMAGLNRDIHDIVDDMNLVVIGPNPPLRPAKDQLESPNF >OMO92594 pep supercontig:CCACVL1_1.0:contig08194:51972:53240:1 gene:CCACVL1_06806 transcript:OMO92594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase-like protein MKRKKAAAPTFASMERRVTRSEAKRRASILAAQEGSVQTPAVSGSAQNSTCNSFGLTEIISLLGRNVSHQVDELDEVQSDLRVSIGPGYRVKEAYASTLRKIIEKHGDIAMNCTLKSDDNRTIFLEKLCQIVQKLQSTKLLEITDIQVKKMLDDVIDLKEAVNFDVGWLVKNLEEVLEALELVNRLKVWKDRNVKNIEESVRALKGYEDLIHVYEDTLKELKEKASFEKEKLDAGCGCKQKHPWVSLP >OMO92598 pep supercontig:CCACVL1_1.0:contig08194:88670:91499:1 gene:CCACVL1_06811 transcript:OMO92598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSKGTLKAVALITGGDNNVRGSLQFTQIPNGITHVKGKITGLSPGLHGFHIHALGDTTNGCNSTGPHFNPLKKDHGAPSDGERHAGDLGNIIAGPDGVAEVSIKDWQIPLSGQHSILGRAVVVHADPDDLGRGGHELSKTTGNAGARVGCGIIGLQSSV >OMO92596 pep supercontig:CCACVL1_1.0:contig08194:74683:75346:1 gene:CCACVL1_06809 transcript:OMO92596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQFQQQNQQQQKLYQKSSNIPVISKGSKFKGKNKNNNKVNKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFITQGLIGFFIHKKS >OMO92590 pep supercontig:CCACVL1_1.0:contig08194:3266:4270:1 gene:CCACVL1_06801 transcript:OMO92590 gene_biotype:protein_coding transcript_biotype:protein_coding description:collagen alpha-1(I) chain-like protein MDPKSSVPMNSGVDLSFDGPLCQHSSLSCAVCCFSHGRTSGIPIHDASLCEGFVPATPPARGRALHRRRASTSLSPSHCRYPRLASVGSSSSASHVVGSCFDAYYPLLMMIPLIYVRSANLLPPTSPYLVDDQLALKDPTVCLIDVPIEVSCSTLASPMSTDPVEPVINATGLIRRKPGRWAVSKPVARLRCWSSPASRCSRRMRDLQAMDVAEPPSADGHARFQTHASSDYFVPFCCYFTSAVSLSAAFLLFI >OMO92591 pep supercontig:CCACVL1_1.0:contig08194:25964:28520:1 gene:CCACVL1_06803 transcript:OMO92591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MHAEPIIASPYGNATIEVCVPFPPQINSAGIWENKTSPSVILDYTLPRLELQMLLVFLATQVVHFFLNRLGIPLLASEIIAGFILGPMMLGRVHGLESILFPHNSGLEIIDTTATFGYAIYLFLVGVKMDVKGAFKTVKTAKMIGILSLVSPLVLGLIVCKLLEGKNESKDIKIERMAITIGESMTSVPVISILLSELKILNSELGRLALSSATVGDLASLIVFSFISLHEKLTTSIVSTLLSLGAMILYVLVLVFVLRPFMFWMIRRTPEGKPVKDMYIYTVILLAFGSCMYAHFFDRSPLFGVFIFGMAVPDGPPLGSALVDKFECFVSGSLVSLYVTTSAMKANPMRLFTDPDGVKFSAIVGVVAFMAKFLVTFIPSYCKKMPLKDSLAFGLIMTSKGVVELSIFAGFSDNKIIQNPSFCVVIIGMLLNSTIVPILVKFLYDPISRKYAGYRKRNIMHLKPHSELRILACVHKPENVATLIGFLNATCPTEESPNVVYVLHLIELIGRATPVFIAHQKGNIATGSSYENTIMAFNQYEKNNCGLVTMNAFTAISPPNMMHEDICTMALDKHTSLILLPFHRKWLFDGSIEAEYNAIRKLNCSVLETAPCSIAISIERGNASTKMSSSSYDVCTIFIGGKDDREALTLAKRMSKDTRLNLTVTRFVTGEASENNLLIDCEQQHFVNTDER >OMO92597 pep supercontig:CCACVL1_1.0:contig08194:77391:79985:-1 gene:CCACVL1_06810 transcript:OMO92597 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin MREIISIHIGQAGIQVGNACWELYCLEHGIQPDGMMPSDTTVGACHDAFNTFFSETGSGKHVPRAIFVDLEPTVIDEVRAGAYRQLFHPEQLISGKEDAANNFARGHYTVGREIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITSAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGAEDEEEPEDY >OMO92592 pep supercontig:CCACVL1_1.0:contig08194:41308:43896:-1 gene:CCACVL1_06804 transcript:OMO92592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MPEGNETDRVCVPFPPKVYSTGIWENITSPSEILNKYPLPLLELQMLLVFFITQVVHFFLNRLGIPILASQIIAGFILGPMMLGSVDTLKSILFPHYFGREIIDTTATFGFAIYLFLVGVKMDVKGAFKTVKTAKIIGILSLFSPLVVGCIVLELMQEDNQSKQVKIERIAITSSESMTSVSVIACLLSELKILNSELGRLALSSATIGDLSGLIMTSVVSSSRAWKWSSAFENSFTIIVYVFVLVFVLRPLMFWMIRRTPEGEPIKDVYIYAVMLLALLSCLYARLCGRPPLFGAFLFGLGVPDGPPLGSALVDKFECFVSGSLVSLFVTTTTMKADPMRLFADPAGVKFSAVVAVVTFVAKFIGTFIPSFYSKIPLKDSLALALIMTSKGVLELSFFASFRDNRIIGDSSFCLLIIGTLLNSIVVPILVKFLYDPVSRKYAGYQKRNIMHLKPNSELRILACVHRPENVVALIGFLNATCPTQESPNAVYVLNLIELTGRATPVFITHQKGNTSVGSSYENFIFAFNQYAQNSWGLVTMNAFTAISPPDMMHEDICTMALDKQTSFILLPFHRKWSIDGSIETEYTAIRNLNSSVLETAPCSVGILVERDNASTRSRMLTQLSSRSSYRVCMIFIGGKDDREALTLAKRMCQDPRVSLTVIRFRSGEQSENVLIEWDQILDHQELKDIKHSQITGEYGDLLYMEEVVRDGPQAAGIVRSIADGYDLIIVGRRYGVESVQTQGLSEWSELPELGVIGDLLASTDLQSRASVLVVQQQHYVDVDADKNEL >OMO92593 pep supercontig:CCACVL1_1.0:contig08194:49067:49603:1 gene:CCACVL1_06805 transcript:OMO92593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAQEADFVGLDSFGTDEIPLLPAAPLPSEIPLRPPSPETPLPPPATPKTPPLPPPATPKTPPLPPPATPQTPPLLPPTTPKTPPLPPPATPKTPPLLPPTTPQTPPLLPPTTPKTPPLPPPATPKTPPLPPPTMPRTPPLLPPTTPKTPLLPPPATPRTPPLLPLQRLKLHHCCRQ >OMO92595 pep supercontig:CCACVL1_1.0:contig08194:65222:72461:1 gene:CCACVL1_06808 transcript:OMO92595 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein MATEPTQLQLAQLAHILGPDPTHFETLISHLMSSSNEQRSQAESLFHLAKQTHPDSLSLALSRVLSSSPRPELRALSAVLLRKILTPTSDSSFLLSVLADSTRAAIKSALLSTLQTEQSKTNVKKLCDTISEVASSIVAIGGWPELLPFLFQCVNSSNPNLQESALLIFSRLAQNVGETTETLIPHLNTLHSVFFKCLSNPSSCDVRIAALSASVSFIQCISNGKDRDTFQDLLPLMMQTLTEALNSGLEATAQEALELFIELAGTEPRFLRRQIMEVVGSMLQIAEAESLEEGTRHLAVEFVITLAEARERAPGMMRKLPQFIRRLFGVLMNMLLDIEDEPDWHTADDEDEDAGETSNYGVGQECLDRLSISLGGNTVVPVASELFPVFLADAEWQKRHAALIALAQIAEGCSKVMIKNLEQVVSMVLNSFQDAHPRVRWAAINAIGQLSTDLGPELQTQYHHRVLPALAGAMDDFQNPRVQAHAASAVLNFSENCTPDILTPYLDGIVSKLLLLLQNGKQMVQEGALTALASVADSSQEQFQKYYDAVMPYLKAILVNANDKSNRMLRAKAMECISLVGMAVGKDKFRDDAKQVMEVLMSLQGSQMESDDPTTSYMLQAWARLCKCLGQDFLPYMGVVMPPLLQSAQLKPDVTITSADSDADIDDDESIETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVATTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKGQSQGRNETYLKHLTDYIIPALVEALHKEPEVEICASMLDSLNECIQTSGPFLDEGQVRSIVEEIKQVITASSARKQERAERTKAEDFDAEEREMIEEENEQEEEVFGQVGDLLGTLIKTFKASFLPFFQELTSYVTPMWGKDKTAEERRIAICIFDDVAEHCREAAVKYYDTYLPFLLEACNDANADIRQAAVYGVGVCAEFGGSVFKPVVREALSRLDAVIRHPNALHSDNVMAYDNAVSALGKICQYHRDSIDATQIVPAWLSCLPIKGDLIEAKLVHDQLCSMVERSDQELLGPNNQYLPKIVAVFAEVLCAGKELATEQTTSRMISLLRHLQQSLPPSTLASTWSSLQPQQQLALQSILSS >OMO92599 pep supercontig:CCACVL1_1.0:contig08194:91828:104051:-1 gene:CCACVL1_06812 transcript:OMO92599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MGSNGYLPLFETRPAKGLALFRAYAASIFIAIFFLCFYRIIYFPVVEGKPAKFAWIGMFLSELWFAFYFFIIVVVRWNRVFRYTFKDRLSSRYEEETLPGVDIFVCTADPGIEPPIMVINTVLSLMAYDYPPEKLSVYLSDDGCSDLTFYALLEASRFSQLWLPFCRKLKIEPRSPEVYFKNTAEPADDVDSAMAKQWLQIKKSYEDMRVRIETITKLGRIPDDIRKDHKGYNEWNFVSSRHDHPAIIQILIDGRDPNTMDIQGKPLPTLVYMAREKKPPYHHNFKAGAMNALIRVSSSISNAPFILNVDCDMYSNNSETIRDVLCFLLDEDKGHEIAFVQYPQSFAGLTKNDIYYSTFRIEMEVELPGFDGNGGPCYIGTGCVHRRETLCGMKYSKGFKFEWRAMKNDGKIKGSAIVLEQNCKVLASCTYEENTEWGKEVSSCWALPFLHAIFVCRALLLMEFVSCGGTIRGWLNEQRMWLFKRTTSFLFAILDNILKLCRFSKSAFVITEKVVDDHVYQRYEQEIMEFGTTSPMFTILATLALLNLFNFIAGLKKVVLDDVRVKVFDLLGLQILLCCALVLLNLPIYQGMFFRKDSVVRWNRVFRYTFKDRLSSRYDQEETLPGVDIFVCTADPGIEPPIMVINTVLSLMAYDYPPEKLSVYLSDDGCSDLTFYALLEASRFSKLWLPFCRKLKIEPRSPEVYFKNTAEPADDVDSAMAKEWLLIKKSYQDMKMRIETITKLGRIPDDIRKDHKGYNEWNFVSSRHDHPAIIQILIDGRDPNTMDIQGKPLPTLVYMAREKKPPYHHNFKAGAMNALIRVSSSISNAPFILNVDCDMYSNNSETIRDVLCFLLDEDKGHEIAFVQYPQSFAGLTKNDIYYSALRIEMEVELPGFDGNGGPCYIGTGCVHRRETLCGMKYSNGFKFEWRAMKNIDGKIKESASVLEQNFKVLASCTYEENTEWGKEISSFWALPFLYAIFVCRALLLMEFVSCGGTFRAFVITEKMVDDHVYQRYEQEIMEFRTTSPMFTILATLALLNLFSFIAGLKKVVLDDVQMKVFDLLGFQILLCCALVLLNLPIYQGMFFRKDSGKMPPSVTYRSLTYALLACTIAMY >OMO92600 pep supercontig:CCACVL1_1.0:contig08194:106414:106545:-1 gene:CCACVL1_06813 transcript:OMO92600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQPEPLPRQEEIEESTFERSPTRAHDEDKSSHEEEVEEQAT >OMP03327 pep supercontig:CCACVL1_1.0:contig06097:955:4124:-1 gene:CCACVL1_02474 transcript:OMP03327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation protein UFD1 MDFELRRAREKLEKEQRERKQRAKLKLDKERKAKEEAKKQRDAIEAVQRSRRLDAMEAQLKAEQQMGENLLAGGGIAFYRMLEAVPFQGSGDKIKLPPSCFTELSDQGAFDKGPMYFQLSVIHQEGPSEIKDSEKGTVRTTHSGVLEFTADEGSVGLPPHVWSNLFPVDTPKTSLVEVRYVRLSKGTYAKLQPDGIGFSDLPNHKAILETSLRQHATLSQDDIFTVKYGELTYRLRVLELKPSSAISVLETDIEVDIVNPGVDSDGTNQYVLKPLVFGTSDSGLVEEGNYMFYKFSIDDKTWENIVSGDVNIEVKIDAETNGGDTDLYVSKHPLIFPNRHQHEWSSHDVGSKTLILSSKDRNLGAGTYSLAVYGFKGTTKYQVSVHVQENSMRAVGQQAVHLCSMDVDTVECRNCKHFIPTRSIALHEAYCSRHNVVCPHVGCGIVLRIEEAKNHGHCEKCGQALHLGEMEKHMKVFHEPLTCPCGVVLEKEDMVQHQASDCPLRLIPCRFCGDMVQAGSCAMDVRDRLRGLSEHESICGSRTAPCDSCGRSVMLKDMDIHQIAVHQKN >OMP03328 pep supercontig:CCACVL1_1.0:contig06097:10924:12861:-1 gene:CCACVL1_02475 transcript:OMP03328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAMDGLDDVGRLDGKLKKLQVVNGDQFESNSTVESIQPSLEEVEGILGYVFNNKSLLEEAFTHASLGESFSNERLEYVGDSVLNLIFTKEQFFKYPDLPPGALTRLRAANVDTEKLARVAVKHGLHRYLRHKKPLLEEQIRQFSEEIQRYPLHSNGLVDVPKALADLVESTIGAVYIDSNFSIDIVWKVFKDLLEPIINRETIKIHPVTQLYEVCQKRNLKVRFVDLWKENTCFDVFVDEQFVGRGTCSLKKEIAHNRAAKDALDNIWRILDQKDNAEISNFT >OMO86303 pep supercontig:CCACVL1_1.0:contig09507:13492:14778:1 gene:CCACVL1_09650 transcript:OMO86303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYAKLVFSQTHNPNILLFNSMIKGYSLNGPFEEAITLFSSIKADWISPDEYTFSPLLKACSGLADVRIGQCVHGEVIKSGFEHFGSVQIGIVELYSASGRMEEAEKMFDGMSQRDVIIWNLMIRGYCKRGDVGMGLNLFRQMSERSVVSWNSIISGLAQSGRHSEALALFDEMREMSVQPDEATLVIVLPICAHLGVVDVGRWIHSYAESSKLYHNVISVGNALVDFYSKCGNLETALQIFSNMRSKNTVSWNAMISGLAFNGKGELGVELFEEMVNKGERPNDATFLAVLTCCAHAGLVEKGQELFASMSKKYSIDPKLEHYGCMVDLLGRSGSVRMAYELIRSMHIMPNATLWGALLSACRTYGELELAEVAVKELINLEPWNSGNYVLLSNIYAEEGKWNEVENVRVLMREKNVKKAIGQSTTA >OMO86304 pep supercontig:CCACVL1_1.0:contig09507:17056:17145:-1 gene:CCACVL1_09651 transcript:OMO86304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSHSLFVVVVVRFGKQDLAFLRGLRKL >OMO86309 pep supercontig:CCACVL1_1.0:contig09507:26105:29724:-1 gene:CCACVL1_09657 transcript:OMO86309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNRDTGMLYGEAVALRAMMSINHSWFWETLNRTNGYSLLAKGWNQESLFFTNVAMDGRGVISVGFPAKVVIDHFEALDFNGGEFHLATIDGDVIMQMKLPNIEILVNNHTVSVQSLKPDGTTHEVSSCVCVDHGKLRSFHGKIKGVKYKFYCSSVEIAGVQSVYVLAYPRDHGLVGIVGSNSKLSSMLIKLIFISIVVALAIFIFFSIRAAKREMFLCAAFVKQMNATQQAERKSMFKTQTYLRANHDIRSSLAAITTLLDLCHDDANPHSELAANLAQIKTCTKDLLGILNSVLKMSKIEAGKMDLEEEEFNLAQLLEDVVDMFYPLGIKKGIDVVLDPCDGSISKLYQVKGDRVKLKQILCNLLSNAIKHTSEGHVSVRAVVKKRSFEKKIIASNGNLVLKCLSKCFCNKEDFEDIDALHKAEQNLNKMEIEFEVDDTGKGIPKDKQASIYEEFFQVRLMDGEIGIIEKDPSERGTCFRFSVLLNACQSEPVEALEDSPNGFHPHFSFIRNPTIKSEGSNSHVILYITGEERKRVLKRYIENLNIKVTLVKQGKNLHQELEKIKRKLDLPYYCNKPELSLMDYLSKSASSNSDSTSHDPPFGTKEGNDTSLPLPPQFIKANSRTCSTFIVLVIDSSAGIFSELCSAVAEFRTDIPDSLCKVVWLDNPVKRTHPRDDEEIKLIPPCDHLIYKPFHGSRLTQVLHLLSERKGNSLCNFPKSMNSTSMKLSGTESENSSSHPLQQVVVHKTEEKRIEKPLSGKRILVVEDCYLLRRLTVTTIQKLGAEVEICINGKEAFDEVSKILKEKKEGNSLSLHYDYIIMDCEMPVMDGYEATRLIRKEEQKYDVHIPIIALTAHAMPEEANKTLGAGMDFHLTKPLQVDKLLEVLHSLQ >OMO86307 pep supercontig:CCACVL1_1.0:contig09507:19339:23246:-1 gene:CCACVL1_09655 transcript:OMO86307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLTNVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVTMADSNVRPLEVAIGNLDMLSFFMLFYTEFELDETFSEIIVAGNAQNFPGQSLAKAFFCFNNRYIYSGCNEAYRLNESGTLNVPREATEVFCSGPCLAETQKVLKCVDEVFSDFTFNNKATVRDIRNVLRVGCSYTSRRGNFDLGDYYQGEISKATSFSLHNFMFTLSALTLLVVFYIF >OMO86301 pep supercontig:CCACVL1_1.0:contig09507:4288:5370:1 gene:CCACVL1_09648 transcript:OMO86301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQTPNTNELYHQNQASHLKTELENCPNGQTQDFLEDLDSTCSTPYVSAPSSPGRGPGSINGGFFYSAPASPMHFAMTSSVASMISSTQPSSPDNVPLGYEFEFSARFGCSGSGQTGSMISADELFLNGQIRPMKLSTHLERPQVLAPLMDLENEEDKEINEDKVRGRDLRQLRDKSLRRRTRSMSPLRNINVDDQNNQDLVKKPDKNDEEEMLSASMSGSSSRSSSAGRSSKRWVFLKDFLRSKSEGRSNNKFWSTISFSPIIHKEKKLPGNNIPESSENPSSKSSKVKPMNGIGKRRIPVPSPHELHYTTNRAQAEELRKKTFLPYRQGLLGCLGFSSKGYGAMNGLARALNPVSSR >OMO86306 pep supercontig:CCACVL1_1.0:contig09507:18318:19086:1 gene:CCACVL1_09654 transcript:OMO86306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVEFKVRLHCKACEKAVRKSLLKINGVKCVEIDMKSSKITVLGYIDRKAVVKAIHKTGRRAESWPTSSVSCPRDDYFSPRLPKGFRCIIPRCGVQKFEK >OMO86308 pep supercontig:CCACVL1_1.0:contig09507:25028:25812:1 gene:CCACVL1_09656 transcript:OMO86308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein A MADVVAKDRKILVAVDEGEESMYALSWCLKNVISQNSKDTLFLLYAKPPRVVYSSLDGTGRRPYFQGYMFSADILASIDKYSNDVAACVIEKAKKLCRDQADEIKVEVRVESGDPRDVICEVAEKIKADVLVMGTHGYGLIKRAFLGSVSNHCAQNVKCPVLIVKKPKA >OMO86305 pep supercontig:CCACVL1_1.0:contig09507:17737:17943:1 gene:CCACVL1_09653 transcript:OMO86305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRSSCCSRSYASTPKKEPVAVTPKKEEVAEGNVPASAAAVAVAAVKTPKAEQKEPVKANVGSGGCM >OMO86302 pep supercontig:CCACVL1_1.0:contig09507:10242:12570:1 gene:CCACVL1_09649 transcript:OMO86302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-type MATSNNPVGVDNTFRKKLDREEYLERARERERQEAEGRNKSKAKGPPVQRKPLKHRDYEVDLESRLGKTQVVTPVAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERATLQQVQERFEKLKKRKDPGSFSEQDLDERIIKQQEEEEERKRQRRERKKEKKKEKAVEEEPEIDPDVAAMMGFGGFGSSKK >OMO96991 pep supercontig:CCACVL1_1.0:contig07333:12561:14311:-1 gene:CCACVL1_04723 transcript:OMO96991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLKFSWMNHRVGFRFSQEFLGKLPADSDRGIKRKRVSGTGFCPFHSGVVTIGFIAAFRICKVSDSLNLKIYSPEDLAFTDNFSTSSLIGKTQFGRVYQARIQETGKKPQSVTIKTWNDRVERYMHHDDQKVLLEEERRFLTLPPMATHPNLVNLIGYCCEEEMKGVVYDLNSMDTLHNLMRKGIVSSLKETGNWL >OMO96990 pep supercontig:CCACVL1_1.0:contig07333:4405:6250:-1 gene:CCACVL1_04722 transcript:OMO96990 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H protein MLRGLEKGMAVIGNGRGSLRRRVRRVTGVVIGCCFGRESRVRIIKGDDVLDTRCRIIVKSGHDTSIWFDRWLTNCDSNLRSLNSGPLHRNEDLLRVADCLDGKLSMLLVFRLNCGVRDGFKLALDKRIIMLEETIDAQVVIDLIHKAIVNLHPLGNLITDCRNLMSQFHRLKFLHCYREGNRFANVLTNLGRSFEDNFPVSDCIPYSVFDVFMDDIMGLSMPRLVNA >OMO96992 pep supercontig:CCACVL1_1.0:contig07333:23149:24460:1 gene:CCACVL1_04724 transcript:OMO96992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFNKSSVSCSSEDDADQQQLRRGPWTLDEDSLLIHYIARHGEGRWNLLAKRAGLRRTGKSCRLRWLNYLKPDVKRGNLTPQEQFLILELHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARHLKIDANSTAFQNIVRCFWMPRLLQKMENSSENSAVSQSLDQVASAQHQALMTLQQQVAGQGPGSEAVQNLENQEQNSSDSEHCASSCVSSSESMNNYNNISQISQFADYQSSPFQIVGNSDYNVTDAKDCYYVNDDNNSNTGCYDMETINQLASTSALGDFANPVGDCHMAENNWVGDGFADGLWNMGELWDLRNLQ >OMO74796 pep supercontig:CCACVL1_1.0:contig11085:3648:16933:1 gene:CCACVL1_16463 transcript:OMO74796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKLGDQVDNLQILEKNNGNSHEIFDKALEQCLKFGMFNVSGVEIQDTEDSLSLLP >OMO89858 pep supercontig:CCACVL1_1.0:contig08595:518:1722:-1 gene:CCACVL1_07585 transcript:OMO89858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKRDIEICTPKSSKQIRAIFCRSRWKEDFCNIMWR >OMO89861 pep supercontig:CCACVL1_1.0:contig08595:26957:30443:-1 gene:CCACVL1_07589 transcript:OMO89861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPIQEGGERDLEKGLMTTQLNQSFLMEHSPTPSPSAATAPALIISNSSKRIDRMGSNLTSSSGITEHSATPSPSSTAAAPALVLSNSAKRIDRMGSNLTSSSGITEHSATPSPSSTAVAPALVLSNSAKRIDRMSSTLTSSSSCTAEASATPSPSSTTAPALVLSNSGKRIDQAAKKKYVKQVTGRHNDTELHLAAQRGDLAAVKQILADIDSQMMRTASGEDFDMEVSEIQASVVNEVNELGETALFSAAEKGHLDVVKELLKYSNKETITKKNKSGFDPLHIAASHGHHGNDLAFIYVVFLVVLSDSDWSVEFFSAIVQVLLDNDPGLCRTFGPSNATPLVSAATKGHTAVVHELLSRDGSLLESTRSNGKNALHLAARQGHVDVVNALLSKDPQLARRTDKKGQTALHMAVKGQNSEVVKSLLNADAAIVMLPDKFGNTALHVATRKKRAEIVNVLLSLPDMNVNALTRDHKTALDIAEGLPLSAESSEIKSCLSRYGALRANELNQPRDELRQTVTQIKKDVHTQLEQTKRTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDDNSGVAVVVSSSSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAEKQVVEVINKLMWLASVCTSVAFMASSYIVVGRKHKWAAILVTVVGGMIMAGVLGTMTYYVVKSKRTRRKRGKNSRRSGSNSWHHSDVTNSEVEIDRIYAL >OMO89859 pep supercontig:CCACVL1_1.0:contig08595:9556:17816:1 gene:CCACVL1_07587 transcript:OMO89859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWLRTAVNKAVEVGNKNNLTRNIKNYADTVVHHAAYCNFKVASGSRSIKSVKQTVKRLEEAAVSCRGSERVMLLKRWLVALKEIENITGGSSEGGVKSLEQIIASEDARENPKRPSMVLYYDSDIGGAPMNFREVFLQSQALEGITISMILDAPNDEEISLLLEMFRLCLTGGKEVHNAIVSSIQDLSTAFSSYKDEVLVKREELLQFAQAAITGLKINADIVRMDTEASDLKKKLDQMGVSQILSKEGDGDASEKTTAVTIEALKEALAQIRICSTLEGIFLKKKSLNNGDSAEIHAQKVDKLKVLSESLANSSAKAEKRISDHRVQKEEALTVRVAKASEADGREEEIVAEISELEQERDKLEAQLKKVNISLAAANARLRNVREERDQFDEANNQILAHLKSKEDELSKSVSACRVEAEVLHTWINFLEDTWLLQSSYAETKNKQANEELEQHEGYFVNLAITLLSAYKKELGPSISRIEKFVENLKKLSGRSETSPGNEDSKDLNPRKHLEEEYLDFEAKIITTLSVVDNMKEQFYAKHGTISRKDDPKVKQLFDDIEKLRAEFESIERPTLEMETPDADTPDEKPQETVSPLSPLSPTRSPTLESTQPKKPETKKNPETQPVLDAAAELAKLESEFGKVDQDYSTEEIGDWEFDELERELTSGGSASGK >OMO89862 pep supercontig:CCACVL1_1.0:contig08595:39928:40107:-1 gene:CCACVL1_07590 transcript:OMO89862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIKARSHAVSTERTILSPFTKEYRVLAAHSGIRLFLGGPFPLGFGPSIPSLKFFVFY >OMO89860 pep supercontig:CCACVL1_1.0:contig08595:21478:22542:1 gene:CCACVL1_07588 transcript:OMO89860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLLSDDFEDEEYIDMEVSSYSNFFCNSINSPPHPREFEFQMSSVSMEREPSTSPADELFYKGKLLPLHLPPRLQMVEKLLQHSNSVYEDRKDNFEEFYSTPLGTTVTTPTAMSTPFESCNISPSESCRVSRELNPEEYYNNFFEYSTEEASGRIFIGDQNYQKKSNWTKKLKLIKQSSSIGSKLKASRAYLKSLFGKSGCSDESCAAAKVADEASVTKAKERLEKYAKTTKKNPFGQIYQENVTQDNSNRHRRSFSLAIKRHSTNKSSTSSSGSSSSSSSNASNGFQYLQFLKRSSSVNAEVENPIQGAIAHCKQSQQLMRSRKTVSEVGFYNSLSASSIAICEDQELCRG >OMO95477 pep supercontig:CCACVL1_1.0:contig07670:52350:57301:1 gene:CCACVL1_05401 transcript:OMO95477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIAPQKAEQPLISFLSSFNEESTVTNTVGNVGFEDGEIK >OMO95475 pep supercontig:CCACVL1_1.0:contig07670:45117:45878:-1 gene:CCACVL1_05399 transcript:OMO95475 gene_biotype:protein_coding transcript_biotype:protein_coding description:SCO-spondin-like protein MKSKMKFQLQYGARPKSGRKNSSPIYNEAFDKEQKKGVEPYLSCSARQKMMKSKMKFQLQYGARPKSGRKNSSPIYNEAFGKEQKKGVEPYLSCSAQQKMMKSKMKFQLQYGIRHETEAKQSSNNYYVAYDKYNKSKTLGQNVAYDKYNKSKTLGQNVAYDKQNKSKTLGQNVAYDKQNKSKTLGQNVAYDKTNKSKTLGQNVVYDKYNKSKTLGQNVAYNKYNKSKTLGQNVTSDKGNQSKALSYHATCNTK >OMO95474 pep supercontig:CCACVL1_1.0:contig07670:39026:39667:-1 gene:CCACVL1_05398 transcript:OMO95474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLPFWHCREVEANGIYAEMYLKGLVRHLKSGMGTPLRKEGISEVYVYELMDHANGSNKEMGHLIGEYDQEIRCGLLQWGSDSWVDALDYFLVALVWILPSPDFG >OMO95476 pep supercontig:CCACVL1_1.0:contig07670:46380:46448:-1 gene:CCACVL1_05400 transcript:OMO95476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIKSPKGATPADETINQLEA >OMP08375 pep supercontig:CCACVL1_1.0:contig03814:1044:1677:1 gene:CCACVL1_01116 transcript:OMP08375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIDVENGESSVEVEMKEPFLHHTKGSKRTGSLKQISASNQHGLKSGSCKSSLQVDSEQVGFIGQKKVLRGFDEEIRDFVGEQMALRGIEFHTEETPQAIVKAADGSLVSEDQQ >OMO54040 pep supercontig:CCACVL1_1.0:contig15065:9278:11487:-1 gene:CCACVL1_28109 transcript:OMO54040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismatase-like protein MAESDKWKHTALLVIDMQNDFILEDGLMRVNGGKAIVPNVIEAVEIARQRGILVVWVVREHDYFGRDAELFRQHLYSPEKGGPTAKGTVGAELADGLVIKEGDYKLVKTRFSAFFATHLHSFLKSNGVDKLVIVGVQTPNCIRQTVFDAVAYDYPSVTVIVDATAAATPEVHNANIFDMKNIGVATPTLQEWREANA >OMO54039 pep supercontig:CCACVL1_1.0:contig15065:3209:5558:-1 gene:CCACVL1_28108 transcript:OMO54039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismatase-like protein MEVATAAASSVAFNAHMLSQPTAARTLNCNRSLTFHSPTSHRTSLSTNFLSSFPAAASVDGDFSGRKLRLAYLNPSSISRSKPKRGVITMGESMADKWKQTALLVIDMQKDYVLEDRLTRVKGGKAIVPNVIKAVEIARQHGILIVWVVREHDYF >OMO81828 pep supercontig:CCACVL1_1.0:contig10096:12098:13088:-1 gene:CCACVL1_12173 transcript:OMO81828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MKLYLLFGLSFALFISGAHMATISIKNNCPYTIWPGIQTGANGAQLGADSGFELAQQATRSVNVPASWTAGRIWARTGCSSNSGTFSCATANCGPQVACNGAGGAPPATLAEFTLFDPNNANQDTYDVSNVDGFNLPVSITPQGGSGATCRATSCAKDINAVCPAELAVKGSDGATIGCKSACTAFGEPRYCCTGEFNKPETCPPTDYSNFFKDQCPEAYSYAYDDPSSTFVCSGQPNYLVTFCP >OMO81826 pep supercontig:CCACVL1_1.0:contig10096:232:903:-1 gene:CCACVL1_12171 transcript:OMO81826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MATLTIRNNCPYTIWPATLTGGGRPQLGNTGFQLAPQVSSSINVPAPWTGRVWARTGCSTNSGRFVCATADCGSGQVACNGAGGAPPATLAEFTLAPNSRQDFYDISNVDGFNLPLSITPQGGSGPRCTGTSCSANINSVCPSKLAVRGSGGNIIGCKSACVVFGEPQYCCTGEYNSATRCQPTNYSRIFKNQCPQAYSYAYDDQSSTFSCTGGPNYLITFCP >OMO81830 pep supercontig:CCACVL1_1.0:contig10096:22619:23436:-1 gene:CCACVL1_12175 transcript:OMO81830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MEAQVIFALSFAIFFFYGAESATFTFTNNCPYTVWPGTLSGQGPQPSSTGFELASKASFTLDIPAPWSGRIWGRTQCADSGGKFQCATADCGSGQVTCNGAGAIPPASLLEFTLAASGGQDFYDVSLVDGFNLPLGITPQGGSGDCKATSCPANVNSVCPPELQVKGGDGSVIACKSACLAFNQPQYCCTGAFSTPDTCKPTDYSKIFKDQCPQAYSYAYDDKSSTFTCSGGPNYLITFCP >OMO81827 pep supercontig:CCACVL1_1.0:contig10096:7946:8617:-1 gene:CCACVL1_12172 transcript:OMO81827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MATLTIKNNCPYTIWPATQTGGGKPQLGKTGFSLASHGKNSINVPATWSGRVWARTGCSTNSGRFVCATADCGSGQVACHGRGGAPPATLAEFTLEGKGGHDTYDISNVDGFNLPLSITPQGGSGPKCTRISCSANINLVCPSQLAVKGSGGNIIGCKSACAAFGKPQYCCTGEYMPRGKCPPTNYSKFFKKQCPQAYSYAQDDSSSTFGCNGGPNYLITFCP >OMO81829 pep supercontig:CCACVL1_1.0:contig10096:17385:18256:-1 gene:CCACVL1_12174 transcript:OMO81829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MKTQAIFGLFLAAIFFFHGTESATFTLTNNCASTIWPASLTGAGAPQTTGWVLNSKDSVTFDIPSPWSGRFWARTQCTTDATGKFKCATGDCASGQTACNGAGGVPPVSLAEFTLAANNGQDFYDISLVDGFNLPLSIAPQGGSNSNCPAVTCQANLNAGCPLELQVKGSDGSVVACNSACSAFNQPQYCCTGAFGSPDTCPPTNYSNYFKGQCPQAYSYAYDDKSGLASCIGGPNYIITFCP >OMO97127 pep supercontig:CCACVL1_1.0:contig07277:4365:4445:-1 gene:CCACVL1_04640 transcript:OMO97127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTCKEEQRKEIGGGREEQETDEWKM >OMO51771 pep supercontig:CCACVL1_1.0:contig15719:2682:3350:1 gene:CCACVL1_29602 transcript:OMO51771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRDTLLLDSSCSDFEFNICLEQQSCSADELFANGMLLPVSLQGKRQVQKSEAPALVSLPPRPNFSTVEDSKKETKTQTQIRPLNLNSEMEDQIQKPQSKSFWGFKRSSSLNCDKKKSLICSLPLLSRSNSTGSVPNSKRSSSIKDSNKQKNPSNSTSSCNAYQFPQKPPLKRNHGNSYGNGVRISPVLNVPPAYISKGTASLFGLGSFLRNGKDKKISRK >OMO51772 pep supercontig:CCACVL1_1.0:contig15719:8227:19299:-1 gene:CCACVL1_29603 transcript:OMO51772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAIPLPEFQRLEESSVVVATSSVKAAPFGGLSEKTEALKSPILIFLLFHKVVRNELDALHRLALAFATGNSVDIQSLFKRYGFLRSIYKHHSIAEDEVIFRALDIRVKNVAKTYSLEHKGESNLFDHLFELLNSYMQDDESFPRELASCTGALQTSISQHMAKEEEQVFPLLIEKFSHEEQASLVWQFLCSIPVNMMAEFLPWLSSFFSPDEYQEMKKCLSKIVPEEKLLQQVIFTWMEGKNGADVLEKCPLDSTDGISHPLESMTCPCESSKTGKRKHMEPSNNVTETDGTHPMNEILLWHKAIKSELNEIAEEARKIQLSGDFSNLSVFNERLQFIAEVCIFHSIAEDKVIFPAVGGELSFSQEHAEEESQFNEFRCLIESIQNAGAVSTSAAEFNSKLCEHADQIMETIRTHFNNEEVQVLPIVRKKFSFKRQRELLYQSLSMMPLRLIERVLPWLVGSLSDNEARNFLKNMQLAAPATDTALVTLYSGWACKGRNQGMCLSPNGNGCCIKKFADIEEDFVRSCCACSSALCMNETCLSIPQDEVKRPLKRCISDSCKNGNASVQSDTTDALKPSCNERSCCVPGLGVNSNNLGLSSLSTAKSLRSLSFSSSAPSLNSSLFVWEADNNLSDSGSAGRPIDTIFKFHKAISKDLEYLDVESGKLSDCDETFLRQFIGRFHLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFEDINSVLSELSHLHESLSGGHLPENSTGSGTDGTELSGGYGDDTLRKYNELATKLQGMCKSIRVTLDHHIFREELELWPLFGRYFSVEEQDKLVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQATKNTMFNEWLNECWKKPSETSLQNEMSETGISQKDNDFQESLDQSDQMFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKAYLVQNLLTSRWIAAQQKLPQSGSSENSDGEDVLGCSPSFRDQEKQIFGCEHYKRNCKLRAACCGKLFTCRFCHDKVSDHSMDRKATVDMMCMRCLKVQPVGPECTTPSCNGLLMAKYYCNICKFFDDERNVYHCPFCNLCRVGKGLGVDYFHCMTCNCCLGIKLVNHKCLEKGLETNCPICCDFLFTSSATVRALPCGHYMHSACFQAYTCSHYTCPICSKSLGDMAVYFGMLDALLAAEELPEEYRDRCQEILCNDCDRRGTARFHWLYHKCGNCGSYNTRVIKTETTPASHYAAAKFQPHNFMLLLLNLSSTLAVTFVAKAVLVWKIVNKSRGPAEIDPSSLCGWEAPCP >OMO85341 pep supercontig:CCACVL1_1.0:contig09634:4195:12301:-1 gene:CCACVL1_10257 transcript:OMO85341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIEAAFKHKRNFELLAKQFARKYSDKLPLFSGSNRGESSEDNRKTEEQ >OMP06678 pep supercontig:CCACVL1_1.0:contig04857:4285:4374:1 gene:CCACVL1_01472 transcript:OMP06678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PHATSFKALSSKSSSKLFLNRHLQSCASL >OMO86407 pep supercontig:CCACVL1_1.0:contig09488:339:8429:-1 gene:CCACVL1_09603 transcript:OMO86407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAISAKIQPTLQHLSYHSILEHTLIQIFYDGLEAKDRKIIDAASQGTLTNKNPIEARSPIQSTSFQTQQLQQLEFMPKRPTPNFLLDEEFFARITHIDVSNDDRISETIEIQVEENYLLAEEIVAKLESFKHESVLDFNLDEADEPCITVQTETPKEEIQFEESKEEEFLSQPKRSLEIVIHVPFPSQLVKHKKEMIEDEQLKELCTLEVNISLISKIENTHGCAIFLKELCTAKRKLKGNELICMNDDTEPPCEGNLSSFCYISLEPTPKPLAISIEQPPKVEIKKLPDNLKYVFLGENGTLFVIISNKLEEVQEEKLVRILKENRQDIGWTIADIYPRTAQPRRSLPTGSTSPWAGRPTGAASPRQAARVGRLRQGRPPSWGGFATVGRPGGAASSRQSPYAAASELSTVVDDLQTSPNGSPQPPEHSAGVGSSRILPKLHKSSFSETESKLSDRKIHPSDGSTP >OMO86772 pep supercontig:CCACVL1_1.0:contig09416:65043:67979:1 gene:CCACVL1_09474 transcript:OMO86772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTIGFIDLLSIFDWKSCLSCKGHRKAESRKGQCNIE >OMO86770 pep supercontig:CCACVL1_1.0:contig09416:54045:56061:-1 gene:CCACVL1_09472 transcript:OMO86770 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine/threonine-protein phosphatase 2A regulatory subunit B'' subunit gamma MSHYRDDMIMPGDIFLLQLCSGVDENAVGTCAELIFAPIDASFSDDAPIIPSGFRIIPLDSGMRVALALSPSRFGSNTGFQTPPGTPEAQTLARWICQSYRCYLGAELLKNEGSENILKMLWHHTDAVLCCSLKEMEAYIRGLIPNLAQLRDMPAAFVQMYCRIAAHKFFFCDPNRRGKACIKKVLLSNCLQELMELHQETEEEVTDTEQAENWFSLTSAQRICELA >OMO86769 pep supercontig:CCACVL1_1.0:contig09416:18774:30898:-1 gene:CCACVL1_09471 transcript:OMO86769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDSRKDTFNAELSNARAATIDDATAASKTENSAFDSEDTAVATWFDPTEYSELCVSTVENPSKMEDESESTETGDFIQDAIPTIETKLLTLHAAMLVPIELSNAFLLEGPKLSTGLVVEPYFMLNTFTQKSTSMVGFKGLPLVMMELPLDVFIGFWPALCVKTNGQ >OMO86771 pep supercontig:CCACVL1_1.0:contig09416:64362:64496:1 gene:CCACVL1_09473 transcript:OMO86771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVGLVARCRSVESVLTDDARVSTVRGRAWYIWTKTNRDPTDQ >OMO51622 pep supercontig:CCACVL1_1.0:contig15772:30914:31009:-1 gene:CCACVL1_29678 transcript:OMO51622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTHHHLNFPKLESDPFEFDNFNFETPFGK >OMP05148 pep supercontig:CCACVL1_1.0:contig05510:16207:17793:1 gene:CCACVL1_02042 transcript:OMP05148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIDPQNTDMGGWSMLQSLANSNTKMSTDNKVYVHPLIKRSSSKLDEKSFAMCIESSGNEIDSEVDQMEKAMEYKNLQV >OMP05147 pep supercontig:CCACVL1_1.0:contig05510:1083:4224:-1 gene:CCACVL1_02041 transcript:OMP05147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose/H+ symporter, plant MAIPEVDRQRTRGRPPASSSTRPPARPPVKARVPLRQLLRVASVACGIQFGWALQLSLLTPYVQELGIPHAWASIIWLCGPLSGLLVQPLVGHMSDRCTSRFGRRRPFILAGAVSIIVAVLIIGYSADIGWLFGDRGSSRPRAIVAFVFGFWILDVANNMTQGPCRALLADLTGKDNRRTRVANAYFSLFMAVGNVLGYATGSYSGWYKIFPFTRTAGCDVDCANLKSAFFLDIIFIVVTTYISVSAAKEVPLGLRDRSTPSHEEGHEQSGQAGEAFLWELFGTFRYFSGPIWIILSVTALNWVGWFPFLLFDTDWMGREIYGGEPNEGDFYNAGVRMGAFGLMFNSVVLGITSVLMEKLCRKWGAGFVWGVSNILMALCFFSMLVLAYVADHMGYLGHDLPPNGIVIAALVIFSILGVPLAITYSVPYALVAIRIEALGLGQGLSMGVLNLAIVLPQ >OMP05149 pep supercontig:CCACVL1_1.0:contig05510:25300:25980:1 gene:CCACVL1_02043 transcript:OMP05149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRSESNVSENFETFFNGWLLRQENFLHQLVHSMNENETQWQGNLIQQVLSHYQQYLEEKTRAVNEEVFLFFSPPWLTTFEKTLLWVGGFKPFLIFNILANSVTELTPEQQESIERVKKETRREERELAEAMATIQESVATPPLWDLARRSGKLIDGETLELESAMEKLKAAMVRTVEKADNLRSSTAKKVIEILSPTQTVKFLAASAEFQLGVRKWGSIKDQQR >OMP05150 pep supercontig:CCACVL1_1.0:contig05510:54390:54521:1 gene:CCACVL1_02044 transcript:OMP05150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGKTQAMLMTMVGKKAMVIVANKAMEEDNVKRFHLFWQNFF >OMO50374 pep supercontig:CCACVL1_1.0:contig16200:5369:5554:1 gene:CCACVL1_30478 transcript:OMO50374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLQINTFASATESLELILGFQVYCFDFDICNGSARGDMGRPKELLVAISLYMAGRMTP >OMO50373 pep supercontig:CCACVL1_1.0:contig16200:2094:2246:1 gene:CCACVL1_30477 transcript:OMO50373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MAPTKEEWRRLKEFKNESLFKLSPAEKFLKPVLDIPFAFNRVDAMLYIAN >OMO50375 pep supercontig:CCACVL1_1.0:contig16200:9536:9625:-1 gene:CCACVL1_30479 transcript:OMO50375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RREEGKEVTDSPEQEGSTVMNEEASSGAS >OMO80428 pep supercontig:CCACVL1_1.0:contig10324:32121:33436:1 gene:CCACVL1_12969 transcript:OMO80428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSEGTIPDLPQAMDTSETGVSKPDSGAVKIKMKKGRPMKRSKNVRKMKSVAKAIAKNEQYAEKTTKSESKKSRTQSAKSLYD >OMO80431 pep supercontig:CCACVL1_1.0:contig10324:53227:54333:-1 gene:CCACVL1_12972 transcript:OMO80431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLMLSVKTALISTGIISVAILLKASAPLVTDFVRSGIPSTYSLILSFLRPPYLYLLINGIIISIVASSKLHHKQEAQPNPSPEIVLPAVKVPTEVYSSNEYSYGSSIASTTFVVAEDLNPVVRSKEEVVLVDKRGGVEEEQVKVMMMPPAPPLRAESIELLNNLLIEKSAEKPPVSKRFAGQRKAVKAAPEGKALRVSKPKRHDTLETTWKTITEGRPMPLTRHLRKSDTWEQRAPKDENVSPPPLPNSTVKKSDTFHERSTNKPPLARSSGSGKLKRDPSLSQDELNRRVEAFIKKMNEEMRLQRQESLNQFQEMLRRGAE >OMO80430 pep supercontig:CCACVL1_1.0:contig10324:48624:51076:1 gene:CCACVL1_12971 transcript:OMO80430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTIKKPNENKDLSTNFESLKFATLVNTMAVANLVAIDSAKALSEDKIMEGANSLYTLADGGPGDWFGGLLFSAGQQANEAVQGQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSRTEVVVNSLAFSLGLATTLALLGIAASVAGKAYGQIGQGLPLAASGLAVIMGLNLLEVIELQLPSFFNNYDPRAAAANLPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSKDPVIGGSLLLTYTTGYVAPLLLAASFAGALQSLLSFRKFSSWINPVSGALLLGGGVYTFLDKLFPPATMVM >OMO80426 pep supercontig:CCACVL1_1.0:contig10324:13572:14558:1 gene:CCACVL1_12967 transcript:OMO80426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein, plant MASMLSSGTVLRPTPFLGQNRTSNANPLRDFVSSDYGKYRMGNELWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLEKWVKVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLPGVGEGNNLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFVPGS >OMO80427 pep supercontig:CCACVL1_1.0:contig10324:15585:25237:-1 gene:CCACVL1_12968 transcript:OMO80427 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MMLSASPTTLAKSSLEEMLESLRRRDEAEKPKDLPPALPSRPTSKARLPSARRSLPTNFRVDADGQIGLLCEANGCGLSEVKGTEEGKRKEKELGLRRNSFGSKKMRTDANVDSPYNLKTIEEQQEEETQGESRVSASKVAVKEGGKGKVDWEENDNIGYFIKKKLRVWCRLSSGMWGSGTIQSTSGEESFVSLSNGNVVKVPTSELLPANSEILEGVDDLMQLSYLNEPSVLHNLEYRYSRDMIYSKAGPVLIAVNPFKDVQIYGKDFVTAYRQKATDSPHVFAIADTAYNGMMDDGVNQSIIISGESGSGKTETAKHAMEYLAALGGGGAGIEGEILRANCILEAFGNAKTSRNDNSSRFGKLIEINFTALGKISGAKIQTFLLEKSRVVQLAAGERSYHIFYQLCAGASPTLRERLNLKTANEYNYLIQSDCLLIDGVDDAQKFHKLLEALDIVQISKEEQEEAFAMLAVVLWLGNTSFQVIDNENHVEALADEALTSAARLMGCAPNELMQALCTRKIRAGKDSIAKKLTMQQAIDTRDALAKFIYGSLFDWLVEQMNKSLQMGKQYTGRSISILDIYGFESFKKNSFEQFCINYANERLQQHFNRHLFKLEQEEYELDGIDWTKVDFEDNQECLDLFEKKPLGLLSLLDEESNFPNATDLTFANKLKQHLNANPCFKGDRGRTFGVRHFAGEVLYDTNGFLEKNRDPLNSELVQLLSSCNEQLPQLFASKMLNQSLNPAISLDGSKQSVATKFKGQLFKLMHQLENTTPHFIRCIKPNFKRLPGKYEEDLVLEQLRCYGILEVVRISRSGYPTRMTHQEFAERYGFLLLENNVPQDPLSISVAVLQQFYILPEMYQIGYTKLYLRTGQIGALEDRRKQVLRGVIDVQKYFRGHQARRLFHELNKGAKSIQSFVCGDNIRRKYYSVEGNRCSIYPSQLLDEQLMAVIYLQSVIRGWLARKHFNNMHNLKQLNREGAKSRRKMSRRNSEAKDIPREQQIQVLPSVMAELQRRVLKAEAVLGQKEQENATLREQLQQYEARWLEYEAKMKSMEEMWQKQMASLQTSLAAARKSLAADNAGGQPGRVDSASPHCYDSEDTSMGSRTPGGNTPVPDVGGRENGTLHAVSNLAKEFEQRRQTFDDDAKSLTEVRTAQPASNMNPGDELRRLKLRFETWKKEYKTRLREAKAKLLKRGHQESERVRRRWWGKISSRPPKDIGFK >OMO80429 pep supercontig:CCACVL1_1.0:contig10324:34438:42239:1 gene:CCACVL1_12970 transcript:OMO80429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPDADTQVCFHCESPSVNFFPGWPLRNGGYAMLCARCGAAFAGGTFCDTFHPKASGWRDCASCKKRIHCGCIMAAHTFSILDFGGVKCAECSINEVLALHPCSPSLGSPEAMQHNVGSPEKSSLESASRGKSDSFTPAVDINSGADPILSQDSVDVLPNKIAIPTIPGAAFPVTSPLSEIGEESSVNTPDQTNGSRQKNGGKRRRRRNASKQAVQSRYYPKTTSEELQEICRLSKSNLIPLFEKEMTASDADLRNGRLVLPKRCAEAYFPKISGVQGIFLMVQDTRGNNWELYYRFWSNSNGKMYVLEGLKDYILLMKCQAGDKVTFYKREPDGKFVMGLKKGEAGKSDEKNQEPDIVQMALFAAFIFTRRIIHFSCHHATIRLLISCYPWAFFQQMMDMVLRAHMMFEKDFTPQIPVRISCFVEGVEVLYTHAVISQLTKYVVNLKKTSQPPCKASLVIYSRNIVPFTTSRGFYSRDRQLGMQIFQRKGDNPMEERNSSLLELSTGVLCVTTVQDILGTIIYELIKAMSKSCSMSTPCHMYVLSVKKDANEWYE >OMO80424 pep supercontig:CCACVL1_1.0:contig10324:3149:9140:1 gene:CCACVL1_12965 transcript:OMO80424 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Mre11 MARSTREDISDTLRILVATDCHLGYMEKDEIRRHDSFQSFDEICSIAGEKKVDFLLLGGDLFHENKPSRSTLVKAIEILRRHCLNDQPVQFQVVSDQTVNFQNIFGHVNYEDPHFNVGLPVFSIHGNHDDPAGVDNLSAVDILSACNLVNYFGKMVLGGSGVGQITLYPILIRKGSTAVALYGLGNIRDERLNRMFQTPHAVQWMRPEPQEGCEVSDWFNILVLHQNRVKTNPKNAINEHFLPRFLDFIVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLSSVRPFEYTEVVLKDEADIDPNDQNSILEHLDKVNNLIEKSNRKAVKGSELKLPLIRVKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKTSKRSQKEAKIDDSERLRPEELNQQNIEALVAENNLKMEVLPVNDLDVALHNFVNKDDKLAFYNCVQYNLEETRERVKERSSNPKDTFQSMSSSQSSQLARSKNNTRIGTAASFSDDEDTTQILASANRGRKGSLTASRSSRDAPEVDKSKTSTRGRGRGRGRGRGSSGLKQTTLDATLGFRPSQRSAAAAASASFQSIADDEENVESVSSEDYGINEENDESVQGKARKRAAPRGRGTGSTSKRGRKSANSSAPNVFMNKNDDDDDDDDAEEIARRFNKSQPRHTVSKLNKRCDSIHNFTVNVNLKN >OMO80425 pep supercontig:CCACVL1_1.0:contig10324:9969:12438:-1 gene:CCACVL1_12966 transcript:OMO80425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNQKDHWAFLEEIEAPMWVDLTLEAELSVQDIDDDWFLGNHLFHQCSSQQLKSAFSRSAEEGISLELDLVEAASSPGLPRSVSRSRGKDYHNKKWKRDQYNGSSNKIQPVKGLNGKFRKVDSGPGQEIKPKLSYISLKSTASSKTSLASELTENVKPKSLKPVSMFRGPARSWSPMADKSGETNTRSTLTSENVQQQQQQKFFEVSSRGLGQTSGLLSSVRLSLRKSCITRPASRVEINADRRDSRDHKSSSGKSSVGSSSFCGRDVKKSTIAEIKRKEQTPDSRNVARMTEAAKNKMKPSNMCNTSNVRGKVGNRTSRAGGLTTTAKPTCQEATKSKANSQTLRSKQSLPLKNNQQKSLVSAAKATKKVGVGRINRVTGGGKENIKGELSQPQKCNGRGTVKETSQSPSQNKGGRTKLVAHKGRVGNQREVKNSTNFTQKVYFR >OMO80432 pep supercontig:CCACVL1_1.0:contig10324:59250:60771:-1 gene:CCACVL1_12973 transcript:OMO80432 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Stromal membrane-associated protein MLSMDDGPSQNGSEASSTDDSNWAAAAAGDASTTDKTDPPKASESNTRPSSGIEDLFTDSPALTTNQVLEKPQKDVKNDIMSLFEKSNMVSPFAMHQQQLAMLAQQQSLLMAAAAKSAPVNTQQPASNGTTVPAQIWPNMAYQIPGMMMPVAGQADLQKLMQTMAMGQTQQLGTQQMGNPVAYPPSSFYALGQVTPTNGVATTTGASKPQTASPVPLASKPQSASPVSSANSSQSGKDYDFSSLTHGMFTKH >OMP07459 pep supercontig:CCACVL1_1.0:contig04418:1235:1339:1 gene:CCACVL1_01299 transcript:OMP07459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AETGEIKGHYLNATAGTCEEMIKRAVFARELGVPI >OMO72247 pep supercontig:CCACVL1_1.0:contig11486:44317:46732:-1 gene:CCACVL1_17880 transcript:OMO72247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MMLRLGNIPALVVSSVDAAREMMKTHDLIFSNKPIFGSDEKILVGKGLAIGPYGEYWRQMRSITVLQLLSNKRVQSFKAVREEEIALLIRKLKEYSSLSLSVDLTEILATLTNDVVCRVAFGRKYGDDGEYNFKEILDQFMLLLGSFDIGNFIPGLGWVNHVNGVNSRVNRVAKWFDNFLDKLIDKHIEDRTRRRCRNGSGEKNMDFVDVLLQIQEDHNIPGFSLERDGIKGTISDIFAAGTDTTSTVLEWAMTELLRHPRVMKKVQNERGKAYWQWQINQDVKIMGYDIPAGTVVLTNAWAIGRDPAIWGEPDPDEFRPERFLNSPIDFKGNEYFQAIPFGAGRRSCPGLSFAMVMSEIVLANLVYMFDWSLPSGATGQDLDMTPSSGLIAHRKVHLKAVASTRPAALY >OMO72246 pep supercontig:CCACVL1_1.0:contig11486:10673:13031:-1 gene:CCACVL1_17879 transcript:OMO72246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MSLYCFYTYVFLFVFLLINIKQLLTQRKHSPPPSPPRLPILGNLHQLGNYPHIALNSLAQRYGSLMMLRLGNIPALVVSSADAAREMMKTHDIIFSSRPIFRSDEKILVGKGLVIAPYGEYWRQMRSITVLQLLINKRVQSFKTVREEEIALLIQKLKESSLLSLSVDLTEILSTLTNDVVCRVAFGRKYGVDGEYNFKEILDEFMLLLDSFDIGKFIPWLGWVNHVNGVNSRVKRVANWFDNFLDKVIDDHIENRRKRRNGNGDKNMDFLDVLLQIQEEDNIPGFSLERDGIKGTISDIFAAGTDTTSTVLEWAMTELLRHPKVMKKVQNEARHIGNGKSSITEEDLDKMHYLKAVIIETLRLYPPLPLLLARLSSQDVKIMGYDIPAGTTVITNAWAIGRDSAIWDEPDEFWPERFLNSPIDFKGNDYFQAIPFGAGRRGCPGLSFAMVTSEIVLANLVYMFDWSLPSGATGQDLDMTPSSGLIAHRKVHLKAVASTSAAFY >OMO53781 pep supercontig:CCACVL1_1.0:contig15155:3029:6418:1 gene:CCACVL1_28344 transcript:OMO53781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGHDWINTCLPDELILEILRRVDSKVSHDACSLVCKRWLALERLSRTTLRIGASGSPNLFIKLLAQRFVNVKAIHIDERLSISLPVNVGKRRGRDENSVIPLKVLYADEKSECEDENFDSYCLTDAGLIAVADGFSKLENLSLIWCSNVTSSGIMSLAEKCSFMKSLDLQGCYVGDQGLTAVGKCCKQLEDLNLRFCESLTDTGLVELASGCGKSLKSIGMAACARITDKSLEAVGSHCKSLETLSLDSEFIHNKGILAIAQGCPLLKVLKLQCINVTDEALMAVGISCLSLEMLALYSFQQFTDKGLRSVGKGCKKLKNLTLSDCYFLSDKGLEAIATGCTELTHLEVNGCHNIGTIGLESVGKSCPRLTELALLYCQRIGNFALQEVGRGCKYLQALHLVDCSSIGDEAISSIAKGCRNLKKLHIRRCYEVGNKGIIAVGEHCHSLTDLCLRFCDRVRDEALIAVGQGCPLQNLNVSGCNQIGDAGIIAVARGCPQLTYLDVSVLQNLGDMALAELGEGCPLLKDIVLSHCRQITDIGLSHLVKNCQMLESCHMVYCPSVTSAGVATVVSGCPNIKKVLVEKWKVSPRTKRRAGSILSYLCVDL >OMO53790 pep supercontig:CCACVL1_1.0:contig15155:55182:55256:-1 gene:CCACVL1_28353 transcript:OMO53790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTGAANATVRSGPDESPYPIDM >OMO53783 pep supercontig:CCACVL1_1.0:contig15155:12314:13369:-1 gene:CCACVL1_28346 transcript:OMO53783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MALWRFSTLTASLLGLLSFLFLRHSATAIRVSTVIHNPSPTNLLPSFREAPAFRNGDSCGSKEADQIHIAMTLDANYLRGTMAAVLSMLQHSTCPENLSFHFLCAHSDTELVSSIRSTFPYLNFTIYLFDSSRVRGKISKSIRQALDQPLNYARIYLADILPADVKRVIYLDSDLVVVDDIEKLWGVDMEDKVLAAPEYCHANFTYYFNEAFWSDSVLNKTFQGRNPCYFNTGVMVVDVEKWRNGGYTKKVEEWMAFQKQKRIYHLGSLPPFLLVLAGNIKAVNHRWNQHGLGGDNFEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCVVDHLWAPYDLYRSSRHFLEE >OMO53785 pep supercontig:CCACVL1_1.0:contig15155:22611:23654:1 gene:CCACVL1_28348 transcript:OMO53785 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase MAVSGFEGFEKRLELHFFGDDPVVNNMEMGLRLLDFESLEKVLHAVQCTVVSAVGNHFFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSIRPLIHFANNLGLTLCGCRYTRGSFIFPKAQPFPHTHFKEEVIYIEEQIPNNLCYRKASVMPSKLPSYSWHVFTASDQTYMPRFALKSPDVNFTVEVCMTELDRNLARKFFKKAGDSKTGDSAGKDMTALTGVDNINPGAIICDFAFDPCGYSMNGIDGDRYSTIHVTPEDGYSYASFECVGSIYDDADDIVGVLKRAVQVFRPATLTVSTTSTSHEVWTRVAHAMEPLGLKCRSCVMDEFPAAGSIVFQSFTAARRK >OMO53782 pep supercontig:CCACVL1_1.0:contig15155:8143:11716:1 gene:CCACVL1_28345 transcript:OMO53782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNDCSIRALRFPVVEKGWRAACQTESESIVDDPVKYSVYSSLPSDSELAAAFSERKRREGTVRGFGMRVTQSKEGSDSWVDDPITRHIVGLYINKEEEGENNLLWPLALHIKGPYCILVLPLVEPKHVKAYAALCKRSDCGNAVMTDEYLSSLLLDLPSITGAFMVAHAIGDIVAGDIVEPEVVVSAAPSVGGLLDSLTGSIGISGISSRAKPVAAPVASSTPSGAAAIGAADVPKVGSRLFDKDALRSFISSAMPFGTPVDLSYTNIFSIKGTGFSQLDVPPQDLKQPAWKPYLYKGKQRLLFTINETLHAAMYDRDEIPDSLSISGQINCRAELEGLPDVSFPLTGLSTAKIEALSYHPCAQVPEQNVDKQALMFSPPLGNFVLMRYQGTCGLGPPVKGFYQLSMVSEDEGAFLFKLRLMEGYKSPLTMEFCNVTMPFPRRRILSFDGTPSIGTVTYAEHSVEWKIITSGRGLSGRSIEATFPGTVRFSPLQTQRSSSFKSVFEGMADEDSDNETESANNMVNVEEFLMEKMNKDLPPVDLEEPFSWQAYNYAKVSFKIVGASLSGMSIDPKSVSIYPAVKAPVELSAQVTSGDYILWNTLGKCPSAVTAKV >OMO53784 pep supercontig:CCACVL1_1.0:contig15155:17196:19785:-1 gene:CCACVL1_28347 transcript:OMO53784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKVKGIQYISKPSTACPKHATSFLVLVL >OMO53787 pep supercontig:CCACVL1_1.0:contig15155:32836:40933:-1 gene:CCACVL1_28350 transcript:OMO53787 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 MDVYSNYRGIEAVDRVQSPQSAFENSSPAVRPHQVAAVQRPVESPPRWAGGQSSSKDYDAQAHIRPPAVTSFIASRNSDNSVTVKVARFQESKRTRSPPPLSTDDTVLRNSSYAIPERHHNPARLPATNFPAHQDLSVVPPHVDAADYRKRFVDEQPDMQATKQARSQHKQEVTQEHRQFVRNGSKRPSGSPPRLGTKSNTLPSRSDALIRARSSPPAKIIVSTAVRNAGPSVSKRTRSPLVYQDEFLQDNFSPAEDDNERESQAKAKRLARFRAELSEPVDIADKKLSSNRYQHDVQERKKLVGELTSDSAGDFLSDNALSDFEGKETSRVIVGLCPDMCPESERAERERKGDLDQYERLDGDRNQTSEFLAVKKYTRTAEREAILIRPMPVLHKTLEYLLKLLDQPYDDRFLGIYNFLWDRMRAIRMDLRMQHIFDQGAITMLEQMIRLHIIAMHELCEYTKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRKKGINIPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEIRQTPEVLFARDVARACRTGNFVAFFRLARRANYLQACLMHAHFAKLRTQALASLHSSLQNNQGLPVTLVARWLGIEEEDVESLLDYYGFSIKEFEEPYMVKDGPFLNAESDYPTRCSILVHLKRSRTIAEDVAVSHEMTSIPVRASKESQLGKAYKQRANASPSPRRVYSISTVHDKTPDSKVLSPKDVVQLHSVTESSVGVQQLQDNHLKPGASFKPLDFSSPRSSPASPSPKVAVMEKGKKDALLTLFPDRTIAFSMEQMPLQVMPQASLPERSSSGILDHAVENSMPQRIVVNDLGDEPPNDYLEIEDQEMEANGQDKEVAEAKLKLILRLWRRRATKLRELREQRQFAAEVALSSLPLGIPVWQNKNQWSKFGELDFDHAMRERCVKQERSWSRLNVSDVVSSILGKRNPDAKCLCWKIVLCSPESKQGDRPSQKSQVAHLAAGSWLFSKIIPSMEDTNGDDLAVSSPGLSIWKKWLPSLSGADQACCLSVLKDANCGNMNEAVSGASAVLFLVSDSIPWKLQKIQLHNLLSSITPGSCLPLLVLSESYHVEDSDPSSVIVNELGLHDIDRSRVSSFLVVSLVGKQHLEHSNCFFSDEQLRKGLRWLANESPLQPVLSSVKVRELVMSHLNPLLEVLDRMSDYEVGPSHLISVFNEALDWSLGEISAAVKANPTNWPCPETMLLADSSDELLAVKLFLPSAGWSSPAKTAPVECALKDCRLPNFPDDLSWLKRGSKMGIDIDNHRSLLEKCLIGYLTESSKMMGIPLATQETSVMLQRNTRLELRSLSYYLVPDWVTIFRRIFNWRLMSLSSGACSSAYILQCHHVAPKPGDLFKFQHAGDTSPYSLSHPSLDEIIEVGCSPLKSKRVSMDPQAAQRDAPFPLEVQEAATTITSTNDTRYSSQEHEMAIADEVAYTNTIPDSSYSEKEVSRTETDRLSQLLEKCNIVQNSIREKLSVYF >OMO53789 pep supercontig:CCACVL1_1.0:contig15155:44344:54882:1 gene:CCACVL1_28352 transcript:OMO53789 gene_biotype:protein_coding transcript_biotype:protein_coding description:aspartate kinase MRGRKRAATKQPGGSSSSRQGGLGLQLTANPCKMLGTRDVDKIQTEEYQDCAGCGAQVINPGSSFPPFGAPYGTVNYHVGFEL >OMO53788 pep supercontig:CCACVL1_1.0:contig15155:42078:43452:1 gene:CCACVL1_28351 transcript:OMO53788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase MrsB MSASSGSVQKTEEEWRAILSPEQFRILRQKGTELRGTGEYDKFFGEGVYNCAGCGTPLYKSTTKFNSGCGWPAFFEGLPGAINRTPDPDGRRTEITCAACGGHLGHVFKGEGFSVPTDERHCVNSVSIKFAPSNSAPSL >OMO53786 pep supercontig:CCACVL1_1.0:contig15155:29783:31587:1 gene:CCACVL1_28349 transcript:OMO53786 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MSGTTAITVLVVEDTLYVANVGDSRAVIAVKEGNQILAEDLSNDQTPFRKDEYERVKLCGARVLSVDQVEGLKDPNIQNWGDEESQGGDPPRLWVPNGMYPGTAFTRSVGDSTAEKIGVIAVPEVSVVRLTPNHLFFVVASDGVFEFLPSQTVVNMAASYSDPRDACAAIAGESYKLWLENENRTDDITIIIVQIKDMSNQLGASVTDSGEDYRPTMMRSVKGTPDVATLSESETYNSVRSDLSDLQQSFQHSVSINRSAAIVVPSPMHQRPSQLLMGNSTFYGSDLELGNLDM >OMO64630 pep supercontig:CCACVL1_1.0:contig12766:31832:32136:-1 gene:CCACVL1_21629 transcript:OMO64630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSSRFNPLKTVQNRQNPLTRTGLDEPDGAMA >OMP05963 pep supercontig:CCACVL1_1.0:contig05109:4159:4749:-1 gene:CCACVL1_01771 transcript:OMP05963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGAFSIDSTFRSNSQQKQSVLARLRRKLSPSRRSKSDSENNETRRCSSNGSSEGSYEAQFQMVFDFIDENGDGKISAEELRRCVTSVGGKLSIEEAEAAVKSSDLDGDGMLGFHEFQELMEEGKGSSEAEKDKELREAFGMYVTEESGCITPASLRKMLRRLGESKSIDDCKAMIRAFDLDGDGVLSFDEFSIMMR >OMP05962 pep supercontig:CCACVL1_1.0:contig05109:119:2655:-1 gene:CCACVL1_01770 transcript:OMP05962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkaline phosphatase D-related protein MKEMKMLAVVVGIITQLLIVGASSYSDSDHLLSRIAFGSCANQSAPQPIWEAINMFDPQVFIWLGDNIYGDIRRPFKILGKERTIGPWKNVPRFIPSSHSEMLSRYNMAKNTPGYSRLRAKAKVIGTWDDHDYGLNDAGKEFSEKITNQRLLLDFLDEPQDSPRRKQAGVYTSYTFGPRGKQVKIILLDTRYHRDPLSSDGSILGELQWSWLRKELSGPPTSITIIGSSIQVISNLSATTGPLFYMESWGRFPKERNRLFKLIADTGRDGVFFISGDVHFGEITRYDCAVGYPLYDITSSGLTQAVEKVVPSPFHFIVRFLAWFTPNTMRVMSHNCRYRSCTY >OMO94402 pep supercontig:CCACVL1_1.0:contig07898:3544:5227:-1 gene:CCACVL1_06023 transcript:OMO94402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGNGGQLANGNLALAAVSLLAMQ >OMO94403 pep supercontig:CCACVL1_1.0:contig07898:5648:7815:1 gene:CCACVL1_06024 transcript:OMO94403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKVTDSRRRSNNNNLTFFLFTLKTWSKTKIPSTTIDFKNETTTATTTSEDSPLSPCSTYGGGYSGEDHTRWLVESAMKGKKGRYESEEKWGPLYIEGGDQSPQTVPNLHDAAAATVVGDAWGNASIDVWRVPEVISNDVGGVKIKEEVDGTEDWKRGHREASVLRYKEKRQNRLFAKRIRYEVRKVNAEKRPRLKGRFVKRD >OMO94404 pep supercontig:CCACVL1_1.0:contig07898:12815:13105:1 gene:CCACVL1_06026 transcript:OMO94404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKAMRVIMPFLMIALVAGQATIPTPNIPTVPGTGGGVQLPPGGGVQLPPWWWRPASPLAAACFLLAAGMLPPGGGVLLPPVLPGGSRRASPVYSL >OMP11899 pep supercontig:CCACVL1_1.0:contig00730:11806:17400:1 gene:CCACVL1_00221 transcript:OMP11899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type MLFEDSQEPDASFTLDQDLDQEQGSIQCSQGHRTTLCLRTEQGGSICLLCLSNLISNPRAPTLHVSYALSQLSQALSQPLFLNSLLSFHPHFLISPLLHALSSFDDDPIAQQITDIISALCASANASITSDFIAQVADKLSSGALAWSRKQFYMLHCLGILLNCQINDPCMHIRDKSALVSNLALGLQLPSDEIRGEILFVLYKLSVHEYTSKDYVEADVLQPFCPSLLRLSMEALLKTQRDDVRLNGVAFLMLLAQNGLFQDVHGNEMSSLSSDEADNFMQTTEDGPDESPLSVLFAEAIKGPLLSSDSQVQISTLELMFHYLSCGDASEKQFKILVEENIVDFVFEILRLSECKDPVVYSCLKVLNLFPSNEQAFRQRVVVGFPTLIPVLRHVAEVPLHPAQTYTLKLIHNCVSDCPGIASTSNIEDLALILSRMLERHRDGEIGMIPETFLLVCSIFVVLLNFSSSQGASNLAAILQESLKHAVLACLTNFEKDPGQLLHSLCLLKEAYSYGCEESSANTSSNLELRTCIVDICTSHILPWFAMAMNEVGEETVLGVLETFHFILLQNPDMRSLELAKKLLSSSWFSLSFGFLGLFPTKKMKQRVYLILSSLVDILLGNKAGQPLRDAAMFIPSDPIDLLFLLGQKNSHNLDLTSCQAAVLLLLHVSCLHDDRLADERSILASLEQYILVNSGNFLSGRIDSSTMMQVLNLYGLCRGLAKVNYQVSHSLEAERILFRILTENEWDLPSAMTHPMALMWLFQQEKISKPLSYQLLKFCRRNCSDWNQIIIHGDNRLIIDARIIAELVVTGDNYAAKLLICLLVQLAEEEAQNNDLVAVVNLIATVINVSPASSDQLCLHGIGNAILIVLNHYLNQSSSSELLVPILLLIFNVLSSVHHETLSDEEAWVALSTKLIDCLIPAVRNYGWNHEGLLSVGILSLILHHSSNKVLVEASKSIIFNASLISTIKNTVQALSWKGPALIEYDEETSSGQILIFLLLLYYFSLRYLRAVLPEVLDWQIFLSSPNEMQPVATINIHCHDLCRLIHFGSPIIKLIASSCLLECFSGISCQGNRRNEELQCSMGYLKSTVTVLEGLVLYDDIRVALNCCLCLSVILEWEELKMQESRVARSSWYRLIVEETAMSLAVPCLASKSFINHHKPAVYVTVALLKLQKTQGWMRTVFDDLSVSGIIENLKAIDVSPEIVLLFRALLNSGFLKAEHIASLNHVFQACRKRIYNNSKERLMDKHVQKIVSSLDDLGETCEYLIHLMISQLSSDYNSENKRLVEEIEMFFQDCSSRR >OMP11900 pep supercontig:CCACVL1_1.0:contig00730:18519:20356:-1 gene:CCACVL1_00222 transcript:OMP11900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENAVTLNLHCKITFRWQSVYCNNNKKSNRIFSGTQFPKATRRFRCLSSLRPSTPVPHGASSKTHTTLLVETYHQHRQLRALINRLEKEDSCPLQMLRDDGDWTKDNFWAVIRFLRHASRFHEILQVFHMWTNIEKSRINELNYEKIIGLLGEEGMTEEAVQALRDMTGFGLQPSLEVYNSIIHAYAREGKFDDALFFVNEMKEIGLAPETDTYDGIIEAYGKYNMYDEIGKCLKTMKLDGCPPDDFTYNLLICEFSRAGLLRRMERCYQTMLSQRMKLQSSSLVAMLEAYANFGILDKMEKVYRKVVNSTTLEEDTIRKLANVYIKNYMFSRLDDLGNDLSSRTGRNDLVWYLRLLSHACLLSRKGMDSVIREMSEANSSWNVTMANTILLAYLKMKDFTRFRMLLSELPTHRVRPDIITIGILFDAIKIGFDGAEILEAWRSMGLLYRPVEMNTDSLVLIAFGKGRFLKDCEEVFTSLESKTREEKKWTYRYLIDLVLKYRGKRP >OMP11898 pep supercontig:CCACVL1_1.0:contig00730:2957:8176:1 gene:CCACVL1_00220 transcript:OMP11898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Sec23/Sec24-type MSEMANLDPEGVDAVRMTWNVWPRTKVEASKCVIPLAACIAPIRPNPDIPTLPYAPLRCKTCSAVLNAFSRVDFTAKIWICPFCYQRNHFPPHYALISETNLPCELYPQYTTVQYTIQPNPDPNNPANLPQLPPVFVFVLDTCMIEEELGFVKSALKQALGLLPEHALVGFVSFGTQAQVHELGFSDMSKVYVFRGSKEISKEQVLEQLGLGVAGRRPTPGYPKGLQNGFTNTGINRFLLPASDCEYTLNSLLDELQTDQWPVQPGQRASRCTGVALSVAAGLLGACLPGTGARIIALVGGPCTEGPGTIVSKDLSDPVRSHKDLDKDAAPFFKKAVKFYDSLAKQLVSQGHVLDLFASALDQVGVAEMKVAVERTGGLVVLAESFGHSVFKDSFKRVFEEGEQSLGLCFNGTLEINCSKDIKIQGVIGPCTSLEKKGPNVADTVIGEGNTTVWKMCGLDKGTCLTVLFDLSSTERSNVPGAAHPQLYLQFLTSYQDPEGKSMLRVTTVTRQWVDSAVSAEELVENFDQETAAVVMARMTSLKMETEEGFDATRWLDRNLIRLCSKFGDYRKDDPSSFTLNPRFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRENITNAAVMIQPSLISYSFNSLPQPALLDVASISADRILLLDSYFSVVVFHGMTIAQWRNAGYQNQPEHQARFLLAKLNPSATYNNANDIAAGSDVIFTDDVSLQVFFEHLQRLAVQS >OMO59288 pep supercontig:CCACVL1_1.0:contig14018:29605:32265:-1 gene:CCACVL1_24943 transcript:OMO59288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucraseferredoxin-like protein MQLHRTFHSLNTSKSALSISARALGPRSISFLFQNPTLRIAHPSRSLLFFSSIISFTRARLHTSRRPLAFASSSSMADSNNFSTVSAEDDAKFGFTRKEMYSDNLAGTVNPYDRHVFLRFKSYTDWAARVEEDQLPKILSSALKARKADIPLKTLLTVIEGGETDGDVLIFPDMIKYKGLTDSDVDGFVEDALVNGKPWDSRVPETLTGSYVFVCAHGSRDKRCGVCGPALIEKFNAEIESRGLKDQVFVSGCSHIGGHKYAGNLIIYSPDPEGKLMGHWYGYVTPSDVPELLDQHIAKGQIIDRLWRGQLGGPTEGGDKPNEQKLPNGTKHEESTAPKTNENTGGCCQGVNGVSCCMTASSEVSERKVEEKGEANGKTGLGKLKCWADSWEQRDVLTAAAVIGAVATIAVAYSYYRRSG >OMO59285 pep supercontig:CCACVL1_1.0:contig14018:21929:23871:-1 gene:CCACVL1_24940 transcript:OMO59285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHVAMAHAGLALTIALLFGLIKLLQSYWRPIQWAILCSMPLRELQTPIVSFWSHPLRLGLFETLIAIPIAILRATTASLLDSHAALLRLLSCRSSPLPPLAGRVGFFKLMQWLVTFGLFVLVYERIGFLSIPVVTVPCFIAYITGFGVIVKPGLASTLSRISSARKNRTKFRNNNRSYLSFFSKFGQYITSTLFYRLNTMVGIGLILFMIVGSVLGFAFFSYKIAIEGKEAVISLKIHLEVNNYGEMIGINRWMDENQIPELIDGYMTKFYETLSQNIDSWADYYNVTEVVDGFKQYFVQGSMVSSIPDEKIGFRTMPLNETLHSLQSKVRNREWKVIYKDFNGVFKKFMSLFANEDLLEKVKSFLLQSLDVSKRVLLSCSMVLATGANLVFFVAILIVSGAAGLVNFIFQLMVFLWLLYYLITSESGGVMDHVLGMLPVSRSTRNRCAQVLDHAVSSVLLATAKLTLFQGCFTYLLFRFYRIHFLYMSTFLALLSAVLPITPAWISSIPAAVQLAMESRYIEAILLTAVHLILLDYGTMAIQDEIPGHNAYLTGLSIFGGIAFFPSILEGAIMGPLVMTVIIAFKNLYIEFVLAFAAENSQ >OMO59284 pep supercontig:CCACVL1_1.0:contig14018:8898:8993:1 gene:CCACVL1_24939 transcript:OMO59284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDQETHPNQITEQNEAMVVVANKNSFKLK >OMO59286 pep supercontig:CCACVL1_1.0:contig14018:24384:26234:-1 gene:CCACVL1_24941 transcript:OMO59286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKWSTMAQVDPILRLQLSLMKA >OMO59289 pep supercontig:CCACVL1_1.0:contig14018:37403:38889:-1 gene:CCACVL1_24944 transcript:OMO59289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVISSSKSQNPGSAIGNNNPPSTSGTPRPSRPPSPKQSRNEGPSSTSHVATLNHGVRIIRHPNGTIGYQKQSSKTASDNMQQEELPNMAKHFYDSNKGKSESAGKNEVLVASQKKTLTVESKSLVKHPVDDHKSSNSSGSLESEKNVPGCKGGVVQLKNHIASQSDPNFCPSPSSMCAATLYTEAKQSFTNTEVSECPSSIDKSVESGEVSNSCDLVDSRKTSLYRGSTGSDVSDESSSSYLSGTIYKPHKANDIRWEAIQAVRSRKGDLDFKHFRVLRRLGCGDIGSVYLSELTGTKTYFAMKVMDKALLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKLSCLVMEFCPGGDLHALRQRQPGKYFSEQAARLLTSIHDIIISV >OMO59294 pep supercontig:CCACVL1_1.0:contig14018:90473:91583:1 gene:CCACVL1_24949 transcript:OMO59294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAARLILRAARVRKRLHWNGNRYILVTLPPSVAPQNHGDPPAPAGGYVNVNDGVNLIVNVGVAPQNHCDPPAPVVIPPAPAVVVVNVNANVNLNVNGGDDLNVEDGVAPQNHGDPPAPVVVPPNSPLAPTVVIVNPVGQATSSLLTSSSAPTFSIRTSSLPSSSSTSAAVRDRSLLAPITDPPLDPTVVGVNPVGQATSSLLISSSALPSSFTLPILTSSLPFSSTSVDPSLPSSSSLLPTIEVIEENVKIHRECVRNLLSQHVKSMTDLDQLRLEADGYTVVTVFGESSLSLQLKMGEHPSAKI >OMO59290 pep supercontig:CCACVL1_1.0:contig14018:45659:48232:-1 gene:CCACVL1_24945 transcript:OMO59290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRDGEAITFDGETNEFRSSDHHEDDKSHHHHTGDGASPGKIFVGGLARETNSEQFLEHFGKYGEITDSVIMKDRKTGQPRGFGFVTYAEPSVVDKVIEDTHIINGKQVEIKRTIPKGAAGSRDFKTRKIFVGGIPSTVSEDEFKDFFTQYGEVKEHQIMRDHATNRSRGFGFITFETEQAVDDLLSKGNKIDFAGAQVEIKRAEPKKPNAPALSNKRYNESRTIYPSGFGDAYGRYGGGGFGGAGYRSSGAYGGRAGGYGGYGGGEYGGYGGYGGGGGGMGPYRGEPSLGYSGRYGGNFNRGYDMGGGYGGPGDMYGGYGGGAAAGGYGSGYDTGLGGGYGGAGAGAGGGSSFYGSRGGYGGAGSGRYHPYGR >OMO59293 pep supercontig:CCACVL1_1.0:contig14018:69922:74668:-1 gene:CCACVL1_24948 transcript:OMO59293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLSRSILNLKSKRQSPLFLAASKRQHFISLASQFTPPGNAEFSKVLYRKRSGGLGAVRTRGSWWDLRDIEAANSSPSNPVTVSMALIRLWRLIGDDKWIIFLGLGALFIAAVSEISMPRILAASVFSANNGESAAFFRSSRMLIVLLIISGICSGLRSGCFAVANTLLVKRLREILYAYLVFQDISFFDMETVGSLTSRLGADCQRLSHVIGNDIHLIIRNVIQGTGALINLLTLSWPLTLPTLAICFLLAVIFSIYGQYQKRAAKLTQELNACANNVAQETLCLMRTVRAYGTEGEEFGRYKQWLDELAFIGIRESAANGLWNMYFVILYRSTQVLAVLLGGMCIINSHVTTEQLTKYILYCEWLIYATWRVVDNSSSLLQSVGACEKVFQLMDLLPADQFFSKGVKLQRLMGNIQLVNVSFHYPSRITVPILDQLNLSVQANEVVAIVGLSGSGKSTLVGLMLRLYEPVNGQIYIDGVPLKELDIRWMREKIGFVGQEPHLFHMDIKSNIKYGCPRDVEEEDIEWAAKQAYAHEFISSLPHGYETIVDDNLLSGGQKQRIAIARAILRDPAILILDEATSALDAESEYYVKEVLHALRNESRSKRTIIVITHRLSTIKDVDRIVVMDNGRIVEMGNHNELLLKGGLYSRLIIAQAESLVSEP >OMO59292 pep supercontig:CCACVL1_1.0:contig14018:68712:68963:1 gene:CCACVL1_24947 transcript:OMO59292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNTNKESWNFTDERKKTVNVKEVKGHVVDEAPQEEEKEHLEQVKERNGSGKKTGKSEGVKGLPHQQVPQPGDFSTEVLEKL >OMO59287 pep supercontig:CCACVL1_1.0:contig14018:27936:29021:1 gene:CCACVL1_24942 transcript:OMO59287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MEDLVVENLFSGNTQVQIQAATQLSKLSSKQRHRLAERGIISPLVSMLQSQDFEAIQASLLALLGLAFGSERNKTRIVKAGIMPILLSLLQCQSEELVELTIAAMLILSSCTANKLAIASSGAIQLLVEILNNNVTCLSLQARIDTIATLQNLSTCHQIIPSIASSGVIYSLLQLIHFSDKSTELTEKAMALLETIVSSSEDSIHETAAIGGAIRIIVEAVEEGSVQCKEHAVGILLNICQSCRDKYRGLILREGVMPGLLQLSVDGTWRAKSMARELLLLLRDCSNYGSTSKQSKHELMEQIMQAIDADGEKVAGSTLRLVEEMITKLST >OMO59291 pep supercontig:CCACVL1_1.0:contig14018:49867:66321:-1 gene:CCACVL1_24946 transcript:OMO59291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial Ig-like, group 2 MIRLSILLVLTVSLSAVTTTTSHLSSGPHIADVNILLPPKMTNPVEYRLQGSDGCFKWSWDHHEILSVLPEYNATNRCSTSARLRSIAPYSGRKETAVYATDVYTGIVIRCKVFIDNFDRIQIFHNSIKLDLDGLATLRVRAFDSEDNVFSSLVGLQFMWQLMPKTSGSHHHLAHVPLKDSPLSDCGGLCGDLDIQIELEEKGVFSDLFVVRGIHIGHENVSVQLLEPPLKGMDDKIVLTVAEAMSLDPPSPVFVLINATLCYSLKVIRGPVPQEVTLPSPHHRWSVSNFSVAQVDSKMGVINALTLGETTVIVEDTRVDGHSQLSSLNVVLPDTMSMYISLLSSDGDPIEGMEPIPSLARWYVVSGKQYLIQLKVFSLGPNAHEIYITESDDVELYDNQSGHWKIVPVLAPIASRYGWRNSRILKATSEGLGKLTASLVYYKGHHDTKEVLKVVQEVIVCDQVKFSLESISGKSQNILLPWAPSVYQEMELKATGGCAKASSDYKWFSSDTSVVSVTAYGVVQAKKPGKALVKVVSSFDSFNYDEVMVEVSIPSSMVMLQNFPVETVVGSRLPAAVTMKATNGVYFSRCDAFHSFVRWKAGSESFIVINATGEAPVFEKLETLEDQVPGYGPPCSWTYVYASESGQAMLHATFSKEYHHFDHSFIGPIVLKATSRIAAYGPLTLHQAGDGNQFGGYWVNTAGAEAANQLKHSDKLYLTPGTQLDVMLHGGPERWGNGVGFMEKVEIFYGEHSPENGIQMHQISSSYSSLYRLLCLRLGTYRLVFKRGNLVGDDHPLPAIEEVSLSLACSLPSSIVVIVDESVNERDVIHTAFQADRSPGRIHVNPVTVANGQTIRVAAVGISSSGEPFANSSSLFLTWELGNCENLAYWDDAYDSDKAKRSSWERFLVLQNESGSCIVRATVTGFFGSSVADRNTAKLLESSKNFLTDATRLQLVSTLRVSPEFNLLYFNPDAKANLSITGGSCFLEAVVNDSRIVEVSQSPPGLQCLQMMLSPKGLGTALVTVYDIGLAPNVATSVVVQVADIDWIKIMSGDEISLMEGSSQTIDLMAGIDDGSSFDISQYAYMNIHVHIEDDIVELVEKDDISTPAGGYIGAQNFKIRAKYLGITTLYVSARRHSGHEILSQAIKVEVYAPPTIHPPDIFLVPGASYLLTMKGGPTFGAFVEYTSIDDAIANVHKTSGRLTANSPGNTTVLAKVYGNADGLICQVYGSVKVGVPSTAILNVQSEQLAVGRETRIYPLFPEGDLFSFYELCKDYKWTIEDEEVLKFGVPLVGSETVQRFGYVDKEELGFIKVLHGRAPGRTNVAVSFSCDFISSGSHLEARTYSASISLLVVSDLPLALGAPITWVLPPHYTTSSILPLSTEAHGQRDGQSRKGRIMYSLLRNWEETSELSQHAVSIDGDKIKTIESNNLACIQAKDRHTGRTEIASCVRVAEVEQIRITNKEFPIHAIDLAVGAEIELSISYFDALGNPFYEASDVVLHYVATNYPDVVSVNMTHDTNTVHLKAMRHGRALLRVSIDNRPQKSDYMLISVGAHVHPQNPVIHQGSSINFSVVGSEDQASGHWLSANESVIFLHAQSGQAEAVGEGLTQVSFESSGVKLQTKVTVLPGSSLIMDAPKEMLTNVPFPSQGYSFLVKFSETNDKINALGISKGPQYDCRVDPPFVGYAKPWMDLDTGKSFCLFFPYSPEHLVRTIPKLKDMKPYIYISINASMKEHSHISGSASALFVGGFSITHMGKLNLTPDCNKTIITILGNTDVEIRWRGQDLLMITAIQKEEFGVGGRAQYEVKALSANRFKDKIIVTLPSNGQRAEIEVNYEPNEGTDSEALVNLIFWAKVVATSVLSILSVIIFIIFVHRHSEAAPQTTTPPLTSSVSAPVTPERSSPAVLNDQSPRTPQPFVDYVRRTIDETPYYRREGRRRVNPQNTY >OMO50520 pep supercontig:CCACVL1_1.0:contig16146:21368:23651:-1 gene:CCACVL1_30389 transcript:OMO50520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MISGDSKNSCRGRIPFSLSRSAVGFGTPPPPRDPDIATVTSSVPSLQAVHSSSEDSSTQLEMASLSSIPPRSNNEVSSLDSTASSLIPQPVTRTHPMITRSQNNIFKPKQLHSATKHPLPDTLEPTSTTQAIKILEWKAAMCEEFTALQNNRTWELVPRPENRNIIGSKWVFHVKTNPDGSVSKFKARLVAKGFSQRPGLDYKETFSPIIKLVTVRLVLCIDVSNNWPLHQLDINNAFLQGDLAEEVYMKQPAMFVDKTKPHHVCKLRKAIYGLKQAPRASYLAISNFLKSYGFINSVADTSLFIFHKDECLLYMLVYVDNIVLTGNNSEFLQHFVKVLSTRFALKDLGRLNYFLGVEVTYNNSGIILNQHKYIHDILKMTNMEGAKPVSTPLLTYVPLTLHDVNRLSQFMHKPTEAHLLTVKRVLRKSTSAFIIYLGDTPISWSSKKQKTVARSSTEAEFRSIATSVSELTWIQSIMSELKVRASKVPLVLCDNASATYTCANPVFHTRMKYLALDYFFVREKVQQGLLQVKFIPSKLQQADALTKPLSAARFHFLLSKIGVCSTSTILREDNRLRLQSDNP >OMO50522 pep supercontig:CCACVL1_1.0:contig16146:45457:48341:1 gene:CCACVL1_30391 transcript:OMO50522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLDSFFSKGFKAAKCKTLLKLAIPRIKLLRNRREIQIKNMRRDIAKLLETGQEATARIRVEHIIREENMMAAQEFVELFCELIAVRLPIIETQRECPIDLKEAISSVCFAAPRCADLPELLQVQMLFAAKYGKEFVSAATELRPDCGVNRQLIELLSIRAPSPEAKLKLLKEIAEEHELDWDPASTETEFFKSHEDLLNGPTQFVSGSKLPLPAEKHDETLNSADDHAQIDQPDSDTDFDTLDFPEVPKVSLRPSVNAASAPASSPLSPAAPEPENDHGSSRHSKAPGYVLQMSPLEPETIQHESSVSEEDEKPDDPAGAKEVKQFLPFISPPVSSASIRAKPSSPPPVISGTKSEANVDLQDVLAAAQAAAESAERAAAAARSAASLAQVRISELTQKKNEQVTESSSTENPFHTSVSHQSADTKKPNFHNQNSFRDPGDGLYSPDSHQVHRDQEHFDSPVSNDHAPEQDPPPHQPQRLPSMDDEYFSYPNLFSSQKSDLGSSGSFKDNSHTQY >OMO50521 pep supercontig:CCACVL1_1.0:contig16146:26740:26871:-1 gene:CCACVL1_30390 transcript:OMO50521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQKQLKFALPSLNRKNLISTVKLITVAIGYRTKRREERSA >OMO50523 pep supercontig:CCACVL1_1.0:contig16146:48908:54721:-1 gene:CCACVL1_30392 transcript:OMO50523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKKAKSLALVWLILVILHPLWLVSANVEGDALHSLRTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQLGLLKNLQYLELYSNNISGPIPSDLGNLTNLVSLDLYLNSFTGPIPETLGRLSKLRFLRLNNNSLTGPIPMSLTNITALQVLDLSNNRLSGAVPDNGSFSLFTPISFANNLDLCGPVTGRPCPGSPPFSPPPPFVPPPPISSPSGNSVTGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQSNYVESEVEQLIQVALLCTQGSPMDRPKMSEVVRMLEGDGLAERWDEWQKVEVLRQEVDLTPHTNTDWFVNSTDNLHAVELSGPSFVEVQSVSYAAVSSEPCSSSSQMDAAVAA >OMO87663 pep supercontig:CCACVL1_1.0:contig09207:40188:43736:1 gene:CCACVL1_08842 transcript:OMO87663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYRFLYMVIMKEPVLLFLAIALLSSVTVQASNCNRSCGGELVRFPFGFSSGCQIPLNCSNSQKFIAGFPIISIADDRIKISIDATCDRPIQDLHHLYGANYAPTYRNAILLQNCSVPAPCMIPTTTVYTHFEALSCSPNTSSISCYSENTTNGYVDYDKVIRTNCKSFFSSISAESFNESGVLEIRVVELGYWLEGRCPGSCSENAICDEIYTRVNGRPGFRCKCKTGFSGDGYRAGDGCRRASSDCNLARYISGKCGGTTRVAVLVGGIVAGASLMMCAVLFCCCVKKQSNTRARNSTKRLLSEASDISIPIYTYKEIEKATNSFSEKQRLGTGAFGTVYAGKLNNNSWVAIKRIKNRDIDCIEQVINEIKLISSVSHPNLVRLLGCSIENGEQILVYEFMPNGTLCQHLQRERGDGLPWPVRLTIAAETAQAIAHLHSAINPPIYHRDIKSSNILLDYNFRSKVADFGLSRLGMTEISHISTAPQGTPGYLDPQYHQNFHLSDKSDVYSFGVVLIEIITALKAVDFSRPPNEVNLAAVATDRISKGRLEEIIDPFLEPNSDSWTLSSIHKVAELAFRCLSFHRDLRPTMMELAVELDQIRLSRWVPAEEIAFAASSEISPCSSSSNLSEQPLSTAAVKKAGLEHKGLFMLQMSNVGSVNSMERVKDHSPVSVQDPWLSEQSSPSSSSLMNNNVTH >OMO87659 pep supercontig:CCACVL1_1.0:contig09207:13539:14291:1 gene:CCACVL1_08838 transcript:OMO87659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >OMO87664 pep supercontig:CCACVL1_1.0:contig09207:46174:47769:-1 gene:CCACVL1_08843 transcript:OMO87664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MASTSLPFTSVPLQFCSPNKSSSSSSAIKLSPRRVVVRPIRASVSEKPPVAAPSVAVSEPERKPTKLPLKKIPGNYGLPLVGPMKDRLDYFDGRDEFFKSKIQKYQSTVFRVNMPPGPPIAPNPKVVALLDGKSFPVLFDCSKVEKKDLFTGTYMPSLELTGGYRVLSYLDPSEPQHAKLKQLMFFLLKSSSKRVIPEFEACYTELFEQLEKELAEKGKSSFQTANDQAGFNFMARAFFDTDPRDTKLGTDAPSLISKWVLFQLGPVLTLGLPKLAEELLVHSFRLPPALIKKDYQRLYDFFYESSGFVQDEAEKLGISREEACHNLIFTTCFNSFGGMKLFFPNLVKWIGRAGVKLHTELAQEIRSEIRSNGGKVTMAAMEQMPLMKSVVYEGLRIEPPVPLQYGRAKKDILIESHDAVFEVKEGEMLFGFQPFATKDPRIFERAEEFVPDRFMGDDGEKLLKHVLWSNGPETENPTLSNKQCAGKDFVVLMSRLLVVELFRRYDSFEMEVGKTPLGASVTITSLKRASF >OMO87662 pep supercontig:CCACVL1_1.0:contig09207:35430:35765:1 gene:CCACVL1_08841 transcript:OMO87662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTAEKKIVIKGEDDDDNNNFAEDQEEQENMENNYVSSHLYIKPSHSKQALDKEVVLRRIRHRKRMNNVKSTLQSFLGSSWPPKRADHNNKVSSSVHDIKWVDDAFAAL >OMO87660 pep supercontig:CCACVL1_1.0:contig09207:21670:25925:1 gene:CCACVL1_08839 transcript:OMO87660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSVRQRSSSRRALEKVVVAVRAERVISKTALAWALTHVVRPGDSVTLLAIYPDEKKGRKFWSFPMLTGDCGSSNQEQLPERICQISESCSQMVLQFNNQIEVTVRIKVVSGTTGNAVAAEAKNNGANWVILDKKLKQELKHCMDELNCNIVLMKGSQAKVLRLNLQCLNELQTPYYSAAASPVRDAGDFLGLRMKHSTPVSSPEEEPGTSYSRISQDRLLPSADSAKSLFLVYQQNPLFEGHNRGNYTLIDDQSDLDNQLTVLDSHAEKLINLSTNQVSSVKSNDNSVFWIPQNHIDEKPQKKESNRKKIISPASKTLLDKFVQYDQDAKAGRLLDQSHGKDYMVNSRIKEAGRLVDQSHGKNYIVNSSIRDAVSLGRTSSVPPPLCSLCQHQAPVFGKPPRRFSYEELEEATDGFSELNFLSEGGFGVVYRGILRDGQVVAVKLLKFVGCQADIDFCREVQVLSCAQHRNVVLLIGFCIDGNKRVLVYEYICNGSLDFHLHGSDRSSLDWQSRLRIAIGAARGLRYLHEDCRVGCIVHRDMRPKNILLTHDFEPQVTDFGLARWHSDQWIVGSEEQATGTSGYLAPEYFDGGRITQKVDVYAFGVVLLELMTGQRISDLQFYKGQNFISDWFHPLAALDSNQLMANIYQLLDPCLASSKIQDFTHQLQAMGRAAFLCLSREPESRPPMSKVLRMLEGGDMSIPLSLDLNSIGNRSGHLRGLKTQPQPESRRRHSRRLSH >OMO87665 pep supercontig:CCACVL1_1.0:contig09207:50657:56310:1 gene:CCACVL1_08844 transcript:OMO87665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPTNIGCLMPKGKRLHEDYSLRSLNKGKIVCASGSDSNSSLKLGGKEKSGMAISRFGGVEPFRGKSGSVSFYGLTHQLMEESKLISAPFQEEKGSLLWVLAPVALICSFILPQFFFNNAIEALFREETLVEIVSTLCFEVMFYVGVGVFLLVTDRVQRPYLEFSAKRWGLITGLRGYLTSSFITMGFKVVAPLLAVFATWPVLGFPALVAVAPFLLGCAAQFAFETILEERQSSCWPLVPIIFEVYRLYQLTRATHFMQSLMMLMKDSPRRPEVMEKASAIIGMVSTFQVLGVVCLWSFVTFLLRLFPSRPVAENY >OMO87661 pep supercontig:CCACVL1_1.0:contig09207:26969:28381:-1 gene:CCACVL1_08840 transcript:OMO87661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDDDAGGVTTMFAEADSIPRAKFGNIMHSDPNMSVTAPAADDDLCLRYSSASVGGPSFYDSNRMSCEGSPLTMSPWNQTSGFNKSPWTPFEEDNVPPNSLIGSLVREEGHIYSLAATKDLLYTGSDSKNIRVWKNLKEFTGFKSNSGLVKAIVISGEKIFTGHQDGKIRVWKVSPKNPSVHKRAGTLPTLKDILKSSIKPSNYVEVKRKRALWIKHSDAVSSLSLNVEKGLLYSGSWDRTLKVWRISDSKCLESIKVHDDAVNSVVSSSNGLVFTGSADGTVKVWKWEQSRKATKHTLAQTLLEQECAVTALTINNNGSVVYCGSSDGLVNFWEVDKNLAHGGVLKGHKLAVLCLAAAGNLVFSGSADKTICVWRRDGNIHTCLSVLTGHTGPVKCLAVEKDPDSGSQEQRWIVYSGSLDKSVKVWSVSEFAQVSPVQHSQQVMNFDGESAPSDGSYSSNSRTSQNRRH >OMO75930 pep supercontig:CCACVL1_1.0:contig10950:21382:22182:-1 gene:CCACVL1_15980 transcript:OMO75930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIGLVFCCLIPWLTQAAASELDKPCREKCGNVSIQYPFGIGKGCYHNSWLEVTCNKTGDGRRERPFLKRLELELLSLSPYSETVLVNNPVIYINCGTNKEDNSNNVISNFTAKLTGSPFSLSSSYNLFGSAGYGNLVTVLNNQIPVSSCLQPGSGNSYCYIKDYFPENLTSFAAKMTQIFPGAKVSNRCSNRCSSAFIFDERMIMYSEIFPYSYWPFLRTLGIETTHVPVTLEWSTPNVTCDLGPGHYSPSNISDVIIIIIIIF >OMO75931 pep supercontig:CCACVL1_1.0:contig10950:38860:39771:-1 gene:CCACVL1_15981 transcript:OMO75931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHRLVLVICLLLPPWLITEAANALQKTEIAKPNCTDRCGDVNIPYPFGIEVGCYMNEWFRVTCKKDKSNIGNDSNPKPFISSINLELLDVSFSSGTVLVSNPVSKLNCSNNGLLPFSINLESTTGSPFFFSNVHNRFASTGCGRLTTIVHNQTDMGGCLLPDCSDDDDKARVNGCQVRIPPNLNSFVAKSIELFPGRDEGNNNNNSCGSAFMIADSMLNPDGSLPGGINNLTHVPAVLQWSIPKHSICDPKGGSYNMFCDGNGFCWTNLSSTHLCVCSNSYQDNSQLSNDCQGTNFPNSLT >OMO75933 pep supercontig:CCACVL1_1.0:contig10950:44313:44378:1 gene:CCACVL1_15983 transcript:OMO75933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPKTFVLGYVNRRLVNSNC >OMO75932 pep supercontig:CCACVL1_1.0:contig10950:43829:43981:1 gene:CCACVL1_15982 transcript:OMO75932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPTSFLGSNVQAAKRWPALVEANFTEGHVPPQAIVQVAATPKINHIIF >OMO54125 pep supercontig:CCACVL1_1.0:contig15052:16242:19983:-1 gene:CCACVL1_28036 transcript:OMO54125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MSVVGFDFGNESCLVAVARQRGIDVVLNDESKRETPAIVCFGEKQRFIGTAGAASSTMNPKNSISQIKRLIGRQFSDPELQRDLKSLPFNVTEGPDGYPLIHARYLGEMKTFSPTQVLGMVFSDLKSIAEKNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLHPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDIGHASMQVCIAGFKKGQLKILAHSFDRSLGGRDFDEVLFQHFAAKFKEEYKIDVYQNARASIRLRAACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDEFEQISASILQRVKRPLEKALADAGLSVEGVHMVEVVGSGSRVPAMLKILTEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNESFPFPISLSWKGSATDAQNGGAEQQQLVFPKGNTIPSVKAVTFHKTSTFAVDVQYADVSDLQVPAKISTYTIGPFPTIRSERPKLKVKVRLNLHGIVSLESATLLEEEEVEVPVSKEPAKEDTKMETDEAPSDAAAPSANETDANMQDSKGTADAAGVENGVPESEDKPVQMETDSKVEAPKKKVKKTNVPVAELVYGAMLPADVQKAVEKEFEMALQDRIMEETKDKKNAVEAYVYDMRNKLSDKYHDFVTETEKEDFMAKLQATEDWLYEDGEDETKGVYVAKLEELKKQGDPIEERYKEYAERGTVIDQLAYCINSYREAAMSNDPKFDHIELAEKQKVLNECVEAEAWLREKKQQQDQLPKYATPVLLSADVRKKAETLDRFCRPIMTKPKPAKPATPETPATPPPQGSEGQPDANANPNASPNRNAEPSENAAAGNGEGPAPAEPMETDESETTSTA >OMO54123 pep supercontig:CCACVL1_1.0:contig15052:8987:10168:1 gene:CCACVL1_28034 transcript:OMO54123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIEDDARYPPNPYGVSHQQGYGASNRQKLSVRNAPYSRPAWNQYVDDDEDEEEEEDEEDLGEEEENHNQNNGVRYVGKDIDDDDDDELDDEEDEGGSKHKGYNRKSDDVDLERHPKKRKLKSLVSSYEFAPRVPAAAAATPSVPKTSYGGRNSLTDWTERETFVLLDAWGERFLQHGRKSLRSEEWQEVAEKVSEVSKIERTDTQCRNRLDTLKKKYKKEKAMLAETGATISKWVYFKKMDMLMSTPPQQGGLSCGLDSGEYVFMNPRVYLNRANGLDEMRDSPANSESADSEEDVSDGLPPKKRRFGRQSDEGSSFRLLADSIQKFSDIYEKIENSKRQQMLELEKMRMDFHRELETQKRQIMERAQAEIAKIQQVDDEENDVSADNASG >OMO54124 pep supercontig:CCACVL1_1.0:contig15052:12854:14926:-1 gene:CCACVL1_28035 transcript:OMO54124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPSFAMRRSISTLPYLCQRIKQTENEIVGMFRLPSSNNELQDLGVNRKLHRKNSSARTLDERFIRILKIFKWGPDAEKALEVLKLKVDQRLVREVLMIDVEINVKIQFFKWAGKRRNFQHDSTTYMTFIHCLNEARLIGEMWKTIQEMVRGTCVISPADLSEIVRILGRAKMVNKALSIFYQIKGRKCKPTASTYNTIILMLMQEGHHEKVQELYTEMCNEGNCLPDTVTYSALISTFGKLGRPGSAIRLFEEMKESGLQPTPKIYTTLIEIYFKLGKTEEALGVVQEMKGMGCRLTVYTYTELIKGLGKAGRVEDAYAAYCSLINSLGKAKRYEAASELFQELKENCGHSSARVYAVMIKHFGKCGRLNEAVDLFNEMKKLGCSPDVYAYNALMSGMVRAGMIDEAHSLLRTMEENGCAPDLNSHNIILNGLARTGGPNRAMEMFTRMKNSKIKPDAVSYNTVLGCLSRACMLEEAAKLMREMKAEGFEYDLITYSSILEAVGKVDEDRNLASS >OMO51305 pep supercontig:CCACVL1_1.0:contig15887:28971:29360:-1 gene:CCACVL1_29873 transcript:OMO51305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGWEMDHSSDEVIHAGVPNKCFCIEFPIPHRNRDEMDNK >OMO51301 pep supercontig:CCACVL1_1.0:contig15887:7576:10190:-1 gene:CCACVL1_29869 transcript:OMO51301 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGT1 protein MASDLEIKAKEAFIDDHFELAVELYSQAIELNPNNAEFYADRAQANIKLNNLPEAVADSNKAIELEPSMSKAYLRKATACMKLEEYQTAKAALETGALLAPGESRFSKLIKECEERVAEETGELPKQTVEEVTTNVEPSKEVEPAKEAEPAKEAEPEPFPVVAPPKPTYRHEFYQKPEEVVVTIFAKGIPRECVSVDYGLQFLSVAIDAPGKDPYNFQPRLFGKIIPDKCRYEVMSTKIEIRLPKAEPIQWASLEFTREVAVPQRVNLPSVTAERPVYPSSKPKRVDWDKLEAQVKKEEKDEKLDGDAALNKFFRDIYQDADEDTRRAMQKSFVESNGTVLSTNWKEVGAKKVEGSPPDGMEMRKWEY >OMO51304 pep supercontig:CCACVL1_1.0:contig15887:24929:26406:-1 gene:CCACVL1_29872 transcript:OMO51304 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MAEDWDLFALVRSCTSAAHNSAIVSPPPARNDLRTNEVENRSRLHEDPLACLASLKFEEEDDPFSFPNLSEVRKSGSLKDSYKPFLAYPDPTTTTIITTTHQGIDPSSGGSNGQHHHQEQPPPLTPLFAFAQSGNHQHAVHVGVQQQEVLMMPAPATVVPLRNMQSQAPRARKRKNQQKREVRQVTAENLSSDPWAWRKYGQKPIKGSPYPRNYYRCSSSKGCSARKQVERSPVDPNIFIITYTGDHTHPRPTHRNSLAGSTRNKLSTAQRAAGAANNDSVPAETLPTATASASCSSPPSGTSLSPISPTTPISAPEDAASASLQNQDGGDNNGGEEEESADMMALAESDEDDDDDLLIPNVHVDEDIFKGLEHFIGGGAGNTQLGRSPTFSDNFTSWGAAGSSAAAGAAAGGGC >OMO51300 pep supercontig:CCACVL1_1.0:contig15887:1621:6187:-1 gene:CCACVL1_29868 transcript:OMO51300 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MQFFGGSEISPSPPVPTTAGNNAHMMYVFNRNGVCLLYREWNRPLHTLNSQQDHKLMFGLLFSLKSLTAKMDPISSDKGNLGVPQLPGQGCSFHSFRTNTYKLSFMETPSGIKIILVTNPRTGDLREALKYIYNLYVEYVAKNPLYTPGTSIRCELFSTALDQYVRRIAYLFVSLLAMEEAVVLYPTPPIGHLRAMVELGKVILSHQPWLSIHVLIATPPYQADATAPYIAAVSNSFPSITFHRLPEITLPPSSNIVNHEELTFEVLRLNNPYLQDALVSISKKYKIQALVMDFFISVGFQVAKELNIPPYYLNTCAAGTLASFFYLPTLDKQTTKSFKDMEGFVHVPGVPPIPPKDMIKPLLDRAKKAYEYFFECSIAMSKSAGIITNTFEALEPRVIKAIGNGLCVPDGPTPPIYCIGPLIASVDEEKNGLAECLTWLDLQPSKSVVFLCFGSLGLFSKEQLGEIAMGLERSGQRFLWVVRNPPSGNLGVAIKEQGEPDLNALLPEGFLERTKDRGLVVKSWAPQMAVLNHDSVGGFVTHCGWNSVLEAVTAGVPMVAWPLYAEQRLNRVLLVEEMEIALPMVESENGFVNADEVEKRVRDLMESKQGKVVREKITAMKEAAKAALSEGGSSRVQLAKLVESWKH >OMO51303 pep supercontig:CCACVL1_1.0:contig15887:16726:18120:-1 gene:CCACVL1_29871 transcript:OMO51303 gene_biotype:protein_coding transcript_biotype:protein_coding description:ETC complex I subunit MFLRLISRPLMAKVKETTGIVGLDVVPNAREVLIGLYNKTLKEIQAVPEDEGYRKAVEGFTRHRLKVCEEEEDWEMIEKRLGCGQVEELIEEARDELTLIGKMIEWDPWGVPDDYEYEVIENDAPVPKHVPLHRPGPLPEEFYKTLEAIQQKDAPKVTSGEPQLKE >OMO51302 pep supercontig:CCACVL1_1.0:contig15887:13468:16119:1 gene:CCACVL1_29870 transcript:OMO51302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCKTGSRIIKLNEVTRLEETCSRLLSFCNSNVLKEGISVHSPIIKLGLHDNLFLNNNLLSLYAKCFGVHKARHFFDEMPYKDVVSWTGTLSAYVKAGNHNTALQIFDSMLVSGQCPNEFTLSSVLRSCSALGEFQYGACVQAYMIKQGFDQNPVLVSGLIDFYSKFDYTDEAYKLFNYVENHDKVSWTMMISSFVQDQRWNQALQLYVDMIEAGVPPNQFTFVKLLAACSVLGLHCGKLVHAHILLWGLKLNVVLKTALVDMYSRCQSMEDAVKVSNLTPEYDTLLYTAMISGLAHNFMFEKAIVAFREMRISEFVPNNFTFSSILNVSSLTFSLELGQQIHSRVVVAGLENDVSVGNALVNMYVKCSDNIGDALRVFRGISLPNVISWTSLIAGFAEHGFLLDSFHLFMEMRAAGVQPNSFTISGIIGSFNAAELLPQTLMLHGHAIKTNLYKDIVVQNALIDVYARLGMLDDAWQIVHMMSHRDAITYTSLASRMNQGGHHELVLSIFTDMYNDDIKIDAFSMAGFLSTSADLGTMVTGKQLHCHSVKSGLGKWISVANGLVDLYGKCGCISDAQRAFGEITVPDTFSWNGLISGLASNGYISSALSAFDDMKLAGVKPDPVTFLLVLSACNNGKLVDLGIEYFQSMRETYDIEPQMDHYVHLVDILGQGGRLEEAMEVIQTMPFRADALIYKTLLRACKAHRNIPLAEDMAKRGLQLDPSDPAFYILLANLYDDCGRHDLGEKTHKVMSEKRLRKSPSQSWVEIRNKVHLFVAGERLHPQINEIYKKLESLEAEFKNHRYHQGMGVGDSYYHSEKLALAFALLNTPSKTPIRIVKNSSICKDCHNFVKFVTHLIDKEIIVREGKRLHSFRKGECSCTGC >OMO77036 pep supercontig:CCACVL1_1.0:contig10841:40719:42149:-1 gene:CCACVL1_15226 transcript:OMO77036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7e MYTALKKIHKDKDVEPTEFEESVAQAFFDLENTNQDLKSDLKDLYINSAVQIDVSGNRKAVVIHVPYRLRKAFRKIYTKLVRELEKKFSGKDVILIATRRILRPPKKGSAAQRPRNRTLTAVHEAMLEDIVLPAEIVGKRIRYRIDGSKIMKVFLDPKERNNTEYKLEAFSAVYRKLAGKDVVFEYPGTEA >OMO77035 pep supercontig:CCACVL1_1.0:contig10841:16563:17346:-1 gene:CCACVL1_15225 transcript:OMO77035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKGKLKRKDMEQFNDDFSDFSLSSPAPKIRRLDAELPPIIEEEEAFLEKAIVVYNPVVQVNPNHLHPTLSLNLNPDLISGFKNQIVRATQMKSGDESERKEAEAKEGCLAVIPWVPCQIPIPIPIPSVGSRDDGQVGETELSLMEDEDEMDIEEESNNNNNNDNVKKMEQEQEEFGYGYGYDGLDLKQPSEALQQQHCMIPQPPQNPFTWPLTWSR >OMO77034 pep supercontig:CCACVL1_1.0:contig10841:9494:15338:1 gene:CCACVL1_15224 transcript:OMO77034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEYESNNWIWDGVYYCPHLFGGLMLTAALLDVGIFHKKKREKKRIRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIIANKGPPVLPMEERLALVSGLKWVDQVIANAPYAITEQFMNSLFNEHKIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRILSSVKDTKGGGDSNSKLLNGDSGERKLSQKGQISQFLPTSRRIVQFSNGQGPGPNARIVYIDGAFDLFHAGHVEILKKARQLGDFLLVGIHTDQIVSEHRGMPYPVMHLHERSLSVLACRYVDEVIIGAPWEVTKDMITTFNISLVVHGTIAESNPLFDGETDPYAVPKSMGIFRLLESPKSITTSSVAQRIVANHEAYKKRNAKKVKSEKKYYEEKTYVAGE >OMO77038 pep supercontig:CCACVL1_1.0:contig10841:43633:44200:1 gene:CCACVL1_15228 transcript:OMO77038 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative inactive poly [ADP-ribose] polymerase SRO5-like protein MENIQESVVSDRESCVSGLCFDQSPLSSNGLVPLFPVDRAHYQEKCEISKTLEHGFRHCGMPENSGLYGCCLYLSPDNSPMKSVKNAMADKNGLRYLMLCRVILGKAELVQPGSRFSAGLGFDRKEE >OMO77040 pep supercontig:CCACVL1_1.0:contig10841:60132:60893:1 gene:CCACVL1_15230 transcript:OMO77040 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 26S proteasome complex subunit sem1-1-like protein MATEQPKSATEDVKIDLFVDDDQCWEPKANGRTNSKDVATLRKEVQRIELSIEQSSEHLRIEFKTSLEATAAEMRQLFSQVMSKLGSSSSSQLPETPINVSTSRTNDSNLPCNIDPKPFTKHAKLQCPRFNGDDFLGWRLKIE >OMO77041 pep supercontig:CCACVL1_1.0:contig10841:65969:66115:1 gene:CCACVL1_15231 transcript:OMO77041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILDRRINKKQGRVVTEVLIQWSNYFPEDATWECLFDLQKKYPEFNP >OMO77033 pep supercontig:CCACVL1_1.0:contig10841:6775:8543:-1 gene:CCACVL1_15223 transcript:OMO77033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISIRGQIGVKEEEGKLSVAFEDSGQRRMWQSAEGFQVGAGGSGARPKWSDVACHVDAVTASLSTCGHVIELMLVKGQRSPKL >OMO77039 pep supercontig:CCACVL1_1.0:contig10841:54764:56936:1 gene:CCACVL1_15229 transcript:OMO77039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRDPRTTQAWAGSGQPFSGFFFHLLRFAVSRSASILRFDFLRSFSIPTSSILNLRSSHSAFALGECSTLRTSARVLRFAFGERYRSPLTGSILLFVGIYAWRSASILRLTLGRRSPLRIRRALSFFSHGEHSPLLGDLHLALDEHSLLGARWTFSVWRSTSVTVLLSRGAFSSPRGSTLVARRAFSAWRGRRSPRTRWVFGTSRSASVLHLRSAIVLLSRGALSSPWGSMLGALRAFFVWRSTGVLRFALGGRSTFHARQAFYICDRRSFSFHGEHSPLLGDLRLALCGRSPLGARREFSAWHLTGVLHFTLDERSTFAIGDRSPFTGSILLSLGIYAWRSMGVLQLALDERSLLGTRQAFYTSRSASILHLRSAIVLLSWGAFSSPWGSTLGALQVFFAWRSVGVLRFALGGRSTLHARRAFYIAIGDRSLFTGSILFSLGIYAWRSAGVLRLALSGRPPLGARRVFYTLRSASTLHLRSAIVLLSWGLFSSPWGSPLGAQRAFSSARGRSPLGTRQVFYTSRSQRVEGSPARGQPASARGGASVFCLP >OMO77037 pep supercontig:CCACVL1_1.0:contig10841:42761:42850:1 gene:CCACVL1_15227 transcript:OMO77037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVPETEREAKMEEMRDRAKFGGAIKTL >OMO92958 pep supercontig:CCACVL1_1.0:contig08151:40099:57109:-1 gene:CCACVL1_06706 transcript:OMO92958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAEGAGLWVWVTQPALRRGCQGQQV >OMO92956 pep supercontig:CCACVL1_1.0:contig08151:26930:27226:-1 gene:CCACVL1_06703 transcript:OMO92956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier, SUMO MARSATQVNLIVLSLTEGESPLLIRLQTPMGYEFCYKIGRKTPLFYMTLDFCQRMGLIRGEVRFTYDGTKLDEFKTPDEINLEDDDVIDVWPPLEWGG >OMO92959 pep supercontig:CCACVL1_1.0:contig08151:60299:62756:-1 gene:CCACVL1_06707 transcript:OMO92959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier, SUMO MDQKTPLYRLMLDYTERMGVPSNSVRLNYDGSPIVPFLTPHHLKIEDGEIIDVVPLPRDTLTVKAPATDQSILIITKKSGVKGATESTMISLPRAFGLCRSNGNGKRASKRGCNTIHSNHTFRPCKGRKENFLEVEEKEANQICYWMPRKTPLRDLMLDYTRRIGVAFNGVAFLYQDQLLNQVDSTPDSLKIEDGDTIHVIGGDQLKANEAIQSTLITLHWFYDEKPIVVKVHDLMKDDHIFYWFGRKTPFHYLMLDYSDRNYLLYEHMGFFYSGKFMEPNETADDLEIEDGNVILAINYSQFR >OMO92957 pep supercontig:CCACVL1_1.0:contig08151:33259:33945:-1 gene:CCACVL1_06705 transcript:OMO92957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGKSPLDDPMPVEAPLTAMGDSLTMDGLGLDVLAKSEFLSRQEVLHRRLQRVKQLRRLYIAQYWILMEEVKKKHKEFYWLYGKSPFRGDEKKKSSEERTNDKSLLMCQITECKEKAMALTKFCHKHILHDTNQVLYRGCVYHIKGGQMCKKPVLRSINPPHCPAHAQAAEKCLARAFKREGLNVSSSSKLSPKLHVLVAEYVRHIQGKRRAALGKAVSRFKLEEKIK >OMO71805 pep supercontig:CCACVL1_1.0:contig11548:25245:25385:1 gene:CCACVL1_18062 transcript:OMO71805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MEALHYYSAILDSLDAMLPKYDTRSAKMEQLYFAEEINELRGADKG >OMO71804 pep supercontig:CCACVL1_1.0:contig11548:16929:19778:-1 gene:CCACVL1_18061 transcript:OMO71804 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHS domain-containing protein MDKNLMDKVTAFGERLKIEGTEVGRKVSAGMTSMSFKMKELFQGPNPADKLVEDATSEALDEPDWALNLDICDMINHEKVSSVELIRGIKRRIMLKSARIQYLSLVLLETCVKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALMLIESWGESTSELRYLPVYEETYKSLKSRGIRFPGRDNESLAPIFTPPPSASAQQVDASLAQQLQHDMQLPRDIPVLSFTAEQTKEAFDVARNSIELLSTVLSSSPQQDALEDDLTTTLVQQCRQSQSTVLRIIDTAGDNEALLFEALNVNDEIQKALLKYEELKKPSAVAREPEPAMIPVAVEPDDSPRHGKEESLIRKPAGARHGTHGGSNDDMMDDLDEMIFGKKGGGSSEGGQDSKKQQPSKDDLITF >OMO71806 pep supercontig:CCACVL1_1.0:contig11548:32962:33441:-1 gene:CCACVL1_18063 transcript:OMO71806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGILTTCLPTLTQIKLSHTSLEHKSLKEALDAPKAYHGGNDVPRTYHGLEDKHGEHGKDMHGLHGSMEMHENHGDIVEYVPSTKKMPFDPLKMPLGPMTRARAKRFKDALMGLVRSHLDDMKTIQVQLKSFDDDLSKKTPINYKFITLLAIDSRWPD >OMO85614 pep supercontig:CCACVL1_1.0:contig09598:379:2301:1 gene:CCACVL1_10079 transcript:OMO85614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGKSKKVDKNVPTSNPQIENTNEVGPQSLSNVAVCCTGITGVGTVGVPLKVLPHFSTSCVGDMSLPGIDRMIGASERDSYQNFMGAKVDNSKAQSVGNFMCCSSRELSLGGAQDGKEMGSNDNLVDCVVQSDYPKTLDGRFLTLGVGSNTEARAKSSAPSRGSIGKNDGAIYTQIVQNAGESFDVSAFSGAVHNVDSFSPSEYNLEVSGSTSSNFGLSSSRMSPMPQTRVAHSLLKAVHGTGSILSGLPPNQGFQSLSNVSPIVHSNSQSGLNVHIPQRMAARPSLSSYMTSKYATTAFDQVQNSNMGSIPNFQRGTFVATPAPGSSVTTRNWYRSGGSR >OMP11620 pep supercontig:CCACVL1_1.0:contig01091:710:3112:1 gene:CCACVL1_00393 transcript:OMP11620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEDQEDTGRIDLANCGDHIVEYGKAIDFPNGTTIPWQGNQTEFLPKPKGQNIKTKQ >OMO50987 pep supercontig:CCACVL1_1.0:contig16010:45940:46831:-1 gene:CCACVL1_30085 transcript:OMO50987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGMDDGNNDESTSNSCYKSDSDGKFKRVVEEMGKKDNFQDDDKSPEVNMHANTNLIDAGMENEDLHGEMVTTTGELDALVANNENARLLDENKKVFNSISNVTLEDGDLRKQLEKIKIALDAEEQFDRKRDLCHCDTHVKEAAAIWEIGKQLGLAATNDETEILERIVDMEARDFGI >OMO50988 pep supercontig:CCACVL1_1.0:contig16010:53432:54331:-1 gene:CCACVL1_30086 transcript:OMO50988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGITPERSFTVFVNNIPPKVGWRWLRTVFQHFGKVVDAFIPSHRSRQGRKFGFVRFSNLYDARKAARNLNGVWFFDYKIRVNMARFNPRTSFWRKKEAKEKNDDNVAESLKNGDEDSEKEFHFDSGDVSGATVKGNIQKYCFGNINAARLAKLQLSVVGVCKKSIGVDTVVEKFTNPGIKGVFAQRIYGTKVLFLFNDRAFSDQMKLDGWNDLGDYFESLDEWFENFVPSRRSAWVACYGVPLQAWTLDTFVNIANCFGEFIRLDDYTRQSKTLHRMIMEVSTDSLSKIEESILIRPK >OMO87997 pep supercontig:CCACVL1_1.0:contig09140:4209:6278:1 gene:CCACVL1_08606 transcript:OMO87997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYAVIGINHVEEIFHLQQSGYILSNLESFSVGNLAELRVIWRTPKQLVTLQNLRDISVYGCNRLRYVFSPLLAPSLPKLEQLIIEECEDLEQIVDTSSPSSSLEDHHDLQSLSFPNLERIKIESCNNLKYVFPISIFAHLQRLRSIEISKAWKLEQVFGSGKDHDHQQEKVLQLPQLKWLSLEELPSLISFRSADYDHFQFPSLKELKVRDCRQMTITFSIDLQSCAHAKPQTHASQQMGDSAEAQREMWPRGSDINWNRRTDDPCRPKRAAYHGQAAPLGRLHHWAGRPTRAASL >OMO77978 pep supercontig:CCACVL1_1.0:contig10659:12088:16962:-1 gene:CCACVL1_14722 transcript:OMO77978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFLQMKERTREIEEQEAEHEIQRRWIDDGAANSMDQVLMAKHLLKPTLMDNTVGIAVNNKTQRQHRLTQRKPRHLKEMDFQIKKQWREDNMG >OMO68600 pep supercontig:CCACVL1_1.0:contig12205:2165:4222:-1 gene:CCACVL1_19890 transcript:OMO68600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MGRFGVLVLNVSTPIEDMSTKKEAMWNIVKSKFVFQKIESGEFVNEETIGVIKAWALEDMSTKWRQWKNELKSKYYVEAKTPEQIFEAVTDSRVDKNQFLAIAAHWLSTKSKDQSAINRENRLKSLEPHCAGTKSFSRIIHTMTEEAHGIPPSRADVYVRTRTRKGGNYANEKAAEVVERIKEIRLQNDDHSEEVSWTNDVFSKAAGPEKRGRIRCSGLKSTSSNRSGPSCSQPMQPNEEVQGLRSEIATLKTTLNGVLGVLRRQFPNETEDLLNTSARVVDEEVADAGSAPGISPHRNDRSSESTHQPSPINDDDDIQHNL >OMO78385 pep supercontig:CCACVL1_1.0:contig10590:19554:21916:-1 gene:CCACVL1_14407 transcript:OMO78385 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEEVEEANKAAVESCHRVLSILSKPQDQVHYRNLMAETGEAVFRFKRVVSILNAGLGHARVRKLRKLQTPFPQSILLDNPHHKATDYPSKSLQLGYHEKSAQELSSHAKNSLYLANTSLELSSNGKNPLQLAQQAPSSHYHFLQQQQHLQQRLQLQQQQQQQQQQQQQQQQQLKHQAEMMFRKSNSGINLNFDSSSCTPTMSSTRSFISSLSIDGSVANMDGGSAFHLIGAPRSSDQGSQQKKKCSGRGEDGSVKCASSGRCHCSKKRKHRVKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEEPSMLIVTYEAGIEPSAGPKEIVTIGVSIVRTLSSFMATNA >OMO78397 pep supercontig:CCACVL1_1.0:contig10590:93642:96007:1 gene:CCACVL1_14419 transcript:OMO78397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLSLIEHTLQLPPYLFNRPLDEAITEQLKSLFLDKVIANLGLCISVYDIRSIKGGFVFPGEGSSTYTVEFRLIMFRPFVGEVIVAKLKECDARGLRLSLGFFEDIYVPEVHLPTKSHFECYPNNRNRGRWIWNFGGAAQSEEPQDEEPQFVIDGSDRIKFRVHSVSYPSIPLEQPEDAKPFAPMLIIGTLDSDGLGPVSWWEEAEMLEEES >OMO78394 pep supercontig:CCACVL1_1.0:contig10590:82018:86275:1 gene:CCACVL1_14416 transcript:OMO78394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MKKYLRWQRILILSLLSFSVFAPILLVSQRLKTLTSIGRKEFIEDIASVKHRTDVLRLNAVEQEAAEELKGPKLVVLQEKDLSSVVSDNSNENHDSDQSRDAQDAYKFLEGNVSETNDEGKGHRQIQQNLIRLNSKEKVILASEPEEQSNKKTVRHDHHLRSQARRITDEKVKQIRDQLIRAKAFLSFAPPGSNSHLVKELRTRIKEVEHAVGEASKDSDLSRSATQKIRSMEVSLGKASHVFPDCSVMATKLRAMTYNAEEQVRAQKNQESFLVQLAGRTTPKGHHCLSMRLTAEYFSLQPEERQFPDQQKVNDPDLYHYAVFSDNILACAVVVNSTIASALEPEKIVLHVVTDSLNFPSISMWFLLNPPVKATIHIQSVENFDWLSTKYHSTLKEQKSQDPRYSSALNHLRFYLPDIFPALKKIVLFDHDVVVQRDLTGLWSVDMKGKVNAAVETCQQGEASFRPMHMFMNFSDPFLAKRFNANVCTWAFGMNLFDLQEWRRRNLTKLYRNYLQLGLKKPLWKAGSLPLGWITFYNQTVALEKKWHAVGLGYDSGLRQSDIDDAAVIHFDGVMKPWLEIGIAKYKGYWNKYVLYDHPYLQQCNIHE >OMO78395 pep supercontig:CCACVL1_1.0:contig10590:87031:87533:1 gene:CCACVL1_14417 transcript:OMO78395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbW, class 2 MATISASTPTSSITGAALAHKPSLRVAPAAIGLPTMGKKGRVTCSLEKKPSSVEEKGSKLGMSASLLAAACAATMSSPAAMALVDERMSTEGTGLPFGLSNNLLGWILFGVFGLIWALYFVYTSSLDEDEESGLSL >OMO78393 pep supercontig:CCACVL1_1.0:contig10590:73080:76930:-1 gene:CCACVL1_14415 transcript:OMO78393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg2+ transporter protein, CorA-like/Zinc transport protein ZntB MAREIYLVPIEASLKKKTAVTTNWISIDADGQGEILDVDKYAIMRRVQIHARDLRILDPLLSYPSTILGREKVVVLNLEHIKAIITADEVLLRDPLDDNVIPIVAELKRRLPQYNLTSQGQGEEEENPGLRNDMDTGEENEFPFEFRALEVALEAICSFLDARTRELETDTYPALDELTSMISSRNLDRVRKLKSAMTRLTNRVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSGAPNWYPSSPTIGSKISRTSRASAVTVHEDNDVEELEMLLEAYFMQIDSTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSIYSLVAAIFGMNIPYTWKEGHGYMFKWVVILTGILCASTFTFIISYARHKGLVGS >OMO78409 pep supercontig:CCACVL1_1.0:contig10590:155594:159247:1 gene:CCACVL1_14431 transcript:OMO78409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTVFSVDDFSDPFWAASAAEGMSRSQSEWALEKFLEEFSSTGGAIPGSRVGENVIGSSLAASQLSVSKAEEGDRDGDVVEIKRPNNQNHNPPPSDPTPGIPADSDEYRAILKNKLDQACAAVALSRGQGEPDCSPCEISAVATTHKKSGVQVRQTTSGSSREDSDDDELEGDDIETTENMDPADVKRARRMRSNRESARRSRRRKQAHMNELEAQVGQLRVEHSTLLKRLTDMNHKYDEAAVDNRILKADIETLRAKVKMAEETVKRVTGINPVLLSRPNVPSVGMPFVSSPLEASTVAPVPMQPNTNQFFHQPIPSIAAPMHHQRVDNSFPGNTLHTPSLDRVQEQIGHSGMQKQIGPGVGPCGPMPGWEPGLSHGAAKNKKQS >OMO78406 pep supercontig:CCACVL1_1.0:contig10590:141437:145238:-1 gene:CCACVL1_14428 transcript:OMO78406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPNEAPTDDFLEQILGLPNFAPTETGLPGHDGGLAGTAAAAPMMLQLSSGDGAGHLGAIGGGGGAAFHGQVFPLGLSLEQGKGGFLKPEEASGSGKRFRDEVVDGRASSVKNVFHGQPMPATVAAAPHPPAMRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPLSSVEDESGEGGRNQPAWEKWSNDGTERQVAKLMEENVGSAMQFLQSKALCIMPISLATAIYHTQPPDTSSIIKPETNPPS >OMO78402 pep supercontig:CCACVL1_1.0:contig10590:123622:127081:1 gene:CCACVL1_14424 transcript:OMO78402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATIDPLSLIPPTIAKVARMGQAVEEGAKNRHAPWRRREV >OMO78389 pep supercontig:CCACVL1_1.0:contig10590:46726:48321:1 gene:CCACVL1_14411 transcript:OMO78389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHSAEAEDDCCDWFFPAITGDLDRLKEMVSTRLNGGNLANTLASYKGRYGQTVFHFAASAGSIRICQYLVEELNLNVDDFISDEGLTPLHSAIAGESYDVAFYLLNKGANPNAAEKRGLTPLHMAAKIDMGLFFSLCCFWLTRLCLQAGADPNLVSLGQTPLGVAAAIGDVEMIKCLLNAGADPNVPSTRLSNAGADPNVLNHVSF >OMO78386 pep supercontig:CCACVL1_1.0:contig10590:24226:24462:-1 gene:CCACVL1_14408 transcript:OMO78386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAHGQTKSTAAGPTWVPRACQSVTDLRGVLPAQVSAPPETAFGGQRHPPPWVTCRTFGPRTDHVERLDWMSPTTAI >OMO78387 pep supercontig:CCACVL1_1.0:contig10590:29472:31921:-1 gene:CCACVL1_14409 transcript:OMO78387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle, SRP9 subunit MVYITSWDEFVERTVQLFRADPESCLKFKTDQAQEAKKMEKLNNIFFTLMARGPDVDMSEITGKEQTEAQPAKKGRGRKQ >OMO78400 pep supercontig:CCACVL1_1.0:contig10590:111098:118935:1 gene:CCACVL1_14422 transcript:OMO78400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQPQPHISSSAAAASAAAAAAAAFDFRPAKRRGSYNCGRCGLPKKGHVCHVPTTAATPTSSTTPTSTPTATTTDASSSAARPPHPPPPRQPYSHLRRALSFDDVDIRCDSPELDLDVYDSPLPVTDLDPDNEIVSRGLPAGCLWDVLRRLPPAGLLSAARVCKGWRETTKRLWRAAEELRLRVPPRAQLGFIGSLLQKCPSLVRLSLKMESDVDATMLACVAFSCPNLESMEITTSDTAVNRITGDELGRFIADKRCLTSLKMEGCSNLGGFVLSSSSLSTLWLSDLHSLSKTVFNCPNLKEISLEFCRQENDSTDLTAMVDNMGRSCTRLQNIHIASVRLSHAVVLSLTAANLRGLRMLSLVLGSEITDASVAAIASSFSRLELLDLSGSSISDSGIGMICNVFPNTLSRFLLALCPNITSSGIQFATAQLPHLELMDCGMTICDPNSQNSPSDEIGDNELPNAFNNKLHLIVFVVEKSEASFMESTFTVHFFQFKNWAAFIRSVEFTSSQGKSVQGFMFSKEGNSGFKRQVTPPDVAVVVPMDGFHLYRSQLDAMENPEEAHARRGAPWTFDPMLLLNCLKKLRNQGSVYVPSFDHGVGDPIEDDIFVSLQHKVVIVEGNYLLLDEGVWKDISNIFDEKWFIDVDLDTAMQRVLKRHISTGKPPDVAQWRIDYNDRPNAELIMKSKKNADLVIRSINF >OMO78408 pep supercontig:CCACVL1_1.0:contig10590:151709:152578:-1 gene:CCACVL1_14430 transcript:OMO78408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDAQGQPIDPRKIQEHFEDFYEDIFEELGKFGEIESLNVCDNLADHMIGNVYVQFREEDQAAAALQALQGRFYSGRPIIADFSPVTDFREATCRQFEENNCNRGGYCNFMHVKVIGRELRRKLFGRYRRYRGSRSRSRSRSASPRHRRDKEPRERSKDRDRDRDRDRDRDHRDRNGRRHERYDRDKDSGSRRKHGSPKRSRSPPPAREGSEERRARIEQWNREKEEKE >OMO78407 pep supercontig:CCACVL1_1.0:contig10590:147245:149991:1 gene:CCACVL1_14429 transcript:OMO78407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase, alpha chain MAISLKSTACLLQIKKQNTHSLSRLSFGKSVVSTKRFTPMAALTSAPTLGLADTFSKLKEQGKVAFIPYITAGDPDLSTTAEALKVLDSCGSDIIELGVPYSDPLADGPVIQAAATRSLAKGTNFSSILSMLKEVVPQLSCPIALFTYYNPILKRGVGNFMSTVKDAGIHGLVVPDVPLEETETLRKEALKNKIELVLLTTPTTPTERMKAIVEASEGFVYLVSSIGVTGARASVSDRVQTLLNEIKEATTKPVAVGFGISKPEHVKQVAGWGADGVIVGSAMVRILGEAKSPEEGLKELESFTKSLKSALL >OMO78388 pep supercontig:CCACVL1_1.0:contig10590:32819:35002:1 gene:CCACVL1_14410 transcript:OMO78388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MATIEGESDVYERDEEKQGRGADSSGQDGAGKGTWKHAAFHVATTIATPAAYAPLPFALASLGWSLGVTSLVAATLATWYSSLLIASLWRWNGKKHVTYWLLADSIFGYWGYWSIAFFQQVASLGNNIAIQIAAGSSLKAVYKHYHKDGSLTLQHFIIFFGAFELFLSQLPDIHSLRWVNGLCTLSTIGFAGTTIGVTIYNGKKIDRESVSYSLQGSSSAKRFAAFNALGAIAFSFGDAMLPEIQNTVREPSVKNMYKGVSAAYTVIVLTYWQLAFSGYWAFGSEVQPYIVASLTVPKWTIVMANIFAVIQISGCYQIYCRPTYAYFEERMVSNGKNRFPFRNRLIRLIFTTIYIALITLISAAMPFFVDFVSICGAIGFTPLDFVFPALAFLKAGKMPQNRQLRVSMQLLNIAMATWFSVVAVLGCIGAIRFVVEDVKTYRFFHDM >OMO78396 pep supercontig:CCACVL1_1.0:contig10590:88821:89401:-1 gene:CCACVL1_14418 transcript:OMO78396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEYAAGFHQRQVVQLLLPHTSRITLIRYWTVQGVMDYYAPLSRRTFFESKVEPLRSKAHEFMLEGRYDDAAIDRLTTALSFFPARPGVLFFERYDCWLHLGNGSCALHDAHMVLRFMDAGQSSECHRRVGAAYMLLGQYSEAVASLERALQLNPGAMPI >OMO78383 pep supercontig:CCACVL1_1.0:contig10590:7836:11399:-1 gene:CCACVL1_14405 transcript:OMO78383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKTALRFASADNGAVLSTSSSKSTPKDNLCNGGFATTEAPSFILFKGRPVTPANHLKITGWR >OMO78384 pep supercontig:CCACVL1_1.0:contig10590:12423:17407:1 gene:CCACVL1_14406 transcript:OMO78384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19/S15 MQRDDNSKPATNFTQILVASSIGIILAAAVRYHLLKLRDQKIIPRLRTKDKLHRHDKLERFPHYVARQMGFKDKRDCPLLCKLAAEYMRKSEGCEEDIYSYFSSEPDVDSLFVKLVEEFERCTLSYFAFHWSHADLMISQVLSADHEQPKKKLKQIVMAATREQRFERVTKDLKVARVFNTLVEEMKAMGLTSTDDSVCTEVMAPVAHSDRSPVLLLMGGGMGAGKSTVLKDILKEPFWAGAAANAVVIEADAFKETDVIYRALSSTGHADMVHTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTITMARCVHRRRYRMGVGYQEAPDGTVTENYWEQIEEEDQVQEQGGKRRKPYRIELVGVVCEAYLAVIRGIRRAIMCRRAVRVKSQLKSHKRFANAFPTYIQLVDNARLYCTNALEGPPKLIGWKDREKTLLVDPDEIGCLKKADVEADVAATGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFTARARRRFQRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >OMO78404 pep supercontig:CCACVL1_1.0:contig10590:134511:136076:-1 gene:CCACVL1_14426 transcript:OMO78404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primosome PriB/single-strand DNA-binding protein MAFAQTLISSRNLFVTVPKNPHTNTKIHTFSPSAAPQDSSFFFPKRPRSFQPLKCSVDYSDQNYNLQVSYPKPQEIPWSKELCNTVHLIGNVGFPVEIKHLPSGKVVARTRLAVKKSSTDTTWINLTFWDEMALTAYQHVEKGQQIYVCGRLVSDQFDGDDGKQQTYYKVVVKQINFVERSSSSVASYDRGLSGMSSKSYRKFGNNGGNNMGSPQELWQAFFANPSEWWDNRKTKRSPKYPDFKHKDTGEALWIEGRNNPHWVKSQLEILDERMSSLQGEDERMAADSFLPF >OMO78410 pep supercontig:CCACVL1_1.0:contig10590:160342:165423:-1 gene:CCACVL1_14432 transcript:OMO78410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHLTAQNRSRSSQTPSPSHSASASATSSLHKRKLAAAASEDHAPPSSFPPSSFSADTRDGALTSNDDLESISARGKDGGAPGSGSMGPTGAAGAGGGSMGGISMKEDVKIFTENIQTSGAYSAREESLKREEEAGRLKFVCYSNDGVDEHMVWLIGLKNIFGRQLPNMPKEYIVRLVMDRSHKSVMVIRRNHVVGGITYRPYKSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDVDGLTHFLTYADNNAVGYFIKQGFTKEIHLEKDRWQGYIKDYDGGILMECKIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCQIVYQGIDFQKKEAGIPKKVVKVEDIPGLKEAGWTPDQWGHTRFKTLIVSTDNATNQKHLTNFMRSLLKTMHDHVDAWPFKEPVDARDVPDYYDIIKDPMGLHICNRA >OMO78398 pep supercontig:CCACVL1_1.0:contig10590:96801:100158:1 gene:CCACVL1_14420 transcript:OMO78398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSFTAPKLSSPTLQSLYRNFHVSVPLPKSLSSFSRRFSPSFPRFHQQYHRLQRRRLFSVRAESENGSEPPRHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTISSETTGGVGGTCVLRGCVPKKLMVYASKYSHEFDESHGFGWKYETEPKHDWSTLIANKNAELQRLTGIYKNILNNAGVALIEGRGKIVDPHTVDVDGKLYTARHILISVGGRPFIPEIPGSEYAIDSDAALDLPSKPEKVAIVGGGYIALEFAGIFNGLTSEVHVFIRQKKVLRGFDEEVRDFVGEQMALRGIEFHTEETPQAIVKAADGSLSLKTNRGTVDGFSHVMFATGRRPNTKNLGLESVGVKMNKNGAIEVDEYSRTSVPSIWAVGDVTDRINLTPVALMEGGALAKTLFQNEPTKPDYRAVPSAVFSQPPIGQVGLTEEQAIKEYGDIDVYTANFRPLKATLSGLPDRAFMKLIVCAKTNKVLGLHMCGEDSAEIVQVEASLKLVITYSMRFSIKFCSWFSDVYGSLQGFAVAVKAGLTKADFDATVGVHPTSAEEFVTMRTPTRKIRQSPESEGKTDVEAKSAAGV >OMO78401 pep supercontig:CCACVL1_1.0:contig10590:120628:122620:-1 gene:CCACVL1_14423 transcript:OMO78401 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MEVAALKMGKGGGGGGGEVGGEKAKMGFEETELRLGLPGNMGGGDQGGEVSMRKRGFSETVDLKLNLSSKESSATVDPNDKVKALQKEKNLLPCATDPAKPPAKAQVVGWPPVRSFRKNMLACTQKNSSGSSSEESEKAGGSAAFVKVSMDGAPYLRKVDLRMYKTYQELSDALAKMFSSFTIGNCGSQGMKDFMNESKLMDLLNGSDYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGTEAIGLAPRAMEKCKNRS >OMO78391 pep supercontig:CCACVL1_1.0:contig10590:62993:63538:1 gene:CCACVL1_14413 transcript:OMO78391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MASQSPPATAFFTRAIESTFTRRPWREFFSLSSFARPISLGDATARMKRNLNYFRVNYAMIILVILFLSLLWHPISMIVFLVVFVAWFFLYFFRDEPLVIFNRMIDDRFVLAILAVITIVALVFTDVWLNVLVSVLIGAFVVGLHAALRGTEDLYAGELDVSDGGLFSVVGSPTRAGYTRV >OMO78390 pep supercontig:CCACVL1_1.0:contig10590:57975:58690:1 gene:CCACVL1_14412 transcript:OMO78390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYFYSVEAAEADHDQHCIWFDAANSGDLDRLKELVLTRLDGGKLATALAYYKGKGGQTVFHFAATAGRMEICKYLVEELKLNVDDFLDDKGHTPLHSAIIEDRYDVAVYLLDHGANPNAADHVIGATPLYLSVKLGIY >OMO78403 pep supercontig:CCACVL1_1.0:contig10590:131150:131979:1 gene:CCACVL1_14425 transcript:OMO78403 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MEGRMNYENDLVNLKATELRLGLPGSDEPTEKKMRNNKRSSSEISEESRTSGVTDQAAGVNDEHEESAPPAKAQVVGWPPIRSYRKNCLQAKKNEAEGSGMYVKVSVDGAPYLRKIDLKVYRSYPQLLKGLENMFKLTIGAYSEREGYNGSDYAPTYEDKDGDWMLVGDVPWEMFISSCKRLRIMKGSEARGLGSCL >OMO78392 pep supercontig:CCACVL1_1.0:contig10590:65125:70256:-1 gene:CCACVL1_14414 transcript:OMO78392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MANQVVKVRREAIAACMTCPLCNKLLRDATTISECLHTFCRKCIYDKIQEEELECCPICNIDLGCVPLEKLRPDHNLQDVRTKIFPLRRRKVKAPEVVPPVTVPTRRKERSLSSLVVNAPKVSTQTTMTGRRTKPVPRKSGALRGSSFSTEKPVKREEDSAEDHQESASSPETLNKFTQNKRQCTSAEPGQHTNKGENGGESWDGKLDLWKPLNCLVEVANRTKSFKSNSQGSDSKLESNRVPNSEAPTFKTKHREDKCKTKVEDEKNNAGATTSETVTPKKLRRIRRKRAGFGDSGISPQAVLDAADAKQERRIGPVWFSLVASEDQEGDAPLPQISANYLRIKDGSIPVSFIQKYLMKKLDLTDEAEVVIKCMGQPVVPTLQLYNLVDLWLQTASTSQRVAASVGSSAKDFVMVLAYARKGPDQ >OMO78399 pep supercontig:CCACVL1_1.0:contig10590:101722:103941:-1 gene:CCACVL1_14421 transcript:OMO78399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MAISLSQTFLSINRQLENHVLPSENSSPVSFSGQKSQLGRSKSLEFATRQQQPRVPRVLSRTSESSLSSYIFHELEIERRENRGEGNHIVSPGNNNQEEEEERRISDVWSEIHGKDDWVGMLNPMDPLLRSELIRYGEMAQACYDAFDFDPFSKYCGSCRFTPDNFFDSLDMTNHGYNVSRYLFATSNINLPNFFKKSRWPKVWSRNANWIGYVAVSNDEMSKHLGRRDISIAWRGTVTRLEWIADLMDFLKPVSSNKIPCPDPTVKAESGFLDLYTDKDVNCRYCKFSAREQILTEVKRLLEFYKDEEISITITGHSLGSALAILSAYDIAEAGLNVLQESRVVPVNVFSFSGPRVGNVKFKERIETLGVKVLRVVNVHDIVPKSPGLFFNEHAPPMMMKLAEGLPWSYSHVGVELALDHKNSPFLKQDADLTCAHNLEAHLHLLDGYHGKGHRFVLASGRDPALVNKACDFLKDHYLVPPYWRQDENKGMIRNKDGRWMQPERPKLDDHPEDIHHHLKQLGLATEH >OMO78405 pep supercontig:CCACVL1_1.0:contig10590:136570:140202:1 gene:CCACVL1_14427 transcript:OMO78405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like 2Fe-2S ferredoxin MLARLASQRLLEIRQAFRQSAQAYRSFSTALNYHIDSPDNNPDLPWEFSEKNKEKVKEILSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVIGVAPIRVYEVATFYSMFNRTKVGKYHLLVCGTTPCMIRGSREIEDALLKHLGVKRNEVTKDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDVTPQRVVELVEMLRRGEKPPPGTQNPKRIKSGPEGGNTTLLTDPKPPPCRDLDAC >OMO77971 pep supercontig:CCACVL1_1.0:contig10660:28064:28504:1 gene:CCACVL1_14727 transcript:OMO77971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L26/L24P, eukaryotic/archaeal MKYNPRVSSSRRKNRKAHFSAPSSVRRILMSAPLSSDLRSKYNVRSMPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGINPSKVVITKLRLDKDRKSLLDRKAKGRAAADKDKGTKFTAEDIMQSVD >OMO77970 pep supercontig:CCACVL1_1.0:contig10660:21284:27109:1 gene:CCACVL1_14726 transcript:OMO77970 gene_biotype:protein_coding transcript_biotype:protein_coding description:CMP/dCMP deaminase, zinc-binding protein MALSFAVGAYSSPIIMCKVANVNNNSHMQDLSYIKRAAEISDKSAGFTSPHPNFGCVIATRSGEVAGEGYLYAQGTKPAEVLAVEAAGERCRGATAYLNMEPGDCHGDHTAVSALVQAGITRAVVGIRHPLQHLRGNAIRALRSQGLQVDVLGEDMQSKLVEEARKECLLVNLPLIYRAASRVPFSVLKYAMTLDGKIAASSGHAAWISSKLSRNRVLALRGRSDAIIVGGTTLRRDNPRLTARHGGGHMPIRIVMTQTLNLPEEANLWDLSEVSTIVVTQRGARRSFQRFLASKGVEVVEFDILNPRDVMEYFHDRGYLSIFWECGGTLAASAISSGVIHKVFAFVAPKIIGGKNAPTPVGELGMVEMSQALDLIDVCFEQVGPDMLISGFLQPIPDLTPTIPSEDETFAIDPTVDPFESSIIFFYKTWDPYGAFSNFSPHPIQMPDEDGNYATWSSIEHYYQASKFIGVGDPIAQDCIEKIKLAKSPEEAARMGRLTQRQHPNLVRSDWESVKIDVMYRALKCKFSIYPHLNSMLLSTAGSVLVEASPHDLFWGGGREGEGLNYLGRLLMQLRSEFLGESSSASESTCLAL >OMO77968 pep supercontig:CCACVL1_1.0:contig10660:12748:17008:1 gene:CCACVL1_14724 transcript:OMO77968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVCFIYLLCSGTRIKTRKRNIAAPLDPAAFADAVVQIYLDNAGDLELIAKNIESSDLNFSRYGDTFFEVAFTGGRTQPGTIKPDEGERHPYSIIECEAKREAILPSVIYIQKILRRRPFLIKNLENVMRKFLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGIVLSFVTDFFKEYLVENSLEDLISLLKRGKMDDNLLDIFPSAKRSAEGFSEHFTAFKLSRIIFTLYYVTFVHTFSKAGLVPLVEYNEKKIFEVKLKEMKSALTTQIAEESDISEVIETVKQRVKDAKLPDIEIVRILWDVIMDAVQWSGKNQQQNANSALRQVKTWAKLLNSFCTSGKLELELMYKVQMQCYEDAKLMKLFPEIVRNLYDQDVLAEDTILYWFRKGTNPKGRQTFVKALEPFVNWLEEAEEEE >OMO77969 pep supercontig:CCACVL1_1.0:contig10660:18077:20425:-1 gene:CCACVL1_14725 transcript:OMO77969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMNLINLDDDSSTKDCSTACSSNKRAKIMQELGDKVETVRISCTLPNRRESKLDSSNKRNASASSPTGTKKVDQQVFSDMSVRNCLRYCSTFASIQDLSAACCHLNQSIKPLSAATIKAEVWKLHQKMKGQVKFDLEKVSSRICLTVNFWTSCTTTDYLCLSAHYLDEDWKLNVKFINFSQAPFPNFANDLCDKIYSLLNEWGIGNKIFSLTADNACSMGTMLLCEQLNQQGSLLCQGKFFQIASCADILDLIVEDSLKVIDESVCKIRESVKYVARSKPVFHKCLDIFGIEGSNGLWLDVPNRWNSTYLMIERALHYQQAFLALKMLDTKYTHCPTSTEWTMLGKTYKILKPFHEMAKLFLDPIILLPTFILDPRLKMQYVKSCLRELGESYAEKWMHVYDQLKMLFKDYKNKYPHKDESGDSEFQCIYPGVSDPNKLELDQYLDEPRVDVCSQIDVLSYWKENQDKYPLLSRMAKDILSIPITTISSESAFSIGNKVLNRCIKRFPLKDMEAILTTCNWLYGYESYEEKEMENVHLIGCEVNYPKMSEYLRWHTGESEEEEKEV >OMO96840 pep supercontig:CCACVL1_1.0:contig07368:5134:6811:-1 gene:CCACVL1_04757 transcript:OMO96840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reactive oxygen species modulator 1 MARDSCLSRVTAGVAVGGAVGGAVGAVYGTYEAIRYKVPGLMKIRYIGQTTLGSAAIFGLFLGAGSLIHCGKQY >OMO96841 pep supercontig:CCACVL1_1.0:contig07368:12174:14570:-1 gene:CCACVL1_04758 transcript:OMO96841 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA splicing factor, Cwf18 MATEEESIEQAAASRRERLKALKEAQELLSTPDDDSAQAAEDKADETNEEDNLSMKFRNYVPHDKLLQEGKVAPPVLPKFEDPVAAAPPPAEDKEDPLFNIAPKKPNWDLRRDVQKKLDKLERRTQKAIYKLMEQQEQEKQLAEGGNDIED >OMO96842 pep supercontig:CCACVL1_1.0:contig07368:15183:17951:-1 gene:CCACVL1_04759 transcript:OMO96842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFHFSDKEKDEEPKTTKSISVRSSTSVSNSMSAEHDMRRSGSEFNSQSQNVSDFSTESSTKNSFAAFSQRQSNLREFSFSELRTATKNFSRSLMIGEGGFGGVYRGVIRSTDDPHKKIDIAVKQLSRRGLQGHKEWVTEVNVLGVVEHPNLVKLVGYCAEDDERGIQRLLIYEYMPNRSVQDHLSSRFQTTLPWATRVKIAQDAARGLAYLHEGMDFQVVGTIGYAAPEYIQTGRLTAKSDVWSYGVFLYELITGRRPLDRNRPKGEQKLLEWVRPHISDVKKFRLILDPRLEGKYSLKSAHKLAAVANKCLARQAKLRPKMSEVLEMVDQIVEAADMALLV >OMO97543 pep supercontig:CCACVL1_1.0:contig07229:89:215:1 gene:CCACVL1_04519 transcript:OMO97543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AELAILNSRIGHACKRRETNG >OMO50187 pep supercontig:CCACVL1_1.0:contig16271:48559:54574:1 gene:CCACVL1_30578 transcript:OMO50187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MEMRRNKQVVLNNYVSGWPKEADFRVQHSQYSNSINNIPADGTVAVLVKNLYVACDPYMRHRMSSRHVSHPGTILRNSFSPHSVLVGYGVARVIESTHPDFKEGDHVWGLTGWEEYTLIPNPHNLNKIALITDDVPLSYYLGVLGMPGVAAYVGFYNLCSPKAGETVYVSSASGGVGQLVGQFAKMMGCYVIGSAGTKLKVDLAKDKFGYNHAFNYKEEHDLGAALKRYFPEGIDIYFDNVGGKMLDEVLLLMKPHGRIAACGMISQYNLEEPEGIKNLFNLIPRSVEIKGYVETDFKHIYPQYLDFAIKSFKEGSLNYVEDVAQGLESAASAFVGIFHGRNVGKQIIRVASE >OMO50186 pep supercontig:CCACVL1_1.0:contig16271:41923:42826:1 gene:CCACVL1_30577 transcript:OMO50186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWVWVSSLVSGLAVRWVGFQERYVFEEREEKI >OMO50188 pep supercontig:CCACVL1_1.0:contig16271:55450:70389:-1 gene:CCACVL1_30579 transcript:OMO50188 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MASKGPRSRIEHETRARRQKALEAPREPQRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKKVALRATKGMLDQATRGEKKLKEEEHRMRKIALNISKDVKKFWMKIEKLVLYKHQMELDEKKKKALDKQLEFLLGQTERYSTMLAENLVDPHKPVQQSPAQYKLKSPVKEDVNDVDQSLDLNTEADDDFDVNSEEESEDDEQTIEEDEALITAEERQEELAALHSEIDLPLQELLKRYVVQRVSRESSPEKGEDAKESILVPDDNISSAVSRESSTEKGTKESILVVPDDNSKQVSAVSRESSPEKGEDAKESILVPDDNSNNNNNSASNKVETTNSIVRCSNESNGGLSISENRSLDVEATPTRNISESSGELAKEDIPYDFSEEQEDGDFTLAEEEKDDETTLSEEEELAKVDSSNPIDEIALLQKESEIPVEELLARYRQDFSGDDASGDESEYASALSEDLLDSPANQNVEAREESGTKNEVESSPVQGVVNHSAEEEASPDRNFEDGKESENRIADAAAAARSAQPTGNTFSTTNVRTKFPFLLKHPLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLRWCPAFKILTYFGSAKERKFKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHVFQSHQEFKDWFSNPISGMIDGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKHEHVIYCRLSRRQRNLYEDFIASSETQATLANANFFGMISIIMQLRKVCNHPDLFEGRPIVSSFDMCGIDIQLSSSVCSILSTGPFSTIDLKNLGLVFTHLDFSMTSWESDEVQALATPSSLIEELTDQDNLEEVGPFSKHQKNLLGTNIFEEIRKALREERLREAEERAASIAWWNSLRCCRKPVYSTTLCELLTVKHSAFDIQNQKADRRSYSYSSRLVEIILSPVDRFQTVIHLVESFMFVIPAARAPPPVCWCSKTGTSAFLHSTYIEKCAKTLFPLLTPIRPAIVRRQVYFPDRRLIQFDCGKLQELAVLLRRLKSEGHRALIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHRTLSVKSIQKDKNHNSGMEVSVSNADVEAALKHAEDEADYMALKKVEQEEAVENQEFTEEAMSKLEDDELVNEDDVKADESADQGGCMTTLNKDNRLMFNGGLPIEEKALTFAGKEDDADMLADVKQIAAAAAAAGQAISSFENQLRPIDQYAIRFLELWDPLIDKGAIESEVRFEEAEWELDRIEKYKEEMEAEIDDDEEPLVYEKWDADFATEAYRQQVALAQHQLMEELEYEAKEKELADDGNFDAMNEMVSEPKSKSKKKKKPKKAKFKSLKKGSLSSELKPAKEETKSEPMSIDDDDNSHEELSYSDVVSPSSNGLKKRKKVDMIVHDAEEVKSSKKKPKKSKKPPMELHPVDDPSASRKRNDDCAEVKPCAGLAIELEQKPAGRSRTGGKFSITSMPLKRVLMIKPEKLKKGNIWSRDCVPSPDSWLPQEDAILCAVVHEYGPHWSLVSETLYSMTAGGFYRGRYRHPVHCCERYRELIQRHILAAPDSSVNEKISNAGSGKALLKVTEDNIQMLLNVAAQQPDHELLLQKHFTALLSSVWRVTLRPEHRQSVSTSRNGIRLGGRFVSPFLSHTLQSSAKEPAQRMKFTNLRECSKLLSDALNDASDRQQSDTVSHSDRRYDPVIAESLELTLEIQGDSCDSMNSFPPVINVSIYGSDLVTSINRTPGEDLHLKDSKVVPENRFRAAASACVGGGLGWASSAFPANDSRSRPGSKMQSLGKHKLSVSDTRPKSKLKKASVEHGDVHNLFSEQALQSVTTVSPKDPNLRCDLTSMSNGDGWVDDANSDSYSSMDKALSQELEGFDLVQHNYIPGFISGLDCSTLPDYSDIGWG >OMO50185 pep supercontig:CCACVL1_1.0:contig16271:34475:35260:1 gene:CCACVL1_30576 transcript:OMO50185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIGFAPGVKGYKLFDLQLKKIFISRDVFYENIYPFSANETQTDKMQLMRHNFVEELVLPIFDKSADFDNFNGVVASGDTTTDPSTSNQQVTAPDNDSSIMDHQSTLHQNQNVVVPVLDVHPQNPEIQISSSQNSNTGTILPTSYIPPQNNVSTLEPTRRSTRQKFRPPYLTAFRTNFKFAQVQTHRTSPRTLESVFTYDNIATKHKVFATAVDSDKEPTTCKEAAKDENWKQALSEELAALKKTKTWQLVDLPPGKLAVS >OMO50184 pep supercontig:CCACVL1_1.0:contig16271:9660:29011:1 gene:CCACVL1_30575 transcript:OMO50184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med13 MSWFQFLPVESELSSLPDKSIKADQKDAATLQVLSSHLQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFIPGRHVSVVESAQSVVAKLRVVASGFWLAPGDSEEVAAALSQALRNRIERALLGLSYIRFGDVFSKYHPPQIEESFRRAQPTVEFIFAATEESLFVHAIISSKHIRGLSTGDIEKVLEHSSNDSSYLLPVIVSPHGMRGRLTGCCPNDLVKQVYFSSAKVRTSNGFIGLPYHKNQGSGCQLRGQNCYVKVTIGCSKSGSDKILNSNSNYTRNLPKHQSTEASTAGRGDQKGSLDLLSVHEKTFIYPSEAVLVPVLQTSFARSSMRSDGNIDSMERSWVEFSGILTQHGYNSSSNSNNSSISSISSTSSDSVTTGARDLEADADSSCRQSGLSSNDQMEIDCLKLGSKRPRIGITESYAITGIGNDQIGSNWDWDDDDRGIVMDFQSLLSEFGDFGDFFENDVLPFGEPPGTAESSAIMFAAPDCGDVGNSPVGVMDIPDPMVMPVGLPSFDSFSAPPPVAVEECLSKSQEVTSSAVISGPSNHTPASFTGEFDHIMKAEALMTFSAEYGAVETPASDSSSIFRNPYLPKSRKVESSNSSPNIYIYGATPPSSPFLDGLDEKTGMPMSLKAHSAGNDSSSILQLKKYYTHVETRKDRCDRRLLTNNDGGGTNELSGTSQFANFNSVNTLKSADRKMTQGTFGGEDIHLSMKTLFATEVECAMFQASMLRMRHIPLSRHTGSSVLNQLPGDPSIMTDNVSSRYEVKKKESIPVRIAGDIDGGIIDGHLNAPVGVWRSVGVPKVLKPATSPSIEASSSLTHNSFNEESILSYGQRKPLQELLDGIVLLVQQATSFVDLALDADCGDGPYSWLALQEHWRRGFCCGPSMVHAGCGGALASCHSLDIAGVELVDPLSANIQASSVISLLHSDIKSALKSAFGSLDGPLSVTDWCKGRVQSVDVGPSADGSSAESNISELTGAMDGGKVDESEQQQCTRLRPTLFVLPSPAILVGYQDDWLKTSSNSLQHWEKAPLEPYALPKPINYSVICPDIDPLTSAAADFFQQLGTVYETCKLGTHSPQSLGNQMEIDSGKWSASGFVLLDCPQSMKIESNNASLLGSISDYFLSLSNGWDLACYLKSLSKALKALKLGQFLSTNQKEGISGPCMVIYVVCPFPEPTAVLKTVIESSIAVGSIILPSDRERRSVLHSQVGKALSSSAASDEASISNIPVVSGFSVPKLVLQIVTVDAIFRVTSPPFNELVVLKETAFTIYNKARRISRGSVNDVLSSSFSNRSHSVLTPMTSIPGMWNSRISGSSLPREGEIDSSLRAGAWDNSWQATRTGGLSCDPNRNGDIYYQDEVRYMFEPLFILAESGSVEYGVSPTGFGNLASETSKTVSDESSGGFMQNANSTGSIDPGSGSQFDMSEPDALSSGSHKTPSLHCCYGWTEDWRWLVCTWTDARGELLDCNIFPFGGISSRQDTKGLQCLFVQVLQQGCQILQTCASDTGIIKPRDFVITRIGNFYELEYLEWQKAIYSVGGSEVKKWPLQLRRSVPDGMPASTNGTSLQQQEMSLIQERTLPSSPSPLYSPHTKTGFMKGGLGQPAARKQLMSGHTIVDNSRGLLQWVQSISFVSVSVDHSLHLVLQADGISPGTHGVGGMGQSSYIEGFTPVKSLGSASASYMLIPSPSMRFLPPTPLQLPICLTAESPPLAHLLHSKGSAIPLSTGFVVSKAVPSMRRDYRNYTKEEWPSILSVSLIDYYGGNNVSQMRGIGKQAGSSLSSEARDFEIDNHLILESVAAELHALSWMTISPGYLERRSALPFHCDMILRLRRLLHFAEKELSRQPDKPQV >OMO99460 pep supercontig:CCACVL1_1.0:contig06890:1005:6379:1 gene:CCACVL1_03794 transcript:OMO99460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKGTGQPECESEFAIALVLDTKVVTVLNEKLIHLAVVDYELQQSIYRTKLEDIKRACLHVYALIEAAQLFGLSSLDEIITALQEVVGEVVVGDMSRDFGTGYSNHMNFSKRKQAISVFISFINLCIHRDTEVFFLDLIISGRFPTIGQDLGPGGSEGRFLAFWSTLPSNWDLKRGVKWVGWTGSGHLGSGQMGQAVFSGHSGFGSFGFRVIRVSGHTGFGSFGSRVIRVSGHSGLGSTGSRVGRVRVLASDYSGFASIGLHMRG >OMO68279 pep supercontig:CCACVL1_1.0:contig12239:17984:20054:-1 gene:CCACVL1_19997 transcript:OMO68279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTGGDFIGEGTRDRKPPPELCGPTVPRP >OMO68278 pep supercontig:CCACVL1_1.0:contig12239:4420:10520:1 gene:CCACVL1_19996 transcript:OMO68278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGLINIHLLWEIWKWRNNRIFNPRLPFTQNSCLSNAISGATEFFALNFNKRTFAVDSFIPVKWKPPDSGWVKLNYDGSSFGNPGKAGVGSLLRDSNGVWLSGTARHLGQTTNFMVECCALKDGLTLALSLNIRRIIVELDASVVVSTVTSTSISNLRYAPLINECRRGTNGHVTTSDLIRDSDGNWSEVVVVIMNNSHHNWRRQKGEIHHQEMQGARSYSRKPPLATWQPTVPSWEKKFCALVGSIPWRKLLETKRFMYLYDNVVQWDDSAGEEAFNNAKNRFWAEINGLPCDIRLPDPDSYIDEIDWDSEIDPELLLDLEREPEAPDENVKNESVVILGNPLLLNQPYSFIGPTGWGDAEEGAVKENNMSYCIRPTGWGDTEEGAVKENNMTYCIRPTGWGDAEEGAVKENNMSYCIHPTGWGDVEEGAVKENNMSSNWKNKDCENSWEQYCAPNNDNMKDTGYGNYLNNSWEWNQKGSNYNEWGNNESNHEARGDWGAWDDMRRKREGAGRYMSRYKTSRFHGDNHQYNRGWRNVRGRQRTNFAYEQPPLDSTRWNSVNHCGWAS >OMO99782 pep supercontig:CCACVL1_1.0:contig06811:15045:15113:1 gene:CCACVL1_03637 transcript:OMO99782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRKSFYGFGKRDFGEVQSFG >OMO99780 pep supercontig:CCACVL1_1.0:contig06811:5717:9320:-1 gene:CCACVL1_03635 transcript:OMO99780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKLFYTKDPWVLSYRTWRHHGEKKADVAKDTNTEVRDVGVFDMLRDACGVTGAIHRDL >OMO99784 pep supercontig:CCACVL1_1.0:contig06811:67629:67814:1 gene:CCACVL1_03639 transcript:OMO99784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAIKSVESQAAARANTNNKAIMSKGNSVSKRREFHKFTQAHPSPWPYKQSYNKTHILQH >OMO99779 pep supercontig:CCACVL1_1.0:contig06811:1093:1191:1 gene:CCACVL1_03634 transcript:OMO99779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKGSFDKDIATRRNAQGKDFTWCNLERWTV >OMO99781 pep supercontig:CCACVL1_1.0:contig06811:14298:14357:1 gene:CCACVL1_03636 transcript:OMO99781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPDPQMVNNSVTDAQAK >OMO99783 pep supercontig:CCACVL1_1.0:contig06811:52188:52492:-1 gene:CCACVL1_03638 transcript:OMO99783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKQEKKRYVTKDVISNSLKEWIFKHLKERLKATTLHDTSDVSRQKFAVEIYGHVELKWSVEKGFDERILIWHIATDICYHLEESTEANKAKRKMSILMS >OMP01086 pep supercontig:CCACVL1_1.0:contig06537:2149:2556:-1 gene:CCACVL1_03164 transcript:OMP01086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVEADHLSYQEVSHRVHSTMTRRCLTSAACQKPIGGPLTRQRFEVMS >OMO58541 pep supercontig:CCACVL1_1.0:contig14211:25225:26095:1 gene:CCACVL1_25448 transcript:OMO58541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDVEGEVCCSQPLMGEWILYFDGSATATRGGAGVVLVPPEAEHAYEEVVSMAFKLDFQCTNNQAEYEALILGLNTAKIIGVTELCIIGDSNLVTTFSPVRCEHSPRSSNRYADALATLASKIHIPGQKEEISLLVQRWSVPGPLAGMTEYYLGEVSKDADWRTPIIEQLRERKSSNLRFLKSYTIIQGALYYRGPNGILARCISPEKAKERLRTSHKQWCGMEGPPLYRRMQRDGYYWRTMSSDCADAQYACPRMFRTSGC >OMO95983 pep supercontig:CCACVL1_1.0:contig07560:10382:10444:1 gene:CCACVL1_05136 transcript:OMO95983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARARLEFDLTRARAELELLE >OMO64700 pep supercontig:CCACVL1_1.0:contig12747:5594:36694:-1 gene:CCACVL1_21615 transcript:OMO64700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKAKYRKVLFESRVSKPLRFIQSYFKNNYSVLLFLISFFERGWRRSRIHPYRKLCRQDDLESVLTSSVRESRKGALPL >OMO88889 pep supercontig:CCACVL1_1.0:contig08895:54132:54203:-1 gene:CCACVL1_08138 transcript:OMO88889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHWPANMAVRAGATQDEGEASY >OMO88887 pep supercontig:CCACVL1_1.0:contig08895:6650:10545:-1 gene:CCACVL1_08135 transcript:OMO88887 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase PIF1 MDLASCHPVLSEELPSGGQTIPLDVFLHDVPVSIYFVTSTPSPSVRPWKRPCPPGASSPIQVAYVGDSSSSAPSLDGLVSFSFFDTPIIDVPVHEPFDVVPEPGVSFVVCHGSEDELIDVPVVLVRSTPDPSLTLPDSDHLVHRGYTVDMTTTVEHCPLVDSGLMVDQSSSSVEWAGVGPLSATCKCCANSRPYRLDKRRRTFEDMGSSQLVTVGAGDRVLGDVSVPMSSSHHAGSSSSGGPPDIGGEIDNFVNVGSGPYVFKLHHQTYHEIGPLLPVDGHRPWFAQLYIYNCTNEVSNRVYSVAGADATDNVNRVIVEGLMNMLDSCNEVVKLFCTARVRIDSKPTKVVRIRLIRSMGAQPWTYSVPTSTQIGGLIFGDFGQFNGDRDVIVEHKDGRFNSIRDPRGNGTQVCM >OMO88888 pep supercontig:CCACVL1_1.0:contig08895:11976:12289:-1 gene:CCACVL1_08136 transcript:OMO88888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol oxygenase PRYPFYKYPLCSGGYSIHLHGSRLYNVLMPWRLYDYMYLVADEDRCMLGSFGLFIIRNRSFS >OMP03505 pep supercontig:CCACVL1_1.0:contig06056:7414:7494:1 gene:CCACVL1_02393 transcript:OMP03505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVSSLPSLEPVAPSPLFSHHCRQFRR >OMO49359 pep supercontig:CCACVL1_1.0:contig16525:4412:6368:1 gene:CCACVL1_31063 transcript:OMO49359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVWCTKQEASVLNIDMKANICCVKYNPGSSNFIAVGSADHHIHYYDLRNISSPLHVFSGHKKAVSYVKFLSENELASASTDSTLRLWDVKKNLPLRTFRGHTNEKNFVGLTVNSEYIACGSETNEVYVYHKEISKPVTWHRFGSPEMDDADEDAGSHFISAVCWKSDSPTMLTANSQGTIKVLVLAA >OMO49358 pep supercontig:CCACVL1_1.0:contig16525:1526:3700:-1 gene:CCACVL1_31062 transcript:OMO49358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWENIFLAPPNFSGENYEIWSVRMKTHLLGLDLWDAVSAGKEVAAKETAAGMKVEVAETAKKQISESILPSPPKFSGKNYEAWSVRMKTYLQALELWDAVSAGKEVAAKETAAGVKVEAAEPAKKQISEIDKSRALCILQNSVSEAIFKKIMACTTAKQAWVQLRDEFAMTDKTRIRTLFRLWREFENLMMGEEESVRAYADRVKDVANKIRLCGDDTYPERRVVEKIVYTLPEKFDIIITALATSRNDIADISLSELLDALYSFEQRRANRQKARFEEAMVAKDKGKAKKGQF >OMO99836 pep supercontig:CCACVL1_1.0:contig06792:2992:4248:1 gene:CCACVL1_03591 transcript:OMO99836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MSTSIPFPKPEVTLSLTLSPSPTPLEALKPEERGIRLIQLLLSCAKHTSSGNLHRADECLRQILLLASVSGDSMQRLSARFASALAIRLVKRWPGLHKALNYTQLPKQEFDQAKPLFRQAFPYLGFSYAIISHVLIRAMVGERVIHLVDLGSGDENLWIQLLRSLSCLPDGPPHLKVTYLNSNKAILEKLGPRLVKEAEALDMPFQFNPLNVSLRELTLDMLKVRSGEALAFISILNLHTLLAEDDRVDAHFGDNKNINDIKDSKQMCRFLTMIRSVSPKLLLLVEQEADHNLNRLVDRFVEGLHYYSAVFDSIDAKFGAMSSGERLVLEEMIGKEIENIVACEGLERQERHERYGRWLVRFGQGGFKPVRIWYDSIENAKQMVEACGRDRYKIINEKASLMICWHDRPLYAVSAWTC >OMP02734 pep supercontig:CCACVL1_1.0:contig06190:402:587:1 gene:CCACVL1_02711 transcript:OMP02734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNLSIRQDLTLDLLYLLEKKFHRIRLLGEKHVIQDNSIWVATGSTFPYARRALKESTIIFD >OMP02737 pep supercontig:CCACVL1_1.0:contig06190:14922:15476:1 gene:CCACVL1_02714 transcript:OMP02737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSFPIAHSPFTRFSKRRRKGGQQVLRALCPTHLSRSKCSSPVPPNAPISRQRSCECAVMLRMLRHRIDRPSSRSFSVHSLYISDTQGRTRWEGSSPFLCPADHSAGILHSRLRLTATRGCSCRYVSLSGSLAPPVISFYDMLLSSPYSICRLVISASLRVSTPERNGGLHSVTPRSPSKRSK >OMP02736 pep supercontig:CCACVL1_1.0:contig06190:13467:14429:-1 gene:CCACVL1_02713 transcript:OMP02736 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase, subunit D MMAQEHAHSSAVERLLNCEVPLRAQYIRVLFREITRISNHSLALTTHAMDVGASTPFLWAFEEREKLLEFYERVSGARMHANFIRPGGVAQDLPLGLCRDIDSSTQQFASRIDELEEMSTGNRIWKQRLVDIGTVTAQQAKDWGFSGVMLRGSGVCWDLRRAAPYDVYDQLDFDVPVGTRGDCYDRYCIRIEEMRQSIRIIVQCLNQMPSGMIKADDRKLCPPSRGRMKLSMESLIHHFELYTEGFSVPASSTYTAVEAPKGEFGVFLVSNGSNRPYRCKIRAPGFAHLQGLDFMSKHHMLADVVTIIGTQDIVFGEVDR >OMP02735 pep supercontig:CCACVL1_1.0:contig06190:2076:4887:1 gene:CCACVL1_02712 transcript:OMP02735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLSYSLNQLRYLAGIGSDPIALVESTVNAEAKERRIPKRNKVSARHNTPYGVLPSQCPVKQGKADARADWGPSMLSDDANILEEFSTANETVGGLIKIKKASTQKTASSSQDLATLKLN >OMO77330 pep supercontig:CCACVL1_1.0:contig10780:7306:9931:-1 gene:CCACVL1_15077 transcript:OMO77330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIGALFEKILLGKPGFEPQIAKAAAKPEVD >OMO73517 pep supercontig:CCACVL1_1.0:contig11222:4387:14829:-1 gene:CCACVL1_17237 transcript:OMO73517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQTQYSKDPQFLKPIPLINKFQLDRDLLQSCRYMLLLHHFLFIKGLGLSFCGKDTRKNFTDHLYTALTRKGIKTFRDDKSLERGEDFAPELLTAIRESWGSIIVLSEGYVYSNWCLDELVHIVKQREEWGHRVYPIFFHVQPSDTRHQRNTVEAAFKQHEMRYNKDKAQIWRLALKQLTGISGWPLKDQHESIFIEDIVKEISEKLDTEMLDEQEIENMRSTYRRIQAILVDAEKRLYVKEGKLSKFGSTSLNTLLTTLRMCWTNGTLLYSNPKLKVVIKLRLLQIFHHLSRPSTTDDLRLERPKTTSLIDESDVYGRDDDKHTVIDRLLQSDNNSKGGTSKGISLIAIVGMGGLGKTTLAQLAFNDDDVKEHFPLRIWVCVSDPFDELRIAKVILETLKVTPSESKELEILLQQIRKHIEGKKFLLVLDDVWTENEQKWEQLKLTLSSGSQASRILVTTRKKRVASVMGCIGPLISLGVLSNHDSWSLFSKIAFKEKSIEESENLKSIGRKVVEKCGGLPLAIKSLASLLWFKRTKEQWQRILDRWQKILDSEMWKLEDEIFERVYAPLLLSYYDLPMTEKQCFRYCAIFPKNHEMEREELIKLWMAQGFLGEAFEGNDLEVIGEECFDNLAIRGFFQEFEKDEIDDNIIKCKMHDIAKFLTKTESLLVTGDGAEVLRGVESSHGTGNIRHLTLFPDADHVPEIVDMIIKVKGVEKLRSFLVDGTLNASLVELIDHLISLRMLCFYTKPILIRAHKFLAIPKEIGQLIHLRYLGFEGNENLRELPEAICDLCNLQTLNIRRCKNLQKLPPGMGKLINLRHLQNVDTCIRSMPKGMERLTSLLTLEEFVVNESKMWCRLEDLGKLIHLRGHLVIIGLGNYVSEASPREALLSKIAGLRKLTLDFDGGYDKETQKGIEDEASLLQALEPPQNLQILWIRSLRGPVFSFPNRMTTLASLRSVVLFSCHGWESLPPMGKLPSLESLKIQGMSKVKILEQEFLGSSFVTQNIACFPNLKYLEFRDLEQWEEWEYVLRRRRGEGDSTSPNDIPIIIMPKLQHLAIWDCPKLRTLPRHILQSTRELDVPGEIYQRKTYPFEFSTVEMPYESLNGVNERLSYFGSQMRLVYVKARECEEQLSPEEFAILLGKHFTSFYLQVINLKELDTRASSPCPPRFSFKQFQVLIMVVLEAGMPVEMVVADMLNMPLDAAGGNPFFRQLPLFSLL >OMO91506 pep supercontig:CCACVL1_1.0:contig08335:70686:72171:1 gene:CCACVL1_07094 transcript:OMO91506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKANVKKGPWSPEEDAKLKAYIEKYGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGRRKQSNNNRFSASNQDPNDQSNGADDNQFSQGLSNSALERLQLHMQLQSLQNPFSSFYNNPAIWPKILPLQEKMIQTIQASDGNPNNLLMQSQQGSDFYDHQQQQDYQKSSNSKGLDDQVDNSLDGISPADCSVHYGTGNNMVDSELVPKVADDHGNHVGMAQSNAGVSNFQAELDELLNSKTSGYAPVQQEDQMTEFDCFKDMNGSKDSLIWWSNDFDAKSASSNSWDSNSVLQSNGMFQDYELGYHM >OMO91501 pep supercontig:CCACVL1_1.0:contig08335:17471:27958:-1 gene:CCACVL1_07089 transcript:OMO91501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase class-III MLLSLLHYRRRYNHHLHRLRLLLYYHHNPKSKSFSTLTIPLSHPTYIIWSSNTSLGKTLVSTGLSSSFLLSPSSSDQKKFLYLKPLQTGFPADSDSRFLFQKLSSLSLRRDLPLFSSHSILLSSLPAASSFEPNKFPSNESREMCELRCYEERKLSKEGKVTPELVSETLYAWEGALSPHLAAEREGGAVADFEVVKKVERRLREGLLDSGVKEGRLDGFCVVETAGGVASPGPSGTLQCDLYRPLRFPGVLVGDGRLGGISATISAYESLKLRGYDVVAIVIEDHGLVNEIPLMSYLRNRVPVLVLPPIPQDPLNDLMEWFDKSQNVFNSLKDIMLEAYLGRIHRLNEMPKRARDVFWWPFTQHNLVPPSNVTVIDSRCGENFSVYKVQNTEFITQQFDGCASWWTQGPDATLQTELARDMGYAAARFGHVMFPENVYEPALECAELLLEGVGKGWASRVYFSDNGSTAIEIALKMAFRKFSCDHGIFQDLSKNNSTETCIELMVLALKGSYHGDTLGAMEAQAPSSYTGFLQQPWYTGRGLFLDPPTVFMQNGIWNLSLPEGFHSETLKLEDMTFRSRDEIFGKSRVKSNLAGLYSSYVSQQLSQYSGLSGIKQIGALILEPVIQGAGGMHLVDPLFQRMLVDECRHCGIPVIFDEVFTGFWRLGVEAAAELLGCVPDIACFAKLMTGGIIPFAATLATDAVFNSFTGESKLKALLHGHSYSAHAMGCTAAAKSIKWFKDPMTNHNITSEKSHTMLLRELWDSELVQQISSHPSVSRVVTIGTLFSLELQADGSNAGYASQYASSLVQMLREDGIYTRPLGNVIYLMCGPCTPPEICRQQLIKLYSKLEEFSEVKREMKINE >OMO91507 pep supercontig:CCACVL1_1.0:contig08335:89935:90351:-1 gene:CCACVL1_07096 transcript:OMO91507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKGEKYYPNGYASIGYVEVAPVDYGSGKQTTNYNYQSRLGGQSSYSQTSTVVKNYDKQTGSYARATNTQAFSTGDTFKERSTGRVGYNEFKTTSTYKVGDKSGYTEYQVSERVRNVTYGGSSYSNKGNNCNNKYLQ >OMO91505 pep supercontig:CCACVL1_1.0:contig08335:55532:69597:-1 gene:CCACVL1_07093 transcript:OMO91505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIVRSVVQRYILREQELVMPLLPPTSPPSFL >OMO91504 pep supercontig:CCACVL1_1.0:contig08335:54570:54644:-1 gene:CCACVL1_07092 transcript:OMO91504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLNLCKDCSEAFKLRAAGISSN >OMO91508 pep supercontig:CCACVL1_1.0:contig08335:92480:92731:1 gene:CCACVL1_07097 transcript:OMO91508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein Atg8 ubiquitin-like protein MIVERADQKSDVPDIDKKKYLVPVDLTVRQFVYVVRKRIKLSPEKAIFIFVKNIVPPTAAMMSAIYEENKDEDGFLYMTYIQW >OMO91500 pep supercontig:CCACVL1_1.0:contig08335:9656:15637:-1 gene:CCACVL1_07088 transcript:OMO91500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like protein MARGKRSLEGDEDQQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPPRLNGRASPKRIEGPDGGSMQLHFRSRLSLPLFTGGKVEGEQGAAIHIVLVDANTGHVVTNGPEASVKLDVVVLEGDFNNEDDEDWTQEEFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGDLTFTDNSSWIRSRKFRLGLKVASGYCEGVRIREAKTEAFTVKDHRGELYKKHYPPALNDDVWRLEKIGKDGSFHKRLNNAGIFTVEDFLRLVVRDQQKLRNILGSGMSNKMWEALLEHAKTCVLSGKLYVYYADDSRNVGVVFNNIYELNGLISGEQYIPADSLSDSQKVYVDTLVKKAYDNWNQVIEYDGKSLLNFKQNRRSSARNEVPMGAIDYPGTLDHQLQLPRLPVPVPTEQVHSGLDIGGYNDNQSTRYSGQSQLVNSNTRNEFETTQFVTHEQLINNSQQAQSSRNDNNVVGLALGPPQSSALGFPNSMQSSNLNPFDDWTNNRDKGVEDLFSEEEIRLRSHEMLENEDMQHLLRLFSMGGHASINVTEDGGYGFPNYMASPMPNFVDEDRSRPGKAVVGWLKIKAAMRWGFFIRKKAAERRAQIVELEEDE >OMO91502 pep supercontig:CCACVL1_1.0:contig08335:30297:42173:-1 gene:CCACVL1_07090 transcript:OMO91502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 14 MAESLNEVTKGRKMLAVITVFYHGWYQTVSHPVELTAGYYNTALRDGYDPVLSMLSRHRAVLHILNASERLDKGITAPAERMACTD >OMO91503 pep supercontig:CCACVL1_1.0:contig08335:48046:53939:1 gene:CCACVL1_07091 transcript:OMO91503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MCNKGIVDHQQQQSNQAVYLMDSPSSTLASALASTPSSNDETPRVKFLCSFLGSILPRPQDGKLRYVGGETRIVSVPRDISYEELMSKMRELYDGAAVLKYQQPDEDLDALVSVVNDDDVINMMEEYEKLGTGDGFTRLRIFLFSHPEQDGSLHYVDGDERETERRYVDALNSLNEGSDFRKCDSPVIAPVSDDIHFAAEQFFNSMSIDGGLHSRSGEMSMPPYNLHHLTIPHMGSGQLQQSVPQRYNEIEGPWSPAYYSPRHHGHHEPRVLSEFPPSPSSGRYRVPFPELSDKCVDRMPEEYVRQQLNHHLQYDHQPQLSDNVVWMPTGAIPSDKAGGFPGNLLHGHGVFEGNHICEHCRATFSRNQPPHLEHPSMGNGVPSVNNLCAECPPNREAFLLNADGKLHPGFYSKDHTDPRSAYSETNSHERGWVLQHQLNPRAEEARNHLTGGGRLNDHYVVDGPGTNLPIGHASLADGHHLPSNYVHHRAGPELGNDVFHDQAVVASSHLQIPPEERGVRYGNYPYPYGADNVYPGSHGHAHTQSLWRNVQNPIHGTPPYEASGLPQQVNGTANSVFLKGPVEGSARLCVGIDNQSSWVESPQKMPGFDGTAVPDSVYAHPLNMNVGPHGQDVQHSVTAEPVQSPQDMLNFAPSTEAVQASDQSSNLIDDKSVSDNNPKSRDNSNSIEALMIKEKIVAIEDKEANHVAKMEKTDVPSMCCPEQNKNTGKESKTPSLESSNPDCLKLAEKCAEQSKPGEKDPSAAENSKLSANRLSFIPQFVASVKKAALEEVEEVKAKVEDDNTVKHDAIEKEVNANESESVNAHGEVELDSDNDNISPSKIEPTKAEEEAIARGLQTIRNDDLEEIRELGSGTYGAVFHGKWKGSDVAIKRIKASCFAGRPSERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVRQHTLVSGGVRGTLPWMAPELLSGKSNMVTEKIDVYSFGIVMWELLTGEEPYADMHCASIIGGIVNNTLRPKIPSWCDPEWKGLMEKCWASDPAERPSFSEISQKLRNMAAAINVK >OMO58040 pep supercontig:CCACVL1_1.0:contig14282:9621:10757:1 gene:CCACVL1_25610 transcript:OMO58040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGDRMVGRYWCYMCSQTVNPTIEPEIKCPFCETGFLEEVTTIRNYTTNNNGIDLGSLSSFSLWAPILLGLIGGLGSSQLRITGSREQQEQQQTNNNDNNNNNLQDNTLDDELGRELESLLRRRRSSASALRMLQDMRNAVASETDNSENGRERGGRMILFDPFNDEALIVQGSFGLNQGPNPIPSHRATSSFGDYLMGPGWDLLLQYLAENDTNRYGTPPAKKEAVEAMPTVIVEENVQCSICLEDIEIGSEAKEMPCKHYFHSGCIVPWLELHSSCPVCRYQLPADESKIEANNNVSRNSEGTRVGTSSDVQNGSRLGTGRRFWIPIPWPYDGLLSLPGPPQIGSTSSPSSSSDRPGSENSAPTRPESENEAVTDDT >OMO58041 pep supercontig:CCACVL1_1.0:contig14282:11195:25471:-1 gene:CCACVL1_25611 transcript:OMO58041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MESSSATVNEPRSASPPPNSQNPTPELHTDPNSQIPSSSQPTQNTTTASVNARKTLPAAINKATKGASSSSATGSAAGYGPARVKAKASACPAVMATATVTARPASQDHCPNQKQKVKGKQKQPWKHPGVSFADSDGRLYGQMGIGRTSPLSKSKGTKFMVGKKFVPSNSIGASGSKGKKNQPPAAPNYKPSKKRMAGLGICPETGRFITSLGEPSQKSACVGNIQKKARTNADSLNDGASSRTDMGPKEGCDETSEWTVAPLVRDSFSMIGSAVGGTTSAFYGFNHVMPIVRRWVKGPMWVHFLIGAPPVIVFSSACAGLTGGAVPALAQLASSSYHAAFSSSSLPPSSPEDKMHNKSRTSSTFEQELHCGSGTILVYNLIKNEDYFKGEIINCKNGSKKFTRTQLNDDFCDCPDGTDEPGTSACPLGKFYCRNAGHSPSLLFSSRVNDGICDCCDGSDEYDGKVKCPNTCWEAGNVARDNLKKKIEMYHEGVALRKQEIQKAKQAIARDNVELLGLKNEKEVLQKLVKQLEEQIQKLEQKERLEKEEKMKDAFTENVENEKSGPEENVVSQKEALKISHDEKMGISGKTLSDQKEKESTEGLSREELGRLVASRWTGKKTEDQVGEINTGKSDKEESADDDHQEKGRNDAGAEDSVSGNTGHSSVNFNMKLEYHEKSTGTEILSHQSWLDKIQEAGQNLLQSVNLFSAHVANLDLYQVRNEYKNYTARLSDIEPRILSLTEKFKYDFGIEKEFYLFYDSCFESKQDKYVYKVCPFKRATQEEGSSETRLGNWEKFGNSYRMMLFTNGERCWNGPDRSLKVKLRCGLKTELTGVDEPSRCEYVALLYTPALCLEQKLKLYFIPKSGPETRSRLQLRQINQTSFCLTSSNCYNFFQLFISFLRLRTRNKIFVVPSRLLGVRISRKQLNGESMSGVIRAMENVLSKAEAMVRPKTKIVCTLGPASRSVEMIERLLKAGMNVARFNFSHGSHAYHQETLDNLRTAMNNTGILCAVMLDTKGPEIRTGFLKDEKPIQITQGKNITITTDYSIKGDENLISMSYKKLAEDLKPGSVILCSDGTITFTVLDCDKEAGLVHCRCENSAVLGERKNVNLPGVVVDLPTLKEKDKEDILQWGVPNKIDMIALSFVRKGSDLVEVRKLLGEHAKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKLMIRKANILGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPDIAVQTMASICVEAEKFINYGDLFKRIMETAPMPMSPLESLASSAVRTANCIKAALILVLTKGGVTAKLVSKYRPSMPILSLVMPEIATDSLEWSCSDEAPARHCLIYRGLVPVLSSGSAKASYDESTEESIKFALEYAKANGLCREGDSIVALHPSVIKILTV >OMP11813 pep supercontig:CCACVL1_1.0:contig00804:2418:3468:1 gene:CCACVL1_00247 transcript:OMP11813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-2 MAMAIAAILFFCLLTLSSNSKADQGGGFDVRQHLSTVTRYGAVKDIVDNSFIPSHIPDGCTPIHLNLVARHGTRSPTKKRLREMEKLADHVQELIKDVKDKELSLRKVPAWLQTWDSPWRGKLKGGELDSKGEEELYQLGIRVRERFPEIFNEEYHPDVYPIKATQ >OMP03764 pep supercontig:CCACVL1_1.0:contig05979:5829:5918:1 gene:CCACVL1_02273 transcript:OMP03764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDSGESNPSNDLVDGFSRTLQPPYHSRE >OMO52139 pep supercontig:CCACVL1_1.0:contig15592:14376:21883:1 gene:CCACVL1_29339 transcript:OMO52139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MDTSWMQKQRWTQEYLNGVETFLNFAFDNESLEGKILCPCKNFRNDYWRLRDVVQEHLVCDGFEPGYENLIFQGESASHRANSSPYRPPSHQGNQLHPIREDNLDGMLRDAFNMHDQHFQPLSPQNDGFYDSPSGASNVGNPGEDGGHEEPTEETAKFYKLLDNMNEPLYEGSKCSKLAFSIRLFHLKCLCGMTGKCLDLLLEFLRDVFPFAAIPTSSYNSKKIIKDLGLGYEKIHGCPNDCMMYWGDNAKQESCHVCGNSRWEGSNTNKTFNEDDGEVEVKKKPAKVLRYFPLIPRLQRLFMSSKTAGDMTWHEDGRTKDGAIRHPADSLAWKAFDSRFPDFASDPRNVRLGLAADGFNPFKLMSTTYSTWPVVLMNYNLPPWIGMKQPAFILSMIIPGDKETCSKWLPNGKKFCYMGHRRWLDINHRFRFQIHVFDNTIERREPPSITSGFDILSMLKDVNFTYGKADGASRKKGTSNKSSQKRVRDVGDGECDVQNDTHGEDVVSEADLWKKMSILFELDYWQHNLLRHNLDVMHIEKNVCENIVATILNVDGKSKDNLNSRLDLVAMGIRHELHPQLLPNGKTRIPPACYGMSKDEKDVFCTVLKNIKVPDGYASNILRCVNAKERKLSSLKSHDYHILLHDLVPIALRSAMSSSTSKQVTQAIIELSNIFKELCGKVLKIDELDKLQDRAAITLCQLEKIFPPSFFTVMVHLLIHLPLEAKLGGPVYFRWMYPVERFLLKLGSYLRNKRYPEGSIAEGYLAEECLTFCSRYLEGIETRFSRLGRNVGVVENELHSNYLFQSGGQSKGKVEVVELDPRALVQAHQYVLFHHELMEPFRMVYKNYLRANARSRRPNPRELDKLFVETFHDWIVQRVRDEVNVIEDVGYLSRGPDPMVKRYSAFVINGFRFHTKSLEKRRRTQNSGVVATSSTISYASARDRNPLEGDVNYYGILNDIIELDYYDKFKVVLFRCDWADVNTSRGVKKDQFGFTLVNFSHLIHTGQQLMDEPYVFSSQVKQVFFSEDPMDTGWFVVLHNTPRDLYDMGEEASTDGERRTECFPSVNPSLIDDDDVQWAREDAGIEIAKLLRFGETVENSVSRAADGTSPVQDLDFSSLCSQLGPLATILSSHSKSFLLVSYTRIGMFQTLFVKLLLYVKSRNDKQLRSKAAEFDTTLSCEPEDKPSVGPIVPCGLIAWSLFNDTYGFSVKNKMLIRVGVIVTKLPSSWNGFRKKMLHDSKDYTLEELLKHLRIEEESRGRDKNVEPVYENNKANVVNRSSKPSSSKQNIGSSLGPNKDQTKFKKVKNGDCFVCGKSGHYARECRFKKVPKA >OMO52138 pep supercontig:CCACVL1_1.0:contig15592:6920:6997:-1 gene:CCACVL1_29338 transcript:OMO52138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGKSTPSSATSFLHRRQKKETKK >OMO52140 pep supercontig:CCACVL1_1.0:contig15592:24618:24677:-1 gene:CCACVL1_29340 transcript:OMO52140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMENEEVGKMENRHRETN >OMO52141 pep supercontig:CCACVL1_1.0:contig15592:26710:27024:1 gene:CCACVL1_29341 transcript:OMO52141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFPCLARPQIETTPIGPLTNCKAATASGSIWNFPFSSQHTNLIGLAGTVTRDTDGDDQDTDLPKDCSETSGKSPSLYQKISSSSLRSFAAQLLFISRLFYSA >OMO52142 pep supercontig:CCACVL1_1.0:contig15592:32846:34770:-1 gene:CCACVL1_29342 transcript:OMO52142 gene_biotype:protein_coding transcript_biotype:protein_coding description:UspA MDVRKIIVIVEDEEVARTALRWALHNLLRYGDLLTLLHVFSPNKSRSKKKARLLRLQGYQLALSFKEICNSNFFNTNIEIIVTEGDQQGRKILAMVREIGASVLVVGVHDQSFLYKLAMAHENISNSLNCRVLAIKQPELPPLKSKSREILPPLDSSTNMDFSQIEISGLQVPDIPPPKIPYRICPSPSAIIWRSRKLRKKKSYST >OMO53178 pep supercontig:CCACVL1_1.0:contig15308:29074:32296:1 gene:CCACVL1_28830 transcript:OMO53178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKHNKNPSGKALNLLRTRLTNPNFIFKPFSDSPDSNYSKLKFIISSSVTEACNNSILLLGPRGSGKVAVLELVLSDLLQQYPEVISVIRLNGLLHSDDNCALKEIARQLCMEHQLLFSKVASFDDNSQFVVAMLRECGLAHKTIIFVLDEFDLFAQGKQRLLYSLLDAMQSVTSQAVVIGVSCRLDVDQLLEKRVRSRFSHRKLLFLPPSREDTERFLEHTLSLPMDSTLPQKYTVEFNERLKVSFN >OMO53176 pep supercontig:CCACVL1_1.0:contig15308:18837:20336:1 gene:CCACVL1_28828 transcript:OMO53176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MFSKEEDIQELKHVCKFCSKSFPCGRSLGGHMRSHMANNNNNNNNSAETKDKHKKKLVSVINTNDEANTETELEEAGIDGGYVLRENPRKTWRLADLRQEDNTSVHDKVCKECGKGFQSWKALFGHMKCHSSEKERASASINNNNNSLELEEQDSLVMDSQSDNETAAPSKGRRSKRRTRYILGTANSSTFSLTNLASSSNSMSEINIEQEQEEVALSLMMLSRDVGYWGGFNSVAESSDNNSVFLEPKTEVMIRLSKSKNEVSVDESFKDEKNSEVELSKNSVKQKLNSSKRKLHYSYDHHQELKSDVLDSEFCKDPHKRSKFECITCNKIFHSYQALGGHRASHKKIKGCFASRIDSTDNSIEDNELSPDPTADSKLVKSISNDQDNSDDHKAEIQISYGEVIKKSKGHECPICLRVFPSGQALGGHKRSHLVAEARLENQRIVIQKPVPEIRDFLDLNLPAPVEEEASSSVHVGFKPWWVGSCSHKHEALVGLISN >OMO53177 pep supercontig:CCACVL1_1.0:contig15308:25717:27786:1 gene:CCACVL1_28829 transcript:OMO53177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-type MSQPQQQQQSSFTYFAHPTNPIPYSTLPFPQPPTYPPQPHLFPPGTDPYAQSGPYTLTHVEYEAQVQFYVDPNAASGSGITTQVAPIGYDTSGLQSSVVALNSSGNNNKMNQGSVRCEVCNIGCDTQDVYQKHLMGKKHQKNIQPKTNLATAGPPETSNTTVLGVTNVQELEKKKQKLVNAGAAVDSVRMCTICNVACNSHEAFTSHLSGRRHAAQAGLIAIDGVGPYLAAVRANDHFWSKGKKTTNANQLTWCEVCKTSCHSNDYAKHLSGRKHQKNLENLKNGISNPSVINTPAATNLTIGPLENPAPKNSSGDNVQKSEKRAAQSDAPKEDLETKKRKVMEGGAAVAAIRVCAICNVVCNSEKVFKYHLSGQKHAAMVKKQADARISATPTITTV >OMO84993 pep supercontig:CCACVL1_1.0:contig09674:30254:30455:-1 gene:CCACVL1_10484 transcript:OMO84993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L41 MRAKWKKKRMRRLKRKRRKMRQRSK >OMO84997 pep supercontig:CCACVL1_1.0:contig09674:61394:61918:-1 gene:CCACVL1_10488 transcript:OMO84997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFPSSFIGSSSNSSSKEKKKAAGGKKSTSKGAVKLSTDPQSVAARERRHRISDRFKILQSMVPGGTKMDTVSMLDEAIQYVKFLKTQIWLHQAMINFVDDDSATAASLYLPGSNYSYPVLQGNIVYSSSSNSTNVNPHALQQYSELLPLPDSCCFQGDQKPNMPYDVYMKNQ >OMO84992 pep supercontig:CCACVL1_1.0:contig09674:23916:28852:-1 gene:CCACVL1_10483 transcript:OMO84992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine triad (HIT) protein MEMDIDDASQLKGEEEKEKGKPIVVLLVGAPASGKSTFCESVMQASSRPWVRICQDTINNGKAGTKPQCLKSATISLKEGKSVFIDRCNLNKEQRVDFVKLGGPQVEVHAVVLDLPAKLCISRIAKRTGHEGNLQGGKAAAVVNRMLQTKELPKLSEGFSRIMFCQGETDVQTALNTYTALGPSDTLPHGCFGQKDPNAKTQLGIMKFLKRPHETNSQDSTCSQFTDGKGPCSKAQETVSSLSGTASQKIKVSEDPAVNSISQNDNDVPTLAFPSISTADFRFDLEKASNIIVEKVEQFMDKLGNTRLVLVDLTQRSKILSLVRAKAAQKNMDSKRFFTFVGDITQLHSKGGLHCDVIANAANWRLKPGGGGVNAAIFDAGGPALESATKNLAKSLLPGNALVVPLPSTSPLYSREKVTHVIHVLGPNMNPHRPNCLDNDYIKGCKILEDAYSSLFEGFLSIAKTKVKFPNRSSQGTQSELSVPDNKTEGILRNHFSHSEQETENCKNYESDRSKKCTKSENAVVVVGCKEQDLVSPLSGAASDETKAGENPAAGSISQHDDVPTLAFPSISTAGFQFDLEKASTIIVDKVEEFMDKLGNARLVLVDLSNRSEILSLVRAKAAQKNIDSKRFFTYVGDITRLYSEGGLRCNVIANATNWRLKAGGGGVNSAIFDAGGPDLEAMTKNQASSLLPGEAVVVPLPSTSPLYTREGVTHVIHVLGPNMNPERPNCLDGDYIKGCKILQDAYSSLFEKFLSIANTQVKIPKISGKSIPSEPSLPEEKIKGTLTKSWSPWVQALYKIAMQPEKYKNEVLEASDDVVVLNDMYPKAKKHLLVLARFEGLDCLADARKEHIQTLKTLHAVGLKWAEKFLHEDASLVFRLGYHSVPSMRQLHLHVISQDFESKHLKNKKHWNSFNTDFFRDSLDVIEEVNDMGKAILEDEKLLSLELRCHRCRSVHPNMPRLKSHISNCQAPFPAALNGRLVLVQSNSASDP >OMO85004 pep supercontig:CCACVL1_1.0:contig09674:86230:86532:1 gene:CCACVL1_10495 transcript:OMO85004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSPPLLSPDDPRQDDDHILFSRDRHFALHGEIMMLIFLLLFAAFLCFLLFFLYIKRSRRNADDFQDYSSDQVSPTAKASAVPPERSSKLLMIMNQSV >OMO84994 pep supercontig:CCACVL1_1.0:contig09674:30946:37671:1 gene:CCACVL1_10485 transcript:OMO84994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEELKRNYRCFKSLQQFYSGGPFAVSSDGSFIACACGEFIKIVDSLNASTKTTIEAESGTITALALRPDDKLLFSAGHCGRSGLIKVWDLETFKCLRSWKGHDSPIMGMACHGSGGLLATSGADWKVLVWDVDGGFCTHHFKGHKGVATSVMFHPDVNKTLLFSGSDDATIRIWDLLANKCVATLGKHFSAVSSMAISEDGWTLLTAGRDKVVILWDLHDYSCKSTVPTHEVLEAVCIIPSGSRFALSLRAFSQQTRKRESGSTGIDFITAGVRGTIRIWNSEGDEQKSLDVDEGSTRGFTSAVILPLDQGLLCVTADQQFLFYSPEENLEEKWNLKLTKRLVGSNEEITDMKFLDEEEQYLAVATNLEQVRVYDISSISCSYSLSGHTQAILCLDTTVSSDGRRLIVTGSKDCNVRLWDSERRCCVGLGIGHTETVGAVAFSKKHRNFFVSGSRDTNIKVWSLDGISDDIEQPINLKSKGGVSPHKKDINCLAIAPNDKLVCSGSQDRTACVLRLETKDRTAVNLVEVVRFVGHKRGIWSVEFSPVHKCVITASGDKTVKIWAIDDGSCLQTFEGHTSSVLRVSYLTRGTQFVSCGADGLVKLWTIETNECIATYDQHEDKIWALAVGKKTEMLVTGGRDAMINLWYDSTAAEKEEAFRKEEEWVMRGQELENAVLDAEYTKAIQIALELRWPHKLFELFSELYRKREVGDQIDKALHALSKEEFHLLFGYVRKWNTKSNLCHVAQFVLFRAFNIFPPTEIITIKGISELLEGLMPYSLRHFNRIDMLITKINLLDYTLSGMSVIEPVVTEGDSKARSLLQSGKDMDGPPLTDNADEDQKQVSEGQKEKASAKKRKYGKSRDSSRSHKEVKGTAQTCF >OMO85001 pep supercontig:CCACVL1_1.0:contig09674:72434:75242:1 gene:CCACVL1_10492 transcript:OMO85001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MKNSNAQSEEADWEVRPGGLLVQRRDEQEDHLHLHLHPHKDNHETVAASSSGPMIKINVSHGPAQHELFVPAHSTFGELKKEIAKKTGLEPDKQKVLFRGKEKPDNEHLQVAGVKDKSKVLLTENQSNTEKVEETTDSIENKVKVEEMVQSEEQSKAFAAVAGVRKEVDKLSERVAALEVAVNSGTKIANEEFDVSAELLMRELLKLDGIEAEGEAKLQRKAEVGTFGIQVRRVQNFHETLDKLKARNSNPFSDSSKSASVTTNWETFDSGMGSLTAPPPKSSSTVTQDWERFD >OMO84991 pep supercontig:CCACVL1_1.0:contig09674:20116:22456:1 gene:CCACVL1_10482 transcript:OMO84991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKIGSLDTCKPTSNDVGSPPNGSVCTIQGSVSPTAVLNSCEATLGRHLARRLVQVGVTDVFSVPGDFNLTLLDHLIAEPGLNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCFQAVVNHLEDAHELIDTAISTALKESKPVYISISCNLPAVPHPTFSREPVPFSLAPKLSNKMGLEAAVEATAEFLNKAVKPVLVGGPKLRVAKASESFVELADASGYALAVMPSAKGLVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIGNGPTFGCVLMKDFLRALAKRLKHNNTAYENYHRIFVPEGQPLKAAPKEPLRVNVLFQHIQKMLSGESAVIAETGDSWFNCQKLKLPKGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVIACIGDGSFQVTAQDVSTMLRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTAKVFCEEELIEAIETATGAKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >OMO85006 pep supercontig:CCACVL1_1.0:contig09674:91388:92435:-1 gene:CCACVL1_10497 transcript:OMO85006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSKKIDDPGQVISDHRHLVESGDRNIYNLSQLPMLQSYNHHQGDSSSSFRYGDIPSWSGRECLMRNPYTSRSLIDEPIRPGSGLLHGTVTERIFGSNNTSSNNWSNYSFRSMGSHASPFSSLPGWKTHELMKNEFPVTHNLESFQTQIPNPITQTQPKVEEPISLISRSSINIGSSSDTSKSNAVKRKTSDCDLDLDLSLRLTQVKEDGGGGGGGRSSKEDVDHVDSELSLSLFSSPPSSSSSKLSRLKGSEEHSKESARRVSTLDLTI >OMO84990 pep supercontig:CCACVL1_1.0:contig09674:13041:16163:1 gene:CCACVL1_10481 transcript:OMO84990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRSSLSFVLLSTLLSLKYHPDKNKNKGAQEKFAEINNAYDILSDEQKRKNYDMYGDEKGAPGFDAGNPGDGYTFFTGGGPGQSGFTSGSSGGWQHMGGQGSSQTFSFSFGGPGGSRSFGGQGGPSSFGFGLDDIFSGFFGGGMNDQGQSGGFSGSSRSQSRSSPKNIRAINSEVFRKEIADQGMTWLLFSYTPSLQGKQYYESIINEVGGLLQGAIKVGSINCETESSFCKDLGMRPGRAPRLFVYSYKGSDKGSLEEYKGDLIAKNVKTFCQDHLPRFSKRISLNNFDLFSSSVEKYPRVVLLSTKKDTPVIWRVLSGLYHKKFRFYDAEVHDVSDPTVKKLGVDALPAIIGWLSNGEKHILRSGISVKDLKSAIQDLSKLLDSFEKKNKKVASSQTSKTQTDSTEGQLPLLTASNFDALCGENTPLCIVGAFRSSKAREKLESILSKVSQKSLSRRQNVAAGSKDSISYILLDATKQPSFLSAFDKSGFKSSDNFLVAYKPRKGKFAAFTGDMTIEEVETFISSVLNGDIQFTRTRQKPVVK >OMO85005 pep supercontig:CCACVL1_1.0:contig09674:89892:90901:1 gene:CCACVL1_10496 transcript:OMO85005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFQISTPMNTEGSNSSSSLDMTKLTSSLEATEPVKALLHQGSASDSLTGVKRPCLVGQGSSQSPHEVEISENSSSSLVGQTKPPKWSRSLDSEMDPKKLKRVLANRASAHKSRLKKLEYVEKLEREVLVQQAKISALAPEVAFKRAQRNMLQQENDEMRRKMEILEKKKADKDAEFTKLKHERDVLALTRALQEEGL >OMO84999 pep supercontig:CCACVL1_1.0:contig09674:65710:66333:1 gene:CCACVL1_10490 transcript:OMO84999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding protein PEBP MASDQEQFRLVSPAINHEGKLPRKYTDEGQGAKRNLSPPLEWYNVPEGTRTLALVVEDIDAPDPSGPIVPWTCWVVVNIPPSLKGLPEGFSGKEEDMGGDYAGIKEGHNDYKVPGWRGPKLPSHGHRFQFRILALDDEINLGNKVTKEKLLDAIEGHVLAEAELTAKF >OMO85000 pep supercontig:CCACVL1_1.0:contig09674:68839:69603:1 gene:CCACVL1_10491 transcript:OMO85000 gene_biotype:protein_coding transcript_biotype:protein_coding description:CcmE/CycJ protein MATRFALRLRSHLFRNLHSQKLPALSTFKSPPLISQLEPLPITKIDHFFASPTLRFLSTARRVPTRPKKVDIATRARQLQTRRLWTYALTFSCIAGFVVLVLNNFQDQLVFYVTPTEALEKYTSNPSKSKFRLGGLVLEGSVVQPGSSKEMEFVITDLLTDILVRYEGSLPDLFREGHSVVVEGFVKPFTEEIKRDVSSKGVSSKARSGDCYFSATEVLAKHDEKYMPKEVAAALEKNKKMIEEGLEGTKESTA >OMO85002 pep supercontig:CCACVL1_1.0:contig09674:77105:80162:-1 gene:CCACVL1_10493 transcript:OMO85002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MADDLIAYTRHEIAPAVPPWLNKGDNGWQMTASTLVGIQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVLLCYRMAFGDELLPFWGKGAPALGQKYLIHRARVPESKHRVTTGEYETTEPFYPMATLVYFQFTFAAITLILLAGSVLGRMNIKAWMAFVPLWLIFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRIKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANIDASIAILNTNVCAATSLLVWTSLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVQSWAAIVMGMLSGSIPWVSMMILHKKCSLLQKIDDTLGVFHTHAVAGLLGGLLTGLLAEPELCRLILPIDTRGAFYGGNGGKQFLKQIVAALFVIGWNVVSTTIILLVIRLFIPLRMPDDQLEIGDDAVHGEEAYALWGDGEKYDPTKHGWHSASYNEITAPSPYVNGARGVTINL >OMO85003 pep supercontig:CCACVL1_1.0:contig09674:81089:82701:-1 gene:CCACVL1_10494 transcript:OMO85003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMECLRMEDLGSQTLRRIANQLQCYKAPGLIEQVENINGHNTGSNFGIIDPLQGENNQQFACVNWREKRAAVLICLFEGYQGELRVVLTKRSMKLMSHPGDVALPGGKMEEGDVDDSATALREAMEEIGLDSRLVHVVANLEPFISQNQLRVVPVIGLLANIEDFRPVLNCDEVDAVFDVPLEMFLKEDNHRCEERVWNSNWKYVFHCFDFEMEQETFPICGLTASILIRAASVIYQRIPCFSIDLPDFQQLQRALSNNNAAP >OMO84989 pep supercontig:CCACVL1_1.0:contig09674:3065:11169:-1 gene:CCACVL1_10480 transcript:OMO84989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSASDLPAIYSLLTNSMSQDETIRKTAEAALSQSESRPGFCSCLMEVITAKDLASQVDVRLMASVYFKNSINRYWRNRRDSSGISSEEKLHLRQRLLSHLREENHQIAQMLAVLISKIARFDYPREWPELFSFLAQQLQSADVLTSHRIFTILFRTLKELSTKRLTADQRNFAEISSHLFEYCWHLWQSDVQTILHGFSTITQSYNSDGVEQHQDLYLTCERWLLCLKIVCQLVISGFQSDAKCFQEVRPVKDVSPVLLNAAQSFLPYYKSFQNGHPTLWDFIKRACTKLMKVLVAIQQRHPYSFGDKCVLEPVLNFCLNKIIDPEPDILSFEQFLIKCMIMAKSVLECKEYKPTLTGRVMDENAVTLEQMKKNISNAVAGVLSSLLPNERIVLLCNVLIRRYFVLTATDLEEWYENPEAFHHEQDMVQWTEKLRPCAEALYIVLFENHSQLLAPIVVSILQEAMNGCPTTVTEITPGLLLKDAAYGAAAYVYYELSNYLSFKDWFNGALSPELSNDHPNMRIIHRKVALILGQWVSEIKNDTKRSVYRALIQLLQEKDLSVRLAACRSLCLHVEDANFSEQDFSDLLPACWGSCFMLVKEVQEFDSKVQVLNLISVLLDHVNEVIPYANDLMQFFQMVWEGSSGESLLQIQLLIALRNFVVALGHQSPICYGILLPILQKGIDINSPDELNLLEDSMLLWEATLNHAPAMVPQLLAYFPCLVEILERSFDHLQVAVNITESYIILGGREFLSMHASSVAKLLDFVVGNVNDRGLLATLPVIDILIQCFPMEVPPLICSTLQKLVVICLSGGDDSDPSKTSVKASSAAILARILVLNTNFLAQLTSEPSLASLLQQSGVAIEDNILLCLVDMWLDKVDNVSSPQKKIFGLALSIILTLRLPQVLDKLDQILSVCTSVILGGTDDLTEEESSVDNISPSKSHDEGSLPSKDLRKRQIKLSDPINQLSLENSVRDNLQTCAALHGESFNSAIGRMHPSALAQLKQALKMP >OMO84995 pep supercontig:CCACVL1_1.0:contig09674:38148:49826:-1 gene:CCACVL1_10486 transcript:OMO84995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWVRGLGKQQRRMKQVLYGFVTFSLDRREWVKRRLALIRSKKEIADLDYYGYRYNSK >OMO84998 pep supercontig:CCACVL1_1.0:contig09674:64207:64741:1 gene:CCACVL1_10489 transcript:OMO84998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNKMIDWICYTEFQAPYG >OMO84996 pep supercontig:CCACVL1_1.0:contig09674:52218:59306:1 gene:CCACVL1_10487 transcript:OMO84996 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine-threonine protein kinase, plant-type MAIPINENFRFWKRASKWIDDNVDKFLVVILPKVGLIYPRPDDAEVETEENLQEEDTETDDEDGESNERTEEFRGRYCVFCSKIDQNMKKKNISPGKRLMSN >OMO82758 pep supercontig:CCACVL1_1.0:contig09977:9729:10787:1 gene:CCACVL1_11772 transcript:OMO82758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYNGLSSLLTHPKVPLNISSLHFVDVAFAVAS >OMO82761 pep supercontig:CCACVL1_1.0:contig09977:19824:20632:1 gene:CCACVL1_11775 transcript:OMO82761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin MSWQTYVDEHLMCDIDGQGQHLTAAAIVGHDGSIWAQSTSFPQFKGTEINDILKDFDEPGHLAPTGLHLGGAKYMVIQGEPGAVIRGKKGSGGITIKKTAQALVFGVYEEPVTPGQCNMVVERLGDYLADQGL >OMO82760 pep supercontig:CCACVL1_1.0:contig09977:16025:18712:1 gene:CCACVL1_11774 transcript:OMO82760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin MSSPAEFYNSLPPISKAYGTACLVATTAYQLGLLDVTHIALIYPFVFKYFQVWRLITNFFFLGKFSINFGIRLLMIARYGVQLEQGPFERRTADFLWMMIFGALSLLVLSAIPIFWTPFLGISLVFMLVYVWSREFPNAQISIYGLVQLKAFYLPWAMLALDVIFGSPLVPDLLGIIAGHLYYFLTVLHPLATGKVLLKTPMWVHKIVARWRIGAPAPASRRTAAEPERSTGVAFRGRSYRLNG >OMO82756 pep supercontig:CCACVL1_1.0:contig09977:7425:8233:-1 gene:CCACVL1_11770 transcript:OMO82756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSPVAAASMITPFVPSPGSDRVSRSNPGAWLILRPHGFSVSSWKPWGRLEAWRERGPIDGLGYKFELMTENGPTNGIPIAEATLSVKKGGQFCIDKRDS >OMO82759 pep supercontig:CCACVL1_1.0:contig09977:13043:14633:-1 gene:CCACVL1_11773 transcript:OMO82759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVAKKKVEKTVTESNNIAGQLGP >OMO82757 pep supercontig:CCACVL1_1.0:contig09977:8596:9189:-1 gene:CCACVL1_11771 transcript:OMO82757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRLIVESLALKLPQATKPAGSGVYPTTTPCFCKLRLKNFPSQTALLPLNNSSGDSPPDSSTSAAGFHLDAMTLRRLSGKPVTLRIEVYTGRMGRTCGVSCGKLLGRVQVSVDLGVSQTKPSVFQNGWMKLGSETDKPTAKLHLTVRAEPDPRFVFQFGGEPECSPVVFQIQGNIRQPVFSCKFSADRSRSR >OMO89947 pep supercontig:CCACVL1_1.0:contig08574:10299:10367:1 gene:CCACVL1_07557 transcript:OMO89947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IADSYPNAEAASNFSFRRRSNR >OMO95903 pep supercontig:CCACVL1_1.0:contig07583:5212:5619:-1 gene:CCACVL1_05186 transcript:OMO95903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L27e MVKFLKPNKAVIVLQGRYAGRKAVIVKSFDEGTRDRPYGHCLVAGIKKYPSKVIRKDSAKKTAKKSRVKCFVKLVNYQHLMPTRYTLDVDLKDVVTADVLQTKDKKVAACKATKERFEERFKTGKNRWFFTKLRF >OMO88018 pep supercontig:CCACVL1_1.0:contig09124:1560:1628:-1 gene:CCACVL1_08589 transcript:OMO88018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSTTTSYYQQPLPTTKSQTI >OMO62871 pep supercontig:CCACVL1_1.0:contig13135:12082:21948:-1 gene:CCACVL1_22600 transcript:OMO62871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment MALSRLRNPVISRTAPSLFKARFLSSYASPRLLTRTSNVESPFKDWNGSCLRPNTLSTITGVYSTSSKLKLQIGIRQFSSSDLPEHTVLGMPALSPTMSQGNIAKWKKKEGDKIEVGDVLCEIETDKATLEFESLEEGFLAKILVADGSKDVPVGQPIAVMVEDEESIQKIPSTIRGGSEVEKKPANQDVRNSEKEQEPSSNINASDLPPHIVLGMPALSPTMNQGNISKWIKKEGDKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVAVGQPIAITVEDPDDIEAVKTSSVGSGSAVKKQEPTHHESKSEVREQNSGFTKISPTAKLLISEYGLDASSLKASGPRGTLLKGDVLAAIKSGKGSSKVSSSEKIKSSPQASPQKSPSARPESKTQPGQSDTFEDLPNSQIRKVIAKRLLESKQTTPHLYLSSDVILDPLLSFRKELKEKHDVKVSVNDIVIKAVAIALKNVPEANDQKSISAISSEVKQLAEKARVGKLLPNEFQGGTFSISNLGMFPVDHFCAIINPPQAGILAVGRGNKFVEPVVGSDGIERPAVVTKMNLTLSADHRVFDGKVGGAFIAALQSNFSDIRRLLL >OMO62869 pep supercontig:CCACVL1_1.0:contig13135:5800:6901:1 gene:CCACVL1_22598 transcript:OMO62869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFTHELAQDTLTNHGLNVLPHIKEQWAILCRAHITEARWFYGGHTPSFDEYIQNGWVSIGSLGGLVLLSFVEADSIIEQFPQCLKDFSQLFYWSSLITRLSDDLGTSEAEMERGDIPKAVQSYMIEKDVSEEEARDHVKEMISTSWKKINEEIVHNSFPQVIVKLSKNMARTAQCMYQHGDGVGTSTGVTKDCIVSSILKPIPIQEHGSV >OMO62870 pep supercontig:CCACVL1_1.0:contig13135:8611:10775:1 gene:CCACVL1_22599 transcript:OMO62870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVQPEHENETLVEAALRVLNTADPFEKAQLGDSVASKWLQGLITRPYDPSLDLPVPDRPARLTNIKLVSPSLMPKLGKAGSLQSRQAIVHSLVHTENWAIDLSWDIIARFGKQEAMPREFFTDFVKVAQDEGRHFSLLAARLKEMGSYYGALPAHDGLWDSAIATSKDLLARLAVEHCVHEARGLDVLPTTISRFRNGGDDDTADLLETVVYPEEITHCAAGVKWFKYLCLRSKNPAFAEDSLASLESKAGGSETVMDESEEVIQKFHAVVRTHFRGPLKPPFNEKARKAAGFGPQWYEPLAVKGPTLEC >OMO62916 pep supercontig:CCACVL1_1.0:contig13108:19737:19808:-1 gene:CCACVL1_22577 transcript:OMO62916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSFIMHRHNIHILILAFNAGGSP >OMO87210 pep supercontig:CCACVL1_1.0:contig09281:5192:14897:-1 gene:CCACVL1_09199 transcript:OMO87210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGFLSNKGEPSKNKQVEKEDRLKHESLLKKPVSHDFVQENYEAFENCSTEYNSDEEDGNGDMEDDDVDIEGKSLVSFMQELELFQEICMDEMASEYQENLGYSVYPEGPKPSFPTILKGVINNLRVKNKELEEMVLKLGEENQKLTKSSITSTEIDTGMDSMEDSQESRSDYNNKHESIDSKPQDELLFFNPEKMFSCDRFATFMPYDDEKLIWIKFKKERKVFVYLKCGNTGNPKSKCSLPYEEKGYGNLPYKLYVQKETHLHESMTSIQTPRQSAGAGPKSHSERKDSRFRDQPSLMEKDSTSFGGLTSMPSSSINHEISHGKLKNPSVTNLEEVAEESSFTFRANNMDDVFWTKKTLVGLGLGQFLSLLITSTGFSSSELAKKGINAPTSQSFLNYVLLTIIYGSVMLYRRQALKAKWYYYVVLGLVDVEANYLVVKAYQYTSITSVMLLDCWSIPSVMLLTFLFLKTKYRFRKIAGVIVCVAGLVMVVFSDVHAGDRSGGSNPRKGDLLVIAGATLYAISNVSEEFLVKNADRVELMSFLGLFGAIISAIQISIIERNELKSIHWTAGAAFPFVGFSLAMFLFYSFVPVLLKMSGSTMLNLSLLTSDMWAVVIRIFAYHEKVDWMYFLAFVAVAIGLIIYSGGDKEEDQRRADVANEDAERTKCADEETGLDCLNRETIAGSSKTGETSKHDCATSTGGKEIMENKSVAKDMQEKKS >OMO51439 pep supercontig:CCACVL1_1.0:contig15821:11606:11674:-1 gene:CCACVL1_29796 transcript:OMO51439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPGFKWVFGSTASNGLGLG >OMO62719 pep supercontig:CCACVL1_1.0:contig13196:5391:8363:1 gene:CCACVL1_22676 transcript:OMO62719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily MEFNCSSPNTISVFSTFASPFDNSPAVNGSDSTSTRKHISLWPGMYHSPVTTALWEARSKIFERLLDPPKDAPPQSELLTKTASQSRTTILYNFSSDYTLREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDDDSTTRPLLLVTASVDKIVLKKPISVDIDLKIVGNVIWVGRSSIEIQLEVIQFTKEDMDASDSVALQANFIFVARDSKTGKAAPVNRLSPETEREKFLFEEAEARNNLKKRKRLDRRDYGNGEVKSRLEALLAEGRIFCDMPALADRDSILLRDTRLENALICQPQQRNIHGRIFGGFLMLRAFELAFSTAYAFAGLVPYFLEVDHVDFLKPVDVGDFLRLKSCVLYTENENPDQPLINVEVVAHVTRPEIRSAEVSNTFYFTFTVRPEAKATKNGFKIRNVVPATEEEARHILERMDAEMLTRQNQ >OMO62720 pep supercontig:CCACVL1_1.0:contig13196:9024:13831:-1 gene:CCACVL1_22677 transcript:OMO62720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2/DRF autoregulatory MLSSLFFFLLLFSCESVHIPSRRILHQPFFPLDSIPPSEPPAPSPPSPPTPKYPFSSSTSSPPDSPFFPSFPSAPPPPSPATFASFPANISSLILPHTPTPKHNNSKLLILAIAAVISASLVAGILVFFYCRRRRQKRDFVEDNKTLTSDNSSRLHRNNTNNNSSNGNSNSNVDARKLRSSTASSEFLYLGTLVNSRGGIEDGSNNSRRTARFDPRKMDSPELQPLPPLSRQNTSRNFREGEVESVTEEEEEFYSPRGSLNGRESSNGTGSGSRRVFAAIAAKSIESSSTCSCSSSSSGSPARSQSLSISPPVSLSPRRSDPKSPELVQVQPALSPERILFDSPRVSNASNNNGNARSPSLSLTSTSPDRVLVKESDVQRVQSPSLSPMFVKNPDSSLVHDRDMGSPSLSSATTSPNRALIAKLDESIRNFNDLVQNMRSPFISSSAAAAASSPAKESPSNNMDQKQTRSPSICSASTSPDRSLVKNLSELPRAGSSSLSSDSMSPGRVSNDNEDERSPLLSSASSSPDRVFERSPDMSPLMVGVSDYNKASSLSSLASSSPGRGLEKSPDASPVAVRISRALQEPILTPPPPPPPPPPPKQPSPPPPPKQRRQWEKPVSSISFAQQISKPPPLVPPSMPFMRQSSIKNSPVELPTRSESEAVEEVEEEASKPKLKPLHWDKVRASSDREMVWDHLRSSSFKLNEEMIETLFVVNTPNSKPNNTTPRSVLPSPNPENRVLDPKKAQNIAILLRAVNVTVEEVCDALLEGNADTLGTELLESLLKMAPSKEEERKLKEYKDDSPVKLGPAEKFLKAVLDIPFAFKRVDAMLYIANFESEVEYLKKSFETLEAACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRTEGARLSNSNQNQTPNSSLNEDARCRKLGLQVVSGLSSELTNVKKAAAMDSEVLSGDVSKLSKGLVNISEVLRLNETMESQESREKFSESMNRFMKMAEEEIIRIQAHESVALSLVKEITEYFHGNSAKEEAHPFRIFMVVRDFLAVLDRVCKEVGMINERTIVSSAHKFPVPVNPMMPPVFPVPVNPMLTQPFTGFHGRPQYGSDDETASP >OMO51735 pep supercontig:CCACVL1_1.0:contig15734:11827:11940:1 gene:CCACVL1_29621 transcript:OMO51735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCPFAVLAVEDGSTIFYAEQIIEEALGSTTISAEAI >OMP03890 pep supercontig:CCACVL1_1.0:contig05917:1186:1803:1 gene:CCACVL1_02230 transcript:OMP03890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVWFTVECVGYFGLSWAITRLFAIEQRSSVPIQTGSRQGSSLNEKRDKGARDTSKRSLSPCSAELWLLSLLI >OMP03891 pep supercontig:CCACVL1_1.0:contig05917:3031:7647:1 gene:CCACVL1_02231 transcript:OMP03891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MVLSTIVFLPLLLPLLYFILRPRPVNIPIKDRHVFITGGSSGIGLALAKQAASEGARVTLLARSLDKLEQAKKSIRQAYGVEVSIFAADVRDFEAVNRAVNDAAPIDVLIVNHGIFLPQELDKQELDMVRLMVEVNLMGSFNGIKAVLPLMKDRMDKKPLSIALMSSQAGQIGVYGYATYSATKFGLRGLAEALQQETISNNIHVSLICPPVTQTPGVQEGGSIGIGLAIAKKAASEGARISLLSRSLDKLKEAKQSIQEEYQVDVSIFAADVRDYNAIERAIKEAGPIDVLVINHGVFVFEELETQELDVVKFMIDVNLMGTFNVIKAALPLMKARKDKSPASIAIMSSEAGQVGLYGYTAYSASKFGLRGLAEALQQEVISDNIYVSIICPPSTETPAIKTMSELMKFIISSSGEVMKAEEVAKITLNGIKSGSFTVPCTFIGRLLVVATAGVSPQTSFLMAAVEVVFAGFARFVALFYLWSWYRKIEQCQAQNKKVEKKLQ >OMP03892 pep supercontig:CCACVL1_1.0:contig05917:12880:14258:1 gene:CCACVL1_02232 transcript:OMP03892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MLFTLLIFPSFLFLLILYFIVRPRPVKVPIKHRHVFITGGSSGIGLAIAKRAAIEGARVSIMARNIEKLEEAKKSISLASGALDVSIFAADARDYDAVQGAVNDAGPIDVLVVNHAVYEHDVLERQGLDVIKNIIEVNLIGSFNVIKAALRLMKKDRKDRGPASIALMVSQAAQVGIYGYTAYSASNFGLRGLAEALQQEVISDNIHVSLIFPPDTETPGYKEAKRTMPELTKTIVGSPVPMKSEEVALKTLQGIKSGNFIVPCNFLGHVLAIATTGLSPQRSFMMAAMEVIFAGICRLIGLAYLWATYRSIEMWHNKQK >OMO69860 pep supercontig:CCACVL1_1.0:contig11948:1653:7278:-1 gene:CCACVL1_19224 transcript:OMO69860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EERKLKLDATTLSSFDLTRPDSESGHDPPRPSSQARHRSSIAGAALSSTDSSQTRHRSSIA >OMO62832 pep supercontig:CCACVL1_1.0:contig13143:1149:4347:1 gene:CCACVL1_22615 transcript:OMO62832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MGFLDLFVVALVPVLKLLLVTGVGLFLALDQIDLLGPEARNYLNKIVFYVLSPALLVSNLAESISYQSLVTLWFMPVNILLTYIIGSALGWIIIKVSKTPEHLRGIVIGCCTAGNLGNLMFILVPAVCEQPNSPFADSSTCSTDADAYASLSLASSSIYTWSYAFAIVRAYATKSVENNSTRSSEGISQPSLESCKEPLLPSSDSQISEDSSRQQKLPLTSSDERTKMPFWKKIVQCGKSNMSKIQLKKMFAPSTNAAIIGLIIGIVSPIRKVLVGGSAPLHVIDTSAALIGQAAIPCRTLIMGANLLKGLKRSEMNMLAIIGIVVVRNIFLPLLGVAVVRAAYHFGILGSNSLYRFVLMLQYSVPPAINIGTMTQLFQTGQGETSVIMLWTYAIASISLPLWSTLFMVLVG >OMO77850 pep supercontig:CCACVL1_1.0:contig10707:19230:21239:1 gene:CCACVL1_14773 transcript:OMO77850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase, class-II MEEEPMVIIVGAGPAGLSMAACLSRHSIPYIILEREDCFASLWKKYTYDRLHLHLEKQFCVLPHLPFPDSYPRYVSKPQFLTYLDDYVSRFNINPLYRRSVESAKFDETSNKWIVNARNSGSGEVEEFSARFLAVASGETSDPYTPEIEGLKTFPGEVLHSTQYRNGKAFKDQNVLVVGAGNSGMEIALDLANHGAKTSIVVRSPIHILSKEMAYWGLMSLKYFSLNIVDTVLTILSKLFYGDLSKYGITRPEKGPFFMKVAYGKYPILDLGTCSKIKSGEIQVLPAISNITGNEVVFDNGKTHPFDTIIFCTGFKRSTNVWLKGDDYLLNEDGLSKVSFPNHWKGKNGLYCVGLARRGLYGAGADAQNIADDIHNLL >OMO77851 pep supercontig:CCACVL1_1.0:contig10707:21805:29181:-1 gene:CCACVL1_14774 transcript:OMO77851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S1 MAVAVANCCFSVLSSTVKFRYSVSYHRRFATYQASFDAHSPKPNNSKKRALKRNSSSSPHKFNPEKDSVAQMKFPGRSKDEKSSRYADGLSGKGDTGRPQPTAFKSFGTQRKDRKDFQFDSNEQQVEPANLQDSTFLNAVVKVYCTHTAPDYSLPWQKQRQYTSTGRQDSNLAFMIGDGKLLTNAHCVEHYTQVKVKRRGDDTKYVAKVLARGVDCDVALLSVESEKFWKGVEPLRLGNLPLLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNEQGECIGVAFQVYRSEEAENIGYVIPTTVVSHFLNDYERNGKYTGFPSLGVLLQKLENPALRACLRVQSNEGVLVRRVEPTSDAYNVLKEGDVIVSFDGVNVGSEGTVPFRSNERIAFRYLISQKFAGDVAELGIIRKGKSMKVQVVLNPRVHLVPYHIDGGQPSYLIIAGLVFTPLSEPLIEEECEDSMGLKLLAKARYSLARFKGEQIVILSQVLANEVNIGYEDMGNQQVLRFNGTRIKNIRHLAHLVTICKDKYLAFEFEDSYVAVLEREAAMSASPRILKDYGIPSERSDDLWEPYVVDSVEDDQAVEQDFGDSPVTNMEIGFDGLLWA >OMO77848 pep supercontig:CCACVL1_1.0:contig10707:91:1443:1 gene:CCACVL1_14771 transcript:OMO77848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase-like protein QVCALPHVPFPDSYPAYVSKDLFLTYLDDYASRFNIAPLFRRRVESAEFDEATNKWIVKAKNLDSGEVEEFAARFLAVASGETCDPYTPEIEGLNTFPGEVLHSTQYRNGKAFKDKNVLVVGAGNSGMEISLDLANHGVKTSIVIRSPIHILSKEMVYWGEKLLRFIPFNTVDKWVALAGRVYYGDLTKYGITRPEQGPFLMKAAYGKICVVDLGTCSKIKSGEIQVLPAISNIRGNEVVFNNGKTHPFDSIILCTGFKRSTKLWLKGDDYLLNEDGFSKQKPPSPTHWKGKNGLYCVGLSRGGLFGAATEADNIADDIYNLLSN >OMO77849 pep supercontig:CCACVL1_1.0:contig10707:3879:5349:1 gene:CCACVL1_14772 transcript:OMO77849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase, class-II MEEEPMVIVIGAGPSGLAMAACLHHRSIPYIILDREDCTASLWRKYSYDRLHLHLVKQFCALPHLPFPDSYPTYVSKDLFLNYLDDYASRFNITPLFRRRVESAEFDEATSNKWIVKARNLDSGEMEEYAARFLAVASGETCDPYTPEIEGLKTFPGEVLHSTQYKNGKAFKDKDVLVVGAGNSGMEISLDLANHGVKTSIVIRSPIHILSKWMIHWGVKLVKYIPFNVVDRGVAIVSRLYYGDLTKYGIRRPDKGPFFIKAVYGRFPVLDLGTCSKIKSGEIQVLPGISSIRGNEVEFNNGKTHPFDSIIFCTGFKRTTKLWLKGDDYLLNEDGFSKQSPPTHWKGKNGLYCVGLCRRGLFGAATEAQSVADDIYNLLSN >OMO52608 pep supercontig:CCACVL1_1.0:contig15501:18479:19742:-1 gene:CCACVL1_29167 transcript:OMO52608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGARRVREKRRLKKLANCNVAKESLPPRDKLLGFFKARIAAAAGAQTSAS >OMO52612 pep supercontig:CCACVL1_1.0:contig15501:56053:56649:1 gene:CCACVL1_29171 transcript:OMO52612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGKIQDQANTQVIDMNKLEAKTRDFAVLGIPRPFHLPNSMQFEHSEIIPTKTSLESFLRSDEQLAQEPLVIGKAVESKKLLEAAKEIVNLIHKDYKGNDRPRRKPPINNHVPKH >OMO52605 pep supercontig:CCACVL1_1.0:contig15501:3163:3279:1 gene:CCACVL1_29164 transcript:OMO52605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAAIARPKEDPNTAYMPVVKAITIASIPKPVKGSTT >OMO52607 pep supercontig:CCACVL1_1.0:contig15501:10578:14190:-1 gene:CCACVL1_29166 transcript:OMO52607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRISYMDGELFKAAEHGDVEVLFNKYEGSLDRLVDGQQNSTPCLRHERRTCPLLVLQANARGEIPLHIAARHGHYEIVEFLIKRSKEQRHGDLEQMVRMRDKDQNTALHQAAQYAARGGRFDLVAEILDANCEAMALVGPYGRTILHAATLADDEETVKIILGRKKHLTKEGDEEYGQTPLHYAAHGNLYSVAEALLENDDSAAYLTDKERGMSPLHMAALQGNVIDSSITINLLLHDKDANGITPFHVARYRPAAHGRHKNHPLQSFKVPVWDENSQGSEKKKKIEEVLEAICNIEVAGLPVVDSIRTRIEGKNNVNTGTDDHERIRETHLLVAAPVATVTFTAAFTVPGGYKSEKGTAILSPNAAFQAFVITDSLAFVCSLSAVWIHILIVHVERNTNFYKLLYHVVDPLTGFGMIAMVIAFTTGIYAVLGSSLGLAIAACAIANTFFLIILYAHYKSRKVYDWDLLTEE >OMO52609 pep supercontig:CCACVL1_1.0:contig15501:24582:28058:-1 gene:CCACVL1_29168 transcript:OMO52609 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Protein bem46 MGGVTSTMAAKFAFFPPNPPSYTVVESAGKLVMNGVVARENVDVLKVATKRGNQVVAVYIKNPGAALTVLYSHGNAADLGQMYDLFSELSLHLRVNLLGYDYSGYGQSTGKPTEQNTYADIEAVYRCLGEKYGVKEEDVILYGQSVGSGPTLDLATRLPKLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPFVNCPVLVIHGTADDVVDWSHAIEKSHLRKGFVPLPDQPEKPRNSTDFREASRPSTDHREKSRTSTDQREMPRLSTDHREKARISIDKREKSRKSVDRPDKFSNGSDQPEKARNSIDRFGEMMRSVGLCNIDCFKPTATAV >OMO52611 pep supercontig:CCACVL1_1.0:contig15501:55170:55734:1 gene:CCACVL1_29170 transcript:OMO52611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIRFTSLIFGLLLVMHALESFSVHAHQGEKTNAERLASAQKEVANGIGGRKMGGHAVDEAASKNSGANRSDGKCDFEEKGVKCKSWNESNSLAPRVEPAEFVAFGADYRGPKRHPPKHN >OMO52613 pep supercontig:CCACVL1_1.0:contig15501:57543:58785:-1 gene:CCACVL1_29172 transcript:OMO52613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL GKCLSLGEQIEMFQRTIEKKLLLSHFKNSEELSKYLAKSIFLLSIGSNDYIRSYFEPPFLLFTSYQDYDPQSFAQLLINALSNHLQRLYRLGARKIVTLEIPPLGCIPHFTKKYELTGKCHEETNQIVSYFNNKLPATLKELTSTLPGSVFILARIYSLGYNAVNNPSKYGAMLQSE >OMO52606 pep supercontig:CCACVL1_1.0:contig15501:6822:7334:1 gene:CCACVL1_29165 transcript:OMO52606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPSWGASDDNNEAKNRKLLLVDLNNQGGGALIVLGGSLGGGEGEALIGLGGSLGGEGETLINLGGSLGEAGEGEASINLVGPLGGKEGEALIGLGGSLGGKEGEAIIGLGGSL >OMO52610 pep supercontig:CCACVL1_1.0:contig15501:46020:47336:1 gene:CCACVL1_29169 transcript:OMO52610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVGESSESGAGVSSFQLLKSGSFRDEDRCPKQVSPIRGGGSRNTSPLGRVGSRNTSPSRQKVIKTKPRGLDEETVATFGKAVHPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCKRWNSILQDNSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKTWYRIPFNFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPNMNYNQQRQLIMVVDRTDKSFKVIATSDIYGDKSLPTEVYDSKIDKWTVHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDSGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHSKIMWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKVWSWIAGCALQSYNSQLCFYEPRFDASVH >OMP11577 pep supercontig:CCACVL1_1.0:contig01137:677:769:1 gene:CCACVL1_00430 transcript:OMP11577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPPLSLFGIHKPFQPTVKISSNMFLNSFET >OMO81140 pep supercontig:CCACVL1_1.0:contig10234:381:5733:1 gene:CCACVL1_12584 transcript:OMO81140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGVPKTTGASENTDEPNAGSDFAEHREGNNGGSTDDGLSEVEKLLKGKSFSRDEINRLIEIMNSRAADVPKVDQESKDLIVSARGAKEPVVTEYSRRSIGEKHDGNKAVSGLATPLPKRTLTDEIGLSPVEIAKAYMGSRTSELNLGSKSIISKDERPSVLRDDFASEPFVPLPSPKPVTGWPGSMVQDQRGYLTPQSQRGKFGLQNFPRTPYSRSIYSKSKSKFARVQDEGNSFLNSSFSPLPQSRTPGQLKLGSNIVDDGRGSVGPMWRIRHRGTAETPSRGSVYSHSSLNGPSPVGNSSASKGLFPKKNLELGGNSSSVFLTVDSKLGSSEMVIPPVHPHSSQMARTILEHLERNPATPKEKSDELKIATSWKGSQSSDANAAISKGHNSFPYLGRDSKSKDQIDNGNSAQGNEDRGKSVSVAFPESTIEAKNVNQSTYASDLKFDGTVSIFASKDLPKATDAAMSEGRQKNSLGNKPVLPSISVSKPQQRWMFTQDNGTGFTFPVHASSGVSSEPPTPSIMPSLSGSSPNQPKEGDTEPSYSFGSNKSTPDLVFSFPSTSNAPNHVEASDIKYNFGSDRSSRLSFSSIGNNAICY >OMO81141 pep supercontig:CCACVL1_1.0:contig10234:9811:13431:-1 gene:CCACVL1_12585 transcript:OMO81141 gene_biotype:protein_coding transcript_biotype:protein_coding description:YABBY protein MSSCVDVAAEQLCYIPCNFCNIVLAVSVPCSSLFDIVTVRCGHCTNLWSVNMAAAFQSLAWQDIQAPNYAAQDHNYRMDLGSSSKCNNKFSIRPPATNATEERVVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLETNNQNKLDDASEKHLMSRTALLNK >OMO81142 pep supercontig:CCACVL1_1.0:contig10234:30456:34587:-1 gene:CCACVL1_12586 transcript:OMO81142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase, subunit VIb MADEIELKTAPADFRFPTTNQTRHCFTRCIEFHMCLIAKGEESNECKRFAKYYRSLCPGEWVEKWNEQRENGTFPAAAASQAGGAGSSPQQQQKQKNCFG >OMO53660 pep supercontig:CCACVL1_1.0:contig15171:31978:34118:-1 gene:CCACVL1_28458 transcript:OMO53660 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MLGENFTNPGGEITVSFGYQCNSHKGIPCSAGNGCEIQLGRELPRTSSFSCLSGAALSANATLANTNICNGLIGAEILPSLDSPNSFRRVPSSPSLSRLDILSSSLQSGLSNLSCSPPSPVDSLESDSFSLKSMSAPSRSDSFLNAMEVQVAGGAAGEDRVQAVCSEEDGWLFCAIYDGFNGRDAADFLAGTLYESIIFYFNLLDWESKHDVPRAPNGLDANGPFECGLDDGRVRNGAKIPSSMDSKKYASAGTFMKKSADPKMEVLSDSFRCGVLDSLQRALSQAENDFLYMVEQEMEDRPDLVSVGSCVLLVLLHGNDLYTLNLGDSRAVLATYDEANCMGQTEILKAIQLTDSHTVDNEVERTRLLHEHPDDSMPVVAGKVKGKLKVTRALGVGYLKKKTLNDALMGILRVRNLISPPYISTEPSLNVHRISRSDHFVIVGSDGLFDFFSNEEAVKLVHSYISSNPYGDPAKFLVEQLVIKAAQSAGFSKEELMNIPAGRRRKYHDDVTVIVIMLGTNHRTSKASTCV >OMO53665 pep supercontig:CCACVL1_1.0:contig15171:98705:103193:1 gene:CCACVL1_28463 transcript:OMO53665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase MEGSTELKHKHLEFTLAAVSELSSSSVSSSSSTPVIARFSADGGVAELRFHQDSEFIDGLNVDLGTTQLFKLGPAKSLCISDSSDTNKETSYSRGVTIQFRNEEESRDFHSAFEQWKEGNFGQGTHLPNGAISSSKSKFDNKIEPSSAKMYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRADFTGRVVVDVGAGSGILSLFAAQAGARHVYAVEASEMAEYARKLIAGNPTLGQRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPNGKMFPSVGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTPLYGSAFQGYFSQPVVDAFDPRLLVSPPMCHVIDFAEIKEEDLYEIDIPLKFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGAPTTHWYQIRCVLSQPIYVMAGQEITGRLHMIAHNAQSYTINLTLSAKMWGPGAEQGGIIQTSSCKLDLKEPYYRMSQPQPYTMAQDQQPHQLLQAQDIPIHTEDLEEAELLQQPSENSGAQLH >OMO53666 pep supercontig:CCACVL1_1.0:contig15171:103739:107317:-1 gene:CCACVL1_28464 transcript:OMO53666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the outer envelope membrane of chloroplast MDSSSSTIAATGSLPIRAPLTADSDSEYETGSTVGGSSLNSFDNNSEVGSESEEFLSGEEFENGAEPERRDPDGGKVEEKNGFNQNFKIYVPNKDTNDEIDGLESSTDDEVVLEDGSNSKPSVPIAQLSRDDEEFAEVLSDEEIVTEVEDGGFSGVVKVPSAVESSPRIKVALGVEEKGESLGLRNSAVVVAESENGGMEDSVEPGSEGGKNDTVMGISKLDEDGKDSPAKMEIIDEGRIESRHGDEGDNVNEEKSLVMGNDANHASQPVLESGNVDVIESGAVDQGSETKIDSRAELDEVLAATRNESNEYDHDIMIADAENDDLSTVSELNPEAEDIGNDVSDKVRLSDEDLVQLIFGSSETTKHVPSEAEHGMSPTSLLKVDSEDQLETAHQQVAIDSADEVEAEGEHDIKELFDSAALAALLKAAAGAESDGGPRVFSLERPARSGSSFHPSRVAPASHTVHDVSKSKDNISDEEKKRLEKLQLIRVKFLRLVKRLGHSPTDPMVAQVLYRLALAFGSLSSQEFTLESARIAAMQLEDEGKDDLDFSLNIVVLGKTGVGKSASINSIFREQKTRIDAFEPATTAVKEIVGTVDGVKMRILDTPGLKSPMAEEATNRKLLASTKRFVRKFPPDVVLYVDRLDTHERDLTDFLLLKSLTDILGSSIWQNAIVTLTHATSASPNGALGEPLSYEVFVAQRSHVVHRAISQAVGDLRLMNPSMMNPVALVENHPSCERDRNGESLLPNGQSWRSQLLLLCYSVKILSEATSLSKSQGQYDHQKLFGFRLRSPPLPYLLSSLLQSRPHPKLPTDQAGEDLDLDMELGDSASSDEDEYDQLPPFKPLRKSQVAKLSKEQRKAYYEEYDYRVKLLQKKQWREEVKRVREIKKKGKEADNNLAYVGDAEDVDEAEPATVSVPLPDMVLPPSFDGDNPTYRYRFLDTASDIVMRPVLDSQVWDHDIGYDGVSLERSLAIAGYLPGAFAVQITKDKTEFNIHFDSSVCAKHGENISTMAGFDIQNVGKQLAYIFRAETKFKNIKMNQTTAGLSVTFLGENVATGLKIEDQIAVGKRLVLAGSAGAMTSQGEAAYGANMEIRLKDKDFPIEQNQTTLGLSLVKWRRDLGLMANLQSQFSIGCGSSMAVRVGLNNKQSGQINLKVSSSDQLHIALASLLPIAASILRMIYPGSVSKSSPY >OMO53659 pep supercontig:CCACVL1_1.0:contig15171:29965:31315:1 gene:CCACVL1_28457 transcript:OMO53659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDSSPPLPVNAPLAPKKENITPVGSKIAELNESRQELLSRIQGLKQDLQNWRSKLDTQVKIYRDELTDLKKTLNVEVEQLRTEFQELRTTLHQQQEDVTASLRNLGLQDVSEGSKEADEHPKVEGKDAEVHASPVKENGKEAEN >OMO53667 pep supercontig:CCACVL1_1.0:contig15171:108997:111514:1 gene:CCACVL1_28465 transcript:OMO53667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVSLSPTFSFIPKFSLKPLLFSPLSTPVPSKLAKRKNYLRPKILKTFTKPFPSPTPTNPIAPVESPPETKPAADVFVFEPPSSEELPSKVEVTEETSKVEDFQVSETSGFAGENEFTLVEEIRAMAREARKIEEQEMEENGYEGDDDMLDENLNSKAKIGIEKEIGARLNNLEKRLNSKRENLPGSYMNLLDKLIDAEEAKERNEKLFVKKKFQFRGPEKSSRSGVKGFPGSKDGSASRNNNGVASSRTKNVDNGKRVASQKLDILPSDREKMEDEEELGAVDNNTRFEGSRERLSSKVMKSRDTHNSQILPKENQEARTKSDKHTSKRREVSNKLPAKRVKDNQSGFSNDPWWLNLPYVLAILMRRGTDRDGSRGLFTLGISEGGEQSETPCTVAFEDQSDANNFCYLLECFFEDLGDFSAEVVAMLAKELYEAMKSHAKKVLVVKKGQLKLYAGQPFGEVEKALQSLIEDNQGAIIANSE >OMO53669 pep supercontig:CCACVL1_1.0:contig15171:115711:116505:-1 gene:CCACVL1_28467 transcript:OMO53669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTKLVISLKRQDNLEPQQAVNAAKPVKREVTGQDYVNVAFAPQQANNVPSAAKPVKREVTGQGYVNIAFAPQQANNVPSAAKHEVTGQGYVNVAFAPQQVNVPSAAKPVKREDTGQDYVHVAFAPQQVNVPIADVKPEVAWQEDYVNVAFATQQANFAAAPADYYAGGRRIPWTEEEHQLIVDAVEEFIRTKFPQQRVANFRGRKSGLWKYVKQRFFAHAHHRTEQSIHQRFDKLLEEAAKPPIKRLLPMSLNLADRILAVA >OMO53654 pep supercontig:CCACVL1_1.0:contig15171:1670:9741:-1 gene:CCACVL1_28452 transcript:OMO53654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKVAVEGISGGFDDSGEIQNAPNVRSSDIYSLRDYDSGSDQNEAPLLPGTSDSSVISTSHWEPLSGWSLGPGHQLAQESWGASSDSSFEVIREFYNTASILLKGKTDIPKKQGVLSVRAASMLRDEVQRRGVYKGQCQGGLPEGKGRLVLGDGSIYDGRRYGKRSGVGTFYFSNGDVFQGSWRDDLMHGKGKANGEGRFYSKSGKVFFGHCINVDGARCIEIWDEGVLTLPVELSLLPVLENLYLDNNKLSVVPPELGELKTLKLLVVEYSMLVSVPVFFGLMSSPLGWQNYGRVTNSMVNILNKNSEEVEKVMQEFKGMHFKDPQIDLTNVLHDAFFKYLTKPKLTSHGYPKDNMALMYFQLRSCDVSSSCYNASNIGQTRVLLVGILDDLWKKLREDQVDKGKRGIDKQPFQLTDFSATGIEKIIQILHDAFFKYRSRSQVLQLTGIFYHEGKQFELSPIGTEHFPVAARISFLSRHNRTLGLVRRHYPPLSTQPLDRSALGVPNSAESEKPASSAPAPASVLHGQFCLLLLSLYWRSDEAELLVPRIFLWQRGVRNDRNGSCLLVFVSGLASLQRPLSSRVASCLASPHCGGLCLLVSGFASLRRPLSSRVWLRLTAEASVFSCLASPHCGGLCLLV >OMO53658 pep supercontig:CCACVL1_1.0:contig15171:28226:28996:-1 gene:CCACVL1_28456 transcript:OMO53658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic/archaeal PrmC-related protein MSARIAQIRLVSSHPEVYEPCDDSFALVDALLADRNNLLEHKPTLCMEVGCGSGYVITSLILLLEEEANRSQFFATDINHHAIRVTRETMEAHGVYAELINTDIALGLENRLAGLVDLLVVNPPYVPTPEEEVGREGIASAWAGGENGRAVIDKILPVADKLLSKRGWLYMVLLTANNPSQICRQMMKKGYASRIVVQRSTEEESLHIIKFWRDFDIEVDPKDAVTNSNPGIVDSVLSQFQRLSSFWRNSDSSSNS >OMO53662 pep supercontig:CCACVL1_1.0:contig15171:72982:73365:1 gene:CCACVL1_28460 transcript:OMO53662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MGSSRFSFRFLAICAILAIHVAVSTSSPTLDFVGGDHFLPIKSECRGSIAECLMLNGEGSSDFDEEFAMGTEINRRILATSRYISYGALRRNTVPCSRRGASYYNCRPGAQANPYSRGCSKITRCRR >OMO53664 pep supercontig:CCACVL1_1.0:contig15171:82634:83971:1 gene:CCACVL1_28462 transcript:OMO53664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactosyl transferase MFGRWLRPRRSRAKLAALCLLLTFIVLHGSFSPGKSGSSEEKSNHDSFASHKLVEPRRLLEEAQKNDDSNNNDKNYNEFDISKILVDEEDDGDKEKPDPNKPYSLGPKISDWDQQRSQWLKNNPDFPNFIGPNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRVHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEIEFLWWMDSDAMFTDMAYEVPWERYKDSNLVMHGWNEMVYDEKNWIGLNTGSFLLRNCQWALDLLDAWAPMGPKGKIREEAGKILTRELKGRPVFEADDQSAMVYLLVKERATWGEKVYLENAYYLHGYWGILVDRYEEMIANHHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLRQMDRAFNFGDNQILQIYGFTHKSLASRKVKRVRNETANPLEVKDELGLLHPAFKAVKVSASS >OMO53657 pep supercontig:CCACVL1_1.0:contig15171:21368:24191:-1 gene:CCACVL1_28455 transcript:OMO53657 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptobrevin MSQDSFIYSFVARGTMILAEYTEFTGNFPAIAAQCLQRLSSTNNKFTYNCDHHTFNFLVEDGYAYCVVAKDTLGKQISIAFLERMKADFKKRYGGGKADTAMAKSLNKEFGPIMKEHMKYIIEHAEEIDKLLKVKAQVSEVKSIMLENIDIAIDRGEKLTTLADKTETLRDQAQEYKRKGDQIRRKMWYQNMKIKLVVFGILLLLILIIWLSVCHGFDCTN >OMO53663 pep supercontig:CCACVL1_1.0:contig15171:74963:75094:-1 gene:CCACVL1_28461 transcript:OMO53663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor binding 1 MGSRIDELEQSINDLRAEMGADNSPSPSVPPAPKDDSKSPNES >OMO53670 pep supercontig:CCACVL1_1.0:contig15171:118665:119969:-1 gene:CCACVL1_28468 transcript:OMO53670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKICSPNEVTFCSLINGLGRHGRVDDAYRLYEKMLDADKIPNVVVYTCLIRNFFKCGRKEDGHKVYKELLRRGVHPDLMLLNTYMDCVFKAGEVDKELMQKGLTPNVYTWNCLLDALVKAEEVDEALVCFQSMKDLKCTPNQITYSILINGLCRIRKFNKAFVFWQEMQKQGLNPNTITYTTMISGLAKAGNVAEAHGLFERFKAKGGIPDSACYNAIIEGLSNANRAIDAYALFEETRRRGCNIHTKTCVVLLDALHKAECLEQAAIVGAVLKETAKSQHASKYW >OMO53671 pep supercontig:CCACVL1_1.0:contig15171:121953:126523:1 gene:CCACVL1_28469 transcript:OMO53671 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MEPQFSKPRSYGPPPHSQQQQQFKMTIPSPQQHSDNDRSSSELRAVDCNLNSLCEHIQMEGFSGGSFSDIVVNAMGSTYHLHRLILSRSSYFRNMLHGPWKEANAPVVALNVDDSNVNCEAIAIALAYLYGHHPKLNDSNAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLAYQVFAESQDYGIHGERVRNACWGYLCQSGAMELKEVLPKLSSQTLHALLTSDELWVPNEEQRFELALHTLLAKGTFSNAEHPDQGSSSPKIAMGVPPDSSKAKGKDSVDSCPSKRLESELECLSLKDDLAHRATAQNLLAELADCVEDIQFRSGTSSTEQQVHLSGYAQAEPIYSCNMDQSSSRSNSFSDAEGIRTSGSYVEMPIGVGTSGLGASGMAMEGPSEEGSCYHLNNNNWLTSDQSRNCSSVDSSCGGLMLNDWGRCGMASLSWGGRVVGKRQVKSYAKGNCGVLGEEYDTFVNIFEGGSLLYCNMSFDALLNVRKQLEELGFPCKAVNDGLWLQMLLSQRVQEVVADTCKNCCLTSMQCACRQPFGFPHGVATTGYYVQEHNQNHPTGNIGNVYVADNAQGEGNGLFRPVRVHVRGPIDGLAGIGRGATFVPATAWPPTRFVFSRVPFGMGNRNGHQSLANDDSEARADHSGDMSGGGLTALVELSQGGSSATNVHGEQTERSYETDLQTRVPVTSVAGPATSGIAMQMLESPEHAVGIEWENATGSAISLDMKTPLSHFPPFRFGVQFEDVHRLNDGQVKHSPEFFYAGSLWKVSVQAFNDEDPQGRRTLGLFLHRRKAEITDSLRKVHTYVDSREKVTARYQLICPSKREVMVFGSFKQRGTLLPKAPKGWGWRTALLFDELADLLQNGALRVAAVVQLV >OMO53672 pep supercontig:CCACVL1_1.0:contig15171:127765:130321:1 gene:CCACVL1_28470 transcript:OMO53672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MGALASNRKRGGDEYFNFNFNHTIKAPYSRSPDFQVSKRPRFSSLIDRGPEKEIVSSKNAVSRISRYPEVKHPFPREVHGPVRTHKFGVLPSISNLDSNISDEMGNIWTGLRNARRQAFDSLRYFPKEKEVVFVEDDDEKEKEKEAVSDCSVEEVEIIEKGKNDVQEEERNLQPSSSSAVTELNNGSLRVENALGRLSLNPEVYDPSDLEAYRKLLQSSERRDPKLKDLKFQIELFEKRKAALQSLRPAKKQEEEEEEVIPNEPFVPLSKEENAEVSAALSPRNGRKMLVLHKASSIEIRGEVLQCLRPGQWLNDEVINLYLELLKERENREPGKFLKCHFFNTFFYKKLVSPDGSYNYRAVKRWTSQKKLGYSLIDCDKIFVPIHKDIHWCLAVINKKDQKFQYLDSLKGKDPRVLNALAKYFVEEVKDKSGKDIDVSSWEREFVGDLPAQQNGFDCGMFMLKYIDFYSRGLRLCFDQEHMPYFRLRTANEILRLRAD >OMO53655 pep supercontig:CCACVL1_1.0:contig15171:11785:12012:1 gene:CCACVL1_28453 transcript:OMO53655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKLTSTDLQSPLGQLASQLSDIDLRLTAYDVFLAVCRTSSSKPLSTYASFNSDSPSLKSPISNHNNKHSTHTR >OMO53656 pep supercontig:CCACVL1_1.0:contig15171:17045:17371:1 gene:CCACVL1_28454 transcript:OMO53656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVVRLVENPGADSLQGSNAKRKVLVHTPTNEVITSYAVLERKLSSLGWERYYDDPDLLQFHKRSTVHLISLPKDFNKFKSMHMFDIVVKNRNIFEVRDM >OMO53661 pep supercontig:CCACVL1_1.0:contig15171:69615:71173:1 gene:CCACVL1_28459 transcript:OMO53661 gene_biotype:protein_coding transcript_biotype:protein_coding description:SART-1 protein MGSDRYDSDVSRGNWDGGSYSEELELKQNDKHHDLAKKKKNKGSREEEEQESLKKKSDGVSEIKKNRKLDKEKALQLSKIFEELDNNLFQEENQDKDESVHHDLAGAVVLTLKDQSILVNGDINEDVDVLENIEIEEQKQRDEAYKAAKKKTNGVYDYNFHDEYDPTKNRVLEDLNIARKISSDYYTQEEMLVKFKKTKKKKALRKKEMLDIDAIEAEAISAGLGAGDLGSRNDAKRQAIREEEAKCEAEKRNRAYQLAYAKEVEASNKSPLRSEEFFADDEDDLYKPLIEQGNWPLKNKKKKNQLGNGSENEAGQRKKVIDASPDEKPVNDDDHDKDEIVPDETMHEVAVGKGLSGALKLLKDRGTVKEWGDRNMDNKKRKVVGIENDGFKDIRIERIDEFGRTMTQKEAFKKDSHKFHGKNPGKRKQEKRMKKYQEELKLKQMNSSDTPSLSMERIKEAQIQLKTPYLVLGGQSRTNK >OMO53668 pep supercontig:CCACVL1_1.0:contig15171:112389:113697:-1 gene:CCACVL1_28466 transcript:OMO53668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MALRPIDNALPTTPERPKKQAKVSVPIQKKASEIGVTDENKAPLPPSADASVDYIPSENLKPIQDPESNIQSLVEGLDSKDWVKLCESLNDARRFSLYHSALLLPILEKVMLVLVKAMKNPRSALCKTSIMAASDIFNAFGEKLLNSTDSGAFDQLLLQLLLKASQDKKFVCEEADKSLNAMVKSIAPLPLLQKLRGYVNHSNLRVRAKAAVFISNSVSKMGREEIKEFGMVTLLQMAVDLLNDRLPEAREAARSIVLSVFEGFKEDEENEEEAWQSFCQANLPLIHAQSMVKVVFPSKV >OMO53673 pep supercontig:CCACVL1_1.0:contig15171:140073:141631:1 gene:CCACVL1_28471 transcript:OMO53673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFQPMNWINTLVWHLKRQQSSISENKIITVLPIRAAGDNMLNIADAMKRFYKECIAVDPDPDKFEVYPDDDYRVMRIKASIAQSKSEFVACICIGTLEITDKTPLKVLEILVEKNVQLLFSSLGGPEEPEQEHYNYYLQEASRSDTLSFVISRPMTEEEVEYFIISGTASNENKVKLQSTAVSGFRIMPNGPGGLNCNGAVVTCVNQLNRLPGLDFRQYLNQVVHAINGINLLPSSLFQEADLEKFLLGQHEAVPQPQPQPQPQPEAEEQAPEELPQQAAQEQAEQLEEEDQLEEGDQLEEEDQLEEEDQLEEEDEVEEEDQLEEEDQLQEEDQLEEEDQLEEGDQLEEEEQVGEAEQVGLQLAFPTIRQGFEIIRAGRKERYYFKDNYKRN >OMO94610 pep supercontig:CCACVL1_1.0:contig07827:3162:11895:-1 gene:CCACVL1_05906 transcript:OMO94610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFIRQEVEENAHQISVSAEEDMIPQKLEVIVVQDYSGVSYRENKE >OMP01738 pep supercontig:CCACVL1_1.0:contig06376:5699:9133:-1 gene:CCACVL1_03012 transcript:OMP01738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMTTAAMAIPSAAVAPVAPATVGGSFGNVSLYVGDLEQNVVEGQLYDLFSQAGTVVSVRVCRDQNKRSSLGYAYVNYSTPQEAANAKETFNFAPINGKPIRIMYSHRDPSIRKTGYANVFIKNLDLSIDNKALHDTFAAFGNVLSCKVVTDNSGQSKGFGYVQFESEEAAQHAIDRLNGMLINDKQVYVGKHIRRQERLPNMTPKFTNVYVKNLSEKTTDEDLKEVFEAYGTITSAVVMTDQNGKSRGFGFVNFQSTDAAAVAVENLNGIVNDDKVWYVGRAQRKAEREAELKAKFEQERISRYEKLQAANLYLKNLDDSIDTTDKLRELFSEFGTITSCKIMLDPHGVSKGSGFVAFSAPEEATKALAEMNGKMIGKKPLYVAVAQRKEDRKARLQAYFSQLRSHGAMTPLASGIPGYPHATAHRLGQPVYYGQGGPGLLQPQPAGYGFQQQLLPGIRPGLTQNYFVPYNVQRQGQSGQRMGVRRGGNSHQMQQQQMLMHRNTNQGFRYMGNGRDGLDPSAVPIMPLPFEVSRMPVNPIDVQGPNLISALASASPKKSNEMLGEQLYPLVQRFEPKHVAKVTGMLLEMDQTEVLHLIESPEALKEKVAEAMAVLRDSAAAGDGDVSKELGVVGTD >OMP01741 pep supercontig:CCACVL1_1.0:contig06376:21443:22728:-1 gene:CCACVL1_03015 transcript:OMP01741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDLRSFVQTFNMSRKFTDQDQPFDPDIEAGDLENQRKRRSDWTEYMDPEDYRDDRVALQEEERGGDDLEPKIVTELPPKEKFKRPKLNNCESGEDGDGQFYKPVFSKRNSRNDLLISQDDKAMPIKQQQQAMGDITTGIGELRDSKAATAKGNSKWRDYITEQGDFGITNCWTFGLCTHDSLAQHRLLSWKLELSKEKASPSIVKQEKIAMLNKSCFSYTNFKDDMMKKWGDAVLETESNYQMVEDDIHPDFI >OMP01743 pep supercontig:CCACVL1_1.0:contig06376:32543:34125:1 gene:CCACVL1_03017 transcript:OMP01743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSHIENVLWSQDQIQERVAQIASQITDDFKAAPDPPLIVGVATGAFLFLADLVRKIRLPISVDLVRAQSYGSGTVSNGAPTISLDLKLDVKGKHVILVEDIVDTGCTLSCLIAHLESKGASSVSVCAFLDKAVRRKVHIELVGDGKFYKGFECPDYFVVGYGMDFAELYRNLPYVGVLKPEHYK >OMP01737 pep supercontig:CCACVL1_1.0:contig06376:1585:2739:1 gene:CCACVL1_03011 transcript:OMP01737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDDSYTDRLLYKCCSSVAE >OMP01744 pep supercontig:CCACVL1_1.0:contig06376:35026:37129:1 gene:CCACVL1_03018 transcript:OMP01744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein/Hyperplastic disc protein MKRGSKSLVLNGLAFSSKLVSSWTKAGGTPIKPFSLIRPFSSKSSTKSAKEESFSPKSKRDSFILEHFRQRQLKGALKSNSTVVEKAREMEAVEKARVVSSFQELGLKAEIIEGLSEMGIWVPSEIQCVGIPALLDGKSVVFSSESGSGRTLAYLLPLIQGFQVARFISHQAKMKSATKIASDKSRTSENLPNDSIGMLVATPSETIQFIEEGSVVPDSIKYLVLDEMDTIFDHGFGSEIHKILSSLKKHASKGKDVALQTVLVTSPITKILAKQISPLMEHLEQNNAGKVAAMLLEMDQQEVFDLMESLDAIKIKIAEAMDSLDTS >OMP01742 pep supercontig:CCACVL1_1.0:contig06376:24902:26474:1 gene:CCACVL1_03016 transcript:OMP01742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEEINQRINNHVEEVREKYGHPVEYDPQNTLFTNKMRAFLNRASRRSTRVSIRQNACWHERRLSDQRVTDGSLRIGESRDNSRNRVIAGAQLQSIEQESQQAGEPSFTKLPPILEPQSQEFNAQMEMLALGTLEEQDDENVESTTVTDQFNTEQPPAVGEGDVTTERRAEINEEVNLGEEYETAGGENQGPEVRVEEDQEGEQQHLIIATSQNSLDAQIAAFNSTIERMQQINGRHVDGFENTADYDDWAFSLAREQSKFEFICEELVRQGEQMLDGLQRSHQNDPYIQTFDNVPGWIEVPDGGTLFTNARLEGSNPEMGESSAMGALRNREQDTTHQEGVLFQLEMMMQNEQQQTRHAEVGNSPHRFNMWEINHPQIEAAGDEEQKVAVEPHQELSLNSPGFRCAVNEEDIVSVFLKDTPSPESKDHSTDQVQSPGNERKRSRGKEQVLKMSCPRKGGQDKGEKLQKMKQNQKLRV >OMP01739 pep supercontig:CCACVL1_1.0:contig06376:10362:10793:1 gene:CCACVL1_03013 transcript:OMP01739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEVFIGSIDQGNTSTRFILYDKSARPIGSHQVKFTQCYPEAGWFPEDFGLAAVKLSCSAFGVDFCF >OMP01740 pep supercontig:CCACVL1_1.0:contig06376:15457:15690:-1 gene:CCACVL1_03014 transcript:OMP01740 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPR1-1 protein MDYGNEISSSLSFASSSYPSNGSESHLTEAAIDHRVSNLETLSLSKLSSSLEKLLLDEEYDYNDAEIVVEGNVFPPF >OMO79375 pep supercontig:CCACVL1_1.0:contig10429:5731:7168:1 gene:CCACVL1_13709 transcript:OMO79375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MVAVFNFDKHYFNVLEVNQTSYETCNDQTFVRNITRGGRDVVELTEARPYFFLSSGGYCFHGMKVAINVEIHQAPAPAPAQINVSPSNSGCSMDLLINHRLRRSEMKLVAF >OMO79374 pep supercontig:CCACVL1_1.0:contig10429:2117:3871:1 gene:CCACVL1_13708 transcript:OMO79374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MERSKMMLIMAAMAFALVVMAPNVDAKRFIVGSNMGWTTNVNYTIWAQDLHFYNGDWLFFVYDRNQMNVLEVNKTDYESCNSDHPLHNWTTGAGRDVVPLNVTRHYYFISGKGFCYGGMKLAVRVENPPPPPVASPVKSSSPSSIYRGQLVLPAVLAIGALWDAFVRVL >OMO79377 pep supercontig:CCACVL1_1.0:contig10429:13585:18213:-1 gene:CCACVL1_13711 transcript:OMO79377 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MPPKPKTVPDEVIAQVTAAVAAQLQELQISLDSKYASLDARYTVITSAITEQNSSIYDQVARLDKAASATPPSALLTAQTLAQKTPSSSQFPSPPDALHIKSPKIHLSTFDGTNPLDWLFQAEQYFSFHAIAPEQRLPLVAFYMSGLPLSWFKWMHRNNQLSDWASFASALERRFGPSSYFNHEAALFKLKQTSFVAHYRGEFEKLANKVDGLSTTSVLNCFVGGLLPQIQREMSTLKPQNLSEAGDCAALIEEKLADSSSSASYPVVAYSRAPLPKPTLTLPQPKPPLLSTLPKLPPPSQPKPISAMPIKRLSPTEMQARRAKGLCFNCDDQYKPGHWCRTAPLLLLQTEDEPDSPLESADPAAFSLASIPLPPPPDMDETDPSSFHVSLHALDGSSSYQTMKMIGYLMGHKVRVLIDSGSTHNLIQPRVARLLGLRLEPAPPFSVVVGNGDRLSCSGKIPSINIGLQGHGFTLDLFLLDIWGAEVVLGVQWLSRIGPFLTDYKALIMTFYDTNGHLVTLHGDKPAQISLATCQQLKRLTHTGSIISAHLLTLSEIKSTNASPFLVPEALQALLTQFQDLFAEPQGLPPFRMQSHHIHLQPGTKPINQQSRIAFLYQLIDELHGARVFSKLDLQAGYHQIRVALEDVHKTAFRTADSHYEFLVMPFGLTNAPSTFQAIMNDVFRPYLRQFVLVFFDDILVYSPSMETHSEHLRIVLQLLAHHQFYVKLSKCSFGQTSIDYLGHVIGDAGVHVEPSKISAVTAWPTPTNLKTLRGFLGLTGYYRKFVKNYAHIAAPLTNLLRKDNFLWTSEAANSFQALKEALTSAPVLALPDFELPFAIEADASNVAIGAVLTQAGHPIAYFMLSYLDELREFYATNVQGQQILTKANSQSDKGFSMHDGLVFFRGRVVIPEGHALQLTLLQEYHASLIGGHAGVKKTLARLAANFYWPKMRQSIEQFIQACSICQQVKYEATKPGGLSTPLPIPSQIWQDISMDFITHLPSSYDKTDIWVIVDRLSKYAHFIALPPSYTASSLAEIFCKDFCKLHGMPTSIVSDRDPKFLSAFWKELFKLQGTQLFHSSAYHPQSDGQSEVLNRCLETYLRSFASDKPKQWTKFLHWAEYSYNTSKHSATGYTPFEAVYGRPPPTVLSYLPGTTKVVQLDASLLERQQLLSSLKTNLARAQNRMKMQYDQNRPEKQFNVGEWVFLRLQPYRQMTVHRRSSQKLAKRFFGPFQILRKLGPVAYELKLPIEAKIHPVFHVSLLKKCYGSPEASFSPLPSTFVNDQPVLEPSAILDTRQTVSNGVAVTQYLIQWKNLATTEATWEWKEDMKANYPLFNLEDKVALEDHGNDGLTLRSKSSRPKIAPVWTKDYELSSIILLE >OMO79376 pep supercontig:CCACVL1_1.0:contig10429:7546:8649:-1 gene:CCACVL1_13710 transcript:OMO79376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MNPLMKTSSINTMKSLKNLHNSRIPHRNLAVSSHPLLKTPHHTIPTQLIGSSAARSFSTESDKYKIRAPINWGIRFVPEKRAYVVERFGKYLTVLKPGINFLIPIVDKIKYVHSLKEQAIAISDQNAITRDNVPILIDGVLYIKILDPLLASYGVEDPINAVMQLAQTTMRSELGKITLDKTFEERERLNKSILDAINNWPLRTDWGLECLRYEIKDVNPPKGVKFAMDLQAEAERKKRAEILKSEGQRQASINIADGKRNAVILESEAAKISQINRATGEAEAIIANAMATAKGQKDVSNAIGSSRGKDAAALRIAEQYVGAFSNVGKKSTTNLLVSNVGNPAGMVAQALGIYKNTVKKNSGDQMQ >OMO68077 pep supercontig:CCACVL1_1.0:contig12288:39754:51734:-1 gene:CCACVL1_20095 transcript:OMO68077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDAKPLKISKKKAQDAKSFISQDKTKGKSTLKERQEKKYPFPDSDVASMLDELLKSKVIELPEMKRPEESGKVDDPNYCKYHRLVSHPVKKCFVLKDKIMELHSEGLIEFEEAVASSNVASITRVTPEYEALTMAIKFVKAGYDHEDVTKMANETRTIWQKKVKPSKQGLGFSPVKLKIHKKTATYITIDEVDENDESLVRSPRISAFDRLGRLIDANPTMKLRLPQYKDENYL >OMO68076 pep supercontig:CCACVL1_1.0:contig12288:15645:15716:1 gene:CCACVL1_20093 transcript:OMO68076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNSGDLQAVPAEIYACSIGKC >OMO49728 pep supercontig:CCACVL1_1.0:contig16423:31596:31895:-1 gene:CCACVL1_30830 transcript:OMO49728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLACLACHSVESPSHSFRSYSVSSSDNEGRCSAIANCLARKTSLPAARPTITATSKVTPQPNFQNSVGMAGPPRLVRSRAVRRDLVRDWNFDEVVMER >OMO49727 pep supercontig:CCACVL1_1.0:contig16423:23129:25989:1 gene:CCACVL1_30829 transcript:OMO49727 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MLLSTRTSQLHSIGSVPNTYSHLPQDLRDLFLQFASVFDTPQGLPPHRAQDHAIPLKDETQVVKVRPYRYPTIQKDVLEKMVAEMKTSGIIRDSNSSFASPVVLVKKKDGSWRFCVDYRKLNKLTIKDKFLIPLVEELLDELHGHFEFLVMPFGLTNAPATFQGLMNTVFQPYLCKFVLVFFDDILVYSKDWKSHIQYLTAVFELLRANQLFVKLTKCEFAARKVEYLGHVITRDGVAMDDSKVQCILQWPIPKTLKELRGYLGLTGYYRRFIQGLFAPVLALPDFNATFTLESDACSVGIGAILSQNGKPIAYFSKALAPRHQVLSIYEKEMLAILAAVKRSSYLLGRKFHIKTDHESLIFLLDQSTSTPAQHKWLLQMMAYDFDIVYRKGLLQPLPIPDKVWIDVSMDFVGGLPKSHGKDTVMVIVDRLSKYAHFIVLAHPYSALTVAQAYLDHVFKLHGAPSSIVSDRDPIFMKPKEWTKWKATAELWYNTSFHSSRHATPYKIVYGHPQPMHLPYLPGESKVDTVDRSLTAREATIQNLKFHLQRAQHRIKQQAEKKRSDREFIVGDFVYFKLQPYRQMSVVQRSNHKLSARYFGPYQIVKKVGKVAYELALPNGSKVHPIFHVSQLKKHLGKHPVQAQLPLIDAEGHIAKEPVVILDRHITNKHNKAVTEVLISWSNAFAEDATWELLYEIQKKFPNFNP >OMO49729 pep supercontig:CCACVL1_1.0:contig16423:56401:63921:-1 gene:CCACVL1_30831 transcript:OMO49729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MCSMCHYCGAELTKSGEDKRKWENANALISNGDPTSSCRLCWERQGREFVVHDGVSPYATPMISPTTSLSSSDRSYSSCSEFSVDINAYDRGDPEEASKKSHGELKFSNGRLQHLSSEGPRKRIDSFNVMSESNLRNQRNSNNMDIVRDVEITEANSDHEVKENVVENSPRTFDEVSRFSQSFKGEMDSQIWDPPEPENPADDSEGTVAYDDDDDDECGDGTKWGKPSSLSHIKDENFGSYSFKEEKKRAMEEVINGKLKAIVSRLLKSVGVANSVKDGSSWVDIITSLSWEAALFLKPDAIDGKAIGPDGYVKVKCIATGSRFQSELIKGLVFKKHAAHKHMQTKYKNPRLLLIQGVLGQSSSGLSSFNSLDEEKGRLNSFIEMIDMCQPNVILVEKTVSRDVQECILAKGITLVFDMKLHRLERVARCTGSQIIPYDTLINHKLKQSDSFKQCDSFHIDKFVEEHACFGEGGKRPSKTLMFLEGCPTRLGCTILLKGSHSEELKKIKCVLQYAVVMAHHLILETSFLIDQKAMFSTVPFTRKVDLLPVGCKSYALETDNLSVPCLEESTAEIRSHEIEIPISNGFHEEGHRKNGELDGDNIAKSGLEYSLALSLEPYNPAIFSGLSSISASLKKVIGNRLSLASAAPYQSLSTYFGLNGRESNLIEAAPAMQSFEGSEQFDVESKSSPAEEKSLDDGQPQSFPASSEAPLNMAVNGLDDEENLQNKEDINTMLDSQSILVLMSSRNALKGTICEQSHFSHIMFYRNFDVPLGKFLWDNLLNQRSQCAICGELPEAHFYYYAHHNKQLTIQVKHLSKHLPGEAEGKLWMWSRCGKCNTGNGISKSTKRVLLSNAARGISFGKFLELSFSDHSSSSELSSCGHSLHRHYLYFFGLGPMVAMFSYSSVITYTVSMPPQQLEFSSKSVRPDWLKEEFENVYAKGKQMFGEVADFLGQIRSQFEGSTLNLKGSLKEFSDVEEILKLEDSEFEVTMKNAVAKNGNADFGSQKVLSLNRLRWDLLLESFIWDRRLHSLLLPDPTVVITGASNKAVPQQLLSHMTSADGKDIGKETNFRNGDKGSDSTGNLTVEPGSILECNESSCDEKSLDIPIQISEGCDSIHGNSTIIENIEKPTVDGACPVKSSNLGFVATSNVSVHPHFGDENYQAEDAPMSNPLQGERTIPISTDDNIVDLNGSRRGGSPLSLLSRLENMDGWFWMPFSEIRQIYMKDVFRGNVPKFESIFSYTPAQISTGYQLIREEGSRLHIPLSINDYIVSDYEGELSSLISCALALLNNLSALTEVPNEDGRKEKIVESLRSLIRVPTITSSHWSSSGSFDSDSVSSLSISSEESRYSSFDGLNLLDSLVPPDALNIEVSLGVSKSLGKGKYSVVCLYANQFRDLRDRCCPSELDYIGSLSRCRNWDAKGGKSKSFFAKTLDDRFIIKEIKKTEYESFEKFALHYFKYMNQSFDSGSQTCLAKVLGIYQVVVRQPKTGKESRHDLMVMENLNFGRNIIRQYDLKGALHARYNSVADSPGEVLLDQNFVNDMNSSPLYVSNKAKRLMQRAVWNDTTFLNSINVMDYSLLVGVDMQHRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNVLPTVISPKEYKKRFRKFMSTYFLSVPDHWCSQESSDPCELCGTGDDLPRPSPLASQCK >OMP09772 pep supercontig:CCACVL1_1.0:contig03025:787:852:-1 gene:CCACVL1_01041 transcript:OMP09772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPLTVDLGTGDIRHMSLTH >OMP10824 pep supercontig:CCACVL1_1.0:contig01885:526:630:-1 gene:CCACVL1_00779 transcript:OMP10824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVATIKRLATTAGRYALLSRDPYSNQKTKGPY >OMP06690 pep supercontig:CCACVL1_1.0:contig04850:3952:5004:-1 gene:CCACVL1_01468 transcript:OMP06690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MKRKSMECSDQEEFLSCRQLPGEHWYQYWKRYSAICEFYIDCNLPETELVPSFYDGLSYSNRATIDAASGEQETLTEQEAKLRMVHDQEQLDDCEQLPEEHLHQYWERFNKICDSHPHHGYSEEILIGYFYSNLEPFEKYKIEIASGGIHMHSESFFTKTLDEQKTIIEEAVAKSAKEASIKATSSWEEPVQSEPTLPKEISKIVPEEPEESKEDIKMDITPPSPPSFAKPEDEPQDDEQLGYPKLKELSVLNFVDNPLLVHKDRDFLGINKLLESYYFEEINMDQFFLEDKKICRHEDTFQRRDENNANQECQKHPLHQPLTATKMALSFLAIHGVALNKIGFHTRDTR >OMO73047 pep supercontig:CCACVL1_1.0:contig11315:16829:18582:1 gene:CCACVL1_17484 transcript:OMO73047 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEEAASSSRQPLGSRKAKINVPIGYRFKPRDDQLIVHYLKPKAYNQPLPPNTIEDVELYSYSPEALTRNFFVSPFYSVSMLDNWVLCKVYNKKQGKNQDGETSGRGTTADEDEGEDDGDGGAPPSKEEEEEEQQSSSITNAASPQIAVDQKQNHQHYNNMMMLLQSSYPPEMPYYSNNGYDNGASTFGFSDFSGCLGLGQDLACYGNFVPKSSILPPPDPDQFDPTGSKYPLNMMITDPSFTDDERNYLLELLEKLNTNNSFNNGLEDKNTNKRL >OMO73049 pep supercontig:CCACVL1_1.0:contig11315:40083:42880:-1 gene:CCACVL1_17486 transcript:OMO73049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MEGGDLNNVTTPLLSSEGEKLIQNGKIECYNSVNALKSDFFSKLPDKVRSGLDPEIPFHIDLSKTTGLIEGEKEYYEKQLATLRSFEEVDSLESPHVIDEARDSEEQAQHERAMNISNWANGFLLAFKLYATVKSGSLAIAASTLDSLLDLLAGGILWFTHLSMKSINIYQYPIGKLRVQPVGIIIFAAVMATLGFQVLVEAVEQLIKNKPSENMTSQQLIWLYAIMLTATAVKLVLWFYCRSSGSKIVRAYAKDHYFDVITNLVGLIAAVLGDKFFWWIDPSGAIILALYTITNWSGTVLENAVSLVGQSAPPEVLQKLTYLVLRHHPQIKRVDTVRAYTFGVLFFVEVDIELPEDLPLKEAHSIGESLQIKIEELPEVERAFVHLDFECEHKPEHSVLIRLPSSQP >OMO73045 pep supercontig:CCACVL1_1.0:contig11315:3968:10918:1 gene:CCACVL1_17482 transcript:OMO73045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIAQRNEPYRNASFGFWSKHSDDVSYNQLQKFWSELSLQARQDLLRIDKQTLFEQARKNMYCSRCNGLLLEGFLQIVMYGKSLQQDGVAVNLRYNRSGVSKNQINGRLSMTNGSQDEIQDPSVHPWGGLTTTRDGSLTLLDCYLCSKSLKGLQNVFDSARARERERELLYPDACGGGGRGWISQGIASYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRQSLLRMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFLYEVSDDTVQADWRQTFADTVGNYHHFEWAVGTGEGKSDIMDFKDVGMNGSVQVSGLDLGGLSSCYITLRAWKLDGRCSEHSVKAHALKGQQCVHCRLVVGDGYVTITRGESIRRFFEHAEEAEEEEDDDSMDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLALKLLEERVHVACKEIITLEKQMKLLEEEEKEKRDEEERKERKRTKEREKKLRRKERLKGKEREKERKCVESSITLVALDVSKEEEHLPSIEVEENKSTSCRDSVSDNGDIIMSRPGSPDIQGEQFLDGDSTSSLQNHSLDSPDGEGTRVKDGNGSFTMEQSKFSRRRLKFRKDGQFDPSLKWPDRRWFAVVPESTPVNRTEPRYQSENFEASSRSINGLNRQVRLNSAKSNGRNSIVKYAEKFQCSNSRSDRYDSYNCSCSQHNEYRAKIEPHASVTRAGREPKSVSKSESALDVSKQVYRGNKYNRQDYMREDSGKLKSKIITTNNPSGRDSPYSKKVWEPTEAQKKYPRSNSDTDITLRSSTYNEGATEPDNDFVKSSGETCSSEASVNLGEIDHEHSNLNKSRSFSLATEEECHVELQDQCSSPNPAYEEVGICPDRNPTLNGISHSMMSSTSNSDNCSSCLSEGDSNTSSSNHGNLESSSTSDSEDASQQSDGRDTAVCLQNGFSEVQVEGIDRKQDMNGGVALKSQTLFGHLPDLGGNKVPGNLLTQAAENSDNGKPTAVMGSQHQGMFTSVHNQHIQFPVYQAPSTMGYYHQNPVSWPATPANGLMPFPPPNPYLYTGPLGYGLNGNSRLCMPYGTVQHLATPLFNPGPVPVYQPISKANGLYAEERTQIPKPSTAKEAFVEVNTERVVPGRLHPKEQGANGEGMQNDVSAKSDMDNTGFSLFHFGGPVALSNPVPSSDEIVGELSSQFSADHVENGHACNKKETTIEEYNLFAASNGIKFSFF >OMO73046 pep supercontig:CCACVL1_1.0:contig11315:11760:12765:-1 gene:CCACVL1_17483 transcript:OMO73046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMRSLLLPSALLLPSPTRVSLPRLPQLQHIGTGNSHCDRYHASPFHLSVPSGPSCKSVCKHRFLAGAPNATDEGAVSVIKIEDFYEKDWSFLDSDELSTDQVKQNIDRIISAGEIEESSRVLVSIGSEGFVDHLVESSPSQLLLVVHDSIFILAGIKEKYDKVKCWQGDLIYVPEKWSPLDVVFLYFQPALPFKLDQIFEALAKRCSPGARLVISHPQGRQVLELQRQQFPDVIIANLPDKTTLQKVAADHSFEMTDFVDEPGFYLATLKFIK >OMO73050 pep supercontig:CCACVL1_1.0:contig11315:44346:44930:1 gene:CCACVL1_17487 transcript:OMO73050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPQFLASFRYSDSLTVVGISFCTALVCEAISWLLIYRTNSYKSLKSSIDKAAKKLETMKTDQNPNKLSNKKSKTKKIDRVETSLKESSRDLSLFKFKSGAVVALVLIVVFGFLNSLFEGKVVAKLPFQPIAIVMKMSHRGLQGDDSTDCSMVFLYFLCSISIRTNLQKFLGFSPPRGAAGAGFFPMPDPKTS >OMO73048 pep supercontig:CCACVL1_1.0:contig11315:24554:29498:-1 gene:CCACVL1_17485 transcript:OMO73048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MHLRRHSREENAPELLPINLEIERTCRQNRARRRQEMDNNQNIEDPHNNPPPPPFNDNNQQPFPLQAQNIQNRPRLRDLHLPMVVANPSCIRLSLAARNYELKQTHLTMIPQFNGIAGEDALAFIRDFVSTVQTFPLFNISEGELCMRCFPYSLKNGARNCLLSLEPNSLDTWEMIYHKFLLKYFPHQRTIDLRAKISYFQQKSGEAFHEAWERFQKLLSQFPHHLFSNEFLVQRFYDGLTSLWQSLVDMACNGDYGDKTADEMKAIYAREVANEVGSQSTLAQQVANLTKQIALLVNRDNQPQAPEACGYCGLYGHSTNGCMNVDPTTMGYEDVNYVGGYAARDPNNDPFAPTYNPRWQRHPNLSWRNPGVGSSNQQMGPPGFRPPRPQFQQFPQQQPYIQNQQQQNTQPQPQVQNMKMEDMFKQLMGKMDAQFERQNKFEANTEAKFNQLSQGNQSTQASIRNLEKQVGQLAQQQNERAKGKLPSNMEVNPKEGCYAITTRSGKVIEPIEKQVKEKEVHRKDVPSEAEVEDEEVVEIPRANPIETSSSKSRDLSISTPMVKHYVPPIPFPQRLKKHQEEQTFQKFLSIFKKLQINIPFAEALAQMPSYTKFLKEIISNKKKLEEYAKVPLNEECSAIILNKLPRKEKDPGSFVIPCHFGQLHVFKCLCDLGASINLMPLSLFRKLGLSELKPTTVSLQLADRSVRYPVGIVEDLLVKTGKFIFPVDFLIMDVEYDMEVPIILGRPFLSTGGALIDVRAGLLTLRVGDEEEKFHVYKALKGPSTCDSCFRVEVINDVIEEATHRLTYKEPMEACIAHSLDENDDEDDVVECAHVLEGNGFKPMNRIPQFDELGQGKPKPLPSIEAPPELELKQLPPHLTYAYLGESNTLPMIIASSLNETQKDKLLRVLREHKEAITWTIADIRGISPSICVHRILMEENHRPSIEHQRRLNPNMKEVVKAEALKLLDADVIYPISDSPWENAIWVVQCTGNFSKMYDGNILRDGGEIYRDKTKVEVIEKLPPPTSVRAVRNFLGHAGFYRRFIKDFSLISRPLCNLLVKDAPFNFDQECLDAFNRLKKELISAPIITAPDWSLPFELMCDASDVALGVVLGQRKDKKLHVIYYASKMLNDAQLNYATTEKEFLAVVYAFDKFRSYLGVENVVADHLSRLEHEEILDSKPIDDNFPDEAIWAMQETQAPWYADIVNYLVSNIVPHDLNHHQRKKFFAELKYYMWDEPLLFRRCADGMVRRCVPEEEMAQILNHCHSLPCGGHHGADKTAAKVLQCGFFWPTLFKDARTFVLSCDQCQRTGTIGRRHEMPQKGILEVELFDLWVIDFMGPFPQSHGNVYILVAVEYVSKWVEAAALPNNTGASVVKFIKKNIFTRFDVPRAILSDNGTHFQNPHFKALMAKYGCHFKTGTTYHPQTS >OMO73044 pep supercontig:CCACVL1_1.0:contig11315:2234:2296:-1 gene:CCACVL1_17481 transcript:OMO73044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILRRGTRLKSGVVWKKDTT >OMO53017 pep supercontig:CCACVL1_1.0:contig15361:2965:7876:1 gene:CCACVL1_28944 transcript:OMO53017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSSSSELRKLVEAIKISEVVEGRAELIAKLADLQLSEQSDVKSLVESLIFLLLGTKVSIWCGKHLKMTVMSTEESPEDEHSSRFYQLLLDFLNFSAASFSTMTKYSVLVDDASLVVVEKFIQEQLNLAKDAISEIKIMDSIGAEVLKAALTVIDAVQRLCKEYLQVTDWECSGAELENNQGDMNSEQACFKNHITNITTVTIEKLFQLGILAANGGGSLVTLLNVSWKGVVSLLQLAKGKLMVHVKVADIIVTLLSLVNGSLKCAAEAWSSLKDTVSVIEARRIFLPIKFYLINAVKISLLYPSQAYMVYRDLTHCVLMISTFKLSLIHEMSMKNVSEVMTELLEKTSLDLLSSVLNSADVKQEFKYELLDWLFSDNFWSDDFNENPVDKCRTNSMDEILSASCEATPSSRAFILGRVALFSSFLRYSFDLEEQIKLGIARKLGWFMNIIIDEEVYSLILASQIPVLLVSGKTVELTWEPVFSSLLHALKTFMVVVSSTLAWEELKSFLVNNFLHPHFLCSEITMELWCFLVRHAEIELVIGIIDELCALMNLVASLESVFVPDSSLRKIARAVCMFLTFSTSSVVDHVYSSVMADERSQLSPVIYSALLLEGFPLTSLSQNMRSIAKEKIVTDYFGSIDSFDDKSLIVSSSEVGVPVLVLSASLQSLQVNISDIDAKTLKFLVAIVCGYRNSTDKLHKEVFCKLLSQTLGIISNLSHLYSSDEIEKVILELHDLFVSGPAASDSLLYQCKPALALFMAGLSNVSMMESDNCAKSSAVWGLYHMLLREQHWAFIHLSIKAFGYFAARTSCNQLWKFVPQDAALSYDLESGNDVNEERFMLEFKAFLEKEVALPAVTYSADQQGPLLEEGLVLKQKVRKISDINLEAASCDRMEIDDENQSKKRRKLPDGINKGVELLQNGLKVIGDSLTQWHPNQIESAELHDKFLTHFSSLENVIAHLVGLSGSV >OMO53018 pep supercontig:CCACVL1_1.0:contig15361:10331:10759:1 gene:CCACVL1_28945 transcript:OMO53018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MKISTRFLLMFCVAVGIIFMSVYQPENFFSKEYEVRVINGFTNNSSLPLVIWCISQQDGDMGGRALQEGDDFGWRLKTNIWGNSHYKCTMKWDAKRRSFAAFTVPRDSHRCAPLQKCSWLVKEDGFYFSSDEVNWKKDFSWY >OMP01363 pep supercontig:CCACVL1_1.0:contig06464:20949:21834:-1 gene:CCACVL1_03107 transcript:OMP01363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEFVAIESAKCCGYAAERMGNETLSTRRHATKLKSKATLPKSSGG >OMO54286 pep supercontig:CCACVL1_1.0:contig15017:60779:62202:-1 gene:CCACVL1_27919 transcript:OMO54286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MFANNNMNISSDVYKPMLQCQPQHVIQGKNLGISSHIENPPSKKRRICESFAANQWVPGPGPRAIKEVTTGGFKLTLSGSQLLGFANDSRNLCRIWNGINCSYGDKCRFLHVNPGKSKGISMINPLPGVAPLNQDRRSGEFDCKGYVGSLRVGDQGNSKLASWKTKLCNNWKMTGGCPYGNSCCFAHGRAELQNNGSYFALESWVVPTCALKNVQNDSIKSGNHFKQQLQETKVSLKWKRVMKLCDIYADWLEGMAILPSASFK >OMO54283 pep supercontig:CCACVL1_1.0:contig15017:8881:10183:1 gene:CCACVL1_27916 transcript:OMO54283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKKWASFISSIASVLYFLFIILQIPLFRVPCRFGICRTPIEVTSSQLIASELFPTYAVKALLYPGAIANAIIRNKDFPSYSKLLKFYSHSNVSKAPAATDLQRLEILAGSYLAVVGAFIGLLRNGRMSLFGTMLIIWGLLREIFLGGVGQSLSMVPTKAVQMYPTTTSAALVCAFLSVKRDVRRLIHGKRVKIL >OMO54288 pep supercontig:CCACVL1_1.0:contig15017:69316:72239:-1 gene:CCACVL1_27921 transcript:OMO54288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment MDKAKTLTVYCPATTNLEDDSEETKSSGLTSELIPNSSGVESLVRDICDTTSIAEFELKLGGFRLYVARNLAGKSEPPPPPLPLIRSPPVSVSTNKTVEAADSNGSVSAPTLAITKPLSSSGSIRSFLDRAADEGLAILKSPLVGTFKRSRTIKEQILPPLCEENQMVKEGKTLCYIEQLGGEIPVESHVSGEVIKFLKQDGDPVAYGDALVAILPSFPGIKKLLR >OMO54285 pep supercontig:CCACVL1_1.0:contig15017:38316:40756:1 gene:CCACVL1_27918 transcript:OMO54285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ic MDQLQNFQSLSINSQPSPSSTQLSLEERFKIIRSVGEECIQEDELLNLLKHKSEPISYDGFEPSGRMHIAQGVMKVINVNKLTSAGCRVKIWIADWFAQLNNKMGGDLEKIKIVGQYFIEIWKAAGMDLNGKVEFLWSSDEINSRAHEYMPLLMDIGRRNKLSRILRWTFVSWAWIKGKLICLQENMLPGLKQGQEKMSKSDPTSSIFMEDDEEEVVMKIKNAYCPPKIVEGNPCLEYIKYIILPWFNEFSLKRKVENGGDKVFKNFEELISDYESGEVNPDDLKDALSTALNKILQPVRDHFNNDPKAKDLLKRVKSFQVTR >OMO54282 pep supercontig:CCACVL1_1.0:contig15017:730:1842:-1 gene:CCACVL1_27915 transcript:OMO54282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSNLPFDILAKVFSFLSPDSLACARSACRHWHTCAGTYPSSLTLPQHHQAWFLALPTRSRGQRCYVHNPVINNWHMLSFDFLPDPIRPVASIGTLILVRPTNCTILQLALCNPFTRQFRYLPMLNITRTNPAVGVVTLESGHHGPNPQFRVYVAGGMSEAPRGGATYEATLEMYDSVDDTWQITGFMPTEFAVRLTVWTPNESVYSNGVMYWMTSARAYSVMGYEINSNTWRELSVPMADRLEFAALLWRNGRLTLAGGTSGGDACIWELKEGDDWCLIDKVPSELGMKFLGGKRSWRSTKCVGSEGAICLYRELGSGMVMWREIEEKSEKREWFWVEGCHFIGGKQVQNLAIKGVFLQPNLAPSCILN >OMO54284 pep supercontig:CCACVL1_1.0:contig15017:14174:15524:1 gene:CCACVL1_27917 transcript:OMO54284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Asp/Glu racemase MGECVARELKEAKLKPLEAGSPLRIGVLATVATLKAGFYQEKLQNEGFEVVLPDKATMEHTVIPAIDALNRKDMEGARNLLRIALQVLLVRAVNTVILASDDMRDLLPRDDPLLKKCIDPMDALARSTIKWAQQAMGEGT >OMO54287 pep supercontig:CCACVL1_1.0:contig15017:66314:66520:-1 gene:CCACVL1_27920 transcript:OMO54287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPILHGMPMLHSISSNYDTISEHVLADLHARACVGVRSFTVDSSLVARAAVAQASPDRITTSHLESII >OMO95994 pep supercontig:CCACVL1_1.0:contig07555:13972:19466:1 gene:CCACVL1_05126 transcript:OMO95994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGHCNLGKSYQNEGKVEEIVEAAFHFKPHVVVTVDSKGFSCCLLKQLRALNFWDMM >OMO95993 pep supercontig:CCACVL1_1.0:contig07555:11595:11940:-1 gene:CCACVL1_05125 transcript:OMO95993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGRSNGKTEMGNSLASY >OMO95998 pep supercontig:CCACVL1_1.0:contig07555:95037:101228:-1 gene:CCACVL1_05130 transcript:OMO95998 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MPPPKERRPSIPVRYGYINRAAPSRQQVSQPMAPRPVALGSMPRRKLYLTTTEDMPMKVSNRSFAWRTVQRKLDFAAEDVKPSMIITSAVQGTSKPMLTRSKITASAPHVLKPTVQFPTRSRSEIRPWLERRKKEIRTMAIQNEVKIRRDHAMESNRPKMVRPTVRAPVGVWQKVEHPKFPTPPIERNKKTWRRREFMRRAKARKELQDAAMEEEVTALRAKLLLEQARLDQRIAVEQAKLDKREALIREKEASLNEKIALLQKKEADGQDKTNNESPLYSCGSKNKGEESENDTLQANDFLEDEPLPVGNVEEIIHLPQKDDEDSGGKVEQPKDNVFIKPDQRVTYHIKPLYIKVHLDGVPMNRVLVDNGATVNLLPYASLKRLGRGDDDLIESNVTVSDFSGAITETRGIFATNLTVGSRTTISAFFVVDSSSSYNALLGRDWIHSNWCVPSSLHQLLSFWNGNELEVVHADRRPFKVESNVVEVRYYGETIGTTRFLGQDKYGRPIPMPMVSTSQDLKDGLKRAMEDLVRPSAIISYRPLEEKASLIANAAHKGNEITMEELDHAPMKLDDLKVEVQDSLLEIDLGTDGQHRPTYISQCLCDEDKIRMVALLKEYKDCFAWDYPEMLGLSRDLVEHRLPIIPGYRPYQQPPRRMANDKTGKIGVCVDFRNLNLATAKDEYPMPVVDLLVDRTTQHKILSFMDGHSGYNQIYIAEEDVQKTAFRCPGVIGTFEWVVMSFGLKNAGATYQRAMNAIFHDMIGKFMEVYINDVVVKSHNSLDHIDHLKQSFDRMRRHDLKMNPLKCAFGVTAGNFLGFLVHQRGIEVDQNKTRAIMQAQPPANKKELQRFLGQVNFLRRFISNTAGKTKAFSPLLRLNKEEDFIWTKEHQDAFEAIKNYLAKPPVLVPPWKDKPLYLYVSATTSSIGCLLAQEVERGKEHAVYYLSRALTNVETRYSSIEKLCLSLYFATIKLRNYMLYFSVCIIAKTDIVKYMLTRPILRGRLGKWCLALSEFGFRYIPQQVVKGQAVDDFLADHPCLDLGKDFEDAAEVLEIALVPWVLEFDGSSTSESAGVGIIISSPQGTWMMMAFHLDFECMNNQAKYEALIIGLEMLREMKASSVQIKGDSLLVVNHLTGEFKCTSPTLLPYFALATQLLEEFDNVSVEHVPRHMNEGANVAAQLASGITFRDEIMRKVVNVVKKKRTRSLAIHYTVLADELYRKGVDGVFLRCLDGEEAKAVVKDKHGPVQRVPSEDLHSIVKPWPFRGWAINLVGKIYPPAKGGVCFIIIATDYFTKCVQAKAMNNTKHTDIQKFIEEHIIYRFVIPETLTSDQGLNFDGEITKALGKKYGIKCMKSTPHYAQANGQAEASNKVILKIMDKLVLKHPGKWDEYISRALWAYRMSQRSSTGVSPFNLTYGHDAMVPMEILAKSARVAFQNDLTWEEYTESMMVALEDKDSERLSALDHLNAQKRKAERSYNKKEAIQVPEPEFDQVIEEEVDESMADVVVQGVSSSSLDDVVGSFDYWRVEDLMKSEP >OMO95995 pep supercontig:CCACVL1_1.0:contig07555:25487:27726:1 gene:CCACVL1_05127 transcript:OMO95995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CBF/NF-Y/archaeal histone MQADEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMNSLHLKHCVQSYNVFDFLRDIVSRVPDYGHGHSDAAGDDRTISKRRKSAGDEGNDSDEESKRSRMLDVGHAGTSGRGRGRGRGRGRGRGARIAERDTHREIEPEPAPTLQQCNKSHSTPRMVIDDASEAKEPMKESTIGEDDNLAVRNFDLNAEVDDHVDTKASAGAAAATTAGAVAATQPPSAEATTETKHEEYPGWSLSDMDKMAIDPIQLAQLGRRLDEDEEDYDEEG >OMO95996 pep supercontig:CCACVL1_1.0:contig07555:29578:38000:-1 gene:CCACVL1_05128 transcript:OMO95996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I25, cystatin MKPCITTSNPNTFLSFLSWDSVSCDQTSRFLRDYAVWEINAFLWISLITITFLLTHKLFKLFKLWTQGRKIPGPPCPSFYGHFNTISKQSLTELLSDSHGEYGSVVRLWLGPTQLLVSIKDPQLIKEMLLKANDKLPLTGKAFRLAFGRSTLFASPFDKKVEKRRESLASELNGRLLERVNVIPTKAMDCIMERLHQTIGKGSIDCKMVSQHMAFTFLGATLFGDTFFASSKATIYEELLAMVAKDACFWASYSVTPFWKRGFWRYQQLCTKLKCLTQDLVQQYSKSYKPYRHMDPISHNETANAGMEATVGSKSCSSIFLPDNFFLKDLSGHFNAREEPCGNIMSLMFHGCLTTGGLINNMLVRLVTHPEIQHKIYSEIIMARKGTEASNEHDTEKMPLLLATVYESARLMPSGPLLQRCSLKHDFRLKSGVIIPAGAILVVPVQLVQMDDSCWGNDAAKFNPYRFLSITEKISGSLNEDIPLAGPVEELINQRRGSFVLNNPNENPAFLPFGSGTRACVCQKFVTRGIATLLAFLLEQYEILILFYCHEILIFTAWTLDIGSYCLNQHDSFSAESTFCSEPMATLGGVHPSHGAENSVETENLARFAVDEHNKKENALVEFVRVVKASEQVVAGTLHHLTVEVMDAGKKKLYEAKVWVKPWLNLKELQEFKHAGDCSPSFTASDLGVKKDGHGPGLQAVPTHDPVVQDAANHALKTIQQRSNSLVPYELKEIVHAKAEELEDFAKLEMLLKVKRGDKEEKFKVEVHHKGEGTYHLNNMEPDHS >OMO95997 pep supercontig:CCACVL1_1.0:contig07555:90476:90636:1 gene:CCACVL1_05129 transcript:OMO95997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEVQVDRLNIVKANKMKELIFKRQNELEEIYKGIHMDVNSDTTRHILSNLVES >OMO95661 pep supercontig:CCACVL1_1.0:contig07628:10623:10718:1 gene:CCACVL1_05316 transcript:OMO95661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYDEADTSGAIGLVVRIGRGRENFKTEEQGN >OMO95668 pep supercontig:CCACVL1_1.0:contig07628:39093:39714:-1 gene:CCACVL1_05323 transcript:OMO95668 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylpyruvate dioxygenase MVLIVIGEPVFGMKRRSQIQTFLEHKDGKWVQHLALMTDDIFKTLREMKERSVVGGFEFMPPPPPSYYKNPKKRVGDVLSDEQIKECEELGVMVDRNDQGTLPTTFIEITQRLGCMVKDEKGKMYQKGGCGGFGKGNFAELFKSVEEFEKSLEAVKDC >OMO95669 pep supercontig:CCACVL1_1.0:contig07628:40027:40470:-1 gene:CCACVL1_05324 transcript:OMO95669 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylpyruvate dioxygenase MGKSNGFNNIVEFSNPMSDRFKIKRFHHVEFWCSDATNVSRRFSWALGMQLAAKSDLSTGNMAHASYLIRSGDCNFLFTAPYSPSISPTAATASIPTFDHATCRAFIASHGLAPRAVAVEVEDAETAFSISVAHGAKPSSPPIVLEN >OMO95667 pep supercontig:CCACVL1_1.0:contig07628:34080:34373:-1 gene:CCACVL1_05322 transcript:OMO95667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEHQSKGLSYWILQVRYATNIACRFSMGLGMPMVAKSDLSSSTVLYLKAFTGFHECAEFTTEDVGTSQSGLNLVVLANNDETVLIWWPYASRCLG >OMO95670 pep supercontig:CCACVL1_1.0:contig07628:46258:46939:-1 gene:CCACVL1_05325 transcript:OMO95670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSRLNRLVRPTIFIEIIQRVGCMLKDENGKQYQKGGCGGFGKGNFSELFKFVEG >OMO95662 pep supercontig:CCACVL1_1.0:contig07628:18570:20344:1 gene:CCACVL1_05317 transcript:OMO95662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAYMNIPWIIFFIQIILLLLPCESEAKVSAIIVFGDSSVDSGNNNFIQTIAKSNFEPYGRDFPGGTPTGRFCNGRLPPDFISESFGLKPIIPAYLDPTLNISDFATGVCFASAATGYDNATADVLKVIPLWKEVEYFKEYQKKLQAYLGEKKANWIVSEALYLISIGTNDFLENYYALPNRKSQFTVPQYQDFLIGIAESFVRQIYSLGARKLSLTGLPPMGCLPVQRSTNLKDPLQCMEERNRVALEFNGRLSVLATKLNKELPGLKVFKADAYNLLFQLINKPSNFGFEVAEEGCCGTGLFETGIFCSRHNPFTCPDANKYVFWDSIHPSERTNKLISDYLLPGLKSLFL >OMO95663 pep supercontig:CCACVL1_1.0:contig07628:26139:27642:1 gene:CCACVL1_05318 transcript:OMO95663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAPSNAMKVGNNNYIPTIAKSNFEPYGRDFPGGIPTGRFSNGRIPSDFISESFGLKPIVPPYLDPSLNISDLATGVSFASAASGYDNVTADVLSVIPLWKQVEYYKEYQKKLRAYLGDHDKANMIISEALYLINLGTNDFQINYYVLPVRKSQFTFQQYEDFLIGIAENFIRQIYGLGARKISFLGLPPLGCLPYQRSLNLEDPFNCNEKHNRDALEFNGKLKVLVAKLNKELPGLKLLFADWYHPLLQLIKRPSEFGFDVAGQGCCGTGLFESSIFCRLAPFTCPDASKYVFWDSNHPSERANKFLSDHLLPALKTLFL >OMO95664 pep supercontig:CCACVL1_1.0:contig07628:27979:29332:-1 gene:CCACVL1_05319 transcript:OMO95664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTTLMLGSPSWSAPTPCPTASKFKTKGFHHVEFWCSDATNVSRRFSWALGMQLAAMSDLSTGNTAHASYLIRSGDCNFLFTAPYSSHLSPTAATASIPTFDHTTCRAFVAAHGLAPRAVAVEVEDAGTAFSISVAHGSKPSSPPIILEDQVVLAEVFLFGDVVLRFISFNKGEPCPCFLPKFENMEEATLSYSYSLDNGIYRLDHLVGGVPDLGPVFSYLKEFTCFHEFAEFTSEEVGTVESGLNSVVLANNDEMVLIVICEPVFGTKRRSQIQTYLEHNNGEGVQHLALMTDDIFKTLREMKKRSAVGGFEFMPPPPTTYYKNLKKRVGDVLSDEEIKECEELGVMVDRDDQGTLLQIFTKPIGDRPTTFIEIIQRLGCMVKDEEGKMYQKGGCGGFGKGNFAELFKSVEEFEKSLEPNKDC >OMO95665 pep supercontig:CCACVL1_1.0:contig07628:31231:31482:-1 gene:CCACVL1_05320 transcript:OMO95665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ran-binding protein MEKETELNREREWPQASKAELERRMGNADGLESGGCWIPQSNIISSAAPDSVSHSNTLTFPPSPFPLSLPPLPSSFLPSNLTL >OMO95666 pep supercontig:CCACVL1_1.0:contig07628:31863:31943:-1 gene:CCACVL1_05321 transcript:OMO95666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLKQKPRIRQDLHRLPPHKPSPEF >OMO90994 pep supercontig:CCACVL1_1.0:contig08399:5634:6737:1 gene:CCACVL1_07239 transcript:OMO90994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDLENPLTNFNDFCPVAAAATTFPSLFLVESDHMPSPNYVDTVKARDLDVSFRRQAICSISQLSCKFDPFLSYLAVNYLDRFLSSQGMPQPKTWVFKLVALSCVSLAAKMMKTEFSLADFQGDEVFIFDAQTIERMKYLILGALKWRMRSITPFSFISFFIPFFKLKDPPLRQALKDRAVEIIFKAQMEKLFPAVHM >OMP08242 pep supercontig:CCACVL1_1.0:contig03914:886:1084:-1 gene:CCACVL1_01140 transcript:OMP08242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILKTLLNKLVKEGKGKAFNTLVDYYEEIEGFTF >OMO85213 pep supercontig:CCACVL1_1.0:contig09652:24653:24907:-1 gene:CCACVL1_10350 transcript:OMO85213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGGSLFSGNGPSYGGVGKPRKGGKFGNGNGNAGGSVITCNRRRADPIATSMPENDTTMNKAKTRRREVAMNTELLEFKIWF >OMO85216 pep supercontig:CCACVL1_1.0:contig09652:30722:33240:1 gene:CCACVL1_10354 transcript:OMO85216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEKQVVRPGTGPKPTPGQTVTVHCTGYGKNRDLSQKFWSTKDPGQQPFSFQIGKGSVIKGWDEGVMGMQVGEVAQLRCSPDYAYGASGFPAWGIQPNSELVFEIEVLSLKDINLQSFNVASVSLDL >OMO85214 pep supercontig:CCACVL1_1.0:contig09652:26853:27938:-1 gene:CCACVL1_10351 transcript:OMO85214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIITLPLVRSLLRGPSGSVSITIDHHQNSGSIDFEYLNLLWQDFANEGLLKKGHKALILSSAIKGVADGSSMFVNDDDDEIDVVLESDLEQPSSLPDEGFDFVFVSGSLDSKFVDRLVKIGGIIAMQLGDEISSGFQKQSDYRIVYLKKYTSTIVAMRKLGSSNNHLLDSLAKRRLCQLTMEAKKAALKGLEDVLFEPPRRAWAKSHAYLKKINFLPDLLRDSLEHYSRRVFVNVGPAEDKNVVMKWFDKNYPKRNQEFEIYSLEMETKRVSHHIDVSDWLMKNVKEEEYVVMKAEAELVEDMIERRAISLVDELFLECNNQWQDSGKKKKKKSKRAYWECLALYGRLRDEGVAVHQWWN >OMO85207 pep supercontig:CCACVL1_1.0:contig09652:8278:8616:1 gene:CCACVL1_10344 transcript:OMO85207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLSSFVLPMLLFATLLSFSVTEARKLEEVALPELPPGLPKPELPPLPKVELPPGLPMPELPPVPKVELPPGLPKPELPPLPKVPGDLPLPGGLPMPELPPLPKLPGGLP >OMO85208 pep supercontig:CCACVL1_1.0:contig09652:11754:12128:1 gene:CCACVL1_10345 transcript:OMO85208 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipid binding protein MASFNYLFLTFILALSFASFDSGLAARHLLQLPQMPPLPPLPSIPNLPQPTIPTLPTTQPSLPRPGALPPLPSLPNLPNFPTLPSATLPTLPPLPNMPSIPTFPFPTAIPSIPFLSPPPAPSSP >OMO85210 pep supercontig:CCACVL1_1.0:contig09652:15307:16179:-1 gene:CCACVL1_10347 transcript:OMO85210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate carrier 2 MQLQGESAVPKSFSPSLSPSSGHELRVGNVSITQVVSQPRVGPVSNWCQIIQSEGVAALFSGVSATILRQTLYSTTRMGLYDILKNKWTDKETGKLPLTSKIAAGLIAGGVGAAVGNPADVAMVRMQADGRLPIDQRRNYKSVVDALGQMTKQEGVTSLWRGSALTVNRAMIVTASQLATYDQVKEMILNKGVMNDGIGTHVTASFAAGFVAAVASNPIDVIKTRVMNMKVAPGEVPPYSGALDCALRTVKDEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDY >OMO85215 pep supercontig:CCACVL1_1.0:contig09652:28246:28356:-1 gene:CCACVL1_10352 transcript:OMO85215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIERFRELFLLWCRIRTTRVALVGGNHTAARFCTR >OMO85209 pep supercontig:CCACVL1_1.0:contig09652:13691:14065:-1 gene:CCACVL1_10346 transcript:OMO85209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMVVGKVGSEGKLGRGGKVSFGAVGSVLGNVGRLGSGGKVPGLGRDGKLVGNVGCGRFGIVGNGGNVAPPGKFGIGGSCWRRWRAARPTLMLENDNAMKNAKTKQLKDAIARDINDNKQIAF >OMO85211 pep supercontig:CCACVL1_1.0:contig09652:18875:19240:-1 gene:CCACVL1_10348 transcript:OMO85211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGKVGSEGKLGRGGKVSFGAVGSVLGNVGRLGSGGKVPGLGRDGKLVGNVGCGRFGIVGNGGNVAPPGKFGIGGSCWRRWRAARPTLMLENDNAMKNAKTKQLKDAIARDINDNKQIAF >OMO85219 pep supercontig:CCACVL1_1.0:contig09652:45081:47908:-1 gene:CCACVL1_10357 transcript:OMO85219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDSESESVRGREYGNGVLSSSKHGVKTDGFELRGQSWYVATDIPSDLLVQVTDVSFHLHKYPLLSRSGKMNRLIYESHDPDLNKIVLEDLPGGPEAFELAAKFCYGIAVDLTAGNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIKWAYTGKHNNVSSPKWNDLKDSSPSRSQPVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGASIMHYAAKWLPGLIKDSSGQGDDHMSMSSNSNSSGGSSSWKGGLHMIVAGAGNKEDTPSIQAKDQRMIIESLISIIPPQKDSVSCSFLLRLLRMANMLKVAPALVTELEKRVGMQFEQATLADLLIPSYSKTETLYDVDLVQRLLEHFLVQEQTEGSSPSRQSFSDKHMYEGTQRGTNPNAKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNALANSTLKDPAESQYQPMIPNRKTLLEATPQSFQEGWTGAKKDINTLKFELESVKAKYLELQNDMENLQRQFDKMSKQKQTSAWSSGWKKLSKLTKMTTIENQDIGPQMSTTADQTRKPPRRWRNSIS >OMO85217 pep supercontig:CCACVL1_1.0:contig09652:33849:34808:1 gene:CCACVL1_10355 transcript:OMO85217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dicarboxylate carrier 2 MGLKGFVEGGIASIVAGASTHPLDLIKVRMQLQGESAVPNPSVQVYRQALAMNSAVGNVSITQVVSQPRVGPVSIGVKIIQSEGVAALFSGVSATILRQTLYSTTRMGLYDILKNKWTDKETGKLPLTSKIAAGLIAGGVGAAVGNPADVAMVRMQADGRLPIDQRRNYKSVVDALGQMTKQEGVTSLWRGSALTVNRAMIVTASQLATYDQVKEMILNKGVMNDGIGTHVTASFAAGFVAAVASNPIDVIKTRVMNMKVAPGEVPPYSGALDCALRTVKDEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDY >OMO85218 pep supercontig:CCACVL1_1.0:contig09652:40247:41392:1 gene:CCACVL1_10356 transcript:OMO85218 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MVETNDSWFFPQQSSLQLLKSSCMSTSLEPRQPGCSPVYINPSAHMFSVNISMPGSLVPSVIPGIHARPANMAMPWSANISTLRTEPKYHPNGLHQQLDPCFPNFLPSPGSYLKEQPFMIGKGHGGSTANVDSGSLQKRLVIFDQSGSHTRLIYDSVHPPSQYATTAVTEVASSLDLHAAQAIDQRSPFTPSPPTLQEQCDENHLSVEESEMHEDTEELNALLYSDDDDDDDDDYDDDEVMSTDHSPVAIKRNFLNEDQIDDMMEEVASSNGPNKRQKLVNGWHKQSSMVDASCSVKLETSHKYDSDAESSYAIGQKEREEMDSLLHNKQSKKDKIRLTLKILESLIPGAKGNNPLLVLDKSIDYLKSLKLEAETLGVNHF >OMO85212 pep supercontig:CCACVL1_1.0:contig09652:22800:23147:1 gene:CCACVL1_10349 transcript:OMO85212 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipid binding protein MASSNLFILAFFVALSLSNIEVGLAARKLQQLPTTLPPMPTLPTTLPVPLPSIPNLPQPTLPTLPTPGSLPSLPNLPTAPKLTLPPLPSFPSIPTIPTTMPSIPFLSPPPAPSTP >OMP10481 pep supercontig:CCACVL1_1.0:contig02431:384:449:-1 gene:CCACVL1_00947 transcript:OMP10481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQMLVTIWVSTKRVWSLVKQQ >OMP11018 pep supercontig:CCACVL1_1.0:contig01703:3866:4012:1 gene:CCACVL1_00724 transcript:OMP11018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDQRVKDNWALIHAVDQANKANVPIVVAFNLLINSWGPKQGNWDSC >OMO72116 pep supercontig:CCACVL1_1.0:contig11505:29231:30508:-1 gene:CCACVL1_17939 transcript:OMO72116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRKICIGILVIFFITLLHQTTAAKERVIQYDEFNDKHDPNLVLLGNESRIENGALQLTPDSTNNGMLDIYHDKSGRIFYKESFRLWLENGTVASFNSSFVINNFRDKDWTPGHGLAFLIAPNISSIPANSYGQWLGLTNASTDGSLDNQIVAIEFDTNRQPFDPNDNHVGLDINSVISKANASLTDINIILSPEEVAKYSVWVDYNGASKLIEVYMVKEGEDKPSKPILSSTIDLKQYLKQDSYFGFSGSTGNPDIQLNCVLKWSLDIDILPRGQDKKWLKIGLGVGVPVATLLLAAIAIVVYRRRRKGSDEEADQFGKLKWLPGMPREFKFKELKKATNNFDESLKLGEGGFGIVYKGQLQFNENNNNSSRNAGGNEVAVKKFSRDSIKSKDDFLAELAIIHRLRHRNLVRLVGKFLPFFHL >OMO72119 pep supercontig:CCACVL1_1.0:contig11505:46689:49632:-1 gene:CCACVL1_17942 transcript:OMO72119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEVVQFDIGLGGGGSSGRDGDDDANIGFDMDEDMADSPAPSSIFGSNSNGGGFGRAGSEVYLPEGDLLDLEPYEGMEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRTITRVGCKASLSVKMQDSGKWVVSGFVREHNHELVPPDQVHCLRSHRQISGPAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRQRSLEGDIQLLLDYLKQMQAENPNFFYAVQGDEDQALTSNVFWADPRARMNYTYFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCAFLINESEASFVWLFKTWLTAMSGRPPVSITTDHDAIIRSAIMQVFPETRHRFCKWHIFKKCQEKLSHVFLKHPAFEADFHKCVNLPESTEEFESCWLSLVDRYELRDHEWLQMIYEDRRQWVPVYLRDTFFAEMSITQRSDSMNSYFDGFVNASTNLNQFFKLYEKALESRNEKEVKADYDTMNTSPALKTPSPMEKQASELYTRKLFTRFQEELVGTLTFMASKSDDDGDIITYQVAKFGEDHRAYYVKFNVLEMKASCSCQMFEFSGLLCRHVLAVFRVTNVLTLPSHYILKRWTRNAKSSVILEERASDVYTSYLESHTVRYNTLRHEAFKFVDEGSKSLETYNVAIGALKEAAKRVARATKNEGRPTMVNGRRGDSARDASRANISNHKMPSQPLSEDDMDKKIRELTSELEYASRKCDVYRANLLSVLKDIEDHKLQLSIKVQNIKISIKDGI >OMO72117 pep supercontig:CCACVL1_1.0:contig11505:33253:33972:-1 gene:CCACVL1_17940 transcript:OMO72117 gene_biotype:protein_coding transcript_biotype:protein_coding description:DC1 domain-containing protein MKIGKEILHPIHPQHKLKLEYTEIPFTCDGCKEAGIGLKYSCKQCEFDLHKACAIPSPTISHPFYKKCEFQFHYKPPGQCMRICDACRDDVQGFVYHCKACGFDLHPCCASLPQVLDDGKDNLFLCLKLSSSCLHCGGKGSGWCYRSQCKSYNLHVSCVKELLVESWQVMYLNADKNKVREMQTRIPSLNYRTRGNIHGGGKVKKCCELAGGAVRLIVSAILGDPTAIIAAVVGGLLSK >OMO72113 pep supercontig:CCACVL1_1.0:contig11505:421:621:1 gene:CCACVL1_17934 transcript:OMO72113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMFASLELANNVLTLELHQANGTDFLGFPEFQIFESRHRKANVNVAAIANLIVASIPWEDEAKY >OMO72118 pep supercontig:CCACVL1_1.0:contig11505:43218:45633:1 gene:CCACVL1_17941 transcript:OMO72118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MSASRQRTLGGGGQHVLDYLRRMQAENPSFFYAVQQGDSDHTGGSIFWADATSRINYTHFGDTVIFDTAYKTNRYRVPFASFTGLNHHGQPVLFGCALILNESESTFAWLFQTWLRAMSDRPPVSITTEPDRLIQTAVTQVLPETCIRFNRWSIFRETQEKMAHLYQSHPTFEIEFKKCINEAETIHEFESSWASLLERYIFIDKEWLQSMYNARHQWVPVYMRDTFFGELSVTDGSGGLNSFFEGFVNASTTIQVLIKQYEKAVASWHEKELKADYDTSNTTPVLKTPSPMEKQAANLFTRRIFMKFQEELVETLANPATKIDDSGIVTTYRVAKFGEEHKAHTVSFDSVEMKASCSCQMFENSGIICRHILAVFRAKNVLTLPSQYVLKRWTRNAKSGAVLEERAPELPNNSQESSTVRYNNLRQEAIKYVEEGAKSIHIYNVAMNALQEALKKVAAAKSQSPAAAGDGALSNGGNQDLHAAGDTQAVASQSAAEKEKKIRELSMELESTNQRCEVYRSNLLAVLRDMEEQKLKLSVKVQNARLSLKE >OMO72115 pep supercontig:CCACVL1_1.0:contig11505:25531:26529:1 gene:CCACVL1_17938 transcript:OMO72115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MEAASSQNGSSIMWFFRDKGFDDKSINEMFKKCKRLEGAQKERASENWSYLKSIGIQERKLPSVVSRCPKILTFGLNEKLVPMVECLATLGTKRREVASAIVRFPHIMSHSVEEKLCPLLAFFQALGVPEKQLGKMILLNPRLISYSIDSKLTEIVDFLATLGLAREGMIGKVLARYPFIMGYSVDKRLRPTSEFLKSVGLSETDLQTVAMNFPEVLCRDVNKILKPNFAYLQQCGFGDRQIAALVAGYPPILIKSIKNSLEPRIRFLVEVMGRQIDEVANYPDYFRHGLKKRLELRHKLLKEKDISCSLSEMLDCNQKKFLIKFGLFKGFA >OMO72114 pep supercontig:CCACVL1_1.0:contig11505:23457:24491:-1 gene:CCACVL1_17937 transcript:OMO72114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASQARVLTKLMKTLTLKRHQAISGAAPSLSLLQTRLFSDMRRPTRDNEPSNFNSNGDGDNPPEPLPNRPLRGQRPSNLSFRETQGAAPNSSGSSFQSGNTTFASDPNRKREESQSDENYLAMFKLGLDDKKGKQPSDSEEASQGSRTEQEDKLSPPQDADEIFRKMKETGLIPSAVAMLDGLCKDGLIQDAMKLFGVMREKGTIPDVVIYTAVVDAYCKAHKLDEAKRIFRKMQSKGVTPNAFSYTVLIQGLCRCNHLDDAIEFCLEMLEAGHSPNVLTFVGLVKGLCKEKGVEEAQTVIGSLKQKGYVVNNKALRQFLDRNAPVSPLVWEAIFGKKDRNPF >OMP07310 pep supercontig:CCACVL1_1.0:contig04530:922:1026:1 gene:CCACVL1_01331 transcript:OMP07310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPIVSRKNRSVGRKNPVNDSHRSLFEWGNSNE >OMP07100 pep supercontig:CCACVL1_1.0:contig04669:6:101:1 gene:CCACVL1_01377 transcript:OMP07100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKTIQNSTIGPRKARNNKYSE >OMO57338 pep supercontig:CCACVL1_1.0:contig14400:15835:15966:-1 gene:CCACVL1_25822 transcript:OMO57338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAHGPRKAARAPRGEMTGVGGVDGVKRRVQIGSINLKCLKK >OMO57341 pep supercontig:CCACVL1_1.0:contig14400:22751:27223:1 gene:CCACVL1_25825 transcript:OMO57341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDNYEILNILKGKVGEPHEAKNTGRERVFV >OMO57340 pep supercontig:CCACVL1_1.0:contig14400:17938:20342:-1 gene:CCACVL1_25824 transcript:OMO57340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSCVSTQAPVTPAKTSTSTTLLILRTPCFILRPPSNPSLSFSSSSFTPKPISAKPFPSRRRASPPQNYVYPDPIPEFANAETQKFKTALFKKLCKDKDTFGDDLDAVIDVCVEVFSCFLHNEYGGPGTLLVEPFTNMFVTLKEKKLPGAPAAARAALLWAQNHVDDDWEVWNSYSQK >OMO57337 pep supercontig:CCACVL1_1.0:contig14400:9358:10333:1 gene:CCACVL1_25821 transcript:OMO57337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKPTKNPPPKDQESSSSNGGEEEEEESKTGTQNTPQTKPVEEEGDGKSGGGDSDGESEFESDAGMAAKKSQEAIGTKMISNSKAAQTPSVAAGKRGRESDLAVADKVRRLRKKYRNLAERSNNGKDLLFSKAHDKKLYQLSKRIWGAKDTDEDMEDPKEKDLNLSDIVNNKSKSGSGGIDTGTGTAMVDFNRTEEMVLKDCMTRLTAEKRANMEKKLKVIQLESYLKRSRLIRKLVKSTLHAYKSK >OMO57339 pep supercontig:CCACVL1_1.0:contig14400:16360:17562:1 gene:CCACVL1_25823 transcript:OMO57339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAIDAAALVIQHPCGRIERLYWPIPASEVMRLNPGHYVSLIIPLPPVTTDHQDENHNQQDEKTVRFTRVKLLRPSDTLALGHAYRLITSQEVMKVLRAKKYAKTRRQQQLESNEKLQQIRQENQSSGPESEGESDREKTCQVIKHERQRSKATPANAAALRSKSWRPSLQSISEAGL >OMO57342 pep supercontig:CCACVL1_1.0:contig14400:27860:30161:-1 gene:CCACVL1_25826 transcript:OMO57342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSCSDRFTDLLCCEDSDEVLSGESPECSSELDSPAESCIEESSIAGFIEDERNFVPGFDYLARFQSQSLDASAREQSVAWILKVQAFYNFQPLTAYLSVNYLDRFLYSRRLPQTSGWPLQLLSVACLSLAAKMEEPLVPSLLDLQVEGAKYIFEPKTIRRMELLVLTVLDWRLRSVTPFSFIGFFACKLDPTGTFFGFLISRATDIILSNIKEASFLEYWPSSIAAAAILCAANEIPNLSLVNPEHAESWCDGLSKEKILSCYRLMQELVLDNARRKAPKILPQLRVTIRARMRSSSESSSSSSSSPNISYKRRKLNNCLWVDDDKGNSE >OMO64587 pep supercontig:CCACVL1_1.0:contig12791:9717:10334:-1 gene:CCACVL1_21657 transcript:OMO64587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRILSFLNMKEAVGTLVLSKQWKKFWTNHVLDLKTLDFDSDHFGTRWYDRALFIKYVDRVLQLRGPRFNRNVEEFSLKYNGLRDDLHRVSRWIRYAAHCKVQKLELDLYGNQPFGGEESYRFQISAPTLNTLSITFDSPDEFERCSKHIFIIQIPNLEYLTINDTIFASYQILEITSLVEANIANIGPNSGFINNGGISRVEAR >OMO64586 pep supercontig:CCACVL1_1.0:contig12791:725:6466:1 gene:CCACVL1_21656 transcript:OMO64586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSCMNGLCGASTSIEWRKGWTLRSGDFANLCDKCGSAYEQSIFCDVFHSKDSGWRECTSCGKRLHCGCIASRCLLELLDGGGVNCISCARKSGFNPIIGDEKPNGFGMVKGEAGQSQSNIVDNQLSGVSIENLKFIQLSNNAESLGLRQLLQLHNDESSGSLGQMKQEEVFPTPREIGSACISNINQASNGSVQAVKPNFFKPNVYEPLPQPNLSISLGGTLASQNAFSGSIVDEKGKMSSVLQQASKSRHLLPKPPKSVLATGLEVNAGMAPQIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLIMGFRKATNNASVQETLPSAIPNGTLSSESFFSGVFENLPIITGYSGLLQSLKGSNDPHLNALSKHLSSANGDISWQKSDKHEDRTREGLLLPSMLAPERKRTRNIGSKSKRLLIDSQDALELKLTWEEAQDLLRPPPSTKPSVVTIEDHDFEEYDEPPVFGKRSIFAVRSTGGQEQWAQCDSCSKWRRLPVDALLPPKWTCADNNWDQSRSSCSAPDELTPRELENLLRLNKDLKKRRTVAYQRPAQEHESSGLDALANAAILGDNVDNSGATSVATTTRHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQRNQQQQQAWGPREEAEVDSSSKHVSSHLDPSENEARSSANELESKSQSNINLPIKVEANKGGIDLNCDPDREDDSQLGTNRVSMMSLLQVANLPLETYLKENGLTSLVAEQQANSSSHAPPQTVDEGEAQDNNNSSFPSPTEEHESREEENGDTGSDRAENNEP >OMO82011 pep supercontig:CCACVL1_1.0:contig10078:31203:31526:1 gene:CCACVL1_12105 transcript:OMO82011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MAPPTDYYQSDVCNMSFMWCKLAGASEKKLPRDVPPGHLAVTVGDGGRRFVIKANYLNQPVFRQLLDEAYEYHDLNKDGPLAIPCDEHLFRDIIHLLDSGLEVCQLT >OMO82009 pep supercontig:CCACVL1_1.0:contig10078:19510:22824:1 gene:CCACVL1_12103 transcript:OMO82009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase MASSVDDDFSLLDDHQTNPNHQIVLHHHHPSYAPIQAPTPDNHDADDDSDSNFRGANFGNNNNATSSDVRIEKRRDQEEINDSGSKRHKQSTAEYRKDREEWSDAAIGCLLEAYLEKLTQLNRGNLRGRDWEEVAAAVTERCEKQSKSVEQCKNKVDNLKKRYKLERHRMTDGGITASHWPWFKKMEEIVGNSQPGKAAPDEEKGSASPATSVRQSKRYASATPSPGGQIISTKSKSPKWKRVVFKISGAALACTGPHNIDPKVAVLIAREVAIACRLGVEVAIVVGGRNFFCGDSWVTATGLERSTAYQIGMMASVMNSVLLQSSLEKMGVQTRVQTAFSVPEVAEPYSRQRAIRHLEKGRVVIFGGIGAGTGNPLFSTDTAAALRASEIHAEAVLKGTNVDGVYDCHSQDNNATFEHITFRELVSRGAAAMDMMALTFCEENNIPDAFIVVVTVTMCFSITTSVYVNLAAVFLQLELGWILAEDDAGSTFEARL >OMO82013 pep supercontig:CCACVL1_1.0:contig10078:45029:48550:1 gene:CCACVL1_12107 transcript:OMO82013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MDSFQTTFFQQFQQQAQQQQQQAQGQADFIRVLTEAVLRMGIAPGGQGQAPERQVDPPLPPLEEAVDIIEDVAQVDARNVNVYVPPPARVGNGNGHNGNDNRNGNQRQAPRAQVRPQDRAAYEEFRQEVPQLDRNQIIDIVQEIFGPGLRRTGRPAFHTPYPEEYDRQYPFPRGYKVPDFSPHFSGISSEQSTIEHVGRFTMQCGEANTGYQKLRLFPNSLTGLAFTWYINLPPNSIRTWEDMEKAFHTQFYRIEPEVSLLDLSRLSQKKGESAEDYLARFKKLRNRCCIALPEKEFVRLAQNDLDIELRKKFEGVEFRDYFDMSTKVARYENLLREDAEQRSSSYGTYYQEPNYELGVAEVKADRPIKCPSLLKAGIGHRQTQEHLSATYHRQAEKKPHDGSRMYSFDVSKTSDVFYFLFKAGMIKLPPGHKLPHADELRGKEYCKYHDSWRQSTNNCTVFRNIVQEKIERGILKFPEAPPKKEMGVDKDPFPAAVSMVSVNFPTDGRLTREQKAKGIARDDEAMPQPKERSPSIPVRYGYINRTAPSRQQVPQPMAPRPVALGSMPIRKLDLTTIEDMPMKVPNRSFAWRTVQRKLDFAVEDVKPSMIITSAVQGTSKPMLTKSKIIASTPHVLKPTVQFPTRSRSEIRPWLERRKKEIRTMAIQNEIKIRRDHAMEFNRPKMVRPTVRALVGVWQRVEHHKFPTPPIQRNRKTWRRRELRRRVKARKELQDAAAMEEEVTALATSLEKCHFEKDADEEAILKGMFKVTADMTFEYLVGRGEESENDTLQADDKSSVNSITFGQFTVDLHYNILNLPCVFAAKKRVEPSKPLPVGNIEEVIQVLNKDDDEDSGGKVDQPKDIVSDQRAIFHIKPLYIKAHLDGVPVNRVLVNNGAAVNILSYSTLRRLGKGADNLIKSDVTVSDFSGTGNQIRGILQTDLTVGSKTSISTFCVVDSPSTYNVLLGRDWIHSNWCVPSSLHQLLIFWNGDDMEIVHADNRPFKVEFNAVDARYYDETFGLIRFLGQAKYRCTRPMVSNFKDSQNGLKKAMENLVRPSKIIPYKPLGSSPIIKEISS >OMO82019 pep supercontig:CCACVL1_1.0:contig10078:90445:92115:1 gene:CCACVL1_12113 transcript:OMO82019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIKCDQVNSAYKAFERMPQRDVAAWNAMLIGFSQLGFLDKAFCLFGQMRSDGIHPDSVTVVGLSQCLSGVKSVEFVEGVHSFGIRIGVAADVTVANTWISVYAKCGDLASAEKVFDEIAVVVRTVISWNSMIAGYANFEHFDAAFNLYRQMLVEGIRADASSIVSLISCCVHPEELFQGKQIHSHGIQLGCDLNISVVNTLISMYSKCGDVDSARCLFNSMSDRTCISWTVMISGYAEKGDMDEAMALFHSMEKAGETPDLVTVLSLASGCGQTGSLELGKWIESYAKSKGLTDNVMICNALIDMYSKCGSICEARQVFRAMPERTIVSWTTMISGCAVNGKFAEALDLFHQMSGLGFKPNHITFLAVLQACTHAGFLDKGWEFFDMMTTIYDINPGLDHYSCMADLLGRKGKLKEALELVLNMPMKPDAAIWSALLSACKVHKNVEIGEYVANQLFEMEPDVAAPYVEMANLYASAGRWDGFAKIRSLMKRNNVSKYPGESLIQINKKTHRFTVEDGSHPEGVLIFPLLNNLTLQLKDGCYPLNLVDIFEMEFD >OMO82014 pep supercontig:CCACVL1_1.0:contig10078:53140:54110:1 gene:CCACVL1_12108 transcript:OMO82014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MVLERRHRRLEEPGKLPPGPRWWPVVGNIFQLGWAPPHVSFSKLARIHGPIMTLWLGSMGTVVISSNEVAREMFKNHDVVLAGRKIYEAMKGDFGHEGSLITSQYGPHWRMLRRLCTTEFFVTSRLDAMRGVRGRCINRMVQFIEDASSNGTKSIDVGRFFFLMAFNLIGNLMFSKDLLDPKSERGAKFFHHAGKVMELAGKPNIADFIPIFRWLDPQGIRRKTQFHVERAFEIAGEFIKERMEMMENGDCEGKRKDFLDVLLEFRGDGVEETYKFSSRTINVIVFSPMFPF >OMO82008 pep supercontig:CCACVL1_1.0:contig10078:11410:16671:-1 gene:CCACVL1_12102 transcript:OMO82008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MHSSHLLLEEPIRMASILEPSKASFFPAMTKIVGTLGPKSRSVEVISGCLKAGMSVARFDFSWGNAEYHQETLENLKAAVKSTKKLCAVMLDTVGAEMQVVNKSEKAISLQADATVILTPDEGQEASSQLLPINFDGLSKAVKKGDTIFVGQYLFTGSETTSVWLEVSEVKGNDVVCVIKNSATLAGSLFTLHASQIHIDLPTLSDKDKEAISTWGVQNKIDFLSLSYTRHAEDVRQAREFLSKLGDLYQTQIFAKIENIEGLNHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISIVGKICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAEATSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDASVVKIIELDD >OMO82012 pep supercontig:CCACVL1_1.0:contig10078:32163:37113:-1 gene:CCACVL1_12106 transcript:OMO82012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAALKGELVYKIYRALTYGLSPLLHLHLHWRKLRGLEHPLRWPERLGRPSLPRPSGPLLWFHAVSLGEGMAAIPVIKCCSQKRPDVNILMTTTTFSAFEVLKTRLPDNVIYQFAPLDTPPAMDAFLGHWKPNGIVIMESELWPNLIMGASKCGIGLALLNARLSPKSFRNWSRPVLFQLISLLLSKFSLIVPLSTLQAIHFQLLQASPFSIKFSGDLKYAVAEYEFLMKDFRHIDDLQKQLSQKHVWMAASIHRGEEEIMLGVHKVLLQTHPDIITIIVPRHPEHGQEIAEDLQKEGHSVALRSQHKKLIPGTSIYVVDTLGELRQLYKLTPIAVIGGSFLPGLAGHNISEAAAAGCAVLTGEIFASCILFVYLLLIVHFSWFYFVIISGHHVGHFSHMVIEMQQFNPLSVMQVSGKLELEKAVMELFSNAKILESRQKAAKEAFHALSRSVIANVWNLLKFHFLRIYVELSL >OMO82017 pep supercontig:CCACVL1_1.0:contig10078:67406:69127:-1 gene:CCACVL1_12111 transcript:OMO82017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREMVIESWFGGSWWNSRKSASESPEKEVLGILAFEVASLMSKVVNLWHSLDDREISKLKEDIADSVGIQRLISDDENYLMDLALNEVIENFGNLAKSVARLGKKCSDPVYHRFEHFINDPVLNNFEWFGWEYRWKKMERKVKKMERFVAVTMQLTQELEVLAELEQTLRRMQRNAESDRIKLFEFQQKVMWQRQEVKHLRETSPWVRTYDYIVRLLLRSLLTIFERIKMVFGTNQVAPIDGNDDFESINSDCLSRSHSFSTIIPSSVYPADGNLCGFSSGPLGRSFSKSVQINDKNRRGSKHLQSHYLSTALHDKHPYSKTKKSSHGGVGPFKGCMSAGSDSPILESCKPIGTGSMRFSSTYTKNIDKISKLKIESLSRSNKIYTKLSIFRTKRLLNASPSTLGNAALSLRYANVIILIEKLVSSPHLIGLDARDDLYSMLPTSMKNALRAKLKSYSKTFASFIYDASLAAQWNLALMRILEWLAPLAHNMIRWQSERNFEQQHVVSRSSVLLVQTLHFANQAKTEAAITELLVGLNYVCRVARAHNGKALQNSTSSGGYSQDLPMRDDIR >OMO82007 pep supercontig:CCACVL1_1.0:contig10078:4131:9307:-1 gene:CCACVL1_12101 transcript:OMO82007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEYAIFIDNQFCGICCPATEDTGIWPNSIYHIPDRDGEEHMYVSSSETPYTSAYTIEELNLSPELLKCLCVEMKFEKLSKIQAISLPMILTAPHLDLIAEAHNGSEITTCFAIGMLSRVNLKVQAPQALCICPTRELAIQNLEELKKMGKHTGITSECTVLMDSSNYIPICKPPPIIAQVVIGTPGTIKKWIAYKKLVVSYVKLLVFDEADHMLAEDGFRDDSLRVIWDIQRISSHCQVNLVINYDLLVKYTNRTERGRHTPLESMSRSHPYTEDGKATTKGIHVAGISVGSPKYKDWILMTADMLEISCGLIAGLILFVKLKVHDTSQQHIFFNCFRVAETVLLRLFLKVSSSDTPSLQHIHLKN >OMO82020 pep supercontig:CCACVL1_1.0:contig10078:98013:98483:1 gene:CCACVL1_12115 transcript:OMO82020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIDKKDDKKAPVVIRARHRDEEGRIREEKVEVPSRNIDTIKYVERKLEEQGIQRMERHPANGTGIGQPPPKSGRGGKYTWEGPTDMMESELSPVPPAIDEKDPNYVDEEEEEKILSGEEEDVAGLVVGQVEVAKAAEDREGVARVEVDPHLKVY >OMO82015 pep supercontig:CCACVL1_1.0:contig10078:57194:58674:1 gene:CCACVL1_12109 transcript:OMO82015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MVISSDEVAREMFKNHDVDLAGRKIYESMKGNYGLEGSIITSQYGPHWRMLRRLCTTEIFNSSRLDAMKDIRRKCIDRMVKSIEDVSAFGTNPIDIGRFFLLFNFNLIGNLMFSKDLLETDYEKGAKFFYHAGQIIEFAAKPNVADFVPILKRFDPQGIKEKTQIHVEHAFEIVREFMNERIQANKDSMSKKQGKDYLDVLLEFQGDGIEEPSKFSSTIINVVIFDT >OMO82018 pep supercontig:CCACVL1_1.0:contig10078:86300:88905:1 gene:CCACVL1_12112 transcript:OMO82018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKESTISGSLGNSDTDSPPAPVSSLVLPHQVMNMDMNMNINMNLERSLDSVTTATGAGGATITAAAAATAVQGSGGGGTLGSPPGSGGLDSLGKKRRGRPRKYDADGNLRLPAYQVVTNSPPGFTLSPSSPTEFSSSKRGRGRPPGSGELFANTAGGDFTPHVVTVNTGEDVAGKILSFSQKGPRGICILSANGAVSNVTIRQPGSSGGILTYEGRFEILSLTGSFTVSDTSGVKSRTGGLSVSLAGPDGRVIGGGLAGILVAASPIQIVVGSFMPNGYKVHKRKHHRDHHTVTSPVGAAPPSAAAVTAARPISQAKLDGGHHDHQNNCMTPTSDPFPGEADLNNHHHSTIIDKQIMTNAADHWNGTEPTISIHRPSPDINVSVPSE >OMO82016 pep supercontig:CCACVL1_1.0:contig10078:60403:65880:1 gene:CCACVL1_12110 transcript:OMO82016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDCNPNNGEATPPLAFGKSRPAATSARAKKVANGRKIYEAMKGNHGNEGSIITAQYGPKWRMLRRLCTSEFFVSNRLDAMKGSRKQCIDQMVEFVKDASGFGTNAIDIGRFFFLMAFNLIGNLMFSKDLLDPKSDRGEFIKERIESMAKGKRNDFLDVLLEFRGDNTEEEPAKRRNSVPRKLPPGPPGWPIIGNMFDLGSMPHRTLTNMREKYGQVIWLKLGTINTMAILSTKAATELFKNHDLSFAERYITETMRAHDYHKRSVALAPYGSYWRSLRRLVTVDMLVNKKINETAPIRRKCVDDMLQWIEDEEIKNQAKFDKNGIHVARFVFLLTFNLLGNLMLSRDLFDPDSKDGSEFFDAMLRFMELSGTGNIADFFPWLQWLDPQGLKRKMEEDLGKAIEIASKLVKQRIEEKKGNEDKKDFLDVLLEYEGNGKDEPVKLSDQELNIFILVIDPPHTYV >OMO82006 pep supercontig:CCACVL1_1.0:contig10078:2252:2335:1 gene:CCACVL1_12100 transcript:OMO82006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKFDEAREAAAQAAQAATQGAGGAAAQ >OMO82010 pep supercontig:CCACVL1_1.0:contig10078:24026:26126:-1 gene:CCACVL1_12104 transcript:OMO82010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSLFLFLFLLRLSFSFSSSPNDTNTLTLFRLQVDTHGNLRSNWTGHDACSATWHGVTCSSNGRVTAVSLPSLSLRGPITSLSLLDQLRILELHHNRLNGTVSPLTNCTNLKHVYLSDNDLSGEIPPEISLLKRLLRLDLSENNIGGNIPREISGLNRLITLRLQNNALTGLIPDFSSSLKNLKELNLTNNEFYGRLPENLLKKFTEQSFTGNEGLCGPSPFPVCSFTGSAPADPSTQTVPSNPSSMPQTPIIGQDKVKARKGLSPGVIVAIVVAACVVFLVVASFAVAYFCGRNRGDGVSKTGSDSGKRRRSGSSYGSEKKVYASGGGADSDGTTATDRSKLVFFERRKQFELEDLLRASAEMLGKGSLGTVYKAVLDDGCTVAVKRLKDANPCPRKEFEQYMDVIGKIKHPNVVKLRAYYYAKEEKLLVYDYLPNGSLHSLLHGNRGPGRIPLDWTTRISLVLGASRGLAKIHEEYSAPKIPHGNVKSSNVLLDKNGVAMISDFGLSLLLNPVHAIARLGGYRAPEQAEVKRLSQKADVYSFGVLLLEVLTGRAPSQYPSPTRPRVDEEEQAVDLPKWVRSVVKEEWTAEVFDQELLRYKNIEEELVSMLHVGLACVVPQPEKRPTMAEVTKMIEDIRVEQSPLGEDYDESRNSLSPSLATTEDGMAGY >OMO75742 pep supercontig:CCACVL1_1.0:contig10993:8566:9200:-1 gene:CCACVL1_16058 transcript:OMO75742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLDPRCKMVAVEFCFRKLFSEMEARENIVKVRKALYEIYAEYVSEYRQGNGYNGENQAVMSDHGDGRMTDDSKSGWSEYSSYLKEVQESSPDEAELDSYLKECCFIFQGDPMAFEASFSAGGRVIDTYRASLAPETVQALLCGGDWVRNLHGVKKKGQGDFMKVVTITLCFSFCS >OMO75743 pep supercontig:CCACVL1_1.0:contig10993:32373:36844:1 gene:CCACVL1_16059 transcript:OMO75743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organic solute transporter Ost-alpha MFLPNLGSEKAVDFSWPVFTASIFVFIALVLSMYLIFEHLASYNQPEEQKFLIGLILMVPVYALESFLSLLDSNAAFNCEVIRDCYEAFALYCFERYLIACLGGEERTIEFMESQAVITSSTPLLEDAYAYGVVEHPFPLNCFLKDWYLGPKFYHAVKIGIVQYMILKMICALLAMILEAFGVYGEGKFEWRYGYPYLAVVLNFSQTWALYCLVQFYSVTKEKLEPIKPLAKFLTFKSIVFLTWWQGVAIAFLFSMGAFKGSLAQELKTRIQDYIICIEMGVAAVVHLYVFPAVPYKRGERCVRNVAVMTDYASLGAPPDADEVQDCERTTRMRLGRHFGREKRLNFPQSVRDVVLGSGEIIVDDMKYTVSHVVEPVERGIAKINKTFHQISENVKRYEERRSSKDDSYLIPLNSWNREFSEAHDNLVEGSVSDSAMANGKRHHHIQAKPATSRNRSA >OMO52541 pep supercontig:CCACVL1_1.0:contig15514:5692:6483:-1 gene:CCACVL1_29190 transcript:OMO52541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSMAKNKDSKLVASQRNYGYSNEKIVEDSQGNYGYSNDAIGKGLINKDVYFLESALHPGKKMNLKDVVQKPTKAAFLPRPMAESIPFSIQELPQIYKYYSLEPKSAEANLLKETIENCERPAIQGEAKYCATSLETFIDLGVSKMGKNIQLLSNELEKETENQEFSISQGVKMMGESEIVCHKMKYAYAVFLCHSIDETAVYRVPVVGADGTRAIALAVCHKDTSAWNPKHLAFQVLKVKPGTIPICHFLARETLVWISSN >OMO94222 pep supercontig:CCACVL1_1.0:contig07937:4101:12952:-1 gene:CCACVL1_06108 transcript:OMO94222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSGVDYAWGRISIPGTPVKRRYL >OMP07590 pep supercontig:CCACVL1_1.0:contig04331:108:176:1 gene:CCACVL1_01278 transcript:OMP07590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQWTKQISSSHVSIKYLGSMFC >OMP00243 pep supercontig:CCACVL1_1.0:contig06708:15916:17366:-1 gene:CCACVL1_03432 transcript:OMP00243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLFLGSVAAANNVNELKSLNITHILTVASSLKPAHTNEFVYKIIPVFDKEDTNISQYFDECFDFIDEAKSKGGGVLVHCFVGKSRSVTIVISYLMKKHGMSLAQALDYVKSRRPLAAPNPGFIRQLRELEKSLQ >OMP11040 pep supercontig:CCACVL1_1.0:contig01653:2808:4501:-1 gene:CCACVL1_00708 transcript:OMP11040 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEEAIVVNKGEEIIDLPPGFRFHPTDEEIITHYLTEKVMNSNFSASAIGEVDLNKCEPWDLPKKAKMGEKEWYFFCQRDRKYPTGMRTNRATEAGYWKATGKDKEIFKGKGCLVGMKKTLVFYKGRAPKGEKTNWVMHEYRLEGKFSYYNLPKGAKDEWVVCRVFHKNTGIKKSPILDHQLLRMSSFGDDLMDYASLPPLMDPTYSNKPGSSFTDGENDEFKPINTTPMSRSSDGNYLGSTNFSTMNNSLNNFLQAPISNHYQTGNSMFNPQIPIQNPIFFHEAATGSTPNSSSSYLLHHHQGRSNGSAPPNSGTGFGGNDQAILRALAANKSADTSKGDKQCKVEQFSSNQSMVSLSQDTGLSTEINNNEISSVVSKSIEFPSSKSYEDIEDLGCLWDY >OMO93371 pep supercontig:CCACVL1_1.0:contig08105:5758:6276:1 gene:CCACVL1_06518 transcript:OMO93371 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide repeat-containing protein MDLRYAIPPILNLILFFFLSSWNWNLAISSISVRQFSVLELAFIIIVLMLFQFFLFTSAEIPLNLTPIRLHLRVGHFSVTLTASLLASLFLPPSLFWAVYLIIIFLSPCQKKLFQLVKHIFQSFSSTVESIPSYFITISTQDEETPNADSAPPLEVDVEIGHLDGFMGDVSV >OMO93372 pep supercontig:CCACVL1_1.0:contig08105:8620:9216:1 gene:CCACVL1_06519 transcript:OMO93372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Septum formation topological specificity factor MinE VDFAGSTIGGLSISEITPKWNGMTINNRNIRGQTRRLAGITDDFRLSQNAVSQDVESFLLNAINMSFFERLNLAWKIVFPSPPSKRGSNANIAKQRLKMILFSDRCAVSDEAKQKIVKNIVRALSDFVEIESKDKVQLSVSTDTDLGTIYSVTVPVRRVKAEYQEEDEAGTITNIEYRDKGEQSGSVDVRFDFYVPDE >OMO93373 pep supercontig:CCACVL1_1.0:contig08105:10327:10884:1 gene:CCACVL1_06520 transcript:OMO93373 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor MAKLLDPKREYFIGRVISRDDRTQKEQKDLDVILGQESAVVILDDTENVWMKYKDNLIPMESYDFFALHQFHKSLSRLKSDETELDGTLACVLEALKRIHHMFFDETDGNLDFASRDIRQVMETVRKEVLKGCKIVFEYDYLLNMAEELGATCSMETDPSVTHVASIDDEDETEMSSWAVKEHKLL >OMO53041 pep supercontig:CCACVL1_1.0:contig15356:42655:44103:-1 gene:CCACVL1_28928 transcript:OMO53041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MLTGAGNVNKIKVKKQEQEVNKRTLELKLLSGDEVRVYIWAKFLGEIDVDMLMQQQPKPVMVIAGTTVKGSGANLFLTTTTGTKIYVNLDIPQTSELMERYAYEDTKDNQIQDQGNNHRHRYFQGWFYTSCSACVAPLQYAMDGFYCTVHKDQKPKLIAKLPLNVKEGSAKMELIIFGLLAEELANISVSGLPILQDNSEIKLPTSAYNIIGKEYHFVVGLPIQSIKKEELNFKIFDFKPVEAGRTSTHNVMGKAIEDASALLALPASIDNLALQTPQKPGPKGQGSSK >OMO53040 pep supercontig:CCACVL1_1.0:contig15356:40567:41366:-1 gene:CCACVL1_28927 transcript:OMO53040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEESTEIDLCPPFRGIIKLILKIVEEYTEYGSAGASLFKRWLGLTGLIHHNATDLVAMLNDAQILKLLYRRGIADLYPPRLSLHQAFDGLVIISPTTTLTDVPIYGEEKKIYLIATPPLSKAWVSVSSTINSTDSSTNYDLQLLLDTTGVRFPNIISRDYDQFCLYQEPCSIIRLIKILIFNAAGVCNPAFTYMFAVTCVEQNPDFVLVTETRLGENEGRDDTYFA >OMO53038 pep supercontig:CCACVL1_1.0:contig15356:1548:4481:-1 gene:CCACVL1_28925 transcript:OMO53038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPEQLLQWQESSDDTPEPHRRNRNSTSDEQCAMTEVVSCQSVACGSPKVQPVRSKRMADEEPIIPGFNLTTDNASSRHAIRHSEETANSSDHNGVQVSSNEYFNQRSNSEDVISVQSQPSDDPYGGKVIEPTLIIEDVTDNTSYFLKDMKSGGKTSKELDTDAEIVDIEGETNGLQIIKNADIEELKELGSGTFGTVYYGKWRGTDVAIKRIKESCFSGRVSETERLTNEFWREARILSKLHHPNVVAFYGVVTDDPGGTMATVTEYMVNGSLRNALIKKERVLDRRRKLVIALDAAFGMEYLHFKNIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSQVSEKVDVFSFGIALWEILTGDEPYASMHCGTIIGGIVNNTLRPPIPERCDPEWRKLMEECWSFDPEARPSFTEITNRLRAMSAALQPKR >OMO53039 pep supercontig:CCACVL1_1.0:contig15356:5240:6119:-1 gene:CCACVL1_28926 transcript:OMO53039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGPPVTSIPKSYHDQAIIEANDEADLDSNFCVQTGEEFSTEFLRHPGTLRRIAVTKDVEYIQPVQASFSYNQNCQQVYNDLKGIQGLRSSDYSSDVLDFVPGTVKLRVQVLWK >OMP02496 pep supercontig:CCACVL1_1.0:contig06239:3432:3515:-1 gene:CCACVL1_02788 transcript:OMP02496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASLFAKLDDTAFSFNQTRMTIRYLPDC >OMP02494 pep supercontig:CCACVL1_1.0:contig06239:192:1150:-1 gene:CCACVL1_02786 transcript:OMP02494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALPKYQRLSVAPLESKPFEAPVVVAVGRAFVLIAPGFDLYDLRAISVRGVIRNPRKDRLRLPPRSHEDLYVLVQSRTTEIFRSACVGAAQTKKNLLALEPWADRPGLSLLFCSRHDKGAQKRYAQRAEDPLRVSVVSVGNLCPPFVECPARFTGLLA >OMP02495 pep supercontig:CCACVL1_1.0:contig06239:1516:1626:1 gene:CCACVL1_02787 transcript:OMP02495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLLKDFMEWPSPPKSAYVIWELMAGNNPYGFDIR >OMO62254 pep supercontig:CCACVL1_1.0:contig13290:457:9113:1 gene:CCACVL1_22930 transcript:OMO62254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIKEIAERGFGKKNEDTMYWRNFNPQAQKKLAELTGIDSATWGREITPISEGVRGVKAGLLMEWAEKHVNHEKGQRALALLIYGWVIFPRNQGFIDDVVVDLFYQMHKHQCNPVPAILAETLRSLNYCRRTGSGRFYGCAQLLCVWLKSHLRPLKGKFRMSCIPGGNPLRRFPNITWPGRNKQQWIEWFRNCDEKQVEWRVPCYKDWHRDRVKDLVLPPEKDPHYLVGPNSLDELTHLKYEVSRLREGVKLKEVEERHELEKTALNQEVDQYQLDEFLERLSDVKDKFLDLGRGINSLIDAWDKSEDSWKDALARMRKGVAVARELKKHVEKGQYSNPPQAADPTFAPRPPMDTSYLFKQGTSAARPINVPDTEDARKMVMEENQKLRSMMEERFKSIEGGKGYFGRMDMTKIALVSDLVIPPKFKVPEFEKFNGSKCPREHVTTYVGKMQPLTSDEKMFIHYFQDSLTGAASTWYNQLDGTRIHSWDDLANAFVAQYQYLSDLAPNRGTLKNIIRKPDNLPPAYYERLFPVAVESYARLIVVGELLEAGMKTGKIGNAEDTGAKRGQSSYAKKKDSEVLAVRYTNFRPRNQGQYQHSHSPQNYGYAHLYGYYPPPASPYYYPHVNAVGGNPFRPRPPSPHPIQPITHQGNQAIAPRASDPVKERVPIDPIPYTYTELLPQLLQQNLLERLPYSLPMPQDRRPRWYKENTHCDYHSGTEGHATEDCLRLKYAVQELVKAGKLSFPNAAPTNNKQNSLPGQGEARVSMIGGSELIKKKISEVTTPMSTVYRALIKGTVQRMMNDHVIEFYIESKEEEVNVTIKEEPQPFVPVLPTLKSVQPFIPMPPIKTLTQPFIPKPPIVMPSHMKGKSVASLVVTPPQPFSYKDGHQVPWKYECNYSVSSGEGSSANVTGVEPEQPSEPREEIATKKQVTENEACEFLKIIKQSEYSVIDQLNRLPAKISILSLLLNSEAHRSALLRVLSQSYVSQSITVNELDRVAGNISVAGFITFSDSDIPKGAQNDIKALHITVKCQNVSVAHVLIDNGSALNIMPLSLLKKLPVEENYIQTNNMIVRAFDGTKRGVIGEVEIPMEIGSVEFNLRFQVLDIEPSYSCLLGRPWIHMAGAVPSSLHQMVKFRTPDKLVVVQGEEDLIVAHTIGFSYVEPTSGSYDCSFRAFEVAEMISKTPTEHSVMCTQQQLKKQGSKEGQGLGKNLQGIKEIVTVRANDSTFGLGYEPTPEDWAEAAERKLQRRLARMGIGSSAEEIKMEFPPLHQTFRSAGWVNGAMPQEVQEVEDKLQDLGIHAVTEEMPEREECPWIRPMAPGATLTIGRNMSVQFFLVIWKYAHDEPEFNFERPVYADEPDDEDTEDQTPPSDLLRLVEQEEKQIFPHRESTELINLGTDENPKEVKIGTSLSEGERRELIDLLKEYVDVFAWSYQDMPGLNPEITVHNLPIRSDCKPVQQRLRRMKPEMLLKIKEEVEKQLKAGFLKEAKYPEWQANIVPVPKKDGKVRMCVDYRDLNRASPKDNFPFPHIDVLVDNTAGYSLFSFMDGFSGYNQIKMAPEDMEKTAFVTAWGVFCYKVMPFGLKNAEATYQRAMVALFHDMMHKEIEVNPGEWDEECQIAFDKIKEYLANPPVLVPPVKGKPLILYLTVFKKSMGCVLAQQDETGKKEHATYYLSKKFTDYEAKYSALEKMCCVLVWAAHRLRQYMLYHTTWLVAKLDPVKYVFEKLGLSGRIARWQVLLSEYDMVYVSQKAIKGSAIAEFLADRAGEDYESVKFEFPDEDLMAINQVEVNDEKDERKWRVYFDGASNLSGHGIGAVLISPDGDHLPATARLGFHATNNAAEYEACILGIQMALERKVDELEVYGDSALVIYQMKGEWQTRDSKMVRYRGRVLELIKPFEKIFFHYIPREENQLADALATLILMFKVGTYAEIQPIQIQVKDSPAHVMSVDEEVDKNPWYFDILQYIKYQNYPQHATENDRMSMGYLLNGDTLYKKSRDQTLLRCVNSAEAKRILEEVHEGSCGSHASGHKMPQMPDLLTLETDRSLRWMFSVKCSFLLNKLMVHASFASCLEDPL >OMO62256 pep supercontig:CCACVL1_1.0:contig13290:61582:62451:-1 gene:CCACVL1_22932 transcript:OMO62256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKFQGEIDRVLKVQEGVDIFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQSASYEQALVDARKQIERETERFKICEKETKTKAFSKKAWANNQKL >OMO62257 pep supercontig:CCACVL1_1.0:contig13290:64722:66694:1 gene:CCACVL1_22933 transcript:OMO62257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELQYYVRLHQSCNTHSSILLGKQLHLFFLKKGILSSSLTIGNHLLQMYSRCSNMTEASKLFDEMPQRNYYSWNTVIEGYMKSGNRGRSLELLKLMSHKSDFSWNLVLSGFAKAGELEVARGLFDDMPSKNGVALNSMIHCYVRNGNARKAIELFKEFQCLADAFVVATVIGACVDLGAIEYGKQIHAHMVVEGLKFDPVLCSSLINFYGKCGDLDSASRVLNLAKEPDDFSLSALISGYASCGRMASARLIFERKNDPCVILWNYLISGHVFNNEEFEALALFSQMRRKGVQGDFSSIAVVFSACSSLFISGHVKQMHGYAHKAGVLCDFIIASTLIDAYSKCGKPNDACKFFSELQAYDTILLNSMITVYSTCGRIEDAKQLFKTMPHKTVISWNSMIVGLSQNGCPIEALDLFFLRGCVAHGDKTLRKKVVKQIIELDPQNSGAYIQLSSLFATSCESETLRLLEV >OMO62258 pep supercontig:CCACVL1_1.0:contig13290:69474:71447:1 gene:CCACVL1_22934 transcript:OMO62258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFLKPIYGSQKSSISLYNNLINHCLSLKSVKFAQTIHAQLIKFGLNARTFLGNRFIDLYYKVGSFNDASKVFDEINDKNTISWNIFLNGLLKSGHFQKACLVFDEMPDKDVVSWNSMISGCGLLGFWNYGLEVFKEMENFGVRPSKFTFSILTSFASCASQGKEIHGHMMRRGIDFSNLVMGNSLINMYGKLGLVNYAFGVFLSMEEVDVISWNSLISGCCKSGYEDLALNQFDQMRLAGYLPDEFTALNVISVCTNLGNLSKGKQIFALCVKAGFILNPIVSSGIINFFSKCDRLEDSVQLFQEVEHWDSALCNCMISSYARHGLQDDALQLFVLSLREDCRPTEFTLSSILSCITFLSVELGYQVHSLVIKSGFESESIVASSLVDMYGSKIGLIDPAMQIFSEMHMKDLISWNVLIMGLAHNGRVVEALELFKKLVREGLAPDRITLSGVLLACRYGFFVDTAMGIFSSMEEEFGITPSDEHYACIVDLLCQAGKLKEAFDILEAMPFEPSSLVLEPILLASATFSNLTLAEKIAEKIIELEPQSSLPYRVLNRAYEMKGRWEGMIRVRKDMKQILKKIVGCSWIKIKNHEFMFEADQLQLEGGKDVYLVLRLLTWDLDEKGCICIEHEIHDAEWSKSEIELEDWKIQALIC >OMO62255 pep supercontig:CCACVL1_1.0:contig13290:10083:11723:1 gene:CCACVL1_22931 transcript:OMO62255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSRTLRELNFNCEVPSLYIDYPASDTSYKLNSEVINMLPIFQGESHENPHKLLQSFFIKCAAFTGIPEEQVRLRTFAFTLEGKAKAWFLNRTYFDSASGGSFTLKTPKEARKLLNTIAENIYQFNATSETLSSKPLEPENKRLNNLEATVNSIAAQQGDLLKMVTNLITMFSQNLMQPPSCISQVYTRESLNGLNNSQSQGVNSIEGTQHWSTQKMEWPDVLSPPVISPFLTPVSPDKPLSTAVSDEPVQGDDEISAKSKEDQPTSSPQSSRPQSRSGWLKEMEPIQRPPPFPKRFAPQRKRCNDESQSDSLQKEKVNIPLIAAIQEVPKEQWKPIDLVKTTQRHQDPIVKEVEGAPSETINDLELYELDLQDSFQFFFKEDDDEPCKVRVPTLPKEVSKIVQEAPKAPQGIKMDIPPPSPLKLAKLKDKPPDDEQPEHPELNETSVRDFVDNQLLEHEDQDFL >OMO92014 pep supercontig:CCACVL1_1.0:contig08278:3010:18900:1 gene:CCACVL1_06934 transcript:OMO92014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAKPRKPKPEDPKPANSGAVVRHQKLCLSIDTNFRRIYGYTELEIEVPDIGFVGLFAENLGIESVLVDGDPAEFEYYPHHQASTISEKRWASALSSASSAADAAAAAYVRALEAELVPNLLINCCNKMPSEQINTENNGLQSSAEVKQNVKSVRVNYWVEKMEAGIHFEDNVVHTDNQIRRARCWFPCIDENNQRCCYDLEFTVAHNLVAVSNGSLLYQVGAYFAGIAQLGEHQSEYLKVLSKDDPPRKTYVYRLDVPVTAQWISLVVGPFEIFPDQHNGLISHMCLPPDLPKLRNTVEFFHCAFSEYEQYLDAKFPFGSYKQVFLAPEMAVSSSTFGASLSIVSSQVLFDEKVIDQTIETCIKLAFALASQWFGVYITPEASDDEWLLEGLAGFLTDLFIKKFLGNNEARYRRYKHIGFRFCSSICLRGANIAICNQAVVVKERGAGLVDSPLFCQCSPVTLYVLANCAVCKADDSGATSLSSSIACKDLYGTNTIGLHGKIRSWKSVAILQMLEKQMGPDFFKKILQAIINRAQSSSSPVRTLSTKEFRHFANKIGNLERPFLKEFFPRWVGSYGCPVLRMGFSYNKRKNIIELAVLRECTATIDSSISVLNANSDSDNRDGDIGWPGVMTIRVYELDGMSDHPDLPMAGDAWQLLEIACHSKLAARRYQKPKKGSKPDGSDDNGDMPNADVRSSVDSPLLWIRADPEMEYLAEIHLNQPVQMWINQLEKDEDVVAQSQAIAALKSLPELSIAVVNSLNNFLNDSKAFWRVRIEAAFALASTSLEENDLAGLNHLIKFYKKQRFDADIGLPKPNDFRDFPEYFVLEAVPRAIAMVRATDRKSPREAVEFILQLLKYNDNNGNLYSDVFWLAALVQSVGELEFGQQSIFLLSSLLKRIDRLLQFDRLMPSYNGILTISCIRTLAQIALKLSGFIHLDHVFELIKPFREFKTIWQVRIEASRSLLDLEFHYNGIDAALLLFIKYVEEEPSLRGQLKLAVHAMRLCQIPGASVYNDDIKAITLLGLLQLLESRTAFNNVFLRHYLFCILQVLARRAPTLYGVPKEKLVPMGGFEICNEHKNNFAALIAEIKPPEPPMEDANILHDYVTIAEAPKEVENTNFLNDNIAIPEAPKEVDNAICLNDNLAIPEAPKEVDTVSNSREKKTTVSNNHEKNATISNSHEKNTTISNSHEKNTTVSNSYERKTTVSNSHERKVVKIRVKKSATTSKAEEGDNVTIERSQGRHIDTDRGATSSVSVDAPQRNSAEAVSISNQNIEEVNSFHDHGSRITASIGSAKIASEGDNFGKELQCTADSGNISVHPQQDDPSSPSIIQDNYMEAEAQKYASLQSLSVSRPDGGSLAPMDSPNHGKEKKKKDKKDKEKKKKKDKEKKRKREDHKGHRDDPEYLEKKRLKKERKQKEKEMAKLLSEAKTPSAAELRGKQEEKKSLILPLKKPESDMKSATVKLKPSEPPKVEITKVETKTEPTKEGTSAPPKFRIKIKNKPLNK >OMO88155 pep supercontig:CCACVL1_1.0:contig09097:657:3100:1 gene:CCACVL1_08544 transcript:OMO88155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MKRVFGVKKDKEPPPSVQDASDRINKRGDTVDEKLKKLDAELSRYKEQIKKTRPGPAQEAIKARAMRVLKQKRMYEGQRDMLYNQTFNLDQVAFAAEGLKDAQQTVTALKSANKELKGMMKTVKIQDIDNLQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMGSEADGVPSYLQPDKEEPDLDAELSLPSAPTGSTTVPAGRSNAQAEDELGLPTVPRASVRS >OMO88156 pep supercontig:CCACVL1_1.0:contig09097:12912:13028:1 gene:CCACVL1_08545 transcript:OMO88156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFTGKNRLPRKHGSKCCGLCGKRCGKRISCGDDSPE >OMP00206 pep supercontig:CCACVL1_1.0:contig06713:719:847:1 gene:CCACVL1_03443 transcript:OMP00206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDTLLANVLLLESNHLASINLPKPQPILLPLKLTIKILGL >OMO57878 pep supercontig:CCACVL1_1.0:contig14300:38909:39591:-1 gene:CCACVL1_25664 transcript:OMO57878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYSTAMEAVTEVTGLSPAGFFTIIAMMVVVYKIVCGMFIGPEDFEQKAEKEPIQLGDITEEELRAYDGSDPKKPLLIAIRGQIYDVSSSKMFYGRGGPYAMFAGRDASRALGMLSFKPEDINGNIQGLSEDELAVLEDWEYKFIEKYPKVGRVVSATNSTPNQNAIGDQVEQLHHRAINGVGNQQQEQ >OMO57879 pep supercontig:CCACVL1_1.0:contig14300:40523:40735:1 gene:CCACVL1_25665 transcript:OMO57879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEAARTARESLDLAFHMSNILDTGLDRHTLSVLIALCDLGLNPEALAAVVKELQREPSPSPPLPTSSS >OMO57877 pep supercontig:CCACVL1_1.0:contig14300:33901:38294:1 gene:CCACVL1_25663 transcript:OMO57877 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxisome biogenesis protein 3-2 MQVHFENIQGIADTTTLPHAMHYLSCRIAEDLDLSLLTERLMKGKGQPNSLSSSEKLELWDRLKILSFTRMVVSIWAVTILSLYIRVQVNILGRHLYIDTARGLGSSQSLEDADLIDRDDQQKFLANADFLANYGLPTLIANMQTAATEVLKTKQLRDFFDTTVLHQTVMQILDTFMSMGTPHHWVDCMMPEDPRLNKLAMTSSSDNANPPEFTQFDRLMVETREVLSSAEFSSVVEISLKAVVNALVEENGFQSGGGSLTTGTPLAKLLPRIAQICPSLVVEPSKNRYIQTIQSVPEVGLFFTLLYANMSTS >OMO57876 pep supercontig:CCACVL1_1.0:contig14300:26676:30878:1 gene:CCACVL1_25662 transcript:OMO57876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAHVSIQLPCDGDGACMRCKSTPPPEETLTCTMCATPWHVPCLASPIESLESTLQWHCPDCSGDVLPSAVAVVDGNSSKLVAAIKAIEADASLTEKEKARKKQELVSGRAADDGDIDKKGKGKEVENTVLDVLGGNLNCSFCMQLPERPVTTPCGHNFCLKCFQKWIGQGKRTCAKCRSTIPTKMASQPRINSTLVSVIRMAKLSNLNAASSSQKVYHFMHNQDRPDKAFTTERAKKAGKANAASGKIFVTTPPDFFGPITAEYDPTRNQGVLVGECWQDRLECRQWGTHLPHVSGIAGQSKYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKAQSFDQKFDKMNEALRVSCKNGYPVRVVRSHKEKRSAYAPAEKVVRYDGVYRIEKCWRKAGKQGFKVCRYLFVRCDNEPAPWTSDEHGDRPRPLPPIPELKKATDVTERKENPSWDFDEEEGCWKWKKPPPASRKQVNEVDPKDRKRSRKAMRQARNMSVKDRLLKEFGCLICRQVMNLPVTTPCAHNFCKSCFEGAFAGKSAVTQRNRGGRTLRTRKNVLNCPYCPTDVSDFLQNLQVNRELMDVIESLKQKSEENQDCPTEESSEDQTDDLVENSGGSETEDTETKDDSKPPPEQKPKRTSKRRKVDAASQVAAGGKKVSPSSTLLVQSSDEDVECFSG >OMO57880 pep supercontig:CCACVL1_1.0:contig14300:42728:47857:1 gene:CCACVL1_25666 transcript:OMO57880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARFGLSPSPTPVNCQGIRSKSVQGSASKKAFAGGEDAYFIPSQNWLGVADGVGHSSLEVEGVMFAALPVAKW >OMO57881 pep supercontig:CCACVL1_1.0:contig14300:60521:60696:-1 gene:CCACVL1_25667 transcript:OMO57881 gene_biotype:protein_coding transcript_biotype:protein_coding description:mucin-2 FSLFLKPPTTSSTPPAALLTQSPPCASCQITSSPPPATTSIAATTSVQPRWVLQSLQL >OMP11115 pep supercontig:CCACVL1_1.0:contig01539:4379:4630:1 gene:CCACVL1_00663 transcript:OMP11115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSESKSQSHGNSSKSDAGGVSTKKSNKSSLKKWIQSIILICCEACCYLPFELLPIDDDRKVEPAGSEGQQGQGRQGQGGAN >OMP03438 pep supercontig:CCACVL1_1.0:contig06075:7824:10098:1 gene:CCACVL1_02417 transcript:OMP03438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase, class-II MATLASRRKWVPGPVIIGAGPSGLAAAACLKQKGVPSLILERENCIASLWKLKTYDRLRLHLPKQFCELPFLPFPSNFPTYPTKEQFVAYLESYKDHFGLDPVFNQTVVNAEFDRKYGFWKVKTLGLKQQEETTEYVSKWLIVATGENAEEVVPEIDGMDDFGGPIVHTSSYKSGGMFRDNAVLVVGCGNSGMEVCLDLCNYNARPTLVVRDSVHVLPQEMLGRSTFGLSMWLLKWFPICLVDRFLLLVSRFMLGDTEKFGLHRPKLGPLQLKNKSGKTPVLDVGTLAMIKSGNIKVCPGIKRITHRAAEFVNGRKENFDVIILATGYKSNVPQWLKETDFFSEKDGLPRESFPKGWKGECGLYAVGFTKRGLLGASMDAKRIAEDIEPLWKLAEAKNFLTLSSPLPQQSS >OMO93155 pep supercontig:CCACVL1_1.0:contig08122:28105:29884:-1 gene:CCACVL1_06609 transcript:OMO93155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13, eukaryotic/archaeal MVSGSGICAKRVVVDARNHMLGRLASILAKELLNGQKVVVVRCEEICMSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKAYEGVPAPYDKTKRMVIPDALRVLRLQKGHKYCLLGKLSSEVGWNHYDTIKELEKKRKERAQVTYERRKQLNKLRVKAEKVAEEKLGAQLDVIAPIKY >OMO93161 pep supercontig:CCACVL1_1.0:contig08122:67664:68779:-1 gene:CCACVL1_06616 transcript:OMO93161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MSHTAGQFLKPSTAVSRYGDHCKVETTACASAESYNEEETDHEKATVYLVYERQQSRRFCINLHTFNLEENKGGLLEIPRSLQTTSSSINVCASAVALGSVIYLIGGCCGHPINVCYEYINVPHVHNAVVYFDTLKPDNGWQEAARMKSNRNLPTVVAVDGKIFVFGGVFNPGSLSQTPTPPLAEVYDPKDNSWSSLPDHPCLKNPRIFMPAAVHIISPTDKRILFQPDMNSLYSFNLRDFSWSLIDENFGYWNDTGAVKDDILYIYSTKFKQVHGYDLINKTRFDVVMTFFPGLAHNIQTAVLHNVGNGKLCLLYSDYDDDGSKIIICNTFKIRKEMNGDKLLHLTAIKVSDTNSFITAHTFWEMNVLML >OMO93160 pep supercontig:CCACVL1_1.0:contig08122:62681:66180:1 gene:CCACVL1_06615 transcript:OMO93160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQKKRNFQIEAFKHRVVVDPKYADKTWNILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVTTMTAHLKEISKSIEAAQGGLFLEELNRKWNDHNKALQMIRDILMYMDRTYIPNTHKTPVHELGLNLWRDNIIHSSKIQTRLLSTLLELVHSERTGEVIDRGLMRNIIKMLMDLGSSVYQEDFEKPFLEVSAEFYKGESQKFIECCDCGDYLKKAERRLNEEIERVTHYLDAKSEAKITNVVEKEMIANHMLRLVHMENSGLGKNVLRKEPMSKDIGEDDAFFVNDKFTSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIIAEVTKQLQSRFLANPTEIKKRIESLIERDFLERDNNDRKLYQYLA >OMO93168 pep supercontig:CCACVL1_1.0:contig08122:90491:90730:1 gene:CCACVL1_06623 transcript:OMO93168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALEFLLSDELLGAIVPVVMYWLYSGIYMVLEPYSQNYRLHSKKDEDQKNLVSKEAVIKRVLFLQFLQILSAILLFMVW >OMO93165 pep supercontig:CCACVL1_1.0:contig08122:84028:84099:1 gene:CCACVL1_06620 transcript:OMO93165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGHSLRLRGRGWQRKIKAIVC >OMO93164 pep supercontig:CCACVL1_1.0:contig08122:81176:83478:1 gene:CCACVL1_06619 transcript:OMO93164 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex, subunit 6 MDLSDIARKLGLSEQKLLVRKAAELRRLIDVQFDSSIIGVGEVCKAIICLEIAATRFGEVIFDRQKAIKLSGMSEKAYNRSFNSLQNSLNIKTTLDIRELGIQFGCVRLIPFVKKGLSLYKERFTTSLPASRQASADFSRPVFTAVAFYLCAKKHKLKVDKVRLIEVCGTSESEFACVSNSMKDLCHDVFGISKEKKDPKEVKGNRELLDVLPEKRKFEDGGYLSDEEPELSSYKRHKKMEKVAYEDWKSSVLSSNKKKTKAPCKRTTQTSLNFLKEVSETKELKAV >OMO93151 pep supercontig:CCACVL1_1.0:contig08122:17115:17807:-1 gene:CCACVL1_06605 transcript:OMO93151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MTRKKVKLAFITNDSARKATFKKRKKGLMKKVSELSTLCDIEACAIIYSPYDSQPEAWPSPAGVQHVLSKFRDLSEMEQTKKMMNQESFLRQRITKANEQLKKQCKENREKEMTQVMYQSLTGKGLHNLNMADLNDLGWLIDHSLKDISKRIDELNKGSNSQGFSAAAAAVAMVPPEAVTKSGENLQVERPGPQTNVDTMQRIMDMINPQEHVGFGGFTDDALNFNAFFP >OMO93159 pep supercontig:CCACVL1_1.0:contig08122:57538:60304:-1 gene:CCACVL1_06613 transcript:OMO93159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran-interacting Mog1 protein MSADLYSERPLFGGAISTTFPLRFQDVSDIRQVPDHQEVFVDPTRDESLIIELLDYKHEVADNGSAVWFLQDLANEQDGEGFTLLEQSPAVEAPGLLYRNTPAIVTTAVGQMAVSKGRQGREAQNIVKVYLANLRLKEVGTDVLITAYEPIVINPLSESASTVGAGLATPAMASGFLPMVEVFKLAVTNFKVYDWGLFV >OMO93153 pep supercontig:CCACVL1_1.0:contig08122:24753:24857:-1 gene:CCACVL1_06607 transcript:OMO93153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTNEREGSSKLQKTEKSPNNLYQEDFQFADVA >OMO93167 pep supercontig:CCACVL1_1.0:contig08122:88944:89243:-1 gene:CCACVL1_06622 transcript:OMO93167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMMKIMISSAILKQDMKRVSGKQHATMVIDIFQCAEREEKYGSPKGHPGDDKGQNSTNDVKKEPFNGVLIDGAISVRNNETMVVRMEVFVQEFDLVH >OMO93148 pep supercontig:CCACVL1_1.0:contig08122:1917:2042:1 gene:CCACVL1_06602 transcript:OMO93148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLIRFKNDIHCITIIIHIDVNLQTNLNRPFSIIDTDKSFY >OMO93149 pep supercontig:CCACVL1_1.0:contig08122:5073:5630:1 gene:CCACVL1_06603 transcript:OMO93149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQQTPLKRQREETQSSEECLVVEETTKRHKSYNHILSLLEAEEDEPNQDLSSLITSLQQELSSSDSVLDETSLPCPKTTNSEADQDNNPSATANAAAIEDDSSATSCLKEEEDQDDKERVIRHLLEASDDELGIPNREEDVVVEFEEEGFNNGGNGFGFCDGLWELEDEAANYYTLLQSELFM >OMO93154 pep supercontig:CCACVL1_1.0:contig08122:26429:26557:-1 gene:CCACVL1_06608 transcript:OMO93154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTATQKVQAFFEIKKAANNKLCDCHPMSKGSSVVRPKYSS >OMO93162 pep supercontig:CCACVL1_1.0:contig08122:69517:76345:-1 gene:CCACVL1_06617 transcript:OMO93162 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase/epoxide hydrolase MVLYKNNGEWLQERRSGIIGAILSSVARTEQGFRVARRGRRFPRSFKPRFFRWLLVLLVVASFLFYFAAFGLKLLSNGHEEENNLPLSQEEVRRKLPSNDVTVTPPPPKSKRRKQHFPCEVGLAAEVDYIIDPKVYLNFTQFSLDYVEREKNPLHENSLESRFGGYQTLKEREGSFVARNQTIHCGFVKGLTRSSNTGFDLSENDKAYMNTCQIAVSSCIFGSSDFLRRPTSRLISEFSKKNVCFVMFVDEQTLSKLSSEGHNPDEKGYVGLWKIVVVRNLPFLDMRKTGKVPKFLSHRLFPSAKYSIWIDSKMRLNTDPMLIIEFFLWRVRAEYAISNHYDRHCVWEEVQQNKRLNKYNHTAIDEQFLLYQSDGLTKFDFSDPNTPLPSYVPEGSFIIRAHTPMSNLFSCLWFNEVDRFTSRDQLSFGYTYLKLRRMNPDRPFHLNMFKSISKAIQASDCSISSTSLTNQHARSSFLFRELLFMDFSSLAAIKSGSVSSDIFGNSVKKAYDGLLLDAAGTLLQLSKPVEETYASIGAKYGLKVDPAEIKQGFKRAFAAPWPEKLRYEGDGKPFWKLVVSEATGCSNDDYFEEVYEHYANGDAWHLPVGAHETIFLLKNAGVKVAVLSNFDTRLRRVLKELDVIDLLDALIISSEVGYEKPNARIFEAALDQIGLEAAKVVHVGDNEKADKMGANAVGIDCW >OMO93156 pep supercontig:CCACVL1_1.0:contig08122:30446:36814:-1 gene:CCACVL1_06610 transcript:OMO93156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE-type MLEKIGLPAKPSLRGNNWVVDASHCQGCSSQFTFINRKHHCRRCGGIFCGTCTQQRMVLRGQGDSPVRICEPCKKLEEAARFELRHGYKSRAGRGSLKSAAKDEDGMLNQILGADSKDSSSSGVASKNDKISSVQRAASSASGSNVQVVASHDEGGEIHRSQSVDQRMQSDVASASPEDLRQQALDEKKKFKILKGEGKSEEALKAFKRGKELERQADSLEIYIRKSRKRGLSSGNISEYQIKDPAKESGRKNKVPHQVGGDKGDLAAELRELGWSDMDLHNDDKKSASMSLEGELSSLLGEIPKKASSHGNGNTEVVAIKKKALMLKREGKLAEAKEELKRAKILEKQIEEQELLAGAEDSDDELSALIRSMDDDKQDEMLYEDTQDFDLGHLAETADDLGINSNFEVTDEDMEDPEITAALRSLGWAEDSISTEDLVAQSPPVNRDALLSEILSLKREAVSQKRAGNVAEAMAQLKKAKLLEKDLESFDSKVKNVAVVKNGSTSHASDISVKSVKLGDGIDNAMKDIDQKPSAKSRLMIQKELLGLKKKALALRREGRLDEAEEELKKGKILERQLEEMENTSNLKASQVTIGSEAKHLTNEHPDLSENLPVEGDVTDHDMHDPTYLSILGNLGWNDNEEQKDSEIILESSLTPAPPKIPSKASKRTKAELQRELLGLKRKALALRRQGNTDEAEEVLESAKALEAEIAEMEAPKKVVESKRPNEKVIIPSHKGAAQEADDENVTEKDMNDPALLSMLNNLGWEDQNLEPVTMPEKYSTNFSNESLHSGLTSVTQPSSHVSVSPPRSKGEIQRELLGLKRKALALRRNGQTEEAEELLQKAKVLEAEMAELEVSKGDVVLDSSKDSKSGNSESFISQGRQGNLKSEVKIKEGPVEVVVGPSNTVIESSIGLGRVDSATDSTTMKNSDFLEDILPSMGKLDPSGPMGRLGGQVKVETTSFIPSFDQPANIVDLLTGDDLTRSQSSTEKLDDKFNFGSDVSSLARPNVELISQEDLRTKDEATPEKSKVVDGEQMSIAFDTNSVQGFSSQNSEDSLRQAVLSHKKKALALKRDGKLAEAREELRQAKLLEKSLAADGTPPKTGANGSPESASAVHFDVAKEQGASSLAPKPVSGRDRFKLQQESLSHKRQALKLRREGRMQEAEAEFELAKSLEAQLEELAAQDSTKSSAVDDVIVEDLLDPQLLSALKAIGLDDSSVVSQRSESQGSVKSNSSKSENVDQERIQLQERIKVEKLKAVNLKRYEKKNVDAVKAIISIAIEVGNHLQEAWEHILTCLSRIEHLQLLGEGAPTDASFLSVSNTETDEKAPKSAGLQSLERKGTLNNPAVMAVVRGGSYDSTTLGVNNSGLVTPEQINSFITNLNLLEQIGNFELNHVFAHSQRLNSEAIVAFVKAQVSISELQSPTDPRVFSLTKLVEIAHYNMNRIRLVWSRMWNVFSDFFVAVGLSENLSVAIFVMDSQMVLSRVTNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREYFPHITETETTTFTDCVRCLVTFTKSRFNSDVSLNAIAFLRFCAVKLAEGGACVH >OMO93150 pep supercontig:CCACVL1_1.0:contig08122:12575:14658:1 gene:CCACVL1_06604 transcript:OMO93150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLNRIAKSLTKPTINSIHSKLAKPHLNPTTLFRALSTKPPQNDKDESWNDAWETAWLPDDISPKNKAPWEGDVNFPSNNEESATKMVLPSEVDAETKAFVEDMNENWNERRKSPKQKQREEAEKIRKGEGGGLYSLENMKKDYRLKKQRIHAGLWMKEIDKLEEAKLGDSADDIDKLLDSCSDIFDSPNTDLENSKIPGSSELKNKPDGWETTSKAPDGNVWEMSQREEDILLQEFDRRIAYCKFQIASFIKTHIFSRRRPIDGWKYMIEEIGPNARKGKGSVSRLPSLSDPSTQPFKEEKMQIGSSSQSRFKGR >OMO93158 pep supercontig:CCACVL1_1.0:contig08122:46214:47422:-1 gene:CCACVL1_06612 transcript:OMO93158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MIMDVENGIRRPNFPLQLLETKEQQEESGSGLLPEPSSKTAVSEQTPKKPPPKRTSTKDRHTKVEGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSASHLRNTYFNPNFTTQQLRLRSEWDHQRNVLEDSSSSQQQRRILFPGVSLEDNNSLSFPSTSSVNAFLQAKQELREGTSVDVTAEATAAAGDDDSSSIGKKRRPHEQQQELLSQNQVGLGSYLIQSTTGSIPASHNPNPATFWMVTNPSNNQMMSGSSNNNTGDPMWTFPSASNSNMYRGTMSSGVHFMNFATPMALLQPGQQLGSGIGSAAAGGSVTDSHLGMLAALNAYRQIPGTTVSESQTSGSQHHHHQHHGGEARHHDSTS >OMO93163 pep supercontig:CCACVL1_1.0:contig08122:77223:80416:1 gene:CCACVL1_06618 transcript:OMO93163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKEAVNIVRGLTGSEDGLRSLSNYADALLRSLTRLLSEDKEVSEPAAEALVNLSQDAGLAAKMVEIEMIKVAMDLLYKPGSSITRLLVMLLVNLTQLDDGIASLLQIGDEKMQGLYVMKLVRSFCRSSETDDTFDHVGSILVNISKKQDGRKMLMDPKCGLLKQVIRQFDSTSPLRQKGVSGTIRNCCFEAESQLQNLLLISEFLWPALLLPVAGNKVYREEDTSKMPPELGSALSIEREPVKDSEIRVQALEAIYLITLQEAGLRAFWTVNGPRILQVGYEDEEDPKVMRAYEQIGSLLVNSNGIEEPSTTTSK >OMO93166 pep supercontig:CCACVL1_1.0:contig08122:84927:86636:1 gene:CCACVL1_06621 transcript:OMO93166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MALGSFENYRLHSKKDEEEKNLVSKEAVIKNVLLQQTIQATVAIFLYTVTKYDDGASRTKSTPLLVIARQFIMAMIILDTWQYFIHRYFHHNKFFYRHFHSQHHRLVVPYAFGAIYNHPFEAFVFDIIGGALSFFLSGMSPRTSIFFFSFATMKSVDDHCGLWLPGNLFHMVFNNNSAYHDVHHHFYGGKYNFSQPFFVMWDRIMGTHMPYLLEKKAEGGFHARPLKNNIRSAKPKNS >OMO93152 pep supercontig:CCACVL1_1.0:contig08122:19011:24121:-1 gene:CCACVL1_06606 transcript:OMO93152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE-type MADLQKGGLAERDIDQAITALKKGAYLLKYGRRGKPKFCPFHLSSDETKLIWYSGKEEKHIKLSHVSRIIPGQRTAIFQRYPRPEKEYQSFSLICIDRSLDLICKDKDEAEVWFAGLKALISGGTSRKWRIEARTDVGSLDSPLARNRKSSILVCYISLFGFNQDPGDAPGIQAPYEAQCRLGKAFSDIITQTAVSKSAIQPDLVDLGLPSPGPVASPGPVEYSNSRTSGADAIRVSLSSAVSSSSHGSCNEDFDALGDVFIWGQGVGEGLLGGGVHKVGAPLNSKIDALLPKALESTVALDVHNIACGGRHAALVTKQGEIFSWGEESGGRLGHGMEADVPQPKLIVTLSGMDFESIACGEYHTCAVTVSGDLFTWGDGTHNSGLLGHGSESSHWIPKKVSSMEGIHVSYISCGPWHTALVTSGGQLFTFGDGSFGALGHGDHSSTTFPREVGTLSGMKTTRVACGVWHTAAVVEVVTESSDSGSPGSCTSAKLFTWGDGDKGQLGHGDKESRLFPECVASLVDENICRVACGDNLTVALTTSGRVYTMGSSAYGQLGTPTADSKLPTRVEGKIADSFVEEIACGSYHVAILTSKTEVYTWGKGTNGQLGHGDMDDRNTPTLVDFLKDKQVKGVACGSNFTAIICLHKWVSSADQSMCSGCRNPFGFRRKRHNCYNCGLVFCKVCTSKKSLKASLAPTTNKPYRVCDDCFAKLRKGAESGSAVRTPNAGNGTLVRKYSEMIDREAFAPRLQTQLSRVSSVDSSNLAESRNFKHDLKLQIQSRSLFPTQNGNFHPGEFHSPKLSISQGGDAKKILAASLAGSRKTSRAVSPVSGMSSPTRSSAVTLDDSKQMNDSLNQEIINLRAQVEDLTLKSQQLETELAKTSRQLQQVSAIAENEAEKCKSAKEVIKTLTAQLKEVADLLPAGQNAHSNSSSIGKHIPNVESLFSNDGYASMMPTGSEVNGNSETISHGTKGQTEKSEMVVQVEPGVYLTLSSLPNGSNELKRVRFSRKHFTEEQAEKWWAENGVKVCERQNILNAS >OMO93157 pep supercontig:CCACVL1_1.0:contig08122:41436:42529:-1 gene:CCACVL1_06611 transcript:OMO93157 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein MGSQNFLQVVAKNFDVLALYASIKAIETRSSNDDQQWLTYWVLYSMITLFELTFAKVLEWFPIWPYAKLIVTCWLVLPHFNGAAYVYRHFIRPVYLNPQSATSTIWYVPRKKNIFRKQDDDILTAAEKYMEEHGTHEFGRLITKAEKEERVRRSNNYMIFDDDYMY >OMO58543 pep supercontig:CCACVL1_1.0:contig14210:24132:28042:-1 gene:CCACVL1_25447 transcript:OMO58543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MRGPSLSPKEPRLRVSASSEDTTRRGFQRNRVFKDVEKALHVPIRYRNWNFKISTLKAVLVLILLGTLFTLFRSPAVYVSDRPSNSVSRPGFVDRWMRDSAAADPRYVTLLDVNWDQILNVIEKLTDSDEYQGIGLLNFNTSETDQWKQLLPDAEHVVLQLDSVADNVTWETLYPEWIDEEEEFEIPTCPSLPNLQVPGKPRIDLIAVKLPCDKSKKWSRDVARLHLQLAAARLAASSKGFHPVHVLFVTDCFPIPNLFTCKDLVAREGNVWLYKPNLHRIREKVQLPVGSCELSVPLQAKEYFHSEKAHREAYATILHSAHIYVCGAITAAQSIRMSGSTRDLVILVDDSISDYHRGGLEAAGWKIYTIQRIRNPKAEPEAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFEMPEISAIGNNATLFNSGVMVVEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEKKQMKTRLFGADPPILYVLHYLGNKPWLCFRDYDCNWNVDILQEFASDVAHKTWWKVHDAMPEHLHKYCLLRSKQKAQLEWDRRQAEKGNYTDGHWKIKIKDKRLKTCFEEFCFWESMLWHWGEKNWTDNATATPSLPATNKASLSSL >OMO58542 pep supercontig:CCACVL1_1.0:contig14210:11122:13954:-1 gene:CCACVL1_25446 transcript:OMO58542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome maturation factor RimP MDLLASSFNFKHFATVILSPPQPTATTCSYSFRSPPGSAYKFPFPVWAYPFARTPNRSPSSSSITIHARKKISKSDSDPLLKPTIVEEVSMDEDDEEEEQLLFDDFEDDESMIDNDDDYFEEEYIENETEQYVGDGAGGGGISLAGTWWDKEALRLAEDICLSFDGELGIYAFKTLSNSSIKVRIERLTNKSGSPSMEDVEAFSVSYRSKLDEAELAKSVPENITLEVSSPGVERVVRIPQDLERFRDRSMYVKYVTEVAESGSLSEGDGVFRLISFDMETKRCTWGLADVRINREKAGKGRPLSKKQKEWRLETTFDSLQLVKFYSEI >OMP08895 pep supercontig:CCACVL1_1.0:contig03535:2367:6353:-1 gene:CCACVL1_01080 transcript:OMP08895 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b245, heavy chain MRNEDGRGAYSENNSDTESIASDRAAFSGPLGVGGGPVSNKRASKKSARFNLPPEIAMAKTNSTNSVASYSAASAAADNDDSYVEITLDIRDDSVAVHSVQGAGGGGEDPELALLAKRTLENKSASFRSYLLRNTSAQIKQVSQELKRAVSRRPSNAGKKFDRTKSAAAHALKGFKFIATKTGASGNGWSAVEKRFNDLTASTNGLLHRSQFGECIGMNQSKEFAGELFQALARRHNVTGDLINKTQLKLFWDQISDESFDSRLQTFFDMVDKDADGRITEEEVREIISLSASANKLNNIQKQAEEYAALIMEELDPDNAGYIMIHNLETLLLQAPNQSVRIGDSRILSQMLSQKLKPTQENNPLKRGYQKTKYFIMDNWQRLWVMMLWLGIAGGLFAYKFIQYRHKEAAFNVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWLRNKTKLGVVVPFDDNLNFHKVIAVGITVGVILHGGAHLACDFPRILSATEEEYEQLEPYFGEDQPDSYWWFVKGVEGVTGIIMVVLMAIAFTLATPWFRRNKLNLPKFLKKLTGFNAFWYSHHLFIIVYTLLIVHGIKLYLTKTWYQKTTWMYLAVPITLYACERLIRALRSSIKAVKILKVAVYPGNVLSLHMSKPQGFKYKSGQYMFVNCSAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLKTVFSEVCQPPPAGKSGLLRAEGTNTSFPKILIDGPYGAPAQDYKKYDVVLLVGLGIGATPMISIVKDIINNMKMEEESNPGYALENGTYNKNNKNSKGFKTRKAYFYWVTREQGSFEWFKGIMNEVAEMDEKRLIELHNYCTSVYEEGDARSALITMLQSLHHAKNGVDVVSGTRVKSHFAKPNWRQVYKKIALHHPDARIGVFYCGAPALTKELKQLALDFSHKTSTKFEFHKENF >OMO57800 pep supercontig:CCACVL1_1.0:contig14314:17950:18138:1 gene:CCACVL1_25685 transcript:OMO57800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKGSRTKDYAWAISASINPAFAAVLAKFFLSPLVRYGLVIFFNVIMWECYVNSLKALSSL >OMO57798 pep supercontig:CCACVL1_1.0:contig14314:3914:4139:1 gene:CCACVL1_25683 transcript:OMO57798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCEEAAPLVEKFDDEMLRDRPNLSNEELEKVRDQNFPSWLR >OMO57799 pep supercontig:CCACVL1_1.0:contig14314:5218:5460:1 gene:CCACVL1_25684 transcript:OMO57799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGRNKRLKMVPRTNWRPSVEDNDNIEGLDVNAENHDITTPPSEVPIVNPSTADSNICSEVPIANPPIVDSAIQRNGE >OMP01386 pep supercontig:CCACVL1_1.0:contig06460:6265:6378:-1 gene:CCACVL1_03103 transcript:OMP01386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VENWTCRVSSVRRLIRSLFTVSELTKSRELQNVVLAA >OMO99910 pep supercontig:CCACVL1_1.0:contig06774:6025:6084:1 gene:CCACVL1_03552 transcript:OMO99910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLNNGENDEELNGFVGA >OMO98602 pep supercontig:CCACVL1_1.0:contig07072:779:3986:-1 gene:CCACVL1_04160 transcript:OMO98602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HAVPATKIEDIFCQLPLPYMDEEFKLDLTWRDLVDNKLEPPPYVHIKRNVYLVKKKRDDSDNDIGCTSCGPTCSENCVCRVQCISCSKACRCPETCSNRPFRKEKKIRIVKTELCGWGVEAAEPINKGDFVIEYIGE >OMO98603 pep supercontig:CCACVL1_1.0:contig07072:4366:4479:-1 gene:CCACVL1_04161 transcript:OMO98603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHDKCAPWPDAVIHLKDKPGRAICWRHPTNWRLDKK >OMO98604 pep supercontig:CCACVL1_1.0:contig07072:5973:6849:-1 gene:CCACVL1_04162 transcript:OMO98604 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone-lysine N-methyltransferase ASHR3-like protein MPDLGNLSHSSSLSLPRCSGLRLLAIDSADPGIAFDPGTVRTVAVNYDWGQKYVHKDSECNRDGIRVLKRGKKGSNGKVLGDYLRDWLQRKMESGVPESQCFLPFLVGAKKLAK >OMO84610 pep supercontig:CCACVL1_1.0:contig09733:25796:40055:-1 gene:CCACVL1_10747 transcript:OMO84610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytoplasmic FMR1-interacting MAAVPVEEAIAALSTFSLEDEQPEIQGPAVLVSTERGATSSPVEYSDVSAYRLSLSEDTKALNQLNTLIQEGKEMSSVLYTYRSCVKALPQLPESMKHSQADLYLETYQVLDLEMSRLREIQRWQASASSKLAADMQRFSRPERRINGPTITHLWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSVQWPDTDSMREELDDLQIFLSTRWAILLNLHVEMFRVNNVEDILQVLIVFAVESLELDFALLFPERHMLLRVLPVLVVMATSSDKDSESLYKRVKINRLINIFKRHYLIVNHMGTIRAEHDDFAIRFAASVNQLLLLKSTDGADIEWCKEIKGNMYDMVVEGFQLLSRWTARVWEQCAWKFSRPCKDAGPSESQELLSSYSDYEKVVRYNYSQEERKALVELVSYIKSVGSMMQRCDTLVADALWETIHAEVQDFVQNTLATMLRTTFRKKKDLSRILSDMRTLSADWMANTSKPESEFQSLQHGGDESRGNFFYPRPVAPTAAQVHCLQFLIYEVVSGGNLRKPGGLFGNSGSEIPVNDLKQLETFFYKLSFFLHILDYSATIATLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVEHVLESPCAGLLESVLMPFDIYNDSAQHALVVLKQRFLYDEIEAEVDHCFDIFVSKLCEVIFTYYKSWSASELLDPSFLFALDNGEKYSIQPMRFSSLLKMTRVKLLGRTIDLRSLIAERMNKVFRENLEFLFDRFESQDLCAIVELEKLLDILKHSHELLSKDLSIDSFSLMLNEMQENISLVSFSSRLASQIWSEMQNDFLPNFILCNTTQRFIRSSKVPLVPVQKPSIPQAKPNFYCGTQELNSAHQSFARLHSGFFGIPHMISVVKLLGPRSLPWLIRALLDHISNKIATLEPMISGLQETLPKSIGLLPFDGGVTGCMRLVKEQLSWGTKSELKAEVLRGIKEIGSVLYWMGILDIVLRELDTTHFMQTAPWLGLIPGADGQTLHSQNGGDSPVVNLFKSATTAIVSNPRCPNPTSFYTMSKQAEAADLLYKANLNTGSVLEYALAFTSAALDKYCSKWSAAPKTGFIDITTSKDFYRIYSGLQIGYLEQSVQLQPNNHNVLGDSVAWGGCTIIYLLGQQLHFELFDFSYQILNVAEVEAVSITQTHKNHHFVQGWDTLLEAMKKARRLNNHVFSMLKARCPLEDKTACAIKQSGAPLHRIKFENTVSAFETLPQKGT >OMO84609 pep supercontig:CCACVL1_1.0:contig09733:17074:18602:-1 gene:CCACVL1_10746 transcript:OMO84609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSFIQNKGLCGGPLEYAYTMKVTEKCDIYSYGVVLLELLTGRTPVQPLDQGGDLVTWSPTGAKAALTPPLVMTALIDLENKKST >OMO84611 pep supercontig:CCACVL1_1.0:contig09733:41309:47834:-1 gene:CCACVL1_10748 transcript:OMO84611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLFSHTLLPSTPPLSRHRNAVVYAAVSTTKRKPSPRRKKRQSQQNKDGENATLSSTNAAVSALEKSLRLTFMEELMQRARSQDTAGVSDVIFDMIAAGLSPGPRSFHGLVVAHVLNGDVEGAMQALRRELGVGIRPLHETLVSLIRLFGSKGLATKGLEVLAAMEKLNYDIRQAWIILVEELVRNNYLEDANNVFLKGCKGGLRATNELYDLMIQEDCKAGDHSNALDIAYEMEAAGRMATTFHFNCLLSVQATCGIPEIAFATFENMEYGEGFQVACILMIYAIKVPHINVYLAFVMNCRVQDVAELLGMMVEDHKRLQPNVKTYALLVECFTKYCVVKEAIRHFRALKNFEGGTIVLHNEGNFDDPLSLYLRALCREGRVVELLEALEAMVKDNQPIPPRAMILSRKYRSLVSSWIEPLQEEAELGYEIDYIARYIEEGGLTGERKRWVPRRGKTPLDPDAAGFIYSNPIETSFKQRCLEEWKIYHRKLLKTLQNEGLAALGDASEFDYFRVVERLKKIIKGPDQNVLKPKAASKMIVSELKEELEAQGLPIDGTRNVLYQRVQKARRINRSRGRPLWVPPVEEEEEEVDEELDKLISRIKLEEGNTEFWKRRFLGEGLNVDHVKPTDEGEPEPAEDDLDDGDAVEDAAKDIEDDEADEEEEVEQTEPQEVDRIKDKEVEAKKPLQMIGVQLLKDSDQITTRSKKSRRRSSRVSVEDDVDDDWFPEDIFEAFKELRERKAFDVEDMYTIADAWGWTWERELKNKHPRKWSQEWEVELAIQVMQKVIELGGTPTIGDCAMILRAAIKAPMPSAFLKILQTTHSLGYIFGSPLYDEVISLCLDLGELDAAIAIVADLETTGIAVPSETLDKFVPAS >OMO84608 pep supercontig:CCACVL1_1.0:contig09733:5249:12386:-1 gene:CCACVL1_10745 transcript:OMO84608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MLSLQNGVGSTRAAPYGGGLVDRADETAAAAVKGVVYSVKQEPASSLGIVPQKGHDAHEVDEDMHLALAHQMYKSGNYKQALDHSNAVYEQNPLRTDNLLLLGATYYQLHDYDMCIAKNEEALRIEPRFAECYGNMANAWKEKGDLDVAIRYYMIAIELRPNFADAWSNLASAYMRKGRFNEAAQCCRQALQLNPHLVDAHSNLGNLMKAQGLVQEAYSCYLEALRIQPTFAIAWSNLAGLFMDSGDLNRALQYYKEAVKLKPTFPDAYLNLGNIYKALGMPQEAIVCYQRAVQTRPNNPIALGNLASTYYERGQLDMAILHYKQAIACDQRFLEAYNNLGNALKDVGRVDEAIQCYNQCLTLQPTHPQALTNLGNIYMEWNMVAAAASYYKATLAVTTGLSAPFNNLAVIYKQQGNYGEAISCYNEVLRIDPLAADGLVNRGNTYKEIGRVSEAIQDYIRAINIRPNMAEAHANLASAYKDSGHVEAAVKSYKQALLLRPDFPEATCNLLHTLQCVCSWEDRDKMFTEVEGIIRRQINMSVLPSVQPFHAIAYPIDPMLALDISRKYAAHCSLVASRFALPPFNHPAPIPIKRNGGNERLKVGYVSSDFGNHPLSHLMGSVFGMHHRENVEVFCYALSQNDGTEWRQRIQSEAEHFIDVSAMSSDVIAKMINEDGIQILINLNGYTKGARNEIFAMQPAPVQVSYMGFPGTTGANYIDYLVTDEFVSPLRYSHIYSEKLVHLPHCYFVNDYKQKNRDVLDPNCQHKRSDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILRRVPNSALWLLRFPAAGEMRLRAYAVAQGVQPEQIIFTDVAMKGEHIRRSALADLFLDTPLCNAHTTGTDILWAGLPMVTLPLEKMATRVAGSLCLATGLGDEMIVNSMKEYEERAVSLALNRPKLQALTDKLKAVRLSCPLFDTARWVRNLERSYFKMWNIHCSGQQPQHFKVTENDFDFPCDR >OMP06805 pep supercontig:CCACVL1_1.0:contig04797:514:582:-1 gene:CCACVL1_01438 transcript:OMP06805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINSTQRRHPRKTALYPALEL >OMP11059 pep supercontig:CCACVL1_1.0:contig01614:542:634:1 gene:CCACVL1_00693 transcript:OMP11059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATPGFELGNKGFAVPRLTARPCRQKTTNKK >OMO62783 pep supercontig:CCACVL1_1.0:contig13159:19908:20860:1 gene:CCACVL1_22636 transcript:OMO62783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDGEEQMAGKLALTSHFRLVAIPKEWRVTFT >OMO62784 pep supercontig:CCACVL1_1.0:contig13159:31317:32537:-1 gene:CCACVL1_22637 transcript:OMO62784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MSSACAEQHHHKFLPCHQLPKKASNFKDIEIPPRKLLLSHHNNHIQENAAAAMQIPYESTSLHKYLPSNRNNGEDIDDDDNDSDPYATDHFRMYEFKVRKCTRSRSHDWTDCPFAHPGEKARRRDPRRYRYSSTVCSDFRRGGGCPRGDDCDFAHGVFECWLHPTRYRTEACKDGKNCKRKVCFFAHSPRELRLLPEASPKYKNSSASDCNSSSSSSPINKNSFCCLFCHSVTNSPTSTLLGLSHLSRSPSLSPPLSPVKQQRATSLNGFSPISRYGGDRLTKFGTQVMSYKEVLNELIMNSLEKMKICEVSSPMAAAPAGNNNNLMKNANNNIPWLDVSMNGEFDHQQPQFVLSPSRPSPSSSGGSGEYFGVTSPGLFGGGEKLNGNVINCDPDPDLGWVNELLM >OMO62782 pep supercontig:CCACVL1_1.0:contig13159:4772:19383:1 gene:CCACVL1_22635 transcript:OMO62782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MKRYVYINDDESSKELYCDNRISNRKYTLWNFLPKNLWEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSASKEAWDDYNRYLSDKKANEKEVWVVRQGIKKHIQAQDIHVGNIVWLRENDEVPCDLVLIGTSDPQGLCYVETAALDGETDLKTRIIPSACMGIDFELLHKIKGVIECPNPDKDIRRFDANLRLFPPFIDNDVCPLTIKNTILQSCYLRNTEWACGVAVYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFVFQIVVVMVLGIAGNVWKDTEARKQWYVLYPDEGPWYEVLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDFEMIDQETGIPSHATNTAISEDLGQVEYILTDKTGTLTENRMIFRRCCISGIFYGNETGDALKDVELLDAVSGGSPDVVRFLTVMAVCNTVIPVKSKTGAILYKAQSQDEDALVNAAARLHMVFVNKNANILEIRFNGSVIHYEVLETLEFTSDRKRMSVVVKNCQNGKIILLSKGADEAILPHAYAGQQTRTFIEAVDQYAQLGLRTLCLAWCELKEDEYQEWSLMFKEASSTLVDREWRIAEVCQRLEHDLEVLGVTAIEDRLQDGVPETIETLRKAGINFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEDEVFRSLERVLLTMRITSSEPKDVAFVVDGWALEIALKHYRKPFTELAILSRTAICCRVTPSQKAQLVELLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLVICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLSEGTVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFLITIHAYAYEKSEMEELSMVALSGCIWLQAFVVALETNCFTIIQHLAIWGNLVAFYVINLIFSAIPSSGMYTIMFRLCRQPSYWITMFLIVAAGMGPVLALKYFRYTYRPITI >OMO72951 pep supercontig:CCACVL1_1.0:contig11327:9694:10314:1 gene:CCACVL1_17498 transcript:OMO72951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MATIILAKPQRSIFLLILFFTIFSFSTSLAITEDPSDEVFSKFISPSSLGLTKREKLSHLHFYLHDIVSGKNATVIRVAGATNAKRTASTSSPFSFGSVYMIDDPLTMKPDINSTMVGRAQGLYSYASQSELSLLMVLNFAFTHGKFNGSTLSVLGRNNVLSTVREMPILGGSGVFRFARGYAQAKTYSINFFEAIVEYNIYVFHY >OMO72952 pep supercontig:CCACVL1_1.0:contig11327:20081:32011:-1 gene:CCACVL1_17499 transcript:OMO72952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAFSSASKPATQFPKLNLPITEYPNPSTYESYLQFLKEIRKFKVIPILREKRKPSQEGSVFFASSQSEYEDQVGRPNT >OMO86543 pep supercontig:CCACVL1_1.0:contig09464:40684:41028:1 gene:CCACVL1_09570 transcript:OMO86543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDAPKAYHGLEEQNGDHGEDVHGLQGSMDKLKEDGDIVQVVPSTKEMPKMPLGPMTRARAKRFKDALMGLIRTHLEDLKTIEVQLKSFGDVLSKNIPNDFKLLTLFAIDEQLG >OMO86542 pep supercontig:CCACVL1_1.0:contig09464:35842:40011:-1 gene:CCACVL1_09569 transcript:OMO86542 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MGQQNSTANTEVVKEDGLPSLMESTGGEVEMVLSSDAISLPPQQPPMVASDTLVPNLSNLIHDDMVAEVSARLNSDQNVSAIGVHNPSILAIVEPRISGLRAERVLRKLKFPKWHVADPVGFAGGIWLNWDDAVVHITVIISSAQILHVLVKPKDSEEFLLTVVYASPRVELRRSLWSHIENLARTINALWVVLGDFNDVSNAWEKMGGAVPSLARCNLFNGMITNCGLMDLGFSGPAFTWCNKRKGLRKVQEHLDRVLADPNWQLLFLDAAVVHLPRVYSDHSPILLKMEPGVGSDKTKRPFRFQAMWMADNGFQKMMGNLWKTLEGNFIAKEEKLASALMEWNKSEFGNIFEKKKELRPRISGVQRALANHRSHQLELLEEDLIAQYDKILEQEEGDLQKRVVKYFKELFSIDDGEVQPLSPLPQPILSSYENSDLSKAISFDEVRCALFQMKPWKAPGLMAFRRVFIKSFGVLLVLVNRLRPLFDKLVGPLQSSFIPGRQSADNVFIAQEMIHTIKRSKSKLGLMTIKIDLEKAYDRICWDFLRDTLIAFGFPDSWVKLILFCLESSSMAVLDDFCSASGSKVSLEKSHMYVSPKPAGDNVRLSKVLNLAGRAALGGSEGKRALHLVNWDEVCKPKKYSGLGLRKMEYHNRVLIQKTTWRFITQPQSLWVQCMHAKYKVQGDIFDFIRDVGAKKSAWSSSWRGKVGALDELHRGLKKRVGSGETIHFWTDRWLEVPLIDLIKDLPSFMDITLMISDFMVNEGNWNVDMLFAQLPGDEDILHVLRDCEKAKQVWVQVFPSSLHSTFFSLIVSDWLKQGLDYKGNSSFQVLLGRFMPLCLSPPPAFGRIFAFNGSLLRWILSTYMDGVARGNPGIAGAGGVIRNSAGAWLAGFATHLGTCSNVAAELHALRLGIQLAWREGYRSVLCEVDAKVILDLLHSTDVEFHPLGALFMDIKETLTWD >OMO54993 pep supercontig:CCACVL1_1.0:contig14825:541:603:-1 gene:CCACVL1_27441 transcript:OMO54993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGATKGGGKVKEVALGDW >OMO88573 pep supercontig:CCACVL1_1.0:contig08989:59153:63125:1 gene:CCACVL1_08310 transcript:OMO88573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICSKGASEENVSDDNNGNEKDFYIHKSSSVQLVAPAPSNKEDFSVGRANNKKSGPVNEVGRKSFVEKPKYMVSIGSTPRGAEGELVAAGWPSWLASVAGQAVKGWLPRGIESYEKLDKIGQGTYSSVYKARDLKSGKLVAMKKVRFVNMDPESVRFMAREIIILRRLDHPNVMKLEALVTSKVSGSLYLVFEYMEHDLAGLAATPSIKFTEPQIKCYMKQLLLGLEHCHSRGVLHRDIKGSNLLIDNNGVLKIADFGLATLFQPDQKQPLTSRVVTLWYRAPELFLGASEYGVAIDLWSVGCILAELFAGKPIMPGRTEVEQIHKIFKLCGSPTEEYWQKRKLPLTTSFKPQQPYKRCVADTFRNFPSSALRLVDKLLAMEPEDRGSAASALRSEFFRTDPFPCDPSSLPKYPPSKELDAKRRNEEARRERAESVKGRGPESVRRGSRDYNGARTPEFIAPGQSITSTSHNYNHVENGASGYRIDPHKVTSQNGLSHSSSMIHPRIVGSLNKAGSTKNGYDLRTQASLRTQAASTSHNRASSNNEPASGYVPKKTRINYSGPLMPPGGNIDDILKEHERQIQQAVRKARLEKSGTSKNCNVYVQHENGIYVK >OMO88568 pep supercontig:CCACVL1_1.0:contig08989:17630:19672:-1 gene:CCACVL1_08305 transcript:OMO88568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECTKLNSLSLCIHSSPSNPLLFTKNQFTRTKAKAPKPTKRASKFHHRRNPQPFFEENAFPSSLPLHTKNPHAIYKDIQRFARQNKLKEALTILDYVDQQGIPVNATTFSSLLAACVRSKSLADGRQIHAHIRINGLENNEFLRTKLAQMYTSCGSIEEAQRVFDECTSKNVNSWNALLRGTVISGKKRYLDVLSTYSEMRMLAVELNEYTFSNVLKSFAGASAFREGLKAHALLVKNGFIDSSMLRTGLIDMYFKCGKIKLAYRVFEEIPERDIVLWGAMIAGFAHNRMQREALDYVRWMISEGVYPNPVILTTVLPAIGEVWARKLGQEVHAYVLKTKNYSKQLIIQSALVDMYCKCGDMDSGRRVFYCSSERNAISWTALMSGYVSNGKLDQALRSVVWMQQEGFRPDVVTVATVLPVCAELRALSHGKEIHAYAVKNCFLPNVSIVTSLMIMYSKCGVVDYSFKLFNNIEARNVISWTAMIESCVESGRLHEALCVFRSMQLSKHRPDSVAMARMFNVCGALRAVKLGKEIHGQVLKKGFESIPFVSAEIIKMYGSCGLLTSAKLVFDAVPAKGSMTWTAIIEACGYNDLCRDAISLFHQMASEGYTPNHFTFKVVLSICRQGGFVDEACQIFSIMTHKYKLKASEEQYSIIIDLLNMYGRFEEAERYLHMSSLSS >OMO88571 pep supercontig:CCACVL1_1.0:contig08989:53344:53838:1 gene:CCACVL1_08308 transcript:OMO88571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTSAVEESFGNGKKYKGIRRRRWGKWVSEIRVPGSQERLWLGSYSTPEGAAIAHDIAFYCLRRPSSLNLLNFPSMLPPNVNVNMSPKSVQKAASDAGMAVDAQLMVVGGGGGNEVKANDDQNGVVTVGLETDYSWENDSSSSWEGSVGKETEALSISVEDYL >OMO88572 pep supercontig:CCACVL1_1.0:contig08989:54713:57262:-1 gene:CCACVL1_08309 transcript:OMO88572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MMDLVNCFLNLVVPPASMLMLAFAWPTLCFIRSCEWLYNTLYSDPHMDAKVVIITGASSGIGEQIAYEYAKRGANLVLVARREDRLQAIRQKATQIGAKNVLIIAADVVKEEDCRRFVNETVNLYGRVDHLVNTVSLGHTFYFEEATDTSMFPHFLDINFWGNVYPTFAALPHLHKSKGRIIVNASVENWLPLPRMSLYAAAKAALVNFYETLRLEVINDEIGITIATHGWVGADMSRGKFMLEEGADMQWKEEREVRASGGTVEEYARLIVSGACRGDAYVKYPSWHDTFLLYRVFAPNVLSWTFRLLLSTNGKRKTSMEGVGKPVSTATYNAMEAATTSPSKLQATSPRKLPPGPITFSYSPQKMD >OMO88569 pep supercontig:CCACVL1_1.0:contig08989:20066:27180:1 gene:CCACVL1_08306 transcript:OMO88569 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative catalytic MSFISHFTAPFQGQRAAFSSPDAQLKFHWLASINSRPTIRIARPFQNDTLDISRVGFGKVGRGREGSVRVALVNERLGNDRNVSEPSSVLAYELVQGKLVNWSPMVVLNRSIPEPPTAVLLHGILGSRKNWGSFARRLAQEFPTWQFVLVDLRCHGDSASMKKRGPNTVASTASDVLKLIAQLRITPRVLIGHSFGGKVALSMVEQVAKPLPRPVRVWVLDATPGKVRAGGDGEDHPAELISFLRKLTKEVSSKRDVVDSLVREGFSKDVAEETSMNYFPVKSVQASLVSGGWKCVIVSLADGNKTFEWVVTNLRPTAGIGSSSSSFSWVFDLEGIAEMYQSYEETNLWKVVENLPRGVHVNFLKAERSLHRWALEDLQRIHAAEEIASDEGGGVEMHVLEDAGHWVHADNPDGLFRILSFSFKGVRT >OMO88570 pep supercontig:CCACVL1_1.0:contig08989:27912:29532:-1 gene:CCACVL1_08307 transcript:OMO88570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLIEEKALEQHNYSLEEKVSEFMNNNFVESDACFKEEEEEEADDGYGSDGNESIDPFSRTLYWESQEALLQEIQERYVLIGSKLRQEICRIIEKAREETDFCKCIKKPSIDGCTNCLRKRVIALLCNRGLNATLCVSKWNHTKKHPAGTHEYIEVIASTQGRKKQIPFVIELEFRDQFEMAKACEEYRKLVEQLPEFYVGKADYLNAIVGVMCDAAKRSMEEKKLHMGPWRKRSFMQMKWSNSIHESPPSNKFSSLSSSQVDQF >OMP01508 pep supercontig:CCACVL1_1.0:contig06428:15187:16200:1 gene:CCACVL1_03079 transcript:OMP01508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAAEEGCDGIISTVHPDIIETHILTRLDGPSLASLACASSQLHALSTQDNIWQNICSSIWPSINHPRLQNIISTFPSGHRSFFSDSYPFPHSQPSKQNGNSLTLPTELISAVDIYYQNQIIYSKVEEMETSSNWFLYSPFRVDLLGQKDSISTPIKYVGGSKVDAWLKHLEENLSLSWIVIDPTRKKAVNMSSRGPVSVQRHWLTGDVQVRFGTVMAGDGWRGSAREMVECGVVVICGGKEGGEMHVNEVSMVMEDMDGKALNGKDSLVILKGILENGRRKKLNGNEGKEKYEQFEERKRGRKEGRQRNDRALDLVCIAVGVAGFVAFWSAMLLR >OMP01507 pep supercontig:CCACVL1_1.0:contig06428:2542:5456:1 gene:CCACVL1_03078 transcript:OMP01507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLTKEQLLKDKQTHDPNSITSLSLDHKALSDVSCLGEFQNLERLDLTFNSLTSLEGLKSCVNLKWLSVVQNKLQTLKGIEGLTRLTVLNAGKNRLRSMEEVRPLVNLRALILNDNEIVSISGLDQMKDLNTLVLSRNPITEIGNSLVKLKSITKLSASNCQIQAIESSLKSCSELKELRLAHNDIKSLPAELSYNKKLQNLDLGNNLITRWSDLKALESLVSLKNLNLQGNPIAEKDKLAKKVKKLLPNLHIFNARPLDKIINKEMGGTYKDENPKKEKKNPKFEVLDQKQDTNLNNAKGLDAEKELKKKRKKGNDKVSGDVLVEEENDIVVEKEKKKKKSKGEPENDNLKKEVSVNKVGNTLEEKPKKKKSDKRAELDIIDDGGTSFAELISTDVAGPEDDGKRNMFDGASQDLKRASGVVTYPVKKKKTKHSSRPELELSPAVEIGMGGPSTWDDE >OMO77004 pep supercontig:CCACVL1_1.0:contig10848:421:3479:1 gene:CCACVL1_15236 transcript:OMO77004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGVGSGTSSKLRKAARKMVVAACASFSRNSPPSPPPPPPISLSSTKPKNNLEAEAGIVAESVTNHDTLPSKNVCAICLEVLSYSSGNSPGQAIFTAQCSHAFHFSCISSNVRHGSITCPICRAHWTQLPHNLNHPECSFSCNQTDPVLRILDDSIANFRVHRRSFLRSARYDDDDPIEPDHTENHPRLDLALVPLQPAVLTHPCCFGHQPGLHSSSLQMPVTGHISNHHHVTSSSSSSILPQPPSGQTSSYMCSSYRRPTYLCIKLAHPRATDIVLVASPNGPHLRLLKQSMALVVFSLRPIDRLAIVTYSSAAARVFPLRRMTSYGKRTALQVIDRLFYMGQADPIEGLKKGIKILEDRSHKNSQSCILHLSDSPTRSYHAMNLRLPIPIHRFHVGFGFGTSNGFVMHEFEEFLTQLLGGVIREIQLRIGEEARIIRLGDLRGGEERRILLDLGEFIHVSVGYSYVEGESDECIKKGEVMVSIEDKRETTYYGDTDTGFSIGGGRTSSVESWDYHDPYMARRWAKHLHGYRF >OMO69039 pep supercontig:CCACVL1_1.0:contig12131:3209:8277:-1 gene:CCACVL1_19677 transcript:OMO69039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate/Phosphoenolpyruvate kinase VQECYELSAEYEGKHDPHKLEELGKVITSLDPGDSIVVTKSFSHMLSLANLAEEVQIAYRRRIKLKKGDFADESSATTESDIEETLKRLVGQLKKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKFGRIRNCLTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRNPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRIRADELHSSSKRDSKHYIEFWKQIPPNEPYRVILGDVRDKLYNTRERARYLLANGHSDIPEEATFTNVEQFLEPLELCYRSLCACGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITKHLDIGSYREWPEERRQEWLLSELRGKRPLFGPDLPKTEEVADVLDTFHVIAELPPDNFGAYIISMATAPSDVLAVELLQRECHVKEPLRVVPLFEKLADLEAAPAAVARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVVATKEYRSVVFKEPHFVEYFRLATPEMEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHAIQKDKKNLQMLQAMYNQWPFFRVTMDLIEMVFAKGDPGIAALYDQLLVSKELRPFGENLRKNYEETRNLVLQVAQHKDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKRIRDPDYHVKVRPHLSKEYMESSKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >OMO55504 pep supercontig:CCACVL1_1.0:contig14676:2305:4561:-1 gene:CCACVL1_27212 transcript:OMO55504 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-L-galactose phosphorylase 1-like protein MLTIKRVATVLSNYQDDGYEIQEVGCGRNCLGNCCLPGEWEERMRQGLFRYDVTNCVTKIIPGKYGFIAQLNEGRHLKKRPTEFRVDQVLQPFDDSKFNFTKVGQEEVLFRFEQSNNYKSHYFLATALAVTADGNNSPNVVAINRIDHSSFLLAINFAKEAANPFFRVGYNSLGAFATINHLHFQAYFLEVPFPIEKAPIQRLFTKKRVQDRGVIISKLLDYPVKGFVFEGGNSVQDLSDVVASACICLQNTNIPFNVLISDSGKRIFLLPQCYAEKQALGEVRQELLETQVNPAVWEIGGHIVLKRHEDYDNATEESAWRLLAEVSLSEERFKEVKAYVLEAAGLQEKPNMKENKGNDLNQEKDEANQKEDSLCKQPAAASQADQCIPYDCMVLH >OMO50134 pep supercontig:CCACVL1_1.0:contig16302:7115:7690:1 gene:CCACVL1_30605 transcript:OMO50134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTRSSGIEGLEFNPEPERTLFQLMKETQSQQQEEESSNLSSQLSSPRSAASTSSEDMAEPKSTSPDQISKRRQRIFRREEKKMEAKALKEATQGQYDRANQAKMTGHSPAKPVPHQPSCLVIAWPSAPATRTAQVSAAPSAPPARSFQATAAHPTVPCLATAAPSARPTESQPAKTTPSPVLSAHTMPG >OMO88906 pep supercontig:CCACVL1_1.0:contig08889:10175:10584:1 gene:CCACVL1_08122 transcript:OMO88906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYAFVARGTVVLADFTEFSGNFTTVASQCLHKLPASNNRFTYNCDGHTFNYLVDNGFIAIQ >OMO88904 pep supercontig:CCACVL1_1.0:contig08889:17:2369:-1 gene:CCACVL1_08120 transcript:OMO88904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MEEEETGSNFKDIRRYFCEYCGIRRSKRTLITSHILSHHPEEITNGGSQKEGVPPFNICEECGATFKKPAHLKQHLQSHSLERPFVCVVDDCHASYRRKDHLKRHLLRHQGKLFKCPIENCNREFAFQGNMTRHVKEFHDEDSSSPAAGSQKQHVCQEIGCGKVFKFASKLKKHEDSHVKLDSVEAFCSEPSCMKYFTNEQCLKAHVQSCHRYINCEICGKKQREKNIKRHLRSHELGVPSGRIKCDFEGCLHTFSTKSNLCQHVKVVHEELKPFACCFSGCGMRFSYKHVRDNHEKSGCHVYVAGDFEESDEQFQSRPRGGRKRTFPTVEMLIRKRVTPLQNDPLMDTWPTQDCS >OMO88905 pep supercontig:CCACVL1_1.0:contig08889:2737:3413:-1 gene:CCACVL1_08121 transcript:OMO88905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFAAALQFRIRPLVFGPLPLFLPTRKLVTIKRYRVVTPVVVAAVKGEGSV >OMO60238 pep supercontig:CCACVL1_1.0:contig13752:5777:6677:-1 gene:CCACVL1_24312 transcript:OMO60238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKYNGIKSAPGQPSKQVNSGAVDARNQGSTPSNALALIGPADSKDSQKEGPRNALVVGHRCNQNNSKLSCSNLAIASANYYRGAVGAMLVYDFTRHVMFENVER >OMO81346 pep supercontig:CCACVL1_1.0:contig10197:7973:21696:-1 gene:CCACVL1_12464 transcript:OMO81346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gigantea protein MANPSERWIDGLQFSSIFWPPPQDPQQRKVQITAYVEYFGQFTSEQFPEDISELIRNRYPSKEQRLFDDVLAMFVLHHPEHGHAVVLPIISCIIDGTLVYDKTTPPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKVEPQNSEIDRSSSSSQATTSESVDGAPSFNIPLMQQERKPLRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGDLKPPTTASSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRVLSQPALLFPPLRQVEGVEVQHESSGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESSREQTRKTRYSIGSASKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAQFSGSKRPRGEESYPPDEGTEESQAPFEKPRDLKPRKTKKQGPVAAFDSYVLAAVCALACELQLFPLVTRGSNHSTAKDVQAITKPPKVNGSSIEYGQSINSAIHHTHRILAILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYARASSLYNLIDIHSKAVASIVNKAEPLEAQLIHAPIRKDSPVCLDGRKQNKRTNTICFDPAQSSASECEDSTHSDNNLRCERVIASDEGSGNSLGKGIANFPLDASDLANFLTMDRHIGFNCSAQILLRSVLVEKQELCFSVVSLLWHKLIAAPETQPSAESTSAQQGWRQVVDALCNVVAASPAKAATAVVLQAERELQPWIAKDDDQGQKMWRINQRIVKLIVELMRNHDTAESLVIVASASDLLLRATDGMLVDGEACTLPQLELLEATARAVEPVLEWGESGVAVADGLSNLLKCRLPATTRCLSHPSAHVRALSISVLRNIMHTGSIKSSSKQVEINGIHGPSYHCTNADHGNAFAIPMPQTSCRGIWKISRQAAGVRLGKYLDFGRDFDYSKYLDALWKAYRKEYAT >OMP02335 pep supercontig:CCACVL1_1.0:contig06272:384:980:-1 gene:CCACVL1_02828 transcript:OMP02335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1 3-beta-glucosidase MMRKAQKGGGGMGGDGALVCDDGVYAFNGYYLKHGMTDNACSFSDTAALTSLNPSHGDRKFPSSKTGNNANVSETIIGGMVMGPNAADLSASHPISILYF >OMO72192 pep supercontig:CCACVL1_1.0:contig11491:1645:3327:1 gene:CCACVL1_17892 transcript:OMO72192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAASSVIGVSHNRTINSLSSPLPKHGNISVNHHHHHSKVNLLSFSHPQHDFHCVNSRIFTPSCLAKTAVSDFELHSSNPTTVAADSWSEFARNVSGEWDGFRADFSIEGSPTELPESVVPEAYREWEVKVYDWQTQCPTLAQPGENVMIYKNIKLLPTVGCEADAATRYNIDERNIGGVDNKVSAFAYQSSGCYTAIWPVADSSTHEVWELEHCLINPRDKESRVRIIQVVRTNIGIEFVLQNIRVFCEQWYGPFRNGDQLGGCAIRDSVFASTAATKASDVIGVWQGQNVVANFDGSGNALRHNFDEQNVLPEPDITVYCELVLKSPEPFSRAEK >OMO72193 pep supercontig:CCACVL1_1.0:contig11491:4686:5065:1 gene:CCACVL1_17893 transcript:OMO72193 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor GTE9-like protein MLQVSASTERAARAAMLTSRFSDIICKAGKLLDHDIKKPRPKQATARMLFQREEQLRRRREDSRRKLERMKPTATFDNSEILKEFSALCGCPSQSLPYRFEGSSSLEKFGLRLRTFTYDDIEEAVR >OMO77567 pep supercontig:CCACVL1_1.0:contig10729:6777:15605:-1 gene:CCACVL1_14962 transcript:OMO77567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MNFKESCRSELRTAIRQLSDRCLYSASKWAAEQLVGLEQDSAKFTPSNTRFQRGSSSIRRRFRTNEITSTPPTGVAYVSTPVMEEDEVIHGDFYLLAKSYFDCREYRRAAHVLRDQTGKRSVFLRCYALYLAGEKRKEEEMIELEGPLGKSDAVNRELVSLERELSTLCKNSMIDPFGLYLYGLVLKEKGNENLARKVLVESVNAYPWNWNAWLELQSLCTTVDILNSLNLSNHWMKEFFLASVYQELRMYHESLVKYENLQGNFTFSNYILAQIAKARYCLREFEQVEVLFEDLLRNDPYRVEDMDTYSNVLYTKESFSALSYLAHKVILTDKYRPESCCIIGNYYSLKGQHEKSVIYFRRALKLNKNYLLAWTLMGHEYVEMKNTPAAIDAYRRAVDINPRDYRAWYGLGQAYEMMGMPHYALHYFRKSVFFQPIDSRLWIAMGQCYESEQLHMLEEAIKCYKRAANSNDAEAIAMHRLAKLHIELGQPEEAAFYYRKDLERMEAEEREGPNMVEALMFLAQHYKAQKRFEEAEVYCTRLLDYTGPVCLSLWKSKTTKTFGILPISVGHEKMIVQIQPCLVPRAIIFLVFFSSSYVYVNVAALSSPPPPISAEAPPPPPPGKVVKAIVATAAATLFIALLVLFLFLFRKFARCCCRKGKIDDSSFRREALVIPNEFKVKELMVDENGADHAVYMTKLDHDRKLKSNFPMVMFNPSYGYEENEEDTRVVKRSQEEEKTMISMNKTPPFLAPSISMKKVPPPPPPPPPPNLAKKTPPPTAPNNRKLGAGFGHLKLRPLHWDKVIANSDHSMVWDQIKDGSLRIDDELIETLFANRKSPDINNLPSASAAAQIFIIEPRKSQNAAIVLKSLAISREEIIDALGQGQGLSADTLEKLTKIAPTPEEEAKILQFSGNPMRLAAAESFLHHVLKAVPSAFIRIRAMLFRSNYDSEILNLKESLQTLDLACKELRSGGLFLKLLEAILKAGNRMNAGTARGNALGFNLSALQKLSHVKSIDGNTTLLHFVVEQVARSQGRSHSLRKNGHVNSDTPEDKDEEYMMLGLPALGALSSELRNVKTAAAMEYENFISMPSNITSCVAEIRQLVTCCDNNEKTGFAIEMRGFLDECEQELRVLKEEQIRVIQLVKRTTEYYQAGAGAEANPLQLFVIVKDFLDMVDRVRADITTKLRRINVIHRHSAGSSPPPSPPRRNPLGLINFRSQFISDMSRTTSSEDDDF >OMO77571 pep supercontig:CCACVL1_1.0:contig10729:25014:29936:1 gene:CCACVL1_14966 transcript:OMO77571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQIPCRQLFIDGEWREPVLKKRIPIVNPATEQIIGDIPAATAEDVDLAVAAARRALSQNKGKDWASASGAVRAKYLRAIAAKITERKSELAKLEAIDCGKPLDEAAWDMDDVAGCFEYYADLAEGLDAKQKAPVSLPMDTFKTYVLKEPIGVVGLITPWNYPLLMAVWKVAPALAAGCAAILKPSELASITCLELADVCREVGLPPGVLNILTGLGPEAGAPLASHPNVDKIAFTGSTATGSRIMTAAAQMVKPVTLELGGKSPIIVFEDTDLDKAAEWTAFGCFWTNGQICSATSRLIVHESIANEFLDRLVKWTKNIKISDPLEEGCRLGPVVSKGQYEKVLKFISTAKSEGATILSGGVRPEHLKKGFFVEPTIITDVTTSTQIWREEVFGPVLCVKTFSTEEEALELANDTHYGLGAAVISNDLERCDRVSKALQAGIVWINCSQPCFCQAPWGGNKRSGFGRELGEWGLENYLSVKQVTQYISDEPWGWYQSPSKL >OMO77569 pep supercontig:CCACVL1_1.0:contig10729:19670:19759:-1 gene:CCACVL1_14964 transcript:OMO77569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTWLITDCIFMFLQLNPAAIPRSRSRE >OMO77570 pep supercontig:CCACVL1_1.0:contig10729:20853:23554:1 gene:CCACVL1_14965 transcript:OMO77570 gene_biotype:protein_coding transcript_biotype:protein_coding description:RmlC-like jelly roll MANPRRNSYSNSTQSLESPFQLQIQTQSFSSFSSQWVPDPGRDEDSKANLFRFKSGLPSPILKDKRGWLLNPVSLALHLGLKGGALSCASVHLGEIQPGGVRGNHRHYTCNETFVIWGAKTKFRVENIQIVNRGYAEVSLGEDEVAVAASPSGTAHALINVDPLHTTYFMGCQDTPVNPNSSNTDFNVWKDL >OMO77572 pep supercontig:CCACVL1_1.0:contig10729:30490:30549:-1 gene:CCACVL1_14967 transcript:OMO77572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRASQDGRSNKKEREDILR >OMO77566 pep supercontig:CCACVL1_1.0:contig10729:5552:6214:1 gene:CCACVL1_14961 transcript:OMO77566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIFGQPPPDGFASSGNKSFIDQEPQCGSHGILGSTSNNIMPEELPSTSQILGENVPSLTHVGSKGKAQMVDVSPKGNSFRTAIASCKVNLGKKVFDLVLANQMAKGDVLSVAKIAGINGAKHTSSLIPLCHNITLTHVRVDLRLNPEDFSVDIEGEAASTGKTGVEMEAMTAVSVAGLTVYDMCKAASKDIQITDIRLELKTGGKSGYWCREKNGDVS >OMO77568 pep supercontig:CCACVL1_1.0:contig10729:17520:18412:1 gene:CCACVL1_14963 transcript:OMO77568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPPKRKMFSLLSRYTPPYSKIPLNMPKPFSTTTPPPQNISIVTTLTNLILTSTDAQSLTQALLSPSINWTPHLVNTILKHLWNHGPKALQFFHLLRNHPTYIHSPSSFDHAIDIAARLRNYNTISTLLHSMRTLRLHPSPKTFAIIAERGD >OMP06878 pep supercontig:CCACVL1_1.0:contig04767:400:726:1 gene:CCACVL1_01416 transcript:OMP06878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKRAPQMLRAEWLADQESVQTDGHYTGVILPLFVQNVELIDNHVSEVGRRDTDAHESGEIVEFKRVGYRNEASRTCVNLNGWSSAVKSQRYSTPNSAKMCGVLSVP >OMO54956 pep supercontig:CCACVL1_1.0:contig14842:72014:72157:-1 gene:CCACVL1_27447 transcript:OMO54956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSTLWQNNGDNDDNSSIKRTLSFISTHEKIQMSSKQDHTDYNSIQ >OMO54957 pep supercontig:CCACVL1_1.0:contig14842:95514:96809:1 gene:CCACVL1_27448 transcript:OMO54957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLFNFVLLLSGFRFSLALQETPLQNVSHSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEETVGKRNITIFAPKNEALEQDLDPEFKRFLLEPGNLKSLQTLLLYHIVPKRIEPHSWPKSAADSTHHTTLSNDQVELSGQDSSGAKFIGRAKVINPNAVNRPDGVIHGIEQLLIPRSVQQDFNNRRSLRSISAVKPEGAPEVDPRTHRLKKPAPPVLPGAPPVLPIYDAMAPGPSLAPAPAPGPGGPRHHFNGMRQVKDFIQTLVQYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHVIPEYQTEESMYNAVRRFGKVSYDTLRLPHKVVAQEADGSVKFGHGEGSAYLFDPDIYIDGRISVQGIDGVLFPPEDQSKEEKKTIKVATVKPRRGK >OMO54958 pep supercontig:CCACVL1_1.0:contig14842:101476:102231:-1 gene:CCACVL1_27449 transcript:OMO54958 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein MGYMDFACRGLLNMLQNSPHLESLHLLQGVRLSTNSEEVDKVFDPVPACFLTHLKTVKLSKFNGTEEELRAVKGLLQIAGVLEKLWLNSNDETRILDLFSTLPAGLLKCNVAFF >OMO61260 pep supercontig:CCACVL1_1.0:contig13551:20588:20683:-1 gene:CCACVL1_23638 transcript:OMO61260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKTTQNSTNGTRKARNKKCSE >OMO91281 pep supercontig:CCACVL1_1.0:contig08360:13044:16946:-1 gene:CCACVL1_07172 transcript:OMO91281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDTVRLLGKQKIHPFAGELTVRSPPIESMTLSEDEDEFLANFLESELSDEERQSEEPEAKRLRVEKDDGEEEQKRKGEEASCSNSNPDSGSGHLQVKNSSKTSVPRRIESGIFSKIPPELFPHILKFLSSEDLVSCSLVCSFLNYAASDESLWRRLYCMRWGLLPPTKIRECAWKKLYIQRDEEDMTELVRNCGSEFKEYYIQMQAAKRSQAPLPSQVKDDSIILDKTVADQVSMWKSSRGLTDKVFADHACSGETCSYYQIGDVFVCEKTGQVHVCDDTCREVILDPTNELLVCTISGHCFDRLLSPCEMESDVSEQQQGGGTDEAEPFMGSGRFARAYLLGYNCEDEKELEAALRFC >OMO91280 pep supercontig:CCACVL1_1.0:contig08360:10945:11714:-1 gene:CCACVL1_07171 transcript:OMO91280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDKDKHKHDEKGLFSHLGHGHGGYPPGAYPPPPGGYPPPGAYPPGPHGYPPQGYPPAGYPPAAYPPSSYPGASHSGGHGALGAVIAGGAAAAAAAMGAHHVAHGAHGAHGAYGVHGAYGAHGGHHGKFKHHGGKFKHGKHGKFKHGKHGKHGFGGKFKKWK >OMO91282 pep supercontig:CCACVL1_1.0:contig08360:17326:19434:-1 gene:CCACVL1_07173 transcript:OMO91282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCPSVKNVLLLDSEGNRVAVKYYSDDWPTNSAKEAFEKSVFTKTQKTNARNEAEITLFENHVVVYKFVQDLHFFVTGSEDENELILATVLQGFFDAVGLILRGTVDKKEALENLDLILLCLDEIVDGGIILETDANVIAEKVASHSIDAGAPLSEQTISQALATAREHLARSLLK >OMO91279 pep supercontig:CCACVL1_1.0:contig08360:1252:7027:1 gene:CCACVL1_07170 transcript:OMO91279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MGGWRNAVQEAAASKPLFLTIYATVILGIVVSSFYVFSAIYSPSASATQSVSTSWLSSPPLSQNGVPIVIGRSINISQPSTAASQPGSNQLRPIWEPPPSNSKMPPLKSFSLTKELVGERAKDNVIVVTFGNFAFMDFILTWVKHLTDLGVSNLLVGAMDTELLKALYWKGVPVFDMGSHMSTIDVGWGSPTFHKMGREKVILINAILPFGYELLMCDTDMVWLKNPLPYLARYPDADILTSSDQVTPTVVDDRLADWKQVGASYNIGIFHWRPTEPAMKLAKEWKDMLLADDKIWDQNGFNELVRRQVGPAVDSDSGLFYAYDGNLKVGVLPESIFCSGHTYFVQAMYEQLRLEPYALHTTFQYAGTEGKRHRLREAMVFYDPPEYYDAPGGFLSFKPSIPKSLLLDGEHNLESHFALINYQMKQIRSALAIASLLNRTLVMPPLWCRLDRMWFPHPGVLVGSMTRQPFLCPLDHVFEVNVILKNLPVEEFGPAINIREYSFLSNPSLPQQVKDSWLDVQLCQEGTEDCHASSNTSRPGLLRFPKRSSEETFKTVFSSFEDVKVIQFSSMQDAFLGFSDKTREEKFRNRVKRYVGIWCCVDSHDPGHIYYDMYWDEKPDWKPIPPQTPEDDHPPF >OMO91283 pep supercontig:CCACVL1_1.0:contig08360:22940:24067:1 gene:CCACVL1_07174 transcript:OMO91283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSMLQDTVSDILSRLPVKSLTRFKSVSKKWADLTSTPAFISSHLRRASPDPCLLVRSYHTQTGNQSALWLYPEPTRHQGCFLLDVPSEEPLLRFPKIVASVDGLVCLDVSPCYASDFIFWNPATRQFKRLPFPLIASSKSNPIWIVFIGFGFDSFSSDYKLVRIVSLKTIDPFPSIRVEVFSWKQWAWKEIEESFDLTVLCGAAEGVAAGGTLNWLAMGLQELANQKFIVSFDMGTEVFKRIALPPITQYGNVKIMSYMGSWAIAVYPLPNGPGINCFEFWVLGEGNDGSKNWTKMVFMDNFINVLVPVTTWRDTELVFKRMGAKDGNSYQSFVLFDPVGEVSKRLTPDGVDMCLEPWSYEESLVSVYGKS >OMO94332 pep supercontig:CCACVL1_1.0:contig07915:33882:35909:1 gene:CCACVL1_06056 transcript:OMO94332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLSPAASSQLCSSKSGMFCPSQALLMKPTRTQMVKREKGMKLTCQATSIPADRVPDMGKRQLMNLLLLGAISLPTGYMLYPYTYFFVPPGGRGSGGGTVAKDAIGNDILAAEWLKNHGPGDRTLTQGLKGDPTYLVVEKDRTLATYGINAVCTHLGCVVPWNSAENKFICPCHGSQYNDQGRVVRGPAPLSLALAHADVDDGKVVFVPWVETDFRTGDAPWWS >OMO94331 pep supercontig:CCACVL1_1.0:contig07915:32964:33197:1 gene:CCACVL1_06055 transcript:OMO94331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRIACSKTTLLASKSRSLSRQPLPPTPILKLIGLFRQPLPLAKPIPSLIKLSHNVGTIQASNLAQVVQERKWWIQGG >OMO94333 pep supercontig:CCACVL1_1.0:contig07915:48748:49728:1 gene:CCACVL1_06057 transcript:OMO94333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLVSLTGPTRSKFSGIGMENSSLLSTGGRKAFKNHVDSMLLRGRPVARALLAPPNAKRGVFENGANGQNTEELLYGDIPGIGPVDLGHEFNLPRQYGLQEGKRQRATNYDSDTISSSCPNDLFNTSMKELSSISPFVFGSGEGESGTRSLRKFKRHARVSGNYSFDFLGPQTNLKYGKKRSFGPRFLGTDGSGASKRRSRDDEDCQVARNVGEEAATTAVGHREQAAGLLETDQGREKHAEAGMGRDAHPCQGPRVA >OMO69826 pep supercontig:CCACVL1_1.0:contig11971:2720:2920:1 gene:CCACVL1_19245 transcript:OMO69826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSQTSGNSSEFSLLNAEIKPVINGPRMQATNETKHDQVEQNSSKLSTFNQLDNALIQGHVSVS >OMP11741 pep supercontig:CCACVL1_1.0:contig00930:2162:5256:1 gene:CCACVL1_00297 transcript:OMP11741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S28 MASCLSFFFFLFFIAFSATLTILPANSLPTFFPRATYSQSLIKHAKTPKPKLPFKTHYFPQTLDHFTFQPKSSKIFYQKYLINSHYWHKGAPIFVYTGNEGDIEWFAANTGFMLDIAPKFKALLVFIEHRFYGESMPFGKDSYKSAKTLGYLNSQQALADFAVLIRSLKQNLSSEASPVVVFGGSYGGMLAAWFRLKYPHIAIGALASSAPILQFDKIIPWSSFYDAVSQDFKDVSLNCFEVIKGSWDELDAMSTQKEALAELSKAFRTCKSLHSTASAQNWLWTAFVYTAMVNYPTEANFLKPLPAYPVEQMCRIIDKLPAGATKLSRAFAAASLYYNYSRAESCFEIEHEVDAHGLHGWEWQSCTEMVMPMTCSKESMFPPSGFDYEEFSEQCQIKYGVLPRPHWITTEFGGQSIHKVLKRFGSNIIFSNGMQDPWSRGGVLKNISASIIAIVAEKGAHHVDFRSATKEDPKWLTEMRRQEVEIIQKWLNEYYEDLRHA >OMP11744 pep supercontig:CCACVL1_1.0:contig00930:14496:17897:-1 gene:CCACVL1_00300 transcript:OMP11744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAEERMSGSNGEDGNKDLFPVGMRVLAVDDDPICLKVLENLLRKCQYHVTTTNQAMKALNMLRENKNKYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSAHSDTKLVMKGITHGAVDYLLKPVRMEELKNIWQHVVRKKKPDSKDQIKSQNQDKVWAGTGEAGQTSTSSSDQKVNKKRKDQSEDEEEDGEDDEHENDDPSTQKKARVVWSVDLHRKFVQAVNQLGPDKAVPKKILDLMDVEGLTRENVASHLQKYRLYLKRLHSVASQQANMVAALGSKDPYNLHMGSLDGFGDFRTLTGPGRLSSASLSSYQPSGMFGRLNSSASLSLRGISSGVVQQGHSQTLNNSINGLVKIQPSVLPTTQNQNGTLFQGIPTSIELNQLSQNKPTNHFGEFNRVNGPNVFGVATKFPDARVMVGGSSNSLSTASGNPLLLQANTQQTQSNGVFGNRSSLPLASLNQDSFDVGVRGSNFLDHGRCSDNWQGAVQLSNFPSSSLSTSEAFSHEQLPPSNLHDNISWTSPQISNSPMDLSSSIANSTSLDDSRGDMPCQVGLNNNVLQQWGDRRHDYSGNANHSFNRVDSLVSASGAMMDQSNAISSKRTDVSLFRQLSGDAPYVVQHPDSEKSAFDTKFRSNEDYLFDQAKPQNCFSQNNFEPFEDLIGSMIKPEQNNETPLMDGEFGFESYPLGSCI >OMP11742 pep supercontig:CCACVL1_1.0:contig00930:6242:8283:1 gene:CCACVL1_00298 transcript:OMP11742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSGTCLRLCLVIFAVVSALGVCGPALYWRFKKTLRLGDSKSSCPPCICDCPPPLSLLKIAPGLANLSVTDCGSNDPDLKQEMEKQFVDLLTEELKLQEAVSAEHARHMNITFGEAKRVASQYQREAEKCIAATETCEGAREQAEAFLIKERKLTTLWEQRARQMGWEGE >OMP11743 pep supercontig:CCACVL1_1.0:contig00930:9544:13534:1 gene:CCACVL1_00299 transcript:OMP11743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like protein MENSRNKRGYEEDVGDADYLTEPKRPKLPALASVIVEALKVDSMQRLTSSLEPMLRRIVSEEVERALTRIGNGQLTGRPSPPRIQSADGRNLQLHFRTRMPPHLFTGGKVEGEQGAAIHVVLLDAVTGSVVQTGPESAAKLNVVVLEGDFNEEADEDWTQEHFENNEVKEREGKRPLLTGELQVTLKEGVGTLGDLTFTDNSSWIRSRKFRLGLKVAPGYCEGIRVREAKTEAFAVKDHRGELYKKHYPPALHDEVWRLDRIAKDGALHKKLLKSEIVTVEDFLRLLVRDPQRLRNILGSGMSNRMWENTVEHAKTCVLGGKLYVYYTDQTNSTGVVFNHIYELRGLIADGQFLSLESLNHNQKVFVDTLVKRAYDNWHQVIEYDDKVLNSLASSKGTNPSTAPVNENNYEATDYYTNTTTQKNRQQQYIPAEPSPPCQSNNNNNTNHPNAFHQLIEFPFGRSDQNALVTTMNNQKALLPAGNMNYMPMGNSGAGVSGFGADWSRPRNGQGLEDFFAEEIRLRSSEMLETDDMQRLLKTFGVGMGTGFGHSDETCYTYAIPYDHQMDHPYAQERGKGSGKAVVGWLKLKAALRWGIFIRKRAAERRAQLVELD >OMP11957 pep supercontig:CCACVL1_1.0:contig00648:1692:2218:-1 gene:CCACVL1_00197 transcript:OMP11957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EMFLTPEWVQELRWTVPCLFARVFEETRRLFYLIFLVMASNNFITSLPEDLTNCLMLYDSLNTSLSREDYQNVCATGCLLQV >OMO53367 pep supercontig:CCACVL1_1.0:contig15215:14473:14556:-1 gene:CCACVL1_28685 transcript:OMO53367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VARRSGRGYKSSKSIKATKGFEQTDLE >OMO78121 pep supercontig:CCACVL1_1.0:contig10634:6842:7055:-1 gene:CCACVL1_14650 transcript:OMO78121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HKSIDLRLAPFLCFETSKDERKDPSQICFVRAVHPSPITENLIFRSISLSAIHLSPHFDGSHPISLSATKY >OMO82614 pep supercontig:CCACVL1_1.0:contig10000:376:435:1 gene:CCACVL1_11863 transcript:OMO82614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNPKAEINFQTLDQMA >OMO49561 pep supercontig:CCACVL1_1.0:contig16472:822:965:1 gene:CCACVL1_30927 transcript:OMO49561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WLRSDSRERFEWRHTQFRQSLSLLPSPDFLSQSPMDYPGEKKEGMEN >OMO49562 pep supercontig:CCACVL1_1.0:contig16472:6697:25211:1 gene:CCACVL1_30928 transcript:OMO49562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRLSSHELSDLCLGKPALSVTSTIADAIEVLKTCDENLESEIRSNRPNPTAGMSVSADVAFGSALVVYTTNRLGRWSVEWPNEE >OMO49563 pep supercontig:CCACVL1_1.0:contig16472:25796:27011:-1 gene:CCACVL1_30929 transcript:OMO49563 gene_biotype:protein_coding transcript_biotype:protein_coding description:UspA MARSHPRSSPKMSLSRPVARVRVHSPSLRRKSGSNICFENDQEVEFLSGEAENFINNEGAGAEFEGGNNKVMVVVDSSLEAKGALEWALSHTIQGQDTIVLLHVAKPRKRESTRKRNPRVYEMLQSMKNMCQMKRPGVQVEVAKVEGKEKGPIIVEAAKQYKVSLLVLGQRKKSMIWRIMRRWAVRKRSGRGGGVVDYCIQNASCMTIAVRRKSNELGGYLITTKRHKNFWLLA >OMO52184 pep supercontig:CCACVL1_1.0:contig15568:19785:19937:1 gene:CCACVL1_29311 transcript:OMO52184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESENINVTLELTTTTTNAVEQDDDCVEEIPKFEAKQQGGCKKRKKTSKV >OMO52186 pep supercontig:CCACVL1_1.0:contig15568:56645:56806:1 gene:CCACVL1_29315 transcript:OMO52186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKNGNFSLSSWPSSPCNFSSLLRLDPSLFLFQRLPTLFSTLHQALPSISSP >OMO52187 pep supercontig:CCACVL1_1.0:contig15568:63172:63412:-1 gene:CCACVL1_29316 transcript:OMO52187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MASKNTEHLIGGAAKNQVAMNPQNTVFDAKHLISMRFYDPSVQSDMKF >OMO52185 pep supercontig:CCACVL1_1.0:contig15568:30368:33646:-1 gene:CCACVL1_29312 transcript:OMO52185 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MMEENNGIGFLENASSSEGKPPNPRTLSYGQQCTTSGGVLKPCKKSLIRHPSLVKSKPLEIAVENGHAIENHGAEFIPIVRSGAWADIGFRTSMEDVYLCIDDFMHDYGLKNFADGPSAFYGVFDGHGGKHAADFACYHLPRFIVEDEDFPEEIEKVVASAFLQTDTAFAEACALDSALASGTTALAALVLGRMLIVANAGDCRAVLCRRGKAVEMSRDHKPICNRERIRIEASGGYVYDGYLNGQLNVARALGDWHMEGMKGTDGGPLSAEPELVTANLTEEDEFLIIGCDGLWDVFRSQNAVDFARRRLQEHNDPVMCSKDLVDEALKRKSGDNLAVVVVCFQSLPPPNLIAPRARVQRSFSAEGLRELQGFLDSLAN >OMO96189 pep supercontig:CCACVL1_1.0:contig07511:32480:32575:-1 gene:CCACVL1_05050 transcript:OMO96189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMHSRMYRGDFINRIISVGQLVCSSVENN >OMO66209 pep supercontig:CCACVL1_1.0:contig12572:40371:41192:-1 gene:CCACVL1_21267 transcript:OMO66209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDKIYCGCSGYNIQALN >OMO66207 pep supercontig:CCACVL1_1.0:contig12572:11768:14214:-1 gene:CCACVL1_21264 transcript:OMO66207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MAATRRLRDLQSQPGNKTCVDCNQKNPQWASVSYGIFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMEAGGNEQLNSFLAQYGIPKETDIVTKYNTNAASVYRDRIQALAEGRPWRDPPVVKEGVNGGAKKPPLSGGGGGGRGNGYGNNSNNNGGWDSWDNDDSFRSSSDLRRNQSASDVRGGSNHGGMGGAPVRSRSTEDIYTRSQLEASAANKESFFARKMAENDSRPEGLPPSQGGKYVGFGSSPAPPKRNNNNSQGDVLSAVSQGFGRLSLVAASAAQSAASVVQAGTKELTSKVKEGGYDTRVNETVNVVTTKTTEIGHRTWGIMKGVMAMASQKVEEYTKDGMNWNNNDNWQRNDSEKNGYYQEFNKQENKGWNSTSGGQTSSGGSYNSHNSSSWDDWDTKDNRKEDSSKVTSSHSNDGWAGWDDGKDDGYDNFYNDKNAVGHNGKSDAAWTGGGFL >OMO66210 pep supercontig:CCACVL1_1.0:contig12572:43762:49696:-1 gene:CCACVL1_21268 transcript:OMO66210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNYRFAMDQKDIVRTLITTVGSFTQDRLINKEQRIQHKEQCAERLAAEDGSTDKDTEVRYSDQAVLANLDWGIEALEEAINTSNMETKHARLDYAEKMLQVCAMLTSDQKTAGVPNSYLSAWAHLNLSYLWKLRNNVHNSVLHVLDMFIIDPFFARIDFAPELWKDLFLPHMSSIVGWYSESRHRLMMEVIPDSADLSFTADFDQFFNESLIFSMRPDQVVKLKELEQLYGESLDENTRLFAKYYKDCLNCDSSTATKKVMAPMLPIAEPPMTPLHEVSRSIPDYVKFGPILPKSAGFSPVLKSKHGQKEENSRMSATSSSLQNMDESAIWDPHEGIPEENEDDSGYEPNDASIESEEQFNEVQSSKGMKMIKHKEIGSTMQSIKHVNRSRSPSIFSPVDHSPRSSTSKNSSPKSDMNSKKESKSILRLLSCRIKDPNDPSSLPISPSKSSDHSISSIDSDGEVMMQKSTRKKNRGRTRSMSHDNLNTRTFENSLHNESDEGSHSHSTISLPLSEKLTPQSRPPKDFVCPITGQIFNDPVTLETGQTYERKAIEEWLNRGNTTCPITRQPLCSNALPKTNYVLKRLITSWKEQHPDLAQEFSYVETPKSSFSSPLGKEIVLVSPSSTRTSNFSSYKTVDDHVNQRNKRFNRAISTSPTSVLSQAAVETIINGLKPFVTCLCTSNDLHECEAAVLAIVRLWKDAKGDASVHSYLSKPTIINGFVEILSASLDREVLRTSIYILSELIFADEHVGETLTSVDSDFDCLATLLKNGLTEAAVLIYQLKPAFSQLSSHDLVPSLVQIIPHKTEDSDDVLSVMEPADAAIAMLEQILMSGDEHSRSFNALSVISANGIPSLVKCLDKSEGRRSIISILLCCMRVDKSCRNFIANGIELSYVLELFHSGNDSTRGICIDFLFELVQLNRRTLSNQILHTIRTEGAFSTMHTFLVYLQMAPMEHLAPTAALLLQLDLLVEPKKMSIYREEAIEALIETLRRKDFPNQQMVVLDALLSLTGRLTSSGESYTEAWLLKIAGFDQPYNALMKPEWFQKHETDLAEMMEGEEKAASSWEKRVAFVLCNHEKGSIFNALEECLKSNSLKMAKSCLVIATWLTYMLTILPDTGVKQIARDSLLDEFINVLQSSKNMEEKMLAALALKTFISDQAALEELGKYAKCIHKTLRKLKRNSVVATDILKALMNLSSVDATELWSCTDLGELDSSSNGEVLSMLHVKGCLLSSHSDGTIKVWDAGKRGLRLVQEVHEHMKAVTCLYVPSSGDRVYSGSLDKTIRVWALKPEEIHCIQVHDVKEPVYELTANSKFACYISQGYGVKVYNWSGIPKHINFNKHVKSLAMAGDKIYCGCSGYNIQVFSRSSKAVIGSFSTSLEIQQISVNNDFIFTSTKCGVIEVWLKERVNRVASIKVGSKGHGKITSLVSDMDGRMLYAGSSDGKIQGWALD >OMO66206 pep supercontig:CCACVL1_1.0:contig12572:5860:10977:1 gene:CCACVL1_21263 transcript:OMO66206 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MGGILLGPSVLGRNKEFMHLIFPSWSTPILESAASIGLLFFLFLVGLELDLSLIRQSGKKAFTIAIAGMSLPFVFGALLSLLLRKVINGEDKVGFGQYLMFLGVSLSITAFPVLARILAELKLLTTQVGQTAMAAAAFNDLAAWILLALAVALAGNGGDHKSPLISVWVLLSGIVFVAFMLIFVRPMMDWVARQCSPEHNFVIDEAYICLTLSGVMLSGFMTDLIGIHAIFGAFIFGLTIPKGEFAGRLIKRIEDFVSGLLLPLYFASSGLKTDVTKINGVEAWGLLVLVISTACAGKILGTFVAAMFCMVPARESLALGLLMNTKGLVELIVLNIGREKKVLNDEMFAILVLMALSTTFMTTPAVMAIYKPFRRNITSQTQRRLERASTPPSENKDDELRILACIHGPANVPSLINLIESIRTTKKSQLKLYVMHLVELTDRSSSIVMVQKARKNGFPFVNSFCGGKYSDDQIAATFEAYGQLGRICIRHLTAISTLETMHEDICHVAEERRVAMIILPFYKQRRGVVGQEAAMENFGYGWRGVNQRVLRNAPCSVAVLVDRGYGRGSEQVAESATVLRKRVGVLFIGGSDDREALELGGLMVEHQSVHVTLLRFLLNDENQPDKELDEYAVAEFLRRWEGSIKYEEKAVSNVAEAALAIGQSREYELLIIGKGKGQLPSSSESADNYMEHAELGHIGDVLASDREMLPSTLVIQQKCAVDAEDVSVSVSVSNI >OMO66208 pep supercontig:CCACVL1_1.0:contig12572:16714:20096:-1 gene:CCACVL1_21266 transcript:OMO66208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIDLPEELLLEILLRLPAEALVRFTAVCKWWNSVIKNPNFISTHLAKTISYFQHQSSPSKECTWDHSYRLVEKYSLRFDNEDVDEYKQLHFYRDKFRSVGTGSRVVGTCNGLVCLVDEDYNNYIVWNPVIRKAIRLPEPSVRFRNYHSGRAFTSFGFDSNHSSRAFTGFGFDSKTNDYKLLRLVDLDDQSVGAQVYSLNTNCWTSIALFREPYYIAKNSFVNGAIHLLACDWKGRRKRNLILAFDVSVQVFSDIPLPDHLSNAIHRPGQLRTGRLQYSQSCMASFELLKYRESSIATMTSWDWDPNLIELWVMKEYGVATSWTKLFTIVKGESVGRVLFFRQDEEQEGIPEENEDDSGYEPNDASIESEEQFQEVQSSKGMKMTKHREIGSTMQPLKHTNRSRSPSIFSPVDHSPRTSTSKNSSPKPDMNSKKESKSILRLLSCRIKDPNGPSSLPISPSKSSDHSISSVDSDGEVMEMQKK >OMP05517 pep supercontig:CCACVL1_1.0:contig05338:9:719:1 gene:CCACVL1_01873 transcript:OMP05517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHRKILIDGGGVNAIDIERKPLPTLVYLEETSTPPYFQSRNYDAYHGRNDAPRTYHGLEDKHGEHGKDVQGLQGSMKMHEDHEDIDNHVSSTKKMPFDPLKMLIGPMTRARAKRFKVALMGLVQTHLEDH >OMO88923 pep supercontig:CCACVL1_1.0:contig08883:4347:5514:1 gene:CCACVL1_08105 transcript:OMO88923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVSLRCNVESRPRATTYGIAGLTAHVVTIAVNPAPVVVLTAPAATTSANAEK >OMO88926 pep supercontig:CCACVL1_1.0:contig08883:16113:23687:-1 gene:CCACVL1_08108 transcript:OMO88926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVASVQVKEQIELTETEKKIFDRLLSTLRHFNLQTQLRVAGGWVRDKLLGKECYDIDIALDNMVGSEFAEKVKEYLSSVGEEPRGIAVIQSNPEQSKHLQTARMRLFDLWIDFVNLRSEDYSENSRIPTEMRFGTAKEDAYRRDLTINSLFYNINTNLVEDFTNRGIEDLKFGRIVTPLRPKDTFLDDPLRVLRAIRFGARFDFILDEELKKAAARDDVKKALEDKISRERIGTEIDLMISGNQPVKAIDYICDLTLFWVVFNLPPNVEPAIPEECYRLSAAYLDATWRLIQLIQGCSFDDEQGRLALYSALFLPLRNATYKDRKAKKIPAVNYIFRDSLKRKASDADTVINIHKSLEKFLSLIPSLLSNDDKQVNQIDWGSEVVDVPATSKLRVLTGLLLKEIKDFWRVALLMSTLLYPTDIDCTRDIINRHFQLDKRKDLFVSIENAIIKLGLEKVWDLKPLVNGKDIMNILQLKVGGPLVSEWQQKALVWQLAHPSGTAEECLDWMRETNSKRVKME >OMO88924 pep supercontig:CCACVL1_1.0:contig08883:9410:12613:-1 gene:CCACVL1_08106 transcript:OMO88924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MRFLTIFLSLLLCCIFKSGNSATANHHEFKVDGKVLELDESNFDSAISSFDYILVDFYAPWCGHCKRLAPQLDEAAPVVAEFKEPVVIAKLDADKFTTLAHKYEIGGYPTLKLFMHGVPVDYYGPRKADLLVRYLKKFVAPDVSLLSSDSAITDFIQAAGTYFPIYIGFGLNETVISDLAIKYKKKAWFSVAKDFSKDVMVRYGFDKVPALVILHPSYKQHNVFYGPFEDDLGDFIKKNFLPLAVPMNHETLNILKDDNRTIVLTIVEDENEEKSQKAIKLLGAAASANGDLVFGYVGVKQWEEFADTFGNNEKTKLPKMIIWNGVEEYFSVIGSESLDDEEDQRSQISRFLEGFRQGRIEKHIIKGPSVTDFIRSLIGIGTVFIIVFLVAMLMLIRSLWKDDEPLKVSTCDEVHLVESSEDEQSEAESNEYRPGKKED >OMO88925 pep supercontig:CCACVL1_1.0:contig08883:13588:15281:1 gene:CCACVL1_08107 transcript:OMO88925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVLKACCTNIDIGIMYGEMLHGCIMKLGWDVDIVVATALLDMYAKKGELNHAVNIFQTVPNCNIVMYNAMISRFIEAEDISKECANGACSLFSEIQRRGLKPSKFTFSSMLKACIAVEDIDYGKQIHAQICKHNLQSDEFIGAALIELYSLMGSTEDGLKCFRSTAKLDIVLWTSMIAGHVQNGQVESAWNLFHELLASGIRPDEFIISNMLSACADLVTARLGEQFHGHLMKSGLGNFRTVQNSQICMYSKCGDIDSAAMTFRETMNPDLVSWSVMINGYAQHGYARDALDLFELMKEHGIAPNHITFTGVLFACSHAGLVEEGLRYFESMKAYGVETNVEHYCCVVDLFGRAGRLAEAEKYIITSGFKDNPVMWRALLSACRVYKDAVTGKRVARKLIELEPQEPSSYVLLYNICADDAVQPLAASVRQLMQQQGVKKEPALSWI >OMO85376 pep supercontig:CCACVL1_1.0:contig09626:6537:17452:-1 gene:CCACVL1_10228 transcript:OMO85376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDEDSIYVSLAKVELKKMFNGRTQPNFTFSLIFRPLQIFLFTPVRRLEMTAEISPNSVGGKPA >OMP08096 pep supercontig:CCACVL1_1.0:contig04014:246:590:-1 gene:CCACVL1_01160 transcript:OMP08096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSKKREESEPKRELLKWSEEMDTVFIDAMSEQNVNGGKLDGSFTPTAYQNMVKICSEKLGTRITKENLKNRLKTLKLNFYGCYDVFRKKSGFSWNPMTRKFEAEMEVGKELIE >OMO71380 pep supercontig:CCACVL1_1.0:contig11657:34190:44331:1 gene:CCACVL1_18252 transcript:OMO71380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYCALSPVTYTAEGLYEFNVGCGFGFEIPIATAADLESGKEFTREEGIPVSEKTRVFLLIRESSMIGSENESVRIPPPPEKGRDFCFDPETV >OMO71377 pep supercontig:CCACVL1_1.0:contig11657:1701:6741:-1 gene:CCACVL1_18249 transcript:OMO71377 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter A, ABCA MGTSKRQLKAMLRKNWLLKIRHPFITAAEILLPTIVMLLLIGIRTRVDTQIHPAQAYIREGMFVEIGKGISPNFQQVLESLLANREYIAFAPDTPETRQMINLISIKFPLLQLVSKIYQDELELETYIQSDLYGTCDFKDCPNPKIKGAVVFHHQGPQLFDYSIRLNHTWAFSGFPDVSTIMDTNGPYLNDLELGVNIIPTMQYSYSGFLTLQQVLDSFIIFAAQRTETDSATGNIELQTLQSSGTASSLELPWTQFSPSKIRIAPFPTREYTDDEFQSIIKSVMGILYLLGFLYPISRLISYTVFEKEQKIREGLYMMGLKDGIFHLSWFITYAIQFAISSGIITICTMDNLFKYSDKTVVFVYFFVFGLSAIMLSFLISTFFSRAKTAVAVGTLSFLGAFFPYYTVNDEAVSMLLKVIASFLSPTAFALGSVNFADYERAHVGLRWSNIWRASSGVNFLVCLAMMLFDTLLYCAVGLYLDK >OMO71379 pep supercontig:CCACVL1_1.0:contig11657:16611:20193:1 gene:CCACVL1_18251 transcript:OMO71379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLLEREWRQKGLGEVEWEVGEEGDFCCQRVKLVSWLRCRLDKNGRFHDL >OMO71378 pep supercontig:CCACVL1_1.0:contig11657:14775:16295:-1 gene:CCACVL1_18250 transcript:OMO71378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIHRLKNQPKRERGDGWLMVEQIPPPHLIERESSMGVLVWNCRGAKHPDFIRTAKDLILIHKPNLMIITETRARATEVPHIVNQLPFDRWYDTATVRHKGGIWKLWNTSAVIINLLYSTELEIHSTINHKKHPSSMGFIQVSFNVVGQK >OMO50993 pep supercontig:CCACVL1_1.0:contig16008:20936:30253:1 gene:CCACVL1_30080 transcript:OMO50993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQCSLFLLVQTLTHHPEKNG >OMO49793 pep supercontig:CCACVL1_1.0:contig16399:69694:81597:-1 gene:CCACVL1_30800 transcript:OMO49793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MVDPANTPLGKMLLEEITPVVMVLCTPLVEESCLKNGLSFIQMLSPFSNFTNIDVPVRTASDQPYRLQKFKLRLFYASDIRQPNLEAAKKRLKEVITQAGEKDFSEMSSDPAEVRDLLSRPESEIIPTWFQFFNKELVRTLSFSDHEAFDHPVACLLVVSSKDEEPINRFVDLFNTNKLPSLLNDGAMDPKILKHYLLVHDNQDSDSEKATKLLTEMKNTFGPNDCQLLCINSSQDGQIHHQENPWAPFKSDALPSNNIGCFLNIDDLNEIKDLMQELSSKHIIPYMEQKIRILNQQVSATRKGFKNQIKNLWWRKGKEDALDSPDGPVYTFSSVESQIRILGDFAFMLRDYELALSNYRLISTDYKLDKAWKRYAGVQEMMGLTYFLLDQSRKEAEYCMENAFNTYIKLGPAGQQNAIRCGLWWVEMLKTRDQVKEAATVYFRICSEDPLHSAVMLEQASFCYLLSKPPMLHKYGFHLVLSGDHYKKCDQIKHAIRTYRNAVSVYKGTTWSLIKDHVYFHIGQWYAFLGMYDIAVSHMLEVLASSHQSKKTQELFLRDFLQTVQKSGKTFEVLKLQLPVINSSSIKVIFEDHRTYASAAAASVRERIWRSLEEDMVPSLSTARTNWLELQSKLIPKKYKESNICVAGEAIKVGIEFKNPLHIPISISSVSLICKLSPNPEERNSDENSSNLELPNEENETSTSSRYVCVVFVIYMHTNIFNSLNNYLCLYVYLACISACVNVVYARIFNFLQVQLTVTPMAEGILKIVGVKWKLSSSVVGFYNFESSSVNKKVAKGRRKAKHPPGDNLKFIVIKSLPKLEGRIHSLPEKTYTGDLRHLVLQLSNQSKVPVKNLKMKISNPRFLYAGNQRELNVEFPACLEKKTNAEQGGGHTNNNKMLQNLFVFPEDISVKEETSLSWPLWFRAAIPGNISLYITIYYEMEDVSNIMKYRTLRMHYNLQVLPSLDVSFELSPCPSRLQDFLLRMDVVNKTSSECFQVHQLSSVGRQWEISLLQPVNSILPSQSLFAGQALSCFFMLKDRRKSSTSEDSILSPSLLQSDVTLGRQGNNEALFDVSCSPLADFHSFERMQQGMSDQGVENKTDFIFISQLVKGNTDSGAPSTPLLFSHHACRCSLSRMSPVSWLIDGPQTIEHDFAASLCEVKLKMTISNSSDSVASVRVNTFDSPSNGIQSSDAAGTQTGVSSENQSGWFDIPAVNDMKVITTDALATRLAKSLSMESVSQFIWSGSSSTKVELQPRSTAEIPLQICVFAPGIYNLSNYTLNWNLISTKDEENQGEADKSSGVCQGYPYYLTLLQSS >OMO49794 pep supercontig:CCACVL1_1.0:contig16399:83579:88532:1 gene:CCACVL1_30801 transcript:OMO49794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRPNYQGGRRGGGPNSGRGGRGRRGGGGGGRGGGGRGGEQRWWDPVWRAERLRQKAAEMEVLDEAEWWDKINQMKKGGEQEMIIKRNFSRSDQQVLSDMAYQLGLYFHAYNKGKALVVSKVPLPNYRADLDESHGSTQKEIRMSTETERRIGNLLDSSRDTKSADDSGVASSKGATKPLPDVKTTESGSTIETDSAKEKLSAELKQKQENAKASNSVKVMQSFREKLPAFKVKADFLKAVTENQVLVVSGETGCGKTTQLPQFILEEEISSLRGADCNIMCTQPRRISAISVAARISSERGESLGETVGYQIHLESKRSAQTRLLFCTTGVLLRQLVQDPYLKGVSHLLVDEIHERGLNEDFLLIILRDLLPQRPDLRLILMSATINAELFSKYFGNAPTIHIPDIDIDSHYKNYSASTRQSLEAWSGSQMDLGLVEASIEYICRHEGDGAILVFLTGWDDISKLLDKIKVNSFLGDLSRFLVLPLHGSMPTINQREIFDRPPPNKR >OMO89924 pep supercontig:CCACVL1_1.0:contig08578:24699:25055:-1 gene:CCACVL1_07565 transcript:OMO89924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFTDEELRRIMDMKNNIRNMIIICHVDHRNMSVIAHVDHGKSTPGDSLVAAGLHSFQECDVGELMAADQGTCAICLEGFPGNSCFKMPCSHFFHGDCIGKWLSRKKSCPMCRSRLS >OMO89923 pep supercontig:CCACVL1_1.0:contig08578:14552:24210:1 gene:CCACVL1_07564 transcript:OMO89923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEESKKKKVELGKEEAERKSASQIIPPHV >OMO89922 pep supercontig:CCACVL1_1.0:contig08578:12789:14017:-1 gene:CCACVL1_07563 transcript:OMO89922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSIVSMFKEIKKLIEIVLQNYFL >OMP11374 pep supercontig:CCACVL1_1.0:contig01350:4712:5575:1 gene:CCACVL1_00558 transcript:OMP11374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPSTNVTPKEPPTPTVQIPSQLSLLQQQPQEPSPQPSFHGGSSLPVQSPGSGRTSALSLPLGDDQSSKSPQPIGVLTSPPPTPRNFGMGKSSSSMAAAVQPGRHPGYRGIRSRSGKWVSEIREPRKTTRIWLGTYPTPEMAATAYDVAAIALKGPDTDLNFPDMVHSYPKVASTSATDIRAAAASAAAARLPKPESAPSKKDSKKDGDKDKPENEEGATATSSSSCCMESGSGQEFIDEEALLNLPNLLADMAEGMLVSPPRINSTPSDDSPENSDVESLWTYT >OMO50566 pep supercontig:CCACVL1_1.0:contig16128:29517:31220:-1 gene:CCACVL1_30374 transcript:OMO50566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCLNPSCYLHRDSHLAPPRELDGNCDDLATVRWQGFSSLSH >OMO91848 pep supercontig:CCACVL1_1.0:contig08297:31628:44758:1 gene:CCACVL1_07000 transcript:OMO91848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEDQTIYYSASNSKPFHYHLLEQFQPLGEKGGEIDEHKSEKMKGERGPHGSGDRARKSPRGEDLV >OMO91846 pep supercontig:CCACVL1_1.0:contig08297:8876:11968:1 gene:CCACVL1_06998 transcript:OMO91846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MLRNVSYHFSLIIVLISSTAVIVSSSGEQLSSKQYEDLGFTGLALCSDCNTLSEYVKDKELVSDCLKCCTEDSDDSISKITYSGAILEVCMRKLVFYPEIVGFIEEEKDKFPTVKVQYLFNSPPKLIMLDEEGQHKETIRIDNWKREHILQFLGERVKPNNSASS >OMO91847 pep supercontig:CCACVL1_1.0:contig08297:13698:15137:-1 gene:CCACVL1_06999 transcript:OMO91847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENKRSPCSVDHSNFTTMASKRQKADLSISAKDRKEKISERIVALQQLVSPYGKTDTASVLLEAMEYIRFLHEQVKVLSAPYLQNTPTNNMQDVEHYSLRNRGLCLVPISCTVGVARSNGADIWAPIKTTSPKFDKAISPFN >OMO81443 pep supercontig:CCACVL1_1.0:contig10175:4178:4318:-1 gene:CCACVL1_12417 transcript:OMO81443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NEKTTKIRFHVYESVPSERANVTAKKEEEDKTVVADSLTITSPSMQ >OMO95886 pep supercontig:CCACVL1_1.0:contig07588:11708:13528:1 gene:CCACVL1_05199 transcript:OMO95886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHFMANFLQPKPCSNVPPPLLNPKYKHPLKLYSSSSCKTFAQSEGKEGGVKEEDPAASISGSLSSARTQLDLLEQLSSTSSAVDGPGYESDGSYGKLTIREQLAQLVGDRDDDFSIPLGKNLKKFTPKVLTISQKRNIRRQAKLNEVSERNDSVFFATVGAFVLVPPLVILGIAILTGYVQLFP >OMO95888 pep supercontig:CCACVL1_1.0:contig07588:23565:32689:1 gene:CCACVL1_05201 transcript:OMO95888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVSVAAEWQLLYNRYYRKPELYPMRWKNMDLSRNKVACAPFGGPIAVIRDDSKIVQLYSESALRKLRIFTSSGVLIADTVWKHPGGRLIGMSWTEDQTLVCIVQDGTVYRYNIHAELIEPNVSLGKECFEQNVVECIFWGNGVVCLTEGGLLFCIPDFKVMRPCKLAETGAEDLPNCMAVIEPQYTVSGNVEVLVGLADGILIVDEDGVQTVQGEAVQGPVQKMVVSWDGKYLAIFTHDGRILVTDINFNGVLLEYNCESALPPEQLAWCGLDSILLYWDDTPLLMVGPHGDPVHYFYDEPLVLIPECDGVRILSNTSMEFLQRVPDSTVSIFKIGSTSPAALLYDALDHFDRRSAKADENLRLIRSSLPEAVEACIDAAGHEFDVLRQKTLLRAASYGQAFCSNFQRDRFQEMCKTLRVLNAVRDPEIGIPLSIKQYKLLTPSVLIARLINAHRHLLALRISEYVGMNQEVVIMHWACSKITASLAITDDTLLEILLDKLKLCRGISYAAVAAHADKNARRKLAAMLVEHEPRSSKQVPLLLSIGEEDTALMKATESGDTDLVYLVLFHIWQKRPPLEFFRTIQARPIPRDLFISYARCYKHEFLKDFFLSNGQLQEVAFLLWKESWELGKNPMASKGSPLHGPRIKLIEQAQKLFLDTKEHTFESKAAEEHAKLLRIQHELEVSTKQAIFVDSSISDTIRTCIVLGNHRAAMKVKTEFKVSEKRWYWLKVFALATIRDWDALEKFSKEKRPPIGYRPFVEACIDAEEKGEALKYIPKLSDPRERAEAYARIGMAKEAADAASQAKDGELLGRLKLTFAQNAAASSLFDSLRDRLSFPGVS >OMO95887 pep supercontig:CCACVL1_1.0:contig07588:18719:19112:-1 gene:CCACVL1_05200 transcript:OMO95887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLAGKLVSFTVCIGLTILVLPFVSSSQEHVESIGKNANNKDSIHKVSHKLMFEITLHGFLLWASMGFLMPVGILAIRMTHREECGRRLKILFYVHAVSQAS >OMO95885 pep supercontig:CCACVL1_1.0:contig07588:6343:8933:-1 gene:CCACVL1_05198 transcript:OMO95885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MAKRGAEEITKAAEEEEVAAGGAMVVLEEVVEVKEKEAEEEEAHPYAFHVSGPRNVAKPNWWDVISSSWKDQNYKRSVIACFIQAVYLVELDRQENRTEENALAPKWWMTFKYKLTQTLIDERDGSIFGAVFEWDKSAALADFVLIRPSGAPRAVLALRGTLLKGPTMRRDIEDDLRFLAWESLKGSVRFKGALEALKSVAERYGSSNVCVAGHSLGAGFALQVGKALAKEGLYVDAHLFNPPSISLAMSLKNIGEKAGFAWKRLKSMLPSSSEPQANGDEGSKDNFLGLRNWLANISGDKKSVGLKKWAPHLYVNNSDYICCQYTEPEETEEKDADKENIGPANGQGAAKLFVMSKGKQKFLEAHGLEQWWSDDLELQLAMSKSKLISRQLKSLYSLPAKQAPR >OMO80183 pep supercontig:CCACVL1_1.0:contig10342:9423:10401:-1 gene:CCACVL1_13132 transcript:OMO80183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAALVVFLLTLFFLFIALLVKLFLDTIDCYMVNPRRIRKIMAQQGVRGPKPAGVTGNMKEMCSLISKSTAKDMDSIHHDIVGRLLPHYVTWSKSYGKRFIYWHGIEPRMCLTETELIKELMTKHHLVTGKSWLQQQGSKHFIGRGHMVECTKQMLKSLENAVESGKTEFEIGEYMARLTADIIAKTEFASSYEKGKQIFHLLTSLQHLCAEASRHLCFPGSR >OMO80187 pep supercontig:CCACVL1_1.0:contig10342:45346:47508:-1 gene:CCACVL1_13136 transcript:OMO80187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPSSFLLKPLTLAPKISAFTPPIISLQVKAKPSVVSDELPLDDSPPESSSDKEKLGVVVKPLEKPRVVLKFIWMEKDIGIALDQVIPGRG >OMO80184 pep supercontig:CCACVL1_1.0:contig10342:19733:23549:-1 gene:CCACVL1_13133 transcript:OMO80184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, ALG6/ALG8 MEKVRRLEDEVNAENDDNEDDPWWWLVQKGIKPSFICISVFALLVRVAVGLHPYSGANTPPKFGDYEAQRHWMEITINLPVKDWYRNSSVNDLSYWGLDYPPLTAYQSYIHGVFLKIFRPDSVALFTSRGHESYLGKLLMRWTVLSSDVLIFFPAVLFFVLVYHAIQSGKGRKSDVAWHIAMILLNPCLILIDHGHFQYNCISLGLTMAAVAAVLAQKDLPASVLYCLALNHKQMDLPLFSCFKMSAYFAPAFFSYLFGKCLRHKNPLLEVVKLGLMVIGTFAIVWWPYLHSKDAAFGVLSRLAPFERGIYEDYVANFWCTTSVLVKWKKLFTTQSLRTFSLAATVLTCLPSMAQQMLAPSTKGFLYGLLNSSFSFYLFSFQVHEKSILLPLLPLSLLALEEPRSLLSLTHFAMFSMFPLLCRDKLVLAYIALYALFVLLYFAPGGPGGRCNSSKTLDQSHKAKASIKSISSIAFHPLVIGILCLFSVILHVIYLTTHPPKKYPFLFEAVIMLLCFSQFILFTFYFNLKQWMLSKHLTSKDRQKKLI >OMO80185 pep supercontig:CCACVL1_1.0:contig10342:24485:31545:1 gene:CCACVL1_13134 transcript:OMO80185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFVKTLKGTHFEIEVKPEDTVSDVKKNIETAQGPDIYPAAQQMLIHQGKVLKDNTTLAENNVAEKSFVVIMLTKNKVSSSGASTTSAATAPTNQVQSTAPAQTQPSTTAEAPAPTVAVPAPVPEPEPEPAVTQAAPTSVSQADVYSQAASNLVAGNNLETTVQQILDMGGGSWDRDTVVRALRAAFNNPERAVEYLYSGIPERAEVPAVAQAPASGQAATLPAQAQQRTPPTAAAGPNANPLDLFPQGLPNTGSNAGAGTLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQADFLRMINEPLEGGEGNALGELAAAMPQAITVTPEEREAIERLEAMGFDRNIVLEVFFACNKNEELAANYLLDHMHEFEEIAFLEKQHRVRCQQARSDVLQLLNLGQNDRALLRVEHVIREQNMLDAYVMMENYFNLLMERIVVLQNNKKCPDELKEAITSLIFTASRCGGLPELQKIRGLLASRYGKELETRAVELRNNCGVNPKIVKKLSTRRPSLDGKLKMLKEVAPMHNISNLFEGGSSRISHNKMDVQNEAKPQTSANLDEAKLEDNDTSNLGLKLKLDEDSSERKNARKKYRDAGSAAEAAYKFAGEAAEAARAAVQLAINPDQLNATEILSTKQGGEQISDNKNNSHHKEESEERYKMTELVKLLQSLSSGKSNIVNNYNNDSAEKSGVNHSNFGRKPVSVRTRRAFRMRSMRKTLT >OMO80186 pep supercontig:CCACVL1_1.0:contig10342:34055:35939:1 gene:CCACVL1_13135 transcript:OMO80186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKLDALLGRNFKTSKFKTLVKLAISRIAIFKNQHQVRFSHARSDVIELLNLGHHDRALLRVEHVIKEQNMVDAFAIMESYCHLLLERVMLIQKNKECPEELKVATACLMFASSRCGEFPELLHIRGVVQSTFGKEFVVRAIELRNNCSVHPKIIQKLSTRPPRMEIKLKVLKEIASEKGITLHLELEEELDVNGKQDESIAKKSANSDDSDEQKDTAIELPMISLDEKLSESMKARKKYRDVAAAAQEAFESAAYAAAAARAAVELSRTESQDFDSDDENGSSPKKGGSTHKKGPFYETPEFPGQEVSEPSNNALVFEKIHPIENDSSESEGEEIEETKENLELQETDETKPREWIEMALTTSSSSDTDVEIPSENGILGRELAINDIVNTDNQDETLGHELAIVRVNTDDHKEKDELAWPKPHEDLSSEVNPSLITFNEDKIIRQIEEESKEDESNFSYQSSKLKNTQSLNFVEQLHSPHPHIDRQWVSMRTRRAKV >OMO80975 pep supercontig:CCACVL1_1.0:contig10254:13836:14051:-1 gene:CCACVL1_12678 transcript:OMO80975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDTVVPIKFIRDLDDKKSKAKREGMVRRKLTESHHGDINKLADIFISKFRTQLSYQRDEQIKYYPEMKA >OMO80976 pep supercontig:CCACVL1_1.0:contig10254:16638:16865:-1 gene:CCACVL1_12679 transcript:OMO80976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSKEVSQYVNNERKDKKANTKKNERMSRRLAVEDDMGDINEMADAFIKNFRNQLKIQREESFKRFQEMINRGL >OMO80974 pep supercontig:CCACVL1_1.0:contig10254:9752:13230:1 gene:CCACVL1_12677 transcript:OMO80974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSISQQSSNTPRGFRVQAPLVDSVSCYCKVDSGLKTVAEARKFVPGSKLCIQPDINPNAHKSKSSRRERTRVQPPLLPGLPDDLAIACLIRVPRAEHRKLRLVCKRWYRLLAGNFFYSLRKSLGMAEEWVYVFKRDRDGKISWNAFDPTHQLWQPLPPVPREYSEALGFGCAVLSGCHLYLFGGKDPLRGSMRRVIFYSARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLRSAEVYDPNRNRWSFIQDMSTAMVPFIGVVYDGKWFLKGLGSHREVMSEAYDPETNSWIPVSDGLVSGWRNPSISLNGRLYALDCRDGCKLRAYDGATDSWNKFIDSKLHLGSSQALEAAALVPLNGKLCIIRNNMSISLVDVSSPDKQVESNPHLWENIAGRDFKCLFIHHHFGVQQFLFGEAEYTLSSPFVMFILAMEIDINGDPLGEGINVLVKMVLLVGNLRK >OMO80973 pep supercontig:CCACVL1_1.0:contig10254:3353:7017:1 gene:CCACVL1_12676 transcript:OMO80973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRKSWADLAANSAAENVGDDSSANNGANSAAENVGDGSSASNGAKSAAENVGVGSSASNGANSAAKNVGVGSSASNGAVGTTSAAGKSTYVPPHLRNRPPSADPPAPTSTGAASSNDRPGYGGSRWSAPKNDYNNRPYSGGGGGGGGGGGRSGGWASRTGGWDRGRDREVNPFGNDDDTEEAFREQENTGINFDAYEDIPVETSGDNVPPPVNTFAEIDLGEALNLNIQRCKYVRPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGQAPQRPLRGARTVYPLALILSPTRELSMQIHEEARKFSYQTGVKVVVAYGGAPINQQLERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGVRQTMLFSATFPREIQRLASDFLANYIFLAVGRVGSSTDLIVQRVEFVQETDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADSLEHWLCMNGFPATTIHGDRTQQEREQALRSFKSGVTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNDLNASLARPLADLMQEANQEVPAWLTRYAARSYGRRRAAGGKYGGRDYRRDYGGGNGGGGGYGGGNSGGGYGGGGYGGGGYGGGYGPGGSSAWD >OMO80977 pep supercontig:CCACVL1_1.0:contig10254:20663:21172:-1 gene:CCACVL1_12680 transcript:OMO80977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTFSLPLLFLTLFFQTHLSFSTIDPTIDHIRPLSSSTSDLYDLLPKYGLPKGIVPDNVKSYTLSSNRDFTVELESTCYVHFDDQLVYYEKNIKGKLSYGAVHDASGIQAKKLFLWLPVTGIEVDESSGMVEFFVGALSKKLPAKEFEEIPVCKSKAVFRADLVSSM >OMO80978 pep supercontig:CCACVL1_1.0:contig10254:22670:24813:-1 gene:CCACVL1_12681 transcript:OMO80978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSKISNPRLGFALISIFFLLSIPKSLADPEPEPAEPTVWEILPKFGLPSGLLPSTVTSYILQDDGRFIVNLEGTCYVQFDYLVYYEKTITGKLGYGSITDLKGIQVQRFLFWFDVDEITVDLPPSNNIYFQVGFINKKLNVDQFMTVHSCRDGVKGSCGDSWKSVLQLPTPTNEIEMLLTE >OMO59724 pep supercontig:CCACVL1_1.0:contig13893:1143:1226:1 gene:CCACVL1_24643 transcript:OMO59724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRSHLPYLNDGERQGANTSIRARHLQR >OMO90037 pep supercontig:CCACVL1_1.0:contig08555:18707:18856:-1 gene:CCACVL1_07538 transcript:OMO90037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAHRSPVVDTLDLMSLPYHPSGSGRWQPPMTPLMSAAPFLCLTNLEP >OMO90034 pep supercontig:CCACVL1_1.0:contig08555:305:7197:-1 gene:CCACVL1_07535 transcript:OMO90034 gene_biotype:protein_coding transcript_biotype:protein_coding description:mevalonate kinase MSVLPKTDGVFKYQRRNPNLYYGVLTKKNCQRFNDNGVSIPKRRYGVFNGLFEPGKLTDFGQNRSNRGGSGDLSGQTVKVSVQTVKSVGCRSRLGKTETD >OMO90038 pep supercontig:CCACVL1_1.0:contig08555:19365:22163:-1 gene:CCACVL1_07539 transcript:OMO90038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 16 MGIIIAMNQNIIKISQLGLLLLSLLLAGYRVFANDATNIVRFDEKYDITWGNDHVLLLNQSTQVQLSMDLSSGAGFGSKLSYGSGFFHLRIKLPGKDSAGVVTAYYLTSQGDKHDELDFEFLGNREGKPITLQTNVFADGTGNREQRIFLWFDPTADFHTYQILWNQHQIVFYVDNIPIRVFKNNKNIGVAYPWQPMKIEASLWNGESWATDGGQTKTNWSMAPFKANFQGFDISGCPIIKNSNNNQECNSPKYWWNSQKYWKLDSKQQTELENVKNKYMYYDYCSDKPRYPIPPPECLH >OMO90035 pep supercontig:CCACVL1_1.0:contig08555:8615:8815:1 gene:CCACVL1_07536 transcript:OMO90035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRWTNAGMGSFLGRLRNSFMAFDKRLNCSKKCRYVVAAFAIKNAAMYRDTEFSGISCGVCLRHH >OMO90036 pep supercontig:CCACVL1_1.0:contig08555:10904:11062:1 gene:CCACVL1_07537 transcript:OMO90036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSRMKARNSKNGVDSNSGKPAGTLVPKSTMSQQSNGWIKKSDNSKGNWMK >OMO52224 pep supercontig:CCACVL1_1.0:contig15559:1389:1454:-1 gene:CCACVL1_29300 transcript:OMO52224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIISSPPAGTRCEIRISPS >OMO52225 pep supercontig:CCACVL1_1.0:contig15559:6720:8967:-1 gene:CCACVL1_29301 transcript:OMO52225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGHREAAEEADRDDDDDGQEVAEAPGVDGKGLVKKNNRWSLKVSSFLSHTLPLLLPLTITEAAAADDSNSKRLDSDSSAIAAATAINIEKEHMFLFFELLTGEIGVSYH >OMO52226 pep supercontig:CCACVL1_1.0:contig15559:10356:11696:1 gene:CCACVL1_29302 transcript:OMO52226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S17 MAEQTEKAFLKQPKVFLSSKKSGKGKRPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRILAGTCHSAKMMRTIIVRRNYLHYIKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSSGGGKKAFTGI >OMO63732 pep supercontig:CCACVL1_1.0:contig12906:7646:14608:1 gene:CCACVL1_22322 transcript:OMO63732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSLRRLRGFALHKHGGEAKDRRDLRPLAQLDELAQASQDMEDMRDCYDSLLSAAAATTNSAYEFSESLREMGTCLLEKTALNEDEESGRVLLMLGKVQFKLLKLVDSYRSHISQTITIPSESLLNELRTVEEMKRQCDEKRTVYEYMAMRPKEKGRAKSGKVETFSTEQLQIAHDEYDEEATLFVFRLKSLKQGQSRSLLTQAARHHAAQLHFFRKALRSLEEVEPHVQKITEEQHIDYHFSGLEDDRDNGDDDGNYENDDGEEYSISSDDSYDSRDDGELSFDCGQNDQDQNVVPTSRHSMEVDPVGLTFPQVVMVEAAKENLERSRRHSFSFRGELRNSSQSAPLFAESRSDPSEKMQPLLSRKFSSYVLPTPVATKVSTGLVNPAPQTIRTSLNKHSNKLWHSSPLEHKNYERILGDEKTSGSAFISTQSILRESNNNASSNRLTPPSADRVVSSWVSPVAAYDSKKIKRHAFSGPLTSKPWPTKVVSVEHLGLFSGPILRNPMPQQPSTSPKVSPSTSPTFLSSPKISELHELPRPPASSVPKSSRPLGLVGFSGPLMSRGQVLSATNKSVVSKAASPLPKPPEVVTRSFSIPSSAHKVMSLPVSKPLETAVNSQMAELVVSPPLTPISLSRVQPSSTNSETIDRAGSLFLKEDCGVCDGDF >OMO63733 pep supercontig:CCACVL1_1.0:contig12906:16728:18657:-1 gene:CCACVL1_22323 transcript:OMO63733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILTLVQVVTVIVFNTLATTSANMEGDALYALRRGVEDPDNVLESWDPTLVDPCTWFHVTCDGDNRVTRLDLGNAKLSGSLVPELGKLQRLQYLELYMNNLEGSIPKELGGLKSLVSLDLYHNNLTGSIPPSLSNLSNLKFLRLNSNRLTGRIPRQLTKLGNLKILDVSNNDLCGTIPTSGSFAKFTEESFANNSRLEGPELLGFVRYDLGGECK >OMP10504 pep supercontig:CCACVL1_1.0:contig02372:621:1071:-1 gene:CCACVL1_00919 transcript:OMP10504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLQDGRPVDMVFNPLGVPSRMNVGQIFECSLGLAGSLLDRHYRIAPFDERYEQEASRKLVFSELYEAGKQTANPWVFEPEYPGKSRIFDGRTGDPFEQPVIIGKPYILKLIHQVDDKIHGRSSGHYALVTSNHLEEGPSRGAASRRNG >OMO73365 pep supercontig:CCACVL1_1.0:contig11248:11374:11697:-1 gene:CCACVL1_17308 transcript:OMO73365 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate-gated kainate-type ion channel receptor subunit GluR5 MSAATILAKQSLKLVKESSWRPFLIIINIQQSNMSGSWRHKLLLFSLLTFILLSEASRLPKAYFEQMLPKKLPTPSSSPSKGTNSLTTSTSKAVKTDTSHLSSDGKV >OMO73364 pep supercontig:CCACVL1_1.0:contig11248:2443:3628:-1 gene:CCACVL1_17307 transcript:OMO73364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRKSAQLTEEVIGMPENHHVDSGNNTMLVDNLSDETDKERHWKRAKTTKGMGENFVVKPHLNALPLSAPEFTRFSADKVIEDGQLKLALIIWESIQLKIARTPFDQVHLFVDEVRKIFAAIEGIKDQADKLQNLATRLEESVSKESQAAVCHDTLTSELTMVEKEISALQEKKAKLESSLKENDKALEVVRAHVSHIREEMAFAESCPILSEADAKALKVLEDILRSSREDLKNLKWKP >OMO60528 pep supercontig:CCACVL1_1.0:contig13712:4229:6478:1 gene:CCACVL1_24072 transcript:OMO60528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MMKTLERYQKCNYGAPETNVSTREALELSSQQEYLKLKARYEALQRSQRNLLGEDLGPLNSKELESLERQLDSSLKQIRSTRTQYMLDQLTDLQRKEHLLNEANKTLKQRLVEGYQVNPLQLNPNAEDVGYGRQPPHQPQGDGFFHPLECEPTLQIGYQPDPISVVTAGPSVNNYMTGWLQ >OMO60529 pep supercontig:CCACVL1_1.0:contig13712:7146:11443:1 gene:CCACVL1_24073 transcript:OMO60529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWLWVIFHGTATRSCRGPGYG >OMO60530 pep supercontig:CCACVL1_1.0:contig13712:29058:29465:1 gene:CCACVL1_24074 transcript:OMO60530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRQQQNHRHQDQQSRVFYELSALVLNLLRSPPTPMPFSDQSSVPVRRPHPPPPSTTTTISPAGFAWLMLGISVSLMLCGSVTFFIGFMLMPWVIGLVMVFYVAGIVSTVSMLGRSIFCYAMAPPRKDIPGNLL >OMO52445 pep supercontig:CCACVL1_1.0:contig15527:2566:4025:1 gene:CCACVL1_29222 transcript:OMO52445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKVPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGILKPGMVVTFAPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTAQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMVPSKPMVVETFSDYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKGGK >OMP08185 pep supercontig:CCACVL1_1.0:contig03940:816:911:-1 gene:CCACVL1_01148 transcript:OMP08185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEFMLIILLFQGQIEYGLTLPIHVDYGSCSY >OMP07834 pep supercontig:CCACVL1_1.0:contig04171:14796:16297:1 gene:CCACVL1_01213 transcript:OMP07834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGVVVEDIDEVGFEEAMSCLPSHVLHEAIWETKHNNKVDVKYHYHNHRSKSPPTEPFSPHSKGSPRRHTHNKPRYSGNWASGGPGMQAFFLDSSPKSCGTGVFLPQKPGIGNNFQSTRRPACSPVLLPSRVVHALNLNVRELGLQISPRRDPKNNNTRSGDYKNGGKDVSTKRCANNISQYENCSPEIFLPKEWTY >OMP07832 pep supercontig:CCACVL1_1.0:contig04171:10637:11534:1 gene:CCACVL1_01211 transcript:OMP07832 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide repeat-containing protein MFRRCVRASLFAVRFLSTEAAAGKAVGTTKGAVKSKAGVGGGGRDTLGYRLIGLLYPKRSAAVTIRKWKEEGRTVRKYELNRVVRELRKLKRYKHALEICEWMGLQQDIKLLPGDYAIHLDLIAKIRGLASAEKFFEDLPDQLRGRETFRFLTTTC >OMP07833 pep supercontig:CCACVL1_1.0:contig04171:11861:12517:1 gene:CCACVL1_01212 transcript:OMP07833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKDGVHRIWKKMKSSFRKMNDAEYTCMISSLVKLGDFEEAEKLYSEWESVSGTGDARVPNILLAAYINGDQMEKAENFYQRIVEKGEVFKKLEELGDTEGVEKLLVVLRNAGHVSTKVYNSLLRAYANAGKMPLIVADRMEKDNVPPDEETHELIKLTSKMCISEVSGSL >OMP07835 pep supercontig:CCACVL1_1.0:contig04171:17677:20968:1 gene:CCACVL1_01214 transcript:OMP07835 gene_biotype:protein_coding transcript_biotype:protein_coding description:SecY/SEC61-alpha family MEATFLGAHCFHHRLFAKRPLQFPDGHMARHLRFYHHLHVKPHHTLKMKLVNPNRSHFMLPNKSLQSREYRKWSTNSSDQLRSDYLNVESPSPESLSINGVPSSSDDGADISDLNGVSSIEVSHVRPKYFRNRFLNFVRLSSVLNNAAESFFKSEIRRRLFVTAVLLVISRVGYFIPLPGFDRRLIPQDYLSFVSGSVDELGDFTAELKLSFFQLGISPQIIASILMQVLCHVVPSLVKLRKEGLDGHEKIKSYIWWISLGFAILEAIIVACYSLQYSIYAASYRVKHVMVTAFLLVCGAMTMTWICDTISESGFGQGSSLIICVGILTGYTDTLYKMLTQLSGSAASWWPYVLVVLGIFTLVTMWAVVVTEGCRKIKLQYYGFKLASSAR >OMP07831 pep supercontig:CCACVL1_1.0:contig04171:5012:10152:-1 gene:CCACVL1_01210 transcript:OMP07831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MPSRSAAGVRTGVRVVVAGDRGTGKSSLISAAASDSFPESVQPVLPVNRLPADFYPDGVPVTIVDTSSGMESRVKLIDELKRADAVVLTYACDQPMTLSRLSTFWLPELRKLELKVPVIVVGCKLDLRDERQPMNLEQVMAPIMQQFREIETCIECSSATLIQVPDVFFYAQKAVLHPTSPLFDQENQILKPRCIRALKRIFMLCDIDMDGVLSDAELNEFQVKCFNAPLQPAEIVGVKRVVQERIRGGVNDLGLTLDGFLFLHALFIEKGRLETTWAVLRKFGYDDDLKLRDDILPIPTKHAPDQSVELTNEAVDYLRGIFRLYDIDNDGSLQASEVDDIFATAPESPWSVSPYADAAERTPLGNLTLNGFLSEWALMTNLDPSSSLANLICIGYGGNPSSALRVTRKRSADRKKQRTDRNVFHCYVFGPKRSGKSALLNSFLGRPFSINYTPTNGVHYATNVVEQIGGTQKTLIMQEIPEDGFKKFLSNKECLAACDVAVFLYDSSDEYSWKRSRELLLDVARVGEESGYGVPCLLIAAKDDLDAFPMALQNAARVTQQLGMEAPIPVGVKLRDPKDIFSRIIMAAEHPHMSNPETEKGKKRKQYRQLVNSSLMFVSVGAAVAVVGLAAYRAYAARKNT >OMP01711 pep supercontig:CCACVL1_1.0:contig06383:25535:30957:1 gene:CCACVL1_03024 transcript:OMP01711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mycolic acid cyclopropane synthase MTRVVAVIGGGIRGLASAYILAKAGIDVVVYEKEEQLGGHGTRNFNFDHAIDLDLGFLFLNLATYQTTLELFESLGIDVEAFDVSFSVSHGYEWGTRHGFSSLFADKKNTFNPYFWQLLREINKFKDEAISYVEMLESNPEIDRNETLGQFINARGYSETFQNTYLSQFVPGSSLLFSCLWVRDILESRGCQLKSGCQVHSVLPADNGSIIVRGDGFQETYSGCIMAVDAPTAVRLLGNQATFEETKVLGAFQYSSSDIFLHTDSNLMPKNQSAWSALNFISSSENKAYLTYWLNELQNVGKTSVPFFMTLNPDYTPNNTLLKWSTSHLLPSISASKASLELDEIQGKRGIWFCGYNFHEDEFEAGMAAAHGILGKHFPVLNSQTHLSLSFVETVARLLIMTEADLGLADAYINGDFSFIDIDRGLLNLFLIFIANKNLNSSASQLNKNRGWWSPALFTAGIASAKYFFKHVLRQNTLTQARRNISRHYDLGNEHFELFMDETMQYSSGIFKNEDENLKVAQERKISSLIDKARIEKWHEVLDIGSGWGIFAIEVVKRIGCKYTGITLSEQQLKFAESKVKEAGLQDKIKFLLCDYRQIPDTGKYDRIIACEMTEHVGNEYIDEFFRCCESLLAQDGLFVLQFISIPEELFDEYIHSPGFVKEYIFPGGCLLPLSRILSAMAAGSRLSKILGLGFDDKFIRTWEYYFDYCASGFKSRTLNDYQVVFARPGNFGALGEPYKGFPSAYSYID >OMP01710 pep supercontig:CCACVL1_1.0:contig06383:11156:22536:1 gene:CCACVL1_03023 transcript:OMP01710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mycolic acid cyclopropane synthase MTRVVAVIGGGIRGLVSAYILAKAGINVVVYEKEEQLGGHGTRTFNFDHAIDLDLGFLFLNPATYPTTLELFESLGIDDVDESHVSFSVSHDYEWGTRHGFSSLFADKKNTFNPYFWQLLREINKFKDEAISYIEMLETNPEIDRNETLGQFIKSRGYSEIFQNTYLIKDILESKGCQLKAGCQVHSVLAADNDSIIVRGDGFQETYNGCIMAVDAPTAVRLLGNQATFEETRVLGAFQYSSSDDFLHRDSNLMPKNQSVWSALNFITSSENKACLTYWLNVLQNVGETSLQFFMTLNPDHKPKNTLLKWSTSHLLPTISASKASLELDQIQGKRGIWFCGYNFHEDEFKAGMVAANGILGKHFPVLNSQTHLSLSFAETGALLLVTKFFQQFISTGCIILLEEGGRTFTFKGSMEKCSLKTVLRVHSPQFYWKIITEADLGLADAYINGDFSFVDKDRGLLNLFLILIANKELNSSASQLNKKRGWWSPALFTAGIASAKYFLKHVLRHNSLTQARRNISRHYDLSNEHFALFLGETMQYSSGIFKNDEDEDLKVAQERKISSLIDKARIEKWHEVLDIGSGWGTFAIEVVKRTGCKYTGITLSEQQLKFAEAKVKEAGLQDKIKFLLSDYRQLPDTCKYDRIISCEMIEHVGNEYIDEFFRCCESLLAEDGFFILQFISIPEEQFEEYRRSPGFIKEYIFPGGCLLPLSRILSAMAAASRLSKILGLGFDEKFIRTWEYYFDYCASGFKSRTLGDYQIMKGADLGLADAFINGDFSLVDKEEGLLNLFLILIANHDSNSSVSRSNKNRVWWKPALLTATISSAIYFLKHVLRQNTLTQARKNISHHYDLSNELFSLCLGETMQYSTGINFKMEDDDQDLTVAQLKKFSSLIDKARIEKKHEILELGCGWGIFAIEVVKRTGCKYTGITISEKQLQLAEAKVKEAGLKFSSMPEELFDENIRSPGFVKEYVLPGGCLPSLNRVLTAMAAASRLSVEHVENIGPAYFPTLRCWRKNFLENQRKILDLGFDEKFMRTWEYYFDYCAAGFKSRTLGNYQVVFSRPGNFGALGNPYQGFPSAYSY >OMO88413 pep supercontig:CCACVL1_1.0:contig09023:18216:21371:1 gene:CCACVL1_08403 transcript:OMO88413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTIPISSSSSRLIFRPLPKTLNLALFSRHTPPKAPPFTLLVRALSGAAVSAAVAAAPATEIIDNKVLKPQWKAAIDFKWIRDNKEAVAINIKNRNLNANLDLVLELYDKMLNLQKEVERLRSERNTVANKMKGKLEPSERQKLIEAGKNLKEELATLEEDLINLRDEVQLEAQCIPNMTHPDVPVGGEDNSKLRNMVGNRREFDFPVKDHVQIGKELDLFDFDAAAEVSGSKFYYLKNEAVMLEMALVNWTLTEVMKRGFTPLTTPEIVRSSVVEKCGFQPRGENTQYVAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFVLCRPEDSDNYHEELIKIEDLFSSLGVHYKTIDMATGDLGAPAYRKFDVEAWMPGLERYGEISSASNCTDYQSRRLGIRYRPSELPTTNSKKGKASSAATKFVHTLNATACAVPRMLVCLLENFQQEDGSVIIPNPLRPFVGGIERISAKSK >OMO88416 pep supercontig:CCACVL1_1.0:contig09023:51283:59364:-1 gene:CCACVL1_08406 transcript:OMO88416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDAMIDSKDQEKFDEIALGFDFWMRALTRKIVPFLAAPSVEDTTLQKGDLD >OMO88415 pep supercontig:CCACVL1_1.0:contig09023:34148:35282:1 gene:CCACVL1_08405 transcript:OMO88415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVRLGLNDNFVIIKLIYLLLCSVLSAVNVASIPDECEVSWCKKHHEPSVRFPFRLQHRQPPRCGYPHPGFQLSCSENGQTLLNFPPAMKLVVTHIDYKAQRIHLYDPANCLWRQFSGLNSSAFPFYSWDEPEVDAYTLFNCSSDDESLYQTSTRIPCLTIPGYQIIALPSDSNAYADNLLNCSNIGNFSAPIYPWLTGRFQLPWKEPNCGECEMEGKGCRLKRNSTQDQTECFYIPSHHMGVGEKLMIAGKWGFSCPLQFVDKYDAA >OMO88412 pep supercontig:CCACVL1_1.0:contig09023:15566:15791:-1 gene:CCACVL1_08402 transcript:OMO88412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNESPTRSSENFTSEERVIGVSDWSWRLGWVTELRPTSALLLSKKKKKDYEAVNGVGEGVRARPISNNTGREKL >OMO88414 pep supercontig:CCACVL1_1.0:contig09023:27121:29317:-1 gene:CCACVL1_08404 transcript:OMO88414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAARLLFAITALVFLALSRQTCNAKGKGNRHHCSPSSCGSIHNISYPFRLNSDPNHCGVPGYNLSCQNNLTVLKSKTGGKYYVQAINYNNYTIRLVDAGVEKGNCSSLPRNSMSYYNFTAGDIAPYRLSSWTYDRSKNILFLNCPKPVKAPLYLNASPCLNGSYPCNSLFPESKTGYPYVKVGRTRASDVMNSCRIVLMVMTSLLGKDGNIFNSTTYLDIHQELAYGFELSWSHVYCGSCGWPFKYINDCIIGEENHVDCGVNSLIRGVVLAAGIVEFMGIIVGLHLVVCLCAIPCVIISLLHKCRKRHLSLYGTIEDFLQSHNNLMPVRYSYSDLKNMTNGFSHKLGEGGYGSVYKGKLRSGHNAAIKMLSKSQADGQDFINEVATIGMIHHVNVVRLIGFCVDGSKRALVYDFMPNGSLDRSIFSAEQCTALTWKNTYEIALGVARGIEYLHEGCNMQILHFDIKPHNILLDDNLVPKISDFGLAKLYALDKSIVPLTAARGTMGYMAPELFYKNIGGVSYKADVYSFGMLLLEMIGRRKNLNPLAEHSSQIYFPFYVHGQMVKGENVNEVGDATEEENTMIKKMTIVALWCIQLKPSDRPSMKKVLEMLQGSLESLQVPPKPLLYPEEELVPKIEDQANETESSSSTLS >OMO70747 pep supercontig:CCACVL1_1.0:contig11788:12346:13773:-1 gene:CCACVL1_18676 transcript:OMO70747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSCCNKQKVKRGLWSPEEDEKLINYITTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIIELHSILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLISHDVPALASFADHVHNSTPPEETFISLNGNPNLLLTAQQQDQLFLNSNPILQSFGHHAAADFKPNPNGYNIDNLVHPHNNFPTPIFPSNTSSSSFDPTWPPLPTFNVPQEDVQIFSNEVVQNFINDHKLMNQTNPYDQHPLMAPPKFCEILEGCNMPPQTSGVSVSLVDNMDPVVSRLSSCFPAISAVSNFPHEMHVPTSQMEYIDNIITSQLPSSSSSSSLSALSNGQFVANPNLPSSSWDP >OMO70749 pep supercontig:CCACVL1_1.0:contig11788:33428:34076:1 gene:CCACVL1_18678 transcript:OMO70749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERKQHPTDHLSEKDVKAPNLIERAKEEIEAILHTDKKPHHHKETHGRSNDIDEDTPVDDVKGPNVFERMKEEVEAVVGAIHQKKDSKG >OMO70745 pep supercontig:CCACVL1_1.0:contig11788:3408:7786:1 gene:CCACVL1_18674 transcript:OMO70745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Survival protein SurE-like phosphatase/nucleotidase MTTTSMKKNMLPPGLVNNLQEVLLSRKGDNNNNKGNNEEEKQSKDDSTEPSTSTCNENDAADENDSSKPVVLVTNGEGIDSLGLVYLVQALVSLGLYNVHVCAPQSDKSVSGHSVTPRETITVTPAEIDGATAYEVAGTPVDCVSLALSGALFSWSKPLLVISGINRGSSCGHHMFYSGVVAGAREALICGVPSLSISLNWKREESQESDFKDAVAVCLPLINAAIRDIEKGVFPKSCFLSIEIPTSPSANKGFKLTKQSMWRSAPSWLAVSANRHPSSAAHFMSNQQSLGLQLAQLSRDASAAGAARRVTTQKKNVEIESVGAAKLDNKVKKYFRLEFVDKEQEDADEDLDFKALDNGFIAVTPLSLSPQIESDIQTAASDWISSAVHGDQ >OMO70746 pep supercontig:CCACVL1_1.0:contig11788:8742:10990:1 gene:CCACVL1_18675 transcript:OMO70746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKALIRTGASLMNRLKVNPVLTPNLISTHRIANQGSQITPQLFPSLSKLQTSLHLPQNDVDPVSKVSSIGFLYPTGLPSLPFFLPDGYIHPRFKALFGSNPIKACPRREFVILLKECLQSVKFFTPLPEPKGKTVFSFYCEVRAITY >OMO70748 pep supercontig:CCACVL1_1.0:contig11788:22806:28959:-1 gene:CCACVL1_18677 transcript:OMO70748 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MVMMTSVEFEGQGKLGTIMRTSGSFKKRDLEKCSYESDHDHDHEQQNEDQSNIGIISSFKKKKPGKLELNIQTNYREISNFGGNDDNNHELADNCITISSPEMVKFLCSKPEYELHAAATKLQKFYKSYRTRRNLADCAVVVEELWWKALEFAALRRSSVSFFNSDKSETAVSRWARARTRAAKVGKGLSKDVKGQQLALRHWLEAIDPRHRYGHNLHLYYDVWFQNGSSQPFFYWLDVGDGKEVNLEKCPRTNLQRDCIKYLGPKEREAYEVSLEGGKLIYKQSRVAVNTIEGTKWIFVLSTSRILYIGQKEKGQFQHSSFLAGAATIASGRLVVHHGTLIAVWAYSGHYRPTEENFMELCSFLEENHVDLTNVKKEAIDDDVVKQACNSEINAVSSTKDENQKEGNGEKNSKMGKPINCKLWSSGLGPRIGCVRDYPAQLQFKALEQVHLSPRVKPGGLSCGPIPSPRPTLNVHLSPRLAYLGLVSPRVRISATILKLSGFISSDFSKMGASGRWFKSLLTLKPQKPSSQQQKVGDNKGKKKWRLWRSSSEGVGSSSYKGLTLRQVAASEAFDSSSMGKNDELVAAMAAIVRAQPKDFRAVKREWAAIRIQTAFRGLLARQALRALKAVVRIQAIFRGRQVRKQAAVTLRCMEALVRVQARVRAQSVIDSDGHSVKKTKEAESSRSCPSPNSRAKNLPFSIKDQKTGWNWLERWMATKPWERRMMDDQFYTDNQSDDITFSRKSDDNIYSFHSGSSEHDFQRVKRNNMTTRVLARPPPMKTQTNSGSLSALSSETVYDESSTSTSSTSLSPITLEDNRNIHHTPKPSYMNPTQSIKAKQKAFGSSPSNSRRRAIDDLLLNKKSMSHSCDDTRSSAGSNPSSFSREFYRPKQVAIHDSLRNQLRHHR >OMO70744 pep supercontig:CCACVL1_1.0:contig11788:91:228:-1 gene:CCACVL1_18673 transcript:OMO70744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDEEPRLGKGRGTAERKEKDYYYNKASISGEKMPDGDPSSAFYVK >OMO60049 pep supercontig:CCACVL1_1.0:contig13797:20138:20631:1 gene:CCACVL1_24437 transcript:OMO60049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCCGGSGGGGGFIGYLEKSSTAENVVPGVATMKMRYEGPEKSINGAESVEKDECKQCKSSICSCK >OMO60053 pep supercontig:CCACVL1_1.0:contig13797:49540:55572:1 gene:CCACVL1_24441 transcript:OMO60053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRIKFRSLRDSESDGIGPSTSISVRDLGSRIAKSKKLDLCKDNLLFFDSTYGQEYVDEEFLIPYGSESHYKAVPVEDLENIATIKIYYPAIQCHRRKSKILPTSVKTEAKNVLLMWLMVMPHWFRLCTCVDSSAGCALEIVLSVGLHSVSKLWVAGRVGGGGVAIIAGGGGGVVLPIGECGGGGVVVVVGEGGGGGVVVVSGLGGGGGEKVVTIGGGVVVASGGEEGGVVSGLGGGGGEKVVAIGGGVIMASGGVVAVEGGGMGGVVKISGEGVGEVVVGGGVTMVSGVREGAVVGEGARGGVAMVCGVGEGGVTVDRGGKEGVIMISGEGVGVVAIGGGVTVVSGVGVGEGVVIVGEGAVAVGVGGRGGVAIVCGAREGVVIVGGVGNEGVIIVSGEGAWVMAIGGGVAMSIGEGAITIGEGVTVVLGVGDGTVILGVGVAMVSGVGEGEVVIGEGAKGGVAMVCGVGEGAVVVGGGGNEGVIMDSGEGVGVMAVGGGVVVSIREGEGVIAIGGGVTVVSGVGERPAFVGEGVRVAVVGGGVAMVSGVGEGAITVGEGGRGGVFIIFGVGEGAVAVGGSGKEGVIMVSCEGVGVVFIDEGIRGELAVFIEEGEGAMAIEGGVSFILGVGEGVVIAGVVVVGGGVIVGVDGDVAMVSGVGERAVAVGGGVVIVCGVGERVVVFGEGGDKGVVLLSGEGVGTVGIWGGVKGGVFIGEGEGTLVVGDWEGAITIGGGGGGGVVVVGGRVGGGGGGVVIGDGGVGGGVGGGGGGGGVVIGDGGGGGGGRRMGGGRREGGGCSMSELTKVKKRRAMPRAATESRLNMILFCS >OMO60047 pep supercontig:CCACVL1_1.0:contig13797:4910:7727:-1 gene:CCACVL1_24434 transcript:OMO60047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MASVFLYHVVGDLTVGKPELVEFYETETVESAIRAIGESTECGIPVWRRRSHVGMVESNEMRQQRFLGILTSLDIVSFLAKTHCLEDQDKAMKTQVSDVVVPNNALLKIVDPGTRLIDALEMMKQGVRRLLVPKSTGWKGMSKRFSILYNGKWLKNIENGSSNNLVANSNPPSSSSSSTYMRKFCCLSREDIIRFLIGCLGALAPLPLSSISSLGAINLNYSSIEASLPALEATQKHPGDPSAVAVVEITPDGQHKILGEISASKLWKCDYLAAAWALANFSAGQFVMGVEDNVTSRLLPDSSVNSAVQDNNTVNGPGSTRSRKFSSRSIGFNPVSPSFGVGRSMYRGRSAPLTCKTTSSLAAVMAQMLSHRATHVWVTEDENDDVLVGVVGYGDILLAVTKQPAAMISTTRSITELTTEIQS >OMO60052 pep supercontig:CCACVL1_1.0:contig13797:45277:45414:-1 gene:CCACVL1_24440 transcript:OMO60052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTAGDSEIKIESIKDLSKPEPNIEGSLLSSATLPFAFGGGSRAE >OMO60048 pep supercontig:CCACVL1_1.0:contig13797:15144:17342:1 gene:CCACVL1_24436 transcript:OMO60048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKWAVPYFVRWKPSKLPTLPLLLLIILLLCIVNPSQCFDLDSKDKDALVLFRRSVQDPGQSLATWVASDCTNWTGISCENQTGRVVSVNLANMNLSGKVHPGLCDLSSLEYLKLSDNNFNGTIPTCFGSLGRLKTLDLSHNSFGGVLPDDIMRLNQLRELVLDGNRELGGILPGWVGNFSSNLETLDLSSNSFHGEIPEGLFHLKSLRHLDLGDNYLSGNIHEFYQPLEFLNLASNQLFGTLPCFSASAQSLTVLILANNSLVGGIPTCIASLEALTHLNLSFNHLSYGISPRLVFTEKLLVLDLSFNDLSGPLPSKIADATEKSGLVLLDLSHNQFTGKIPLRITELKSLQALFLSHNVLTGAIPARIGNLTYLQVIDLSHNSLSGSIPLDIVGCFQLLALILNNNNLSGEIQPELDALDSLKILDVSHNKISGEIPLTLAGLKSLEIVDLSSNNLSGTLNDAITKWSNLKYLSLAQNKFSGNLPSWLFTFEAIQMIDFSGNKFSGVIPDGNFNISFHNNNGNGDGEPTEPFIAMRNAEIKISVIVIDSSQLSFNYKVSSTVGIDLSDNLIRGEIPDVLFEVKGLEYLNLSYNFLDGQLPRLEKMWNLRMLDLSHNSLSGQIPGNISTLKDLILLNLSYNRFSGSVPDNQGYRRFPGAFAGNPNLCVESSGEGCDRESLPAVPGKTLEEVEGPILVWVFWLSAFVSFYFGVVVLFCSARARSYILQTKV >OMO60050 pep supercontig:CCACVL1_1.0:contig13797:21801:26652:-1 gene:CCACVL1_24438 transcript:OMO60050 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MTSSVFLSSSLGIISIAASIKICDLGRKRSKLYCFKKERKEQRNVNCACIAPPRNLGRDGFPGTKFTESSKTENSSKEMMHLNESDILIECRNVHKSFGEKQILRGVSFKIRHGEAVGIIGPSGTGKSTILKIIAGLLAPDKGEVYIRGRKRSGLISDDEISGLRIGLVFQSAALFDSLTVRENVGFLLYEHSSMSDEQISQLVTENLAAVGLKGVEDRLPSELSGGMKKRVALARSIICDITKESIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHAGGEDALGKPGKIASYVVVTHQHSTIRRAVDRLLFLHEGKVVWQGMTDEFTTSTNPIVQQV >OMO60046 pep supercontig:CCACVL1_1.0:contig13797:558:3658:-1 gene:CCACVL1_24433 transcript:OMO60046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGTRSGSMRMAGSRRGWRPAPWPGRDLHATRAMAIPKQFIKKYGKCMSSPAAFLRVPSGEVWKVELRKRDGKVWLKKGWREFSNHYSLKHGHFVVFSYEGKCNFDVVIMDRTASEIEYPYTRNHVSCNSKRFSDESESEDDESIQILEDIPPSRKNREKSDLPCFRPHKKMKTSDSADYITESILKSECMNPSRNKNAFALGRAIRDFKSENPFFVVVMQPSYVYAGLQCKCRLAIPIYFVRKHLMKDDCEITLFNSDGKTWPVQFHQGGNGKKLYGSLNAGWDIFVHDNNIQVGDICVFELINCIEIAFKVVIYQGEDGTFHKSSDLNDVFGQPKGNAGASYHPSQCVEDDKSRPLARKAGASSRSRGCWVQLNALQKAKALQLARAFRSENPSFTVVMQPSYVHTSLVCIPENFAMRYFKKMQPKMNHEVLLCLSDGKSWPVKYYQLTDTGRSAKFCCGWKQFALDNDLEVGNVCVFEMVAETSFKVTIYRKQAIEGANLGSSSAKSGANVIRIECDESLEINAESNLLQHKGMPICNLQNQHLSEETCSANNGEKTQEENTDSPGKDLEEAGQIFQTPSNGNVQDTDRQD >OMO60051 pep supercontig:CCACVL1_1.0:contig13797:32093:41016:1 gene:CCACVL1_24439 transcript:OMO60051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGESQMLSMEKSQMSCIQQFGVDKFGFYYFK >OMO49448 pep supercontig:CCACVL1_1.0:contig16498:28709:41953:-1 gene:CCACVL1_30991 transcript:OMO49448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine-tRNA ligase, alpha subunit MAILAFPLLISFLKPHASHLSLLRLSRPKAFLLRSPPPLSRRYLTTASAVNTSSIQQHSSTNLVDEEPKKASVLTFQQAIQRLQEYWASVGCAVMQCSNTEVGAGTMNPLTYLRVLGPEPWNVAYVEPSIRPDDSRYGENPNRLQRHTQFQVILKPDPGNSQDLFIRSLSALGIDVSEHDIRFVEDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSLPLSPISVEITYGLERILMLLQGVDHFKKIQYADGITYGELFLENEKEMSAYYLEQASVNHIQKHFDFFEEEARSLLASGLAIPAYDQLLKTSHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWLKTRESLGYPLGVVSESVDHVCPKEVLEAAAKKVHHDPRLFVLEIGTEEMPPQDVVNASQQLKDLMSQLLEKQRLNHGGIQAFATPRRLVISVESLCPRQAENEVEVRGPPASKAFDQQGNPTKAAEGFCRRYAVPLDSLFRKVDGKTEYVYACVRESARVSLEVLSEELPAVLAKISFPKSMRWNSQVMFSRPVRWIMALHGEAVVPFTFAGIFSGNLSCGLRNTKTATVMVESAESYSSIMKNAGIDIQIEDRRKIILERSSLLAKSVNGNIVFQESLLSEVVNLVEAPVPVLGKFNESFLELPDDLLTMVMQKHQKYFAITDDNGKLLPYFIAVANGAINESVVRKGNEAVLRARYEDAKFFYEMDTHKRFADFRQQLKGILFHEKLGTMLDKTMRLEIMAHKLSMDLGVSDDMLQVIKEAASLAMSDLATAVVTEFTSLSGIMARHYALRDGYSEQTAEALLDITLPRFSGDLLPKSDVGIILAMADRLDSLVGLFAAGCQPSSTNDPFGLRRISYGLVQILLEKNRNMDLKHALELAADVQPIKVDATTIEDVHQFVTRRLEQYLVDKGISPEVVRSTLAERSNLPCLAAKTAQKMEALSKGNLFPKVVEAYSRPTRIVRGKDVDSDMEVDDAAFETNEEKALWGTFLSVKNKVHPGIEIDDFIEISADLVQPLEDFFNNVFVMVVCNLFISLNH >OMO49447 pep supercontig:CCACVL1_1.0:contig16498:18812:18874:-1 gene:CCACVL1_30990 transcript:OMO49447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAKIKQKSGQRVEHYIAR >OMO49451 pep supercontig:CCACVL1_1.0:contig16498:78743:80274:-1 gene:CCACVL1_30994 transcript:OMO49451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQTMGSQGDSGNNGKQSQFQQLTRQNSMYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTAEANQSFGMETEGTALTNQTALQRQASLSLASALSKKTVDEVWRDIQQSKNDGEKKSRERQPTLGEMTLEDFLVKAGVVAEASTDKKGGGSVVGVDLSVSPQFGQQGQWMQYPQQQYQHPQQSMMGVFMPVQPIPQPLTVGPATVMDVSYPENPVPMPSPLMGTLSDSQASGRKRGAPEDMIEKNVERRQKRMIKNRESAARSRARKQAYTNELEHKVSRLEEENERLRKRKLFLVELVMMLL >OMO49449 pep supercontig:CCACVL1_1.0:contig16498:48986:51174:-1 gene:CCACVL1_30992 transcript:OMO49449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGLGGNNVSPNVSDKSKVLDVKPLRTLVPMFPDSSEGPPFVCVPPHGPFPPGFSPFFPFSAPQGFQSTPDLNQNNFNSTAVPLRAYRAEPLDSNGQNVSPVGSSRKQKSAGPSSAKPKAKRSKDSDVVVVPLSNYNPGISLSDREDGNRELVENLLMRFDALRRKLSQMEDAKEIHSGIIKRADLKAGNIMMTKGVRTNMKKRVGAVPGVEIGDIFFFRMELCLVGLHSQSMGGIDYLSARGDAEADPVALGIVSSGGYDDDAEDPDVLIYSGQGGAGNKDKEASDQKLERGNLALERSLHRGNEVRVIRGLKDALNQASKVYVYDGLFKIQESWMEKGKSGCNMFKYKLVRIPGQAGAFSTWKAIQKWKAGLSSKVGLILPDLTSGAESTPVSLVNEVDDEKGPAYFTYFPTVKYPKSFKLVQPSPGCDCRDACQPGNFNCSCIQKNGGDFPYTANGILVCRKPMIYECGPSCPCFRNCKNKVSQLGLRVHLEVFRTRDRGWGLRSWDPIRAGTFICEYAGEVIDKSKARLDGGDGDNEYVFHTNRIYESFKWNYEPALVGQEGPGPTEDFDIPAHLTISAKTNGNVARFMNHSCSPNVFWQPIMYESNGEAFLRIAFFAKRHVPPMTELTYDYGIPHSDDTGSNAAAYGRKKCLCGSPKCRACRRNADYAYAMVATTY >OMO49446 pep supercontig:CCACVL1_1.0:contig16498:15689:16120:1 gene:CCACVL1_30989 transcript:OMO49446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAKPKLPPAAVGNVEAQGRRREEIDDQIQRHKELFQMRREESRRKVLGMKPTAAFDDNAKIFSEFLVLCGCQSGSLPYRFQGSSSSENFGLHLRTYTYDDIEEALRLEDVEHDIEEGEIV >OMO49450 pep supercontig:CCACVL1_1.0:contig16498:64558:73459:-1 gene:CCACVL1_30993 transcript:OMO49450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MRNMLFKLGIFLSLLSLFLVNSESAVISIDLGAEWLKVAVVNLKPGQSPITIAINEMSKRKSPALVAFQSEARLLGEEAAGIIARYPDKVFSSLRDMIGKPYQEVKRLADSMYLPFDVIEDSRGAARIRVSGDVSYSIEELLGMLLKFAANLAEFHSKVTIKDAVISVPPYFGQAERRGLLVAAELAGINVISLINEHSGAALQYGIDKNFSNASRHVVFYDMGSSNTYAALVHFSAYNAKEFGKTVSINQFQVKDVRWDSELGGQNMELQLVEYFADEFNKQVGNGVDVRKHPKAMAKLKKQVKRTKEILSANTVAPISVESLYDDQDFRSTITREKFEELCGDLWDRALIPLKEVLKHSGLQAEDLYAVELIGGATRVPKLQAKLQEYFGRKDLDKHLDADEAIVLGSALHAANLSDGIKLNRKLGMVDGSSYSFVVELDGPDLSKDAATRLLFVPRMKKLPSKIFKSFNYSKDFELSLAYDREDLLPPGISTPVFAQYAVSGLADAAEKYSSRNLSAPIKANLHFSLSRSGILSLDQAEAAIQISEWIEVPKKNLTIENTTNASSNVSSDVGTKNSSEQSNNSLDSDGGISDASNSTAEEPSTVDLGTERKLKKRTFRIPLKIVEKTIGPAMSLSEESISDAKRKLEALDKKDAERRRTAELKNNLEGYIYATKEKLETSEDLEKVSSNDERQSVINKLDEVQEWLYTDGEDATATEFQERLNLLKAAADPIFFRFKELTARPEAVDVARRYLTELQQTISGWETEKPWLPKDKVDELSVKMNKFKTWLDEKEAEQKKTSGFSTPVFTSEEVYGKVFNLQDKAASIKRIPKPKPKVEKPVKNETETNSENVKNSDSTPEDTSQDEKPAGDANSSTNEEVKKEAESHDEL >OMO49452 pep supercontig:CCACVL1_1.0:contig16498:117773:123964:1 gene:CCACVL1_30995 transcript:OMO49452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSLFEEPCYTYCYSLDTLLEPGASKSVNKFGPNLKSNVPNPKPIGNPKLERRNSTSATERKVNRSRISLPSMLLLRHTHYLNHQILSLLHLASSITSALDLAF >OMO49454 pep supercontig:CCACVL1_1.0:contig16498:127395:128119:-1 gene:CCACVL1_30997 transcript:OMO49454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHRSLTALAKEHDLETSEKIIKSWKWRQLYIASLEEELAAANRDKEEDYVQK >OMO49453 pep supercontig:CCACVL1_1.0:contig16498:125042:125981:-1 gene:CCACVL1_30996 transcript:OMO49453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKFKEASTKLKERLASKGLEKNIKYSTVGTINRYTGAPPLHGGDNISLSFYCLGLTISDFYPLQAN >OMO70919 pep supercontig:CCACVL1_1.0:contig11771:98132:98191:-1 gene:CCACVL1_18579 transcript:OMO70919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFPPATGIADKTANFAKF >OMO70918 pep supercontig:CCACVL1_1.0:contig11771:32123:34090:-1 gene:CCACVL1_18578 transcript:OMO70918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPKQKMSSVNVYASSYYRTIVRKYAKRGRLPNIKLIRKKPSRLDKMTLLNQVYIVPKKGSDVALGPVKLEASIQEMDRGKSSILKRKPKIEPGKSSLFSVAFFFLYQLKLSSESFFTKISQCVTERKPNIEPIECHSETDSDDIGFDGIKMCHSLTDFKNVTSFEDFIVVVNGLIIKNSELLPKDIQKKYYDLCCSQKAYLHDHLIKGFNCKLVCGMICETIKIAEAIRAANLTTSQRSFETWNKTLKSFEGLGMNVGFLRARLEQLMNLSLKLKRYQEASVEQANLQEEKT >OMO95964 pep supercontig:CCACVL1_1.0:contig07564:12170:13720:1 gene:CCACVL1_05141 transcript:OMO95964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSCLTFGDLEFKNDLNSVRNDNSPFLLTRNNILHRSFSSPCLSPTGKNKIHQPRNRSRPIEILGKSDSFDVLKPVAKDIIKAMKLGIDPLPVNGGLGGAYYFKNPRGQNVALVKPTDEEPYAPNNPKGFVGKSLGQPGLKRSVRIGETGYREVVAYLLDYENFAKVPPTALVKITNSVFNVNNYKKKHLVTKIASFQKYIHHDFDASDHGTSSFPVSAVHRIGILDIRILNTDRHAGNILVKKLDGSGRFDQVELVPIDHGLCLPEALEDPYFEWIHWPQSSIPFSEDELEYIKKLDPIKDSMMLKRELPMIREACLRVLVVCTIFLKTAAGFGFCLAEIGEMMSREFRAGEEDPSELEVICLKARELIAKMKLTSPKSPMGDYAEFQFDIDSKESDSQFGNGFLNSHFPVSELEEYFEEKDFDIPASISSLSSMSLIKDTKFSEPKQENSYSTSSSEQLPASVIFVKLADMNEEEWAFFLDKFQELLCLAFEKRKSLTLGQKQRQRLGTSCQF >OMO95965 pep supercontig:CCACVL1_1.0:contig07564:14254:15150:-1 gene:CCACVL1_05142 transcript:OMO95965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAENLRKQIQALTCNDGNEVADKLTRRVMKQFISRIAMDIEKEKMMKKKKIKRCQPGIRINGKLQNKNSSRKGKEIMVVREKVVKRCHGSFLEEEEKDDEELRWIKKQKKAKAKAKTKTKKKQRKTGAEDQIQKKKIISSILEKLDANPIPMPENFRKCIEELGGIDINLVMHKVIYDTDLSKTHSRLSMTIKKFLNKFFSDDEERKFRKGKESKEVKLVEPCLKVSKVNMTWWAIGGSHAFVFNNQWNQIVVDNPDTLKTMAIFQIWSFWIPRELENPELGFALIKVGDVPDKEPS >OMO89009 pep supercontig:CCACVL1_1.0:contig08868:7400:7639:1 gene:CCACVL1_08063 transcript:OMO89009 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Leucine-rich repeat receptor protein kinase EXS precursor MGSFEPAASILDTKLQGMPDQMVQEMLQTLGIAMFCVNSSPAERPTMKEVVALLMEVKSPPEEWGKTSQPLIKQSSNQS >OMO89010 pep supercontig:CCACVL1_1.0:contig08868:14448:14972:-1 gene:CCACVL1_08064 transcript:OMO89010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNRSQISLYKFIIRNPFQSSLKPTSPFSIKSLSSVPIQLLGNSVPFPKPTLFHSKSNLSQYPIFQASQAQEEIHQKLRKYSTESTSELDVNKEVDSINLKFAEAREEIEMAMESKETVYFNEEAECARAAVKEVLDMFDGLLEKLPQSEKAALQRSMGLKIEQLKAELQQLDD >OMO89008 pep supercontig:CCACVL1_1.0:contig08868:4729:7005:1 gene:CCACVL1_08062 transcript:OMO89008 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Leucine-rich repeat receptor protein kinase EXS precursor QFRVGGNPYLTGEIPSQLGLLTNLTTFGAAATGLSGVIPPTFGNLINLQTLALYDTEVFGSIPPELGMCSELRNLYLHMNKLTGTIPPLLGTIPWQVGNLKYLQSFFLWGNSVSGTIPSSFGNCTELYALDLSRNKLTGSIPEEIFSLKKLSKLLLLGNSLSGGLPKSVANCQSLVRLRLGENQLSGQIPKEIGQLQNLVFLDLYMNHFSGKHP >OMP11573 pep supercontig:CCACVL1_1.0:contig01141:4227:14821:-1 gene:CCACVL1_00433 transcript:OMP11573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEIEARDVIKIVLQFCKENSLHQTFQTLQSECQVSLNTVDSIETFVADINSGRWDAILPQVAQLKLPRNKLEDLYEQIVLEMIELRELDTARAILRQTQAMGVMKQEQPERYLRLEHLLVRTYFDPTEAYQDSTKEKRRSQIAQALAAEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDIEDMHPTTLQHTIKFGKKSHAECARFSPDGQFLVSSSVDGFIEVWDYISGKLKKDLQYQADETFMMHDEAVLCIDFSRDSEMIASGSQDGKIKVWRIRTGQCLRRLERAHSQGVTSLVFSRDGSQLLSTSFDSTARIHGLKSGKLLKEFRGHTSYVNDAIFTADGSRVITASSDCTIKVWDVKTADCQHTFKPPPPLRGGDASVNSVHLFPKNTDHIIVCNKTSSIYIMTLQGQVVKSFSSGKKEGGDFVAACVSPKGEWIYCLGEDRNMYCFSYQTGKLEHLMTVHEKDVIGISHHPHRNLVATYAEDCTMKLWKS >OMO77135 pep supercontig:CCACVL1_1.0:contig10812:2940:7977:1 gene:CCACVL1_15191 transcript:OMO77135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVPPGVAPVPADASKTAPTKPEEKVDYKNLTCPVPFEEINREIFMSLKQETFEGLRFDFTKGLNQKFSLSHSVFMGPTEVPSQSAETIKIPTAHYEFGANYIDPNLMLIGRVLTDGRLTARVKWDLTENLTVKANAQLTNEPHMSHGIFNFDYKGKDFRSQFQMGNGALLGASYIQSVTQHLSLGGEVFWAGQHRKSGLGYAARYETDKMVATGQVASTGMVLLGYVQKVSEKVSLATDFMYNYMSKDVTASVGYDYILRQCRLRGKIDSNGCTSAYLEERLNMGLNFILSAELDHKKKDYKFGFGLTVG >OMO77136 pep supercontig:CCACVL1_1.0:contig10812:8757:12777:1 gene:CCACVL1_15192 transcript:OMO77136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydantoinase/oxoprolinase MSKKKSSAAATAESDEVDQLLQAAQDEMLLKLSVDSHMSRVAPDYLDPDLSRRFQALRSRPSTSQSKLQQRKQSSAAQPPPQQQEENKEKEKKEERESKVVVDEELRGMLDRGGTFTDVYAEIPGQPDGQVLKLLSVDPSNYDDAPIEGIRRILEEYTGEKIPWTAKIPTDKIEWSRMGTTLATNALLERKWERIALCVTRGFKDLLQIVDERIELVLEEEKGNAENSESVVKGFPSDGGLAPESRFSGHKAVLSGPAGGVVGYSHTLFGLETEKPLIGFDMGGTSTDVGRYAGSYEQVIETKIAGAILQAPQLDISNVAAGDGSKLKFQFGAFRVGPESEDQPLDINATKEEFKKLADQINSYRKSQDSSAKDMTVEEIALGFVNVANEIMCHPIRQLTEMKGHETRNHALACFGGAGPQHACAIARSLGMTEVLIYWFCGILSAYGMGLADVVEEAQEPYAAVYGPESVLEASRREAGLLKQVNQKLQEQGFREENIKTETYLNLRYEGTDTAIMVKRRIAEDGSTCGYADEFMKLFQQEYGFKLQNRNILVSMSEFVG >OMO77137 pep supercontig:CCACVL1_1.0:contig10812:13252:14546:1 gene:CCACVL1_15193 transcript:OMO77137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydantoinase B/oxoprolinase MSSTVRWQLEYWGDNLNEGDVLVTNHPSAGEIGGVTPGSMPPFSKSIWEEGAAIRAFKLVEKGIFQEEGIIKLLKFPGGDEYAQKIPGTRRLQDNLSDLRAQVAANQRGITLIKELIEQYGLETVQAYMTYVQLNAEEAVREMLKSVAARVSSESTILGWKNFVMIEEEDCMDDGSVIHLKLAINPDKGEAYFDFSGTSPEVYGNWNAPEAVTAAAVIYCLRCLVDVDIPLNQGCLAPVKIHVPAGTFLSPSDKAAVVGGNVLTSQRVTDVILTAFQACACSQGCMNNLTFGDNTFGYYETIGGGSGAGPSWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHKFGLREHSGGAGLHKGGDGLVREIEFRRPVVVSILSERRVHAPRGLKEGQMEPVEPTIL >OMO86646 pep supercontig:CCACVL1_1.0:contig09447:32798:32869:-1 gene:CCACVL1_09539 transcript:OMO86646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIWVCEINGLEELEKLLIAKEE >OMO82567 pep supercontig:CCACVL1_1.0:contig10019:30259:35629:-1 gene:CCACVL1_11894 transcript:OMO82567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MDKQSQTTVGTASNSSAFSFISKGWREVRDSADADLQLMKDRANSFKNLAASFDREIENLIHSAAPTFAVPAIRQSSEIDFMKKLQPKISEFRRVYSAPEISRKVMEKWGPRAKIGIDLSRIRNAIVAEVRETEMEDMDGIIEYSKVSKGRRARFTEDGQFGDWEPIRAIKTRLREFEKKSSTVEIFGGLKNNEFLEKFKSSLKAIYKEPDESKDVPPLDVPELLAYLVRQSGPLLDQLGVRKDLCDKIVESLCSKRKNELVLRSLADGEPPIVDNDKINDELDLRIASVLQSTGHCYEGGLWTDLTKQDVSDGKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSAKQKVTLLVPWLCRSDQELVYPNNLTFSSPEEQENYIRNWLEERIGFKADFKISFYPGKFSKERRSIIPAGDTSQFISSKDADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCHKVLRLSAATQDLPKSVICNVHGVNPKFLKIGEKVAEEKELGQQAFSKGAYFLGKMVWAKGYKELIDLLAKHKNDLDGFKLDVYGNGEDAHEVQSTAKSLDLNLNFLKGRDHADDSLHGYKVFINPSVSDVLCTATAEALAMGKFVVCADHPSNEFFRSFPNCLTYKTSEDFVAKVREAMANEPQPLTPEQRYTLSWEAATQRFIEYSELDRVLNSEHNGTKLRLSNGKVIAKSVSMPSLSEMADGGIAFAHYCLTGNEFLRLCTGAIPNTRDYDEQHCKDLRLLPPQVENPIYGW >OMO82569 pep supercontig:CCACVL1_1.0:contig10019:46033:48210:-1 gene:CCACVL1_11896 transcript:OMO82569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8e/ribosomal biogenesis NSA2 MPQGDYIELHRKRHGYRHDYFEKKRKKEARQVHERSAKAQKALGIKGKMIAKKNYAEKALMKKTLAMHEESSTRRKVDEVQEGAIPAYLMDRENTTRAKVLSNTIKQKRKEKAGKWEVPLPKVRPVAEDEMFKVIRTGKRKTKQWKRMVTKCTFVGPGFTRKPPKYERFIRPSGLRFTKAHVTHPELKCTFNLDIIGVKKNPNGPMYTSLGVMTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >OMO82568 pep supercontig:CCACVL1_1.0:contig10019:43194:45347:1 gene:CCACVL1_11895 transcript:OMO82568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLIVSLWLCMRTGIYVGNNTVIHFTRRGEEVGTGTVLDLLLVSSGPARSQVQCPTCIPPVEGHGVVSSCLDCFLAGGILYRFEYAVSPALFIAKARGGTCTLAVSDPDDVVVHRANYLLENGFGCYNVFKNNCEDFAIYCKTGLLVLDQLSIGQSGQAVSIIGGPLAAVLSSPLRLVTTNIYGMAATAVGVYCASRYAADIGMRRDAVKVPVEDLTRRLATGLLQAFMLETIVIHFTRLSVKEDDGVVCSSLDSFLAGGNLYRCEYAVSHAFHVAKPCTLAVSDPDDEVVHRAEYLLENGFGCYNLFNNCENFAVYCKTGLVVDRATVGRSGQAVSAMYAATWGTLLLGHSSASAYVIAQICEGAAIVLGGAAATAIGVVDAISIPVFGVGAYCVCVGRYASDISQRRNVNKVSVEDLVNWQRQAPTEPPVSAAPAH >OMO82570 pep supercontig:CCACVL1_1.0:contig10019:59846:63351:1 gene:CCACVL1_11897 transcript:OMO82570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKFALVLLLSLVIVGFGATDPGDFAVLMQFRDGLENPELLKWPENGGDPCGSPFWNHVVCERSRVTQIQAQGVGLKGILPESLNKLTMLKNIGLQRNQLSGKLPSFSGLSNLMYAYLDYNNFDSIPADFFEGLDNLQVLALDHNNFNATTGWSFPKALQNSVQLTNLSCMSCNLIGPLPDFLGSMPSLTNLRLSFNSLSGEIPGTFNGSVLQTLWLNDQLGEGMTGPIDVVATMESLTELWLHGNQFTGPIPDNIGNLTLLKNLNLNKNNLVGLIPDSLANMKLDNLVLNNNQLMGPIPVFKTKNVTYVPNNFCQATEGLPCAPEVMALVEFLGGVNYPSRLVSSWSGNDPCDWVGIRCISGKVTIINLPHYNLSGTLSPSVAKLSSLSQIRLQSNNLSGPVPDNWTSLKSLETLDLSDNNISGPLPKFSTPLNLVTSGNPLLNGDKTVPSTGDNNTPSQSSGSPDSPSSKSKGSGSSPSDSSVESTKTNGFKRNTFVSIVAPVASFAVLAFVVIPLTVYCYKKRKDGKLASTSLVIHPRDPSDSDNVVKVVVANNTNGSTPTLTGSGSASRNSSSIGESHVIEAGNLFYIRIHSVTGKITTKADVFSFGVVLMELLTGLMALDEERPEETQYLAAWFWHIKSDKEKLRAAIDPALDVKDETFESISIIAELAGHCTAREPSQRPDMGHAVNVLAPLVEKWKPLDDDNEDYCGIDYSLPLNQMVKGWQEAEGKDFSYMDLEDSKGSIPARPTGFAESFTSADGR >OMO82565 pep supercontig:CCACVL1_1.0:contig10019:21177:23397:1 gene:CCACVL1_11892 transcript:OMO82565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MDIESYSQEKTGVLVRAWGRVKALPDKLKTKVVSTCKSIKKLGQDDPRRITHSLKVGLALTLVSLLYYLRPLYDGFGVSGMWAVLTVVVVFEFTVGGTLSKSLNRGFATLLAGALGLGADYFASLFGKKGEPIVLGILVFLLGGAATFSRFFPRIKQRYDYGVLIFILTFSLVAVSGDRVDELVILAYQRLSTIIMGGATCMIISIFICPVWAGEDLHKAVASNLEKLASYLEGFGNVYFQSSEDDGSNVISPKDGKTLPKGYKSILNSKNSEDSLANFARWEPGHGRFRLRHPWKCYLKIGTLARQCAYQIEAINGCIRSDIQTSEEFKNKISEPCKRMSSECGKALKALSTSIKTMKDPSPANSHIENSKAAMKDLKFALKAASLEDSDDFLAIVPAATVASILVEIVNCVEKISEAVNELSLQAHFSKTVEPTVSPEKSQQQQQLLHRGIIQPVFEADDDENNGNVVITIHEISTDSPENQKKPTKE >OMO82566 pep supercontig:CCACVL1_1.0:contig10019:25389:25451:-1 gene:CCACVL1_11893 transcript:OMO82566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCIEFQVVNNSKFQTSEK >OMO81570 pep supercontig:CCACVL1_1.0:contig10146:11912:13867:-1 gene:CCACVL1_12356 transcript:OMO81570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAPGIPVVLVGTKLDLREDKHYLADHPGLLPVSTAQGEELRKQIGAAYYIECSSKTQQNVKAVFDAAIKVVIKPPQKQKEKKKKHSRGCLMSVFPSNCLLCQIFS >OMO81568 pep supercontig:CCACVL1_1.0:contig10146:86:154:-1 gene:CCACVL1_12354 transcript:OMO81568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGRSGSSGKSLTRNDTVTGL >OMO81569 pep supercontig:CCACVL1_1.0:contig10146:692:7577:1 gene:CCACVL1_12355 transcript:OMO81569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGGGEEWKRARHMWTVPTRATAVLSGDVGASPSSSSSAVDSFCKDGRKICVGDCALFKPDEDSPPFIGIIRYLTADKENKIKLGVYWLYRPSEVKLGKGILLEAAPNEVFYSFHKDETAAASLLHPCKVAFLPKDVELPSGICSFVCRRVYDINNKCLWWLTDQDYINERQEEIDQLLYKTRLEMHATVQPGGRSPKPMNGPTSTSQLKTGSDSVQNSASSFPSQGKGKKRERGDQGSEPVKRERTSKMEDGDTGHGRPEINLKSEIAKITEKGGLEDSEGVERLVQLMVPERNEKKIDLVSRSMLAGVIAATDKFDCLSRFVQLRGLPVFDEWLQEVHKGKIGDGSGSKDDRSIDDFLLTLLRALDKLPVNLTALQMCNIGKSVNHLRSHKNIEIQKKARSLVDTWKKRVEAEMDAKSGSNQAVPWSARPRISEVSHSGSKHSGSSEVAIKSSVTQVSASKSGSVKLAQVETATKSASASPGPVKAATSPASANTNLKDTQTRNATVVGNSDPQTIARDEKSSSSSQSHNNSQSCSSDHVKTGGVSGKEDGRSSAAGSGTVTKISGSSSRNRKSINGFPGPSGVQREPGSSKNSSLHRNPASEKVSQSGLTCEKAVDAPVADGNSHKFIVKIPNRGRSPAQSASGGSLEDHSIMNSRASSPVLSEKHEQFDRNTKEKNEIYRSNVATDVNTESWQSNDFKDVLTGSDEGDGSPAAVPDDDQCRIGEDARKTTEVTKTASSSSGNELKSGKLQEASFSSINALIDSCVKYSEANACMPVGDDAGMNLLASVAAGEISKSDVASPNDSPQRNNPVVENSSSGNDTRLKPSAGDDVVRDQNTSVEGLDEEHLKQGVVAGNSWAKNADGKTGSSRERSVGELKEQLTSSSLGLPQTADPCLENGKLKETTTAALVNLPSGGTVDKTADVGDSKDQEKKANGGDEGGSLDSKQKGSIVNDDKVIESCAKVEKEAAEGSSTVLSMEVDIENKKIVTEGLDRTSQTHQKPAVIGNSTKGTDEEAVPSGSVKDMVLENADEVKAEKDVETDENSHVSHTEKQKPEWETGPLQKGEHVEENLEGSEGHKPHGGPSPCKASPTVFETEQSVKPVGSKSSIGEADEAEERTSATTDAPATGGVDTDAKVEFDLNEGFNADEGKFGEPNCSTAPGCSAPVQLISPLPFPVSSVSSSLPASITVAAAAKGPFVPPDDLLRTKGAVGWKGSAATSAFRPAEPRKTLDMPLGTSNASMPDATTGKQSRPPLDIDLNVPDERVLEDLASRSSAQCTDSTPDLTNRDLTCGLLGSAPIRSSGGLDLDLNRVDEPTDLGNHSTSNSRRLDVPMQPVKSSSGGILNGEASVRRDFDLNNGPAVDEVSAEPALFSQHNRSSNASSQPPVSSLRINNTEMANFSSWFPTGNTYSAVTIPSILPDRGEQPFPIVATGGPQRVLGPPTGATPFNPDVYRGPVLSSSPAVPFPSTPFQYPVFPFGTTFPLPSTSFSGGSTTYVDSSPSGRLCFPPAHSQLLGPAAAVPSHYGRPPYLVSLPDGSSSGAESGRKWGRQGLDLNAGPGGPDIEGRDETSPLASRQLSVASSQALAEEQARMYQVPGGVLKRKEPEGGWDGYKQSSWQ >OMP00499 pep supercontig:CCACVL1_1.0:contig06667:171:365:1 gene:CCACVL1_03340 transcript:OMP00499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLERPEITSVLNELKTSQWTNLIKIIIVRPEAIGRKALWFGKSKTIQNSTNGPRKARNNKCSE >OMO89620 pep supercontig:CCACVL1_1.0:contig08657:61171:86737:1 gene:CCACVL1_07732 transcript:OMO89620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNENATEELVYFMSVSTMESIGDGEIRDDGFVDAPSPSPSKKRYIQLHDEVELSALGVGN >OMO89618 pep supercontig:CCACVL1_1.0:contig08657:7172:9185:-1 gene:CCACVL1_07730 transcript:OMO89618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSNSDDLTRELTRNFEVVDDENRGSMCWQHAELAQRNLALELSRSASGIPRDSLFFFFFRCGNFDDVAELALMEQLTDSIHVVFHRRTCA >OMO89619 pep supercontig:CCACVL1_1.0:contig08657:46004:51898:1 gene:CCACVL1_07731 transcript:OMO89619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHAVALNLSGFNSTVNDTSYRHRPPYQGTFRPPMDPQTWQSGKREDQSSGNSRCARVSEVTCANGELTTDVN >OMO89621 pep supercontig:CCACVL1_1.0:contig08657:87712:87879:-1 gene:CCACVL1_07733 transcript:OMO89621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KILARQVTSPVQWETTVKTLLTKGLKKSFELGPGKVIAVIVKRMDKSAEIENIAA >OMO80529 pep supercontig:CCACVL1_1.0:contig10315:26936:28717:-1 gene:CCACVL1_12920 transcript:OMO80529 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MEINKLGAPAEGNKAVVAPTYCVTGTTGYIGSWLVKLLLERGYRVHATVRNPDKALNLLSLWAAGNDQLRLFKADLLEEGSFDEAVKGCHGVFHVAASMEFGVNINANENVEEHVRSNIIEPAIKGVENLLKACLRSKSVKRVIFTSSISTITAKDHSNGNWRSVVDESCQTTIDHVLNAKASGWVYALSKLLTEEAAFKFANENGIDLVSVITTTVAGPFLTTMIPSSIQVLLSPVTGDQKYFSILSAVNARMGSVALVHIEDICSAHIFLMEQSSAEGRYLCCADSYTMSELINLLAKEYPCSNIQRLKSEEKAAKPAEISSKKLRDLGFIYKYGVEDIVHQSITSCVDYGFLPPIRK >OMO80526 pep supercontig:CCACVL1_1.0:contig10315:13754:14677:-1 gene:CCACVL1_12916 transcript:OMO80526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A MAGRGKTLGSGASKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKTGASSKAAGGDDD >OMO80524 pep supercontig:CCACVL1_1.0:contig10315:5146:9818:-1 gene:CCACVL1_12914 transcript:OMO80524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2/DRF autoregulatory MMLMIQGNMDVIRTSFLGFLVILLCVSSAVSSDYRSLAEEAFLNQLVDPATGRIDENLADWLWISCGKDSNDLKEAFEDLKLHLLEETPSTTYDVNTKGSPFAKEKIHKLIDVLHPQLKQALSDCIRKKNLLFPVSGEDSGFTTWYTRYIESLLRWPDVPRRILATQNSGSAPAPAPAPGPASSSSPASAPAPSPDFALDPWLSSPPPPPPPRAPSPRRSPSKVDPLDDLSPANTTKSGKRTDSSKTIIIACVVTAAVTFVFAALFFLLCCRRGSGIKLNDERPLLSLSLSDYSGGSSHAYAFGSIKEQKLDHQSSGNESSLHKKSSSNGKHFESDAVQISLDGKSSFGAVAGAAKASVESSGASGNTNSLLPLPPGRAPPGLPPLKPPPGRADPLPPEPPAPIRASPPPPPPPVPSKASPPPPPPPSMRPASANVGPRPPGPPPPPPPGTKPGPRAPPPPGGPAPPRPPPLGSKMARPPSGRQRTSNAISGEGSGAGDDANAPKAKLKPFFWDKVAANPEHSMVWNQIKAGSFQFNEEMIETLFGYSATEKNKNDKKKESSADQTPQFIQLLDPKKSQNLAILLRALNVTTEEVCDALSEGNELPVELLQTLLKMAPTQDEELKLRLFNGEITQLGPAERFLKVLVDIPFAFKRMEALLFMCTLNEEVTITRESFETLEIACKELRSSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGVDGKTTLLHFVVQEIIRTEGLRAARVARESKSFTSIKSDDLLDDVPPESEEHYRSLGLEVVSKLSSDLENVKKAAALDADNLTGTVSKLGHALLKTRTFLNTEMKSTDEDSGFHEILKSFVQNAEADVMSLLEEEKRIMALVKSTGDYFHGNTQKDEGLRLFGIVRDFLIIVDKVCKEIRDAPIKPAKVQKKQVSNASSSSEPRFESPSPDPRQKLFPAIAERRMENSSSSSSSSSDDES >OMO80527 pep supercontig:CCACVL1_1.0:contig10315:16755:17474:-1 gene:CCACVL1_12917 transcript:OMO80527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAAGILSIQAEIAKDKVTLVNLKSYECQQPNEEAFKLGLVAATLLALSHVTANLLGGCMCICFTEELERSSSNRQFWFGCLVLSWIVVAVGFPTLVMGMLENSKSRGSCKVLHHHFLFVGGILCFVHGLLSVAFYVSATVSFESTSHGED >OMO80530 pep supercontig:CCACVL1_1.0:contig10315:30441:35546:-1 gene:CCACVL1_12921 transcript:OMO80530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSAVSPPSTLRRKAPPFPLRREASNTTPHQAPRPPQQAASNTTLYRQADLSNTFNPQAYYDNSLNTVVCVPFAGYPDPCVLGPHVDPYGAGPSRPSNSFHPYGLCPPPSYDYNPPPSYDYNPDYEAYDPNSVPVDPSNSLPTFLLSTTLHNPIIIVFLMILEQGLQPLSQPSSYPASPNSTLWILK >OMO80523 pep supercontig:CCACVL1_1.0:contig10315:2898:3587:-1 gene:CCACVL1_12913 transcript:OMO80523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSCIKLDVHTEDQTPQKWCISLAEDVFKRFLSLGNPTVHKVFGEGSLFSPLLFGKYFDPSDAFPLWDFESDSLLSNLRNSGKSTVDWFQTDQAYVLKAELPGVGKTNVQIHVEKGKIVEISGQLKLQQRESKTKDWRSCNWWEFGYVRRLELPEDADWRRIEAYLSNDVLLEIRIPRNPLHTDFPEDAAGKAKISE >OMO80525 pep supercontig:CCACVL1_1.0:contig10315:11600:12563:-1 gene:CCACVL1_12915 transcript:OMO80525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTLWKERPLVLGLPRPMANGDNKVALAQRIFDELKKGHGQGPRTPICNYLI >OMO80528 pep supercontig:CCACVL1_1.0:contig10315:22341:24304:1 gene:CCACVL1_12919 transcript:OMO80528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVWFSLKRSLHCKSEPSDVHDPKTRKQLSTILTRKAGRSGCSRSIANLKDVIHGSKRHLEKPPSCSPRSIGSSEFLNPITHEVILSNSRCELKITGFGGFQDGVSNGGNNGGGGGGGGGGSGGSTFVGTLRPGTPGPGGHPTMHYFGNPSLRNPAATPPRKSPFLEREGGSGIFGGAGNVHPSNRVSLEIDSNGSSTVTCHKCGEQFNKWEAAESHHLSKHAVTELVEGDSSRKIVEIICRTSWLKSENHCGRIERVLKVHNMQKTLARFEEYREMVKIKASKLPKKHPRCLADGNELLRFYGTTVACSLGLNGSSSLCISEKCSVCRIIRNGFSTKKELKEGIGVFTTSTSGRAFESIQIVEDDPSIRKALIVCRVIAGRVHRPLENIQEMAGQTGFDSLAGKVGLYSNIEELYLLNPRALLPCFVVICKP >OMO57371 pep supercontig:CCACVL1_1.0:contig14388:58498:58710:-1 gene:CCACVL1_25806 transcript:OMO57371 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Regulatory protein NPR1 MDFGNEISSSLSFASSSYLSNGFESRLTEAAIETLSLSKLNSSLEKLLLDEEYDYSDAEIVVEGNVFPPF >OMO57370 pep supercontig:CCACVL1_1.0:contig14388:49123:51643:1 gene:CCACVL1_25805 transcript:OMO57370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon MGLVASTFYQLISLSDLEVDHLNPFEASSQINWAVLPEFLLQGFLCALFLLTGHWLMFLMAAPLTAYHVMLYLKRKHLIDVTEIFRDINFEKKYRFVKLGIYLLFFTICLVRLVISTFSAFLDDDIVHAFG >OMO57367 pep supercontig:CCACVL1_1.0:contig14388:12419:14707:-1 gene:CCACVL1_25802 transcript:OMO57367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTHPSYQNPNNQPNSGLLRFRSAPSSLLANFTDSLDCGVNKGGFDSDRLISRFMNSSAGNSEIEDKSGAEAAVNYSNSQQSYSGLPPHYPRQTSATTSSAMDSSYELLGMNHQSHGKPVNSTLMRQSSSPAGLFANLSVQNGYASMKGLGNYCGVNSTTTNGELSPSSNRLKNQISFSSRLPSSLGMLSQISEIGNESIGANSPDDGKLGNSNSDARFYGTGYQQYGSWNDSGPFTENFSGLKRTQDNERKFLSAGQNGDLGNRVHVLSHHLSLPKTSNEMAAMEKFLHFQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVEYIKDLQKQFKTLSDNRANCKCLHIQKPVPNQI >OMO57369 pep supercontig:CCACVL1_1.0:contig14388:36577:48374:-1 gene:CCACVL1_25804 transcript:OMO57369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MKLHPPNYGHKTLPLKPTHSPKTTKPGAILSYSPKQDLKGQTVAVVGLGKSGKAAARLALARGASVIGIDQNEHLGLLEQDPFYITWRHNELRTILGHFDWKLLKDVDVVVVSPGVPLENYGLSYLLQSGKRVMSELDFAAEVLPKTIRILAVTGTNGKSTVVTFAGQMLSHFGINNFVGGNLGNPLSEVAFQCLVSPPEKCKLKVAVVEVSSYQMEIPCLSFSPSVSVVLNLTPDHLERHKTMSSYAATKCRLFSHMTNTKLGLLSFGNQHLNEAIRKNLNKFNLAWIGAFPGVKIDMESQVASLEVPDIGVSSQLQLGGMKAIGKHNYYNAAVAALSVAGLDVGVDVKAINSTIETLRAPPHRMQIVCKDVHGVTWVDDSKATNIEATYAGLMGLKGQKSVVLLGGLAKVLNEQASNGFGFEQLIEPLNSHRCVITFGSSGTMIHDTLSNNGLRIPCIQASNLKDAVNHAKKIAKHVIQELISMMLLRGSTNLLRSTRSSTTSSRSTRGSYGPRKCLKCPQSGHNFGTPFWSDPSQHNQLLQVTIKVSFGYGEKESVIHFFLTWLRSKEEPSRIHNFENGEGENHFVGLKKFSLLVSQIEKLADVSWFLSAAQISCLYCACASGYPDMMVCSPISTCAKNVVHLKGQMGSSLCSVISRGPSNSCCYRVYSRHPKTKYTDLSISNTTSGSPAIGCQSFQAGCFLSSRRSSKLQSLTVSESITDKGKQKRQFEISWAGQSMKMKLLLPKQGTLQKLKCIGGPIFWPQGCASAGLAFGLLVCYSSSEPVHAEGAAAKEDKEDDCESSHAKFSHGKKVYTDYSVIGIPGDGRCMFRSVAHGACLRSGKSTPSEHIQRELADNLRAKVADEFIKRREETEWFVEGDFDTYVSQIRKPHVWGGEPELFMASHVLQMPITVYMYDKEAGGLIAIAEYGQEYGTENPIRVLYHGFGHYDALQIPGRKPGKSKL >OMO57372 pep supercontig:CCACVL1_1.0:contig14388:70375:74648:1 gene:CCACVL1_25807 transcript:OMO57372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNAGKAKIRSNGTALDGDEAAALEALQFTDEIHRLISVPPTDNASSFTALLELPAPQAVELLHSPDSAKLIAAPAPAPNVEEFKGSFHFPSNSGLIERAARFSVFAGESNNNINKTNSPETTSNNSSANPQKVVKSEPAETESSQPLVSDPTVEKQSTKRKDREKKTKGSTKKSKTAANDSSEDAEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTALVLDEIINHVQSLQRQVEFLSMRLAAVNPRIDFNLDSIFTAESGSLMDSNFQSMVMPLMWPEVPVNGNRQHYQQQWIDAIQQQPVWGREEVCNNYITPENSLLSYDSSANSGK >OMO57368 pep supercontig:CCACVL1_1.0:contig14388:29428:35909:-1 gene:CCACVL1_25803 transcript:OMO57368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNLLPHISEESSKVVFSLNSDGLALLALKAAIETDPTRSLSKWSESDKTPCHWPGIACTRNRVSSIFLPDKGFTGYIPSELGLLDSLTRLSLSQNNFSKPIPAHLFNATNLIYIDLSHNSFSGSVPQQIKYLKNLTHLDLSSNSLNGSLPESLVELKSLTGTLNLSYNYFSGNPDLCGFPLGNLCPEAQNPRAFVNPEENPENPNGLRPSFDDRNAEKRKEKNGSVAVPLISGVSVVIGAVSVFVWLFRRKWKSGEGKMGKEKKEEGELQEFDEEGQKGKFVVMDEGFNLELEDLLRASAYVVGKSRSGIVYKVVAGRGSGTVGAAVVAVRRLSEGDATWKLKDFEAEVEAIGRVNHPNVVRLKAYYFASDEKLLITDFIRNGSLHAALHGGPSNNLPPLSWAARLRIVQGTARGLMYIHEYSPRKYVHGNLKSTKILLDNELQPYISGFGLTRLVSGTSKYASSITKKLNSAPSIAAPAIGSRISTTNSYLAPEARVFGSKFTQKCDVYSFGIVLLEILTGKLPDAGPENDDKELEGLVRKAFREEHPLSEIIDPALLTEVHAKKQVVAAFHVALNCTELDPELRPRMRTVSENLDRIKLQ >OMO57366 pep supercontig:CCACVL1_1.0:contig14388:5203:6515:-1 gene:CCACVL1_25801 transcript:OMO57366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGRDRLIRPPVDLAEVFLRGRVGGRLTPEARELLGGPPVQQPVTNRPEGVGATTTTAARVGGLRRGGGSFGTPRSTIRRARYTPARGRENTAATAISMGRGRGRATGSSLPSWYPRTPLRDITAISRAIERRRARLGEREGDGQIPETPIAQAERVLDSDVSSSAPLEHNFCTPAAATTKRKPCPPSIHNVSKILLNVAKQNAEESEFLTPQKKLLNSIDTVEKAVREELQRIKRTPSARKEERQMKVRTLMSMR >OMO71460 pep supercontig:CCACVL1_1.0:contig11628:876:3822:-1 gene:CCACVL1_18213 transcript:OMO71460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVAWGDANDAQIGRRDVERMTAINKGNDAYHDAMRQQIW >OMP10515 pep supercontig:CCACVL1_1.0:contig02338:953:1036:-1 gene:CCACVL1_00908 transcript:OMP10515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TAAVVVFPKFSADPSEINSSFLCNSKCE >OMO77183 pep supercontig:CCACVL1_1.0:contig10805:20155:21533:-1 gene:CCACVL1_15177 transcript:OMO77183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLQSLWIPFITLLVTRSLAEAATFTHSRAAYYPNSDEKGTEVGACGFGSFGATINGGDVSAASELYRDGVGCGACYQVRCTNSNYCSDKGVTVVITDSGSGPSTDFILSRRAFGKMAQTTDAAASLLALGVVDVEYRRVSCNYPNKNITIKIDENSNYPHYLAFVLWYQQGDKDITAVQLCETQNFGCKLLDRSYGAVWTTTSPPSGPLSLRMLLSGEDGYESWIVPVNNIPENWTGGNTYDTGLQINV >OMO77179 pep supercontig:CCACVL1_1.0:contig10805:467:2050:-1 gene:CCACVL1_15173 transcript:OMO77179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inner nuclear membrane protein MAN1 MYSSTPKKRPKSKHNSSSPASTSKSSSSMIEPPNSLFPSRGELLRLVAVLAIASSIALSCNYLANFFSSTSKPFCDSDLDSPNSISDSCEPCPSNGECYQGKLECFHGYRRRGKLCVEDRDINETAEKLSKWQEVHLCNSYAQLLCHGTGTVWAGEHDIWNDLDDHELMQNVDNATYLYTKRRVIETIVKLLETRTNSHGIREFKCPDSLAEYYKPFPCRIRHLISKHVLTIVPVCAGLILSAMLFWKVRQKRHLSARAEELYNQVCKFFL >OMO77181 pep supercontig:CCACVL1_1.0:contig10805:10855:11211:-1 gene:CCACVL1_15175 transcript:OMO77181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MASTSRMGIPVLGCVLILLLIAAPASNAAISCSAVIKDLRPCVNYLVKGSGKPPAACCAGASALASAASSSSDKKAACECIKSASKNMKPKSELAQALPANCGISLPVTISPNVDCSK >OMO77180 pep supercontig:CCACVL1_1.0:contig10805:6987:7265:-1 gene:CCACVL1_15174 transcript:OMO77180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSWFKGNPKPETQTIPKPSDTPKPVPGMNGAVEVPRPGSVTVFEFGSVASTTDKVTLAGFCPVSDDLEPCRWEILQASGSDAPQFRVVF >OMO77184 pep supercontig:CCACVL1_1.0:contig10805:24528:26505:-1 gene:CCACVL1_15178 transcript:OMO77184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLSDLPDCILLHILSFLPETRRCVQTTLLSKRWNNLWTSVPDLIFRGYEESRNAHALKKFVRHVLSRRQNLPLNKLYLKYHGKDKSFITRIIKYAISHNVQHFSLYLQKQKQSGLYQESASLESLLPLFNICGSLKTLDLVAFSDIHLLEDFTLPNLTSVRLCQCNFRNGSDVESTSIDPFVGLFNLNSLQLVSCYVCGKFPKLKISGPQLHSLTISGYSDYNEVEVIAPKLQILSLDSLWGFSHLDFPLLEMVEPLSGILCLPRPPIDNDKFGRLYNAKALVLSLDIIMGLMEYLDLVKDQSYSSPFVRLTTLKVRCPPHLMMSSFNVPDNIIKYFGDNVTVKSAANRAVDLLRGELQQVIKECDDHFTASPV >OMO77182 pep supercontig:CCACVL1_1.0:contig10805:17071:18915:1 gene:CCACVL1_15176 transcript:OMO77182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGFKSKPASARLSSLNVDKLLKSQPKLWPDDPQLQIHSSKNNQLQSPSILQALESCTNFNQFNQTHAQLIVSGIIQHLPVASRVVRKLCNSLNSVSHAVLVFNCIKEPDTFICNIMLKGFLDFDDPFSSLDFYYEKMVGKSVAENEFTFPLLGKICAQIRSLKEGEKVHARVLKHGYKSDLFIKNSLIHLYSVCGQIESARQVFEDGFVLDLVSWNSMIDGYVKNRQVEVARELFDEMPDRDTFSWNSIIAGYVGVGKMEVARELFDKMPVRDVVSWNCMIDGYARVENVSEARKLFDQMPVRNVVSWNTMLALYVRCKKYATQALMLFDRMIEIGEVRPNSASLVSILTACAYLGRLDKGLWIHSFIENNKVKCDTLLSTALLTMYTKCGAMDMARDVFDKMPDKNVVSWNSMIMGYGMHGHFEKALEMFIDMEKGGQTPNASTFVSCLSACKHAGKVLEGWWCFDIMCRVYEIEPKVEHFGCMFELLNQVGLMDYSELEGTESKLPAKSGSALWRDLLSASRFHSNLELGEIIAKRLIDLEPMDVGPYILLSYIYSMKGKWAEVENLGKLIKGRGWSERSVSRHWRIMIYSMLMELGVQMKLSSGDTIGV >OMO52703 pep supercontig:CCACVL1_1.0:contig15473:11735:13225:-1 gene:CCACVL1_29114 transcript:OMO52703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDEIKSNLMREIGIIPTVTTAVVLILLVSSLVVGRRRGKKLPPGRRGWAVLGDSIKWYNAVASSHPPRFVEEQVKKYGKIFSCSVLGKWAVVSADPKFNRFVMANEGKLFQSSYPKSFRDLVGKNGVITVQGEQQRKLHAIASNMMRLDKLKFHFFNHIQTVILNTLQHLHHQHHILLQDVAINLMVNQLLGVTSEAEINEMAQLFSDFADGCLSLPINLPGFAYHTAMKAREKIISKIYITIEKCKQEGGASQVGNGMLGRLLMEENLADDVVADFFINLLFAGNETTAKTMLFAVYFLTHNPRALTQLLDEQINVKRNSVQEFLTWQDYKAMSFTQCV >OMO52702 pep supercontig:CCACVL1_1.0:contig15473:4133:4920:-1 gene:CCACVL1_29113 transcript:OMO52702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYSVMLGFDKDCGDVSAMQRQFGLQQFIPATNGLNQLEFLATVNLDI >OMO52701 pep supercontig:CCACVL1_1.0:contig15473:747:1570:1 gene:CCACVL1_29112 transcript:OMO52701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain, type 1/2 MAQRTSHGHRRILAAAPESNPTVPQIQSQSSDPLILPSNSKKKHFSITNHLSRLNLNPHRHSHPPTRVRDIHLQAKSMTLSLDAADSSIIRAKKDKAQARKDLDKRKQQNHQKNKKIIALGAVKEKQDGSLGRRRSFGGSQVELGDILANCGVKIVSVDMPPFMQIHAVDCARKTHDSLEKFTSKTLALTLKKEFDGVYGPAWHCIVGTSFGSFVTHSVGGFLYFSMDQKLYVLLFKTTVQRAD >OMO83236 pep supercontig:CCACVL1_1.0:contig09920:14846:21077:1 gene:CCACVL1_11487 transcript:OMO83236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDVSRKDAQPVTPPSAGQNGNGVAVLQNMATTQHRLRLHPNTEHKPESYDGLQLDFNPLLFSSLERYLPPPMLSLSRDSKLHYMRDIILRYSPEGERNRVQRHREYRQRIITHYQPLHRELYTMHASNFFVPSFLKAINENKEESFRSIMAEPSPGVFTFEMFQPRFCELLLSEVENFEKWVHETKFRIMRPNTMNKFGAVLDDFGLETMLDKLVEDFIRPIAKVFFQDVGGSTLDSHHGFVVEYGIDRDVELGFHVDDSEVTLNVCLGKQFSGGELFFRGVRCDKHVNTDTQSDEVLDYSHVPGRAVLHRGRHRHGARATTSGHRVNLLLWCRSSVFRELRKYQKDFSTWCGECQREKKSSLIHSVSSLHSVEETVRAAVEAKSYKQLPDILLVVEKSGHTPNPFSFLSTYPLKLRTQIIDEILHSFRSIRPRSHPHIAYDLLLSYTLQNPNPLPVSLAILQCTLRAGCSPAPQIRLLLSSAWLNCSVSVSRSLLEMQDIGYCPDSVMCNYLISSLCAVDRLEDAVKVLKGMSAAGCIPNLDSYASLITAMCSFRRTADAVELMKQMVNKARLSPRQGMVVKLVATLRANKEIWKAVEMIEFLEREGNLVGFESYQFVVEGCLECREYVLAGKVVMAMTDKGFIPYIKVRQKVVEGLVYANELKLASLVRERFSDMGS >OMO83239 pep supercontig:CCACVL1_1.0:contig09920:32287:37828:1 gene:CCACVL1_11490 transcript:OMO83239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein METGGSLPHATRKPTLTPKAIIHQKYGNKASYKVEEVEEPAQNGCPGLAILQKGPCLYRCTLELPEFSVTSESFKKKKDAEQSAAQMALDKLGIRPSADNPTAEEAWTDLIARIEYIFSNEFLSALHPLSGHLKAALGRVGDLNGSVPASVIAICDGKPNNLCKIINPKMDSQPFLVISYIMRAATRLPELVVGPEGQLSIRRKNPYPPDLIESILSQESGVAENVTTMAIYIPCSTEKPVEPVILNISSSSYYLDVIAQKLGLSDANKVLISRTIGKASSETRFYLAAPKSFPIDIPSDHLNDKALKFGEPLNARASYICGQNIYGDSILASIGYTWKSQDLFHEDVTLQSYYRMVISKIPSGLYKLSREAILAAELPLTFTTRTNWKGSFPREILCSFCRQHRLLEPVFSISTIPTKASSELSRSSKKLKVSESADQDTEYADGDDTVYAGAKSVGLASSFSCEVKLYSKSQDLILVCAPNVLYKKQNDAVQNASLKVLSWLNAYFKDTDMPLEKLKQLASVFDINFYPQNFIKEVVSCLSVNSFQHSETFGGKPESNGNNILNGVVEDAESSIDTEGLDSGVCPSNGSLLCVCYSVSLVTKDKLQKELLESAEEFEFEIGTGAVITSMEAIGSQMSVGQSACFHTELPPQDLVLAAAKDSPSALALLSSPCCLEYSIILLRLTEPPEDRMEQALFSPPLSKQRVEYAVQHIKDSCATSLVDFGCGSGSLLESLLDYPTSLETIVGVDISQKSLSRAAKVLHSKLTMNSDPDAPSRGIKSAVLYDGSITDFDSRLCGFDLGTCLEVIEHMEEEQACMFGDVVLSSFRPRVLIVSTPNYEYNVILQGSNITSQEDDPEEKVQSQSCKFRNHDHKFEWTREQFNHWASNLAVRHNYTVKFSGVGGSADVEPGFASQIAVFRRVVLPEEENLQEHKDLECKYNVIWKWNRSNPALTD >OMO83244 pep supercontig:CCACVL1_1.0:contig09920:64795:66771:1 gene:CCACVL1_11495 transcript:OMO83244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGERASSTSEKKCLDEAKKRLTHFIRLANDLRFAG >OMO83241 pep supercontig:CCACVL1_1.0:contig09920:47093:51633:1 gene:CCACVL1_11492 transcript:OMO83241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLPSQDILALLEFKKGIKHDPTGYVLDSWNEESIDFDGCPSSWNGIVCNGGNVAGVILDNLSLSADAGLSVFSNLTKLVRLSMSNNSIAGVIPDNIGDFKSLEFLDVSNNLFSSSLPPGLGKLGSLRNLSLAGNNFSGSIPDTISGLASIQSLDLSRNSLSGSLPTSLTRLNNLLYLNLSSNQFTKRIPKGFELISGLQVLDLHGNMLDGSLDGEFFLLSNASHVDFSKNMLQSSSSQKLLPGISESIKYLNLSHNQLTGSLVGDAELRLFGSVKVLDLSYNQLSGELPGFNFAYDLQVLKLSNNRFSGFIPNVLLKGDSLLLTELDLSGNNLSGPVSMIMSTNLQILNLSSNGLTGELPLLTGSCAVLDLSNNKLGGNLTRMMKWGNIEYLDLSQNLLTGPIPEVTPQFLRLNHLNLSHNSLSSSLPKVLMQYPKVRVLDLSFNQFNGPLLNDLLNLDTLEELHLGNNLISGAIKFPSSSESNLKVLDLSHNHLDGFFPNQFGSLARLQVLNLAGNNLSGSLPTSMADLNSLSSLDISQNHFTGSLPSKVPSGLQSFNASYNDLSGVVPENLRKFPNSSFFPGNAKLVLPSGPPGSNAPAENSKRKPINTIVKWVIVVSCVVALIILILLAVFVHYIRISRRTPPEHVASKVVRKRPPTNPSSIAGAEGGGALVVSAEDLVSSRKGSSSGIISPGEKMAAVTGYSPSKTSNLSWSPESGDSFAAEHLARLDVRSPDRLVGELHFLDDTISLTPEELSRAPAEVLGRSSHGTSYRATLDNGVFLTVKWLREGVAKQRKEFAKEAKKFANIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLSWAQRLKIAVDVARGLNYLHFDRAVPHGNLKATNVLLDGPDLNARVADYCLHRLMTQAGTMEQILDAGLLGYRAPELADTKKPLLSFKSDVYAFGVILLELLTGKCAGDVIPGEGEGIDLTEWVRLRVAEGRGSDCFDSALAQETGNPAAEKGMKEVLGIALRCLRSVSERPGIKTIYEDLSSI >OMO83240 pep supercontig:CCACVL1_1.0:contig09920:38231:42570:-1 gene:CCACVL1_11491 transcript:OMO83240 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, NADP-binding protein MAYRLRTLFPKRHSQQLLSSLFHPFPTRSFSSSHFQSVGFIGLGNMGSRMANNLLKAGYNLTVHDVNRDVMKMYSDMGVSTKQTPFEVGEASEVVITMLPSASHVLDVYNGPNGLLHGGSLLTPRLLIDSSTIDPQTSRKLSVSVSNCTLKDKKDDWKSPVMLDAPVSGGVVAAEAGSLTFMVGGTEDAYVVAKSLLLSMGKNTIFCGGPGNGSAAKICNNLAMAISMLGVSEALTLGQSLGLTASTLTQIFNSSSARCWSSDSYNPVPGVMPGVPSSRNYTGGFASKLMAKDLNLASASAEEVGLKSPLTSLAKNIFAEICKNGHENEDFSCVFRHYYSGKDEEN >OMO83243 pep supercontig:CCACVL1_1.0:contig09920:61645:63872:-1 gene:CCACVL1_11494 transcript:OMO83243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 19, catalytic MGVRAIAVVLTVALVANLAGLGLGTGYGDKEIKVKTVKGQKVCTQGWECSWWSKYCCNQTISDIFQVYQFEDLFAKRNTPVAHAVGFWDYQSFITAAALYEPLGFGTTGGKLMQMKEIAAFLAHVGAKTSCGYGVATGGPLAWGLCYNHEMSPDGDYCDDNYKYTYPCAPGAQYYGRGALPVYWNYNYGAVGEAIKVDLLHHPEYLEQNATLAFQAAIWRWLTPIKKSQPSAHDIFVGNWKPTKNDTLAKRGPTFGSTMNILYGDYLCGKGDIDPMNTIVSHYLYYLDLLGIGREQAGPHDELTCAEQVAFNPTVAASTASS >OMO83237 pep supercontig:CCACVL1_1.0:contig09920:22676:25137:1 gene:CCACVL1_11488 transcript:OMO83237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin MREILHIQGGQCGNQIGAKFWEVICDEHGIDNTGKYSGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEYEFEEEEEEVQA >OMO83238 pep supercontig:CCACVL1_1.0:contig09920:27525:29839:1 gene:CCACVL1_11489 transcript:OMO83238 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MVAARRSQLAVSEASVPEGVGWLCHTQLNKVQVMQQQRNLKSVRHFSEDILLSEMKGEAEDHSPREEDEDEDEEMEQPQIPDVSHGYHLVKGEMGHGMEDYIVAETRKSNGHELRLYAIFDGHSGRNVAKYLQRHLFDNILNQPEFWENPKEAIKRAYKKTDDEILERVGDMRGGSTAVTAILMDGEKLIVANVGDSRAILCRANGAVEQITIDHDPLREKQLVENRGGFVSEMPGNVPRVDGQLAMTRAFGDAKVKEHITSEPDVRVEMIDPNTDEFIILASDGLWKVMSNEEAFEKIRELDDAQEASEELIKEALARKSQDDISCIVVAF >OMO83242 pep supercontig:CCACVL1_1.0:contig09920:55111:60470:-1 gene:CCACVL1_11493 transcript:OMO83242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKASKSKEAPAERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLAIPAENFPFCTIEPNEARVNVPDERFEWLCQLYKPKSEVSAFLEIHDIAGLVRGAHQGQGLGNSFLSHIRAVDGIFHVLRAFEDSDIIHVDDSVDPVRDLETISAELRLKDIEFLEKKLEDLEKSMKRSNDKQLKIELEVCQRVKAWIEDGKDVRLGDWKAADVEILNTFQLLSAKPVVYLVNMTEKDYQRKKNKFLPKIHAWVQEHGGEPIIPFSGAFERNLADMEPEEAAKYCEENKVQSALPKIIKTGFSAINLIYFFTAGPDEVKCWQIRRLSKAPQAAGTIHTDFERGFICAEVMKFEDLKELGSESAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >OMO83235 pep supercontig:CCACVL1_1.0:contig09920:4787:6076:1 gene:CCACVL1_11486 transcript:OMO83235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNFPWKKAKVNRISRLVADLHQSPKRGGSLVVETGFPTSLIDLFVKNRDRLRKQTSKRKSGLSPRSQSQIHPVPQSVPSLQQKEAPSPEIEVGDLVLVERDECADERIAFPAGFKVFLAVALAVSTPTLAVWIMAAAFLLLLLEFVGTRYFRFLRPQSQFLFLASWVRKRWDWDWDLEQQESRPELVADQKRVEEIEIEESKSDSMEKVSESEIEMLGKRIEVLINESERVSSSSRKAQIKANLIKKLVPKKLRKGKKQGNKKKNNNKNDDKDDGSCNVTLETLMETVEEDDESQVGVEEVDLEIEEEEDNDEEGGGRISTCSVQSLGIELEKENSTAAATATAETAVERKVKSSESGYMILILFGTVLGGLLGGRGFALLLTLVWCLIVRYSINCGFGRFRLRRRTETGKTTAVVLSRCLASKRLSG >OMO91888 pep supercontig:CCACVL1_1.0:contig08289:7863:8078:-1 gene:CCACVL1_06971 transcript:OMO91888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEEIAPICIAALDSPYACDKTFEVKSVVPFSELFTVDLENPPPEKDYDIYFKTLKDGITGKESLEQSAIAV >OMO91887 pep supercontig:CCACVL1_1.0:contig08289:7088:7279:1 gene:CCACVL1_06970 transcript:OMO91887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTVRSSSFLSILRLLLLKKSSSLTQNTPKPRLQSSIPVNPTVSYVEAIAKKHSSSRMNTK >OMO80025 pep supercontig:CCACVL1_1.0:contig10353:50440:63039:-1 gene:CCACVL1_13210 transcript:OMO80025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNSNSKNLSSSLRELRELIDATSATPPNNRDNDALETKFRAVLPDLLHSYVVPSSSANDREVIAVLKLISYTAKNFPGVFYHGKAAAILPVIGRILPFFAEPSFRSRHGVIFDTVGSLLSLLRTGSRDAHRMLFMDAMCTIEDILYIGFISLENSRTTEATELPLKCFHRSFSGILGDSMCLCDLPTSNKPVDGPGILINLLGRNRWQPFATWLIKLLSKCLTEGTLYVEGLINTSFVGAACTLLCYGDADLHMACFDFARVIGSVMSYDVVPHENLIQSISAILGEDEEGLPVFRNSAYDSSIGVCLRALHSSCPDHVVKLTAENLVNVFSHSMWRTKSMELKVALCTAYIRISKTCPPHIWRPESLINLLSCPEPCILLIDCFQAALSVLGPNRVGGGTTDHNKLGLSSSSDKSIKNPKVGVKRNNFDVDTFKIKRQKIEQETKVSNTNVRGDINLTDIVSYEREEGYAHSMHESLLLFVELLNSPSVKQDSLRPDVALTALSLLCIAFCRYPQTNMSLCIFRQMMTWIPWICDQAKQGSSITLDMSFYLEGIHSMLLVQGSLFFDDNLFKEESDEVAINMVLKLPWTCALVVPEPNLLWKAKCISLQVVSKLGPRFSTGSIFEVLDIALHDEVEEVIKEAVLSMPVIALWSGLDVLAHVFRILELLEKVKHEKVKKVIPYCLGFLSCLHGSYLSVDGIEKSSCKLFLNIEYGKQVETLDYLLRGFWCSKCDKRVVRNQELNYKIMHPPDAESLESGYSFNFVYLQSLYFNLLYDESLEEVQLACVGAIRRILLHSAQDVLLKMKTEWVRCINFLLLNKKNSVREKFCTQISSFLQNPILDIVFSDRNASSKSSEENFLDMLKHAFTSTEDPQIIETLLESAAEIMMTVDVHSQLFLSSLILLVDQLDNLHLTVRTNASRLIHKSCCFHFKGGFELLLSKAVRIRNELFDYLSIRLASCPKMVKEFAEAVLGVETEELLKKMIPVVLPKLVVSQEDNDQAVDTLYELAKCLNTDMVPLIVNWLPKVLAFALHQPDEKALLSALQFYHAQIGSNNQEIFAAALPALLEELVCFIDCGDLNEINKRVERVPLMIKKVARVLTNAEDLPGFLRNHFVGLLNCIDKKMLHSEDFSLQRQALKQIEMLIKMIGSHLSTYVPKLMVLLMHAIDTESLQSEGLYVLHYFMVQLAMVSPSSTKHIISQVFAALIPLLEKDSEKPSVHLHKLVEILEELVLKNRVILKEHIHEFPLLPSIPALTEVNKAIQEARGAMTLKNQLRDVVAGLNHENLNVRYMVVSELSKLLKLRREDVAALINGEGGSDMDILSSLITSLLRGCAEESRTVVGQRLKLICADCLGALGAVDPAKLSNNSCQRFKIQCSDDDLIFELIHKHLARAFRAAPDTIVQDSAALAIQELLKIAGCEASLDENVALMSETKEEARHGITEEIQSVLSAAASENSGAAVYGVSGRKSEVCVQAVFTLLDNLGQWVDDVKQELALSKTFQSSASRQQASKSKDQSFTLSASQDQLLEQCNYVSELLSNIPKVTLARASFRCQAYARSLMYFESYVRGKSGSFNPAAKRSGIFEDEDISYLMEIYSCLDELDGLSGLACLRKSLSLQDQLLINKKAGNWAEVLTACEQALQMEPTSVQRHSDVLNCLLNMCHLQAMVTHVDGLISRIPKYKKTWCMQGVQAAWRLGRWDLMDEYLSGADEEGLLCSSSESNASFDLDVAKILQAMMKKDQFLVAEKIALSKQALIAPLAAAGMDSYTRAYPIIVKLHLLCELEDFHALLIDESFLEKSFHLGDCGFSIVMENWENRLRFTQPSLWAREPLLAFRRLVFGASNLGAQVGNCWLQYAKLCRLAGHYETANRAILEAQASGAANVHMEKAKLLWSTRRSDGAIAELQQSLLNMPQEVVGSAAISSITSLSLVPLKPQSLPVNTQTMNENQEIAKTLLLYSRWIHYTGLKQKEDVISLYSRVKELQPKWEKGYFYMAKYCDEVLVDARKRQEENLELGPKIVPSASVIAASSSSNTEKNWWSYLPDVMLFYAKGLHRGHKNLFQALPRLLTLWFDFGSMYQQSGAASNMDLRKVQVRIINIMKGCLKDLPTHQWLTVLPQLVSRICHQNEDIVRLVKSIIISVVRKYPQQALWIMAAVSKSTVPSRRDAAAEIIQAARKGLSQGKSGSNLFVQFASLIDHLIRLCFHAGQPKSKVINIATEFSALKRMMPLGIIMPIQQSLTVSSPTYDVNLTESLSSDIFSGVELPTISGIADEAEILSSLQQPKKIVLLGSDGIERPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRHILQDIYITCGKFDRQKTNPHIKRIYDQCQGKIPEDEMLKDKILPMFPPVFHQWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLLLEKPELVPFRLTQNMIDGLGITGYEGIFLRICEITLSVLRTHRETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQLAISNIKARLQGVVVGVAAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMPWF >OMO80026 pep supercontig:CCACVL1_1.0:contig10353:64211:67484:-1 gene:CCACVL1_13211 transcript:OMO80026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFGELGLTGIQLEGDCLTVMNKLTENAEQHSTSFGNVLSSSICIEIEETLIFSMT >OMO80032 pep supercontig:CCACVL1_1.0:contig10353:98936:100152:-1 gene:CCACVL1_13217 transcript:OMO80032 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 MGDDKMRGIPVGEDDRAQIDIWNYVFGFVKMAVVKCAIELGIAEAIENHGSPISLSQLSTAIGCEPSRLHRIMRFLVHYQIFKEECSLGEESSILSYAQTPLSRLLIKNGEKSMAPFILLESSPVMLAPWHSLSARVLATGDSPFEATHGKDVWSYAETNPGHSKLIDEAMACDARWAVPAVIKGCPEVFDGVESLVDVGGGNGTALSILVKAFPWIRGINFDLPHVVDVATKIDGIEYVGGDMFDCVPNADAAFFMWVLHDWDDEECIRILKKCREAIPKEKGKVIIVEAVLEEANKLDDKLDFVRLMLDMVMMAHTNKGKERTLKEWEYVLGEAGFTRINVKPIHAVQSVIEAFP >OMO80018 pep supercontig:CCACVL1_1.0:contig10353:6414:12543:1 gene:CCACVL1_13203 transcript:OMO80018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRDWLEPYIRRLNVCVGCCPRHRIPHILPSNIRFKPIRSKHPIATVVFVPKKRPKNEVKTLYPLSSTSSIGVVAGYNEFLLPVSDCVSMLNVRLCILCSIRCTGVALRAVADLLVGACLRPGTGARIIALVGGPFTEGPGKIVSKHFDKDAAQFYKTLAEQLVSQGHVLDLFASAIDREISTCSTRQHKLTEFRAKLQDFAAAAPSPQPQPHSSVTKTNGRVLYPIGYGADPTGVQDSADAILQAMNDAFQLQSGLEMLPGVVDLGGVEIDLLGGSYKISKPLRFPASGGANVVVKGGSLRASDTFPGDRHLIEVWSPNSQVLEEKKYVVPTAGFTNAKDQNTVEIYYEDITFQNILFDSSYRGGGIFIVDSARIRINNCFFLHFTTEGILVQRGHETFISSCFLGQHSTTGGDKGEKSFSGTAIKLSSNDNGITDVVIFSAAIGIEIEGQANIVTGVHCYNKATAFGGVGILVKSGASLTRIDNCYLDFTAIVMEDPVQVHVTNGLFLGDANVVLKSIKGQISGLNIVNNMFNGNARNMVPIIQLDGKFSSIDQVVIEKNNVNGMSLKSTVGKLMVTGNGTKWVADFSSILVFPDRINHFEYSFRMAAAAGFPAHAVTNVSNNVVVIESDKAVNGVVSVAVDQ >OMO80031 pep supercontig:CCACVL1_1.0:contig10353:95510:97472:-1 gene:CCACVL1_13216 transcript:OMO80031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MGFTTVMITSGPNLYLPKRARSFHLPIRCLSSSSLSVSSQPSKSTQFDLKTYWTGLMAEIDQKLEEAIPVKYPEQIYEAMRYSVLAKGAKRAPPVMCVAACELFGGSRLAAFPTACALEMVHAASLIHDDLPCMDDDSVRRGQPSNHTIYGVDMAILAGDALFPLGFRHIVTHTPTEIVPEARILRVVTEIARTVGSTGMAAGQFLDLKGGPNSVDFIQEKKFGTMSECSAVCGGLLAGAEHDEIERLRRYGRAVGVLYEVVNDVMEAKLKEKENTENSQKKGKSYVSLYGVEKAMEVVEELRTQAKNELDGFSKYGESVVPLYSFVDYATDRGFDVDDLSQ >OMO80023 pep supercontig:CCACVL1_1.0:contig10353:46491:48491:-1 gene:CCACVL1_13208 transcript:OMO80023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLNAAVLVFRTVALQRSLLRSFRFASTLRSKAASSNATDLYTVNRKLSDLIKNGKLDEAKSMFDKMPRRNTVTWNSIISGFVKRREMAKARKLFDEMPERDVVSWNLMISGYTSCFGCRFLEEGRKLFDQMPRRDSISWNTMISGYAKNGRMDEAIRLFNCMPKRNVVTWNAMITGFLHNGDTISAAEYFELMPERDSTSVSVFVSGLIQNGQLDEAARVLIEYGNRDGWKKDLVHACNTLIAGYGQRGRVDDARRLFDQIPYNRVPRKGRNGEFEKNVVSWNSMIMCYIKAGDIVSARELFDQMVERDTISWNTMISGYVQMSDIEEALNLFKAMPNPDSLSWNSMISGFAQIGRLELARDFFERMPQKHLVSWNSIISGYEKNEDYKEAIKLFIQMQAEGEKPDRHTLSSVLTVSTGLVDLHLGMQMHQLVLKTVIPDIPIKNSLITMYSRCGAIIESQTIFDEMKSPKDVISWNAMIGGYASHGFATEALELFKLMKRNKVQPTYITFISVLTACAHAGLVDEARAYFKSMVSDYGIQPRVEHYAALVDSVGRNGQLEEAMDLIKSMPFEPDKAVWGAILSSCRVHNNLELARVAAEALMRLQPESSAPYILLYNMYADVGRWDDAAEVRDMMEKSKIRKQSAYSYVDRNFLMLLIRECRH >OMO80028 pep supercontig:CCACVL1_1.0:contig10353:72051:78959:-1 gene:CCACVL1_13213 transcript:OMO80028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEDNESCGSKANDSPVQSRQQRKKLDVYNEVLRRLRDSDIEEANRPGFDDELWTHFNRLPTRYALDVNVERAEDVLKHKRLLHLAHDPANRPAMEVRLVQVQSISDGNLAGSTLSNPLCEESAQGSPKHTSRQSIHPPPAFGSSPNLEALALEANKSQEQDGDSSVHANSHFSRPMHEITFSTEDKPKLLSQLTALLAEIGLNILEAHAFSTVDGYSLDVFVVEGWPYEETEQLKVALEKEVLKIEKQSWLKQHSFSPTRDYKETGTNGDQNYVAIPNDGTDVWEIDPRQLKFENKVASGSYGDLYKGTYCSQEVAIKVLKPERINNDLQKEFAQEVFIMRKVRHKNVVQFIGACTKAPSLCIVTEFMSGGSVYDYLHKQKGVFKLPSLLKVAIDISKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYDYLTPLQAAVGVVQKGLRPTIPKNTNPKLADLLVRCWQQDPTQRPDFSEIIEILQQIAKEVGDEGEDRRREKSSGGFLSVLRRGHH >OMO80020 pep supercontig:CCACVL1_1.0:contig10353:18225:22815:-1 gene:CCACVL1_13205 transcript:OMO80020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANCNPSSDQKSDERATMEVECGSDFKVHVFSSSEELLEKLHEKWSSVEKKPYPAMYSSIYGGIILDPAMMVIPIDDHMVHRGHGVFDTAIILDGYLYELDVHLDRFLRSASKARISSPFPRSTLRSILVQMTAASQCKKGTLRYWLSAGPGNFLLSSSGCPTAAFYAVVIADDFSQCKKGVKVITSTIPMKSPEFATMKNVNYLPNVLSIMEAEDKGAFASIWIDEEGFIAEGPNVNVAFITKDKELILPSFDKILSGCTAKRLLGLAPKLVEQGRLKSVKTANLTVEEAKGSAEMMYVGSTLPILPIIMWDEQPIGDGKVGDLTMALSDLLWHDMVAGPDTQRFQVPYNLPYSTKESSLQKEFANFGQIAEVKLVKDHLTKRPKGYAFIQYTSQDEAMLAIENMDQQVFDGRLICVEIAKPGKDMFRGYPKASGPPMGKQHSQETNDEADCWY >OMO80029 pep supercontig:CCACVL1_1.0:contig10353:87442:90680:-1 gene:CCACVL1_13214 transcript:OMO80029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTQIPRSNSSCEISRNESSTKKRRMGSGSGSGSGSGSVESLVQLPTALLATIMTKLDISSICLLAITCKTLNSCASSVLSFLQSFHILDLSLSKQLIEPLLPPNPCLRSLKLDCGQLDDSSIELLLKPSLQELCLHNCTYFSGRLLSEIGSRCKDLRSLYLGSAAKESVSAIDLSDVEELLCGCTQLEALSLMLDISGFLRHNFVSTWALASEKLTCLEIGYIPSITVSELLSPNVGPLQGSNQIRPSILPAIQKLSLSVDYITDSMVSTISKGLISLTDLALRDAPLREPGVTFDLTNSGLQHINQHGKLKHLSLVRSQEFLITYFKRVNDLGFLIMADKCAKMESICLGGFCRVTETGFKTILHSCSHLCKLKVSHGSQLTDLVFHDIAATSLSLTDVSLRWCNLLTNNAIKNLVCNMALEVLDLRDCKNLGDEALQAITCLHRLKTLQLDGSNITDEGIAYLRHGTIYSLISLSVRGCKQLTDKCISALFDGSSKLELQKLNLSNLPNLTDNGVLTLAKSQVAISELRMRHCPNIGDASVVALSSMQVDGRGPGSSLRLLDLYNSSGITQVSFQWLKKPYFPKLRWLGLTGSIHRYIIDILARSRPFLHVSSCGEQLGTDWWNKLEGSYVQ >OMO80021 pep supercontig:CCACVL1_1.0:contig10353:23924:26352:-1 gene:CCACVL1_13206 transcript:OMO80021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MENGNVNNHEMDTFSTITIKGILSLLMQNVDEKNGKRLISLGMGDPTLYSCFHTTHVAGESVVEALQSEKFNGYSPTVGLPQTRRAIAEYLSRDLPYKLSADDVFITSGCTQAIDVALAMLGKPGANILLPRPGFPIYELCATFRRLQVRYFDLLPEKGWEVDLDAVEALADQNTVGLVVINPGNPCGNVYSYQHLQKIAETAEKLKIPVIADEVYGHLAFGDNPFVPMGVFGSIVPVLTLGSLSKRWIVPGWRLGWFVTTDPNNMYKNPKTIERMKKYFDILGGPATFVQAAVPRIIEKTDEVFFRKTINTLKQTADICWDMVNEIPCISCPQKPQGSMAAMMKVNISDLDDISDDIDFCFRLAKEESVIILPGTAVGLKNWIRITFAADPPSLIEALCRLKSFCKRHTKILQTY >OMO80027 pep supercontig:CCACVL1_1.0:contig10353:67886:70804:-1 gene:CCACVL1_13212 transcript:OMO80027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel MscS MMEAADLSDPGIAAAVIMAAAAESTDNDSNNKHNNNSNNNNNNLNNESFRSQASSSSYDFWRDNNKDSKKINGQKKWQAADQETSSPSKMSGQFFNKEINRNEIALDMDLDVVEDLKSDRRSATFSRDSRRVSFEDERYHDQRPSYDARRGSNASHEVGIIHRSGLLSRVKSTKSRLIDNSKEEVHMMSGLLGRSGLLTRSPDMDDEDDPFDDQDVPDELRRANFNALTLIQWVSLILIVGALICSLLIPSLKKLSVWELKLWKWKLEKKSFILRYITKILICFLVGTILWLIKTLIVKVMASSFHVSTYFDRIQDTLFNQYVIETLSGPPVIEIQKAEEERESTAAVIQRFKNAGATVPPDLQEAAFPPPKTAGKLQKAITRLKTQKFSQSPSRNKEDKEKDNGNNIPIEKLHKVNPKNISAWNMKRLVKMVRHGTLSTLDEQIMFEPTESDPVKQIRNEYEATAAAKKIFHNVAQRGSKFIYLEDLMRFMREDEALRTMIIFEGGSEKSRISKSSLKNWVVNAYRERRALALTLNDTKTAVNKLHQIVNVIVAIIITIIWLVILDIATSSFVAFFTSQVVLAAFIFGNTCKTIFESIIFLFIIHPFDVGDRCEIDGVQMVVEEMNILTTVFLRYDNMKLVFPNSYLSQKPIGNFYRSPDMGDAIDFSVHMATPVEKIALMKQKIISYIESRKEHWASSPMIILKEIDEMNRMKMAVWLTHRMNYQDVGERWVRRAKLAEEMVNVFKELDMQYRLHPIDINVCSMPPVNSTRNPWAENSA >OMO80019 pep supercontig:CCACVL1_1.0:contig10353:16607:17107:1 gene:CCACVL1_13204 transcript:OMO80019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAQISPSPSASPTLSIGGCQDTQESTPVELNLMIIVAAMLCALVCALGLHSMLQCVVQCTQRAVTEPREWVASRRLNSGLKKKEMVALPTSTYANSGSPSSSSGCAICLADFSDGDEIRMLPKCNHHFHVACIDQWLLSHSSCPTCRHRLKSNDSVPSLDQIVTAL >OMO80022 pep supercontig:CCACVL1_1.0:contig10353:35110:37525:1 gene:CCACVL1_13207 transcript:OMO80022 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MDSYAYHHFDNGGDTHLPPGFRFHPTDEELITYYLLKKVLDSSFSGRAIAEVDLNKCEPWELPEKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTSALVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHYLSRSSKDEWVISRVFQKSGSGNGGAASSAGGGAKKSRMGTAAIALYQEPSSPSSVSLPPLLDPTSAATATTATSLTDRDSCSFDSHNQPEHVSCFSTIAAAAASAAATGATTTTAPPPFHPGFDLALPPSPQMINNNNTLDSIARFSRNPGATGFPSLRSLQENLNFPFFFSPPTFSVPPLQGGSSVNWGAVSEEGNGGSTGGGKMSMGPTELDCMWTYAT >OMO80030 pep supercontig:CCACVL1_1.0:contig10353:91229:94412:-1 gene:CCACVL1_13215 transcript:OMO80030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWSVESLDQMPSALIATVMTKLDISSICSLAVTCRAFHSCASQILSFLPNFHILDISPPMELIKPLLPPNTYLKSLKLDCGHLNDSSIELLLRPSLEELCLHNCADFSGRLLSEIGSRCKDVRSLYLGSVAEKRGRAIHISDLEELLGGCTQLEALNLMFDISLFLRHNFARVWALASEKLTSLEIGYISSVTVTELLSPNVGPQCPSQLRQSILPSIRKLLLSVDYITDTMVSTISKGLVLLTDLELRDAPLIEPRVTFDLTNSGLQQINQHGRLKHLSLVRSQEFLLTFFKRVNDLGILLMADKCANMESICLGGFCRVTDTGFKTILHSCSNLYKLKVSHGSQLTDLVFHDIAATSLSLTHVSLRWCNLLTNHAIRSLVCNMGLKVLDLRDCKNLGDEALRAISSLCRLRKLLLDGSDITDAGIAYLRQGIISSLISLSVRGCKKLTDKCISALFEGTSNLELKELDLSNLPYLSDNGVLTLAKSRVPISELRMRQCPLIGDTSVMALASMQVDDDRGHGSSLRLLDLYNCGGITQLSFRWLKKPYFPRLRWLGVTGSVNRNIVDALAMNRPFLHVACHGEELGTDPWNDTDGSYLHDYEEMDELEQWLQEDYSESDDEEMEDAEDDEDLPSE >OMO80024 pep supercontig:CCACVL1_1.0:contig10353:48665:50137:1 gene:CCACVL1_13209 transcript:OMO80024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSLMLTIQPPWSHQLNRRQTPTLIKCESGGVPLTKQGHRFFSSLAATAGVNDPAAANRIIKKFVASSPKAIALNALSHLLSPRNSHPHLSAIAFPLYTKISETSWFDWNPKLVAHLIALLDKQGRHEETEALISQAVSKLKFRERDLVQFYCNLIESCSKHDSELGFNDAYSYLSELVRNSSSLYVKRQGYKSMVSSLCEMGQPNEAENVFEEMRKNGVKPSSFEFRFIIYGYGKVGVFDDMERMVSEMETSGFEVDTICSNMVLSSYGDYNALVKMVPWLQKMKTLQIPFSVRTYNSVLNSCPGIMWLAQDINSLPLSLGELVKVLKEDEALLVKELVESSAVLDNAMECDVSEAKLDLHGMHLGSAYLIMLQWIEEMKCRFQAEEKCVIPAQITIVCGSGKHSSVRGESPVKNLIKKMMVQMKSPMKIDRKNIGCFTAKGHVVKNWLIPVGE >OMO72293 pep supercontig:CCACVL1_1.0:contig11470:36777:41507:1 gene:CCACVL1_17863 transcript:OMO72293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESESPIETKKGRSKTPKKAKESILKQKSPAEFFAENKNIAGFDNPGKCLYTTVRELVENALDSAESISELPVVEITIEEIVKSKFNSMIGLVDRERVDAELYDDFETAKAREKRLAKEARAQELQAKNAALGKKVKESAAPKGVKGRGEASFFRVTCKDNGRGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMKSQNYVSFCRLDIDIHRNIPHVHLHEKRDNKDRWHGAEIQVVIEGNWTTYRSKILHYMRQMAVITPYAQFLFKFVSDSPDKNVTIKFARRTDVMPPVPVETKHHPSSVDILLIKRLIAETSKTNLMQFLQHEFVNIGKSLAERLIGEMGPDFSPKMAVKSLTDQQIVRIHQLFRQAKFDDPSGDCLSPAGEYNLRLGIIKELHPDMVATYSGSAQVFEGHPFIVEAGVSLGGKDVKHGLNIFRFANRIPLLFEQGGDVVTRTALKRINWNTYKINQTQDKIGVFVSIVSTKVPFKGTGKEYIGDDISEIATAVKAAIKQCCIQLKSKIVKKMQAREQQERKRNLSK >OMO72297 pep supercontig:CCACVL1_1.0:contig11470:79571:82283:1 gene:CCACVL1_17867 transcript:OMO72297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPEIAVVGAGLFVQTEYIPRLAEISDLLTLKYIWSRTEESSRAAVEIAEQHFPGVECKWGEQGLNDIIQDASLLAVAVVLASQAQVDISLKLLKGGKHVIQGTTEIETALANYNSICTHPGQPIWAVAENYRFEQAFVESKKLMAEIGDMMNVQVLIEGSMNSSNPYFSSSWRRNIEGGFIFDAGVHFIAGLRVMVGCEVTSVSAITSHIEPSLPPPDILSSTFKLENGCSGVFAMALSCNTFKVTWRVVGLKGTIQVELGLHDWKYGYMVSLSKGFECKSTFYSCSGVHEELKAFIHDIKQATNKKGSGYEAEPRSSYVEGARDVAILEALLESGSKGGALVQVKKF >OMO72295 pep supercontig:CCACVL1_1.0:contig11470:58340:58402:-1 gene:CCACVL1_17865 transcript:OMO72295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRLTALKVSIRLVLGVTV >OMO72296 pep supercontig:CCACVL1_1.0:contig11470:59169:64695:1 gene:CCACVL1_17866 transcript:OMO72296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPEIAVVGAGIFVQTEYIPRLAEISDLLTLKYIWSRTEESSRAAVEIAKQHFPGVESKWGEQGLNDIIQDASLLAVAVVLASQAQVDISLKLLKGGKHVIQVEFCHMVANFIDTSLKGTTDIETALANYNSICTHPGQPIWAVAENYRFEQAFVESKKLMAEDMINVQVLFEGYMNSSSPFFSSSWRRNIEGGFIFDAGVHFIAGLRMMVGCEVTSVSAITSHIDTSLPPPDILSSTFKLENGCSGVFAMALPSNTLKVTWRVVGLKGTIQVELGVHDRKYGYLVISDIMFFIKC >OMO72294 pep supercontig:CCACVL1_1.0:contig11470:43614:47958:1 gene:CCACVL1_17864 transcript:OMO72294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPEIAVVGAGIFVKTQYIPRLAEISDLLTLKYIWSRTEESSRAAVEIAKQHFPGVGCKWGEQGLNDIIQDASLLGVAVVLAGQAQVDISLKLLKGGKHVIQGTTEIETALANYNSICTHPGQPIWAVAENYRFEPAFVESKKLLAEIGDMMNVQVLIEGSMNSSNPYFSSSWRRNFEGGFILDMGVHYIAGLRMMVGCEVTTVSAITSHVDTSLPPPDIISSNFKLENGCSGAFVMVVNSNSPKIIWRVVGLKGTIQVERGKQDGKHGYLVTLYGADGQCKSTFYSFCGVHEELKAFVHDIKQATNKKGSGYEAEPRSSYVEGARDVAVLEAMLESGSKGGALVQVKNFKLNMAGEYD >OMO72292 pep supercontig:CCACVL1_1.0:contig11470:13316:21940:-1 gene:CCACVL1_17862 transcript:OMO72292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MLKPHNVYHSNTSSTKTLFPVQNIKPFFHGSGYAFLPLPSFQSSSFSKSSACSRKAFKTGFAPPSKVIRAAIAKDVYGGNVEAATSTLKALVTVRQTVGGFLTNLGLARGLDDIQDLLGKSILLELVSADLDPKTGLEKETIKDYAHRVKQEGDDVIYEAEFKVGANFGEIGAVLVENEHHKEMFLEEITIDGLDDGPLNIKCYSWVHSKFDNPQKRIFFTNKSFLPSQTPGGLKRLRKEELEALRGDGQGERKTFERIYDYDVYNDIGDPDSDLSKKRPVLGGSKEFPYPRRCRTGRPRCDSDPQSEKKSNIFYVPRDEAFSEVKQLTFSAKTLYSVFHAVVPSLQTAIVDSDLGFPYFTAIDQLFNEGIDLPPQENQGFFRSLLPRLIKAISDSDNALRFETPDTMERDKFFWFRDEEFARQTLAGINPYTIQLVTEWPLKSKLDPDVYGPPESAITKEMIECEIKGYMTFDEALKQKKLFVLDYHDLLLPYVKKVRELEGTTLYGSRTIFFLNPDETLRPLAIELTRPPMDGKPQWKEVYQHSWHASGVWLWRLAKAHVLAHDSGVHQLISHWLRTHACTEPYIIATNRQLSAVHPIYRLLHPHFRYTMEINALAREYLISADGIIETSFSPGKYSMELSSVAYGLQWQFDKQALPADLISRGMAVEDPNAPHGLKLTIEDYPFANDGLVLWDIIKQWVSDYVNHYYPNASLVQSDEELQAWWTEIRTVAHGDKKDEPGWPKLETPEDLIHIITVITWVASGHHAAVNFGQYAYGGYFPNRPTIARRNMPTEEASEKDWEFFMNKPEVLLLLCFPSQIQATTVMAILDVLSNHSPDEEYLGEKPEAAWDVNPEIRAAFERFNGRLKEFEGIIDGRNADRKLKNRNGAGVMPYEFLKPFSEPGVTGRGVPYSISI >OMO69774 pep supercontig:CCACVL1_1.0:contig11989:3838:7066:1 gene:CCACVL1_19283 transcript:OMO69774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELDQIEWIAEMRKGDPNMGKDVRGLGVNKSKSSTKVRKVAVHEDMTTLCNANATHDSSSSSSTSMPQPTVVTVNQIFDPFPLLLNDLARAPAPPTCLTTQGSSGEGFSFNGDFYGIGIGMLEPAAGNKIGFEGDFCLPPLQEMISRRSSGVQENNNNTAIANNCSDNTNCFNNTDPCSFRLVGDMFGFFSSLNEKKKNIGAEISRGGEI >OMO52715 pep supercontig:CCACVL1_1.0:contig15468:1425:1487:1 gene:CCACVL1_29102 transcript:OMO52715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFNLGRREQEFNVIDFDRLE >OMO52163 pep supercontig:CCACVL1_1.0:contig15580:2259:2651:1 gene:CCACVL1_29333 transcript:OMO52163 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 MSLKCAVELEIPDIIHNHGQPITISELALALSIHPKKVQSLYRLMRILVHSGFFAEHKISGQEKGYKLTLSSKLLLKDDPLSIRPYFLAMLDPINMKPWQCASAWFQNDDPVLFRTANGQMMWDYAANEPK >OMO63480 pep supercontig:CCACVL1_1.0:contig12959:486:566:-1 gene:CCACVL1_22400 transcript:OMO63480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKGSKTNIPQPESSSIKPKIEYRT >OMO67194 pep supercontig:CCACVL1_1.0:contig12464:26982:27738:-1 gene:CCACVL1_20722 transcript:OMO67194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMHLRGISRFYSGKSKSFTCLADAAAASSIKDFAKPDNPYNKKRKNLLAHGLLFDKNRNHPLRSSGSEISKRVANSCRSTLALETTVGSPDSDSMAPSSSTCRPPLHPQYKKSTTIGPSSQTTRVNPPCRSFSLSDLQFIVAATPNIAGLAVHSGDKHNKLH >OMO67192 pep supercontig:CCACVL1_1.0:contig12464:19308:19937:-1 gene:CCACVL1_20720 transcript:OMO67192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSKLRSSFSFPNLLLSCLNFTLFILSAASIAPIILLKMPPTSLGLAFLMVSSISLLSSLVGFYSQLTHFCFITHVSLLIASFIGQVLAILALFTREKSSLSMLKSPRDPKEAKLLVRVECGIFMAMLLMQLLVLTLTFVVHNCWVREYEGLEAEREASAKKRSRRLARVQEESMANAAKIAEIKDTEFDQKMKSKYCQWVKTDFEG >OMO67191 pep supercontig:CCACVL1_1.0:contig12464:10696:18439:-1 gene:CCACVL1_20719 transcript:OMO67191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSEYGKYGVAFAVSIVLLSMVLMRKKKKEKIVGVTVKVGGEEGFAVRNARHNGLVPAPYAGVTTVASLFQLICEHYSGQVLFLTRKLINKDIITAIDGTKLEKLYLADRRTWKTYDHVYYRVRIFAAGLVELGHDIDTRVAIFSEPRDKCQFVLQGCFRQAITVVILDASLSEEHLVHCLNESQVSTLVCDSKQLNKLTAISSSLRTMRKVIYFENDFGYRATTNMCVSSESKKDWKLISLHEVERLGREVHVSPRMPYSEDVAVIMYTSSCSADFPKGVMITHGNLVAATTAVKNVIPRLKKDDVYMAYLPLTHISELAIELAMMSSRCQIAYGSPWTLTDTSSKIMEGTRGDVSVLKPTIMAAVPAMIDHVREAVLGKVEEEGSKKHFDIAYKRRLAAIQGSWFGAWGLEKWFWDASVFESARAILGGQIRFMLSAEAPLSVDSRRFINICIGAPIGQVYGSTETCGAVAFNVQWGDTNVSRVGPPLSCCYIKLVSWEEGGYTISEKPMPRGEVVVGGLGVTMGYFNNDMETMKVYKVDEGGMHWFYTGDIGQFLPDGSLEIIDISDYRIDYWDFGQSNKKVHKFRITVCFPGIFFCRENNSAAAMSTISIYRSEFLTFSCNGSRARREFQRIPFSPTMKMDSKSFEAKKEQLSVQLQTPSIPQLENSKPPSGLRFDRMQPSDHDPNDKRLEFGNFVAREPLLDEELWTAAWLRAESHWEDRPPERYVDNFKRKFADEEFNALKRRCKGIQGQTCTCVVTVKEDRNAKRTVLKSVVGTLDFSIRYLLQGESFPGECAKASFCSIIRTHNKYAYVSNLCVAKSARRQGIASNMLYFAIELARESGVELVYVHVHRHNGPALELYQTIGFEVVEMASPQLVEQQMYLLCFRT >OMO67190 pep supercontig:CCACVL1_1.0:contig12464:6786:9593:-1 gene:CCACVL1_20718 transcript:OMO67190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFSAPLHDDDDDCISVYTDYSMEDEASILSKHPRLFEAAAIAATGDWETAIRIFGQQPFSGHPRSSVVDNNKDPSSSQSHANTGNDPSTSQAHDNNSSMPAGGNETKDLNYYLPLYKAALKGDWETARIFFEQDPNAVNARINDRLKTALHLAVGTGRSNNFVKKLVELMTAADLALQDDNRETSLSIAATVGNLEAAKLMVEKNPELPNIRDKESAVPLHRAVQYHHKDIVLYLLRVTSDDIQPSPYAGESGVTILLLLVMVGFYDVALDLLQRYPNMARSHVFGGKTPLSILAVKPCDFPSGCGFNSWQRLIYYCVPPNLDKFIKNKNRADTENPAENSEMHACISSSLRKHMSYVWQKMHPTLWDVVEKLVPHIKHIRDTKMMHDQVLQIVRKLCKEAAELDFSQAISLLKMPLLMAAEYGIPEIIEEIAEELPPVLWTIDAQKRDLFKRAVLNRREKVFNLLYQMSEHKRYLIQSEDILGNNILHLAGNLAPRHQLNLVSGAALQMQRELQWYKEVEKFLYPAYREIANSEGKTPSMVFTEAHKNLVIEGEKWMKDTANSCTVVAALIATVVFAAAITVPGGNGDNGYPIFTVDKTFIIFAVSDALSLFSSTAAILMFLSILTARYAEEDFLYALPKRLIMGLLTLFISITSMMIAFSSTLYLVFGEDKTWILISVAGSACLLVALFVYLQFPLLLVMINSTYGSGIFGKPSQRPLF >OMO67193 pep supercontig:CCACVL1_1.0:contig12464:24191:25156:-1 gene:CCACVL1_20721 transcript:OMO67193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPASSSFIMSQEQFNLFHKIDRELYNLLAVNLWRDPVESLQVMALWLWLERVGFRNVVKKILSLPYMLINELADEAVTCLYIINNGQFPPLPSDQGNNNDIPLMQSLMMETDLSLQFFNEYRLIATQGLARIVNEVCIRALTDVMQLAIERNAAQSLADSQKVMSASYNSFPQPAMVQTGGLTRMGFGPSSADSGITWSTQESHVPVPVPPDDRTMFVTFSKGYPVYEWEVREFFGRAYGDCIESLHMQEVQPNQQSLFARIVFHSVSSIEMILNGMSKVKFTINGKHVWARKFVPKRLKPPSPPAVQPVLNLPASLGF >OMO96466 pep supercontig:CCACVL1_1.0:contig07469:19215:21416:1 gene:CCACVL1_04944 transcript:OMO96466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRLPHQSSLFSLQHKPQNQSNFHSFKNVSSTCFLPPFSVPTNGTFPLKAKRYSNSFILHVSAATQDPAVKEQEEQEEFSKTRLLAQNVPWTCTAEDIRSLFEKHGTVVDVELSMHNKTRNRGLAFVSMASPEDALAALNNLESYEFEGRTLRLNYAKPKKKKSPPPEKPKPVPGFNLFVANLPFEARAKHLKEFFTSEGANIVSAEVIFHENPRKSSGYGFVSFKTKKEADAAISAFQGKMFMGRPLRVARSRQFVKVKSEDSSPPDDKSTEPNSDLEQSETEQ >OMO96474 pep supercontig:CCACVL1_1.0:contig07469:96667:97251:1 gene:CCACVL1_04952 transcript:OMO96474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSKNLMANHLMMRRSKDVGHGGPSCKPNEGICRRHPKHRQSPGVCSLCLGEKLSQLSAHSNYSRSSTTTGASSDCSSSASSFSSYYSSSDASYSSPMHRYRFTSEGRSSSFSLLLLSGKNMLTKSRSVAFAAPRMRSKLDGDDHHKKNKKDGFLSKLLHPKAKGSNKRKEQEPSGLVHSRTMREVLSTSRVH >OMO96469 pep supercontig:CCACVL1_1.0:contig07469:32072:32479:-1 gene:CCACVL1_04947 transcript:OMO96469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Serineaspartate repeat-containing protein I MAKSFACFVLLALIASCALGQGPSSAPTKSPPSSSPTPAPKAAPVTPTASPTASPPTTATPPTSTPTSSPTASPTSSPPAPPTAPAGAPTAGIPTPSISETPVSSPTSSPSAAALNRVAIAGSVVVVLAASALLM >OMO96465 pep supercontig:CCACVL1_1.0:contig07469:16562:18624:1 gene:CCACVL1_04943 transcript:OMO96465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPQEIDDYIQETIEDSLGLPISTKSLQLKLRSSEEAQRRLRDQCLYLLSKLKEKDQTIERSKAEANMNAAALKRFVEENQKLADECANLLSQCKKWERECSLYDHDREALMDFGNEADERAKAAEIRVLELEEELGNLTNELRFYKQNYESRVVDSSYEGTNVEDNLLESVLASLISEDEAMSGRAFLEANSSLESCQRLLKMWNRLRPSSKNVLSLLAKVKTLEEDKEHLRMNLTKAEEEVKVLFEENNILDEENKRLLRQHHKEKSLHGSGGKHSASASTKTNKRKSSPKLCSPIEKKLDLTDVESARTPLSPLRYNSPDSRMHKK >OMO96468 pep supercontig:CCACVL1_1.0:contig07469:29416:29968:1 gene:CCACVL1_04946 transcript:OMO96468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MVLGMAMPSQQFRTYTVGDATGWIPGVDYNAWAKGKHFVVGDSLVFMYPSGHTVDEVFENDYKNCTEGNPITRDDSGCTTIPFLTVGLRYFMCGSMGHCAQGMKLAVNVTAAPTPPALHLRRQRQPSRPPAPHSTTHLI >OMO96473 pep supercontig:CCACVL1_1.0:contig07469:92424:94124:1 gene:CCACVL1_04951 transcript:OMO96473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial ribosomal protein MPLGLILGIGRAWRRKRPSSLDILSSKRAPRDYYKGKNCKSTGFHTRKGGYVIMQEKLPNYVVPDLTDFKLKPYVSQCPIEVKTAEAAEPAK >OMO96475 pep supercontig:CCACVL1_1.0:contig07469:102693:104035:-1 gene:CCACVL1_04953 transcript:OMO96475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MPFVEQRRCARHIYARWGKKFPKDELKYQFWKCAKITNEHEFNREMDVLKSMDSTAHNYLINQWDVRYWSLAFASDFSKCDVIDNNMCETFNGVIVEAREKPIITMLEEIRLYVMRRIVKNRQQALDWKTEYGPRILAKLEKNWKRADNWEVDWDGGVAFEVYHDVIELNLRERFIVSLEGRTCSCRGWDVSGVPCHHAIAAILYCGKEPTDYLDDCFKRDEFLKAYQSLLHPCEGPTFWSKGNGDDILPPSYHNKATCPKDFQNKQKKKRTESESSNQQSTRQESARTFSVRPNNVQVVNRGKESEYVIARPAGNVQNFTTVRKLKEDARKMRHDRAAGTSNDQESCQP >OMO96464 pep supercontig:CCACVL1_1.0:contig07469:8760:10373:1 gene:CCACVL1_04942 transcript:OMO96464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTSSTLLPCKVKGFVHNDRSSFQSAAIFQTGLLVAISKQKNDQLSLPSQTLPSSLFISVGGLGILTNDRNRKMNKMTVRCSLDPGSAPPFPFNLIPGSNWQLWVLGTMVSVVLPFTTSKWGPLLKIKNEAEKMLQTAEDIVEKVEEVAEKVEKMADELEEQLPKDGKLYAALEMVEDIAKQTAESAHRADDLIDKVQEMEEKVEDFMESVDAKAKEKQNKQA >OMO96467 pep supercontig:CCACVL1_1.0:contig07469:25079:25866:1 gene:CCACVL1_04945 transcript:OMO96467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MASSKFGMACLLLVLCMAVPILATDYTVGDTNGWTTGVDYSSWTKGKTFKVGDNLVFNYPPSHTVDEVSSSDYSSCSVGNSISTDSSGATTIALKTAGTHYFICGVMGHCGNGMKLAVKVESGSSSTTPSTSPSSSSASPSADTPSTTTTSTPSTTTTTTSTNTSSSWSLSPFVAYWVATATALLVMVVIS >OMO96470 pep supercontig:CCACVL1_1.0:contig07469:36913:57479:-1 gene:CCACVL1_04948 transcript:OMO96470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLPTTIEEQLFLKAIREECSWDSLPKRLQATLNSKEEWHRRIIDHCIKKRLQWNTCFARKVCKESEYYEEMMRYLRRNLALFPYHLAEHVCRVMRVSPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFPIEPWWGVCLVNFTLEEFKKLSEEEMATIDKICKEEGNAFILFDPEVIRGLYRRGLVYFDVPVYPDDRFKVSRLEGFVSNKEQSYEDPIEELLYAVFVVSSENATVAELASTLQADLSQLQAAASFVCRLGWAVKVIDPASVLQENTGVPPHGVSLADEEDASQGSSTSANMSTDGEVAQQGEFWGTENYGPRSSDARVAFVVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLSTLEGTKFEGELQEFANHAFSLRCVLECLLSGGVATDTRAVEIGDRMGVSASGSDESTLVPETLTDISQQPTANETGEIINDTRNLEISQHSDVNGSVTEVIGDDGSSAILSNDGDLSSEVPKSDLNVQNDEKLIQIEGLDTAKGTSRRKKKYRVDILRCESLASLPKATLDRLFLRDYDIIVSMVPLPSSSVLPGPSGPIHFGPPSHSSMTPWMKLVLYSTVGSGPLSVVLMKGQCMRMLPAPLAACEKALIWSWDGSTTGGLGGKFEGNLVKGSVLLHCLNSLLKFSAVIVQPFSRNDLDGSGKVVTLDIPLPLKNSDGSVALVGNELGLCAEECSKLNDLLSDLAQRIELWTVGYIRLIKLFKEREFDHFAPDEDKYEWVPLGVEFGMPLFSPKLCNKICERVVSSQLLQTDSLHEHHDSMQSIRRRLRDVCAEYQATGPAAKLLYQKDNLKDPPKESSKLLMNYASGKWNPLVDPSSPISGASSEHQRLKLARRQRSRTEVLSFDGSILRSYALTPVYEAATRPIEDSTPVTTTKVDPDETDIKEIILPGVNLLFDGAELHPFDIGACLQARQPISLIAEAAHSVGNLAVKSKCKARSRCKHRTTNVYGRQAQERVLEEAPEMSETTPSFKIFPGQAFPLGVSEVDNGINFAIFSQHATAVTLCLSLPQRGELDSMAGTTMTEISLDPRVNKTGDIWHICIEDLPRSNVLYGYRMDGPKDWDKGHRFDSSNVLIDPYAKLVEGRRHFGDPKHKLSKFLGTYDFDSLPFDWGNDYTYPNIPEKDLVIYEMNVRAFTADESSCLDPTVRGTYAGLIEKIPYLLELGINAVELLPVFEFDEFELQRRPNPRDHMVNTWGYSTINFFAPMSRYASGSGGAVNASREFKEMVKALHGAGIEVILDVVYNHTNEADDEHPYTTSFRGIDNKVYYMLDLNNKGRLLNFSGCGNTLNCNHPVVMELILDSLRHWVTEYHVDGFRFDLASVLCRGTDGSPLNAPPLIRAIAKDSILSRCKIIAEPWDCGGLYLVGSFPNWDRWAEWNGKYRDDLRRFIKGDPGMKGAFATRVAGSADLYKINNRKPYHSVNFVIAHDGFTLRDLVSYNFKHNEANGEGGNDGCNDNFSWNCGFEGETDNADINALRSRQMKNFHLALMISQGTPMMLMGDEYGHTRYGNNNSYGHDIALNNFQWQQLDARKDNHFRFFSEVIKFRLTHPVFRHDNFLSKDDVTWHEDNWDNPESKFLAFTLHDQCGGDIYLAFNALDYFVKVSIPPPPPKRHWCRVVDTNLPSPKDFVPEGVPGIESTYNLAPNSSILLEAKS >OMO96472 pep supercontig:CCACVL1_1.0:contig07469:71925:73053:1 gene:CCACVL1_04950 transcript:OMO96472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRISSSGSLDALIPICPPKEEKNSKNKQVYSREFQAMLDSLEEEDSLEEAGQSTEKKRRLSIDQVKALEKNFEVENKLEPERKVKLAEELGLQPRQVAIWFQNRRARWKTKQLEKDYGILKANYDALKLDYNNLEQENEALTAKLKELRAKLKEENNSESSHSVKEDSPKFSQQDLCDNNHDDSNGIVKEDSNVNAQLLISPSSSSSFQFNGPSSSSDSSNHQTCSWFQPFDSRMILGNMFHPSQFVKVEEQCMFAAEESCNFFSVDQAPTLQWYFTGQ >OMO96471 pep supercontig:CCACVL1_1.0:contig07469:61930:67238:1 gene:CCACVL1_04949 transcript:OMO96471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Queuine/other tRNA-ribosyltransferase MALRFEVLGRFNRARAAQLTLPHFVCQTPLFMPVGTQGTIKGLTTSQLEEIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRAMLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVRTTITGPRIEEAMYRTLRWIDRCIAAHKRPHEQNLFGIVQGGLDPKLRDICVRGLVDRNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEDKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHRAMADDTRPIDPTCACMVCKNYTRAYIHSLVTKDAMGSQLLSYHNLYYMLQLSRNLHSSIVQGRFPQFVCDFLQKMFPKGDVPEWVCNAMEVAGIDISSCCAPVSSAQD >OMO99520 pep supercontig:CCACVL1_1.0:contig06874:38451:38585:-1 gene:CCACVL1_03760 transcript:OMO99520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNISRTPTPNPTGHRPLKLRHPPATSTTVGHYRQSPESRRNFF >OMO99519 pep supercontig:CCACVL1_1.0:contig06874:7145:20940:-1 gene:CCACVL1_03759 transcript:OMO99519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRWRDTVKRGEARKTRSCFAILSLYFNPEAATTRAQG >OMO52514 pep supercontig:CCACVL1_1.0:contig15518:83425:85137:1 gene:CCACVL1_29213 transcript:OMO52514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MSKATDRRVYVLKMSKAPDQKEKEDIIVPVETIRKPEGVSELERLVRCDMKTKSGRVYLPKMSKAVDPKNNEDILVPVETRREPEVVSELEREAVLIDRNDRIHGPVRYDRMTKSGRAYLPKMSKAVDQKKKEDTLVPEAHRNVLLQVLSQSYVSQNISVNDMDRLVGNISATGFIPFSEKEIPEGMRNNMKALHITVKCKNISVAHVLIDNSSALNIMPLKMVKRLSVKRTDIQTNHMTVRAFDGTRKSVIGEVEIPIEVGSMPFNLRFQVLNIDPSYSCLLGRPWIHLAGAIPSSLHQIVKFLTPEKLVEVHGEKDYVVASTSGFSYVEPPEESYECSFRAFEVAQVIPKSPTNYSIISIQIMKKNGWKEGRGLWRNLQGVTKIVTIPIHDSIFGLGYKPTTEDRAEVAKWMKQRRLARMGGEVDEKRMEFPPLFQTFRSSGWVNKVAPQEEQTIEEKLQGLTINVITEELSEDDECPWIRQLAPGEVLNNWVEYERAILISDLEM >OMO52507 pep supercontig:CCACVL1_1.0:contig15518:11423:13988:-1 gene:CCACVL1_29206 transcript:OMO52507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAEVNAMSPIELNSDNSNEVEFESVETERSIEGGEAGTSVGGSENGLDRSDVDVYETTPEWEDVIRLNGFLIGKDPKKLSREDFENLQFKSIDEAYDFYRAYAHVIGFSARKGSSRVDKDSREVVMKEFSCNRAGARLAKWMKRCTDKRRQPKPLSRCNCPAHMRVTLDQNSGLWQVTLFKDGHNHKLAKESQKFMVRSNREVCSGAAEFARALKKGGSRPCEIMNYLAQEAGGYQNVGFTKKDLCNKLYRDSRNNVKELGDVKSALCYLEKRASVEPGFYVQYQKNNDGESEVFRRAFWADSRSRADYHIFGDVIAFSATYKKNRRDVALLVFVGCNNHHQTIVYGFGLLEKETKEDYVWVLQQFLRCMSDKRPISIVTDGAQAMANALKVVMLEANHRLCVWHIHRNAKRHLPTADAIDKFKRCMLAWWKADDFERGWKELVEMNGMRNNSWVVQMYRIKEYWAQAFITGKFFAGMKTTSRCEGYNSYLKRFIRREGTMVEFMQSIENAALEDYAGHVFTRRSFGRFRKELRREATYYSASAPAVMSTRIKVYRILKYLEPNNEREVSYDPENGSIKCDCMLLETDGIPCRHAIHVMKVENLRSIPKRCIKKRWTKNAKDLSDEEEAFASVDEKAIEALRRSSLQMMLSTICYMGSKSKKAFLEAREKISRLIESMGVESKNAKREDAPSTEDGPEGLTQEQAEPEGHPDQKKRREYKCSFCRKVGHKKPSCPMLEIRTAQPVELGMMRSSDSDSEYNTSDDELCRNGRSWADCRDYMDEEAVLFRSPKKR >OMO52515 pep supercontig:CCACVL1_1.0:contig15518:87734:91214:-1 gene:CCACVL1_29214 transcript:OMO52515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-ring-hydroxylating dioxygenase, alpha subunit MEQIKEPHDFFSGRLGSVEFVVCRDEDGKLQAFHNVCRHHANLLVSGSGKTSCFTCPYHGWTYGLNGALRKATRISGIKKFSVNEFGLIPIKVATWGPFILLNMDKDILPKADIDTDSIASEWLGSCSKILSLNGFDSQLTYVCRREYIIECNWKVFCDNYLDGGYHVPYAHKGLASGLSLDSYTTTIFEKVSIQSVEGGSQETEDYRLGSKAFYAFVYPNFMINRYGPWMDTNLAIPLGPRRCLVVFDYFLEASHKDDKAFIERSLVDSERVQMEDITLCEGVQRGLESPAYCTGRYAPTVEKAMHHFHCLLYDNLIK >OMO52505 pep supercontig:CCACVL1_1.0:contig15518:827:3445:1 gene:CCACVL1_29204 transcript:OMO52505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYDPILFGPEVRGHHGNQLAA >OMO52509 pep supercontig:CCACVL1_1.0:contig15518:23922:27418:1 gene:CCACVL1_29208 transcript:OMO52509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAEEQITKLFKTRRTVMQMLRDRGYSVDDSDINMTRQQFVDKFGENVYLKRDDLLIRSSKGDAPTDQIYVFFPADQKVGVPMVRNCAKQMKNDNVFNAILVVQKVLTAPAKQAVAEMNSSFFHMEVFEEAELLCNITEHMFVPEHTVLKDEEKKDLLKKFRVKETQLPRILVSDPVAKYYGMKRGQVVRITRQSATADTYETYRYAV >OMO52511 pep supercontig:CCACVL1_1.0:contig15518:57216:58340:1 gene:CCACVL1_29210 transcript:OMO52511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENDLSHDFILGILSRLPVKSLRRFGCVSKRWRSLISDPLFRKLHRDRSQRNPLLMYYNRLPEEICDDEDDDNDSFCCCPPCMEYEEERRREEGERPIPTHYLKAEDESGHVCAEFGIQFHGRGEFSLIPSDRELICLKTRDLTLFVCNPRTHELLKLPNPNPAWIKNSDSFELRYVPSVGHYMLLHVYYTAYDEYDVKPEVAVAYVLNIKFNEKPDRWRCAYGEFPCWFKWLSMKSVQGTDAAVYWYMPDPNVRRNKFDESIVCFDLDKGEFLIVEKPKGFSNSLGPFTNLVGLKGCLSLIDVVKDSATLTMDIWMLKDNRNSVWEKEFTLNMSAVGINSILPMHFGESVIIFNVVFVSGGSRIVQLIICRL >OMO52512 pep supercontig:CCACVL1_1.0:contig15518:60502:65186:-1 gene:CCACVL1_29211 transcript:OMO52512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malonyl-CoA decarboxylase MNKKALAILMRARMRPTDPTKLVFSPLSNDVSQMLPNSRPNIEHHEDENEKLNKQRSFEIVRESMYSAISMNKTQVLDTVLNDFSEGYFSLSFENRTKLLLTLAKDYDLNRTQVRELIKQYLGIQLPNGGVEDEAFLSTFYRIERNLRHSLKPVYEILFERLNTHPGGLKFLTILRADILSILTEENIPSLRALDSYLKEKLSTWLSPAALELHQITWDDPASLLEKIVAYEAVHPISNLIDLKRRLGVGRRCFGYFHSAIPGEPLIFIEVALLKNVAQTIQEVLWDHPPIPESEATCALFYSISSTQPGLAGINLGKFLIKRVITLVKRDMPHISVFATLSPIPGFMQWLLSKLASQSKLAQAEDVSDSSTDGFGLTFYENLLEPEEEKALIDSSGDLAAGKNGMEIMLNLLTPTTHEWANSAKLLSALRPPLLRLCARYLLQEKKRGKALDSVANFHLQNGAMVQRINWMADRSEKGLCQSAGIMVNYVYRPENIEEYAQSYFSTGHIHCSYVVQRYLSSTDMSSTC >OMO52506 pep supercontig:CCACVL1_1.0:contig15518:9239:10146:1 gene:CCACVL1_29205 transcript:OMO52506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFNSKASWEKRCNVTMKWLTESPRTLVLQGTELSLFFANECFQPDEHLHITYGGNGIFSISRTYDGEGLLLDDRELRCKRRRRLAPTPPPHQRQEVGVDRTRHHQVHPNKCLKCESVHYQANAVNGYGLAIVDGWGDFVHAAGLQAGDWVWFRRIEGGILVTKAE >OMO52513 pep supercontig:CCACVL1_1.0:contig15518:70190:74666:-1 gene:CCACVL1_29212 transcript:OMO52513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADARLVSSGPKGRGLHHPSKGIGNVIFVDQERVPSVPGSQKFRNLV >OMO52508 pep supercontig:CCACVL1_1.0:contig15518:19655:23454:-1 gene:CCACVL1_29207 transcript:OMO52508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small-subunit processome, Utp11 MSQSSRKAFGLPEKHKDYVVRAQAFHKKQKEETLRKIEKLTAVLHSVDNFPSSKHIYYAEDRQEARQFQLPSSEHSVPTPSDDIPHHIKRKVAASYRELEARKSRLKLEKIYADMCLKKELQKKDRKRKLCEDELKSPTSNPVYKWRSERKR >OMO52510 pep supercontig:CCACVL1_1.0:contig15518:28608:31254:-1 gene:CCACVL1_29209 transcript:OMO52510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGMEANKNKFIEDWSSARENLEHNFRWTRRNLALVGLFGIALPVLVYKGIVREFHMQDEDAGRPYRKFL >OMO88012 pep supercontig:CCACVL1_1.0:contig09133:11435:14740:1 gene:CCACVL1_08591 transcript:OMO88012 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-acyltransferase MPRQFVIRHGRGWIIFIRIFVGCPPPPDLADEANHGDGFIRTYKAWKGSNVFLLGGRFIFGPDVRSLFLTIFLIAAPVAVFCVFVARKLMDDFPHHWGVSIMAIVVALTLCDIIFLLLTSGRDPGIIPRNTHPPEPEGYEGGYEVRPGQTPPLRLPRTKDVLVNDLTTTALGLASVLDWRIMDGEKTTIWKAIANTPASIVLVVYTFIAFWFVGGLTVFHLYLISTNQSTYENFRYRYDRRENPYNRGVIKNLMEVFCTSIPPSKSNFREKIPREPAIPPRTVHGGFISPNKGKVDGDIEMGSKPVWNEALGEMSDYERQFSSDSLDKDNGLPDVSPDLSRILPPENTEGRGVMNHPRRSSWGRNSERWEISPEILPLSRMGESKRMNERSNADSTYGNQQLETKF >OMO88013 pep supercontig:CCACVL1_1.0:contig09133:16248:17175:-1 gene:CCACVL1_08592 transcript:OMO88013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger MDSSLSSPELQRFLAQEKEKAMVNEMVAKLTSECWDKCITSTPGSKFSSSESSCLANCAQRYLDMTAIIMKRFQSMQ >OMO88014 pep supercontig:CCACVL1_1.0:contig09133:17509:20527:1 gene:CCACVL1_08593 transcript:OMO88014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLFSLLTSDLSQSSLNSQQPSKFQFQWCRASASASASVELISDSLNRRRHLPSAAALPKDNKHPLSFSALKFRGKPPRVKKRKKEKEKQLEREQEAEMKKLENFLFGSIYSPIEFGKESEENAQDVAEDGSALFFLDHSANNVELSEESDDEEKSKERKAVWVDEEEEKTAINIAKVNRLRKLRKEEDESVISGSEYISRLRAQHAKLNPGTEWAQLDSGLRNDSISDDESSDEEKGVVAARGYRNVKADDDILRTNEDLVVKSRVKLLPRILEFSKLVDANAEEPSNGPINSVQFHRNAQLLLTAGLDRRVRFFQIDGKRNTKIQSIFLEDCPVRKASFLPDGSQVIIAGRRKFFYCFDLVKAKIDKIGPLVGREEKSLEAFEVSPDSSTIAFLGNDGYILLVSSKTKELIGTLKMNGTVRSLAFTDDGKQLLSSGGDGQVYHWDLRTRTCFHKAVDEGCINGTALCTSPNGSMFAAGSDSGIVNVYNRDEFLGGKRKPIKAIENLTTKVDFMKFNHDAQLLAICSTMKKNALKLIHVPSLTVYSNWPPQNKDLHYPRCLDFSPGGGFMAVGNAAGKVLLYKLHHYSHA >OMO53131 pep supercontig:CCACVL1_1.0:contig15321:2206:4379:-1 gene:CCACVL1_28866 transcript:OMO53131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASSPTGLGYPYRFATWSNTPCAKPYLNTTTPSIFTAQKRWASKASTTEDDNKISIGPHKDREDDEDEKDTGVVYRGPISSTIKKVKLLSLSTCCLSVSLGPVITFMTSPDMNVILKGAVASTVIFLSASTTAALHWFVSPYIHKIRWQPGSDSFEVEMLSWLATYIPRTIKFADIRPPETNRPFVTFKANGKFYFVDVEHCHNKALLARLTPQKAPESALKNL >OMO53130 pep supercontig:CCACVL1_1.0:contig15321:52:558:1 gene:CCACVL1_28865 transcript:OMO53130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTAQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMVPSKPMVVETFSDYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKGGK >OMO53132 pep supercontig:CCACVL1_1.0:contig15321:5017:6584:1 gene:CCACVL1_28867 transcript:OMO53132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALKKLEYLSLVSKVSTELESHVGYSDKVLAEFITDMGRDCQTVDEFDAKLKENGAEFPDYFVRTLLTIIHAILPPKPKSEKVSKKETAGDGKEGGIMGVGEGIGIETETKIIEMTMKITGIGVGTGIEMMGKVVKMGKGGVMGGIEMLSELRGKEGLVHVSQIATRRLSSAKDVVKRDQEVYVKVISISGQKLSLSMRDVDQNTGKDLLPLKKSSDDDAFRANPSGGKEGPVMRTGLSGIRITEDEGAVPSRRPLKRMSSPERWEAKQLIASGVMRVDEYPMYDEEGDGMLYQEEGAEEELEIELNEDEPAFLNGQTRYSVDMSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETEI >OMO60931 pep supercontig:CCACVL1_1.0:contig13642:15930:20707:-1 gene:CCACVL1_23799 transcript:OMO60931 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA binding protein MIVEEVETVPEIVENVATVAEKVSAQVAENLPDDSKLKKAAMVVEHVSEIMLADLRWRWCYHAHCYVERDVPTDVYRSLDVVWDSEGGGSSRRPLGPFASGITLPSYWEDAERWICSPVLGYGVSKNANYQFQCVPTDVYRSLDSVWDSEGGGSSRRHISASSLAPFASGITLPSNLEDAERGSHAGRDGDQPFLLQNDGDVPQSGIISGWPDLRNCEPRFQKAKLLELCILKLKHSYTHGTSEAASGFPANLESSKVEDNVRLMKVELRQISHKQFPLQYVRRLHQTDTIPALPMLVAVRFSCTIGRRVGAAKVDTKQESGRMKGSCVLSYSMKLTCVAQIRSSASAYSI >OMO64393 pep supercontig:CCACVL1_1.0:contig12832:11671:14630:1 gene:CCACVL1_21799 transcript:OMO64393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MLSTHAPPSLIKPLFFFNFSPSFSTLSATLSPVPPVKLLRFRHCRFSIHTLIAMSAAKSNATGYSSLEHVAGSWYSVPDLRLRDHRFTVPLDYKDREASPKISIFAREVVSVGKEEQPLPYLLYLQGGPGFECPRPTEASGWIQKACEEFRVILMDQRGTGLSTPLTPSSMQQMKSAQNLVDYLKHFRADNIVNDAEFIRVRLVPDARPWTVLGQSYGGFCGVTYLSFAPQGLKQVLLTGGIPPIGDGCTADAVYRACFEQVVHQNEKYYKRFPQDVEIIRDVVTYLAESEGGGAPLPSGGILTPKGLQFLGLSGLGSSAGFERLHYLFERVWDPILVPGAQKQISSYFLNGYESWLSFDTNPLYAILHESIYCQGASSRWSAHRVRADHDSKFDAIKAAKEGRPILFTGEMIFPWMFEEFHVLRPFKDAAHLLAEKEDWSPLYDIAALKNNKVPVAAAVYYEDMYVNFKLVMETASQIGGIRLWITNEYMHSGLRDGGGQVFEHLLGMLNGKKPLF >OMO64390 pep supercontig:CCACVL1_1.0:contig12832:3774:5047:1 gene:CCACVL1_21796 transcript:OMO64390 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEVDWDLHAVVRGCATVTTTSNGGVPTSSFMADLFPQSCFSSFTSHEGFQGQVLSFPSPVEARNAVEELHELYKPFFPKSQPLSPQSTPLSSLSSLGLSKDQPQIKKQQEQQQPKQSHAGSVTSAVTTASSNSATANSHSNSRSKRRKNQLKRVCQVPAEALSSDVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERNRSDPGMFIVTYTAEHNHPAPTHRNSLAGSTRQKPFTPQTATAGDSAKPSPANPTNSSSPTTSVEEDLVFQSAKAESREDLGEDEGEDEFGMSDTAVSDDFFEGLEGFADMVTGDCVSNSFPASFDLPWIANNAATPAGGI >OMO64389 pep supercontig:CCACVL1_1.0:contig12832:1431:2576:1 gene:CCACVL1_21795 transcript:OMO64389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTDSTQQSSSQPRSNNNPIRHLVSYSPNTIESEMLKIRLLNRADQFIRNGDWSQPDYTYQISLIYDKLRRQNSRVVSYNIHKHSIITWMAILDRLPTRDRLSSWNLQLDCVLCGQHSDCRDHIFFACDYSKKLWERILKACRLTRSIDNWQYELQWATSRLKGKSLLSLVLKLACYGIWRERNTRIFQRKMHTVEQSFQLIVESIRLRLLGLKNVAKDPINIFICNSWHLQLDW >OMO64391 pep supercontig:CCACVL1_1.0:contig12832:5382:8311:-1 gene:CCACVL1_21797 transcript:OMO64391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATLAASRHSKPLLYRHLKPQPPSYIFKCHLCTESNAELPSPATLPEDDESKITQAVQLLLETPHQEWSSSQPLQSILFSSSPPSPLFFLRITRCLPSSSEALNFIEHLRQNSPSQNTQFLSYPFQALLEHAGRAANTTTSLSELYEASKEWEIPLTINAGVLLIRYFGRLGMVDKSLLVFSELDPSLKNTHVRNVLIDVLLRDGGVDDALNVLDGMLQPSSEVPPNELTGDIVFYALVKEGRKGRELSDKELIKLVSRFAEHSVFPNPKWLTQLFTKLCRSRQIDQAWNLLHEMLRVRAPLETATFNAVLTVLGRREDVDGMNRLLAEMKENDIRPDAVTFGILMNHLCKVGRVDDAMEILNKMSEETGYDGVSIEADIVMYNTVINGLCKVGRQEEGLQLMERMRSMKGVKPKAATYNCLIGGFCRVGEIERGMELFEQMKEEKVSPNVITLNTLVDESLCKNNSIDLALSLMDDMKAKGVKPDTITYNAIFKGLKEKNLLKRALKLMDSMVEHACKPNYVTIEVLTEWLCAVGESEKLKSFLQGYEVSTSDSEAGIYHGNINTKAVDHIEVCLFKVKVQLNTRIELKKTRTLTRKVNKDGHDLVILINQ >OMO64392 pep supercontig:CCACVL1_1.0:contig12832:9007:11105:-1 gene:CCACVL1_21798 transcript:OMO64392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ketopantoate hydroxymethyltransferase MAFLTKLSTASSLVRACVVRGMSNVPENTVYGGPKPQIPNQRVTLNQLRQKYRKGEPITMVTAYDYPSAVHLDMAGIDICLVGDSASMVVHGHDTTLPISLDEMLVHCRAVARGAKRPLLVGDLPFGTYETNTNQAVDTAVRILKEGGMDAIKLEGGSPSRITAAKAIVEAGIAVIGHVGLTPQAISVLGGFRPQGKNVSSAVKVVETAMALQEAGCFSVVLECVPPPVAAAATSALQIPTIGIGAGPFCSGQVLVYHDLLGMLQHPHHAKVTPKFCKQYARVGDVINKALLEYKEEVTNGSFPGPPHSPYKMNADDVNGFYKELEKLGLDKAASAAAAAAEKMDAAK >OMP11170 pep supercontig:CCACVL1_1.0:contig01506:70:313:-1 gene:CCACVL1_00644 transcript:OMP11170 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase beta'' subunit MSNVFLPGELIGLLRAERTGRALEEAICYRAVLLGITRASLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLG >OMO84839 pep supercontig:CCACVL1_1.0:contig09691:4825:9341:-1 gene:CCACVL1_10621 transcript:OMO84839 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase MKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQYVIDKERRGDYLGKTVQVVPHITDAIQEWIERVAMIPVDGKEGHADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKALDDNVKGKLSQFCHVPAENIVTLYDVPNIWHVPLLLRDQKAHEAILKALNFQGVAREPDLIEWTARTKVCDMLHDLVKIAMVGKYIELKDSYLSVLKALLHASVGCRKKLVVEWVEAGHLEEITAKEDPDAYKAAWNRLKGSDGVLVPGGFGDRGVQGKILAAKYARENKVPFLGICLGMQIAVIEYARSVLGLENANSTEFDPETSNPCVIFMPEGSKTHMGGTMRLGSRRTYFKVPYCKSAKLYGNASFVDERHRHRYEVNPDMISKFEAAGLSFVGRDESGSRMEIVELPSHPYFIGAQFHPEFKSRPGNPSALFSGLIAASCGQLDSLLKSGHVGKPMANGISNGKATFKAQQNGNHHFKASNGSLNGVHCNGNGNGVHH >OMO72103 pep supercontig:CCACVL1_1.0:contig11506:6053:6154:-1 gene:CCACVL1_17944 transcript:OMO72103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAELPLVVVVMEVVMFSNSLEEKRSSVAIVAS >OMO72105 pep supercontig:CCACVL1_1.0:contig11506:25229:28986:1 gene:CCACVL1_17947 transcript:OMO72105 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MCSRLSLQSEGGDEVVVQDQDWLGGSEGDLAWFHLISRLFSKQKANVDRLRTTMLLAWQVEVAFMIKEAGDNLFIFHFEDEVERDRILVSQPWCFNRTLLVLKDFDGIQVPTEGLWILTHLDSDCPVGVTMLKTQGYIEKKFDDSIRAKILDAKPRQSGLLARSRMRVSNQGMGNRGGINQLPASSISGNSQPRALRNHVDSQLLRRRIQFTNPFCFWGDIAPSPGRRQRNWKKKARITSKYTFDVLGPCTNADQKIGQKQNSGIPNYDFLSAGANKKWKERGMMLDNQEGDEFGAAIYRDLGAVDGPTNTTNSGASGDRNNNHKSQSAGMEENHPCREPLIFLFGTTEALEALGQCFPFVPMDFIPRKDFRFEHMWIKHDGLEEVIRESWNQNPMLDVKQSIEACGRVLQFWNKSVFGNVRHKINCKQRELENLYVDIQQQDGPQAIQNCVDELNVLYDQEEIMWRQQSKENYFGHVLCLDREFTKEEIRQAAFNMDPDKAAGADGMSPLFFNVFGILWIDNPISVKDFRHISLFNVIFKIISKALVNWLKEILPQIISQTQSAFVPGRMVFDSAMVAFETVHYLKNKRHGVDGYMALKLDLSKAYDKVEQDFLDSVMRQIGFSKKWILMVMNCVKTVSYSFLINGDQTKKFMPTRGIRQGDPLSPYLFLLCMEGLSCLSQLAGPGSEPCR >OMO72104 pep supercontig:CCACVL1_1.0:contig11506:19874:20740:-1 gene:CCACVL1_17946 transcript:OMO72104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLESGSGSRYVHQLLGPQLNISQQSPEKLEGSTDPDGGATTTSSGGGAGTTSSGRRPRGRPAGSKNKPKPPIIVTRDSPNALRSHVLEISSGSDIVESVSSYARRRGRGVCVLSGTGAVTNVTLRQPAAPAGSVVTLHGRFEILSLTGTSLPPPAPPGAGGLTIYLAGGQGQVVGGSVAGPLMASGPVVLMAASFANAVYDRLPLEEEDQSAVQVQQPAASQSSGVTGSGGGQLGDGGSGGNSGGASGTGGGGVPFYNLGGNNMGSYPFPGDVFGWNAGSATRPSF >OMO72106 pep supercontig:CCACVL1_1.0:contig11506:33838:34407:1 gene:CCACVL1_17948 transcript:OMO72106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSCDTFIWHGTSNGHYTVKSGYYVARNLLGKVSPALDYRAAIWSRLWGASVQPKIKFFLWRVRHNILPTKLNWQRRGIPIDDACPMCNGTESSLLHIFFTCPFSRKVWELCCPWIMDYLQGWMEEVNFWDCVLEEASQLGTLDLVAYNLLWSIWPNRNKSLHELVCKMPSALCAAAIRRVAEVVASSI >OMO72102 pep supercontig:CCACVL1_1.0:contig11506:729:5306:1 gene:CCACVL1_17943 transcript:OMO72102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPEKINGGGSEAQMDDLKPDTEAVAEAEAPAPMNTETEAADVNAQVQVEEPTKLEEEGKLQAQTVADGPDSGGQRAVSGLVDDGASKTPNVVGAGGQNEVELKSEKNGDAEMKDVEDKSMAQTFTKMEVVENDDDASIEDGLCLSPNFDLKPIDDENGVYDGEQLKDLSKGRDIRKRLAIPELGDKNPNLCFDNTGSDTEEEQAAFVKDVEAFHKEKNLEFKHPKFYKEDLNLLKLWRAVIKLGGYEQVTSCKLWRQVGESFNPPKTCTTVSWTFRIFYEKALLEYEKHKIHGGELPRPDVSFVEPNGVESQAASNQAPGSGRAKRDAAARAMKSWHSQRVLDNGEVCHPIIKDKNSSPAPKSDKQLKNFGLLKRKKPSSLEHGLRVTPLKATKTQLDTMVIDIGPPADWVKINVQKTVDCYEVYALVPGLLREEVHVQSDPAGRLVISGQPKELDNPWGVTPFKKVVSLPSRIDPHQTSAVVTLHGQLFVRVPFEASDV >OMO98113 pep supercontig:CCACVL1_1.0:contig07161:51055:51585:-1 gene:CCACVL1_04336 transcript:OMO98113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDETPPPPQTPPSPPPPSNDNESQQDQSPSAAPTWTIPANLKVQLEATAAAQEHGQWRNAVLTFCFSYALQMIQFMGTDDHHSNHHSLVVALSVLVLVVFTLLLVALFVHQISSSRISQALEKVAILLSAAAFCLSLSISFSFELRCAVWAVFLLALLIIFIIFKCLNPNTVQT >OMO98112 pep supercontig:CCACVL1_1.0:contig07161:45781:46311:-1 gene:CCACVL1_04335 transcript:OMO98112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDETPPAPQTPPSPPPPSNDNESQQDQSPSAAPTWTIPANLKVQLEATAAAQEHGQWRNAVLTFCYTYALQMIQFMGTDDHHSNHHSLVVALSVLVLVVFTLLLVALFVHQISSSRISQALEKVAILLSAAAFCLSLSIPFSFELRCAVWAVFLLALLIIIIFIMCFNPNTPQP >OMO98104 pep supercontig:CCACVL1_1.0:contig07161:5010:7062:1 gene:CCACVL1_04327 transcript:OMO98104 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MTTLSFFVGVVGNIISVLLFLSPAGTFWRIVKNRSTEEFESLPYICTLLNSALWTYYGVIKPGSILVATVNGFGVMVEMIYVTLFLIFAPPTIRAKTGMIFGLLDVGFLGAAVLVTQLVVQGEMRINVLGFLCAGLNIVMYGSPLAAMKTVVTTKSVEYMPFLLSFFVFLNGAIWTFYAVLVQDYFLMVPNGIGFLLGIAQLLLYAIYSRKPDKSSITSSSDLVDQSDEWQRQPLIIPSSSSTSNIDEKCEV >OMO98105 pep supercontig:CCACVL1_1.0:contig07161:13722:16523:-1 gene:CCACVL1_04328 transcript:OMO98105 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1 complex, subunit C MASRYWMVSLPFNIPNLRVGTLDSLLALSDDLLKSNSFIEGVSHKIRRQIEELERVSGSESNALTVDGQPIDSYLTRFVWDEAKYPTMSPLREIVNGIHHQVAKIEDDLKVRVAEYNTVRGQLNAINRKQSGSLAVRDLSNLVKPEDIITSEHLVTLLAIVPKYSQKDWLSSYETLTTYVVPRSSKKLYEDNEYALYTVTLFGRVADNFRVSAREKGFQIRDFEYSPEAQESRKQELDKLIQDQDNLRSSLLQWCYTSYGEVFSSWMHFCAVRVFAESILRYGLPPSFLACVLAPSVKGEKKVRSILEGLCDSANSTYWKTEDEGGAMAGLGGDADAHPYVSFTINIA >OMO98111 pep supercontig:CCACVL1_1.0:contig07161:38859:40104:1 gene:CCACVL1_04334 transcript:OMO98111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MEEYFDGDDLKKLLLECAHALQDGNLKVMDALLDRIWTLAAEFMTDVVDEDQGRVVKYYAEALVRRAYGLHPSLAYFYSLLPCYFLYDESLLYNYEIKAKVFKGKKQKQVHLIDFYLPHLYHRNRGYLFDGMRKLVCGDTTVCVRVSVVLPPFLKGIVDVGREEQYLARQAEEMEIEFKNLQMVYANSLGEMNPKLPFMLNLLGRRAEDDDEALVIFYSSKLNKLLAEEGALERELKRLAQINPDVVFITEPNANHNQSNFIQRLDHSFPFYFYNRALGIKEEIVNIVGCEGKDRVVRHLTLQQWRSLFEKAGCMIPIPLQTVYHKQENGFVVADNYFHSAWKFSSVDDFNPISFNSRLRGFFNVTP >OMO98108 pep supercontig:CCACVL1_1.0:contig07161:25313:27443:1 gene:CCACVL1_04331 transcript:OMO98108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMMDKGVLDDIIRRLLDGKGGKQVQLSEGEIRQLCINARQVFLSQPNLLQLRAPIRICGDIHGQYQDLLRLFEYGGYPPSANYLFLGDYVDRGKQSLETICLLLAYKIRYPDRIYLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKILCMHGGLSPELENLDQIREVQRPTEIPDNGLLCDLLWSDPDPKIEGWADSDRGVSCTFGADVLTEFLDKNELDLICRGHQVVEDGYEFFAKRRLVTIFSAPNYGGEFDNAGALLSVDDALVCSFEILKPADKASSSSNKLPLKKVPKKV >OMO98114 pep supercontig:CCACVL1_1.0:contig07161:61145:68958:1 gene:CCACVL1_04337 transcript:OMO98114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geminivirus AR1/BR1 coat protein MYSLRYKRFGSVPVRRPLFRRSVGRRSFVYKRAPKGRTVTVSPKPHPDKLSYQRIHENQYGSTYAFMNNTSSVSFITYPRLGGPEPNRTRAYVKLNRLRYKGTVNIEHIEADVAMGVSSIKVEGVFTMAIVIDRKPHVGPTGSLPKFEELFGANVFCHGSLDIVPHLKDRYYIRHVYKRVVSTDKESVLLNLSGSMVLSSPRYSCWASFRDLDVDSCNGGYTNVGKNALLVYYCWMSDVPSKASTDTTPVRVSVVLPPFLKGIVDVGREEQYLARRAEKMKIELKGLKMVYANSLGEMDPYKLPFMSDLMERRAAEDDDEALVIFYSNKLQRLLSEEGALERELKSSVDDFNPISFNSRLRDINPGPPLEDTVEPIPVRKKHSSMNRLAALAEIYDMLQVICFKYELPLALTWVCGDNRTVSDSYNKLTLSLESTSSYYIDFASYLFMEICSQYNLEERQGIAGKALQSNEPFLFEPDITQHEQPYYPFASDAREFGSHGVVAICLENNYTDDIYVIEFFIPPSKEKPVTPESLAHYIFDNLSNMKKRFVTLRNHGSTKVDFQKEAEISNINDRHVTMPMRSSLPASYPDEIRNASPFDHNQQWGETSNSKTGDASSSLNGMVPINAPILMPYHRVQQTQGPHDELAENADHTFEPKANNEIAIVNEANLVNELPLSFSNGKKRKSKSDVWNEFSKEKDPKDGKVWAKCSHCNKKFDGSSKLGTTHLRNHLNRCPSKKAKLTQKDPEPTPLPGRGSEHQSVEGNFSFDQDRSNLDTARLFIEHQLPLNMVESESFSNLLKNLQPKFKLQSQEALSSDILCVYKEEKGRLIEYFDEEIRKSWHRFEASYPLSTFYISFDGSISDPLAKHKSSELNASVSKTPSACLLQDIFNVYKKQSQHEKDCPLMNVKYDNNWRSCSLVLAIAAILDPRLKFDFVEYLYKKVYGNNSARDHLEVIRSDLTKIFNVYASNFCGAKPKTLLDDTNSLTSLNAEENILESFQKWRKVTTNEASWKLELDKYLQEEPEPLISSQTDQFEVLGWWSEHALKFPVVGRMARDILAIPMSTVIQGSSLDEKVMMDNPVFKGLAPQIIEAMICCKHWLESPKQTNMRENTSCPTVELKDSLPLAPSSPMELSSESDEEAPPSPMDLESSSNQARAGLWSEKDVRTYLKSPLTEREKEYLSKFQASGLEVGPDKILDKALAPLLLIPPSDDVHLQNPQQYYIDDTVVNKFFILLQRKYDKFPSKYLKHHSFDSAAAVFLPMCLNKHWLLFCADIDNKNLLWLDSLEYSQSDVKAYVYEKDVIRKWFKRVVLPAMDQPKPNDIDWSYIIPTEVPV >OMO98106 pep supercontig:CCACVL1_1.0:contig07161:17912:18028:-1 gene:CCACVL1_04329 transcript:OMO98106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVRSIEEQKCKPNATKTKMQTKPKENKNAEDTEAQT >OMO98110 pep supercontig:CCACVL1_1.0:contig07161:34551:36637:-1 gene:CCACVL1_04333 transcript:OMO98110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folate receptor-like protein MIFSSKTRADVKAMKNMDVGFLILLLLFNLLLPFSSGETGGVCISQGGRFPPFSSEGKPPKKVGKGQKDLTLCRLFRKRTCCDAAQTYPALLSIRRLTVTGEASQECLQLWELLECSICDPRVGVQPGPPLICTSFCDKVFQACSTAYFSMDAKTQALAPCGASDFVCGRASEWVSNGTELCRAAGFLVEQTDVMRNGVEDRFCYGGKASFDSIADSWRASQSAKSHRTGKFGLLDDFQQWLQDMPSSERVSWAVGGMVLTAGLLFISKRKSHSQRQKLAAIQRAARRLDGKMNHTSPSSSQGNRKGSRK >OMO98107 pep supercontig:CCACVL1_1.0:contig07161:22251:22397:-1 gene:CCACVL1_04330 transcript:OMO98107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSAPAIPTENSRSSPLLETSTISTHMNQSLTLTPSKRASFAFDMNP >OMO98109 pep supercontig:CCACVL1_1.0:contig07161:30388:32050:-1 gene:CCACVL1_04332 transcript:OMO98109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dormancyauxin associated MGLLDQLWDDTVAGPRPDNGLGKLRKHSTFTFRPNSSAKESDGGTVRSYGDETSEEATRVTRSIMIVKPPGYQSGSPPVSPAGSTPPVSPFSGGRETFRFRRRSTSDAYEKASEVGPRSPRPPYDV >OMO84791 pep supercontig:CCACVL1_1.0:contig09697:7785:9862:1 gene:CCACVL1_10657 transcript:OMO84791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MPTGKADVIHSFQKDGSIVAMVGDGINDSPALAAANVGMAIGAGTDIVIEAADCVLMRNNLEDAYHGGNDVSRTYYGLEVKHGEHGNDVQGLQGSVDKLKGEDGIAIHQEDVIKMPFDPLKMPLGPMTRARAKRFKDALMGLVRTHLEDLKTIEVQLKSFGDDLGKKLQINYKFITLLAIDS >OMO84792 pep supercontig:CCACVL1_1.0:contig09697:25717:28109:1 gene:CCACVL1_10658 transcript:OMO84792 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3 auxin-responsive promoter MPEAPKTLSDYADNCIDDRVGCILVENNNKALQLIEDVTSDADHVQQRVLSEILSRSANAEYLQRNGLNGSTDRETFKKIMPVVTYDDLKPDIERIANGDSSPILCSQPISEFLTSSGTSAGERKLMPTIEEELDRRSQLYSLLMPVMSQFVLDFVLTVNVFNANGAAVEQMSGTNVAKVFLVRD >OMP11585 pep supercontig:CCACVL1_1.0:contig01131:1613:2146:1 gene:CCACVL1_00420 transcript:OMP11585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MHNFGLISQHGKPADVLKLKSDVAVPQLKEDQVLVKVVAAGLNPIDNKRMLGIFVHVECPFLTVPGYDLAGVVVKLGSQVKNLKVGDEVYGNIHEKALDHPKQYGTLAEYTAVEERLLARKPKNLSFAEATFLPVTIGTAYEGLQ >OMP11586 pep supercontig:CCACVL1_1.0:contig01131:2319:2478:1 gene:CCACVL1_00421 transcript:OMP11586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAKRVFGASRVVATTSTGKLELLKSLGADLAIDYTKQNFEDLPEKFDLVYDCV >OMO60782 pep supercontig:CCACVL1_1.0:contig13665:23313:23501:1 gene:CCACVL1_23881 transcript:OMO60782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPSKKPQACPNRRRVAAASAEAPRWIPKRGKVLKRILKLVFLLSCLCCNNIPTSKTSHSM >OMO60781 pep supercontig:CCACVL1_1.0:contig13665:13660:17328:-1 gene:CCACVL1_23880 transcript:OMO60781 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MAGQQEAALALTEVAVVSDSASGNGHHFDTLHFPLDTTAVASQSGHNKDTGIWSNLILAYKTLGVVFGGLVTSPLYVYPSMPFPKSPTEEDYLGLYSIMFWTLTLIGIVKYACIALQADDHGEGGTFAVYSLLCRKMNIGILSSKHSDLNSNPSRPVLHEGIKGKSRLAKLFERSIVARRLLLFIAMLGTCMLIGDGILTPAISVLSAMNGLKAPFPSVSNSLVEALSAVVLFVLFLLQKFGTSRVSFLFSPIMGAWTLCTPIVGVYSIIHYYPGIFKALSPHYIIHFFRNNEKDGWVMLGGTILCITGSEAMFADLGHFSRSSIQIAFLFTIYPSLVLTYAGQTAYLIKNPNDHLDGFYKFIPKAVYWPIFIIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSSKKEGEVYSPEINYILMVFCVAVILIFGDGQDIGNAFGVVVSLVMLITTILLTLVMIIIWRTPLLLVALYFFTFFTMEGVYVSAVLTKLPEGGWIPFAISMVLALIMFGWYYGRQRKIEYELTHKIDLERLQILLSNPTVQRVPGLCFFYTNIQNGLTPILGHYIKNMRSLHKVTIFTTLRYLLVPKVAPQERIVVKKLGLKGVYACVIQYGYADSLNLEGDDFVSQVTASLRVHIENSSTCLPSDPSQVQEEISELREAKMAGVIHVRGKARFYIGKNTTLFDRIMLAFYEALHNNCRSALPALGVPLPQRLEVGMFYEA >OMO60780 pep supercontig:CCACVL1_1.0:contig13665:2439:12989:1 gene:CCACVL1_23879 transcript:OMO60780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKEKENLYVLPLRLLQEESSGESSSSSNPVDAVIFVGISLVLGIASRHLLRGTRVPYTVALLIIGIGLGSLEYGTSHKLGKIGDGIRLWNSIDPDLLLAVFLPALLFESAFAMEVHQIKRCIAQMLLLAGPGVLVSTFCLGSVLKLLFPYNWNWTTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFCRMVLGQSFTWDAIIEFLAKVSLGAVGLGIAFGIVSVLWLGFIFNDTVIEIALTLAVSYIAYFTAQEGVDVSGVLAVMTLGMFYAAFAKTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAEGVLGNDKIFENNGNSWGYLILLYIFVQLSRCIVVGVLYPFLRYFGYGLDLKEAAILIWSGLRGAVALSLSLSVKHSSEGSSTLSSETGSLFVFFTGGIVFLTLIVNGSTTQFLLHFLDMDKLSATKKRILDYTKHEMLNKALEAFEDLGDDEELGPVDWPTVKRYIACLNNLEGEPVHPHTTSENENENENNLDPTNLKDIRIRLLNGVQSAYWGMLDEGRITQSTANLLMQSVDEAIDAASHEPLCDWKGLKSYVHFPNYYKLLQTRMFPQKLITYFTVERLESACCVCAAFLRAHRIARQQLHDFIGDSDIASIVINESEAEGEEARKFLEDVRITFPQVLRVVKTRQVTYSVLNHLIDYIKDLEKSGILEEKEMLHLHDAVETDLKRLLRNPPLVKIPKTDMISAHPLLGALPSTARETLKGSTKEVMKTRGVTLYKEGSKPNGIWLISNGVVKWTSKSTRNKHSLHPTFTHGSTLGLYEVLIGKPYICNMITDSVVLCFFIESDRILSLLRSDPAVEDFLWQESAIVLAKLLAPQLFEKMALNDLRAIVAERSIMTTYIRGETIEVPHHSIGFLLEGFIKPFDVQEELIISPAVLLPPHGNQSFRNADKPGAPTASFSRQRSWYPNETKGSIIYQVESRARVIIFDIAMLETHGVLQRSSSSFIHPHRTSSREHGGLMSWPDHLHKAKQHTQNDGAADQQANRLSERAMQLSVFGSMVDVQRRSRSLSRMNLHKPTHSLSYPRVPSYPGHPLVSVKSEGAATLRKKLEARKFAGHISPPQQKVSDTKDKEGHDSSDESGAEEEILIRIDSPSTLSFKQAS >OMO96463 pep supercontig:CCACVL1_1.0:contig07470:3374:3628:1 gene:CCACVL1_04954 transcript:OMO96463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSCDDPNLSTRILKGSNIVLSKYAREAQVVQAEIVKSSVRTGDYPSDGLPEFALVGRSNVEKSSLLNSLVRRKRLALTSKKPGK >OMP02732 pep supercontig:CCACVL1_1.0:contig06192:727:843:-1 gene:CCACVL1_02715 transcript:OMP02732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKSRGTELTHSEHPLKDYVVRLDRINPYRIKVQPPVNLQ >OMO99228 pep supercontig:CCACVL1_1.0:contig06930:11759:15584:-1 gene:CCACVL1_03883 transcript:OMO99228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQLWFSFVKNKDEEAAFEEILCWLMLLASMFLLHLMSCGTHCTCFRTQENANMRLGRVSTVGIRAFTGRVAGGKCYTVGVRWEPPLESRRPVGPGDCVVWACRGQQAYKGASKFSVKKNMELERQLEVLNKSAIKTFQAKDGDIIDCIDINKQPALDHPLLKNHKVQVLQVGMKEGPNYYGVYGEVSIYNISVPHQQFSSANLWVQNGLADQPDNLNVTLAGWAVSPSLNGDSLTRLFTYWFGENKTQCYNTGCPGFVSTHSKVGPDYPLAPTSTYGGQQYTLTLFIYQDPKTGNWWFGVSNWRIVIGYWPKELLPKLSNGANHVAWGGITIADKQGNSPPMGTGHLPNSDCTRSCFFKSIKFQNNIHSKKFLTPNDDATIHYVDKSGCYGIMDTRDCGHKQFHYCFTFGRPGEKCG >OMO89769 pep supercontig:CCACVL1_1.0:contig08621:56311:62848:-1 gene:CCACVL1_07644 transcript:OMO89769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MRGEDRRQSPEENSLATVKRKHVYFEQFHHNNLTKKQKCLSSETETFSLSVKPDPLNLPVTNHFQAIRPCSFSRSSSLRHTERKERSDSSSSSGSRKWVSSSRDCSNYKVKATIKCYLEMIAVRSMAVFFFILQVDRKLLNIVIIDDVGMAINDDKFIIVSYNILGVENATKHPDLYLDVSPKYLEWDRRKCLISKEEVDRFKDLEDLLHKDGFKGVYKTRTGDACDGCALFWKDNSFSLLHEDNIEFQDFGLRNNVAQLCILEMNQHQVQSGLRRKPSKITPTQSRKLLVGNIHALYNPKRGDIKLGQVWLFLEKAYKLSQEWGSIPVILAGDFNCIPQSALYQFMQSSELNIQLHDRRWISGQLEYPLEGREIRAQYKDIARRHVWMPISRHLRFTWSDEELVLATGNAWTTCLHHKLKLFSAYLGIPGSHETRDSHGEPVATSYHSKFMGTVDYIWHTTELVPVRVLETLPVENLRRYEGLPSKRWGSDHLAVVCELAFADDHKGP >OMO89767 pep supercontig:CCACVL1_1.0:contig08621:20606:20971:-1 gene:CCACVL1_07642 transcript:OMO89767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMEKEKRKRGEKESKEGMKMEKKNKVRKEEEASEEEVEEFFAILRRMKVVVKYFEKGGGEGWRAAVEAEREAMVAADDDNDVAADKDNDNKRKENVEEISGVLDLNAAPDEEEEEDPIS >OMO89768 pep supercontig:CCACVL1_1.0:contig08621:50642:51493:1 gene:CCACVL1_07643 transcript:OMO89768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSMNFLKKFRVIYNDPEATDSSSDEEEIETMKKDGISFMKRVVKEISCLAVPVDSSKVSDSKRNRKSSTRCKSRGVRLRPWGKYAAEIRDPIQKKRLWLGTFVTEEEASAVYEAKRREFEAMLATSSTVKSADLDDLFSQRSPSSVLDNVVSGNPIEDHEPNNHTEFMVKKVVKEYRIFMQSQKSVEEKKSPTKELLKKKDEQDSIEGLWEHPSTSGSWEELFGPASENWLNNNAATVDFLQVQHRPLLLLKDDNNRIDHLPDIGVLDSKDMSWIDEILNF >OMP11791 pep supercontig:CCACVL1_1.0:contig00862:1023:2798:1 gene:CCACVL1_00259 transcript:OMP11791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSKSLSSKSIFTTSFCESEWKEEIKAVMKNSQQAKEVVVVSVFEVSEALRSVKPEAYTPQLLAFGPYHHFKPKLYQMQRFKVAAYMKAQSEWLGNKVDFALLAGEIMEQHESSIRTCYQTHLDIDQDTLAYLMAIDGLALLKLVHSSISYYKTTHKTSPKNPFDDFHKSSCPDLDMILRDILMLENQIPMLLLKPIAEKCNKPDHKNLQYFVEFIEAISPLQIDSKVRDSDVVNYKHLLDLFYQLLCPKQDGTDSSDQLLRPKEDGAGHVEIQIHESVEKMDDSSAKAPLAKKKKKSSKAPDCSVFRNLLASLPKLRLFRKSGEKVEEANVSSSSDDHPPPDCTQFRKFLDKFSQLRFPFAALPEKIVNLFLTSLELLGISAHDFFDKDRAWIPTASQLVKTGVTFSVCGGIKDIKFNKETLVLELPVITLNAKRDQDKILNSKRTTEVILRNLIAFESLSKDRSQSLPFTRYTQLMNGLIDNDKDANVLKGALIIKGDLASVEIANLFNGLSETIEPKDRDINIDKAIKKINRYYDNTLRVKTNKLLKKYIYSSWRFLTFLTSFLLLAMIFLETFCGFYECKTVRFKS >OMP11793 pep supercontig:CCACVL1_1.0:contig00862:12870:14417:1 gene:CCACVL1_00261 transcript:OMP11793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSESIFTSSYNEKEWIVELKDILEKNKEAKEVLVSVFEVPEALRSVNPVAYAPQMLAFGPYHHFRPKLYQMQRFKVAAAMRAQKDWLKVDFEQLFAQIGTLVSNIRTCYQTHLYIQDDTLAWLLAIDGLALLKVIHSSLYYYNNYLANSPWTNPFGDFSERNPDLDMVIRDMLMLETQIPMIVLTTISENCHDGFDRLKSYIDFIEAISPLQLPAASKVSASDVVNYKHLLDLFYQLICPKQDGINSNFQKFDEIQSDENLGNSSPPPDCNVFTRFLGSVSNFQFQFAALPEKVLNLIFTSLQLLGISTHDFFDKDRACIATASQLVKTGVKFSICEGIRDIKFDNQTLELPVITLNSKPNLGKLLSSKLTSEVILRNLVAFESLSKDRSESLPFTRYTQLMNGIIGNDEDVNLLKNSDIIKGDLASVEIAKLFNNLSETIQPKDRDINIDEAITKINSYYDNTMRVRTNKLMKKYIYSSWKFLTVLATFLLLGMLFLETFCGFYACHTVRFKA >OMP11792 pep supercontig:CCACVL1_1.0:contig00862:4666:10865:1 gene:CCACVL1_00260 transcript:OMP11792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSKSIFTSSFSEQKWVEETTAIMKNTKQAKEVLVSVFEVPKALRSVNPEAYTPQLLAFGPYHHFKPKLYQMQRFKVAASTKAQSEWLGDEDETFDQLVAKIKQIQLSIRTCYQTHLDIQKDTLALLMAIDGLAFLKLIHSSLSYYKNPRKNPFDDFYGRSPDLDMILRDILMLENQIPMVLLTAIAEKCHEPYNNLQYFVELIEAISPLQLTIKVSASEIGNYKHFLDLFYQLICPKEDGPAGSSDQVEIPMPCDEKVENSSDSSDQAPDCSVFKKFLASLSNFQFRFAALPEKLLKLVLASLELLGISAQDFFDKDRAWIPTASQLVKTGVKFSICEGIKDIKFHKKSLVLELPVITLNAKRDQGKILSSKRTTEVILRNLIAFESLSKDRSQSLPFTRYTQLMNGLIDNAKDATVLKNAHIIEVPEALRSPNPVAYAPQLMAFGPYHHFRPKLYQMQRFKVAAAMKAQRDWLEVDFQQLVVRIETLVSNIRKRYQTHLYIQDDTLAWLMAIDGLALLKVLHSSLYYYKINLAKSPWKNPFDDFSKRNPDLDMVIRDMLMLENQIPMIVLTAISESCRDGLESDGLQSYVDFIEAISPLQLPAASKVSASDVVNYRHLLDLFYQMICPKQDGTNSCKIFQMQNDEKVGNSSSLSPPDCNVFSRFLAALSTLSFQFASLPEKLLDLVLTSLQLLGLSTHDFFDKDRAWIPTASQLVKTGVNFSICEGIRDIKFDNQTLELPVITLNSKPNQGKILSSKLTSEVILRNLVAFECLSKDRSESLPFTRYTQLMNGIIDSDEDVNLLKNSGIIKGDLASVEIAKLFNNLSETIQPKDRDINIDEAITKINSYYDNTTRVKTNKLMKKYIYSSWKFLTVLATFLLLALLFLDTFCGFYACHTVKFKSL >OMO63152 pep supercontig:CCACVL1_1.0:contig13019:12775:14892:-1 gene:CCACVL1_22452 transcript:OMO63152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSPDLWRRWWKRMHGLKDWECLKELLARYLKVNRKTNHGFIITALIDLLLDLSSDNSSSSYSSAISSFPSSPLCSTQGDGDHGPGPGAQLISNYSGVANPNTISRDNIAQSSYIYTTIPYIKAKTSKPTPLTVPKNAPCYCDVPLLIPTSIRIKFDPSGYRLVSRVKDEFFWLVQLPSVLDLVPLFHNVGNGLQFFIKGNRVIGENLCIPPFPNMPTDNSKDSRPMPITNFQVSTSNQVESKPFFQRFIPTTFKPDIHHERIPDRSSIIAISECVDGVFPMVIMQISFSFRVEVAHSSVVITKNSIVVGQAKEGLYFGLHFETPNAVDTGVGLLKSIGQAGVGKFGGE >OMO63150 pep supercontig:CCACVL1_1.0:contig13019:7911:9722:1 gene:CCACVL1_22450 transcript:OMO63150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPSGGTPAGRCNRNPAGKRPRNADLESGVETPSFPTMDSGIPTSPVEKTKSFKDACKGSNLSWEEVIGKANYDPPTLDCKNPGKNDWPELSIPPDLFQQACEPWRKTLIVKVLGLCPQKQSQASPSTTQDNPTEDSGNAAMEEEKKEETSRNPSVGPWRTVQRKKGPSPFKKGQLRADSHAHNKAIGTRFSALQREEDSQPTPSKGNTLATKEDFDRVNKAVKSLYINKPSLLLYAAGRKKEKPKSLKDITNVLQKKHSVSTSPAPSFNSGPPHNPLSPAPLYTGLPAGTSSSQPISPSFKFKAKAFNNSLDFSASTLSLTCNDPISFNSIPLHPLSPSPCSVLIPPDPPSPDPPHGLAFEDNCKSSVVDLEEPTVGTTEVGSDKNDKKSPCDDMQLEPIPLSTNDTGNNMQSTGESTQNDEDATMEGVNGLHC >OMO63151 pep supercontig:CCACVL1_1.0:contig13019:10176:10415:-1 gene:CCACVL1_22451 transcript:OMO63151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFNSIKVFDNCIGEKASPRAVAEKSINYLPYRSNSLASPTLHIFGARETKIKKFTSVDNIKKFSASTSITGRKVRLI >OMO88317 pep supercontig:CCACVL1_1.0:contig09038:399:882:-1 gene:CCACVL1_08469 transcript:OMO88317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENLEALCGEKKHLVEGNESLMTTNGLMNQNLESNAKEMEQLRNDFSKMKIECEKQRMLAELLSSKLIGNPDPHLENKRLKDENARILRRRAGGINIDNSSSVVVQLVKENAQLQHENK >OMO88319 pep supercontig:CCACVL1_1.0:contig09038:14181:15441:-1 gene:CCACVL1_08471 transcript:OMO88319 gene_biotype:protein_coding transcript_biotype:protein_coding description:von Willebrand factor-like protein EFPFSAPTAIGIFQTTASTAIGIFRTTIATAIGIFRTTAPTAIGIFRTTAPTAIGIFRITGTARTATGIFQTTARTAIGIFQTTDPTAIGIFQTTAPTAIGIFQTTGPTAIGIFQTMAPTATSSFVASSSTATASSSSSSMASAPTAINSFTASNQTVGVDSSKPTTIPTSIATSRSFMACTATATAINSSTAPGSTVGDSRYSMPHFAQSVIKVDWSIHSVGTARFGCFE >OMO88318 pep supercontig:CCACVL1_1.0:contig09038:3761:13477:1 gene:CCACVL1_08470 transcript:OMO88318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I phosphodiesterase/nucleotide pyrophosphatase/phosphate transferase MWGWWRLGKWTVLIMFLHIVAILIFTKGFLLTRTELPHFSQCSDLSQSPCLSSPSSCWTKPAVDRLVIIVLDALRFDFVAPSSFFEQKQPWMDKLKVLQRMAVEKPSSAKIFKAIADPPTTSLQRLKGLTTGALPTFIDVGNSFGAPAIVEDNFINQLIQNGKRVVMMGDDTWTQLFPNHFNKSYPYPSFNVKDLDTVDNGCIEHILPSLYEEDWDVLIAHFLGVDHAGHIYGVDSTPMIEKLEQYNAILEKVIEVLQNQSGPGNLHENTLLLVMGDHGQTLNGDHGGGSAEEVETSMFAMSFKDLPPMPSDFDSSSCELDLDNKNICFSSIQQLDFAVTVSSLLGVPFPFGSIGRVNPELYALAVGTWTLDNYKSGDGQNQRKLEEWLQNYVNVLCINSWQVKRYIDVYSALSVIGFSSEDLFHISDLYAKAEENWSYTKNLFNESSDTSLPDLKRQIIAYFNFLSYVAELARFKWTEFNLKMMGAGIGIMLLSLCVHLLAIKEVNASYGVSLPGMSFGLIFAFIMVLIRACSLLSNSFILEEGKVANFLLATTGIIMLRYSIIKRKMLLEVITFLVLTFILRISIEAGLSKQAATSQFLHVSSSWMLGISISQPVWTYVAEIAPILALMILGLFLFKAISSCHCQGIRKFVIMGTILSYLLIALHWASESNMVDLPILHKGNGKTYIPQTIYAIGLVQLSLLAFVQLFSKEENSNYDQSLFVKMTSILSAWSSTVILLLGKQGPLVALGFIVAGYCIMRLEGIDQQSNGAARISILKPLSVVQWSLLAVCLFFATGHWCAFDGLRYGAAFIGFDEFILLRQAILLTIDTFGFSHIISVFGLPLLVACPSLFSQSEHKRNLSSIKLFLVFMMYGLITATTATATILCVAIQRRHLMMSSFFWHPFTIFVEQRVLLYVIVVMRNRKHGEEEENSVFSRRAVTLKGSTKFVSFFLEVATTPKQGGSSEMENNTNSEELLKEFVEGIESKFKIVDQKTQRRLKKDRTIFCVDPLVLEVNGGAYRPKFVIIGPLRSYSHLVEQMEMQKRLYLNSFLQRAENKARLKDFFQLIKDSAGKIYDCYEETYCRSWDFLNLTIQQKTPVNDTSFGLFIEMVLVDASFIIELFLKAYNKKQSGGSDQFVFEGPGKIQDIRRDLFLAQNQLPFFILKDLYELAFGHNAQPSFLRLACNFFSPYYNQNESIQHIESQSSRAKLEGSKHFIDLLRTLQLPFPKEKPINLGSLPSSQKEEDVRGEQYIYSSVLLRQSGVKFKASTSRCLLEIEFDDGELRIPGLRLDEFTESFFSNLMAFEQRYYPRETQICDYIFLMGYLIKSTEDVDLLIRRRIIINHLGSNKDVLDLFNNICKHVTPGEKSCYANILSELKAYSAVRHHSWIATLKLQHFSTPWKGVATIAAIVLLVLTLIQTICSLISVI >OMO79415 pep supercontig:CCACVL1_1.0:contig10419:11976:12543:1 gene:CCACVL1_13690 transcript:OMO79415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKERQIEEWQGYYINYKLMKKKVKQYAQQIEVGTQDRRHVLKDFSRMLDNQ >OMP04007 pep supercontig:CCACVL1_1.0:contig05867:3809:3937:-1 gene:CCACVL1_02215 transcript:OMP04007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPARPQPVEIDLHHAIDWTLFGIFMVSLQIGIAMTAHPGCN >OMO97664 pep supercontig:CCACVL1_1.0:contig07218:20618:20928:-1 gene:CCACVL1_04496 transcript:OMO97664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DILLRNPRLRRSAPLARRKKETEASLKDACSRFAAVGSVKLASKTTFKNDAFG >OMO97663 pep supercontig:CCACVL1_1.0:contig07218:12473:19536:1 gene:CCACVL1_04495 transcript:OMO97663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSHSLKRSFQTHLKTLVHCSQFQSPPPPPLLFSPIRTLSTASSSSSSSSSSDSELRKYLGYSALLLFCGAATYYSFPFPENAKHKKAQLFRYAPLPEDLHTVSNWSGTHEVQTRHFHQPENIQQLEQLVKEANEKRAKLRPVGSGLSPNGIGLARGGMVNLALMDKVVEVDKEKKRVRVQAGIRVQQLVDEIKDYGLTLQNFASIREQQIGGILQVGAHGTGAKLPPIDEQVISMKLVTPAKGTIELSKEKDPELFYLARCGLGGLGVVAEVTIQCVEREELVEHTTVANMNDIKKNHKKRLSENKHVKYLYIPYTDTVVVVTCNPVSKWKGPPKFKPKYTKDEAMQQVRELYKESLKKYRAREITTPDSKEPDINEFSFTELRDKLLALDPLNKDHVMKVNHAEAEFWRKSEGYRTGWSDEILGFDCGGQQWVSETCFPAGTLSKPSMKDLEYIEELKLLIEKEEIPAPAPIEQRWTARSQSPMSPASSSKEDDIFSWVGIIMYLPTMDARQRKSITEEFFHYRHLTQAQLWDKYSAYEHWAKIEVPKDKEQLEALQARLRACFPVEAYNKARREFDPNRILSNNMLEKLFPLSDNV >OMO98892 pep supercontig:CCACVL1_1.0:contig06995:4469:4528:1 gene:CCACVL1_04010 transcript:OMO98892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIDFPVSVSSCKCVERLS >OMP06700 pep supercontig:CCACVL1_1.0:contig04841:149:892:-1 gene:CCACVL1_01467 transcript:OMP06700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAPSMSSNSKPASIQCARVVLGMVASLGQKLTGCVGCAAGRYCRRRHPLLPCHATFHRCGCGHCRAQCRAPSAAGRLLGHRAGSGTLAWWAHPQRALSRPDHRVRRAVRVIALSLRAAIAAGGWAGLAPAQDFGGGGHAARAGVPCGAQQAPMDARHQRPAHLGRDLADAARRPRNRARGTRRRPQPLRQPCRVGRRGCAGLEHTRVRRGGRALLFRADGPRSVLSSAGRHVTRAAGCAHGLQRC >OMO86999 pep supercontig:CCACVL1_1.0:contig09365:12555:15014:1 gene:CCACVL1_09331 transcript:OMO86999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDITDTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPKDRRTGDSRGFAFVRYKYAEEATKAVERLDGRVVDGREITVQFAKYGPNAERIHKGRIIESFPKSRSRSPRRRFRDDYSRDRDRDYRRRSRSRSYDRYERDRHRRRDRDYRRRSRSRSASPDYSRGRGRGRYDDDRRSSSRSISGSPTRRSPSPRRSYSPRRSPSSRKSPAPPKASPPRGESPDRRSRDGQSPSPRSASPRGRPADSRSPSPRNSDVEMNDRDCDAGYSSMLIAGTVFLTIFN >OMO87000 pep supercontig:CCACVL1_1.0:contig09365:15542:17208:-1 gene:CCACVL1_09332 transcript:OMO87000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPTNASLAPSKCGKGRGTCFGFFKTEKGSVSWKPPNPGFYIINTNGAFKANANIALAGASQVKHTNTASTKIDTTLQEGKQQPAASRPARKLGDC >OMO87001 pep supercontig:CCACVL1_1.0:contig09365:18078:20705:-1 gene:CCACVL1_09333 transcript:OMO87001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDEDNSPSTSAAIPDGDSMATNTPIPPIQPIVPPVIPPAVVPPMAPIPAIPPPAIVHPLASLPIRPPVLKPPIPQNGGVKTSDSDSDHEDEGQATAGEYEISEESKLVRERQEKAMQELLMKRRAAALAVPTNDMAVRTRLRRLGEPITLFGEREMERRDRLRMLMAKLDSEGQLEKLMKAHEEEEAAVSAKVEEIEEEIQYPFYTEGPNELLDARIDIAKYSLVKAAARLQHARRKREDPDEDMDAEIDWVLKQAENLALDCSVIGDDRPLSGCSFSYDGKFLATCSLSGVAKLWSMPKVSKHSIVKGHTERATDVTFSPVSSHLATASADRTAKLWNSDGLLLKAFEGHLDRLARIAFHPSGKYLGTTSFDKTWRLWDIDSGVELLLQEGHSRSVYGIAFHKDGSLAASCGLDALARVWDLRTGRSILALEGHVKPVLGVSFSPNGYHLATGGEDNTCRIWDLRKKKSLYIIPAHSNLISQVKFEPQEGYYLVTASYDMTAKVWSGRDFKPVKSLQGHEAKVTGLDIREDGCIATVSHDRTIKLWTAGKTDMDLD >OMO86998 pep supercontig:CCACVL1_1.0:contig09365:2243:8316:-1 gene:CCACVL1_09330 transcript:OMO86998 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MAEEVSAGSGGGSSSERGGEINGVGLASMDSLESRWVFQDEDDSEIDEEDDDDDDEPHQAGVDSEDEDTTEQRLIRTGPHIDSFDVEALEVPGAHRSDYEDFGIGRKIILAFQTLGVVFGDVGTSPLYTFSVMFNKAPINGNEDVIGALSLVLYTLILIPLVKYVLVVLWANDDGEGGTFALYSLICRHAKVSLLPNQLPSDTRISSFRLKVPSPELERSLKIKERLETSLTLKKLLLILVLAGTSMVIADGVITPAMSVMSAVGGLKVGVGAIEQDEVVMISVAFLVILFSVQKFGTSKVGLAVGPALFIWFCSLAGIGIYNLVKYDASVLRAFNPIHIYLYFKRNSVKAWYALGGCLLCATGSEAMFADLCYFSVRSVQLTFVFLVLPCLLLGYLGQAAYLIGNPNDADQVFFSSIPSGVFWPILLTANIAALIASRAMTTATFSCIKQSTALGCFPRLKIVHTSRKFMGQIYIPVINWFLLVVCLIVVCSISSINEIGNAYGIAELGVMMMTTILVTIVMLLIWQINIIIVLTFAIFFLGLELTFFSSVLWSVTDGSWIILAFAVIMFLIMYIWNYGSKLKYETEVKQKLSMDLMRELGCNLGTIRAPGIGLLYNELVKGVPAIFGHFLTTLPAIHSMIIFVSIKYVPVPVVPQSERFLFRRVCPKSYHIFRCIARYGYKDVRKENHQTFEQLLIESLEKFIRREAQERQLESDGDEDTDSEEDTSFSRVLIAPNGSVYSLGVPLLAEFRDTSTPISEASTSEEINADPSLSDAEHSLERELSFIRKAKESGVVYLLGHGDIRARKDSWFIKKLVINYFYAFLRKNCRRGIANLSVPHSHLMQVGMTYMV >OMO87002 pep supercontig:CCACVL1_1.0:contig09365:21576:25960:1 gene:CCACVL1_09334 transcript:OMO87002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKPPRSHLKRQFFEDDDSNKPPAQKRVRFPKGKKVKPGEEPVNQFDVEDGKTDLQDPRFAAKERAKRRNQITTELFTEDGRGMLSDVSVAEVAYGDNENFEDDGIQIEPFNLNKEREEGYFDANGNFVEYVHDKEIKDAWLDSVEADIKYTGKTSAATNDEDNNDNAAQDLSSEDIGIMKRRIANVLEPGETVLQALKRLKGTSSNRKEKMPAETKHVFDQLTEDAMKLMENGDYNVYHEKQEVFRREAEGYEKLALARGKSIYHDVGLGNSDTNLGSDIFTDKNNSETTSVLPDLAVGASNSNLSAAEASTDAADGYDMFGDDEDDENLKSSSEPNSNAVNQSSSEAVNSSSATGDVQTDYVYDESSGYYYSSNLGYYYDPATGLYCSAASGQWYSFNEATGTYDEVHEVASSTV >OMO71990 pep supercontig:CCACVL1_1.0:contig11521:751:930:-1 gene:CCACVL1_17999 transcript:OMO71990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEGYSEDDLGAAYDYLMANLIEGKAFLRKSHNLRTVGFKGSLMGKLESVCYVFRPLM >OMO71991 pep supercontig:CCACVL1_1.0:contig11521:7369:7911:-1 gene:CCACVL1_18000 transcript:OMO71991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MAISTHLAILISSLLLLPLAFPSIAAAYGDNYETPKYKKTDIVVEGMVYCQSCDNYGSWSLSRAEPIASAKISVICKNEYDQVSYYKAFETNGKGYFFAELKEYKMGNSILDHPLQSCKVKLVSSPLENCNLLSNVNYGMDGSPLLYEKKRLYRKDRDVVIYAAGPLAFRPAQCPAPTHY >OMO51976 pep supercontig:CCACVL1_1.0:contig15652:15064:15471:1 gene:CCACVL1_29461 transcript:OMO51976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALIYANLLVLLVLAVATIVSNVSSAERDPAHPECNEVSDNLHKCHHIVKNDTLVNPKIPKCCEGLRTIKNKLLPRLGATKTCQCVRQCVLIHFVSSDPADDLIKLNATFSKLLKICKVDLVDFLNLKAPCPSN >OMO51977 pep supercontig:CCACVL1_1.0:contig15652:32444:33744:-1 gene:CCACVL1_29462 transcript:OMO51977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter, high affinity nitrate, Nar2 MAARGLLLVSILVLSCFAETAFGAENIISFASLKKTLVVSASHKQGLLKAGEDKITVTWGLNQSFPAGTDSAYKTIKVKLCYAPISQVDRAWRKTKDNLAKDKTCQFKIVAKPYSSANQTFEWVIERDVPSATYFVRAYAFDANDHEVGYGQNTNAGKTTNLFEIQAITGRHVSLDIASVCFSAFSVVSLMGFFYAEKRKARKSQQ >OMO51978 pep supercontig:CCACVL1_1.0:contig15652:39422:42261:1 gene:CCACVL1_29463 transcript:OMO51978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRLSRESSLQKKALENRRKADPVPVPDLTDFMNDMFFGTVSNDKKPYYNLTGSSNDKKEMDQEDEDFDSSTRSNSSKLTQEWLEEAKRMVASSSARCDSHSPSRLSGSARFAAAQPGRLSVSSSSLERRDPLSRSARRHRPVEGLGGEILSKSAKHSRNKSETLDTLDSPTEMLSPTETVHNWFSNMLKPTNQTPPSSGPPSPTGDQTAAFLPPRQSTFRKSRFQVDPPPSAPGSPIPSRRSFKTQSPLQDNNQPLSPPKNLIESAQRRSISSNTCYNFRENRPLSPPRKLVESAQRRSISKSTCSLEKTAPRSNGNGWCREEEGTREISLNGFLKGQRTKIEMILNGQVHTKAKIVLSDPSNSTSSMVAAICYAWLLEKRAKKSKGEGDGHGYIVVPVMNVRRDKMWKQRQAAWLFHHVGLDATSLLFADEVDLESLIMSGQLSILVVGQDVLRTNGEVGSQCTILTDNYCEDAYDLLQTPMLKKLLLAGILLDTLNLNAYAKLSMARDAEAVQLLLVGSAPNYRNALFDQLMQDQRDNAFFEALRHSYGKPPSDHGSFEYNAVQMEQRIPFPARKSTSVSRHEGSVNTSDKNSNDSRNAKGNKTPPKSAKPSSSPAKPPPATPEASRGKNKFFLAKWFGLGSK >OMO51979 pep supercontig:CCACVL1_1.0:contig15652:45072:46070:-1 gene:CCACVL1_29464 transcript:OMO51979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPIGIPACFSSGEKLSDDPAAVTRSGQSVFMSVYRTKIADHCRLISVTWCKNLLLHGLSVSVEGPQGESQYTCKVELKPWYFWRKQGSKRFTVDGKAVDIFWDLKAAKFNGETEPASEYYVAVVCDEEVVLLLGDLKKDAYRKTGCRPALIDPILVSRKEHIFGKKKFSTRFKFHEKGRFHEISIECKNRSSTSSGNMSNIILNPLGGVEPEMEIRIDGHLVLHVKHLQWKFRGNESIYVNKTRVEVYWDVHDWLFSPGLRHALFILKPNVQSNSSLSSLSTSSSSPPFSSSLTSTPLSSQTGSSGSLEGLNPGGGSSEFCFFLYAWKVE >OMO79833 pep supercontig:CCACVL1_1.0:contig10371:4329:7884:-1 gene:CCACVL1_13354 transcript:OMO79833 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative AB-hydrolase YheT MPDHHHHPSLEVIGGARDRFHRALQTTLRVPYNHYPYIASNCHVETIFAAFFRSIPQVRFRRECLRVKDGGTVALDWVAGDHRRLPPDSPILILLPGLTGGSEDSYVRHMLIRARSKGWRVVVFNSRGCGESPVITPQFYSASFLGDTGEVVEHVAARYPEAKLYAVGWSLGANILVRYLGQESDTCRLSGAVSLCNPFNLVIADEDFHKGFNNIYDKALARALRKIFKKHAPLFEDMEGEFNIPLAANAKSVRDFDEGLTRVSFGFKSVDDYYSTSGSFKSIKDVQRPLLCIQAANDPIAPSRAIPREDIKENSNCLLIVTPKGGHLGWVAGSQAPRGAPWTDPVVMDFLEHLEKGSTTTAGIFDSCDSEVARNSSEGLQLRYLEV >OMO79855 pep supercontig:CCACVL1_1.0:contig10371:122280:126359:-1 gene:CCACVL1_13376 transcript:OMO79855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAEMSSVLKQTLKNLCCSNGWSYGVFWRFDQRNSMMLTMEDAYYEEQMGPLIDNMLLKFHILGEGIIGQAALTGKHQWLFSDFHGTVLDSSANQDIIQDESEFQNQFSSGIKTIAIISVSTRGVVEFGSTQKILERVEFLDETKKLFNAMESFHGLIPLENDTCNLDGHFASLALSGNFYSENLTSSKISLANCMVADTPCMSAWSSDGSILTSFETSLQSERAMWGSSNVHPEKENGLLSGNLEQHSQGGSTFTSFYNPGELVDADLPILDGFRKTSENQYSFGANGVLLDSVISLQRIPEEFNPADFTTDLSNSFTLDDLSQWFVSSPQHNINGEGATLTTDLPCSIGVSSVSSTRDTNIPVRQTANSLQSSITGTCVSNLEKSINGDGNDLFDGVGLDFQFGKTGECLEDIIMPLCDGNKSAVSSGMSSVSELDNPSMTGKRKGLFSELGLEKLLEGVSSSSHIIRSSIEDQFSTSKRRKAENPSSFHQGQVVSCSGRSMILVHHSHNWDKINSSIYGKEVNQKSQVGLWIDDSYSVNAGQAAVATSKKPTRKRAKPGESTRPRPKDRQLIQDRIKELRGIIPHSGKQLSIDLLLERTIKHLLFLQGVTKYADKIKQADEPKLIGQENGTLPKHNKTGGGATWAFEMGAQTIPIVVKDLNTPGQMLIEMLCEDRGFFLEIADVIRGFGLNILKGVMELQEDKIWARFMVEANEQVERTDIIWSLLLLLQQTGNSGTDSANHPNRAMDGGISLPNNFQQPLLMPPVSMAETLQ >OMO79839 pep supercontig:CCACVL1_1.0:contig10371:35934:45463:1 gene:CCACVL1_13360 transcript:OMO79839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMGGNQRSYSANPSDYKLLEEVGYGASATVYRAIYLPNNDVVAVKCLDLDRCNSNLDDIRREAQTMILIDHPNVIRAFCSFVVDSNLWVIMPFMSEGSCLHLMKIAYPDGFEEPAIGSILKETLKALDYLHRQGHIHRDVKAGNILLDNNGSVKLADFGVSACMFDAGDRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKHAKPPELSVKKLFADLPPLWNRVKALQLKDAAQLALKKMPSAEQEAISQSEYQRGVSAWNFDIEDLKAQASLVRDDDDFNEYRDDEQSMKSSHLHKNVDLSQSDCLNGKGKNPEYDIVEADCQEKVGLRKNGSSIDVMASTSEKDVVLTRAKTVKPRQTQSGPLTPAAVLNHSASDRGRNTERFESEIVPVNEKVCQVRKAPSFSGPLMLPNRASANSLSAPIKSSGGFRDSLDDKSKANLVQIKGRFSVTSENLDLVKDIPISSVSRRSSQTSPLRKSASVGDWIFESKQVPANHSSKDLTNGNIHSSVLLTHLQNLFQQTSLQQDLILGLLNSLQPAEVVDATQNGKLPPLPRCPESNGNIETTASERERLLLSKITELQSRMMNLNDELTAEKFKYDQKKIKRGICRAEFSPDAPIAAAIGACMLSSLLLPAADTREEDGDSVIDAGDTRFAAMAIISFIPYFNWLSWVFAWLDTGKRRYAVYSIVYLTPYIRSNLSLSPEESWLPIASIMFCILHVQLETSIKNGDIQGFQIFSKATKNLSSRSSEEDILLKGHRKPEVRKGPYRKLPDAEEQSRNEIRRWGIPKNPSEHNEQSNGDWDDDERSEH >OMO79832 pep supercontig:CCACVL1_1.0:contig10371:2599:2688:-1 gene:CCACVL1_13353 transcript:OMO79832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAYSTLTMNDDQSHVPDVSAHVASSS >OMO79848 pep supercontig:CCACVL1_1.0:contig10371:77807:81181:-1 gene:CCACVL1_13369 transcript:OMO79848 gene_biotype:protein_coding transcript_biotype:protein_coding description:MT-A70-like protein METHTGGGGSEDTIATIKSLRSQLETRIQTQHATQLDLLASLQSLDPNIVPTLDLSLRIVSAFNHRPFSPTPPLPTPKKIAHLPQHAHSAPDAKQLALVNPDQGDKSVDGSSNPLSLVRAIVAECLLQRVPFKAIDSSTVLRKLENDENVTAAEKAAMRELGGDSGPILAVEMALRSMAEDNGGLELEEFVVGGKSRVMVLNIDRTRLVRELPEEPQNHQKRERINNANESESLKVNSNSNNEWVAPRPMNEIWMGGGEPGMMYPPGGPMGPRGRGMGMLGRPPMGPNSGLSPAQRQRTEEDEMKDLEALLNKKSFKEMQKSKTGEEILNIINRPTAKETAVAAKFKSKGGSQVREYCSALTKEDCRRQSGSFLACKKVHFKRIIAPHTDISLGDCSFLDTCRHMKTCKYVHYELDQTQDDLGPEKPLKPPRADYCSEVELGEPQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRNLNVPALQTDGLIFLWVTGRAMELGRECLEQWGYKRCEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEINRNIDTDVIVAEVRETSRKPDEMYPMLERISPRTRKLELFARMHNTQAGWISLGNQLNGVRLVDEGLRARYKAAYPHVDVQPASPPRASTMEIDSSSSRSPFATDSRAQFGDPAGPDAGHAPEERAMAVDTDMAS >OMO79847 pep supercontig:CCACVL1_1.0:contig10371:72222:76984:1 gene:CCACVL1_13368 transcript:OMO79847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MEAFFFLVFGALATLVAGLELSKTSKDRINTSSAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSHYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKKGRKRACVTYCITYILSCITKHSPQYKVLMLGRVLGGIATSLLFSAFESWLVAEHNKRGFDQQWLSITFSKAIFLGNGLMAILSGLFGNVLVDSLQLGPVAPFDAASCFLAIGMIVILSTWTENYGDPSENKDLLTQFRGAAAAIAADEKITLLGAIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMLSSMVGSSIASRLLAHPNPKVESYMQIVFLISSAALMLPVVTNFLVPPSGMKGGGISVSGCIQLIGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIALYNVKALPMTVMFGMCSLFLFVASMLQRRLMMIAEIHKSTENYKKQKPKSGKGQNLLSVTSDDKKFTGRKSKSRELKIEFLLLFQTKVLCSQYKVGDLDSWGIPTAANPLVYAKWSKYHSFKIGDSLLFLYPPSQDSVIQVTEESYNSCNLKDPILYMNNGNSLFNITKPGEYFFTSGEPGHCQKKQKLYVYVAGGNGSALAPSDGPSALPDTAASPSYPIDFGSIPHPPSSSPSLGGLFPFFLTAVIGSATWAIINGFM >OMO79861 pep supercontig:CCACVL1_1.0:contig10371:159908:163331:1 gene:CCACVL1_13382 transcript:OMO79861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSRRHLLGEGGGGHHKGKRKDHGKNHERKKV >OMO79838 pep supercontig:CCACVL1_1.0:contig10371:29599:33444:1 gene:CCACVL1_13359 transcript:OMO79838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQYAIAHSWSTDYYDFRSSDQNLLKIKAFFIRLSGLDSCAESLCDSLTLLYPPRINETVLEVSGSKVRPDSPAFVTLHRMVKAKTKDGEAIYGSRERVRAGDGVRFEIYSREEKVLKGSFRREEGQKWKLECKCALERGDGETIGDDVALADVCVAVEGNVAMGERVEMVVRKRRKNRTVGFDQLEEIPEEREGEREMDGGCCCSCDESDGADSEGRCDGDCGGAQEEEMDMDGVSWAFDVGIWVMCFGVGYLVSKASTKSLRRMRLF >OMO79858 pep supercontig:CCACVL1_1.0:contig10371:144165:149149:1 gene:CCACVL1_13379 transcript:OMO79858 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORMDL family protein MDLSSVDKPCNLGDKSTSDVIIRLRNSEGRPEWFYSHSSILINKSRFFADKLSSPDSGPCIEIECSDYTYNYHVSLLRLLYLPTDSLLDSFDSVKSAIGILQLAVAFHCEDITNCCIQYLEAIPWDDKEEEQILKAVSKLGPIAMPILARIQPVDLSGTKNVFVSAFRFATSIGGSCPPFGDELKTSAQEQVEFMLGGDEDTPLVTTDDEVKSVVKMGLSHICSSFDNELASLLVISNIDVETMETRILQSLSDVEWICNILPKMELMKDFVSSWAEMSTKVLGVAEDKKLDNIMWGLKLKLIEVTGKVLEAVGYGNVILPAPCRVQLLKTWLPYIRKIKPLLDAKCSKETDFPYKMDEDLCQSIEGAIVSLVLALPSNDQADILSDWMKTEQLKYPDLSEAFEVTYHFFHWKKGTPFADDQGIYNGLTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYQNPMLFFNTLAVFVLVVAKFPNMHKVRIFGINGDH >OMO79843 pep supercontig:CCACVL1_1.0:contig10371:53526:54572:1 gene:CCACVL1_13364 transcript:OMO79843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFYTTAAAATLSPKLPVGKGQPLSFVSSKSLSFPSFKPWVSSLKPLNPTKIPTLSPLKCSSDLSPSPTSSSLKSRLRDGETLYGIFLLSFSPTLAEIAAYSGYDFVVIDMEHGPGGITESLEMLRALSPTKTPAIIRLPESSEAWAKKALDLGPQGIMFPMIDSPKDAKKAVSYCRFPPDGIRGSAHAVVRASNYGINEGYLGNYMEDLLIMCQVESVDAVKKVEDIAAVDGVDCVQMGPLDLSASLGYLWDPGHKKAREMLRTAEKGVLKSNPNKGGAFLAGFAMPHDPPEELGKRGYRMVSGTVDVGLFRNAALEDVRKFKNSLMVGSDGEEDKDADEKYWSE >OMO79842 pep supercontig:CCACVL1_1.0:contig10371:50473:52887:1 gene:CCACVL1_13363 transcript:OMO79842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent protein hydrolase MASPNLVRVSSPAYSTGSPNHVLPKRVGTHNGSFHCDEALGCFMIRLTDKFSNSEIVRTRDPKVLEGLDAVLDVGGVYDPSQDRYDHHQKGFEEVFGHGFNTKLSSAGLVYKHFGKEIIAKELQLGEDHPDVQRLYLAIYKSFMEAIDAIDNGINQFDTDKPPRYVNNTHLSSRVGRLNLDWTDPDQSPEKENEAFQRAMALAGTEFLESVRFHVKSWLPARSIVMECIAERFNIDPSGEIMVLKRFCPWKLHLFELEEEMKVEPQIKYVLYEDERGKQWRVQAVGVSPDRFESRKALPAQWRGLRDDELTKGAGISGCVFVHMSGFIGGNQTYEGALAMARNALKM >OMO79840 pep supercontig:CCACVL1_1.0:contig10371:46126:48193:1 gene:CCACVL1_13361 transcript:OMO79840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRRTETTILRELISYAASAALSCLVLFVGLKYLDPNRESSKRAIEDKKAISKRLGRSLIHTNPYEDVIVEDVVNPDHIDVEFDSVGGLDEIKEALYELVILPLKRPELFTHGNLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRVSNLMSKWFGDAQKLVTAVFSLAYKLQPAIIFIDEVDSLLGQRRASDHETMSIMKTEFMALWDGFSTDQNARVMVLGATNRPSELDEAILRRFSQAFEIGKPDCNNREKILKVILKNERVEDNIDFGHIARQSEGYTGSDLLELCKQAAYIPLRDLLNDEKAGKKSRVPRPLSQLDLEKTLATSSKIRVRTDEQDEYGVSWTWRP >OMO79863 pep supercontig:CCACVL1_1.0:contig10371:170270:174003:-1 gene:CCACVL1_13384 transcript:OMO79863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase assembly protein CtaG/Cox11 MASLISETTQKVFNPETLRAAAKQSERCLVVPVRLRRAIKKYLREQEDPYMRRKVLRLSESFSEIKKVNLHLTADTSKELVADPLKSMEQSKRWKIKSSYGDIGLTYRDDETAAYVASRMPAVYSACYKVLSELKRRLPGFSPAKVLDFGAGTGSAFWAVREVWPKSMEKVNIVEPSQSMQRAGQSLIQDLKNLPIIHSYTNLQGLAKDVRKSEREHDLVIASYVLGEIPSLKDRITIVRQLWNLTRDVLVLIEPGTPHGSNIISQMRSHLLWMEKRKLRKAKVNKEESKDLIDLRSGVFIVAPCPHDGRCPLENSGKYCHFVQRLQRTSSQRAYKRSKGDPLRGFEDEKFSYVAFRRGQRPRESWPLDGMKFETLKEQRAKRNPEDLEVEYEDLVDMEETADIIPYEEADPSAYDSDVMETDIVNDNDEEDQEEDDDENLSEETVHANLGGGWGRIIFAPVRRGRQVQMNICRPTNIDASEGSFDREVVTQSKNPTLHFQARRSFWGDLWPLGSNETRSHNHECCK >OMO79860 pep supercontig:CCACVL1_1.0:contig10371:158380:158529:1 gene:CCACVL1_13381 transcript:OMO79860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCVCDCNKWAVVVVFLGLWAPLPCACGMLSPSPIPTPTQLRNPSSAV >OMO79841 pep supercontig:CCACVL1_1.0:contig10371:48900:50161:-1 gene:CCACVL1_13362 transcript:OMO79841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAITSTFLLSSNPRSSFCISPSTWSNSFHSPNSPLLRPFTLRCSSNSEEKGTSNDLKDALSGVLGKQVEELLNREENKGLLDGLEKASERVEKAKRELVEIEKQELEAQLMRNYINQLEARASEIAECQQEISQARAMVEEAERSLSLNAGNNAGDGDALRSEDGEGIDKDKERLESVKAALISAVVGTIAGLPISLTQVSSSSQLLLPLSVNFISCALFGVTFRYTVRRDLDNIQLKTGTSAAFGFVKGLGTLSGGPPLELDPGSFFSHAFDGALYVSQNLLIFLFAAVGLDFCFKMRILSPFPMKRPVPKTNTSD >OMO79851 pep supercontig:CCACVL1_1.0:contig10371:103574:106389:-1 gene:CCACVL1_13372 transcript:OMO79851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADDCNFAEKDPSGRYVRYDEILGRGAFKTVYKGFDEADGIEVAWNQVNIEDVMQTPEQLERLYSEVHLLKSLKHENIIKFYNSWVDDKNTTINMITELFTSGSLRQYRKKHKNVDMKAIKNWARQILKGLHYLHSHNPPIIHRDLKCDNIFVNGNSGEVKIGDLGLATVMQQPTARSVIGTPEFMAPELYDEEYNELVDIYSFGMCMLEMVTCEYPYNECKNPAQIYKKVTSGIKPASLGKVNDRQIKQFIEKCLLPASMRLSAVELLKDPFLLAETPKEVVCGPPQLPNLMPKLVNLIQSEAHPMDIDPNCKKVLLNSSTNRTKETPCISTLELQHVTENNEVRLKGEKFDDNTISLTLRIAVPHRPVKNIHFSFYLDSDTAISIVEEMVEQLDLSTEDVTVISELIDIMIMKLVPCWKPSFGSFGSISYLQDGSCCPSNAAVKTAGDQEVSSELALVKHQDIRDSVCSGISAESDGMLVSDGSKPIASSAYGYDECHNYTDENLGECVLINDSAKNSETSLTDSCSFASQDMSLSSICSLSLADKDHIDELKLELEAIDSQFHHCLQELMKMREEAMENAKRKWITKKKASEN >OMO79857 pep supercontig:CCACVL1_1.0:contig10371:140784:140918:1 gene:CCACVL1_13378 transcript:OMO79857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIKLPLADEYSAQYHEAMRQNGKRARQKPEGKRPDALMLMMRP >OMO79852 pep supercontig:CCACVL1_1.0:contig10371:109035:110322:-1 gene:CCACVL1_13373 transcript:OMO79852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRSKIGMKMIEDETHREVTLSKRRAELLKKAHEVSVLCDVEIGLILFSRTGEFFDYCTHHQSMEQIIEKYQLAKGITQTHGQQGQDLQNMSGMLRTENQLLELSLNNLHGSDDQLNSRKYDELEELEQQLQFSINKVRARKEQLLKLEMGNLERNVEKMEVENNQLWQWIEEQQASTRQQQVTNIDSNVFEQRQQEVLNQFPFLGEEQPASLLQLVNQNPYRPYLLQPMQSDPQEANFHHNQ >OMO79859 pep supercontig:CCACVL1_1.0:contig10371:152287:153733:-1 gene:CCACVL1_13380 transcript:OMO79859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MDTAQWPQEIVVKPIEEIVVTNTCPKPTERKTRPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSSVSSSTSSIKKLPDLVTPQNPKIHDGQDLNLAFPASQGFRNIPELVQVPNNENNNKNNNQIPSSSSTSPTTSQHLSALELLTGISSRGLNSFIPMPVTDPYTSGFPMQEFKPSLNFTLDGLGSGYGSLHGVQETTGGRLLFPFEDLKQVSSTNDIDHHQQNKEQGDSAGYWTGMLGGGSW >OMO79862 pep supercontig:CCACVL1_1.0:contig10371:165309:168885:1 gene:CCACVL1_13383 transcript:OMO79862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYFEEIEEEDQFFDTREDISDSCSVCSEECGSSPGFGLVNGLLDSFDYKFWSTFPESVDKRRHKLQKWMGVSLDRNSITGEDEDGSCSDEIQFYIDRISHDSGAVLRTSSLEDGVSSDRSGISSRSNDSEEPTENCSREDSFVHQAKNSDSQMELLAIEQGKNGISSYLQGMGSSKSVSSEEFGMTPMSSPMVDLDVKRKVKKSWLRKLGALAHIVDRHVEVASKHSSNDSTSGERMKRVKVHPSSKRSKELSSLYCGQEFPAHEGSILTMKFSLDGQYLATAGEDGIVRVWKVVEDESLDKFDIQDLDSSCLYFKMNHLSQLTPLNMDKETIDKVKKLRKSSDSTCVIIPPKVFRILEKPVHEFHGHRGEILALSWSKKGFLLSSSVDKTARLWQVGYDRCLRVFSHNNYVTSVAFNPVDDNYFISGSIDGKVRIWEVRRCRVVDYTDIRDIVTAVCYRPDGKGGIVGSMTGNCRFYDIIGNRLQLDEPICIQGKKKLPGKRITGFEFSPDDPSKVIITSADSLVRVLSGRDVVCKVKASGFRVATSQISATFSQDGKQIISASEDSNVYIWNYTNQEKHFPKSKHISSYESFLSHNTSVAIPWCGIETIPGTLPSPELSEEAPRNGLADVQMHQSPKIELEQPMPHSSPDCFSLTRVLLESLTRGSATWPEETLPNASPARITSDMCKFELKVLKSAYQSMLSSHKWGLVIVTASWDGRIRTYLNYGLPIRL >OMO79834 pep supercontig:CCACVL1_1.0:contig10371:8929:9441:-1 gene:CCACVL1_13355 transcript:OMO79834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNDSKAIVDERAGAEIVYGAEECYRHSIELLEELGFPKGVLPLQDLVECGRVRETGFVWMKQKAPYEHFFVNTNTRVSYATEVTAYVEKFKMKKMTGIKSKQVFLWVPITEMSIDDPSANKIYFKTPMGIGKSFPMTAFMTDEEKQKYLEDQKANNNSKEGSSITLTA >OMO79850 pep supercontig:CCACVL1_1.0:contig10371:93455:93637:1 gene:CCACVL1_13371 transcript:OMO79850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee-like protein MVGPKEDRQLMTGLHTVADVYCSDCREVLGWKYERAYEETQKYKEGKFILEKSKIVKENW >OMO79835 pep supercontig:CCACVL1_1.0:contig10371:12963:18290:1 gene:CCACVL1_13356 transcript:OMO79835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACASTGTGGGGGGGSGGGFWSSYSAGSPSGIAIAVTAMAGIALAATVVYSRRGSLKSPWSRRRRKHALLPKQWKNLFTPDGKLVDGGIKFLKKIRSGGVDPSIRAEVWPFLLGVYDINSSKEERDSIQSQNRKQYESLRKQCRQILKRVEKSFKSKETGGNICNEDSGDFSEVLDSPGLEDVVSGRRSPSTEGGSPVADDSDRPVYDQSPKTLRSSDSYLEGDGDKSVVACEDASTGETESSDSDSSEEHENTPLLVSEITEENNNEEDDGDTSSVSKTEVRPKSQTDEDFITWQRIIRLDAVRANDEWIIYSPSQAAVSEEKALRLAESVGLKDYDHLEPCRIFHASRLLAILEAYALYDPEIGYCQGMSDLLSPIISVVEDDSEAFWCFVGFMKRARHNFRLDEVGIRRQLNIVSKIIKCKDNHLYRHLEQLQAEDCFFVYRMVVVLFRRELSFEQTLCLWEVMWADQAAIRAGIAKSAWGRMRLRAPPTDDLLLYAIAACVLQRRKLIIEKYFSMDEIMRECNSMAGNLDVWKLLDDAHDLVVNLHDKI >OMO79856 pep supercontig:CCACVL1_1.0:contig10371:129199:129366:1 gene:CCACVL1_13377 transcript:OMO79856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTAEPWRAGPQGGGWRCSPTRAERGRGQRDSHLDPFKIATSNGGDSFSGPDIG >OMO79836 pep supercontig:CCACVL1_1.0:contig10371:19055:24160:1 gene:CCACVL1_13357 transcript:OMO79836 gene_biotype:protein_coding transcript_biotype:protein_coding description:F17L21.18 isoform 1 MSETAQESELQNGFQPQPERESEPEPVSESKIESQQEQQPEPEPEPEPNTEIEPETQPEELKPEPVVTDADPKQDEDKQSSIQSNVVDKPVSNDQIDTPEQRKDEGNRAFTMRELLSELKSEEDDAGSPYSQDSTQQQSYQNNAALELINSVTGTDDEGRSRQRILVYAARRYATALERNPEDYDALYNWALVLQESADNVSPDSTSPSKDALLEEACKKYDEATRLCPTLHDAFYNWAIAISDRAKMRGRTKEAEELWEQATQNYEKAVQLNWNSPQALNNWGLALQELSAIVPAREKQKIVRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRSGGATNPKDVSPNELYSQSAIYIAAAHALKPNYSVYSSALKLVRSMLPLPHLKNGYLTAPPAGNAIAPHSDWKRTEFFLNHEALQQVIRVDQKQVTRSLSGRTSDIGNIDKRAIRVEIPDIVSVSACADLTLPPGAGLCIDTTRGPVFLVADSWESLDGWLDAIRLVYTIYARGKSDVLAGIITA >OMO79846 pep supercontig:CCACVL1_1.0:contig10371:57764:69972:-1 gene:CCACVL1_13367 transcript:OMO79846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTTRGISCFQYAPSLIIPPTFRHHIISKPKLIEIFKSHATTPKSTKLVVKARGGGGGVAETETAVVEKPKLKRFQVSEGHPAPLGATLQDGGINFAIYSANAVSATLCLISLSDLQQAIISRGEFGALGPEDNCWPQMACMVPSSEDEFDWEGDLPLRYPQRDLIIYEMHVRGFTRHESSRTEFPGSYRGVVEKLDHLKELGVNCIELMPCHEFNELEYYSYNSVLGDYKLNFWGYSTVNYFSPMIRYSSCGDRNCGRDAINEFKYLVKEAHKRGIEVFMDVVFNHTAEGNEKGPILSFRGVDNSVYYMLAPKGEYYNYSGCGNTFNCNHPVVRQFILDCLRYWVTEMHVDGFRFDLASIMTRSTSLWDSANVYGKSLEGDLITTGTPLSSPPLVDMMSNDPVLRGVKLVAEAWDTGGLYQVGTFPHWGIWSEWNGKYRDIVRQFIKGTDGFSGAFAECLCGSPNLYQEGGRKPWNSINFVCAHDGFTLADLVTYNNKHNLANGEDNNDGESHNNSWNCGQEGEFASTSVKKLRKRQMRNFFLCLMVSQGVPMICMGDEYGHTKGGNNNTYCHDNYINYFRWDKKEESSSDFFRFCCLMTKFRRECESLSLYDFPTAERLQWHGHTPGMPDWSDTSRFVAFTLVDSVKGEIYVAFNTSHLPVTITLPERPGYRWEPLVDTSKSAPYDFLSNEVPDREIAIKQYAQFLDANLYPMLSYSSIILLLSPDENV >OMO79837 pep supercontig:CCACVL1_1.0:contig10371:24617:27337:-1 gene:CCACVL1_13358 transcript:OMO79837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MNMEEVNDKKENLQRDNNLEELPIEASEGSRTIQPWTKQITVRGIIVSIMIGTIYSVIAMKLNLTTGLVPNLNVSAALLAFVFIRSWTKVVQKAGFMSKPFTRQENTMIQTCAVACYSIAIGGGFASYLLGLNRKTYELSGVETEGNSANAIKEPGFGWMTGFLFVVCFVGLFVLIPLRKVMIVDLKLTYPSGLATAVLINGFHSQGDKAAKKQVRGFLRYFSASFLWGFFQWFFSGKEECGGFKQFPTFGLQAWKQTFFFDFSLTYVGAGMICSHAVNLSLLFGAVLSYGIMWPLINRLKGDWFSEDLQESSMKSLYGYKVFVSVALILGDGLYNFIKILCFTLINIHGRLKNKNRNTTDEDDHHKKTDEDRKQNEVFLRETIPMWIGVVGYVTLSILSIIVIPIMFPQLKWYYVIVAYILAPSLAFCNAYGAGLTDMNMAYNYGKVALFTLAALTGKENGVVAGLAGCGLIKSVVSVACILMQDFKTAHYTLTSPRAMFLSQAIGTAIGCLTAPLSFFLFYKAFDVGNPYGEFKAPYALIYRNMAILGVQGFSALPHHCLQLCYGFFAFAVAVNLVRDLSPHKVAKWMPLPMAMALPFLVGAYFAIDMCIGTLVVFVWHKLNTKKAEFMVPAVASGLICGEGLWILPASILALAKINPPICMKFVPS >OMO79845 pep supercontig:CCACVL1_1.0:contig10371:56646:57425:1 gene:CCACVL1_13366 transcript:OMO79845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSKSLKARLNNGETLYGLFHVSFSPTLAEISGHAGYDFVVIDMEHGHGGISQALSCLQSLSATQTPAILRLPENSPLWAKKALDLGPDGLIFPMIEDPESAKAAVSSCRYPPAGIRGDAHPMVRASKYGLDNDYLEKCERELLIICQVESEKAAKRAGDIAAVDGVDCVMIGRSDLTASMGFIRDTGYKKDVEEVIKAAESAVLGGGDAHLAGFPRPHDPLSEMKKRGYNILFGGADLIFFRNAVVEDVNKFKNMV >OMO79849 pep supercontig:CCACVL1_1.0:contig10371:81985:85760:-1 gene:CCACVL1_13370 transcript:OMO79849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapeptide transporter, OPT1/isp4 MEESKDHEIKAPLISKNGNDESSSSSSGSEVKEEEEENSPVKQVALTVPTTDDPSLPVLTFRMWVLGTVSCVLLSFLNQFFWYRTEPLSITAISAQIAVVPLGQLMAAKITDRIFFKGTRWEFTLNPGPFNVKEHVLITIFANSGAGSVYAIHVVTVVKVFYKKHITFFVSLIVIITTQVLGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRALHEKEERVKGGVTRTQFFIIAFLCSFAYYVFPGYLFQMLTSLSWICWLFPNNVLAQQLGSGLYGLGIGAVGLDWSTISSYLGSPLASPWFATANVAAGFFIIMYVLTPFAYWLNVFKAKTFPIFSDDLFTSSGQEYNITAIIDSNFHLDVAAYEKEGPLYLSTFFAMTYGVGFAALTATIVHVALFHGREIWEQSKASFQDKRMDIHTRLMRKYNQVPEWWFICILLVNIGLTIFACEYYKDQLQLPWWGVLLACGIAIVFTLPIGIITAITNQTPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAITFLQDFKLGHYMKIPPRTMFMAQIVGTLIACFVYLGTAWWLMETIPDICQKTASNSVWTCPSDTVFYDASVIWGLVAPRRIFGDLGIYSAVNWFFLAGAIAPVLVWLAARAFPDQEWIKLINMPVMIGATGMMPPATAVNYTTWIIVGFLSGFVVYRYRPDLWQRYNYVLSGALDAGLAFMGVLLYFCLGLENVSLDWWGNDLDGCPLASCPTAQGVVVSGCPVFT >OMO79853 pep supercontig:CCACVL1_1.0:contig10371:111719:114478:-1 gene:CCACVL1_13374 transcript:OMO79853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVADKLAYFQAITGLEDPDLCTEILQAHGWDLELAISSFTSSNPTSSSTANSDSEPRQPLLRTESASGSGSDSGVVAAPPPGLAWKLVTLPISVISGSLGLISGAVGLGLWAAGGVLSYSLGMIGLGSGRGGESSARLVSVSAAASEAMDFVAAFEREYGTRRPNFVGEGFMDALQRSRNAFKLLFVYLHSPDHPDSPVFCERTLCSEAVAAFVNENFISWGGSIRASEGFKMSNSLKASRFPFCAVVMPATNQRIALLQQVEGPKSPEELLTMLQRVLEESAPVLVAARLDAEERRNNMRLREEQDAAYRAALEADQARERQRREEQERLEREAAEAERKRKEEEEARERAAREAAEKEAARARMREEKALSLGEEPEKGPTVTQVLVRFPTGERKERRFHSTATIQSLYDYVDSLGCLEAEDYNLVSNFPRVAYGPEKRSLTLKEAGLHPQASLFVELN >OMO79854 pep supercontig:CCACVL1_1.0:contig10371:115134:119535:-1 gene:CCACVL1_13375 transcript:OMO79854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, HIT-type MAQGKEGFEGVEWRIRVADGSSEVLVPAPGLLRKAWLAIQGMISGFAMKVWKFLKKAWDMGVNDPRKVIHCIKVGLALTLVSLFYFMRPLYEGVGGNAMWAVMTVVVVFEPTVGGTIYKCLNRVFGTLLAGFLALGIHWIANQSGERFEPIVVGASVFLLASAATFSRLIPSAKSLFDYGALIFILTFSFVAISGYRVDKLLNLAHQRLSTIMIGTSLCIIVIMLVYPIWSGQELHSLLVRNMDKLADSMEGCVTQYFNQSGECTNKEEVDKKLQGYKCVLSSKASEESMANFARWEPSHGRFNFRHPWKQYLKVGASMRSCAYCLEALSSCINSENQASEVKKHLSNSCLKVSFCSSRAIRELAESVKMMNESSNTELLIGEMNGAVQELQNDLKSLSSLLNPSQTPENKNLEAPMEATAATVPIMEIIPVVTLSSILIEFSVRVEVLVDTVEELAKLAEFKIHDDKSKQSRMNDKIAPDEEETDGTMKALQRMAETIQVSEKPSNSSPLNPPSRIICHVCQKQFSQYTCPRCNSRYCSLPCYKSHSLRCTESFMRENVVSELRQLQPDDATKRKMLEILKRVHSEEEAHPLDEDEDEDVDDGDDGIIFLFKNYSMLLFLVYSSFSDETIQKILSGGEVSFDDLSLEEKKRFQRAVASGELSNLIEPWDPWWLKSAARTICLSKDGARLVQPMANEEASMSAEDDEERNQSSGIPPGPETPLPSLCQLISTEPSPLLAVHLVDIVYSYCFTLRVYNGDWQSDAIGSTMVVLSISCVLGQAGQPETVREALSYCLEQTCSPAYRHIGGLQFGMALIDDVASLLSLGGPALICMLCDLQRMILAGERELKSEKQRKLRKSEIKSKLKLAERKVHFIMCWVHEQPMEAWSSLSAIVRAEKSSFMDCVGSKSSIKTEKKVENKGKVLIEEMQ >OMO79844 pep supercontig:CCACVL1_1.0:contig10371:55039:55824:1 gene:CCACVL1_13365 transcript:OMO79844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPPTSKSLKARLNNGDTLYGIFLLSFSPTLAEISGLAGYDFVVVDMEHGHGGISQALPCLQALSATQTPAILRLPENSPSWAKKALDLGPDGLMFPLINDPESAKAAVASCRYPPAGIRGNAHSVVRASKYGLDSDYLEKYERELLIMCQVESEEAAKRAGDIAAVEGVDCVMIGPSDLSASMGYIRDPWNKKVKEIMEAAESRVLGSGEAYLAGFSRPYDSPNEMRKRGYNIVCGGVDLALFRNAVVEDVKKFKNMV >OMO59560 pep supercontig:CCACVL1_1.0:contig13923:21256:21642:-1 gene:CCACVL1_24760 transcript:OMO59560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPTTLFCGNIESVSKYRNLGLKLKMFVAISGDVVDDMVDLVQILRRLQVEKVVIPAVEELAGMWINKFGFSPVGDEQSKQELTRYNTVMFYSSVVSRLQKNTWPGFLISTWFFLVLFSLCGQKSEW >OMO59559 pep supercontig:CCACVL1_1.0:contig13923:7893:10737:1 gene:CCACVL1_24759 transcript:OMO59559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyaluronan/mRNA-binding protein MATMNPFDLLDDDTEDPSLVLAAAEQKKLEKPKKAPGSAPAQAQPAKPAKLPTKPPPPSQAVRDSKNESGRGGGRGGGRGGGRGGGRGFNRDSNAGDNANGFSGGYRPSEEGEGGKLSERRGGYGGPRGSFRGGRRGGFGDGDSGEGERPRRQFDRHSGTGRGGGFKRNGAGRGNWGTAADEIPPETEENATENEKNVGTEKQPGEEDAVDASKENAADESEEKEPENKEMTLEEYEKILEEKRKALLALKTEERKVDTKEFESMQQLSNKKSNDEIFIKLGSEKDKRKDAEKEERVKKAVSINEFLKPADGEKYYGPGRGRGRGRGPRGGYGGNAGSNVAAPSIEDPGQFPTLGGK >OMO82492 pep supercontig:CCACVL1_1.0:contig10028:20828:21184:-1 gene:CCACVL1_11937 transcript:OMO82492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRNGPKLELKLNLSPPRRHNPIVESPSRSATVSPTSPPSSCVSSEMDVDDNNNNNIARYSSSPEATSMVLVGCPRCLMYVMLSEDDPKCPKCKSTVLLDFLHDTAATTNTVKTRRN >OMO82490 pep supercontig:CCACVL1_1.0:contig10028:5038:9829:-1 gene:CCACVL1_11935 transcript:OMO82490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MISSIFFYVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRVNMTCVNHETGVVEPKKFGLLANWQREYTMEDILTQLKKEMAAPHNRKLVQPPEDKPPPSRRSVVIRSVWSDNLESEFELIRSIIDGFPIISMDTEFPGVVVKPVGADGAGYPRPGDSMAHYMSLKANVDLLKLIQIGLTLSDGSGNLPDLGTHNQYIWEFNFKDFDVANDAHAHDSVELLRRQGIDFEKNRQFGIDSRRFAELMMSSGLVLNDDVTWVTFHCTYDFGYLVKCLTGQLLPNQLTDFLSLVRMFFGDKVYDVKHLMRFCAGLYGGLDRASNALGVERVIGKSHQAGSDSLLTLDAWMEVKKRYFGKVESFEKYANVLYGLEVDSKECESNSAMPECQNLLVSLDEIVLCTLERLEEEFRGEWLQNLALEVDHFPRSHDRLIVALQMWFLLF >OMO82493 pep supercontig:CCACVL1_1.0:contig10028:43202:44887:1 gene:CCACVL1_11938 transcript:OMO82493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKSLFTSLAAQSQSNGQYVSSSVFQAYFGLKGPLGERMFNLATQGRKDDKLTFVDLVITKATYEKGTNDEIEEFIYQLLDVTNDETVTRSDLDVVLVAIFDHVFPPKGSETESSSHCYMVETFLNAATFSKDHEGHNDKSMSFEDFKSWSTLVPSVKKFLTSLLVPPDPGRLGSHVPKLQHMENIDSSILLMSDEYAWHIGGALSQEELEEWKLLYHSSLHGLSFNTFLGNILSTVSNEFYTL >OMO82491 pep supercontig:CCACVL1_1.0:contig10028:14606:16675:1 gene:CCACVL1_11936 transcript:OMO82491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHIGCISSRIRRGELEHVFQRFGRCNVRLKDGYGFVVYDYPPNAEKALRALQGRNICGEPLTLTWSNKQPGSFKRFARADRSYDHEPVRVRNSARAENYDNRKLDLNIDYKMSIEQPESHGVRLNSADLLDADMDYHQDHGKEYAGEYNHDCREELLNESGQVRPNVIDGDRWDGKRHDMSNGNDVEHEIEFDRYVGYDRKNDDENRQIVYSGGSHAAQSPQHKIANELIDEGTLKHPNDSKAQQACYNCGALGHKKRNCPHGNTSGRYFSRFDRRDDDDIGRGGRGQGGLASFGSNYQAKLQQDRDYLRKRRFKDARNESSSGKHGRLIENGSSSIAKETNRVQEKDYGRKKRSRRSGTPTRHSAKKARPISSPLHPDLNASRSRSKSKSLKHASRSISKSRSRSLSYRACSSSPNVRSNSVSPHFRSRSSKSSSRSSSSTSLSLSASLRSSLPSSPIKGQLNMKGTLDNSTIPESKDMMVGGEQADGDDELENATLENGVDPLNIGSPGSSAKVDNELEKDQAMQRGNSDNHMTPTLVPQITNPSTPILEKGAVATGSLSPESLREKKSQDFDASTTKDVAVPPTETDPKLSSGRSTSISLDELCTVMKHYGLEPPDENERQLSSEAFFGSARLWPWEIIYYRRLKKGPISTENYARRVAQNKEFGIVDKYIRSSSGWGEELSHP >OMO90920 pep supercontig:CCACVL1_1.0:contig08410:5877:6026:1 gene:CCACVL1_07263 transcript:OMO90920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGLLELKLEVSRAFCTQGKRRDGSFESAKERSQTVRDDGGERGNHGPWI >OMO50649 pep supercontig:CCACVL1_1.0:contig16097:421:498:1 gene:CCACVL1_30335 transcript:OMO50649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKLHIMGRWMLWELKLDKDAAKN >OMO96219 pep supercontig:CCACVL1_1.0:contig07506:6880:9300:1 gene:CCACVL1_05030 transcript:OMO96219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVQCVLKHSTTKAIIANESKQKQDNICYGYATIQSNPFGLPLSDYPFSFPVCLGSQIVDKRWEALGQLIMNFIFVMSSIQSLKSRQIAQAQAKNHSSSENGQIDREK >OMO96220 pep supercontig:CCACVL1_1.0:contig07506:10388:11916:-1 gene:CCACVL1_05031 transcript:OMO96220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MEAPEFYQGSSYCSQFAPEKPPAGDHFIVEDLLDFSNEDAVITDGNFDSSVAGHSTDSSTVTAVDSCNSSSFSGSEPNFAGDIGCRGLTDAQFAGDLCVPYDDLAELEWLSNFVEESFSSEDLQKLQLISGVKTRPDESSETRGFQSSVGLPNQISNVIDNSDNNDGNNSSNPIFHPDMSVPAKARSKRSRAAPCNWASRLLLLSPTTSSSEPDIVVPVQPPPPQSHPGKKPGKTTTSKKKDGGEGGGLAANSDGRKCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTKHSNSHRKVLELRRQKEMQRAHSQHHHQQQFMQHHHQNMVFDVSNGDDYLIHQHVGPDFRQLI >OMO96218 pep supercontig:CCACVL1_1.0:contig07506:3945:4388:1 gene:CCACVL1_05029 transcript:OMO96218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFRSLSKPTFSILKSTINKPNLRPNPASSLLPARSFPTFSRSVSQLGCLQSLLPLHSAVSSARLTSCLGIDSSSSRSLSQGMLCSANPGV >OMP06739 pep supercontig:CCACVL1_1.0:contig04818:770:829:-1 gene:CCACVL1_01450 transcript:OMP06739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TYVDAPLIMELTPQKDLAR >OMO89785 pep supercontig:CCACVL1_1.0:contig08610:4304:5437:1 gene:CCACVL1_07628 transcript:OMO89785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MAPPIFRTLISLSLNRSTRLQKPTISSYYLSVAFFSSSTPAPSIDFADHFIKEHKFSPEVALKAASYLNYLKKPDKCDEILSFFKKSGFSVSQIEEAVKRKPTLLSGSLDKTIKPKFKVFKELGFSPHDIADLVSTDPWFLTRSTDDRIKPSVSILKDLLGSNAGVVRLLKTTSWFLRSNLEKSMVPNIEFLVSCGIGLPQIVKYVFTFPRFFLHKPENIKHFVKRADEMGFNRKSNLFLAAIRTLSSMSEETWEHKLNLLWKLGFSEDDIGAAFRRAPQAFAVSERKIKEVTECLLSSKRIDMSFIIRHPEVFIYSVEQRLKPRLMVIEVLESKDLLWKEPRFSTLFKISAKQFQEKYVLPYLTELQKASVDTVGL >OMO89786 pep supercontig:CCACVL1_1.0:contig08610:6283:7212:-1 gene:CCACVL1_07629 transcript:OMO89786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFDQLPDSLILLIFNSLSDVKALIRCRCVSKRFNSLVPQTESLLLRIDRVISSESDSDSPLLTLLKSFLNLIFPKASSAQLPSRSHTYPAQILSRFQRIRELHLELPAGDLYLEKGTVVKWRAEFGETLKTCVIFGFRLGNTGNNGNNVNHEAEFAGGLKTRVMWTISSLIAASVRHFLLREVVTEHEGLEKLVLTDREGEGEVVVEKQGLKEWREARGSHVREEEEKEEERGRTVVPSARMRMRHEPRLGATLVVVRAVKDGAQIGREADVEDADLAFKAFGSGVYGDAVRELLKVRSYLLEMNSF >OMO89787 pep supercontig:CCACVL1_1.0:contig08610:10499:10840:1 gene:CCACVL1_07630 transcript:OMO89787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERATLPTDPIDNPNSNITRHQEIVQVQQSEAAFRSGTYVIQVPKDQIYRVPPPENALLAQRHQNDNIQGNKDRPCCSHLCCCILFIIVVLLLVLGILAWIIYTHLIKQSVER >OMO87632 pep supercontig:CCACVL1_1.0:contig09212:30665:32908:1 gene:CCACVL1_08869 transcript:OMO87632 gene_biotype:protein_coding transcript_biotype:protein_coding description:MT-A70-like protein MEEESKPSDELSRFLDSGIYRLPDSNAVFVDPVRVLNRSYTRFKISPSAYYSRFFEPKHSAQESTLSSNPKKRKRKPKKQPYTLNEKEQAADQRHQEARPLLEKAHESLLKAADFPEIMSRLKSDVCSSTELSGGEEHSFIQLGKVWQAPLYDISLDYKLKNESDEAENRKGEQRVLPIFNNLIVNDSREEVEAEFLNRRYILPRESCFYMSDLGQIHNLIPAESDSGFNLIVIDPPWENGSARQKSVYPTLPNRYFLSLPIKQLTHRDGALVALWVTNREKLRNFVANDLFPAWGVRYLSTIYWLKVKVDGSLISDLDLFHHRPYECLILGYSHGKVMNVKDSEYITEFMFVKDKQIIISIPGGYSRKLPVGAHLATDYPVLEVSLIRNSFPSGYVCRITVGACSRG >OMO87631 pep supercontig:CCACVL1_1.0:contig09212:22224:24371:-1 gene:CCACVL1_08868 transcript:OMO87631 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MVNSVASPPASAGSICTNFKEPFILLDKLSSGSPLPSNVLDGVNPYICEPQNLPVGCWFLINSKESINTENGFWKTKGEACEVFSDTNVIGWRTNLEAEYRERHYVMEVFSTTQKRLYDENEKKETISLSRVLLVPSEEVNQNVSSAGVDTETRNNMTHPPVLNAHSSTRISSSRNPEVKKHDEKEALAVAERLPAPGHHEDHLGNHFSREQLDYFSRSGDFLEIQDLDNPQSPSSSSENSSAMSVSSDNFSDAIRLEDLDDPIVEQNDASRRLNVSAAKKPDEVVVIPATLESLVSVDGSNSDGDAFLKTAGSKDPNNKVKKHAKGDQRNEGPSSSSSPKPSTSSGSSMGAPSGGRKRTAFGGMKNLRKKYLCFLPF >OMO87630 pep supercontig:CCACVL1_1.0:contig09212:13104:16289:-1 gene:CCACVL1_08867 transcript:OMO87630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase complex subunit SAP30/SAP30-like protein MLEAMESSVNGGGFSQLQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFEPMQWNGSDMASDDTQKSHRSRHRTHKSTGSSHKTMSRSLSCDSQSKGSVSTPRGSMKVDLSKLEMAALWRYWRHFNLVDAIPNPSKEQLIDVVQRHFMSQQMDELQVIVGFVQAAKRLKTVCK >OMO74523 pep supercontig:CCACVL1_1.0:contig11117:23965:26270:1 gene:CCACVL1_16645 transcript:OMO74523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nickel/cobalt transporter, high-affinity MERLLYSSSPTPLKLSRNPSSSLSRLGRFDSPKLNFLPSQTRPHLRRVLSISCKTGNPAQSSSSPLPNPSNELPSLVSPRVDSGHSANGSAPSFDFLKLTAIGASAQRKAIAAGTFMALSAVIMFLIQPIFAPSAFASFPAAANTGGSAAAAVGGRVIRVELLSSAWTGFLAGCLHTLSGPDHLAALAPLSIGRTRMESAVVGALWGCGHDAGQVIFGLLFLLLKDRLHIEIIRTWGTRVVGLTLLVIGAMGIKEASEVPTPCVALENGECDVSVYETLDNPAVGKKKIGFATFATGIVHGLQPDALMMVLPALALPSRLAGAAFLIMFLLGTVVAMGSYTVFLGSCSEALKDRVPRITEKLTWASSLVAIALGIAILVSQFFGYSLY >OMO74518 pep supercontig:CCACVL1_1.0:contig11117:10683:11429:-1 gene:CCACVL1_16640 transcript:OMO74518 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MASSTSKKIMLKSSDGETFEVEEAVAVESQTIKHMIEDDCADTEIPLPNVTSKILSKVLEYCKKHVEAAADKEKKPEDDLKAWDTDFVRVDQNTLFDLILAANYLNIKGLLDLTCQTVADMIKGKSPDDIRKTFNIKNDFTPEEEEEIRRENQWAFE >OMO74522 pep supercontig:CCACVL1_1.0:contig11117:18333:20948:-1 gene:CCACVL1_16644 transcript:OMO74522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPVSIEVWNPNGKYRVVSTKSMPGTRWINLLIEQDVRLEICTEKKTILSVDDIIALIGDKCDGVIGQLTEDWGETLFAALSRAGGTAFSNMAVGYNNVDVNAANKYGVAVGNTPGVLTETTAELAASLSLAAARRIVEADEFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYYDLYQSTRLEKFVTAYGEFLKANGETPVYWKRASSMDEVLREADVISLHPVLDKTTYHLVNKERLAMMKKEAILINCSRGPVIDEVALVEHLKQNPMFRVGLDVFEDEPYMKPGLAEQKNAVIVPHIASASKWTREGMATLAALNVLGKIKGYPIWGDPNRVEPFLNENAPPPAACPSIVNAKALGLPSSKL >OMO74517 pep supercontig:CCACVL1_1.0:contig11117:6052:9128:1 gene:CCACVL1_16639 transcript:OMO74517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEQKMVSRTVMKIEDIRKYENLLFWVERLAIATLIFFPTINSYLSNIAAFVLMNAVDPFYFLLTLERQQIRRFFFEPDLIFYVERVTIKYWLFVYYRDSGFAPGSGIALAIVIFTNLLMLKDRIKDLVKYLDKISSSSHSSNTTDAKETSMKIDEKKEENADVELLEKKVELKQKQKQEGEGEFVLLANKEESFTNDDQWFSDYLSVMDSSLTANGDKEEALPRSTTPTPNPYPIDSELPAISQGWFEEGPFFSGELPEHIEHSSASMEIQMEDQEEAAVAGSDSPLDNYLKEHLFCCDQIDLSLPNDEEEVEAKDEVQEAASSNYKSDGDSSWEEVQNSVDDGKLGAEIADNSDQKNDELEEAGEEEEATSSWEAGEIDLILRQRMQSEEERAERLHEEELAERLRGYEAMFLKAEQEKKDLTEKHEAAMKQYKENYSKLQKEVSVKCTDMITGLKSELLGVDIDKLQAKLDAANKQLEIYQVNEKEFEEERSRWDEEREDLYLKLDELDEFEEERLKWNEEKENLNSKLDAATKQLEIYQVNEKEFEEERCSWNEEREDFYLKLDEFEQECHSWDEEREDLHYKLDAATKELENYQVIENDFAVERLKWNEEKENLHYKLDAATKELENYQVIENDFAVERLKWNEEKENLHSKLDAATKELENYQVIENDFAAERLKWNEEKENLHSKLDAATALLQEERVQWEEEKGQLLHKLETTANGFAEEQGQWDEENRALLATITHADENVQYCQNLVNTLTKERDDLAARIDDEKSMVESYKGLYDQSEEHCQYYKACFEEYEQKYLKLKEENLDISAKLFTETSFAERYKKIVTELEDKCRRLEEEKNDVNAKLCAAKASSELYRSCLLDFF >OMO74520 pep supercontig:CCACVL1_1.0:contig11117:14842:15279:1 gene:CCACVL1_16642 transcript:OMO74520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENREHSSASKEIKMEDQEEAGAEIDSLFCYEIDLYFNQEMTNKEVEAKDEVQEARSGTYKSESDGDSSWEEVQNSIDGKLGAEIAAPDQKKTDKLEEAGQEKEPTSNYDCDESSWEAGEIDLNRRPCSSSVASSDFIQEQLHE >OMO74521 pep supercontig:CCACVL1_1.0:contig11117:16596:17369:-1 gene:CCACVL1_16643 transcript:OMO74521 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MASTSKKIMLRSSDGETFEVEESVAVESQTIKHMIEDDCADTEIPLPNVTSKILSKVIEYCKKHVEAAADKEKNSDDDLKTFDTDFLKVDQNTLFDLILAANYLNIKGLLDLTCQNVADMIKGKTPEEIRTTFNIKNDFTPEEEEEVKRENQWAFE >OMO74515 pep supercontig:CCACVL1_1.0:contig11117:671:799:-1 gene:CCACVL1_16637 transcript:OMO74515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLLSYDGEKEVTFIGEDEDNGSDIRENDIDSWEENKSCDS >OMO74525 pep supercontig:CCACVL1_1.0:contig11117:36880:37936:-1 gene:CCACVL1_16648 transcript:OMO74525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQAQLYSENIGFPLCGSQDWIDNGCGGGFNNQFWFGGLQQKPSLQQLQQLQQFQQLQNQQQSILAASSFKNNGNNNNRDSVMSSSNLVEKQRHDIDQFIRSQNERLSLLLQEQRKQLVAVLVKKIESKASVLLRQKDEEIAKATNKTMELENLLRKLEFESQAWQRVAQENEAMVLSLNNTLEQLREQASCCFNNGVDDAESCCEVNGEEEGIETEENRGGFITGHVFEQEKSMNTKESFHRGHNLLVEQRTKNFPEKVKGKKQKRKSGSSDDDG >OMO74516 pep supercontig:CCACVL1_1.0:contig11117:1270:2839:1 gene:CCACVL1_16638 transcript:OMO74516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKNDQEKEENADVELLEKKVELKQKQEGEEFVILQELANKEESFANDTPTANPYPIDSELPVTSQGWFEGGPLFSEEVEAKGEVQEAASINCKSDGDSSSGQVLHGKLGGEIADNSDQKKTDELEEAGEEKEDEIRCSDNQEQLHEWKQREEERAEELHELQRTREELEEVAEQLRGYQEMFQRDLAEEQKEKHEAARKQYKEHLSELLGVVYKSKAKLDASNEQLDIWNEEYLYSKLDGSNKELENYKVIKNVFALERLEWNEEKEHLHSKLEHATELLQQERLKWEEEKGQLLKQWDEEKKALLAMQHINSITRFDKLTKERDDLAARVADEKSMVESYKGLYDQSEEHCQYYKACFEEYEQKYLKLKEENLNISAKLFTETSFAERYKKIVNELEDKCRRLEEEKNDVNAKLCAAKASSELYRSCLLDSF >OMO74519 pep supercontig:CCACVL1_1.0:contig11117:13335:14128:-1 gene:CCACVL1_16641 transcript:OMO74519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSEAILVNCSRGLVIDEVALVENLKQNPYVLSWS >OMO74524 pep supercontig:CCACVL1_1.0:contig11117:27037:29095:-1 gene:CCACVL1_16646 transcript:OMO74524 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-alcohol phosphatidyltransferase MANKPKSRPRQLSVYLYIPNIIGYIRILSNVYAFAQCFSSKRLFAVLYFLSFVCDALDGWCARKFNQASTFGAVLDMVTDRISTACLLVILSQVYRPSLVFVSLLALDIASHWLQMYSTFLAGKASHKDVKDSSSWLFRLYYGNRMFMGYCCVACEVLYITLFLIARNHTEDLMDVMVTTLKQGSPLSFLVALSLFGCSIKQVINVIQMKTAADACVLYDTEKKQKQ >OMO74526 pep supercontig:CCACVL1_1.0:contig11117:40258:42475:-1 gene:CCACVL1_16649 transcript:OMO74526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISLKLWALNLKVSHSSQDTTFKGIFGGSHEGLRYDDGTFKLNPSARCRCRCSLGGLTTTLRVTVVPRDLGFDLGVFTKPHMVCNSCDGVAEKKGLKLAIAQARNHELISMAHGVTVGGWHMRIHRLNSAARSESDPD >OMO87094 pep supercontig:CCACVL1_1.0:contig09331:6440:8046:-1 gene:CCACVL1_09269 transcript:OMO87094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTSDPIQETDIKVLKETLRSQQQLLQKLYAEIDVEREASATATNEALSMILRLQGEKAAMKMEASQYKRMTEEKICHAEESLAIFEDLMYQKEMEISSLEFQIQAYKYKILSLGCEDFDEFDKQSPEVPTPERNDALFLGEKGVQATVKRLSSLPSTLPLDFYQRKVSTIDGERNVNSSTEAGNVEQIVHDKGLGSRRNSLNSSGDFNSYWEQIRILDEKVKEISDCVEVGQSKPSIAKVESVCRTTNSLSPSRLKFPPKLPKIKTNESSSGREAIPSSGCSPSTVHDIFEVPDVVEVPETSKSSQPWFNVEKIRGKSILEEDCRLKKPDAFPEETFDSPVEDEIEWIKKNNKFLSAKPEKFCNSDSKSPEYYKLVSGKNEKKLCKLWDQTNGECKSGVPHPGTGKNQYRMELQQLTERVEQLESGRSSTSHEISEAREEELNILRELREQLNSIQSEMKSLRPKKSSPLDELNLNPLTEAMLYFWM >OMO87095 pep supercontig:CCACVL1_1.0:contig09331:11507:14455:-1 gene:CCACVL1_09270 transcript:OMO87095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MLTQYDIEEVQQHCNNAFSQQEILSLYDRFCQLDRNGGGFISAEEFLSVPEFAVNPLSQRLLRMLDGLNFKEFVAFLSAFSPRATLQQKIEFIFKVYDSDCNGKVTFNDMLDVLHDLTGQFISEQQREQVLTQVLEEAGYTKDSLLVMSDFVKILGSSGLKMEVEVPVD >OMO58110 pep supercontig:CCACVL1_1.0:contig14272:77536:87250:-1 gene:CCACVL1_25581 transcript:OMO58110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWAPQRHVADYLWPFWKWHAILGDSEDMESDDDVRDVEGEGSVDEQEEGVRHASDGEDTGESSDEIDDEDGEEDSHVGTEDDAFGVSDVEDNRASEPICNRKVASRAAATNIYVLPFLEKYNKKCKSTFSVAGGFFSKYSCNGPNVVRSSQTATKKVACKAGLSGTSELSDGGVPLEIEVAARRHGRGKGPMGLVGMRHVTNVEAATHPPSEEEHFDGPEINPDW >OMO58111 pep supercontig:CCACVL1_1.0:contig14272:88066:88170:-1 gene:CCACVL1_25582 transcript:OMO58111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KAEQPLISFLSSFKEESTVTNTVGNVGFEDGEIK >OMO58108 pep supercontig:CCACVL1_1.0:contig14272:11984:17099:-1 gene:CCACVL1_25578 transcript:OMO58108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVSGREDGEGSSGAKKNGYEVDNDQSNLDPMLHSPPHSPNDTYQLPFLFPPQIQMFPWLRSAEMMQAQNDVLLQNTSHYEDLNGGNNLPWQYNDSDRALDSEIPEAPLQTPGQMMQVQNDHLVQSATDYEDVHQEEKRAVMITWCYGGKHVAITGSWDNWRIIEPLHSLGKDFIIMKMLPPGVYHYHFIVDELMRYAPNLPWEFDESGNPYNILDMQEFDQEGPKRLSEFDSPPSPVSSYDNQPLTDGDFSKPPPELPPQLRKKFIDEQSFVTRSHKCSPRPNHTMLNHLYKQDGDDGQSVALCSIHRFRQKCVTAVLYKSLYSLEFCEVRAEGKADDEDLGKTGGMWVLREEESATLAAIFR >OMO58107 pep supercontig:CCACVL1_1.0:contig14272:6140:7486:-1 gene:CCACVL1_25577 transcript:OMO58107 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglucosaminyltransferase II MLSVVSSMANYKKSRLKDAALKRFLSVVLVTLFGVLLLIVSLRTNTTPALDGLDQDLSGSDDILSINEKVNLPKQNDFSIQLEKRNWLPPRNLDLYPKLAKDHITIVLYVHNRPQYLEVVVKSLSKVVGISETLLIVSHDGYFEEMNKIVEGIKFCQVKQIFAPYSPHVFTDSFPGVSSNDCKEKDDAGEKHCNGNPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLKETRRHDGHILFIEEDHFIFPNAYRNLQHLISLKPNKCPDCYAANLAPCDVSSRGEGWNSLIAERMGNVGYAFNRTVWRKIHRKAKEFCFFDDYNWDITMWATVYPSFGSPVYTLRGPRTSAVHFGKCGLHQGQGQSAACIDNGSVNIQVDDTDKVANIRSEWDVRVYDNQPGYKAGFKGWGGWGDDRDQQMCLDFAQMYHSFNTSLAVMSRDFSISIIPLL >OMO58109 pep supercontig:CCACVL1_1.0:contig14272:47632:52352:-1 gene:CCACVL1_25580 transcript:OMO58109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S18 MESLSHFFCAKRRSSTGISAKAQRKLAREIKTIRAFGLMPFTTMGTKRKYGSIDIYVEHSVAELVLAPMQIEYNVQRPVDGVENPGVGIENPVEGVENPGDGIDNEAEGVENPRDGIHDEAEGVENPRDGIDDEAEGVENPGDGVNHEDRVNQAEAQQRMLEILERRLQETSRLVLL >OMO56438 pep supercontig:CCACVL1_1.0:contig14522:43260:44618:1 gene:CCACVL1_26551 transcript:OMO56438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMFQPSRRDGVIVAGFDGSGDGQILDLDTAVKDGVLGGVGGGGIIAAGVNEKVDLKTMVEELDLPEIPSVFICPISLEPMQDPVTLCTGQTYERSNILKWFSMGHYTCPTTMQELWDDSVTPNRTLYHLIYTWFSQKYSLMKKRSEDVQGRVSEILETLKKVKGQARIQALKELKQVVAIHATARKTVVDEGGVAVISSLLGPFTSHAVGSEAIGILVNLELDSESKTNLMQPTKISLMVDMLNEGSVETKINCTRLMEKLMEEKEFRSESISSHRLLVGLMRLVKDKKHSNGILPGLSFLRSICLHKQVRGLIVSIGAVSQLVDLLPALEPDCLELALFILDTLSSLPEGKVALKDCPNTIPNMVRLLMRVSESCTQFALSILWSVCKLAPEECSSVAVEAGLAAKLLLVIQSGCNPVLKQRSAELLKLCSLNYSDTIFISKCKLTRTIQ >OMO56437 pep supercontig:CCACVL1_1.0:contig14522:34160:34657:-1 gene:CCACVL1_26550 transcript:OMO56437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKKERKESKISRILKAPIRILIKARDFYIKSMTEYSDMLGHGTVLGCPTGQVSTLPRSYSTSSVKSSHGDDDLRELIRAASTRSLGNKIQLDLQRQQAAAAARQSPNKTTGGPDNMPRSRSVGIGRIDEDKPCDFEEDVKVKTNVFPRSRSYAVSKRNKGGFF >OMO56436 pep supercontig:CCACVL1_1.0:contig14522:22273:27150:-1 gene:CCACVL1_26549 transcript:OMO56436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAIRRTKILADHLLQVQSSDSSSTDQTPSLSSNVCLSYSPPELSEDYAFDIKEMRKLIDGHHVEERDWLFGMMRQSKLFNPRERGGKVFVTPDYNQSMEQQREMTWKRIQYLTERGVFKGWLTERGEEAEMRRFAFFEVLGIYDHSIAIKLGVHFFLWGGAIQFFGTKHHHDKWLRDTENYLIKGCFAMTELGHGSNVRGIETVTTYDSNTSEFVINTPCESAQKYWIGGAAKHATHTVVFSQLNINGTNQGVHAFIAQIRDADGNICPNIRIADCGHKIGLNGVDNGRIWFDNVRVPRENLLNSVADVSADGKYLSSIKDPDQRFAAFLAPLTAGRVNIAVSAVYQSKVGLAIAIRYALTRRAFSVKPNEPEVLLLDYPSHQRRLLPLVAKTYAMSFAANYLKMLFLKRTPQSNKTIHVVSSSFKAIFTWNNMQILQECREACGGQGLKTENRIGHLKGEYDVQSTFEGDNNILMQQVSKALLAEYLAAQKKNKAFKGLGLEHMNKPCPVIPSQLTSATLRCSQFQMDALCLRERDLLNRFVVDVSRHQANGETKEHAVIMSYQLAEDLGRAFSDRAIFQTFVDAEATLAAGSLKDVLSLLRSLYALICLEDVAFLRYGYLSLENAASVRREITKICSELRPHALALVGSYGIPDAFLSPIAFDWIDANSWSSVQQ >OMO55659 pep supercontig:CCACVL1_1.0:contig14626:9350:9537:-1 gene:CCACVL1_27110 transcript:OMO55659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAELVFIAAPTWLVKPVVKFAQLLIDQNDHLSIRFFILIMKLPSDTTIDNYIESKGRICL >OMP06688 pep supercontig:CCACVL1_1.0:contig04852:1620:3052:1 gene:CCACVL1_01469 transcript:OMP06688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSLDGNVLAAKSLARFGVTHMFGVVGIPVTSLANRAVQLGIRFIAFHNEQSAGYAASAYGYLIGCPGLLLTVSGPGCVHGLAGLSNAMINTWPMVMISGSCDQKDCGRGDFQELDQVEAAKPFSKFSVKAKDIKEIPNCVARVFDHAVSGRPGGCYLDLPTDVLHQTISESEAENLLAEAEKGRILEERRVPPSSEIEKAVTLLRKAERPLIVFGKGAAYGRAEEELRKLVERMGIPFLPTPMGKGLVSDNHELAASAASPKWDKDVKFILVDVCEEEIKLRKPHLGLVGDAKKVLEMINKEIKDDPFCLGKSHPWVEAVSKKVKDNVSRMEAQLAKDVVPFNFLTPMRIIRDAILGLGSPAPVVVSEGANTMDVGRSVFVQTEPRTRLDAGTWGTMGVGLGYCIAAAVANPDRLVVAVEGDSGFGFSAMEVEVCNLTIVARYLIKVLY >OMO66838 pep supercontig:CCACVL1_1.0:contig12511:6682:16688:-1 gene:CCACVL1_20972 transcript:OMO66838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FIGISSKQQVYKPSLEDKVEASLEEAKVRAAIGWQRCGDLKRRAKWVQTLKPMRRETKEKSGCGP >OMO70053 pep supercontig:CCACVL1_1.0:contig11888:31785:31931:-1 gene:CCACVL1_19123 transcript:OMO70053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEHRELIAKITFPTTIPPTHTKVSKDERESDAIEIQALEKYMSNEE >OMO70054 pep supercontig:CCACVL1_1.0:contig11888:36516:36665:-1 gene:CCACVL1_19124 transcript:OMO70054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRNERALNEINSDQNLTKISSGMKLEEPSIAIPSKSKGTWVPIIPI >OMO70055 pep supercontig:CCACVL1_1.0:contig11888:37522:38729:1 gene:CCACVL1_19125 transcript:OMO70055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zn-binding protein Push (ISS) MDSLSGCRRQSPMLLEDVPICELLYDVPICDGSHPSESSQQFRSPPISHVHSSISYPLEVGCIAPSGSESASVMCRVLSNTKSDSVLDDASLIDAPVLPRTGLPPRCIRDANVILPPAVHAHTICGQPDSSLLDVSTPKRFGRKAGPSSVTPDCLKPHLAGRMPTRAPKSRRSSRLLPDQFPSDIATDSAHPPPNGCPVIHDGGSLNPSSSQCPLPCALAGTIVLRMQLGCCRTPPSPILLRNPSMMDPLLLLRVNSVSAIILLYHAYVFIVFSPSCLRSLFFPLISPFPFKCIPSLTVIW >OMO70050 pep supercontig:CCACVL1_1.0:contig11888:3303:3404:1 gene:CCACVL1_19120 transcript:OMO70050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIEEFMTGINGFLACTPSPDIQGICNQYLLIDD >OMO70051 pep supercontig:CCACVL1_1.0:contig11888:5515:5973:-1 gene:CCACVL1_19121 transcript:OMO70051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKFFLQEFFSEDQGTVGVHMVSFEELSEDEKPRVSAFDRLGMPQTHKSVFNRLNVCSSRQKEVVQAGGSVFDRLESSNVSTAKTLLKDGRKNDKETCSRIPSRMRRHLFLEIDTNGPLKVKRRVVVRTSSFVQRNSGGNVSEHVSQVEPY >OMO70052 pep supercontig:CCACVL1_1.0:contig11888:21096:21236:1 gene:CCACVL1_19122 transcript:OMO70052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADKLKIYLCMYLAKQSQQIFIGGKPEVILVLPFSVKRLTYWIYK >OMO73337 pep supercontig:CCACVL1_1.0:contig11262:33455:37109:-1 gene:CCACVL1_17326 transcript:OMO73337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPATELTRMAIYEQYHQISRWGDAFNNNDSPNTGSSTIVQVDVRLDNKAEYISSDQVEPSRSDQEANKPTDKIKRRLAQNREAARKSRLRKKAYVQQLESSRLKLAQLEQELERARQQGIYISSASDTGYFGLSGTVNSGITAFEMEYGHWVEEQNKQICELRSALQAHITDIELRILVESSLNHYCNLFRMKADAAKADVFYLFSGIWRTSAERFFHWIGGFRPSELLNVVMSQIEPLTDQQHLEVFNLRQSSQQAEDALSQGIDKLQQNLAQSVAADLSSGNYRAQMAAAVDKLEALEGFVNQADHLRQQTLQQMARILTTRQAARGLLALGEYFHRLRALSSLWAARPREPA >OMO73335 pep supercontig:CCACVL1_1.0:contig11262:27046:29091:1 gene:CCACVL1_17324 transcript:OMO73335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIALHDVIESFFDSAPPLKDAAKITDNLKKFIESISPVSGEGKGRRVVCVTSGGTTVPLEQRCVRYIDNFSSGNRGAASTEYFIKAGYAVIFLYRRGTCQPYCRSLPDDPLLECFEFADELDVQVRKPYSEAVKGTIRNHHAAVSSGLLLKLPFTTIFEYLQMLRIIAISMRSLGPQAMFYLAAAVSDFYVPWKSMAEHKIQSASGPLDMRLMQVPKMLSVLRTEWTPMAFCISFKLETDSKILLEKASTALKKYKMHSVVANELLTRKEEVTVVTTDQNILVQRDKTRVDSDVEDPLVELLVDKHSTYIKESST >OMO73334 pep supercontig:CCACVL1_1.0:contig11262:5026:6150:-1 gene:CCACVL1_17323 transcript:OMO73334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIKEVSPQPLVALVVGVTGMAGFSLAEALKSPKALGAPWKVYGSARRDKPSWFPSSLLDGYISFDATDADDTRNKLAPLSDQVTHLFWVAIQVRETELPDITVNAAMLANVLNVLKSSPTSRLSHVTLQTGTKHYLHPFYIAHLNPHGPPFTEDLPRLPYPNLYYALEDIVSSHAPSLTYSVHRPSIIIGASSRSVYNMLLTLVTYASICRHEGLVFRYPGNKYTWEHFCDMSDTRVLAEQQIWAAVTPHAKNQAFNCTNGDVFAWKSLWKVLCDIFDLEFVPFDESEEYDFVGLMKERGKVWDEIVEKHGLCKNKLEEITCPIALYYLLRIEFQHVSSMNKSKEFGFFGYANTLKSLGMWVERLREMKIVP >OMO73336 pep supercontig:CCACVL1_1.0:contig11262:29967:32234:-1 gene:CCACVL1_17325 transcript:OMO73336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLRTICRPHTVFSSFMCCSRHHHHQAPSRISVSFRNPNFRPSFFSTWFDLSRDLSRKGPWFGVNQRRTVVRASNWTDQKSPYETLELERDADEEQIKTAYRRLAKFYHPDVYDGKGTLEEGETAEARFIKIQAAYELLVDGERRRQYDMDNRVNPMKASQAWMEWLIKKRKAWDQRGDMAVTAWAEQQQLELNLRVRRLSRSKIDPDEERRILAKEKKASMEHFSSTIKRHTLVLKKRDLMRKKAEEEKRKVISQLLAAEGLELDTDDESQ >OMO96748 pep supercontig:CCACVL1_1.0:contig07385:6048:7859:-1 gene:CCACVL1_04792 transcript:OMO96748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAESWFRSIWKIPRRHEGGSEKVEIGVLAFEVASLMSKLVHLWQCLSDKQVIRLREEIANSVGIKKLVSEDEDHIGRLICAEMIENLTHVAKSVARLAKKCSDPSLKSFEVVFTDLVLIGADPYAWGFSSKKMDKKVKKMERFISVNATLYQEMEMLADHEQTLRRMKSGDSEPENLLEFQKKVMWKQHEVKSLREMSLWNRSYDYTIRLLARSIFTIFGRIKHVFGIEQNVDDGDSRVKDSDFMYRSQSVSALLQSSVHPTENTSLPRYSSGPLGMFSTKSGPIPKPSNTNYFHSGPLVSSTTKSGPIGGKNGNFNFHSGPLGRPTAKSGPLFRMDKISKFWQTNNHPAAVNGKKSQSKSNKLTQVGPFKGCMVAADSATIRNCYISSNGIHSGILNGTKDGNLNLPEGNAVQTGSSVYRSQRRLLDAPPETLGAAALALHYANVIIVIEKLAASPHLIGQDARDDLYNMLPASVRAALRERLKPYAKSFASSVYDTQLAGEWTEAMSAILEWLAPLAHNMIRWQTERSFEQQNFVSRTNVLLVQTLYFANREKTEAAITEILVGLNYVWRLGRELNAKALEECASSKIFDECLELENKVI >OMO96747 pep supercontig:CCACVL1_1.0:contig07385:4512:4823:1 gene:CCACVL1_04791 transcript:OMO96747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKGLVEIHSKKYVHSDLKTANILVFRTEDCSGLPVLKIADFGLTKVSGVEDTLGVWFLGYAALYVTGIYSWRNFGCFACMVIRLYCYYDDYGRNGMELPRT >OMO82419 pep supercontig:CCACVL1_1.0:contig10041:12191:14325:1 gene:CCACVL1_11957 transcript:OMO82419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S28 MKTIEIGTLFLCFSIFFSSSFTISYAKLFTPRFPSSIIKAEQLSVSAKSNNGNKLYRAKYFTQILDHFNFNPASYQTFQHRYLINDSYWGGPEKNSPIFVYTGNEGDIEWFAQNTGFMFDIAPSFKALLVFIEHRFYGKSIPFGGNKDIAYSNATTLGYLTSTQALADYATLILDLKKNLTAVDSPVVVFGGSYGGMLAAWFRLKYPHVAIGALASSAPILNFENITSPYSFNNIITRDFRSESENCYKVIKGSWDQIEDTASQPGGLDLLRKSFKICKNYIDADALEGWLRTAFAYTAMTDYPIPTNFLNPLPAYPVKQ >OMO69433 pep supercontig:CCACVL1_1.0:contig12035:2055:2225:1 gene:CCACVL1_19511 transcript:OMO69433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSVEIRPADSSSGIVFLKGWNENEPYTSAQSLESATGRQKKKLNRRRKIWRSRP >OMO69434 pep supercontig:CCACVL1_1.0:contig12035:7410:7565:1 gene:CCACVL1_19513 transcript:OMO69434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHYWPTGPSDCIAHGKSCDACQRPIQRKAGSELYPIIKPWPFRGRYPIG >OMO62300 pep supercontig:CCACVL1_1.0:contig13285:258:377:1 gene:CCACVL1_22903 transcript:OMO62300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSPMKLLLCFVMLVAIIQGAKSNECTDQCIQETCPSE >OMO88457 pep supercontig:CCACVL1_1.0:contig09006:2444:3534:-1 gene:CCACVL1_08382 transcript:OMO88457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATATTATTTTTFTPSIIRKILLSYTYVAIWIFLSFTVIVYNKYILDREMYNWPYPISLTLIHMAFCSSIAILLVRIFHVVDLPSAMTPRLYLSSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSTGVLFRRDSFKSSTMANMLSISVGVAVAAYGEAKFNSWGVLLQLGAVAFEATRLTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGIAFLGVAYYNHCKLRGLREVEAQRRAAEEADKDEESGKLLDQEQGEIMTTRRNES >OMO61673 pep supercontig:CCACVL1_1.0:contig13433:507:1143:1 gene:CCACVL1_23322 transcript:OMO61673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFSSKHGRFEDNSIAKFHSVKAKAVPSSRNTKPNSVICGDCDGNGAVPCSQCKGSGVNPVDFFNGEFKAGDSCWLCGGKKQMLCGNCNGAGFIGGFMSTDDE >OMO61675 pep supercontig:CCACVL1_1.0:contig13433:12911:15983:1 gene:CCACVL1_23324 transcript:OMO61675 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, eukaryotic-type MDSERGDMDTVVTQVSVGGFDEHVKAKDLLEYLEDVVGLVWRCRLKTSWTPPESYPIPNFEISDTAVIRITDDYKKVEPHAFVHFASPLTITWALDAAGRTELVYNKQLLKVSLGPENPYYLNRRRRNTTPIKLSDVCLEIGTMVSPNEFFVAWKGPPSGVDFLVDPFDSTCKFCFSRDTAFSFKGTNEHAVIKCDFKVEFLVRDINEIKQYTETSGLVVLLQLASSPWVWYRTADDEIEETVPFDLLDDDDQWIRTTDFTPSGALGRCNTYRVVIRPRHGLKLKKAIQYLRDQRVAVDSLQWPLRISKEPDFGRPMSDPFYYIDYREGIPFEMMFLVNAIMHKGIFNQHQLTENFFDLLRGQPREVNVAALRRIHSSRRPEYDACKRLKTVHNWLLKNPKLFNSPKQSDDIVEIRRLVITPTKAYCLLPEVELSNRVLRKYKDVADRFLRVTFMDEGMQTINANVLTYYAAPIVRDVTSTSFSQKTGIFKRVKSILTDGFYLCGRKYSFLAFSANQLRDRSAWFFAEDGKISVLKILAWMGKFENKNIAKCAARMGQCFSSTYATVDVPSTEVNFDLPDIERNGYVFSDGIGKITPDLAMEVAQMLKLDLNPPCAYQIRYAGCKGVVACWPDEGDGIRLSLRPSMNKFQSSHTTLEICSWTRFQPGFLNRQIITLLSTLGVPDDVFREMQTTMLSRLDKILVDADAAFEVLTSSCAEQGHTPAIMLSAGFTPQTEPHLRGMLTCKGERPHTNEASGSDLDGDLYFVTWEELLIPPSGESSEPMCYDPGEPKVLKRPVNHQEGTDYF >OMO61676 pep supercontig:CCACVL1_1.0:contig13433:16381:17229:1 gene:CCACVL1_23325 transcript:OMO61676 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, eukaryotic-type MVNENLGSICNAHVVHADLSVLGAEDAKCKHLAELAATAVDFPKTGKIVSMPANLKPKLYPDFMGKEDYQSYKSEKILGRLYRNIRDAYDEELSPSSDVSLDTCDIHYDTDLEVPGSTDYIADAWVNKCSYDRQLIGLLRQYKVKREGEVVTGNVWSMPKYTSRKLGDLKEKLDHSYSALRKEFRQMFENLDPGFEQLNEDEKSELYERKASAWYQVTYHPEWVEKTLQLQTADGGDHTVMLSFAWIAADYLARIKIRSKGTENVDFTKPLNSLVRYLADRI >OMO61674 pep supercontig:CCACVL1_1.0:contig13433:3390:5243:-1 gene:CCACVL1_23323 transcript:OMO61674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFWKSLSILIEETLPDWRDKFLSYKDLKKQLKLIYPKDASEKQPNKRPRLDLSTDGGDGGCKEDGEDTKEVMDFVLLLEDEIEKFNAFFAEKEEDYVIKWRAGMQEMQDRAALAKVSNEELMKVGREIVDFHGEMVLLENYSALNYTGLVKIIKKYDKRSGALVRLPFIQKVLQQPFYRTDVLNKLVKECEMVLDHLFFQNEPSELPEAIDEKEHDQSSTSTRSNERLLKVPKELAEIKNMESMYTKLTLSALRVLKEVRSGSSTVSMFSLPPCKIMS >OMP02930 pep supercontig:CCACVL1_1.0:contig06164:4270:12364:1 gene:CCACVL1_02660 transcript:OMP02930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKNNRSIRVECSKLMITRNESDLLWLIGSSFFSPLTIFSTFRCIHSNPSGPDFHKESEEIRTLLLKGFDVIGALIVGKSDSEKTAAKAIEAARKLRKDLCGTRNSVNEVIIGGFADQDTGDIRFFVSESENSTRLESVNSVSYDDNPEKLVWESGCLLRCELPIKLPFCFPINKPSDAENIFSHAIEAVIARFKDPNVMYMVEASSKSSSNTVQPVIIHGTQLDFDTALPDIELFDEASHSSDQKLLQCAHFCLKNKSSPELLSAENADIIQVSVLLNRSESSPKCNAPTAEYFPALEETRLLIVDFKLEVLCYAVHGIPLIHAISKLIIPGLVDQLISMKNMTLPSLLTEHPQLHPYHFTPPGIVHPITVIYELNYGETELKQVDIRRSLHLRLGLPFDRPLLRIASALQMSTKDRSSSSSTRKGSPLLKNVHSGIPSSGGGIISLVQGSYEYYHYLQDGFDDSGWGCAYRSLQTIISWFRLQHYSSIDVPSHREIQQCLVDIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKVINVRSGSELPEKCRELALHFESQGTPIMIGGGVLAYTLVGVDYNEATGDCAFLILDPHYTGSDDVKKIAETMSLTQKSLGSSSFPFKFHNHLPNNLSSKPPLFLSTSKSFPSSSIFATPPPASHSSSSSSSSSSIFLPFLEEPQLQELAETENPEPQQAGIQEEAEEEEENDPIIRFFKSRPSAPDPPRQGKFALQKNRRSSWHLAPDIRSLPDPESELDGEYIFSEAKQQTDSTTEDSTKLPQDIVGEIVRIANNLPQNSTLGELLGGYQGKVSEKDCLQVLALLGKEGLVMGCLYFYEWMRLQEPSLVTPRACSVLFPVLGRAKMGDWLMILFRNLPQSKAFRDVHVYNSAMSGLLCSKSYDDAWKVYEAMEANNVQPDHVTCSIMITVMRKAGRSAKDAWEFFDRMNRKGVKWSPEVLGAIIKSFCDEGLKKEALIIQSEMEKKGVPSNTIVYNTLMDAYSKSHQIEEVEGLFTEMKAKGLVPTSATFNILMDAYSRRMQPEIVEKLLLEMQDVGLKPNAKSYTCLISAYGRQKKMSDRAADAFLRMKKAGLKPSSHSYTSLIHAYSIGGWHEKAYTAFENMQREGIKPSIETFTALLDAFRRAGDTQTLMKIWKLMISEKVEGTRVTFNILLDGFAKQGHYIEARDVISEFGKIGLQPTLMTYNMLMNAYARGGQHQKLPQLLKEMATLNLKPDSVTYLTMIYSFVRVRDFKRAFYYHKQMVKSGQVPDVKSYEKLRSILDVKAAKKNKRDKSAILGIIKSKMGMVKAKRKTKKDEFWKNKKKHPKFSDIAPSRQQL >OMP03153 pep supercontig:CCACVL1_1.0:contig06139:16338:19477:1 gene:CCACVL1_02545 transcript:OMP03153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase/3-isopropylmalate dehydratase large subunit, alpha/beta/alpha MASSSSVGFPHPTSSLFNKKKNVSLSAFTSTSQVSSYKCKKGVSKEIMMVMAPQQSERKPATTGSVKNPMTMTEKILARASEKPQLRPGDNVWVNVDVFMTNDISGPGSIGIFNKEFGENAKVWDREKVVVIPDHYIFTTDERANRNVDILRDFSMEQNIKYFYDIKDLSDFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTEAGFVLGTGKLLLKVPPSLRFVLDGEMPDYLLAKDLILQIIGEISVAGATYKSMEFMGTTVESLNMEERMTLCNMVIEAGGKNGVVPADSTTFKYLEDKTSVPYEPIFSDAQASFLSEFRFDVSKLEPLVAKPHSPDNRALARECKDIRIDRVYIGSCTGGKTEDFLAAAKVFLTSGKKVKVPTFLVPATQKVWMDIYTLTVPGSGGKTCSQIFEEAGCDTPASPSCAACMGGPRDTYARMNEAQ >OMP03150 pep supercontig:CCACVL1_1.0:contig06139:7004:8134:1 gene:CCACVL1_02542 transcript:OMP03150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGVIKKFIIASMFMWAAPVAILYAFNHNLLPGLTNLSPHAMTLVSGFVAVISVNIVIAFYIYMAMKEPSNKHEPDPKFVADAKASVSQITGGAATSSESLKKQD >OMP03149 pep supercontig:CCACVL1_1.0:contig06139:2140:5630:-1 gene:CCACVL1_02541 transcript:OMP03149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl/glutamyl-tRNA amidotransferase subunit B-related protein MASTMFRTIQIHPFLIRPAALFRRRNGVFYCTMKSTQTQTATQEKQQPPKVKVPQHSQSKTLDKIAKDYEAIIGIETHVQLSTLTKAFCSCPYNYGSQPNTSICPVCMGLPGALPILNSKVIEFAVKLGLALNCKLSLNSKFDRKQKFGITRVHMEEDAGKLLHSGNGDYSQAITSLTFNYNCPKSFRVDLNRAGVPLLEIVSEPDMRTGIEAAEYAAELQRVVRYLGVSNGNMQEGSLRCDVNVSIRPIGQLEFGTKVEIKNLNSFSSINRAIDFEISRQAVLHSQGQGDQIVQETRLWEEGAQKTVTMRKKEGLADYRYFPEPDLPEVILTQEYVDSIQSSLPELPEMKRRRYETMGLSMQDVLFLANDVNVADYFDATISSGADIKSSANWIMGDIAAYMKNEKVSINDIKLSAQELAELIASIKGGTISGKIGKEILFELLAKGGTVKGLIEEKDLVQITDPAEIEKMIDKVISENPKQLEQYRGGKTKLQGFFAGQVMKLSKGKANPGLLNKILMEKLNAKS >OMP03151 pep supercontig:CCACVL1_1.0:contig06139:8948:9859:-1 gene:CCACVL1_02543 transcript:OMP03151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol N-acetylglucosaminyltransferase MDTSISGDSSPTRPKWRKVAYGGMQPGFDDNHTDETFLEDMVMNANVVKRNLLKVMQDSVSISQYLCIVALVVLVWTYTLRSTLNENSLLLLDVSLLGLGFLTLLLTEEMLSLDLLLHYVLNVSFFTTGLFVLAPIYQTLTQSISSDSIWAVTISLLLLHLFLHDYSGSTIRAPGALKNPNLTSCISLNASIVASVFIASRLPSRVHVFAIMLFSLQVFLFAPLVTYCIRKYSFRLHLLFSFGLMAATLALVYSLHLLLFVLLVGMLVFVNVLCPYWLIRIQEYKFEINGPWDEAKLCFDITD >OMP03152 pep supercontig:CCACVL1_1.0:contig06139:11696:15548:1 gene:CCACVL1_02544 transcript:OMP03152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase/3-isopropylmalate dehydratase large subunit, alpha/beta/alpha MAPQQSERKPATTGSVKTAMTMTEKILAKASEKPQLSPGDNIWVNVDILMTHDVCGPGSIGIFKKEFGENAKVWDREKIVIIPDHYIFTSDERANRNVDILRDFCTEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKLLLKVPPTLRFVMDGEMPDYLLAKDLILQIIGEISVAGATYKSMEFVGTTVESLNMEERMTLCNMVVEAGGKNGVVPADSTTFKYLEDKTSLPYEPVYSDAQASFLSEYRFDISKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWLDLYTLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPKDTYARMNEPKVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPREFLQ >OMO98299 pep supercontig:CCACVL1_1.0:contig07129:25441:25530:1 gene:CCACVL1_04258 transcript:OMO98299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGRTRAELRALHFNLEPSSSLALFGSA >OMO98300 pep supercontig:CCACVL1_1.0:contig07129:33693:43569:1 gene:CCACVL1_04259 transcript:OMO98300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCEMKQDEESIWRKYKLSQGITNTYDTDPYSAQEVKQCGYFRSRIQVQKGSGHMLVKSAMYINLAKNQGSCKAKTRDLKLSIQQACTQNKQCSKDKAPQASHIGNQQTIKILFFPKPNSVEYEVQLNQAISGRSLSESSVGALISKLLHHIKRCQCRRWHVRAIVGHVSTRC >OMO52086 pep supercontig:CCACVL1_1.0:contig15610:23576:26392:1 gene:CCACVL1_29365 transcript:OMO52086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrogenase, E1 component MGKWTENIENEKATYLGRSLRRITVETTPTIATDPGKTGENRPATASNPRIELRQHGSLGLLRNEYLVIDFSTMESGEPIRNIGFVQVNKELSLKMYSDMVTLQMMDTFFYEAQMQGRISFYATSFGEEAISIASAAALSEDDIILPQYREPGILLWRGFTLQEFANQCFGNKADYGKGRQMPIHYGSKKHNYLPQAVGVAYSLKMDKKNACAVAFTGNGGTSEGDFHAGLNFAAVLEAPVIFICRNNGWAISTPISEQFRSDGIVFKGKAYGIPSIRVDGTDALPFTLQFVLLAIWQLTLAYRVGHHSSSDDSTKYRPLDEIDYWKMYRNPVNKFRKWVETNGWWNETDEAKFRSSLKKQLLQAIQVAEKTDKPPLTELFRDVYDIPLSNLAEQEKQLRLTISKYPKDFPSDLSLRNQVDHL >OMO52084 pep supercontig:CCACVL1_1.0:contig15610:4580:5074:-1 gene:CCACVL1_29363 transcript:OMO52084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTYNTYKGPKHICNAWALFLKEGKAQSACNTRVATSHKGEDQQDDGHTASCRYNVSFLSRIRTTTQGNYLYLDEFCFKCFGLKVSHDANDFSMAETQLWSKPAAAKHWKRGLATKEEGICPVIIFGGRKPCLVIDPRAKKSKLQEIRNQTNLVDFERNPNYS >OMO52085 pep supercontig:CCACVL1_1.0:contig15610:21695:22384:-1 gene:CCACVL1_29364 transcript:OMO52085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISILQSHPYARGLRGKAFPHYDTLFTVFGTDMATGAGAEGPAEAVEAMDDEGESDEEEGNGDEDEGCDEVNILNDEDEPTTWEQTPYVNASNAELDGSVSKPPSNNDQNKKSVTSHKRTKSGDGFNELVQHIGTYVAAYQEKKEDFANYFKKESDEKERRRALIMKEIVKLENLTKQKHIKVGQYILKDEAKVDFFFGLPEEYRVDYVREQVSECAPYHPSFDFGGSQ >OMO52087 pep supercontig:CCACVL1_1.0:contig15610:37181:43274:1 gene:CCACVL1_29366 transcript:OMO52087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydrogenase, E1 component MAIWWARSSSRTIANHIRKCMNYKGGFMEGAVANQSCWSLLSLSHRGRPVSMPSSRGTALCSVQRFKSTVAETQLDLSSSSESDEEQSLDFPGGKVTYTPEMNFISESSNKRVPCFRVLNDDGQLIMDSDFPQVSKEVAVKMYSKMVTLQIMDITFYEAQRQGRISFYLTSAGEEAINIASAAALTEQDIILPQYREPGVLLWRGFTLQEFANQCFGNKADYGKGRQMPIHYGSKQHNFVTISSPIATQLPQAAGIAYSLKMDNKKACAVAYIGDGGTSEGDFHAGLNFAAVMEAPVIFFCRNNGWAISTHVSEQFRSDGIVVKGQGYGIRSIRVDGNDALAVYAAVSAAREMAIHEQRPILIEALTYRVGHHSTSDDSTKYRELDEIDYWKMGRNPVHRFRNWVQSNGWWTEQQETELRNSIRKQLLQAIQVAEKTENPPLTELFSDVYDHPPSNLQEQEIQLRQTINRHPNDYPCHVSV >OMO64218 pep supercontig:CCACVL1_1.0:contig12846:25815:29129:-1 gene:CCACVL1_21941 transcript:OMO64218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNDPNPGPALSPTLDHQEIDHQIKTLIVSLMLSASPRIQSQLKEILAVIGKHDFPKSRASGWLPFQPPSLAKHIKFSLEKRLRRMIGVAFGAKYEFEKELGRGLSGVTFSVRDETLKDISGTYCYMAPEVLRRCHSLEADIWSIGVITYYLLSGCWPFLGYAGSEIFQSQEESDPNFDDSPWPSISPEAKDFVKRLLNKNHIQRMTAAEALTHPWLHDESRAIPLDISIYMSIKLYLHLKPHRCAAQKALSKALTEDELVYLRAQFRLLEPNSDGSLSLENFKMALARNASNLMDESWVPDTLSAMGSLANRKMYFDEFCAAAIHLLHLEGVEGWEQIVSTAFEHFEQEGNQVISDEEFCQELNISGPEALSYVQDGIRNSDGKLNLIGFTKLLHGSDAINV >OMO64217 pep supercontig:CCACVL1_1.0:contig12846:23242:25104:1 gene:CCACVL1_21940 transcript:OMO64217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMDKLKMFVVQEPVVAASCLIAGVGGCRCDW >OMO64215 pep supercontig:CCACVL1_1.0:contig12846:3448:15220:1 gene:CCACVL1_21938 transcript:OMO64215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPASTVEVDPTGHLPLSLVRSELIPPAPNRSESSIDWLPDFAGYSWVAYGSSSLLVISHFPSPLSSEQILMGPIFRKVFELTSDASSRVTAVSWSPVTPSTGELAAASENCICLFSHDSSISKGSFSWSQNAVLLQSTKVEAVEWTASGDGIIAGGYEVVLWKRKSKSWEIAWKFKADQPQNLVSASWSMEDPSAAASNWKDLQSKSVSVFYSDGNSGYAKAMLRHPQPVSMIKWRPSAGKQLLKDAKHSRRHILLTCCLDGTVRLWSEIDSNRVKKAGRDGSYDHKTTRRTFCVAAVIEIDCALRGTLGVDIFLTWAMEIGGIVETGQETNRYYFSEGDNHEAGSCEWLIGFGPGELVTFWAVHCLDDISPIRSPRITLWKRRELQGLEVEHLHRNGLSTSKQQSILKNVVIMRDYLSGPPTVCSWIHLFPCTSLAWSMLYTKTSNDTEDTSSDESRTGNLLSCSVGGILDMDGHTSKILQVDIHPYVCGVELAVSLDSNGLLLFWSLSANTNSIVDLPTLIPTWRICGKHLTQDNCYRYTSLRWAPLVFGEDRLLLMGHIGGIDCFAVKIFQGEKDVVCHYICTIPFIDHGPHEEGPTNIYSVPMSLSCSNTSTSDGFLLLSIWMEEFQALSWETIMHAYDLAGSYSECNFGDNNVVDCRARKFENTISGIRYCLHVIPSSTQLPERHFQEQVTSFAVIHPSDLTPVQHKLHFNNDQCSCKSPAYVMATGCSDGSLNLWRYKPYEPSTSLGTWEHAGRFTAHQGPVSAICLTNCGRKIATIGSNRRSNAVNNLCIWDSVHLADSGTFMLEDTMSFDEDVVALNWLALGNGQLLLAVCMHNDVRVYAQKRCGGQALLNAKKPLGSHIWFCIGTGHTFSAINGFLWGPRTTGVIVHSTYLSLLSPLLFLFDKKHQTESYSSFIPESFLDSDIGINKVTLSKIFTDCVNHMETLTWNGNGGWKSSLLEMINTKNDHLSSAFFVGMAQLKNKSRILLGFWSMADIVETLAGVLPVYHPEVLFANIYSGNWKRAYISMRHLVEYLNASCDSEKRGHHPKLSNILPQIPLSNYVEGVLSKSSTENSFQWGGNATLITPSLQFESGLTKSSFNLASDASSKIFSLPSTKSGLSDFLEPINKLHKWAMITTSEKMQILAIIDLLNEVNNLQSASAYENLDEPGRRFWVTLRFQQHLFSHRFGRAAPLEELIIDSGHIVWAFHSDCQETLFGSVLPNEPSWQEMRTLGVGFWFTNTTQLRTRIEKLARMQYLKKKDPKDCTLLYVALNRLQVLAGLFKISKDEKDKPLVGFLSRNFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTSSAVTVCAKNLGDEQLALVICRLVEGRGGPLERQLITKLILPNAIERSDYWLASLLEWELGNYPQSFLTMLGLQVDSAIDTSTLSSCQDAFMDPNIGLYCFTLANKNSMRNAVGDHNAGVLARWASLMTATSLNRCGLPLEALECLSSSLNTLGGTDREFVSDIACSKNSLGILKPSISDSSNWLLGGVASHLESYAKLDLALQHISNLIREHPGWPKATIGSVGMNTFSDYDDHYDSLHEDFRHKLRMALVQFEQKFSLVSSCLINKIFVSLCNCGFCFLGYDILYGYFHEHSQYENHIIDSSLWHSLLHKPLLRVTEDISFWFSHLIAVCSVACSPSNSCYMENGTSHDFRSNRIDAWGCYLQGVTLSLWGLRFAMRNFSGIFTEVISSKLLTLLDLYEYYAHFASAWLQKNSEGLVLMMQPLLITYTNGHTPYEVDMSTLKKMSYRVADEITWKTLTDNLIGGLEVARCAESKQAGELLHSIPEDERWHIIGTFLWQHMSRFMKHKLDSIATVLDDSCPSGFPYGKLSSSAPGSVDFESDSQSIRENTRPLSWILAKLLKVALKHISSYHVKQLLLFLQQKVDNGSNLPTLVWLEESGLSSKAQYQRLGEGIVAEDIRNRTNQLSANDILWNICADPTLISESFVQEKIDWSSYFHFKPSKRWPEVYEDIRGKHENDQSHDHDGRISNSSSGGEVASSSRSLFRDGHNLQSSWHKDAHMEKKVTPFQNPKELYRRNGELLEALCVNSIDQRQAALASSRKGIIFFNWEDGRSYIDRSDYIWSGADWPHNGWAGCESTPVPTCVSPGVGLGNKKGAHLGLGGATIGVGSLARPGRDLTGGGAFGIPGYAGIGASGLGWEMQEDFEEFVDPPATVENISTRAFSSHPSRPFFLVGSINTHIYLWEYGKDKATATYGVLPAANVPPPYALASISALQFDHCGHRFATAALDGTVCTWQLEVGGRSNIRPTESSLCFNNYASDVTYVTSSGSIIAAAGCSSNGVNVVIWDTLAPPATSRASIVCHEGGARSIAVFDNDIGSGSVSPLIVTGGRNGDVGLHDFRYIATGRSKRHKPHDSVEASINTSSNADMKTGANSQLQDQNHGGMLWYIPKAHSGSKDGDVKLWDAKAAKLVYHWSKLHERHTFLQPSSRGFGGVVRAAVTDIQVVSNGFLSCGGDGCVKLVQLNDH >OMO64216 pep supercontig:CCACVL1_1.0:contig12846:18997:20528:1 gene:CCACVL1_21939 transcript:OMO64216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAVWQRTANRCFVQEDAKRAPKLACCQSSSSSSKQADSSPAGAADPHDHPTVGFLPLNRTPSYSNLPPDMRWWLQLQPSYGPQKGLTNELLIALENEVESLKSEINSPSKMSEDHTQYAQDAPGIDRKMNPGYSLDSTEIMKNYEFLEMESVECPVSKKSNDFSSDPESPWVGDGKAEPWWRTTDKDELASLVAQRSLDFIENCDLPPPQKHVRRYSRACSGSFDSDELSSLSWKSHISSPSSNAQASPGSARTHARQMSSIGEGKMQGVTDMPLSATQKDIIEQVIESDPTKAQLLEALRHSQTRAREAEKAAKQAYAEKEHVIKLFFRQASQLFAYKQWFQMLQLEALYVQIKNTEQPVSTVSPVSLPWTPYNSRKLRKSWQKTGKGQRGKHGQPRPDLTKYAVAFALGLSLVGAGLLLGWTVGWMLPF >OMO97963 pep supercontig:CCACVL1_1.0:contig07182:31343:31465:1 gene:CCACVL1_04395 transcript:OMO97963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNEFSTWQAQFSKIPGSNQSYKLSKFMKQECRSTSISQ >OMO84527 pep supercontig:CCACVL1_1.0:contig09741:66630:67679:-1 gene:CCACVL1_10795 transcript:OMO84527 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAPPNSNTPHSRILEINIISAEALAPVSRNMKTYAIAWIKPDEKLATGVDQTGGTDPTWNDKFNFRVEDKFFCSEDAAIVVEIYAAAWVKDALIGSVNVLIKDIFNFRSLADANIKNSTTRSVTLQVRRPSGRPQGILNMELTLCTDENKEFNNNIEFDPSETGCTGTELTMEENSEIRPDMGSMVNCGDTSSEMKGNNSMVNGGSLCNSDVGPSASVVAAAIAHGLYVPPVQSQAPSKETRKITEWTKAERGEVSLDMKLERWRTELSHPVVEYRQSNSSFIKKGKNSKVHRGDGTTKLFSCFGNAFGCEISIACGGSKKRHGVRNGNGNNRICHLSSVDDNYSQSIL >OMO84520 pep supercontig:CCACVL1_1.0:contig09741:9733:12913:1 gene:CCACVL1_10788 transcript:OMO84520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKSSLLFFFYCYIGFFSLVFADGAKPIIPMPNDEASILLLMKSSLVDPLNKLQDWKTETRSVHCNWTGVWCNNGGFVEKLDLSNMNLSGIVSDQIQGLFSLAVLNLCNNGFQTKLPKSFANLTSLKIIDVSQNNFIGTFPTGLGMAAGLTYVNASSNNFSGFLPEDLGNATLLESLDFRGSYFEGTIPISFQNLKKLKFLGLSGNNLTGKLPRELGQLSSLETMILGYNNFEGEIPEEFGNLTNLQYLDLAVGTLSGQIPPALGRLKQLSTVYLYENNFTGRIPSELGNITSLVFLDLSDNQISGEIPGNLAELKNLQLLNLMRNQLNGSIPIKLGELTKLEILELWKNSLTGSLPMNLGRNLPLQWLDVSSNSLSGEIPPGLCDSGNLTKLILFNNSLSGPIPEGLSTCKSLVRVRVQNNLISGTIPTGFGSLPELQRLELAKNNLTGQIPDDLALSTSLSFIDLSWNRLESTLPSNIISLPKLQTFIVSHNNLAGKIPDQFQDCPALSVLDLSSNHFSGEIPESIASCEKLVNLNLRNNQFTAKIPRALATMPTLAMLDLSNNSLIGPIPENFGTLPALEMLNVSYNKLEGPVPSNGLLMTINPSDLTGNAGLCGGVLPPCSQNLMATAARPKRMHIKHVATGFIIGTMVILSLGIAFFTGRLIYRRWYLYNSFCGNMFTKSSKEWPWRLVAFQRLSFTSNDILACIKESNIIGMGGTGIVYKAEIHRPRAVVAVKKLWRSSQTDIEESGDDLFGEVTLLGKLRHRNIVRLLGYVHNEADVMMVYEYMPNGNLGTALHGNQAGKLLVDWVSRYNIAVGVAQGLKYLHHDCHPAVIHRDIKSNNILLDANLEARIADFGLARMMIHKNETVSMVAGSYGYIAPEYGYTLKVDEKTDIYSFGVVLLELITGKMPLDPSFGESIDIVEWTRMKKTRGLEEEVLDPTIAGQCKDVQEEMNMVLRIALLCTEKLPKDRPSMRDIIAMLGEAKPRRKSVCHNGGHNSSKERPIFSTSPVVGLL >OMO84522 pep supercontig:CCACVL1_1.0:contig09741:26655:38982:1 gene:CCACVL1_10790 transcript:OMO84522 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MVMNRQHLDKKMECLRQEPLTEQEIEEIISEFLEVESKAAEAQETLEKESLAKVESEVREELAQTLQGDDLDKAVAEEMATLKAQWEGVLDELETESAQLLEQLDGAGIELPSLYKWIESQVPEGCSTEAWKRRAQWVGSQVTSELAESLANAEKQLQSQRPVRRKHGRLLEEGASGFLQKKLSNEGSQEVVTENSNIDWSSFMKICSDGVTEDGNCFGSKNWASVYLANNPQEAALLGLKFPGVDEVEEIEDIDGSLDNPLVADAIENEKELILSEDQRKNFRKVKEEDDAKIDRKFHLHLKHRRHQRRTKQVLCFSFFFIKKKYCHCPTSVKESKLDQLQPLEDDSNSISDKENHKDREGVQNNKTDVLENIQSCKLGKTPSIPNGMSSVHMESDISDSGKPQGFKRLNEDEEANDHNKKARTVIIASDDEGDTKVNDKLNSTKLQDQFMQEKSSDAVGVESNSSPCLSEKFNCTSCDKLVIEAQEHPLLKVIICADCKHFLEEKMHTKDADCLECYCRWCGQSDDLVSCKSCKTLFCAKCIKRNIGEEFLLEVQSSGWQCCRCLPSLLHKLTSELERATGSGDTMVSSSDSESENSDADINTKIRSKRKRKKKIRRILDDAELGEETKRKIAIEKERQERLKSMQFSAKFNMMDSPSCNRNLSNEASLEVLGDAITGYIVNVRRENGEEAVRIPPSISAKLKVHQIAGIRFMWENIIQSITKVRSGDKGLGCILAHTMGLGKTFQVIAFLYTAMRNVDLGLKTALIVTPVNVLHNWRQEFMKWKPSEVKPLRVFMLEDVPRFFLFFSFFFCFFSDRHIAREICHALQDGPDILVCDEAHTIKNTRADTTQALKQVRCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTHEDVKIMNQRSHILYEQLKGFVQRMDMSVVKKDLPPKTVFVISVKLSPLQRKLYKRFLDVHGFTNDRASNEKIRKSFFAGYQALAQIWNHPGILQLNKEDRGSITREDAAENFLADDSSSDENIDYNNVAGGEKAKNMNDSLHEKNDSGFIQKGWWKDLLRENNYKECDYSGKMVLLLDILTMCSEVGDKALVFSQSIPTLDLIELYLSRLPRHRKQGKFWKKGKDWYRLDGRTESSERQKLVERFNEPTNKRVKCTLISTRAGSLGINLYAANRVIIVDGSWNPTYDLQAIYRAWRYGQTKPVFAYRMLAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISKEEMLHLFEFGDDDSFDTLMELSEENGKSLNQKMPPSHGSCSSDKLMESLLGKHQPRWIANYHEHETLLQENEEEKLSKEEQDMAWEVYRKTIEWEEVQRVPIDESAAECRPAVPDVPPPEPDPETDQLPLPRGLFRSRIVQRKCTNLSHLLTLRSQGIKNGCSTICGECAQEISWELFK >OMO84523 pep supercontig:CCACVL1_1.0:contig09741:40035:43326:-1 gene:CCACVL1_10791 transcript:OMO84523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 62 MASSKSKGISFYKPVGIPDGYFCLGHYCQSNEQPLRGYVLVAREKESFTSGVYCDYDSDSDFPALRKPVNYSLIWSSDSAGNGCGFFWLPNPPLGYKAMGILVTDTSEEPSVDEIRCVREDLTETCEISDTILSSGSKPFQVWNTRPCKRGMCCKGVSVGTFFCSTYSVDEEEELEIACLKNLDSTLHAMPNLDQIHALIKHYGPTVFFHPDEEYMPSSVPWFFKNGVLLYEEGNGKGEPIDFCGSNLPSGGENDGAYWMDLPADDDARNNVKKGNLESAELYVHVKQALGGAFTDIAMWIFCPFNGPVNIKVGLMNFQMNKLGQHVGDWEHFTLRINNFNGELWQAYFSEHSGGEWIDAFDLEFIQGNKPIVYSSKHGHASFAHPGTYLQGSTKLGIGVTNDVAQSEYSIDSSTRYQIIAAEYLGHGVVTEPCWLQYMREWGPTVVYDSRSELDKIISLLPFFVRFSIENIFDLFPTELYGEEGPTGPKEKDNWLGDERC >OMO84524 pep supercontig:CCACVL1_1.0:contig09741:49362:49472:-1 gene:CCACVL1_10792 transcript:OMO84524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNNRISAGKEAPMKIIKKRNIKIRRGFMRKTMTF >OMO84521 pep supercontig:CCACVL1_1.0:contig09741:13667:23189:-1 gene:CCACVL1_10789 transcript:OMO84521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M41 MDSIFTSPCLSPFSFRTRHLVRTHKFTKKPLKTLPIKLKINAFAFPGTPQSSKIVKHQNFVPPRKENLEKEAKVSYGYYSFQRLVKNLAFTLLCFAIGLSNLSPNGDFRGVAMAAVLEKVRLKGKEEEKEGTLRKNDHEFSDYTRRLLEVVSRLLRRVEEVRNGNGDVKKVGDVLKAVKMKKEELQGEIMRRLFTEVMALKREKEGLDKRADEIVDKALKVGREKQKPVSRKGAKGKGKGKDTVKLEEEIEKMEQEYSGIWERIGQIEDEISKKETVALSIGVRELCFIERECEELVQRLEREMRQKELFKRLSITNLSRSEIQDELETAQRNHFEQMILPSVVEVEDLGPIFNQDSMDFALRIKQCLKDSREMQRNLESRIRRRMKKYGGEKRFVVKTPEDEVIKGFPEVEMKWMFGDKEVVVPKAISLHLYHGWKKWREEAKAGIKRNLLEDADFGKQYVAERQERILLDRDRVVAKTWYNEEKSRWEMDPMAVPYAVSKKLVEHARIRHDWAMMYIALKGDDKEYFVDIKEFDMLYENFGGFDGLYMKMLACGIPTAVQLMYIPFSELDFHQQFLLTIRLAHQCLTGLWKTKIVSRGKDWVYQKIRNINDDLMIVVVFPLIEFIIPYPIRMRLGMAWPEEIGQSVASTWYLKWQSEAEMKFKSRKTDNLKWSLWFIIRSAIYGYILFHVFRFLRRKVPKLLGFGPIYNKDPNMRKLRRVKSYFNHKFRSFKRKKKAGMDPIKTAFERMKRVKNPPIPLNDFASIESMREEINEVVAFLQNPGAFQEMGARAPRGVLIVGERGTGKTSLALAIAAEARVPVVKVRAEQLEAGLWVGQGASNVRELFQTARDLAPVIIFVEDFELFAGVRGKFIHTLEQDHEAFINQLLVELDGFEKQDGVVLMATARNIKQIDAALRRPGRMDRVFHLQRPTQAEREKILQISAKETMDEELIDLVDWKKVAEKTSILRPIELKLVPVALEGSAFRSKFLDTDELMSYCSWLATFSGMIPKWVRKTRLVMHISKMLVNHLGLTLTKEDLQNVVDLMEPYGQISNGIELLNPPLDWTRETKFPHAVWAAGRGLIALLLPNFDVIDNLWLEPFSWEGIGCTKITKARNEESMYGNAEPRSYLEKKLVFCFGSHIAAQLLLPFGEENLLSTYELKQAQEIATRMVIQYGWGPDDSPAIYYSSNAVRALSMGNNYEFEMANKVEKIYDLAYQKAKEMLKKNHRVLEKIVEELLEFEILNGKDLERIFNENGGLREEEPFFLSQVDYKEPLSSSFLDEGSASVTTFLDVPA >OMO84526 pep supercontig:CCACVL1_1.0:contig09741:65183:65574:-1 gene:CCACVL1_10794 transcript:OMO84526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNQRKPFELIERETRTVTIYKDCMRNHASHLGLDIVDGCFEFAPKRNGHADDELNCEACGCHRSFHRKEVMEKQIPLESSDDEDEQGLGEVEDLDDDEVNKPKN >OMO84525 pep supercontig:CCACVL1_1.0:contig09741:53237:54962:1 gene:CCACVL1_10793 transcript:OMO84525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEDSRRLKTPEKLKVPTGGMLRNLGALGVDMVREGNRKGRIWTLKF >OMO84519 pep supercontig:CCACVL1_1.0:contig09741:904:6887:-1 gene:CCACVL1_10787 transcript:OMO84519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSRRDADSCPAIKNILILDSEGKRVAVKYYSDEWPTNSSRLAFEKSLFTKSLKSNARNEAEISMFDSNIVIYKFVQDLHFFVTGGDEENELILASVLQGLYDAIALLLRGVVDKREALENLDLIFLCIDEIVDQGMILEIDANVLAGKVAIQNMDVSAPLSEQTISQALASAREHLTRTLLK >OMO66795 pep supercontig:CCACVL1_1.0:contig12516:890:2545:1 gene:CCACVL1_20984 transcript:OMO66795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VCDFGLSRSKASTFLSTKTAAGTPEWMAPEVLCDEPSNEKSDVYSFGVVLWELVTLQQPWKHLNQPQVVAAVGFKGRRLEIPSNVNRLVASLIEICWVHEPSKRPPFSYIVERLQQLLTNTSSQELHRQPS >OMO66796 pep supercontig:CCACVL1_1.0:contig12516:4302:4409:1 gene:CCACVL1_20985 transcript:OMO66796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGSTSAMSATTFATSATSSSAASSPATFSGNQG >OMO66797 pep supercontig:CCACVL1_1.0:contig12516:19678:19761:1 gene:CCACVL1_20986 transcript:OMO66797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRQQFNPGLHRNKFEPMPLRILAAT >OMO66798 pep supercontig:CCACVL1_1.0:contig12516:45552:47583:-1 gene:CCACVL1_20987 transcript:OMO66798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLKLVDVKGSQNLTKTPDFSRTPNLETLVLEGTRIVNVHPTMGFLQRLKVLNLRNCRNLRNLPTKLGTESLETLILSGCSNVERIPENIGEMKGLVELYLDGTGIKELPSSIGNLRNLVLLNLKDCSNLFSLPNSINGCKCLKTLNLSGCSKVENLPENLQLVEVLEELDLSETSIRTLPSFIFHMNNLKVLSLQGCNGSPSKIQHHWPFLSKVNQRVSPNSKALSLPTSLSGLRSLKELNLSDCNLGDGTIPSDIGCLSTMETLILCNNNFTSLPATFNQLSKLTVLKLADCKRLKSLPELPTSVSKLWLDGCSSLEVIETSSTAYNSTIQQYFCGFNCFKAENNNVVAMLKRHLKVTANARIEHDIFIPGNEIPRWFSHQRIDSSNSIKILLPPNLLENNQFMGFAFCCVFLTDLKDSPRRMDPISYFICPNCNNMVENISCRTIIDVKNSREIDNLYYHLAGKSSRVTEDHLWLHYWPRDKLKQVEISSSTEEGLEIEVLFKIFGVHSRVKKCATSVYERF >OMO84009 pep supercontig:CCACVL1_1.0:contig09806:16056:17120:1 gene:CCACVL1_11043 transcript:OMO84009 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MAERNPYFPIDPNTGFCSETRTYHSLRTPISLPPEDQPLSVAEYCLSLFRSTSVSGDTSFVVNATNGQTMSYSQFISQINSLAYSLHKRYSLSPNDVAFILAPPSLQIPLLYFALVSLGVAVSPANPISSNSEVTHQIQLAKPVIAFATSQTSHKIPPLKLKHGTVILDSPEFLSFLTQTNIDNGFITRVKVKQSDTAAILYSSGTTGPVKGVMLTQRNLIALMAEIHCHNLADAKEKGEPQRSVTFITVPLFHVFGFFMLLGAVLGADTVVFLERFDFEEMLRAVEKYKITGMPVSPPLVLGFVKSNLTKKYDLSSLDTLGSGGAPLGKELALQFKEKFPNVLLVQVLFSLPI >OMO94924 pep supercontig:CCACVL1_1.0:contig07782:75075:92108:-1 gene:CCACVL1_05699 transcript:OMO94924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MGHSSSQDEEGEQEEDGYDEEPGGGNKLLGFMFGNVDNSGDLDVDYLDEDAKEHLAALADKLDSSSLTDIDLFEKSPETAVDAADQDYDEKAENAIDYEDIDEEYDGLEIEAATEEDHLLPKKEYFAADVSSSLVPTASVFDDENYDEEEESEKEQEVVGEQEEHPAVALEVENSPKDDMTCGSLDGENRADSTEDFEVTDVLQSPVDDGKGSTPLPVLCVEDDKVILRFSEIFGIHEPLKKVNKRDRGYFTHREKYKSMNASDLVEEDEELFLKGTGQSFSFIGWENAIRRDIPEWTDEPLVEGVLTMSKYIEGHSKDSYSSPEPKIKEVTVNISSGWQSPSSPQIFPLDHQDWEEQILWDNSPTKSGHSLESPEIPSSDLEVLVARESIEQTRQNVLSRQSPKPCEKDHDDGLCNSFVFLESFGSRNSSGSMDLSFSESRFHPQLLRLASQLESSKDVDGKREYVTVEPDKSGIVRCFNKYALQNRDMMEGLWLDDIIWEPRSVISKPKLILDLQDEQMLFEVLDNKESKHLRLHAGAMIITQPVKPSSGGSSEPSGHKYQPGWQFNIANDKFYMNRKVSQQLQSSSNKRMAHGVRVHHSAPALKLQTMKLKLSNKDIANFHRPRAIWYPHDIEVAVKQQGRLPTQGPMKIILKSLGGKGSKLHVDAEETVASVKAKASKKLDFKPSEIVKFFYLRKELEDDKSLAAQNVQTNSVLHLIRTKIYLLPRAQKLPKENKSLRPPGAFKKKSDLSAKDGHVFLMEYCEERPLLLSNAGMGANLCTYYQKTSSGDQTGGLLRNGNQTLGNLLLLEPDDKSPFLGDIKAGCSQSSLETNMYKAPIFSHKVPSTDFLLIRSAKGKLSIRRIDKIAVVGQQEPLMEVISPVLKNLQPYLMNRMLVYVYREFSAAIKRGLTPFIATDELFTHFPNLSDAIIRKKLKECAYLRRDKNGRQIWSMKPDFHIPPEGVLRKLVSPEHVCAYESMQAGLYRLKHLGITRLTHPTSISSAMSQLPDEAVALAAASHIERELQITPWNLSSNFVACTGQDRENIERLEITGVGDPSGRGLGFSYVRTAPKAPMSNAVMKKKTAVARGGSSVTGTDADLRRLSMEAAREVLLKFNVPDEVIAKQTRWHRIAMIRKLSSEQAASGVKVDPTTISKYARGQRMSFLQLQQQTREKCQEIWDRQIQSLSAVDGEENESDSEANSDLDSFAGDLENLLDAEEFEVGEEGTNDNKTDKADGVKGLKMRRHPSKAQAEEEIEDEAAEAAELCRLLMDDDDEQKKKKKKKNKAVAEDAGLTFGLQTRKGLENVQRVKKANMISKQIAAAAQPNGPSYTMNDNIVKDSVRQIESRIIKGNLSGKMFKEKKSSRETFVCGACGQLGHMRTNKNCPKYGEDPETQVEATDLDKPSGKLTPLETSGQAQLKTTKKKLITKSATKIAVAEASEGKKSISNSKAVPLKFKCSTDRSHEKLASGATQSSDHQATSDPESGYKSVAKVSKIIISNKAKPDELQAESHKLPKIKRHQVDTDRGQAESRKRSIVIRPPTNMERDQVEPYKPSVVIRPPADKDREQPHKKIIIKRPKEIIDVDQFSQDGGTYREYRKIKKIAELSSFETHRKHEQPVRQKVKEERRLWEEEKKRRNVERLREERARMLYEEEMRALEERERFAEIARYTEGIRREREEEERQKAKKQKKKKKAVIQDDYLEDYQTRGNDKRILERDRGAKRKPVESARFGAEYVPPTKRRRGGEVGLSNILERIVETLRDHTEVSYLFLKPVSKKEAPDYLNVIERPMDLSTIRDKVRRMEYKDREEFRHDVWQIAYNAHAYNDRRNPGIPPLADQLLELCDYLMHEFYDSLCEAEAGIEARDP >OMO94918 pep supercontig:CCACVL1_1.0:contig07782:18082:19095:-1 gene:CCACVL1_05693 transcript:OMO94918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 19, catalytic MATLTMKKTLIAIMIAGILAGAVPGKVEAQCGNSCSPTECCSRFGFCGTSPDHCGVNCRGGPCVNNGVNIADIVTPEFFNGILNQAAGTCVGRNFYSRQTFLDALNSFTQFARIGSVEDSRREIAAFFAHVSHETGSFCFIEEDGGASKDYCDETRTEYPCNPSKGYYGRGPLQLTWNYNYGQAGSDIGFDGLNAPETVANDPLIAFKAAVWYWMNNVAGVMNQGFGATIRAINGDLECDGKEPEKVQNRINLFNNFCTQLGVAPGGNLSC >OMO94917 pep supercontig:CCACVL1_1.0:contig07782:9993:16212:1 gene:CCACVL1_05692 transcript:OMO94917 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MDLEIELETGIDEGLEWSKYMAIGKIHANKILNRRRVMAILRSIWPEEVAPSIKEVGDNTYGISFNSERTRDRVIGSGSWSIMGSCMNLKRWVPRKGRGKIWASVMYERLGDFCYSCGKLGHMAKHCHDEVKIGPDGKPLYGPHLRAGSMKNDQGNMNSEMEKWKKWKSKDMALVITDAEHRVEDVAREKWGKQWQVDKWNSGVPNSDVMEIDTARRNKGKNPVADNNVHVQDGPGFIFSTLETERRINTNNAACVNISDNTNNDSLHTPISSQSKPILQNTEILEPHNSIHIIDFAALNPPVHSTKNLEPTSLGLSSKPIKPTSSFQNIVLSDSISPITQNIISDNIPASDYPAIQAPDIVLPIVQSPTHSNYDDGTPCPLLSGDSYDTFPYPVMPGSYQYPDLIVNEGHDNVYELGSHKSINGEEVHDGEEVCSEYRGLDIIQATGKVVPCDENVFHDELVELKRVVEKNTGLIESSLVAELRCLKLKRGADVHMLEDVDSNVEKRRKVSDENPWSRKDWSLIESELFPVEIRQVTTFTYGSRSVWASRGGRGKSKCIRKKRSCTVTEEGYTDVRIIEDCSIGRYLGWNDEQGIGSSQAVEALHELRRKFDPDFIFLMETKNRQEKLEMIRKKMKLDCAIYVDPDGLSGGIALWWKDFFSVKSIEESKNLVDTVIIDNRSGGSFRILWVYGAPVFEDRKLVWERIKRKALIIDEAWCCIGDFNDILDDSEKDGGPLKDRRYIRYFQDMVEHCQLIDIQFQGQKFTWMGKRDDFVIKERLDRAFVNPQWLMAFPHSQLLIQPAIGSDHSPVILNSCWQDIKGPRKFKFESYWTDVEDIRSVVKTSWDVHVDGSKAYLLAQKLKNYRKLIKLWCKEQNKTKEHKDELLKEIALLQDGACTEEELQKIKVCKENVTAIWLQDEKHWHQRSRINWISWGDQNTKFFHQSTLQRRQFNKILKIKNNSGDWIDKEEDIMENFCQFYEDLFTSEGNRDWDEVLDVVPCLISEEMNVNLTKEITDAEIYQAVFQMGSLKSPGPDGFNGLFIKIIGKFWAMMLKLCYKIISTILVNRMKPLLGDIITEVQSAFVEGRQIHDNIVVAQEAFHFLKVKKKGKSGAVALKVDMNKAYDRVEWGFFHALLLKIGFNSNWCDWVLECVRSVSYTIVINGKSSRMIFPSRGLRQGDPLSPYLFLLVIDTLSRMIQLGIHSGYITGLNLARNCPMISHLLFADNSLFFMDANLDNCKRFFEIIQGFSDASGQKISLAKSSLIFSSNMKEEDRAAIVNALGVNEAAIPGTYLGIQNCWGKTKCGAMAYVKERVVAKLKGWKQKYLSMGGKEIMIKAVASALPAYVMSIFKIPKKVCKEMQSAIINYWWGQTENERKIHWCDWQKLTDLKQDGGIRFKEFEVFNLALLAKQAWRVTEYPNALWVRVLKGIYFPNSEFFDAGQGARPSWIWNSLLEGRSLLQNHLLWVPMDGKSISIWRDNWIPKLNGRVLGDLSSIDEDIPQLVHEIMDKNEGTWKLEGIKQWISEEEQEAILSIPVHEGGEHDKRIWPHDKSGVYSVKSGYASQKAATRHSTCSRASSSHQIDKRLWKVIWSIKAPSKVKVFLWRMCRHALATNEELWKRKCLDEPICVLCGEDVESVEHLILLCPWTRKVWWQGCFGLKICIERVRTIDQWLLEIFMEVSKLSKEAVSLKTTIAYTCWVIWNSRCKAVMEHKEVSGDKVIQWIQSAASEFLSIWDQNTHQVSKPLKQVCCWERPKEGWCKVNCDGAYCSKTSKAGIGIIIRDHNGNLIDGAGKMVIGDSVLISEALAVKEGMKLAHLLHIEQVVVEMDCEILFKSILNPIVGSDWKIRPIVADIVSLKNCFNAVEFKCVKREANMAADWVAVQSRLGMSFAELSRYKPSSLVRILDKDGLPAPHSAVL >OMO94922 pep supercontig:CCACVL1_1.0:contig07782:68618:72335:-1 gene:CCACVL1_05697 transcript:OMO94922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MATPFSAHVLSRKKSLNLAGDAFDLIVRAKTALELQCAGDVSYSDILAESARNLVVMAAGPFYTVKLGRKDSKVSIPPLSKPIFQKSQTQ >OMO94923 pep supercontig:CCACVL1_1.0:contig07782:73242:74042:1 gene:CCACVL1_05698 transcript:OMO94923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAYHLWLLHRIIRHPNKTIIGVNAMNRRFWVHSMMEDVGKNGVLAVQTLRNNIMASTVLASTAIMLSSLIALLMTSGEKSNLFDFGDRNKRALSIKYFAILVCFMTVFLLIVQSIRYYRHASILINLPCTKMYSDHRRRSLTAEYVAATVNRGSYFWSLGLRGFYFSLPLFLWIFGPIPMALSCLCLVFMLYFLDVNVEYGSAVGTNSDDDGDGDGNHENDAELSCLDYSI >OMO94919 pep supercontig:CCACVL1_1.0:contig07782:33506:34557:-1 gene:CCACVL1_05694 transcript:OMO94919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 19, catalytic MVTPTMKKTLIAIMIAGVLAGAVPGNVEAQCGNTCSPTQCCSRFGFCGTSPDHCGVNCRGGPCINNNVALANIVTPEFFNGILNQAAGTCVGRNFYSRKAFLDAVNSFTQFARVGSVEDSKREIAAFFAHASHETRSFCFIEENGGASKDYCDKTKKEYPCNPSKGYYGRGPLQLTWNYNYGEAGKDVGFDGLNAPEIVAKDPLISFKASVSYWMNNVAGVMNKGFGETIRVINGDHECDGKDPAKVQSRIDFYRKYCSQLGVAPVGNLAC >OMO94920 pep supercontig:CCACVL1_1.0:contig07782:36440:41612:1 gene:CCACVL1_05695 transcript:OMO94920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIKTQTINDIETETHAARTP >OMO94921 pep supercontig:CCACVL1_1.0:contig07782:45914:45997:1 gene:CCACVL1_05696 transcript:OMO94921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDEREDEVRNWANAIRQPIKQWRGL >OMP07828 pep supercontig:CCACVL1_1.0:contig04173:80:541:-1 gene:CCACVL1_01216 transcript:OMP07828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRPTMIHLLSAASIMTLSLSKK >OMO88977 pep supercontig:CCACVL1_1.0:contig08873:8056:10470:-1 gene:CCACVL1_08077 transcript:OMO88977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHGAVEVAKTVLEVADVAWTAMEHHQNHRRPNPDDNSPKNHDNSELDKELETLRAENRRLRNHLEQNLKLLNNLSESPAVLHDCPPNLYARLVSTVDSKDFVTRLKSLNESNTKIEFPFKEATGDDMHSAEVLINVDQKEPSWWVWVTDEMVPSNVEEWSGIDDENYIVVTEDHVVDGVANFMAKCILSNPKAQTLTPEQLQKTLLKALRDVSKVEKVLSIWHAGKLFYTLATWGLALAGLYQTRAVLKLAATGVHATSKVVMTAL >OMO88976 pep supercontig:CCACVL1_1.0:contig08873:1766:5143:1 gene:CCACVL1_08076 transcript:OMO88976 gene_biotype:protein_coding transcript_biotype:protein_coding description:TFIIH subunit Tfb4/p34 MASAPSKLYADDVSHVVVLLDTNPFFWSSSSLSFSHFLSHVLAFLNAILTLNQLNQVVVIATGYNSCNYIFDSTTNLNRNFENGRMPVMSSSLLHKLEEFLIKDEQLSKEEPEGRINSSLLSGSLSMALCYIQRVFRSGSLHPHPRILCLQGSPDGPEQYVAIMNAIFSAQRSMVPIDSCYIGTQNSAFLQQASYITGGVHHKPQNLDGLFQYLMTIFATDLHSRSFLHLPKPVGVDFRASCFCHKNTIDMGYICSVCLSIFCKQHKKCSTCGSVFGQSQSEAASASDKKRKTPET >OMP10884 pep supercontig:CCACVL1_1.0:contig01810:1032:1178:-1 gene:CCACVL1_00759 transcript:OMP10884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLALNSFNIGLAMEEACELGTPLWDLHRLDKEFKTFGAATGSLTC >OMP05165 pep supercontig:CCACVL1_1.0:contig05504:3216:4076:-1 gene:CCACVL1_02036 transcript:OMP05165 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 3 MAEKYPNERNDKPEFDFIFVDSDKPNYMKYHEYLKKFVK >OMO55578 pep supercontig:CCACVL1_1.0:contig14661:44047:45990:1 gene:CCACVL1_27174 transcript:OMO55578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANISNKEEPSTAPKPNRWYNLSLGPSFKDQNHHSAKFCTLRYEFKPASIDKSQPGLYHKAKDNRVKVEFENNQHGKPKVMFEGVSEDYKDNDAVLFFDGETFRLERLHRGVKRLRHVRQPGESTAGATAMNPSVGTASESYSPPFGKGAKPDSLNKAPVPSLPLQVERIDIGDFESGESRKENNTEYPSSIPNQSNASPDPRNYESEEQVDIVDDDDDNDGLGTDKPENTSEKASHTGIGIDINIPHQVDVDDEIADVDLSDDEEHKAGRNAADALRAQVNAEGKEEQTSSSSSSSGSDSSGSGSGSGSGSGSGSSSSDSESSDGDSDISI >OMO55575 pep supercontig:CCACVL1_1.0:contig14661:25240:33734:-1 gene:CCACVL1_27171 transcript:OMO55575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKASGYGFDTVFAVGLLGYMADQMVSFCYLAGYRTQRNGRQAQNHFQLISTHPLFIPHRSDMTDEKRDPTWEFGTGVGNGNCNYCQRHFTSINRLKHHLAGFRQNAHPCQQCPRAVALKCIELLIEFFSEEEQCHRKKRLELLPQRGSATGFGDGLYVHRPLLCRPLHLNPTSSDHAPHQFLPITVVNQG >OMO55573 pep supercontig:CCACVL1_1.0:contig14661:3610:16368:-1 gene:CCACVL1_27169 transcript:OMO55573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTKGTSYGGDHYQGEKLNF >OMO55580 pep supercontig:CCACVL1_1.0:contig14661:51619:60636:1 gene:CCACVL1_27176 transcript:OMO55580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSVGIEEDGEGPSNRVPKRQRILPIVIEEPEAEQDVDIEGVEEGEEEEEEEEEGEGEEEDEEEEEEEEEYDEEEEESEAEEAVMSSGVQRDPPPVCLTLTDPEVLDCPICCEPLTIPVFQCDNGHIACTTCCLKVRHKCPSCSTPIGYNRCRAIEKVLESVKAFCQNRVYGCTEGFSYNMKQKHEKLCSFAPCSCPIADCNFQTSSKQLYKHFTDKHKCAATHFFYDKPVLINLRKEDKFQILQEERDGSLFILDNKAQTLGNIITLIRIGPSGERGFFYELNAKAQNESCTLRWQTFTKSTPKLPADVPLSLGFFLVPSPFFPITGTIQMELRVWSYNHFPRNFQRAWASASSSSSVTASSSAASNKKSLVFLGSPQVSATVLDALFNASAAPSSSFEVAAIVTQPPARRDRGKKMMPSAVAQYALDRGFPSDLIFTPERAGEEAFLSSLRALQPEVCVTAAYGNILPTKFLNIPSLGTVNIHPSLLPLYRGAAPVQRAVQDGVKETGVSLAFTVRALDAGPVIARERLEVDDQIKAPDLLAILFAEGSKLLVSKLPSIFDGSAMVNAEPQDDSKATLAPKITPEESWLSFDQKALVLHNKVRAFAGWPGTRAKVLVVDNKNSKESTLELKIITTRVGPDDYIQGNDVNDISFIKGALVFPCGGCTALEVLEVQLPGKKVTTAAAFWNGLRGQKLKAL >OMO55572 pep supercontig:CCACVL1_1.0:contig14661:2179:3132:-1 gene:CCACVL1_27168 transcript:OMO55572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MVAFGNTGNIPLSVVTSICHNENNPFGDTCNDGIAYASFSQWVSVLLVYTFVYHMMEPPLEYYDVVEEGTEIEELRSDLSTPLLNEAEWAGIEDKETEHCRTPFVARLFNSNISDVHDLESIQEENNKCLAEPKVVEKIRIMVEHTPIHQVLQPPLIATVLAILVGIIPQVKTTVFGTDAPLDFITDSMDMISEAMVPAVMLVLGGMLREGPNESGLGIRTTIGIIVARLLILPLAGIGVVYLANKWDFLIEDNAMYRFVLLLQYTTPSAILLGAIASLRGYAVREASALLFWQHVFALLSFSFYMFIYLKLLVSFF >OMO55576 pep supercontig:CCACVL1_1.0:contig14661:37914:39345:-1 gene:CCACVL1_27172 transcript:OMO55576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTVSLSPPPYTSKSKNPPIFYIHPCLIKSSQRISKLGPTPILCTATPSSSNHLKTCKNCKTQFDPLLNHPRACRFHTAHFGGETKRKFESVYAGGTMDTPDSGKVFQYWHCCGSEDPFDPGCTAAPHASYDD >OMO55574 pep supercontig:CCACVL1_1.0:contig14661:18553:19017:-1 gene:CCACVL1_27170 transcript:OMO55574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAASISTSSTRLIINQKIRLFRRRRDPKNWGKSSKFNIMASFRERNGPDYMGKLVDESMIVLRMRIKEMKISESKQLEELPSAWMEWEKQYLLQYNADVCEAMGLLQNFLMNIRPSLAVGIIALVVFMVPISTGLTFFHALQIAHGFISRN >OMO55577 pep supercontig:CCACVL1_1.0:contig14661:40400:41198:-1 gene:CCACVL1_27173 transcript:OMO55577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidylate kinase MMETKLKAGTTLIVDRYSYSGVAFSSAKGLDFEWCKAPEIGLIAPDLVLYLDITPEKAAERGGYGGERYEQLEFQKKVAQRYKLLEDSSWKTLGEIYA >OMO55581 pep supercontig:CCACVL1_1.0:contig14661:63258:64789:1 gene:CCACVL1_27177 transcript:OMO55581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALDYISNFCTVTSTRTKRKPMQTVEIKVKMDCDGCERRVKNAVTNMKGVKSVDVNRKQSRVTVSGYVDPNKVLKRVKSTGKRAEFWPYIPQHLVYYPYVSGAYDKRAPAGFVRNVVQAYPTPSSSAPEENFVSFFSDDNVHACSIM >OMO55579 pep supercontig:CCACVL1_1.0:contig14661:47588:51191:-1 gene:CCACVL1_27175 transcript:OMO55579 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MEMSLTHIAKRPPCLVNKPFLFPNKRREKTVCVKAMKSSYPRAATLSSNWDFSDSSAVTSASAPAWLPRLEDLDTTNMLLRQRIVFLGSQVDEMTADLIISQLLLLDAEDSKKDIRLFINSPGGSVTAGMGIYDAMKMCKADVSTICFGLAASMGAFILASGSKGKRFCMPNSRVMIHQPLGTAGGRATDMSIRIREMSYHKIKLNKILSRITGKPEEKIEKDTERDNFMNPWEAKEYGLVDGVIDDGKPGLVAPIGDATPPPKIGISHLWKVEGGKKARENLPTEHKLLQKGYTGDQGNDGGRDTEQEKREPAPL >OMO51429 pep supercontig:CCACVL1_1.0:contig15830:5628:6855:-1 gene:CCACVL1_29801 transcript:OMO51429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGGLAEVKRKHVQYSKFLGVGSQASISSHTMLSSRAAMVLVYAPSLINDVASFIVFPGEGFRFLLFKSAITIHYGKRVFEDSARASPRPGVCNLLMVKGFIGIAITIVGLSKRKSVFGRVE >OMO51430 pep supercontig:CCACVL1_1.0:contig15830:9606:14448:1 gene:CCACVL1_29802 transcript:OMO51430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKMSASALHRLPADISGPLRGLFIRAWSRSALHRLPAGISEPLRELFIRAWSRSALHRLPAGISEPLRELFIRAWSRSALHHLPASISGPIRGLFIRAWSRSALHHLPAGISRPLGGLFIRASSRSALHRLPAGAK >OMP10462 pep supercontig:CCACVL1_1.0:contig02492:31:201:-1 gene:CCACVL1_00964 transcript:OMP10462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TAMKPLTVCNTITGNGTGYMTLGVSLLAIQSIKNRYKWSVFDAIFNMKKTTKNVFD >OMO85771 pep supercontig:CCACVL1_1.0:contig09580:8915:8974:-1 gene:CCACVL1_10005 transcript:OMO85771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLDPFGSIAAEGPGSDLAR >OMO64863 pep supercontig:CCACVL1_1.0:contig12727:9073:9597:-1 gene:CCACVL1_21604 transcript:OMO64863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MDKEKGKKGSLIIKTWERCKSIGRGRRVSISPGGSGRDAVYKKSRSWASMEVSLKEEKRSKKSRWVAPEGCFTVYIGPEKQRFVVKTKYANHPLFKILLEEAESEYGFNSEGPLVLPCNVDLFCKMLLAMDDGDGHNSKKIFRQGCNFARGYGSYRLLTPPPMIAINQFSVIWS >OMO64864 pep supercontig:CCACVL1_1.0:contig12727:9906:10046:1 gene:CCACVL1_21605 transcript:OMO64864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKEEPEAETKDCWKRGSGEEAKGKTGENKGYVGLCCHLSLWTLE >OMO64865 pep supercontig:CCACVL1_1.0:contig12727:12886:12981:1 gene:CCACVL1_21606 transcript:OMO64865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTTMMVAQVSINQKMAGKVAEYICPSSQT >OMP01274 pep supercontig:CCACVL1_1.0:contig06482:2367:4417:1 gene:CCACVL1_03125 transcript:OMP01274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSGSNINQHSSKMLPPRQQPRPGSLQTSLSLVSSDPRLSPDAQEPRSNSDNVHESPTESASSRETWPTADAVTAKKMENGKTENDCPEQSVIRRVSGADKITLRDIARERVDVISEKMHRLPDEYLDELKIQLRAILEGSGGSQNGEEFMILQKFVQSRSDLTAKTLIRAHRAQLEILVAINMGIQAFLHPTISLSQTSLIEIFVYKRCRNIACQNQLPAEDCTCEICANRSGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQICMGPSVKSGAGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWDRDALMRELDFVSRIFRGSDDPRGKKLFWKCEELIEKMRGGLAESSSCRSILMFFQELDMDSPSSLENGEGGRLIAPQEACNRIADVVQEAIRKMEMVADEKMRMFKKARLSLDACERELEDKAKEVAELKLERQKKKLQIEELERIVRLKQAEADMFQLKANEAKREAERLQRISLAKSDKSEEEYASSYLKLRLSEAEAEKQYLFEKIKLQESSRASHGSSAGDPSQVLMYSKIHDLLHGYNTPSKTESQPKERHGFRTNP >OMO49927 pep supercontig:CCACVL1_1.0:contig16354:51673:52031:1 gene:CCACVL1_30749 transcript:OMO49927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPGSRAANKITDDDINALILKLQPLLPQLNQGRNARVSATKVLNETCNYIRRLQSEVDDLSERLSQCLHSMDITSFEAETLRNLLQQ >OMO49928 pep supercontig:CCACVL1_1.0:contig16354:58219:65829:1 gene:CCACVL1_30750 transcript:OMO49928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFSLNMASPLVAGALRGCPRFAAESC >OMO49926 pep supercontig:CCACVL1_1.0:contig16354:42196:42359:-1 gene:CCACVL1_30748 transcript:OMO49926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSELEYPTLFQHPMDSAFSSRT >OMO49925 pep supercontig:CCACVL1_1.0:contig16354:3785:17338:1 gene:CCACVL1_30747 transcript:OMO49925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTPISINATTQLPLKLIPSNFPSWRAQFDALVYGFDLAGYIEGSMVPPEKEIEQGGKQVANHAYNLWLRMKNIVDDLNLAGVAMDDDDLISSILNGVGPKFREISTAIKARESSISFEELHDKLTFFELHLKQENISDVSILTTNHVKKSHNSNGKSFPPKKQNRHFSSHDRRPSSVTYQLCDKPEHSAKKCYEGRNACSEDVDPHPHNHRKWVASEEHS >OMO50239 pep supercontig:CCACVL1_1.0:contig16248:1702:8002:-1 gene:CCACVL1_30556 transcript:OMO50239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEPFTSGASGRINALFSVRALRALMMLVNAVVLLLLLPFRGQKRAVVGSSGEKVVRDEKQESGRKAASSAAVVRVPAAMVPWRSAAVVVDQEAAARRALAIRRVVQDNDDATVREFSLFVSARGDTLFTQSWTPVSVKVRGLVVLLHGLNEHSGRYSAFAKQLNANGFKVYGMDWTGHGGSDGLHAYVHSLDYAVADMKIFLEKVLAENPGLPCFCFGHSTGAAIILKAVLDSKVEAQVAGIILTSPAVGVQPSHSFFVVLAPIISFLLPRYQVGVANKKGMPVSRDPEALVAKYSDPLVYTGFLRVRTGYEILRITSYLQQNMNRLRVPFLVLHGTDDTVTDPQASQKLYEEAASTDKTIKLFEGLLHDLLFEPEREAITNDIIQWLNCRV >OMO60661 pep supercontig:CCACVL1_1.0:contig13689:1152:6735:-1 gene:CCACVL1_23974 transcript:OMO60661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-D-phosphohexomutase superfamily MVFKVSKVQTKPFEGQKPGTSGLRKKVKVFVQPHYLENFVQSTFNALTPAKVRGATLVVSGDGRYYSKDAIQIIIKMSAANGVRRVWVGQNGLLSTPAVSAVIRERVGADGSKATGSFILTASHNPGGPHEDFGIKYNMENGGPAPESITDKIFENTKTITEYLIAEDLPNVDISSVGVTNFGGPEGPFDVEVFDSASDYVKLMKSIFDFELIRKLLSSPKFTFCYDALHGVAGAYAHRIFVEELGAKESSLLNCVPKEDFGGGHPDPNLTYAKELVNRMGLGKSSEVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVDAIPYFSSGLKGVARSMPTSAALDVVAKNLKLKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDNLNGNKLVTVEDIVRQHWATYGRHYYTRYDYENVDAGAAKELMAYLVKLQSSLDEINTIVKGARSDVSKVVNADEFEYKDPVDGSISKHQGIRFLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDSSKTGRDSQEALAPLVEVALKLSKMQEFTGRSAPTVIT >OMP03703 pep supercontig:CCACVL1_1.0:contig06001:276:2685:-1 gene:CCACVL1_02302 transcript:OMP03703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKTCQIGYCLQFDALFVVEVVMMQANDALKVLKKRLGN >OMO75733 pep supercontig:CCACVL1_1.0:contig11002:5581:16655:-1 gene:CCACVL1_16062 transcript:OMO75733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAAAQGSKGIAKQEGSVAPLRERIVWANAIFFGANKDSAARVKWRLSARTLKN >OMO69940 pep supercontig:CCACVL1_1.0:contig11929:4917:5846:-1 gene:CCACVL1_19190 transcript:OMO69940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease CAF1 MVPAVRSVFSCNLEQELWNIRSAIDRFPLISIDTEFPGTIYKQDRRPDPHDSVTNYQFMKANVDELKIIQLGLTLSDAQGNRRCVWEFNFKDFDMDSDLHDKDSIELLKKHGIDFLKNRECGIDSREFAAKFWISNLLFNHSLSWITFHGAYDFGFLMKIVTQQKLPLDLPDFKYQLLRYFGSRIVDLKHTVHGGLERVSENLTVGRVAGMSHQAGSDSLLTLDCFIELRKRGCFVKSEDSGLMQAPFGLYGLVSKPCWTPLGRCSPPLRGLYGHGIVSKPRWVPLGGCSAPLAAVPWRPNPFIAICPF >OMO83318 pep supercontig:CCACVL1_1.0:contig09905:58807:63830:1 gene:CCACVL1_11439 transcript:OMO83318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVKGKFLKKLKSIKPVDYLKPERVLQVFAIDGFVDSCPKTLNSNEQQPKLFRKDSTEHEKIKQSCLQVEEQPEIIDVAELMKDLEDDDEEDDEMDCDDEIDDKENIRPTRKANTDLAVEKENMNVGMKFETGDRRQSNPLKEINVSSPFRKPDLNSCTLFDPNLLAAFEQAVKEHIKMSEEERKARIEQENLEKKNREETEEEEEESLENIENEPPLKTRRIEEDDVGDGDGDGDGDLLSQFEEKCPPGGDGSVIFYTTTLKGIRKTFEDCNKVRFLLESFGVIFYERDISMHTEYKEEMWRVLEGKVNPPRLFIKGRYIGGAEEVLTLHEQGKLKLLFKDIPVDRSNTPCEGCAGVRFILCFKCNGSHRIIADNGLSSECLQCNENASFAPTLIFVSASYISKLRLAFSASIAKHGCQDRCGNVKIPYPFGIGNCSMHPWFEVSCINETSNSPTILLKRIKMEVLNFSSGHYYLYPYYTRIRVKSPIIFSALALVIHAESVIPSFLQVFRAKFESKGLESESTGCKLAFIADGTWLHNNILTEPSALQNMNYVPALLDWAVPSEDISLDRTPKDCILEEIPVRSSKIRAQWGCYCQNGFEGNPYLPNGCQGPFQQMWRCYVCQSTRILSMCKRQNLDPNSRNLLRLCSVVFSNWIRQLIFEEKECCLGMGLYKYLKKRRKIKFKKKQFKRNGGLLLQQQMCSREGNIDKTKVFTSKELDKATDNFNKDRVLGQGGQGTVYKGMLVDGRIVAVKKSKLVDAGQVEDFINEVVILSQVNHRNVVKLFGCCLETEVPLLVYEFIPNGTLFQYLHHQTEEFPLSWETRLRIAKEVAEALSYLHSTASIPIYHRDIKSSNILLDEKYRAKVSDFGTSRSISIDQTHLTTRVQGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELITGQKPISWERAEEGRSLATYFIISMDESQLFEIVDPRVAKEAKHDELIMVAKLAYRCLSLSGKKRPTMKEVAMELERISSPHKDSSVQNNQEEIDCVHHVDITYRSDATSTSTTSSVFYSATGFIESH >OMO83313 pep supercontig:CCACVL1_1.0:contig09905:17741:22131:-1 gene:CCACVL1_11434 transcript:OMO83313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biopterin transport-related protein BT1 MEEEREENETKQHLLYQDSAENHTRRNHPRKGILEMILRPIKWLKMLSEELHWSFVVGVVIVHGINQGFSVGLRIVSTQYYMKDVQKVQPSQAQVLIGLIYFPVIVKPLWGLLSDFVPFCGYRRRPYFVFAGFLGMISMLVLSLYKNLHLAFAMFCLVAGTAGISIADVAIDAIVTQNTLSHPSLAGDMQSLCGLCASIGQLLGFSLSGFFVHLAGAEGVFGFLSIPASLVVLVGFFLKESQVRNLAYKRVKEKFVDASKAMWRTLKHRDVWRPCLYMFLSHALGLHLHEGMFYWYTNAKEGPSFSQEVVGSIFSFGAVGSLLGVLLYQNLLKNHPFRDMLFWAQLLYGLSGLLDLVLVLRINLKLGMPDYLFVVIDETVTRMIGRIKWMPFLVLSSKLCPPGIEGTFFAILMSIDHIGLLSSAWSGGLVLHVLNVTRTQFDNLWIALLIRTLLRLIPIGFLFLIPRSDPHLSIVPSDISRTKKGNDMLEPENMEMASLVNNS >OMO83315 pep supercontig:CCACVL1_1.0:contig09905:34081:39695:-1 gene:CCACVL1_11436 transcript:OMO83315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFKKPLVQNQTSYSDLKHNRSCSEGNKAAFTTDNKENAVFGVDKENAAPPTANNGCCSSSMAKKAATHLKSLSTIGSFDTTKQVPRYKSLSTGKVLKESSLQFCMQMNEPDKAFGCKLWDPIDSDHSSSLNIWDYSDSEAAPASSWSTLPNRALLCRPLPLDIGRCTCVIVKEPSPEGFNGGTMYSLYTNEGQGRQDRKLAVAFHKRRNGKSVFAIAQNTKGILSKTDDSYIGLMTANLIGSRYNIWNQGGLTKSFNKQSPLLGVVTFMPTIATWTGSFRSMRAYIPKHQSMQLKNVTQMQHISGLPKDWEGKMDKIHKLFSRIPRYNNISKQYELDYRDRGRAGLRIQSSVKNFQLTLEENGRQTILQLGRVDRYKYVMDFRYPLTGYQAFCKPYDVGEVTVALALKESCGNVKQGIQLHKFAITCGLMSFITVPNSLMNMYSKSGHFDEALCIFEGLNDPDIVSLNTLLSGFQKSKEALNFVLRMNSNGVVFDAVTCTTALSFCFDLEDFLFGLQLHTLVMKSGLDSEIFVANALITMYSRCKHLEEAKRVFDEMPNRDLVSWNAMLSGFSQETSYGLEAIWVFIEMVKQGIKLDNVSFSAAVSACGHQRNLEVGRQIHGLSIKGGYGMHVSVCNILMSMYAKCEAGEDAKRVFERINGRNVISWTTMISVDEKNAVHLFSKMRLDDVYPNYVTYVGLIHAITTGKLLEEGRMVHGCSLKMNFLSESNVCNSLITMYAKFALVQDALKVFEELECREIVSWNALISGYAQNGMYLEALRTFLSAVTECKPNEYTFGSVLNAIGSGEDISLKHGQSCHSHLTKVGFNTHPIVSNALLDMYAKRGNFSDSLKVFSECPQKSHFAWTSIISAHARHGDYKSMMTSFKKMKAEGVQPDSITFLSVLTTCGRNGMVDMGQELFNSMMKEYQIEPSSEHYSCMVDMLGRAGRLKEAEVLMGRSPGGPGLSMLQSLLGACTIHGNVEMGERVADALMEMEPNESGPYVLMSNLYAEKGNWEKVAKVRKMMRERGVRKEVGFSWVDIGDINSSLSLHGFSSGDKSHPQSEEICKMAECLGLEMKLLRDKDRQKDIAITV >OMO83316 pep supercontig:CCACVL1_1.0:contig09905:42356:44420:1 gene:CCACVL1_11437 transcript:OMO83316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSSSSLFWSQSAKLISPKLTPSTSRLIFEPKKSSSIVMAAAAPTTTAAEVVPAVIVGGGRVGKALQDMGKGDDLLVKRGEAVPLDFEGPILVCTRNDDLEAVLESTPKSRWNADLVFFQNGMLEPWLQSKGLDDADQVLAYFAVSKLGEPPIDGKTDTNPEGLTAAYGKWASAVATRLQAGGLSCKVLDKEAFQKQMLEKLMWISAFMLVGARHPGATVGVVEKEYRSEVSSLIAELASAVAAEKGLTFEPAMEDRLCAYSRAVAHFPTAVKEFKWRNGYFYSISEKAIAEGKPDPCPLHTAWLKEIKVV >OMO83317 pep supercontig:CCACVL1_1.0:contig09905:45044:56447:-1 gene:CCACVL1_11438 transcript:OMO83317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAVHRDGDYHRAVHVWIYSESTQELLLQKRADCKDSWPGLWDISSAGHISAGDSSLITAQRELHEELGLSLPKDAFELIFVYLQKCVTNNGKFINNEYDDVYLVTTLDPIPREAFTLQDTEVSDVKYISYEEYRSRLAKEDPEYVLYEVNGHYGLLFDIIAKRYKENHEARCLALEKQLRRYAPVSLTAELTGLTDADKEALGLIIKAAMIMDEIFYLQAWYSNPVLREWLKDHADVSHLDKLKWMYYLINKSPWSCLDENEAFLTTADSAIKLLPEAAKPITGWKGLEYRVAFPMLKPPGANFYPPDMDKMEFELWKSSLNADQQQDAMSFFTVIKRHSQVNWDSSLNNHVIDGTNKSAGSHHDLYSIPYSQEYHSFLERASELLHKAGDLVSSPSLQRLLHSKADAFLSNDYYNSDIAWMELDSKLDITIGPYETYEDALFSYKATFEAFIGLRDEKATAQLKLFGDNLQVLEQNLPMDNAYKSKDIIAAPIRVVQLLYNAGDVKGPQTIAFNLPNDERIVKDRGTAMVILKNVSEAKFKKILQPIADACITKEQHELVDFESFFTHTICHECCHGIGPHTIILPDGRKSTVRLELQELHSALEEAKADIVGLWALNFLIKKNLMPDSLNKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWLLEKEAFILNPDETFSVNFDKVEEAVESLSRTILTIQAKGDKEGASLLLKKYCTMTQPLKVALQKLESINVPVDIVPSFPAAKMLVE >OMO83314 pep supercontig:CCACVL1_1.0:contig09905:26005:29064:1 gene:CCACVL1_11435 transcript:OMO83314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSQKTQKDSAAANAAKPTAKVKRTRKSVPRDSPPQRSSVYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQGAYDDEEAAAHAYDLAALKKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLRPNHQSDANSSENAQQDLNSDTNSTLSPKDDIGVGFSIQPQSYSTSETSETPLWLGSGGGGGSAANASSASSALGLLLQSSKFKEMLERTSVVDSPSPPPEPDVRRRSFPDDIQTYFDCQDSSNYTESDDIFGDLNPFVTPMFHCELDG >OMO83312 pep supercontig:CCACVL1_1.0:contig09905:12922:16769:1 gene:CCACVL1_11433 transcript:OMO83312 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MGSACKEEQRKEIGGGKEEQETDEMEDMEDMEDMEDMEDGKEKGATILEMKHRDYCTGGGIKDWNKMLQKTLILDDLRVQSLQARIKNKVSVSDKTEDVSDTRIPLTSGVELGTLNYIVTIELGGRKMTVIVDTGSDLTWIQCQPCKSCYNQKEPIFNPSQSSSFQTMFCNSTACQSLAFATGNSGTCGKNPPSCNYYVSYGDGSYTRGELAHDQLNLGKTPVKDFVFGCGRNNKGLFGGASGLMGLGRSSISLVSQTSAVFGGFFSYCLPSTQSGASGSLVLGGNASVYNTSSDISYTRMISNPQLSTFYFLNLTGVSVGGVTLKDSTFGKAGMLIDSGTVISRLPPTIYKAVKSEFMKQFSAFPPAPAFSILDTCFNLSAYQEVEVPTIKMQFEGNAEMNVDVTGVFYFVKTDASQVCLALASLSFDDETGIIANYQQRNQRVIYDTMQSKLGFAQESCSFT >OMO54083 pep supercontig:CCACVL1_1.0:contig15059:21095:21898:1 gene:CCACVL1_28074 transcript:OMO54083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINVPCPWGEISFEASNDVDTLWYLKLRKFLETSSPIEWLSVDIMVFEHSFNLEEFRQNSPPPPREIQDLCLTVVMPESEYAAVIDGYFSVCHPKNLYLPTYHGHNERSNFYIWVYETLVNGDTYYCSCSNVKCWRHYLKDIKLESFRGKVAPPDSGAWKNEWRNLPEGTVRFALEWCLDVEND >OMO54084 pep supercontig:CCACVL1_1.0:contig15059:28878:30523:-1 gene:CCACVL1_28075 transcript:OMO54084 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IA, variant 3 MGVVSSKIEYEKQYQHVNPAAKYECLLFDVDDTLYSLSSGLSKACTKNIEEFMVQKLGIEESKVTEINRVLYRNYGTSMAGLKAIGYNFDYDEYHSFVHGRLPYHNLKPDPLLRSLLLSLPIRKVIFSNGDKVHVGKVLKKLGLEGCFETVISFETLNPTSESDDETSVKFRGRGSISTKSQSALPDTPIICKPFANAFEQAFKIANINPQKTIFFDDSIRNIQTGKQLGLHTVLVGTSHRTNGADYALESIHNIKEALPELWELAANKKSQGVIYSKKVAIQTSVMA >OMO54080 pep supercontig:CCACVL1_1.0:contig15059:2723:4605:1 gene:CCACVL1_28071 transcript:OMO54080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRISDLPPDIIHQIMSHLSAKQVAQTTLLSKQWKDYLRPSFPILVFSHFDFIDYSGKEETEECDWFIERVFELNIEKFQESMKGFTEFIDATLDRFCKMKLRMDKFKLIIGTTRNSEPWSCLVDKWIGLALENQVKDLTLQIFHDQLYLLPETVFSTKSITNAFNFHSLKKLKLVEVNLDELMIQKLTGQSPSLEVIDLNGCEGFADCHVPELPRLKKFIMSTSQDLKSIQIVAPNLHYFDLFYSKLERPLFRINCPNGNLRDLKLYGNLIEDDHTFQDLISKCPLLEGLRIRSCDTLSKVTISSQRLKQLEFSECNELEAVHIDTPNLLGFCFECTQVPIASINAPCPWEISFGHWNDNVDTHWYLKLQKFLGTSKHIQKLRMRTFYFEEVYKALAAATRDHVNCCSDSFVKCWRHYLKDVKFLSFEGGILSWGKRYLDGDALMKAWPNLPQGVVHFALEWCFDVDEA >OMO54082 pep supercontig:CCACVL1_1.0:contig15059:14697:16615:1 gene:CCACVL1_28073 transcript:OMO54082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRISNLPPHIIHQIMSHLSTKQVAQTTLLSKQWKDYLRPSFPILVFSHFDFIDFKEEEQEDCLHYLHQRLFKLYRHKFEGSVKGFTELVDATLDRFCKMKLRMYEFKLIIGTTGNSESWSCLVDKWIGLALEHQVKDLTLQIFHKQLYLLPETAFSTKSITNLRLYNCKLNHPSATNAFKFHSLQKLELVRVDLDELMIQRLTRDSPLLEVIELKHCQGFENCHVPELLRLRKFSMTTDELISIQIEAPNLHYFYLLCFELQRPLSGINNSPNLRFLSLCGNLIADDHTFQDLISKFPLLEYLAVMICDTLRKVTISSKSLKKLKFSECNELEAVHIDTPNLLEFYFNCTQVPIVSINAPCPWEICFRTWGEVDIHWYLKLKKFLGTSNHIQKLSIPYFETMHSFNFQEFRQGSSSRPLEIQDLRFLITTEDAEGAAMLDGYFSRIYETLEARRDVDCCSDSSVKCWRHYLKDVKFLSFEGGFIDFDKAWPNLPEGVVHFALEWEEA >OMO54085 pep supercontig:CCACVL1_1.0:contig15059:32120:37383:-1 gene:CCACVL1_28076 transcript:OMO54085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MLFPKKPTSRFLLLTLTLLLLLLSSPININASDPTGSEDDSDDLEQLLALDEQEDQQQEGQDSEASIRFSEAEVLSKAQRIVLELNSDNSKRVIDGNEYVLVLGYAPWCVRSAELMPQFAEAATSLKELGSPVLMAKLDAERYPKVASSLDIKGFPTLLLFVNGTSQAYTGGFSADEIVIWARKKTGVPVVRISSTTEAEEILNKHQMFVICLFEKFEGPDYEAFIKAATSDNEIQFLEAGSIEVAKVLYPDIKAANLFLGLVKSEPERYTSYEGTFEAESILKFLDYNKFPLVTKLTELNSVRVYSSPVKLQVYVFAKADDFKTLLEPLQDVARKFITKILFIYVDIMDENLAKPFLTLFGLEDSKSTLVTAFDNKISSKFLLQSDPTPTNIEEFCSGLLHGSIATYFKSQPIPDNKNASVLAVVGKTFDDLVLNSPKNVLLEVYTPWCINCDTTSKQVEKLAKHFKGLDNLVFVKIDASANEHPKLQVDDYPSLFLYKAGDKDSPIKLSTKSSSKELAAFINKHVKAKDQVPKDEL >OMO54081 pep supercontig:CCACVL1_1.0:contig15059:10091:12062:1 gene:CCACVL1_28072 transcript:OMO54081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRISDLPPHVIHQIMSHLSTKEVAQTTLLSKQWKDYLRPSFPILKFSHSDFIDIKEEKRGNLLYCWDMDQVFKHYPHKFEESMKGFTEFVDATLDHFCKMKLMMDQFKLIIGTTGNLESWSCLVDKWIELALEHQVKDLTLQIFHCQLYLMPESVFSAKSITNLHLHNCKLNHPYTSNAFKFHSLKELKLVQVNLDELMIQKLTSDSHLLEVIKLIECQGFANCHVPELPRLWDIYISTKEYLISIEIAAPNLHYFHLVSYKLERPFCRFNLASCPNLRNLWLCGKVIADDHTFQDLISKYPLLESLGVLYCDNLRKVSISSQRLEQLEFSECNELEVVHIDTPNLLKFCFECNQVPIASINAPCPWIITLGTGREVDTHWYLKLKEFLGTSNHIKELWMNIFFRERVYETLLARRDVDCCSYSSVNCWRHYLKDVKIQSFECGGIINCDPDQNLDGDALMKAWPMLPQGVVHFALEWCFDGEEA >OMO54086 pep supercontig:CCACVL1_1.0:contig15059:38527:40480:-1 gene:CCACVL1_28077 transcript:OMO54086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MMLSTKSESDITSLAPSSPSRSPKRPVYYVQSPSRDSHDGDKSSSMQPSPMESPSHPSSFGRHSRNSSASRFSGIFRSSSGRKGNRKRNDKGWPECNVIMEEGSYDEFEDKAFTRRFQALIAVFTFVVLFTVFCLIIWGASRPYKAEITVKSLQVHNFYVGEGADFSGVPTKMLTVNGSLKLSVYNPASIFGIHVSSNPVNLIYSEIPVATGQLKKYYQPRKSRRTVSVLLEGKKVPLYGAGSSLTFTQNGAEIPLILKLEVRSRGNVVGKLVRTKHRRQISCPLVIDSKTTKPIKFKKDACTYD >OMO56393 pep supercontig:CCACVL1_1.0:contig14530:7257:16296:-1 gene:CCACVL1_26578 transcript:OMO56393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S28 MESPAVSSFLLLLITTILLPYLSSSEPSNVFPANKHPRFLGKHSHPIKTKFHQQYRYETRYFSQQLDHFSFSDDVPRFRQRYLINTENWAGPSRLAPIFLYCGNEGDIEWFAVNTGFVWEIAPRFGAMVLFPEHRYYGESMPYGSREEAYKNATTLSYLTAEQALADFAVLITELKRNLSAEGCPVVLFGGSYGGMLAAWMRLKYPHIAIGALASSAPILQFEDIVPPETYYNIVSNSFKRESNSCFDTIKHSWKALTSAAQREDGLGQLSKTFRLCRELKSVQDLSDWLDSAYSYLAMVNYPYPANFVMPLPGHPIREVCRKIDNSPDGSSIVDRIFNGISVYYNYTGDVDCFELDDDPHGMDGWNWQACTEMVMPMSSDRDTSMFPAYDFNYSAYQEECRRDYQVTPRPRWITTEFGGHDTEHVLKFFGSNIIFSNGLLDPWSGGGVVKNISETIVALVAEEGAHHTDLRPSTTEDPDWLVEQRATEIKLIEGFAASSRLKMASPVTPRSGRALSITPGSRVLKSPLSDETIWRRLKEAGFDEESIKRRDKAALIAYIAKLETELFEHQHHMGLIIMERKELASKYDQIKASAEATEIMHKRDQAAHASMLAEAKKREDALKKALGVEKECIASIEKALHEMRAESAETKVAAETRLAEGRIMVEDAQKKFAEAEAKFHAAKSLEAEAGLFRRTAERKLQEVEAREDDLSRRIVLFKNDCDAKEKEIMLERQLLSERQKIVQQEQERLLDGQASLNKREEYIFSKTQELNRVEKELEASRAEIEKERRALKDEKSNLELTSASLSKREEAVIEREAFLSKKEKELLASQEKLANKEYDEIRKATASHETVLRIRKSEFEAELEFKRKAAENEIEMKRRAWELKEMDIKQREDLICEREHDFEVRSRMLAEKEKDVAEKSNHIDEREKDVNGLEKELQSKRVLLEKEKEEISKMKLELQKSLSSLEDKRNQVDCAKEKLEAMRSETHELSTLELNLKEELDLVRAQKLELMVDADRLKVEKAKFETEWELIDEKREELRKEAVRVHEEREAVSKFLKDERDSLRRERDMMREQHKKDVESLNREREDFMKKMMTEHSDWFNKIQQERADFLLGIGTQKRELEDCIEKRREELESSLKEREEAFEREKKNQLLHVNALKERAEKELEQATLEMKRLDAERIEIKLDRERREREWAELNKSIEELSVQRDKLKQQRELLHADRREIHSEIEELKKLGDLKTALDNMMVAQMHNSIIELSRQKASERKTPKQNVVQNAGSGSDKNLAVSVNGNGLSTPLSKATGASPPNSARFSWIKRCSELIFKHTPDKAQMKPEEKSLGIDGEPKVIVEVPCEGELVKGIHDSESEMQRIDAGESLLVSEQGIQAGRKRRLDDSPSHGTKKRRQRKDASELEEDITHSISSTEPNASQDQTALLSSNQSHEVADETNLLVIDKVIKVSEVTYEIKTVGNEVIVAESVQDTPQGSAMTSHANATQGENGGSEEPGTVREADLGNISQVTDPSQEREDISERSKEMVETQPDDNEKIGMRTRSMQKV >OMO56394 pep supercontig:CCACVL1_1.0:contig14530:17767:18506:-1 gene:CCACVL1_26579 transcript:OMO56394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTQKGCKRQNYAHVSFWTTPIIQIFLPFKIRSKHAIM >OMO56392 pep supercontig:CCACVL1_1.0:contig14530:1604:5789:-1 gene:CCACVL1_26577 transcript:OMO56392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class IV MESSAVLASLQPNYLLCPSRSSSSPAAAAATFLPQRTRFSPPQLLKKHILASAYTRNVNEANSPFRSAAVLSDSYSTEASQLADIDWDNLGFGFLPTDYMYMMKCTQGGNFSKGELQRFGNIELNPSAGVLNYGQGLFEGLKAYRKEDGNILLFRPEENALRMRLGAERMCMPSPTVEQFVEAVKATVLANKRWVPPPGKGSLYIRPLLMGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGVAPINLIVEHELHRATPGGTGGVKTIGNYAAVLKAQSAAKAKGYSDVLYLDCVHKKYLEEVSSCNIFVVKDNVISTPAIKGTILPGITRKSIIDVARSQGFQVEERAVQVDELLNADEVFCTGTAVVVSPVGSITYKGNRVSYGVDGFGAVSQQLYSVLTRLQMGLTEDKMNWTVELS >OMP05372 pep supercontig:CCACVL1_1.0:contig05417:8533:8595:-1 gene:CCACVL1_01930 transcript:OMP05372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHILPFSTSAAGATINVKV >OMP05370 pep supercontig:CCACVL1_1.0:contig05417:236:379:-1 gene:CCACVL1_01928 transcript:OMP05370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGEEIVDGSGSTSPSRSCTFRFFFANFRCTNGIKENLPRSGLGNS >OMP05371 pep supercontig:CCACVL1_1.0:contig05417:3497:3631:-1 gene:CCACVL1_01929 transcript:OMP05371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDGPEDLVIVGYNLRIVDLITKYIQLSEKYRARFEIILYKPI >OMO53214 pep supercontig:CCACVL1_1.0:contig15285:12656:13378:-1 gene:CCACVL1_28807 transcript:OMO53214 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MSAPWAFSMWGIDVIGVINPKASNGHRFILVAIDYFTKWVEAASYASVTQAVVTKYIKKEIICRYGLPERIITDNASNLNQGLVEETCKQFKIVHSNSTIYRLKMNGAVEAANKNIKKIVAKMTETYKDWHEKLPFALHAYRTCVRTSTGGGGWGGATPYSLVYGMEAVVPIEVEIPSIRVYRETKLEESEWVQERYDQLNLVDEKRLTALCHGQMYQKRMERAFTRKYARESSKKEIWY >OMO66111 pep supercontig:CCACVL1_1.0:contig12584:18520:18606:-1 gene:CCACVL1_21295 transcript:OMO66111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKEEEARWRSPSVADSGTEGDQGRRR >OMO54074 pep supercontig:CCACVL1_1.0:contig15061:3731:5464:-1 gene:CCACVL1_28080 transcript:OMO54074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVISNLEAHFIGEPLLTSFFDFVVGMSVSSTKAFPSNMFSLFSLVYLTKTKKTQTQV >OMO96557 pep supercontig:CCACVL1_1.0:contig07438:11234:12441:-1 gene:CCACVL1_04896 transcript:OMO96557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMVKSKKAKKNHEHEEAVAKDRLSDLPDCILHHILSFLPDIKFCVRTTLLSKRWNNLWACLPDLIFDNLWASTSMFKKFVRNVLNKRENLPVNKFTCNYYEKDKSFIATIINYAVSHNVQHFSIGAKSPLIYLSPLFNISGSLKTLDLRYFNSIHLLEDFTLPSLTTLSLWWCRFFGVNGNGELISIDPFVGLFNLKSLQLLYCSMGRVRNFKISGPQLDSLTMSSWYNYHCECEVEIVAPKLRFFSCESRFHPVKFSHLDFPLLEIADIRASVPYARKKSLLKLISIIFPGLYNAQTLVLPSDIIEGLMECPDVLEDRSSPFLRLKTLKVRYCSFNLRIPDNVIKYFCENITVEDITIF >OMO96558 pep supercontig:CCACVL1_1.0:contig07438:13633:18199:-1 gene:CCACVL1_04897 transcript:OMO96558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTQKSKKAKKNHAIEEEVIEKDRLSDLPDCILLHILSFLPDIRFCVRTTLLSSRWNNLWASVPDLIFDRGESRNASSFKKFVRNVLNKRENLPVNKFTFKYDEKDKSVINTIIKYAMSHNVQHFSIITKSASLTYLSPFFNISVSLKTLELRYFDNMYLLENFTLPSLTSLSLRWCTFGDGEPISIDPFVGLFNLKSLQLAACSASARRFEMRGLLGIGSVRNFKISGPQLDSLIVIGLGDCKVEIFAPKLHCFSATGVHLMKFSHLPLLEVAEIIEINLAFLLDSEEEAYVKSLLDLIKLKDSNAHQQEATKLGAVAAYITANTLLAGGSSDFSFVFAPHWLIMAGRIQKTKKAKKNHGEEGVVEKDRLSDLSDCILLHILSFLPDIRFCVRTTVLSKRWNNLWASLPDLFFDKLESRNTTFSWFKQFVRHVMWRRKNLPLNKFSFGYHRTLEDDSMFATIIKYAMSYNVQHFSLYLQSASLTYLSPFFNISVSLKTLELQGFDNMHLLEDFTLPNLTTLSLYWCRFGGKVNGEPISIDPFVRLVNLKTLELIGSSIRRSVGNFKISGPQLDSLTLPLSGSSDHCKVEIIAPKLRFFSCTSHDPVKFSHLDLPLLEIAEIFVGLPFLNKDESLLELINLFRGLYNAQSLLLYSNIVKGFMDCPQVLENQPSPFFRLKTLKVKRRYSFHGAFKIPDIVIKYFGENITVESN >OMO96556 pep supercontig:CCACVL1_1.0:contig07438:7436:10486:1 gene:CCACVL1_04895 transcript:OMO96556 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MLTSIQNNITAHENRMSRKQKAMLNSAYMEPHRPSTAPLARSPIHPDLAQLLCKFQTVFDTPKGLPPQRFHDHHIHLQPGTGPINVRPYRYPHSQKSDMATIISEMLHEGIIRPSTSLFSSPVLLVKKKDGTWCFCVDYRALNDATVKDRFPIPTVDELLDELHGATVFSKIDLRAGYQQIRVAPEDIYKTAFKTSDGHYEFLVMPFGLTNALSTFQSAMNDLFRSHLRRSVLVFFDDILVYNPSWEAHLDHLSVVLDLLQTNQFYAKESKCTFGRSSIDYLGHIITGEGVKVDHSKIEAIVDWPTPSNLKALRGFLGLTGYYRKFVKGYAAIAAPLTNLLRKDQFLWDSTTSKTFQDLKLALTSTPVLRLPDFASPFCIEADASNIAVGAVLSQGGHPIAYFSKKLSPQMQFASAYVREMYAITEAVHKWRQYLLGRSFTIFTDQQSLRNLMTQTIQTPEQQKWLAKLLGFNYSIVYKPGRHNLAANALSRCPEPAAQYLATSSPVFSFLDSLRHYYATSETGQQLLNQGQQDSNMGYSISDGLLFYQGKIVIPEGHELQSQLLHEYHGTPTGGHAGISKTYSRLAAIFFWPQMRKSVHTFVQSCQICQQDISMDFITSLPPSNGKTTIWVIVDRLSKYAHFIALPSSVTAASLAELFSKEICKLHGVPRSIVSDRDSLFLSQFWKELFKLQDTSLKMSTAYHPQTDGQTEVLNRCLETYLRCFASDKPRLWSKFLHWAEWSYNTATHSATGFSPFEVVYGRPPPTIASYVIGQTKIAALEDSLLERQNILNELKTNLAHAQNRMKMQADRKHSEKSFSEGDWVVRRIGSVAYELKLPESAKIHPIFHVSLLKRCYGSPTDSFTPIPALSDDEKPILEPAEILERRKVSSGGIFSDQCLVQWKGLPVTEATWERLEDLKTLFPTFNLEDKVGLEGQSSVTITRPKRVRNAPQLNKYYEMSHK >OMO78557 pep supercontig:CCACVL1_1.0:contig10567:3431:4449:-1 gene:CCACVL1_14305 transcript:OMO78557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDAMEFYFNDHLVKANIFIYAGCSQEKYAPNSPFEGNLNSFLASVVSSSRQESYNTYAIGNGSSTPPEATIYGLYQCRGDLQTADCSRCIESAVNQIGLICPYSYGASLQLEACYLRYEHADFLGTPDTSLKFKKCSKSVNNDVEFFRRRDDVLGDLQAAIGFKVSSSGLVEGFAQCLGDLSPSDCSACLGEAVGKLKSLCGSAAAADVFLGQCYARYWASGYYGEFSPGTYK >OMP11229 pep supercontig:CCACVL1_1.0:contig01468:3823:3921:1 gene:CCACVL1_00616 transcript:OMP11229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HASSIKAETFSFASSIKAEADPTTNRATKQKNQ >OMO61126 pep supercontig:CCACVL1_1.0:contig13582:3741:4034:1 gene:CCACVL1_23730 transcript:OMO61126 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat and SOCS box protein 2 EVDNDSDGYVVDPIIRLQDIILQDDKQKFLTFLEEDDFPKILPPCITLQLIKFGGEECAEALLEDEIGYTIDIHDTLEDSFENWTPLHKASYYGYLAF >OMO66409 pep supercontig:CCACVL1_1.0:contig12549:41353:41448:-1 gene:CCACVL1_21168 transcript:OMO66409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSHPSLSQSLSSISHFASVSRLSLGNGE >OMO66411 pep supercontig:CCACVL1_1.0:contig12549:68207:70296:1 gene:CCACVL1_21170 transcript:OMO66411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELIGSPGTVSGLTLRITQCGFAAASIAVMVSASDFSTYTAFCYLIASMGLQLLWSFGLACLDVYALRRKRDLHNPVLVSLFVVGDCNVITGSCMFICWGYSPVCKRPELLQVSRSSTLQAI >OMO66406 pep supercontig:CCACVL1_1.0:contig12549:25259:29499:1 gene:CCACVL1_21165 transcript:OMO66406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl phosphate reductase GPR MDSMDPSREFVTNVKRIIVKVGTAVVTRADGRLALGRLGALCEQLKELNSQGYEIVLVTSGAVGLGRQRLRYRKLVNSSLADLQNPQYEIDGKAAAAVGQSSLMALYDTLFSQLDVTSSQHLVTDNDFRNVSFRKQLSETVKSLLALKVIPIFNENDAVSTRRAPYEDSSGIFWDNDSLAGLLALELKADLLILLSDVEGLYSGPPSDPNSKLIHTYIKEKHQGEITFGDKSRLGRGGMTAKVNAAVCAAFAGIPVVITSGYGTDNVIKVLQGKRVGTLFHQDAHLWTKVKEVGAREMAVAARDCSRRLQALNSEERRNILLDIADALEANETLIKVENDADVAEAQEAGYEKSLISRLALKPGKATSLAKSIRVLADMEDPIGHVLNRTEIADGLILEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITSAIPNKVGDKLIGLVASRDDIPDLLKLDDVIDLVIPRGSNKLVSQIKNSTKIPVLGHADGICHVYVDKSAKADTAKQIVLDAKIDYPAACNAMETLLVHKDLSSKCTGLLYELIMELQQEGVTLYGGPRACSLLNIPEAHSFHYEYNSMACTVEIVDDVHAAIDHIHRHGSSHTDCIVTENHEVAEIFLHGVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGNGQVVDGDKGVIYTHKQLPLQIQL >OMO66415 pep supercontig:CCACVL1_1.0:contig12549:91925:92200:-1 gene:CCACVL1_21174 transcript:OMO66415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPFVYRAFVQYKNGREGVLATWFNESPSASYMRLPTGDSGRFQFSDHNGNFSASSSTSMAASSSSQIILSTGVQSPVCRLTSRRVVT >OMO66408 pep supercontig:CCACVL1_1.0:contig12549:37561:38635:-1 gene:CCACVL1_21167 transcript:OMO66408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein MASYVMLRRVPATAMAVANRAMAVANRTTVSLKAAAHGHGQELYGTQRPLISSMFFSSKSKKQKEKVVVSSSSSDSSSSDSDEDGSDVWSSESDLSDHDSDHGDNSVAENPVDNQNQAPESEPTMAILDIIGSKLQSKSKTSTVKPDAEKYSKIPFGIEFFERSPTLTLKRNYGNEEITVGVSKDALDAGKDLVPLNVSVTKNGGVSLHFGVNAFPEKLEITSYLTSTTDAGGVRSYQEPPFSEIVGSNLFMNFMAYLDVRGINASTINALRVEKKPEPEPEKDSLEWMKNLKKFMEM >OMO66405 pep supercontig:CCACVL1_1.0:contig12549:17055:17897:1 gene:CCACVL1_21164 transcript:OMO66405 gene_biotype:protein_coding transcript_biotype:protein_coding description:C1-like protein MAPLSKKTVSIRHFTHDHPLTEANSDTEFLCDGCKTLGTGTRYRCEPCGFDLHDYCATCPMELPSFMHEHNLKLVLRKPKGTRQIIRVCDLCSDPVEGLFYRCQSCEFDVHPCCTQLPEYVRHVMHKEHPLKLQRMVPGMCMVCKDSCTSWHYRCGICNFDLHIECVLQPICEEAPAASTPMSTSRSIHKQGGPSPSASAPPFFDANHGYGYGRLTVPPPSPYFGYGVPPSTPYFNPYGAPPVYGSPPAGGSLTSMVISIIVVKLRVREVVENLGKNFIE >OMO66404 pep supercontig:CCACVL1_1.0:contig12549:12420:15682:-1 gene:CCACVL1_21163 transcript:OMO66404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEEGPPPQKRMNNTAVAELLQAGEMAAASKDGSGEACAHQRVGTQKKKVLSTNKKEAKSQLNYAIPIANLLLAWGWEDRKSSDAWRAALILVSGVVLPPIRRVSFPLLLTTSITLLSFLLLNPAPPLPLRRRMGFVTVNSHMATEEKSVSGDRMLVFVPPHPLIKHWISVLRNEQTPCPIFRNAMAELGRLLMYEASRDWLPTVTGEIQSPMAIASVEFIDPREPIAIVPILRAGLALAEHASSILPATKTYHLGVSRNEETLLPTIYLNKLPEKFPEGSRVFVVDPMLATGGTIVAALDLLKERGIDNKQMKVISAVAAPPALQKLSEKFPGLHVYTGIIDPTVNEKGFIIPGLGDAGDRSYGT >OMO66412 pep supercontig:CCACVL1_1.0:contig12549:74753:76349:-1 gene:CCACVL1_21171 transcript:OMO66412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKAIGVTEVVLAINYQPEVMLSFLKEFEKKLDIKITCSQETEPLGTAGPLALARDKLIDGSGEPFFVLNSDVISEYPLKEMIDFHKGHGGEASIMVTKVDEPSKYGVVVMEETTGKVEKFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAAENKLYAMVLLGFWMDVGQPKDYITGLRLYLDSLRKKSSAELAAGSHIMGNVLVDESAVIEDGCLIGPDVAIGPGCIIESGVRLSRCTIMRGVRIKKHACISSSIIGWHSTVGRWARLENMTILGEDVHLGDEVYSNGGVVLPHKEIKSSILKPEIVM >OMO66407 pep supercontig:CCACVL1_1.0:contig12549:33720:36634:-1 gene:CCACVL1_21166 transcript:OMO66407 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein MAISYIMLRRAPTTAASLAVASRPLRAHVHGNGSKLCQQLYRTQRAPMCTLDHVKPNSPESVILDIIGSKLQSNPSPFNPADERFSKIPFSIKSNDNWDFPYLTLKRSYGKEEISVYISTNAIDAGKDIIPFEVTTTKETKQGGSFSLFFRIHAFPEKLEITECYTLFTNASDSQLQEKLPLSEFRRLNLSTSFIEYLSARGINPTTINALRAAGNDSLKWMKNLKKFIEIKEDIDARKDMIHTEVKVTKANPKQGGLSLCFIVYAYPKELDICSCETISTDASGRYSQSESLPLWDLDRSNLSRSNFIEYLDARGINPTTINILRTAGEGPKWMKSLKKFMEM >OMO66414 pep supercontig:CCACVL1_1.0:contig12549:82207:88804:-1 gene:CCACVL1_21173 transcript:OMO66414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPPLLILTMAMLFMLFYADCVVSLKICPNCGRIPVPYPLSTGPDCGDPWYKVRCNAGTLWLDAMNKSSYMITSINPMSQTLIIRPPGFAKNKCMAADFGSGGVFLDSNLPFNITDTNTVMIMNCSALVLTEYAALNCSSTSICHDYVKGNSEAKANCGTLPYCCWFLTGGTMHAYRIHVRPERCSAYQSFVNLDMDLPVNKWPQPGLELEWVPPVEPKCKLPADCGGLLNSMCLPDPVNVGQKRCLCKSGFQWDPIHGICQDLKCKHGKSCKHKKNKTSMLGGAAMAAGAIVIGLIVTIIIVYKQRKHCEREAEVSLTKAHKDLLSSNSGKLAKFFTSKEIAKATNNFSEDNLLGSGGFGEVFKGILDDGTNIAVKRAKLGNTKGIDQIINEVRILCQVNHRNLVKLLGCCVELEQPILIYEFIPNGTLFDHLHRTTSGNVIQLHPLSWQRRLCIAYQTAQGLAYLHSSVVPPIYHRDIKSSNILLDENLDAKVADFGLSRLGLSSASHVTTCVQGTLGYLDPEYYLNFQLTDKSDVYSFGVVLMELLTCKKAIDFNRDEEDVNLVVLSRRFLKEEKFMDVIDPFLLQEAGKAELETMKALAILAGSCLNEKRQNRPSMRTVVKEIENCAAAIVHCSNCGKFPVPYPLSTDPSCGDQAYKIRCTAGVLWFDALRGSYMIVSINPLTQRMSLRPPGLTVNTCISSDITTQGIQLDEKRPFNITSSNTIFLLNCIDAMLHLQPPIDCAPTSLCHNYIKDNAAVCIKAPPLCCMFKPGGLQSAYMVRVHDKGCLAYQSFVNLDSVHPPKKWPEPGLELAWALPKEPVCNMPVDCKSLLHSKCLADPMRIKRCLCHKGFKWDPINGLCQSAKCPPGKRCKKPKKKAVLICGVAAALGGVSLAIMAGILVYKQRIRQSVKKEVHKNIIKERHEILNAKNHGKSARIFTGKEIIKATDNFSTANLIGSGGFGEVFKGVFDDGTITAIKRAKLGNTKGTDQVLNEVRILCQVNHRSLVRLLGCCVELDQPLMIYEFIPNGTLFEHLHCNLSGKFAPLTWKLRLRIAHQTAEGLAYLHSAAVPPIYHRDVKSSNILLDEKLNAKVSDFGLSRLVETTETGDHHMYTSAQGTLGYLDPEYYRSFQLTDKSDVYSFGVVLLEILTAKKAIDFNREEENVNLVVYMKTMMDEDRLMDAVDPVIKEGASNLQLETIKAFGLLAGSCLDEKRQNRPSMKEVADEIEYIINIAKGEVVSEV >OMO66410 pep supercontig:CCACVL1_1.0:contig12549:45646:45732:1 gene:CCACVL1_21169 transcript:OMO66410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLRDLQIRNRDKRDPTICLKRTLSDG >OMO66413 pep supercontig:CCACVL1_1.0:contig12549:78630:78773:1 gene:CCACVL1_21172 transcript:OMO66413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNKGHRTPTSSIRLIRSKVEKMLMDLTSSLQSSFHTKSLALTRMA >OMO66402 pep supercontig:CCACVL1_1.0:contig12549:3989:4625:-1 gene:CCACVL1_21161 transcript:OMO66402 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MSTAKKVTLVTADKVEFEVDEAVAMKFGIVKSFLEENSEKIPLPNVYSMVLSLVIEYCKAHLELTDEKAKQFNENFFKSQHNQTLMAMCLAANYLDEKELLDTVEQAIADRMENKSVEYARKFFGIENDFTPEEEEDLRQGNEWAFEGVDDDEQLSEKLWYCNINISI >OMO66403 pep supercontig:CCACVL1_1.0:contig12549:11321:11806:-1 gene:CCACVL1_21162 transcript:OMO66403 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MSTAKKVTLVTADKVEFEVDEAVAMRFGIVKSFLEENPEKIPLPNVNSSVLSLIIDYCKAHVEFASSENKADEKAKEFDENFVKAQGNETLVAMCMAVNYLEEKELLNMVLDAIANKIKNKSVEFVRKYFGIKNDFPPEEEEALRRENHWAFQDVDVDDNE >OMO66606 pep supercontig:CCACVL1_1.0:contig12535:44186:53244:1 gene:CCACVL1_21060 transcript:OMO66606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate-energised proton pump MMIGDDVENGNLGPYQDRPRTFPNMRSKTYTPLIFRILLGINVRVLVILLLLGFGFVFYVGASTSPIIVFVLSVCIISFFLSIYLTKWVLSKDEGPPEMVQISDAIRDGAEGFFKTQYGTISKMAFLLALVILSIYLFRSTTPQQESSGLGRATSAYITVAAFLLGALCSGVAGYVGMWVSVRANVRVSSAARRSAREALQVAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVVSSIGILSIRSTRDSSMKAPIEDPMAILQKGYSVTIILAVLAFAGSTRWLLYTEQAPSAWLNFALCGLVGIITAYIFVWITKYYTDYKHEPVRTLALSSSTGHGTNIIAGVSLGLESTALPVLVISISIISAFWLGHTSGLVDETGNPTGGLFGTAVATMGMLSTAAYILTMDMFGPIADNAGGIVEMSQQPESVREITDILDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVESFARESFKQVDIAIPEVFIGGLLGSMLIFLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMEYTEKPDYGRCVAIVASASLREMIKPGALAIVSPIVVGFLFRVLGHYTGHPLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSDAHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPIFL >OMO66608 pep supercontig:CCACVL1_1.0:contig12535:55469:57054:1 gene:CCACVL1_21062 transcript:OMO66608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEERKKRVVVESLGWLTESSIMPKKHRAIEGVGASSILELKAELYKSQEESKKSKELTGADVEYHRAKKRIAPHDTFSRKNSGVEARAHKDKLELKAVKDGSANYAALEKKAELYEKLVRGELSDEEDKEKYCVDFFRKGIEQEESHQPRVDHTSDNVQTGGNDGEGDGESDVGPSLFNTKFIGPGRTGGFFDNDEHKRFVREVHEEANQARETVSELKLRRQEQAAARREKLRQAYLRKQLEKLKAASNTEQT >OMO66605 pep supercontig:CCACVL1_1.0:contig12535:7718:12185:1 gene:CCACVL1_21059 transcript:OMO66605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C13, legumain MNGTSHKLSSRISKFDHVENGNLNDNDNIEGKRWAVLIAGSNGYWNYRHQADVCHAYQILKNGGLEDENIIVFMFDDIAFDFSNPRPGIIINKPDGQDVYQGVPKDYTGEDVNVNNFLAVLLGNKTALTGQGSGKVVDSGPNDRIFIFYTDHGGPGILCMPGSEESLTTDDLISALKKKHEAKSYKSMVIYVEACESGSMFEGLLPRNLNIYAITASNANESSWGTYCPGFFPSPSPEYDTCLGDVFSVSWMEDSDIHDLRHETLQQQYQLVRRRTGFDYGDQGGSQVMQYGSVKIGKDFLFTYMGTNPENDDYYATTSNSNYNQSINLPITSKVVSQRDASLLHFSHKFERAAEGSPEKAEAQKELLGELSHRKHIDESINQIVTILFGHENVSKMLNSNQSAGKPLVDDWNCFKLLVNTYRKHCGSRSRCSLDVQVRALAKVGKSKGDIDENSFVGCYALCYILCYTPQTPPFSCAVKCLAKCIFQPKTPTPLQQTHYYCNLGCATSMCANLSTNQNPGGEKVGSCVDSCSRTCTTKN >OMO66607 pep supercontig:CCACVL1_1.0:contig12535:54341:54805:1 gene:CCACVL1_21061 transcript:OMO66607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPGTPSIAPQKDKESSGGNNNNNNNNKAKKKIPTPKELISHYQNQGLDSEQASIKVIEDLQNVVMRVISSNSKAKKDKFLIDTSRKIDGVNSRLAVVDMKLDSKPSYLQTFAIGIASGAALNGINSVLPHVFEGLGQIWSSVRTVTKPSSSP >OMO66610 pep supercontig:CCACVL1_1.0:contig12535:61017:68411:-1 gene:CCACVL1_21064 transcript:OMO66610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MPGWFLAACIPNVAATAFVDYVVKPPVRHLGYVFRFNKIVKNLKEQRHQLTLKQASVEDAVKDAKNQIQKIDVMVEDWQNTAGTLHEDVVSLEADIQEKKSCFNWCPNLYWRYRLGKQAKEKSLAISDLMNKSEFQYIGHPADLPRISLLPSQGIMPSKSSDLAESQIMEALKDDGVNIIGVWGMGGVGKTTLVNEVGRKSKELKLFGEVVNVVISQNQNIEKIQDSIAELLKSRLSNTSEVGRAEQLWSRLENEKSILIILDDLWKKLDLKKVGIPIGEHHKGCKILLTTRSQKVCSLMGSGRVVRLDVLDKDEAWQLFKSCAALDDDTCPDIVEVATEVAKECKGLPIAISTLGKALKDVSSLHEWSEACRNLKRSRLLDIECVAEDEENAYKCLKLSYDYLRRDETKKCFLLCCLYPEDYSIPIELLVRNAWGLELFKGKQSIQEARDAVYTVVDDLKARSLLLDDGQKYVKMHDMVRDVALWISSQKENHFVIKTMLGAREWPRTESFEYCTAISSISCNIKGIPEGLKFPELEFLSFRDGGLQKGETTAFSVASFGGMKSLKVLDLVNIKGSLSQDSLQLLTNLRTLYLEFCELDTYSISSLGNLKNLEILSFYGSDIEILPDEVGELESLRLLDLTCCQRLKRIAPNVIRRLSRLEELYLGYCGFDEWLIIGTEAIVKNASLLELNELPHLTILVLAVSDSERLSEDFVFPKLQSYDIAIKRDTEHLYPSRRCLKIHETASLHAFQNLFENVELLELDRIENCQNLVQGGLNSLSVLQVRSCTMECLFDPRYQQVPGVTMSNLSVLKLEGVNCFKGLCNGPPPDGFLKKLDTMVISECFELKKPLFPSSVTKNLVQLKSVEIGSCYMLEQIFEEMEEGVDDDQVLEKLENLEIRRCGFLKSVIPSSVSKNLVQLKSVKIAYCNRLNQIFAGIEMEGANEVLRKLESLQISYCNKLEHLFPSSIIKNLIQLKLLEITYCNKLEQVFEEMGPAVDIQPHYLLPKLEVLEIGDCPKLRPFTVSSQMEVIAICNNNVGGSNQLCNEFVAPQNLSNMEYAVIGNQVEEMFNLKDGNMVSNLKKFAVENLPKLRVIWRSPKQLVTLQKLEGIEVVGCNKLRYIFPSFLLAHNLPMLRFLHICGCEDLKQIIGTSSSSSSSSSQDCDLQSLSFPNLSHIVIESCNNLEYVFPTSIVGDLQRLDQIRISKASKLKQVFGCEDHNNVKDREHEEETVQLPKLESLLLEELPGIISLISSLDYHFAFPSLKELRVTNCPKMATTFSIDSQSRAHAKPQMEGSEITEEVSESRETTNGNAKKKIFVAGATGSTGKRVVEQLLAKGFAVKAGVRDLDKAQTLLSKDNPALQIVKADVTEGSEKLAQAIGDDAEAVICATGFRPGWDLLAPWKVDNYGTVNLVEACRKLGVNRFILISSILVNGAAMGQLLNPAYIFLNVFGLTLVAKLQAEQYIRKSGINYTIIRPGGLRNDPPTGNVVMEPEDTLYEGSISRDQVAEVAVESLLHPEASFKVVEIVSRTDAPKRSYQDLFGSIKQR >OMO66611 pep supercontig:CCACVL1_1.0:contig12535:76606:77019:1 gene:CCACVL1_21065 transcript:OMO66611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKASASIAFLLALNLLFSTLVIGYYDICQPLFPNSELDERLLSPRFTSLLTVLSVDDLIKLENPLSDSCCEFFINYESDRGLSRDEKNYHLCENLVTFIIDILPESLVSNNFELDAMVDRLNSYCGTNITGCHY >OMO66609 pep supercontig:CCACVL1_1.0:contig12535:60356:60547:1 gene:CCACVL1_21063 transcript:OMO66609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSKVAMLLIAYLTILAVFATGATAVREDLGAIAPSPMESAGAALGVPAALAAAACMLAWFF >OMO66604 pep supercontig:CCACVL1_1.0:contig12535:2820:5218:1 gene:CCACVL1_21058 transcript:OMO66604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGQEHKTRDDAQVEIQERGEIFFFYRPKVNKEEAHSVDDVQRLYIVLRPESGERPVEEKQDPHSGKEGSKANSGEGSAKDAPKGGQGSQQVNIEKEPLLRFIVMGRNRLPDPRKKPQPYWGFVELVTTKVEDVKSAMEGGEYDTSTRGHRHVPPVRAVGEGVYRLVRHNKSGKNNKNTMHTHLIYKLEFPHETKENEPQESLNINREDSLVIQIKNPDQSGHGNSGFRGLQSKRKAVFPAHLQGKMGKKRWYPADPPDFLNYEGCEFLMIAASDDIDEELGLELDIEQGEEADPSCSDLVRTFGETASTAPLFEGIWA >OMO93777 pep supercontig:CCACVL1_1.0:contig08062:32941:33393:1 gene:CCACVL1_06354 transcript:OMO93777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIEEETKEEARNLQIATRCVKAALLLSSLKSTMIRVSEARNNDQDKEEEKMRREMENIKVELVKERLKMKKIKLFGWMELIIPLILVVLICSFFMKRALDSFLFDDPSPFCDY >OMO93775 pep supercontig:CCACVL1_1.0:contig08062:25167:26011:1 gene:CCACVL1_06352 transcript:OMO93775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFQAPFSLDMRKTTLDDHHHQLDNSSKKRKLDHEPHGDEEETFEKRSKAETTKALFHDMELHLETPLPLEWQRCLDIQSGQIHFYNSRTQTRTSKDPRRSPEPPSSGGGHMSLDLELNLPCDSVRKINAPDDHKNQFINSVISLSPPKGTGGRTSVVVDDDDKKKINSSSTPRRSLSWLAVAEEEDEKEQEMVATVCMRCHMLVMLCKSSPACPNCKFMHPPDQGPPKLFKPRLSLLC >OMO93779 pep supercontig:CCACVL1_1.0:contig08062:50083:52542:1 gene:CCACVL1_06356 transcript:OMO93779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MPKFHLQFKNPLADHEPCLPFPSNSNLLVPGGLFIEPSIPSSFLDFISPFKDPSASKRAVRFSRRQKPEAVPVKDLFFLSVSLSNDGLVSEPKEFLLQNGVKKKSNEEVAVPRRKVRVTRRGAVNTTKHLWAGAIAAMVSRTFVAPLERLKLEYIVRGEQRNIFELVKKIAVTQGLLGFWKGNLINILRTAPFKAVNFYAYDTYRKQLLRLSGNEDTTNSERFIAGAAAGITATVLCLPLDTIRTKIVAPGGEALGGVIGAFRHMIQTEGFFSLYKGLVPSILSMAPSAAVFYGVYDMLKSAYLHSPEGRRRIQNMSQHGQELNALDQLELGPVRTLLYGAIAGACAEVATYPFEVVRRQLQLQVQASKMGALATGLRIVEQGGVPALYAGLIPSLLQVLPSAAISYFVYEFMKIVLKVS >OMO93780 pep supercontig:CCACVL1_1.0:contig08062:54506:59990:1 gene:CCACVL1_06357 transcript:OMO93780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSGYGAIDGCCRCSCSSGENGGFHDGPANNGIHVSHDETCTHCARSKASSCSSSGLGFNSVLLTDSEKLRRIIVASAKGFSIGAGLKGGLALFSLLARLRRKKSRKVEAFSDKEAISLAIKETLRYGLFLGTYAGTFVSVDEIIGALGGHNRTARWRALVAGLIAGPSMLLTGPNTQHTSLAIYILMRAAVLASRCGIKSKRFGKLCKPLTWKHGDIFLMCLSSSQILSSYILKQDSLPPSYRSFLNKHGGKDLVILQGVKEIASGLPFTNLQAIEKFYKSTGVDIKLDPNMKIPCSMIHGGQSCGGHVASFLIEAYKRALPVYLPVYLIPALIVHRADLLKRPYTILGKGLLGTARSSLFLSVYCTSAWMWTCLLFRIFRKCNIPMVAMGTFPTGLALAIEKKSRRIEISLYCLARAIESFFTCMADAGYLPQSKSLKRADVVIFSLSTAIIMHCYAQEREVFRSKYLNVLDWVFGVPPPPCETPRCKNSLTVCNASG >OMO93776 pep supercontig:CCACVL1_1.0:contig08062:27159:32006:-1 gene:CCACVL1_06353 transcript:OMO93776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MEMVVTLPSTKPVVGCDMIPYVRFTPPLPRPLYKLSYTWDRKIKKFCSVHPSELATVECNVCAKLGQSYNCCSVKCYKEAWPTHKHSHPNPSTSPESDYRDSVLEYDDSRQWEWVGSSEFYKPSEKDVNCILRVTCVAQDPAEGTPLAPVYIKETDPVIPFPPLCGRCMIESGSYQKSHLNFETQSSDGFTFSVLSYNILADFYARLGKHNYCPDWACTWEYRKKNLLREIINYSADIICLQEVQSDHFDIFLESELKKEGYSARYKKKKSELYTSNGSVSEGCAIFYRCELFKEITKYELEFDQIENEEKVLENLEPEERPIRLKRLQKDNVALIVILGAIKPGSTGDGFHDPHMLLLKGRITHIPAEKENPVGIWACLKLEHSLDLVSAYASFFKLDGIEEKHLKRMNRQNHEPLFTNYTEEFRGTLDYILHTADSLKVEGLLELVDSGSVASGEGGYLPSPKWSSDHIALMAKFRVKPPSPSELALSLPQKI >OMO93778 pep supercontig:CCACVL1_1.0:contig08062:34813:40758:-1 gene:CCACVL1_06355 transcript:OMO93778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ribosome-binding protein MQKLGFPSMKSLDRLKSLPGSGSGAAKTFSFSPRPSSNSASLGSFTNLKLTAEKLVKEQASVKTDLEMANSKLKKSMEHIRVLEEKLQFAFNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTDTLQHLASQVQDAEKDKELIEGKLSENSKAIDTLNEHMNGLSLKLSSAEETIRKRGKEIEELKFEKEENNRFYRDEQCKTANLIEEKDALIKKFEATVAASKQAAESLNSKMEEVQFELRLKEDEIKCLLTTQENLEKEKTDLLSSNDGFAKKLSKSFQEIKYLEGFVAVLAAQLVELDKQNLTFTAKFDELSSLYDTCFELVQQERDLAAKHAQRKYEQLHDKFLCITSERDALKLVNQELNSKIIELQKAQESVMAQLSEECHLAGVRIQKLESEAETLVSKKIEADKLVSQLEEKIDMLSESSRSSENKMQDLLLKISSLEKENKDNTEKMQAEIRSKTEEIDILKKECEKHEVQLESLKQQVGQLQVMLEEKEQLILQYKESEKKLEDQILQNKEMLTATESKLVEARKQYDAMLESKQLELSRHLKEISQRNDQAINDIRKKYEVEKQEIVKLEKEKADRVVGEMEQRCDQKIAECKEESRLSLSCIQEENAALVTNLQQEHDRKVLSLKADHHEKLKRAQLQAEDELREKTTSLRNEHEVQMKALRCQFEDECTKLQEELSLQKSKENRQRALLQMQWRVMSDKPQEEQEVNSKKDYSTSSIKMRDSDVQKRNQNALIRPENEEKESPFPVVTQTPVSKLLKKVENANTGTVMSIPKHHKKVTRREYEVETTNGRTVTKRRKTRSTVLFEDPRKQKNARTPQANTPRSCVKGTKGSHPKASNIGDLFSEGSLNPYADDPYAFE >OMP02913 pep supercontig:CCACVL1_1.0:contig06169:34997:35086:-1 gene:CCACVL1_02668 transcript:OMP02913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVKARERVYGSTVATSIQSNRHDEENPHI >OMP02912 pep supercontig:CCACVL1_1.0:contig06169:9349:26382:-1 gene:CCACVL1_02666 transcript:OMP02912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMLYYQCAGRFDRWPFRFYPRPAQQRIRFDNDIVNTVLPSKSKPEVPRYSSADIPI >OMP02911 pep supercontig:CCACVL1_1.0:contig06169:260:2798:-1 gene:CCACVL1_02665 transcript:OMP02911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MEQEESICSPSYAGASSGEQYWCNKSEIIKELKKQTRLAGPLLIVSFLQYSFLMISIMFVGHLGKLSLASASMATAFASATGFSFMLGMGGALDTFCGQAYGAKQYNMVGVHMQRAMLVLAFLSLPISLLWAFTGQIFITCKQDREISMHAGVHARWLIPSLLPYGLLQCQQRFLQAQNNTLPLIISTGVSSLVHVLVCWTLILKLGLGNKGAALSTAISYWFNVFIFAMYIKFSPTCSQTWTGFSIDGRKKLLNFLKLGMPSALMVCFEFCAYEGLVLMSGLLPNPMLETSMMSISLNISAGVFRIPYGFGSAVSTRVSNELGAGNPRAARLAVKVVMSSCSKSGDVVRNNRKSFIKLDYSCIEEILGSFVLQRRRSYQIFGLHNSNTCRSYYLVGLPSAILLTFVIKVGGKGLWLGIMCGSSSHVFFLAVITMRTNWKLQAVKARERVYGSTVATSIQSNRHDEENPHI >OMO59458 pep supercontig:CCACVL1_1.0:contig13956:4625:4702:1 gene:CCACVL1_24812 transcript:OMO59458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKSDDRSFFWERESSLSERVKRE >OMO79521 pep supercontig:CCACVL1_1.0:contig10405:176:241:1 gene:CCACVL1_13608 transcript:OMO79521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRESPTKDGHYMSIVSTV >OMP10671 pep supercontig:CCACVL1_1.0:contig02055:443:514:1 gene:CCACVL1_00828 transcript:OMP10671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TREVEALKGRFLPGLEISRSLLI >OMO93818 pep supercontig:CCACVL1_1.0:contig08054:11376:11935:1 gene:CCACVL1_06332 transcript:OMO93818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHKNKRTKKASTPLKAESKGKPEQGLKREAKNIKKNKKPKRAQKPTLVKIVSEINKITLTTAKQVEGIGKKAAETEQEVHGMAKDIQRVERADEIKEEGQGYVNQKSDENEIKIKEESAYVEGDKEEPKQSKEDENKANSNQA >OMO93819 pep supercontig:CCACVL1_1.0:contig08054:12278:12466:-1 gene:CCACVL1_06333 transcript:OMO93819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTGLQTKKRKTSETEELGERSLKGTGGQPVRVEWSVGERENRERDPFSSKILVFYKKLTI >OMO93822 pep supercontig:CCACVL1_1.0:contig08054:27679:30781:-1 gene:CCACVL1_06336 transcript:OMO93822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MSTVISGNFIPAFFPSQLKRYSAHCLFRTRPFTSPVFKTSLAVKVRAFSTAVAETKPTEPAVGEKEDSGSCNISLACPICYDPLTKTGDSALYVGSTAGSNLQCNTCKKTYVGNETHLDLVASSGSKQYDESMPMATELFRTPLVSFLYERGWRQNFIFGGFPGPEKEFDLAKNYLKPVIDGNIIDASCASGLFSRLFAKSGLFSHVVALDYSENMMRQCYEFIEKEENFPKEKVTLVRADISRLPFKSSSVDAVHAGAALHCWPSPSTAVAEISRVLRPGGVFVATTYILDGPFSFVPFLRNFRERIKAISGSHAFLSERELEEICRACGLVGFTCIRNGLFVMISARKPSS >OMO93820 pep supercontig:CCACVL1_1.0:contig08054:14915:21010:1 gene:CCACVL1_06334 transcript:OMO93820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEKDNTPHESRIVSHESRIIVQDNTSFPSGIILDDTNFPLWSQLLEMRIGARNKSEFLTAKEIWEAVAKTFYDGSDETQLFELNRRSFTTHQSGRPLSLYYNELIGIFQEIDARVQTREGNAIVILSLHKYMTRLRVHIFLAGLDPEFNQARSEILRKDPPLDLESCYEYVRKNHNQRQTVEEPKVQSDGMVRLASRTRPTHSYPKGKKSGTKGNNYTCTHCGEEGHSKQSCYEIIRYHEWWDFTKKPRKKIGQAATTTIDEEVIASTSNAVAAHFAKADNPGLSKTYLTKNDAWVTDTGATDHMTSDSNPLCSIRSSVQPHILTADGGVSPVTGEGSVHVSNSINLDTVLIVHSLSSKLLSDLYKMVASQYQCRIQVLQSNNGERKNRQILEVVRASLFGMKVPRDYWGEAVCSAAYLINRTPSRVINFKTPYQKLHELGSAPIGSNLEPRVFGCTAGHECSLQGETTLNLGDDNTLKSFNGQAQIDTPPDVELSHFDTYPEVVHPEGVDGPHENQDSTTSQGTPTSIEISNVSPQVISLPSPPVIHESTFVEIEPRYPIRTNRGIPKIKYDHDLKDNTTYPINNYTSSLRLSKSHALVINQLSPISIPRNDSNEIAALQESLATELELKDLEHLKYFLGIEVARSSNGISLCQRKYVLDLLAETSMFDCKPAETPIVMNHKLTIQQDQTPGNKERYQRLVGRLIYLSHTRPSIAYAVSIVSQFMHAPSEDHIEAVYRILRYLKSSLGKGLLFAKNGDLEIKGYTDADWAGSQTDRKSTSGLLSGIKVLCEGSRWRVGEGSLDIWQDRWLVITPSYVPRPREGVVKTPMKVVDLMDFDNRSWLEEKLLEFFCDDDIMSIMCLPVPRAPTRDCLIWNETTLGNFSVRSAYYVARITLVSSLIRRGLDIDDICDTCEPCLSAWDGECEFWPYFLAKTTRVGCIDKVICSLWFLWQNRNSCIYNQICRMSDALVAAEVSLLQQLHVSSASLQVSSGTTGRRLVKWHPPPQGMVKINVDASFPNQEGVAGLGVAIRDSNGDIIVSAWRELFFVSNSLYAEVHALLFAFELALEWGVTSCVFESNSLMANSLMAIKEIKSYKPCWWEGGNLIYEIRDLALLFDECVFAHVNREANVLTHRLARLLVNRLWSGALAPDVCNPDSSHQ >OMO93821 pep supercontig:CCACVL1_1.0:contig08054:24224:26945:1 gene:CCACVL1_06335 transcript:OMO93821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MKAETLTLVLVNLAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSSCYPIAAYLAVRHNRAHVIALGAFLWAAATFLVAFSSTFFQVAVSRALNGIGLAIVGPAIQSLVADSTDDSNRGMAFGWLQLTSNLGSVIGGFLAVLLAPLTIIGIPGWRIAFHLVGIISIIVGTLVYLFANDPHYVDSGKKSSHQIPNRSFWSEVKDLVREAKTVIKIPSFQIIVAQGVTGSFPWSALSFAPMWLELIGFSHEKTAFLIALFVIATSVGGLFGGRMGDFLSTRLPNSGRIILAQISSASAIPLAAILLLVLPDDPSTGFMHGLVLIIVGFCISWNAPATNNPIFAEIVPEKSRTSIYALDRSFESILSSFAPPVVGILAQHVYGYKPIPVGSSVSEEIETDRENAASLAKALYTAIGLPMALCCLIYSFLYCTYPRDKERAQMEALIESEMRELLSDNMPEGGQAQSTDLEEPHFKDRTVIEVDYEGQDDLDPDENDEKSFLYRQLTFSNLGK >OMO53750 pep supercontig:CCACVL1_1.0:contig15162:30982:36071:-1 gene:CCACVL1_28385 transcript:OMO53750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGDDKGEIKPFENNPSADAETQPLDFDSEFSFSVFGESKPSEKNPNTDAETQPLDFDSPFFFPVLSSGDKADKEDRDGLEYLQSTVPLDDHDVGVDDELDTQVVNFDGETQVLNLGGGTQVLDDFMETQLLDEFDDEVVLDSDGEGTDQTEVLDDTDERDCVQSAPEEEKKESLEQCNASADEQKSSGIHVPTTTKDVEALPELKPRSVRRFTTVRAASLRASGLAARNAALREINSNSCSIRTDSQFSDQFTVNSSGLNSEVAEKINQAHDQGNYDEKSIGCRVDGSTARKLFSEDSLGENQGLSCSNENADAREDLLQSPDYDGPAAGLSYVDSQEPGELSQANALNFVERFVNENLVELDGEIPLRKSTREESKLILSAKGPQSLAKKSIERSTANETGIFEWDDLLEDEGGGDIYCRRKGEFYGSGIRARKLDASCNEDQPNAHDKKVFHSDSKLLLGKSKDNGKTVQGSEMNFRKNLFNEFDEQFNPESSGGQLEATAAQTGIPEVVNVGLDTQMAAEAMEALFYGEGATDQNVNQGVQSISKGSSKGSLKGKSRKKVSSEEPTLQKVVHFSDAAPVTRGSKKTKSSTESSLLQDRLSKNVRRECDTELHLPELKKAKSRTGKHQVVGGIDMYKTPPKSNPRKAGGTLQRSQLYGTRKSARGMKKQHPEEAHSSIPVAHRTRRFLLMNMQTAETPASDCGEGRKHKKEVGFCEKSRSASTEVSNAKGKLPKLGSKQSGENDNVQSSDMNHVLSYPKQRRSCRRMSIDVGESDKGEAQPRVSVQEENNGQSIAVLKRSRSSSRNSHKHSDIKRIKRSSENTCPVMYSADQNSEGELSHQSSDKSRSGVDVLKHSEGNRDAVPLPVSETLAVNATLDKSPKEKSKSPGSVCNTPVNCPTPINDASPICMGEEYFKQSCKKNLSRTSLTKELRSLSPIEHEPISPLKDLRKRRDLANVRVLFSNHLDEDIVKQQKKILVRLGISEVSSILDATHFITDKFVRTRNMLEAIASGKPIVTHLWLESIGQVNIHIDEEAYILRDIKKEKELGFCMPVSLARARKRPLLQGRRVLVTPNTKPGKDTISHLVTAVGGQAVERIGRSATKDDKVPDDLLVLSCEEDYEICVPFLEKGAAVYSSELLLNGIVTQKLEYERHRLFADNVKRTRSTLWLRKDNKFHPITKPK >OMO53747 pep supercontig:CCACVL1_1.0:contig15162:6847:13076:-1 gene:CCACVL1_28382 transcript:OMO53747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MDNMNGSMVDVSCFLLVEDSGDSELERQGNMDHISAMATDNIYHDEGDAESCSCDTSERLDDFYDELVQLDDHDQDFKDCKLLDNPIVADGWSSCSKIWSIDEALGSSTISVEQEQSFGINLCREFKKSLWEVPLGRRDGTISKASEALSNIPSPFFNFTTLKQSFASKGLSVQDLVVLSGGHTIGVGHCNFFSNRLYNFTGNGDQDPSLDSNYAAFLKTKCQSQSDNTTIVEMDPGSSLSFDNDYFKILKQNKGLFQSDAALLTDQGSSNIVDEMLNSNKFFIEFKKSMVNMGAIGVLTGSSGEIRKKCNVINS >OMO53749 pep supercontig:CCACVL1_1.0:contig15162:26707:29457:1 gene:CCACVL1_28384 transcript:OMO53749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MQAIQEAEAVSNGVSKTSSQAEFPILEPSDKEASKPGPSGRRADLSLQIPPKPLGFGGRSGKGLLQSQGSAKGSSSPGGFLRGLSFKKKAVVADGERSSLLTPDSKTNLESPNMASFPSPFPFNWKRCTSLPVTPASNLSPSVSTPPSERETSELNRPKKGAKHAVVSRSLSVPGRNVVIVRTTSFNTSKEHVAADNSDDQIQPVSVETNDEEIPEEEAVCRICFDVCEEGNTLKMECSCKGALQLVHEACAIKWFSTKGNKTCDVCGQEVRNLPVTLLRVPTARRGRQNANSQNVPSESLRILLSVTDIKCLAGLCGARVN >OMO53748 pep supercontig:CCACVL1_1.0:contig15162:16438:25165:-1 gene:CCACVL1_28383 transcript:OMO53748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPDLFEDAGPSAAAAAAANMLQKSSQAYQLFDEMPDPNVVSYTSVMSGYVNVGPDLFEDAGPQPARWPKIKKTRPSPLLNRPGFKVSTPELAFSASSSLLKSKKNRTK >OMO54446 pep supercontig:CCACVL1_1.0:contig14996:213:305:1 gene:CCACVL1_27787 transcript:OMO54446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GHPNPLEGIPLAVKAALTKAYNEGNARTIR >OMO54447 pep supercontig:CCACVL1_1.0:contig14996:11778:19122:1 gene:CCACVL1_27789 transcript:OMO54447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFATFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAPVPAPLAGWMSTPSTVTHPAVSGGAAIGLGTSSIPAALKHPRTPPTNPSVDYPSGDSDHVSKRTRPMGISDEVSLPVNVLPVTFPGHGHTPPFSAPDDLPKAVARTLNQGSSPMSMDFHPIQQTILLVGTNVGDIALWEVGSRERLVSKNFKVWDLSACSMPLQAALVKDPAVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGGDEVRQHLEIDAHVGGVNDLAFSHPNKQLCVITCAPGRWCTTMAYSADGTRLFSCGTSKDGESYIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDNVQPLTSLDADGGLPASPRIRFNKDGSLLAVSANENGIKILANSDGMRLLRTLELSYDASRASEAPKPTINPISAAAAAAAAAAATSAGLADRNASVVAIAAMNGDARSLGDVKPRITEESSDKSKIWKLTEISEPTQCRSLRLPENLRVNKISRLIFTNSGNAILALASNAIHLLWKWQRSDRNSNGKATASVPPQLWQPSSGILMTNDVTDTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMATFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADSQLCVWNTDGWEKQKARFLQVPSGRTPTAQSDTRVQFHQDQIHFLVVHETQLAIYETTKLECVKQWVPRESSAPITHATFSCDSQLVYASFLDASVCVFSAANLRLRCRINPTAYLPTSVSSSVHPLVIAAHPSEPNEFALGLSDGGVHVLEPLESENKWGVPPPVENGSASSAATPSVGAPGSEQAQR >OMO54450 pep supercontig:CCACVL1_1.0:contig14996:43823:48318:1 gene:CCACVL1_27792 transcript:OMO54450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLVQIMHGNLGCHVEERKALLELKAYVNSNGKNADHFLPSWVEQIDCCLWERVTCSNITRHVVHLSLGSLFSSHYENILPLNISIFQPFMELRLLNLSTNGIWIEDGHKRSRGMLKLKQLETLDLSYNNFTNSSLHSLSALTSLKNLNLRMNNLEGRFPVRELSVLENLESLDLEDNMLEGSLTLQDLKYLSKLSKLEYLDLGGNKFKSNDFVMCLVSLPSLKSLNLKWNYMEGCLCDQDFKYVTKLSKLEYLDLGGNRFTNKDIVMCLGALPSLKFINLNGNSMGGPLTDHDLQALSKLSKLEYLNLGGNKFKNKDIVRCLGFLPSLKSLNLTGNSMEGFLSDEDLKELTRLEVLDLSMNNLVGNIPSSVAELTSLKAISLAGNYFNDSLPAGICELNGLEELDLAYNSLEGIIPPCLYNMTTLRFIDLSHNHFDGTFNFSSLANLNKLEMVILTCDGNKLKTDAEYVDQIPLFQLRVLKLSHCNLESIPKFLFHQFRLQAIDLSRNNLSGTFPTWLAENNTDLEFLNLRSNSFSGQFYPSTNSMRNLQWIDVSSNELSGKLQDDLGKIFLNAKLMNLSNNQFEGNLPNSICVNGMLEGLDLSNNNFSGEIPKELFSSCTNLKLLVLSHNKFHGEIFSTNSSSLRNIQLRDNQFTSNLSLSNIFYQVAAMDVLDVSNNKFEGEIPSSIFNLNWIGILALGNNLFEGEFPCKGRKPLKVDYLDISYNSLRGPLPYCFQSNYLKQLHVEGNRFSGSIPSPLLNFSALRVLNLKHNHLVGNIPFSINGTHELRSLRILLLGNNSLNGAIPELVCRLKNISIMDLSKNFFSGPLPSCLYNISWGNVDITSISLSQECLGAFTPPPDYEVDRHLLTNPVFLTNFEFPKMEVEVDFITKNRLDFYKGNPLDLMSGLDLSCNNLSGEIPPALGRLTSIHALNLSHNQLVGSIPISFSELAQIESLDLSYNGLIGKIPSELGNLNFLQVFDVGHNNLSGEIPQATQFSTFNQSSFEGNPLLCGMQVKKNCSNPDPPDPNINVHAEESEGKWYEFDSVAFYACFGTTYVVIFLASMTVLYINPLWRRRWFLFIDKFIYSCC >OMO54448 pep supercontig:CCACVL1_1.0:contig14996:21827:28486:-1 gene:CCACVL1_27790 transcript:OMO54448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MGGACSRKRDQSDNEDGLHRGISGRYCKSGSSKWLATTFTRPVVEIQRGKGKKCPSLMELCAYKIREDIDNHASFSMLPRDLSQQIFNELVNSQRLTDVSLEAFRDCALEDLYLGEYPGVNDNWMDVISSQGSSLLSVDLSGSDISDSGLIYLKDCVNLQALNLNYCDQISDRGLQHINGLSNLTSLSFRRNSAITAQGMSAFSGLVNLLKLDLEKCPGIHGGLVHIKGLTKLESLNIKWCNCITDADMKPLSGLTNLKSLQISCSKVTDFGITYLKGLQKLSILNLEGCPVTASCLDSLSALASLLYLNLSRCNLSDDGCEKFSQLGNLKVLNLGFNDISDACLVHLKGLTNLESLNLDSCRIGDDGLVHLSGLERLKCLELSDTEVGSHGLRHLSGLVNLESINLSFTVVTDGGLRKLSGLSSLKSLNLDARQITDAGLAALTSLTGLTHLDLFGARITDSGTNYLRNFKNLRSLEICGGGLTDAGVKNIKDLSSLSLLNLSQNCNLTDKSLEMLSGLTALVSLNVSNSRITSAGLRHLKPLKNLRSLTLESCKVTANDIKKLQSSHLPNLVNFRPE >OMO54449 pep supercontig:CCACVL1_1.0:contig14996:33801:38059:1 gene:CCACVL1_27791 transcript:OMO54449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSMDIATTFFNFTARCRRHNHRAPLSVSGIRSAVIPLFFKPTTIRTQSLSSNLPRTFVTSSNFSKAYRRRFSVSATATTTPQSEESDVTTKIPPDNRIPATIITGFLGSGKTTLLNHILTADHGKRIAVIENEYGEVDIDGSLVAAKSAGAEDIVMLNNGCLCCTVRGDLVRMIAELVNKKKGRFDHIVIETTGLANPAPIISTFYAEDQVFNDVKLDGVVTLVDAKHAGFHLDEVKPKGVVNEAVEQIAYADRIIVNKTDLVGEPEIATLVKRIKNINSMAQMKRTEFGKVDLEYVLGIGGFDLERIESSVNDEEHHHDHHSHDHTHDPGVSSVSIVCEGSLDLEKANIWLGTLLLERSEDIYRMKGLLSVQGMNERFVFQGVHDIFQGSPDRLWGPDEPRVNKIVFIGKNLNAEELEQGFKACLL >OMO54451 pep supercontig:CCACVL1_1.0:contig14996:54921:55526:-1 gene:CCACVL1_27793 transcript:OMO54451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVKLRLPDSNSHQKLSNLLSPFHTKTLAQENIFFDTPTTTLASNKAALRLRFYNLDAYSILSLKSKPKLSQGISKVEELEEPIDPSLGRSFLTNPNGLLGLSSSSQIMKRVVGEFRVGELVCLGGFKNVRGVYDWKGLKLEVDETIYDFGVSYEIECESKEPERDKKLIEGFLKENGIDFEYSHVNKFAVFLSGKLPK >OMO50793 pep supercontig:CCACVL1_1.0:contig16053:1944:8625:1 gene:CCACVL1_30253 transcript:OMO50793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRTTYFFPRQFPDRGFDTSAKQLLDHEKKVAKETTTTSTSTTATPTATATAIGNAFNVTAENDGNKSISRTTTTPPAAKPNNISPLSDLFTDEKLHLKKQQLAAFRDWLVDKKAATATDRSRHVKPSSRRLSSAIDDDDDRQLLLPPPQPAPPPTTTLPPPPPLPPPTPPPAPEITTGVTDHGVDRNFERQVSLHRFSSGSSYAGSLFSGTTLDGNLSSGVKDTWKESSSSSTSPVTATREAEEHEPEAQESKDSFVLKSRERYYLQVMLARRLTSQASLLSEPLLLQEYSAPNVADAETVSYRLWVSGCLSYSDKISDGFYNILGMNPYLWLMCNEVEEGSRLPSLMSLKEIEPSETSMEVVLVDKRGDRRLKELEEKAQELYCASENTLVLAEKLGQLVAIYMGGTFPVEQGDLHRRWKLVSKRLRDFHKCIVLPIGSLSMGLCRHRAILFKKLADYIGLPCRIARGCRYCAADHRSSCLVKIEDDRQSSREYVVDLVGEPGNIHGPDSSINGGFFSSMPSAFQISHLKEFQQPYMDNASYSPSMDSKNLCSPCGNPLRSGGIEKQLKDNGLLENRKSSLYGPLDQDCLGKESSLMPFETAAAASIHETGDKVAIETTIRRELVVPGSSVVKSVKQLKVNLPSQSDLKDIGAELDNQGRFSAVTIPKYLNLEPSLAMDWLEISWDELHMKERVGAGSFGTVHRAEWHGSDVAVKVLTVQDFHDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPTAGETLDQRKRIRMALDVAKGINYLHCLNPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKAGTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMQQPWSGLSPAQVVGAVAFQNRRLSIPPNTPPKVAALMESCWADNPAQRPSFANIVDALKKLLKSPLPAMQMGTQ >OMO72139 pep supercontig:CCACVL1_1.0:contig11501:8034:11188:1 gene:CCACVL1_17924 transcript:OMO72139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAQKESTTADEKSTVTTKPEKSTAESQASKPETSAGESKPAPARASPATTMPAGFPPANPFDFSAMSGLLNDPSIKELAEQIAKDPAFNQMAEQLTSTFQGAAAVEESMPQFDAQQYYSTMQQVMQNPQFMAMAERLGSALMQDPAMSSMLESFSNPTNKDQIEERMARIKEDPSLKHILEEIETGGPAAMMRYWNDKDVLQKLGEAMGLAATGDAATSAGNSAADEGDEVGNEDESIVHHCASVGDVEGLKAALASGADKDEEDSEGRTALHFACGYGEVKCAQILLEAGATVDALDKNKNTALHYAAGYGRKDCVALLLENGAAV >OMO72140 pep supercontig:CCACVL1_1.0:contig11501:13017:15518:1 gene:CCACVL1_17925 transcript:OMO72140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKTSSAVSDMGAWAMNVVSSVGLIMANKQLMSPNGYAFVFATTLTGFHFSMTALIGLVSNATGYSTKKHVPLWELLWFSIVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCIMEWILHGKQYSSKVKMAVVVVVVGVGVCTVTDVKVNAQGFICACVAVLSTSLQQITIGSLQKKYSIGSFELLSQTAPIQALSLLLLGPFIDYYLTGNLLPSYKLASAALFFILLSCSLAVFCNISQYLCIGRFSATSFQVLGHMKTVCVLMLGWILFDSELTLKNILGMAIAVLGMVVYSWAVEEDKKKLQTQESKVVPYLKDNSEEDVKLLKQQAVEDVELGKSQG >OMO72138 pep supercontig:CCACVL1_1.0:contig11501:2898:3590:-1 gene:CCACVL1_17923 transcript:OMO72138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MASTKTRMAFLLTMLLALLGLSLGAVHKVGDASGWTIMGDTDDYANWASTRTFHVGDSLLFEYNNEYHDVVEVSHDDFESCKGTSGIATYASGSDIITLERPGHYYFLCGVPGHCQIGQRVDILVQPAPSSTSIAPSTTPSALPSSPPPARANPPPSAQGGPAQSGALSLISFNSQLSLALSLVAFFVFGVAF >OMO76492 pep supercontig:CCACVL1_1.0:contig10895:21216:21494:1 gene:CCACVL1_15628 transcript:OMO76492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRGFSLLNKIKQGIESTHPKKSKAAASTN >OMO56288 pep supercontig:CCACVL1_1.0:contig14544:12084:15000:-1 gene:CCACVL1_26650 transcript:OMO56288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGDDGRGYDLARRLESCGVWRAWLGDSTYASFIHFLSSPSAWEAFMRVDDSKSRAQIHLQLRARALLFDKATVSLFLRSNSTNPANSSSSSSVAVSKLNPNYLQLHGDDVYFTLEGSLQDSGNAPTTVSSKSKSSYGSGSRYGEYEFDSLSQRYRNEELPETWYNQFIEKYKLTRPYKLSLGDRESEKRTPEEMTTYLKILEKHKRRRVAFQEDQYMGYGNTGLESNSLLDGNNSADDDIPFFPETMFMMNCVPDSALPPTTGVRDKKIKESFGVLDTLPQVSTRSPVMIERLGIRPEYLNTEQGGNTLRGKNNRKHLSQEQASQISRKVVARLLTGVGFEGATEAPVEVFSQFLSCHIYKLGRNLKVLTDNYRKQCSATELIRMFLQTSGYGNFGTLAELVKANTRNLMQQTQQQMHAIPSQLQPQHQNVLRMAQQLQMTRQMHPQMQQMVHPQNLTIQQQQQLERMRRRHPSTPRPVMDMDKDRPMVQVKLETPSELPMDNNAFNTMNVRHPQMQFRQQQLAAMSNMHPQSSNQYRQLMSSQIPQMQSPNMGMVRAPPVKVEGFQELMGGDTSSKHDSEENKLTSPPNK >OMO56285 pep supercontig:CCACVL1_1.0:contig14544:3061:5259:1 gene:CCACVL1_26647 transcript:OMO56285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAKFSMIDELKSLYCEMVKNMVSPNIFTLNTMVNAYCKIGNVAEAQLYVSKIVRAGLSPDTFTFTSLILGHCRNKDVDSAFYVFRMMSLKGCPRNDVSYTNLIHGLCEAGRVDEAIKLFEGMKEDFCNPTVHTYTVIISGLCEVGRKSEGMKLFEEMSQKGCAPNVHTYTVVIDSLCKENKVDEARKMLDRMSRKGLVPNVVTYNALIDGYCKHGSMEAALEILGMMESNNCCPNGRTYNELIAGFCKKDVHKAMAFFEKMLELKLAPSVVTYNSLIQGQCKIGKLDSAFRLLEMMRENGEVPDQWTYSILIDSLCKGDRVTEARFLFDSLKEKSIKANEVIYTALIDGYCKVGAVEDAHSLLDRMLYEGCLPNSCTYNALIDGLCKAKKVKEALLMVEKMVKMGVKPTVHTYTILIEHLLKEGDFEHAHRALEQLISSGCKPDVFTYTSFIQAYCGAGRMDEAEDVMIRMKEEGIFPDAFAYTTLLDAYGCLGSMHSAFDVLKRMFDAGCEPSYHTYSFLIKHLSKKQREKDDRKMVQLDLNATLVNHADVWKTMEFDTALELFEKMRENGCVPNVNTYSKLIMGLCKVGGFQVAQRLFDHMREEGISPTGDIYNSLLSCCCELGLYDNALIVVDSMISSGQLPYLESYKQLICGLYDEGNKEKATTVFCKLLRCGYNNDEVAWKILIDGLLKRGLADRCSELLSIMEKMGCLLHPNTYSMLIAGLDGT >OMO56292 pep supercontig:CCACVL1_1.0:contig14544:41312:51070:1 gene:CCACVL1_26654 transcript:OMO56292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQVEKVYVAVGNDIQDGYKTLAWTLRKWNAQQISIVLLHVTYNISKDFVYTPFGKLPASAVSEEKLEVLRMYEQEKTDKLLSKYIAFCGKVKAEILKVEKYDEPIHKLIVDLMSGLRIGKFVMGMTFMKSSSWRSKSAISGSFYVHQYKPDFCELYIICGGKMVALKGNTDEGFMEDDQGIMVARIREKPTFKNLLGKIFSENPPSRRKNCSCTPSPNYDSKKNQWENNLQELENYFQHLLSLNLDDNDLIQTNPVEPDAAEDGNSNMNAEVEALNIKIDEAYKTIHLKRKEAKDNAEKYAKAEWAITLCNTRAEEVETRIKEEVSQRLEMKKILEKEKEQLHEVIRDVEESKIRLNSLMELQSELSNKLQISSMARVQAEAQLEKAVVTRVEMVREIEVLRRQRDLFQRRIEFCREKDALGMVARLTDQQLSCGYREYTAEDIRLATDNFSERLRLKSGGDWLNVYRGRISHSTVAIKMMNSSAKGYLSHEDFQAKVRLLNDIRHPHLVAMMGFCLEPQCIIFEYMHNGSLRDILFTTQIFSRKTNRKRVLKWHDRIRIAHEVCLGLSFLHMAEPRPLVHGQLTASNILLDRNLVAKISGYGLTQQHHDDQYDLRSDIRALGVLLMHMLTGRNWAGLIEDALIMDREALARILDDKAGKWPLDLAMELAGISMKCLSVSFGVNIDLQIETVMEELNELKKKADDVMARGGGFEVVSNENVNNNEDSSDVPGVFLCPIFQCGYLSQIDHLPRPNQSGYGACPGCRRNLALSPNPSAQPNTGEQLFYQALGAYYRKENLKSNQTKFVYHLYDEVKGRGGFGKVVAENSFGEVCESFAHRVGSRKPVNPRFLSGFYLEILSGFELSQIPRSTRMAECLRQSNTDLTLPLLAALGGRDVQLQRGEGSSAPQNQQGQLDLNLSLQIAGRQDAEQPDLHLSLAGERERMKRKREEDKA >OMO56293 pep supercontig:CCACVL1_1.0:contig14544:51680:56263:-1 gene:CCACVL1_26655 transcript:OMO56293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease METGSGSDSVPKPETLRGRGSKLGSMLPKLEPFVPKRDHLNPRDLRSWAKRTGFVSYLSGEASSANSTQKFDSSTGFNAERGGLDQRAGGSSPKIEIDPVLGRTRPNRGLEIEPDSGSGSGQGRRTVADEFGNDLGQKVEERNAGLNGNANGIGNRNGNVNAVENRNGNVNGNGVAGVTTVIVEQKKEEGKDERDVEVGMYPGGEEQGHGGWSGNRPGMKVGLRDNPGFGALLYYGLQHFLSLAGSLIFIPLVMVPSMGGTDKDTATVISTMLLVSGITTILHSYFGTRLPLVQGSSFVYLAPALVISNSRDFRNLTEHKFRHIMRELQGAIIVGSVFQSILGFSGLMSLLLRLINPVVVAPTVAAVGLAFFSYGFPQAGSCVEVSIPLILLVLICTLYLRGVSIFGHRLFRIYAVPLSVMITWTFAFFLTAGGAYDYRGCSPDIPSSNMLVDECRKHAYTMKHCRTDVSNAWRTAAWVRIPYPLQWGIPVFHFRTSLIMILVSLVASVDSVGTYHSASLLVSSGPPTRGVVSRGIALEGFCSMLAGLWGSGTGSTTLTENTHTINITKMASRRAVVFGALFLILFSFVGKVGAILASIPLSLAASMLCFMWALVVGLGLSNLQYTQTASFRNITIVGVSLFLGLSIPAYFQQYQPESSLILPSYLLSFAAASDGPVYTGSKQFDFAINALMSMSMVVTLLVAFVLDNTVPGSREERGVYIWSRAEDVAVDPSLQSDYSLPGKCASVCCCSRCLRA >OMO56298 pep supercontig:CCACVL1_1.0:contig14544:77531:80536:-1 gene:CCACVL1_26660 transcript:OMO56298 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MGIADMEEKVASTLKLIEEDGDSFAKRAEMYYKKRPELIHFVEESFRAYRALAERYDHICAELQNANNTIASVFPEQVQFMDDEDDDSPRSPRFPKKSSDSKGNIPKVPKLPKDLKGLISSAKKKMQPGKKSSKASATAVSKSGLTKSEGIAEIDRLQKRILALQTEKEFVKSSYDNGLAKYWELHNEITETQEKIGTLEDEFGEGRVIEDDEARRIMAATALKACKQTLAELQEKQERSAEEAEVEQKKIKEAREKLDSLKSKFLVDEVSQEKPSAGDQSKTEKPKRSKEVSDTVQKKKEMESLREKIKEHFEVSLGDSLTVTEMAEKIDELVNKVVNLETAVSSQTALIERLRSETDELQGYVRTLEDDKANLIDGKNDLRKKLEEMEEKLNGIQDLNQCIEDQNDNLQTHFTEAQCNLDRLSEKVDTVKLDEEPEKEKSSSVEVKSSKKKKSKDKEKKSKEVKTSKELEVSDAASEKEKSPAEVKSQEESDKQESKNVDSNNGSMQSAAPEKVAVAAAAVSGSLQKEDDSHVSVEPPKQSEEKGEKLDHEDGGNAKSDGKKEENAEERNDAKEHECVTTSTNEGGVSRETSTPSEERKDLIEDHRVDEKASSQATDALYKVESKEEEKEQEDEPDWKQLFTTGMEDREKNLLSQYTTTLRNYKETKKKLTETETKNQNGLFEITLQLRELKNSNAMKDEEIRSLRQKLSLLQTGLGENGNGDQSVEPRVSSAKSEVTETSTTPAEDDIGALFVTQSQPSEIEEKFRMSIDELLEENLDFWLRFSTAFHEVQKFDTAVKDLVAEASKLEERHKNEGSSSSKYSVKSDVRPLYKHLREIQTELTVWVEKSVMLKKELKNRFSSLCEIQEEITTALKTSAEDDDFKFTSYQAAKFQGEVLNMKQENNKVADELQAGLDHITTLQLDVERTLAKLSEEWGLSGNKSRHSGLLPRSESRSRVPLRSFIFGVKAKKQKTSIFSCVHPALHRKYNGFRSGLSSNR >OMO56289 pep supercontig:CCACVL1_1.0:contig14544:21475:24295:1 gene:CCACVL1_26651 transcript:OMO56289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKTEVIRSKSLSDEQSPRCVLEIPVSGSDSDTSSCSSNSSTFSPKMFKPIFQKGARDLSNLQWRNIIDNIKKKSTRRFSVIPLLTSYELSRKNFRRKLAKLQGCEEEIDNDFFPVPKPSWKNFSYSELAAATDNFSPANLLGKGGHAEVYRGQLSDGQVVAVKKLMKNEKEVEDRASDFLSELGIIAHINHPNAAHLIGFSIDGGMHLVLQFSPHGSLASLLFGSHQTLDWPTRFKVAIGIAEGLKYLHHECQRRIIHRDIKASNILLFQDYEAQLSDFGLAKWLPENWPHHVVHPIEGTFGYLAPEYFMHGIVDEKTDVFAFGVLLLEILTGRRAVDSSRQSLVIWAKPLLESNKVKELVDPRLEDNYDPAEMKRAMLIASMCINHLATMRPTMMRVVRLLKNEDGPIEYQQKSCGGKALIVDGCDLQDYTRTSYLNDLNRHMQLVME >OMO56296 pep supercontig:CCACVL1_1.0:contig14544:68265:71400:1 gene:CCACVL1_26658 transcript:OMO56296 gene_biotype:protein_coding transcript_biotype:protein_coding description:SGT1 protein MATLPPYLDQNNSNPFTQASSRLPDDTVFYSIYPDDSLSQNALQSLHLQILNSVSSFTSSYIWQHEPFTLTVSFQPIPHLRGQLRFGDNLDDEWFTVFLLFQISLTFPSLSIHVHDTDGEFLLIEAAYHLPRWLNPENSQNRCFIRRGIFHIIPRSSLPIPTLTKSLNFLIGNEGITVAPDSVQSVIQNRIAGYPEKAKSNTHTVRVRVPVPVAQVLKHAPPLISLAVEGFYDRDVDMMKHAAKMQRFLKGPTGEVEMVTVAVKMSRAMYAQLMQQKFQAPKCYPMPSKADMEAELGLKIASGFEMMYQEKKKQGEEGKGSDWKQYIENLDKSGYFKGLLPGSEEYKRLMENAEEYYRKSSSFSKTSEILNAPVRQIDEILSLPYSAEDFKNQDIPPSDDDSWIYSGEDELNSALQDRQKEMELYELKNKKKKSKEEQDTGPSSSSKKKKSKEQQAERFDLTDIVKSMQGFIHKISSYAGAEVPEGRDPREVELDVDRFIKELESAVTGEPMDDDEGSSDMDFDECEDGGDACDQNEDEEDHFMDSYSDAMNDELKDTTLKKSFIHANEPSGLKDEGTSNAKEEVDEDFSPVDVDVNLVKNLLDSYSSQQGLPGPASNMLGLMGLQLPKDDKNKRDN >OMO56286 pep supercontig:CCACVL1_1.0:contig14544:7089:8117:-1 gene:CCACVL1_26648 transcript:OMO56286 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MFSIFTAACVAQFKPSSPSMADVQDDRKELEDFTRPPKWIPFPTTITFRLFEVLKLFDAETTGKGDTDTHPSDLYRFEKSLQLWDAIVVRSCWELETDFLNLLKELHNKPVIPTGQLPPVAFAYNNEDDHDHDEASWKPIKKWLDKQETGTVVYVAFGSEANPSPEEVKEIALGLELSGLPFFWVLRSTIDQLPDGFEDRTKGRGVVWKSWAPQVKILAHDSVGGFSSHGGWSSVVEAITFQRPIILLTFLADQGWNARILEERKMGYVIPRNENDGSFSRDSVAESVRLVVMEEAGQVYRENVKKMKGVFGDQERQDRYIDEFLSFLITNKNILLSRKPIG >OMO56300 pep supercontig:CCACVL1_1.0:contig14544:86282:86359:1 gene:CCACVL1_26662 transcript:OMO56300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFWGKSGQSGIRKGTKGPPTKLEP >OMO56287 pep supercontig:CCACVL1_1.0:contig14544:9934:11364:-1 gene:CCACVL1_26649 transcript:OMO56287 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAEEDSSKLHIAMFPWLAFGHMIPFLEVAKLIAQKGHKISFISTPRNIDRLPKLPPSLSSSIHFIKLPLPPVENLPENAEATTDLPFEDVPYLKMAFDGLQDPLAKFLETSCPDWLVFDFAPYWLPPLAHNLGIPNAYFSIYTASALAQMKPSSPLMAHIQDDRSKPEDLTKPPKWIPFPNAFAFRIFEIQRFFDAVTGVGNDDSQPSDIYRSEQVIQHCDAIFVRSSRELEPEYLDLLHQLHYKPIIPTGQLPPVLTNYNNQDDDEASWKPIKEWLDKQEGGSVVYVAFGSEADPSEEELTEIALGLELSGLPFFWVLRTRRGAILDLPDGFEDRTKGRGVVWRSWAPQVKILAHESVGGFLSHAGWSSVVEAITFQRPLILLTFLFDQGLNARVLEEQKLGYVIPRDDIDGTFTRDSVAESVRLVVLEEAGQVYRDNVKKMKGVFGDPEIQDRYVDQLLNFLVTNKNLVSHPRP >OMO56290 pep supercontig:CCACVL1_1.0:contig14544:25062:25721:1 gene:CCACVL1_26652 transcript:OMO56290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MATEIFVQAAILILTLAIFFAIQYVPTKAVTKHRTDNRATTQTQRHVTRATHLLSRAKSNPHKDQSETLAKTAIAEIEKALSISPKDPTPHLLKSLALDLLGHRGSALRSLDLALASSRVKSLAEKERVEALVRRAELKLAVNKRRRVDSAVTDLEEAVRLSKEEEGGVQIKTASFCLLGECYEFKGLKEKAKKAFNEALKIEPESSVARQGLERLNLS >OMO56295 pep supercontig:CCACVL1_1.0:contig14544:64112:64393:-1 gene:CCACVL1_26657 transcript:OMO56295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYGQQQSLSPDRHFNRPSRIDNALAVDNLRVRLAETEARLERARAREAELTRRLEEMKRFVSVMEILESYLKQRFREQQEYVARLFPSLSAK >OMO56294 pep supercontig:CCACVL1_1.0:contig14544:59884:61723:1 gene:CCACVL1_26656 transcript:OMO56294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanase, subgroup MKKMNLVFVTFSFLLFNFVLSFAIEDDITCVEGLKASLSDPDARLASWSFSNGSATKVCELTGVSCWNAKENRIISLQLTSMKLSGQLPDSLKYCRSLQTLDLSKNALSGPIPSDICSWLPYLVHLDLSDDNNLSGSIPFELARLDRLKRFSVANNDLSGSIPSDLARFGEEDFYGNSGLCGKPLSKCGGLSGKNLGIIIIAGVIGAVISLIIGFAIWWWFFLRAGAAGDKRKKGYGIDGKDDSSWVELLKSHKLVQISLFQKPINKIKLADLMVATNNFDAENAVISTRTGVSYKAVLRDGSALAIKSQLFSTGRSKDAIDKALCGKGDDEEIMQVLRVACTCVVPRPKDRPSMHQVFESLKNMAERHGFSEHYDEFPLIFGKQDHDHKE >OMO56297 pep supercontig:CCACVL1_1.0:contig14544:72481:73981:1 gene:CCACVL1_26659 transcript:OMO56297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismatase-like protein MGSNSNTIDFLKKDLPVEQGSLVLSQDVKTGLVLVDVVNGFCTVGAGNLAPVQPNKQISDMVEESARLARLFCDKNWPVFAFLDTHHPDIPEPPYPPHCIAGTDEAKLVPELKWLENEPNATLRCKDCIDGFLGSIDKDGSNMFVDWIKNNQIKAILVVGICTDICVLDFVCSTLSARNRRFLTPLEDVIVYSRACATYDLPVHVAKNIDGALAHPQDLMHHIGLYMAKGRGARIVSEVSFADI >OMO56299 pep supercontig:CCACVL1_1.0:contig14544:84300:85751:-1 gene:CCACVL1_26661 transcript:OMO56299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFPSFPWWFWSGGSKEKQPVSNGSSLNSLNSSSSSSDWGLGLRESETVKFPTKIAPKKGKGKWQGSEERRVDDKDYDVVLVPSDDIHLSGYESDGPEWSIGWEEPHGPGFRGDCEDDGGFAVLVPCYRPGCKELVEGSNNQLLSAIKDLPNRFSSEGSNSVQQWISSLQNF >OMO56291 pep supercontig:CCACVL1_1.0:contig14544:26511:30321:-1 gene:CCACVL1_26653 transcript:OMO56291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASNWLSFSLSPMEMLRSSSEPQFVSYEGSSAAASPHYLIDNFYANGWTNPKHQVFYPEGEESQSKEAQPPAMAADESPILTSFNQAPKLEDFFGDSSSIVRYSDSQTETQDSSLTQIYDHGGSAYFNEHQDLKALTGFQAFSTNSGSEVDDSASMGRTQLTAVEFPGHSIESSGTELGFSNCPTAAGALSLGVNQSCENNSNTTGNENSKAIVSVDSDCSKKIADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQGGYDKEEKAARAYDLAALKYWGPTATTNFPISNYTKELEEMKHVTKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGVNAVTNFEMNRYDVEAIAKSSLPIGGAAKRLKLSLESEQKPAVNHEQQPQCSSNSNNISFASMQPMTAIPCGIPFDAAAAAAAFYQQNIYHHLHQTSNIGISEPPVSSSSTMATSLTVMPQPAEFFLWPHQSY >OMO69646 pep supercontig:CCACVL1_1.0:contig12005:1209:1775:1 gene:CCACVL1_19364 transcript:OMO69646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIKSTGKSWFRYFQYDEDRDSPGDVRNLLLVIATLIAAVTFQAGVNPPGGVWQDTNGTHVAGRAIYASEPGAFYVFLISNTMALSASVLVIISLTYGFPFHLEIVVATVSMIVTYGSAIFAVTPSEFVRFRYVTAAAAVPFVVRCLIHIFNKLRERYSVSPPVDTQEIPLRALSTQSHKETWVASS >OMO69647 pep supercontig:CCACVL1_1.0:contig12005:4239:4787:1 gene:CCACVL1_19365 transcript:OMO69647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRLSTGKSWYKHFQYEEGRDKPSDVRNVLLVVATLIAAVTFQAGVNPPGGVWQDDENGHIPGRAIYASQSGAYYVFLISNTLALSASVLVIISLTHRFPFHLEIIIATISMLVTYGSAVFAVTPREYVRFRYVMGAAAVPFVLRCLIQLFHNVCGKDQEGESSGAGKISLSRRDGNRIYS >OMO69648 pep supercontig:CCACVL1_1.0:contig12005:8353:8713:1 gene:CCACVL1_19366 transcript:OMO69648 gene_biotype:protein_coding transcript_biotype:protein_coding description:peroxisomal membrane ABC transporter family, PMP family MPSLQLLQLTEHGRSLLASRRKALLLASGIVVAGGAIAYVQSRFNSKRSDSCGHYNDVQDDRENSDETVKNNNNAKGIGQNSCNSSI >OMO86180 pep supercontig:CCACVL1_1.0:contig09523:25182:25304:1 gene:CCACVL1_09750 transcript:OMO86180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLMPINNGDDLWVRIAAAPCEKWPGSFFETGAGQSSV >OMO86181 pep supercontig:CCACVL1_1.0:contig09523:28674:34903:1 gene:CCACVL1_09751 transcript:OMO86181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKEEIIPQNNKPEQMNPTITKKRGKTTENGKLRGWPLEIGSKKTLEILGEPK >OMO86179 pep supercontig:CCACVL1_1.0:contig09523:9169:9243:-1 gene:CCACVL1_09749 transcript:OMO86179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQALSKNRSPNLKIITGTRRIR >OMO52376 pep supercontig:CCACVL1_1.0:contig15545:13631:16629:-1 gene:CCACVL1_29248 transcript:OMO52376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory B subunit, B56 MLRQFLSKLPRKSGKSDSPELARSNSCTTAGSTPQTHRSNSLNSGSGRPGVTKRTSSAVFPASVVAGIEPLLPFKDVPNSEKMNLFVSKVSLCCVTFDFTDPTKNAIEKDVKRQTLLELLEFVASGSVRFSEPAILAMCRMCAVNLFRVFPPNYRSSVSNGGENDDDEPMFDPAWPHLQIVYDLLLKFITSSCLDAKVAKKYIDHSFILRLLDLFDSEDPRERECLKTILHRVYGKFMVHRPFIRKAMSNIFYRFVFETERHNGIAELLEIFGSIISGFALPLKEEHKIFLWRVLIPLHKPKSLGVYFQQLTYCTTQFIEKEPKLSSTVIRGLLKYWPITNSQKEVMFLGQLEEILEAINMVEFQKVMVPLFWRIGCCINSFHFQVAERALFFWNNDQIVNLIAHNRHVILPIILPFLEKNAQNHWNHAVLNLTLNVRKMFMEMDDALFMSCHTHFKEEEAKLSILAEKRKEAWERLENAASLQPIAGNTAVLVTPLATSIAC >OMO52375 pep supercontig:CCACVL1_1.0:contig15545:6858:12041:1 gene:CCACVL1_29247 transcript:OMO52375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKENEPPPKVEEPPGRITRARSKALGAGGGICSSSKPSFKQDQKRLLPLNSKRAASDENKASVTTSVGLQPKRRAVLKDTSNVYADSLYMDSINATKIQTTKQTIGDANNKEMIEDISMEIPLVEEDVKTKLAEDLSKIRMVEAQENTLAVILEERELAEPVSHGTRECGVADSQASTISVGLQSPREKEENEVCELLGASKGVVDIDSDIKDPQICGLYAPDIYNNIRVTELNHRPSTNYMEQLQQDITPSMRGILIDWLVEVSEEYKLVPDTLYLTVSLIDRFLSLKLIEKQRLQLLGVACMLIASKYEEICAPRVEEFCFITDNTYTRGEVLKMESKVLNILYFQLSVPTTKSFLRRFIRAAQATYKVPCIELEFLANYLAELTLLEYNFLKFLPSLTAASAVFLAKWTLNQSDHPWNPTLEHYTRYKASELKNTVLALEDLQLNTSGCSLIAIREKYRQQKVLVIPGPTSPVTPCNLASVTQFRSSSIFTYLRVSQR >OMO52374 pep supercontig:CCACVL1_1.0:contig15545:2312:2377:1 gene:CCACVL1_29246 transcript:OMO52374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AECESTKDKSLKLKSMFFIFG >OMO52377 pep supercontig:CCACVL1_1.0:contig15545:26699:28901:1 gene:CCACVL1_29249 transcript:OMO52377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MDVPSSELHSENQTDTCPLLMERSENFSEHIIDIPRTGDTSSSNLSHDRTSNGLDVLQREDRPSTSARVLVSQPTTSSSNVTNSRTSSGVRRGDTRRRRSPLNSGLWISIELVLTVSQIVASIVVLSLSRNEHPHAPLFAWIVGYASGCVATLPLLYWRYRHRNQASEQDSAQQRHASHINVPAGPFSLSVTRSSDGGDHRPATTSPRGGQSMGVTSARIKALVEYFKMALDCFFAVWFVVGNVWIFGGHSSATEAPNMYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISVLGFREDLSQARGATPESIDALPTYKFKVKKSRNSDDRENNSGAGEGGVVAAGTEKERVISGEDAVCCICLAKYANNDELRELPCSHFMHKECVDKWLKINASCPLCKSEVGENIFDAISGTSASILSTLSGTTHDNQRRGERRVGNSYASSVF >OMO65301 pep supercontig:CCACVL1_1.0:contig12671:2403:10780:-1 gene:CCACVL1_21560 transcript:OMO65301 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase PIF1, ATP-dependent MHTRSSSAPVREGELLWLDNHQSEIMADIYCNVRDLIHQGDADVSGVGKRIILPASFIGSPRYLYQKYQDAMAICRAYGYPDLFITFTSNGNWPELKDALNFYPGLRPEDRPDLVARVFHIKLRHFLDDLIKRGHFGPALAVTYTVEFHKRGLPHTHILLWLQPSAKLKTPADIDKYVSAEIPDQDADPVGYKAVNSFMMHGPCGPANLRAPCMEKHKCDKYFPKCFNRATKLDSQGYPTYRRRDTGAFCVKSGVVLDNIFVVPHNVDLLVRYQAHINVEVCNQSRAIKYLFKYINKGPDRSRVVIETVPASTSGPSVAPPGPVNEIKAFLDCRYLCAYEACWRLFSFDIHFRFPAILRLLVHLPNQHNVYFNSASSTQSVLSRRNVEKTMLTQWMAANCQYASARQLLYADFPREFVWHAASKKWEPRQRGNCIGRVIQINACAGELYYLRLLLNVVRGPTSFEAIQTVNGVLYSTFQAACKACGLLGDDREWINAMLEAAHTAMSYELRVFFVMIIIFCQVSNPGKFFEEHWRVMSDDLEYKIRRVRRDPTFVIPDTELRDCVLSFICELLYGYGTCLAEKNLPLPREDASNVYDRMIIEEMSYNRAGLEAERSNLVSALNDQQRQIFNAIVCSVNSIEGRMYFVHGHGGTGKTFLWKALLAEVRLSGHIALAVASSGIAALLLPGGRTAHSRFKIPLSIDQWSTCDIKRGTQLARLLQQTSLIVWDEAPMMHRHCIEALDRSLRDIRGSLDTSNKSKPFGGITVVFGGDLRQILPVIPGASRTDVVCFTICNSPLWRHCEIMHLTVNMRLSRPGINPCARSDLMTFSEWLLDVGNGKLPRCGHDDDTEGTPVEIPSDLLITYTGDPIEALVNEIYPDFAVNYNDCDYLSKRAVVTPFNVVVDRINELMLGLMPGAAVDYYSNDKVTGSAFGVAGESSPYPIEFLNGITSPGVPGHHLSFKLGSIVMLLRNVSQMSGLCNGTRLVLTHLGVNVIQAKIISGDHRGETVYIPRIVFTVENKQWPFTLVRRQFPLRICYAMTINKSQGQTLDFVGVYLPKPVFSHGQLYVACSRVTSRSGLRILIHDDDGHLGNVTRNVVYTEFALLLPYASLLRFSGHDEEMLNIVLPWHKSEDNLPRQGPSAWVTPTNAFTETEDLFSCSGRFLSDPGKVHWEAVKWIFRYLRGTSKMCLTFGTSQIVFEGFTDAGMAGDLDSKKSTSGYIFTFAGGVVSWQSKLQKCVALSTMEVEYIAATEAGKEMLWMKRFLHELGLSQKEYVVFCESQSALDLSKNTMYLARTKHIDVRYHWLRMATEDKKLQLKKIHIDKNIADMLTKVVPREKLELCSNLAGMDTLVKANFK >OMP06754 pep supercontig:CCACVL1_1.0:contig04809:4:846:1 gene:CCACVL1_01443 transcript:OMP06754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MGSGIFVLTGAATRLYSGPAIMISYAISGFAAMLAVMCYTEFCVELPVAGGSFTYLRVELGDLVAFIAAGNILFEYVVAGASVSRSWTSYLATLFNKSPNDFRIHVPSLPHDYNYLDPIAIVISAVICVAACLSVKGSSRFNSIATIIHLVVLAFIVIAGLTKADTENFSDFAPNGIRGILKASSIIFFAYVGFDGVATLGEETKKPSRDVPIGLIGSMLVIIVIYCSLSTTLVFMQSYTEVDINAPFTIAFNKRGLSWAQYIVAFGALKGMTKFCYPIY >OMO49388 pep supercontig:CCACVL1_1.0:contig16519:9795:10727:1 gene:CCACVL1_31036 transcript:OMO49388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENGDGYISRDEFVTFLRELGNTKLVNSTVFWQLDEMGIEPAGSSSSELALVPKPKKKS >OMP10532 pep supercontig:CCACVL1_1.0:contig02284:535:600:-1 gene:CCACVL1_00895 transcript:OMP10532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRVRRPLKVLEPLSLHYKQ >OMO82866 pep supercontig:CCACVL1_1.0:contig09966:53780:57649:1 gene:CCACVL1_11714 transcript:OMO82866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDDPLDFEIEDPLLAKPAVSKRRRKVVELDDLLKEHYKEQSKLIEKEAKKKTKAHKIYDSDDEKHDKEAKLSSLLDDCQNQAYKISSEEEISEWGLCVFGQQKTAPCLSFPELGSWSILQSFMNNELNCVLGLTTDQDCSFLEGLLLNGWLLKLIFKCGHVEKSIATWTFFSMLYSSKEELRSSACEFWCSILSSKTQDKPIEIDWYPSYSELKSALEIYGFLFEFSSNTSVDNSKLYLLEPPQNIITWIKFAAIYCQVRCKQYVLLTSDRQELVVIIICLFLDRRLQGLSVLLSNCMQSVLSSLTDEEWINSYPEIAKSLALRVPMDLNCLRAVQCIVGVDPRSKLLRSAVAFQILVKCFDNKVSDEEGILSSLIGINVKEKTCDFFKMFLYLVLTDNWLRYDPITRDKPVIHEMWGLLLRNCSYQIPSTDLRSNASKVRNKAAYLLQGIGNN >OMO82867 pep supercontig:CCACVL1_1.0:contig09966:61455:63377:1 gene:CCACVL1_11715 transcript:OMO82867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSSFGSMVRKRLSDITNSQSQPKPSSQEEKPKQIPPSVEDYINQLIKEKTTLMKLVEERNKIIELSGTELQNLRICLQKLQLQNWNLAQSNSQMLAVKALQHELVCKEALLKVKNLENKGKLDINCQNSGSQAAEETMPKANDDDKPSSRNRRRNARSQSMGPSTTSQRGADKEKTENKRRCLRRQSARFKSQERELSSENLFEIEDVKFAAAANHQLDEDTPMHEADGATPLNPSINIKEETQRFQRPSLGRPLRRAVEKVQSYKEIPLNVKMRRN >OMO82873 pep supercontig:CCACVL1_1.0:contig09966:109098:111490:-1 gene:CCACVL1_11722 transcript:OMO82873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MEGGFETKFPAKEFDSVELGINNFTNMEETGLGKYGCSHYRRRCKIRAPCCNEIFDCRHCHNEAKNSMECNPLERHDIPRHEVEKVICSLCDTEQDVQQYCINCGVCMGKYFCAKCKFFDDDVSKNQYHCNGCGICRTGGEENFFHCNKCGCCYSKQLMSDAHRCVERAMHHNCPVCFEFLFDTMKDITVLPCGHTMHLDCLKEMDQHYRYSCPVCSKSICDMSKLWRKIDEEIASTRMPAAYQNKMVWILCNDCGATSHVQFHILAHKCLHCESYNTRQTRGGPTASCSSGMAEMVR >OMO82864 pep supercontig:CCACVL1_1.0:contig09966:41647:43338:1 gene:CCACVL1_11712 transcript:OMO82864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MGLKGDELTPFTVQFLRGRWFALFATFLIMFGAGATYLFGTYSKQIKAVLGYDQSTLNLLGFFKDLGANVGVLSGLIAEGFTGLSGAVMTQFYLAIYGNDSKSLILLIAWLPAAISVIFVYTIRAIKPVKHPNENRVLYHFLYASLVLAFFLMAITLAEKLLTFSKAEYAVATTVVCCLLFVPLLICIREELLVWHIKKQPIDPPSEVKVEKAPKQEVVEESKKPEVVESPKAEKSCFLTIFDRPPRGEDYTILQALTSIDMLTLFLATCCGLGSSLTAVDNLGQIGESLGYPNKTVTSFVSLLSIWNFFGRVFSGFVSETLLAKYKFPRPLVMTAVLILACIGYLLIAFPFAGSLYVASIVIGFSFGAQLPLLFAIISELFGLRYYSTLFNCGQIASPLGSYILNVKITGMLYDREALKDLAKQGLTRSSVKELTCIGTHCFRLPFLVLACVTFFGALTSLILVFRTREFYKGDIYKKFREDAEAL >OMO82871 pep supercontig:CCACVL1_1.0:contig09966:91970:101099:1 gene:CCACVL1_11720 transcript:OMO82871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRMKKYRQVSPERAKVWTEKSPKYYQLNRKVPVVYYLCRNRQLEHPHFIEVPLSSPDGLYLRDVIERLNILRGRGMASSYSWACKRSYRNGFVWHDLSEDDLILPAHGNEYVLKGSELFEESSSDRFSPVGNSLRLQNLKQLPELPSSSRSQDDSSSSSSLNGKRTKNSQDDELSSPVNRPTPGSAGASPESRYGKNSSWGCNSLSLTEYKVYKSDGLADASTQTEENTSRPKTRETCTRGVSTDDGSLEPECNSNFQNTVSNSCMKDNSETCRNPVSPPPPSTSSVSSSGGKTETLESLIRADASKINSFRILEEEELRMPNHARLKATSMLMQLISCGSISVKDHSFGLVPAYRPRFSHSKFSSPLFSTVMLGELDCLSENPRLMGLRLEDKEYFSGSLVETKMLKEEGDGHTTLKRSSSYNADRTSKQLDSAEDKEEELNSGRSKCIPRSIKASLSKQPRSESMRSPVSEKPRISSDGIDNSRSVLSSVSNGGSRRMTEPVSSKIQSKRLDSFREEEKAKMKQGFDLRTWNPMWLIYRSKCSTDGTLTLGFMGGGRQVASAFGVHESDSFHVVSVSSSSSSSSHEVVEPSYSSSASHEVVGPVESHGGLIDNHEVVEDEKRKVHTEIPYGSFSINFPIQWRKHPALSPVSMPEKTPPQDPPEASPKPYKRSFVTTLMEAATFRSPSFKDDTYFIFHLMSTLGLDFHQCPMVLQCSVFHVKPVSNSAN >OMO82859 pep supercontig:CCACVL1_1.0:contig09966:18874:19304:1 gene:CCACVL1_11706 transcript:OMO82859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 16 MIISLSNAQGPPSPGFSPSSRANSVNFDQAYRNLWGPQHQRVDQGSLTIWLDKSSGSGFKSLRSYQSGYFGAAMKLHPGYTAGVITSFYVRNRNINKIELYKNFV >OMO82862 pep supercontig:CCACVL1_1.0:contig09966:34868:36568:1 gene:CCACVL1_11710 transcript:OMO82862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MGEEAMVRRKGLKGNELMPFTVQFIRGRWFALFASFMIMAGAGATYLFGTYSKQIKSSLGYDQTTLNLLGFFKDLGANVGVLSGLIAEGFTGLSGAVMTQFYLAIYGNDSKSLILLIAWLPAAISLIFVYTIRTIKPVKHPNEDRVLYHFLYSSLALAVFLMALTLSEKLLSFSKAEYAVATTVVCCLLFVPLLICIREELLVWHIKKQPINPPTEVTVEKSTTTKPEVVESEKTDQKSCFLTIFDKPERGEDYTILQALTSIDMWTLFLATFCGLGSSLTAVDNLGQIGESLGYPNKTVTTFVSLVSIWNFYGRVFSGFMSETLLAKYKFPRPLVMTSVLLLACIGYLIIAFPFTGSLYIASIIIGFSFGCQLPLLYTIISELFGLKHYSTLFNCGQLASPLGSYVFNVKVTGKLYDREALKDLAKQGLTRSSVKELTCIGTHCFRLPFIILAGVTFFGALTSLILVVRTRKFYKGDIYKKFREDAEAL >OMO82874 pep supercontig:CCACVL1_1.0:contig09966:117963:119667:1 gene:CCACVL1_11723 transcript:OMO82874 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MSCSVAVSNSPVFSPSSSLFCNKTSIISSSPDALNLTLTHLKPSSSPASPSPSSPSSPYRLRLQKPPSVSLLSSFSSSASTASSSSASGSTVGLGAGSVSTILKRKRPARLDIPLATTGVCFGVPTTPCEVRREIEREGDGYSVYCKRGRREAMEDRFSASVQLQGDSKQAFFGVFDGHGGSKAAEFAAQNLERNIIDEIVRIRDKSEVDEAVKEGYLKTDAEFLKEDVSGGTCCVTALIQDGKLVVSNAGDCRAVMSRGGVAEALTSDHRPSREDEKDRIESLGGYVDLCRGVWRIQGCLAVSRGIGDQHLKQWVIAEPETKIISIKPECEFLILASDGLWEKVTNQEAVDIARPSCIGINKPNPLFACKKLVELSVSRGSSDDISVMLVQLGRYI >OMO82863 pep supercontig:CCACVL1_1.0:contig09966:38660:40243:1 gene:CCACVL1_11711 transcript:OMO82863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MAGAGATYLFGTYSKQIKATLGYDQTTLELLGFFKDLGGNVGLLSGLIAEVTPTCIWNFFGRVFAGFVSETLLVKYKLPRPLVMTGVLLLACIGYLMIAFPFTGSVYIASIIIGFSSGAQFPLLYAIVSELFGLKHYSTLFNCGQLSSPLGSYILNVRITGMLYDREALKDLAKQGLERSPVKELTCIGSHCFRLPFIVLAGATFFGALVSLILVARTRKFYKGDIYKKFREDAETL >OMO82877 pep supercontig:CCACVL1_1.0:contig09966:129310:132604:1 gene:CCACVL1_11726 transcript:OMO82877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPITMKEILTLPSIGINPQFINFTHVTMESDKYICVRETAPQNSVVIIDMNMPMQPLRRPITADSALMNPNTRILALKAQLPGTTQDHLQIFNIELKTKVKSYQMPEQVVFWKWISPQKLALVTQTAVYHWSIEGESEPVKMFERSANLANNQIINYKCDPNEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHSASFATFKVPGNESPSTLISFATKTFNAGQITSKLHVIELGAQPGKPSFSKKQADLFFPPDFADDFPVSMQISQKYGLIYVITKQGLLFVYDLETASAIYRNRISPDPIFLTTEASSMGGFYAINRRGQVLLSTVNEATIIPFISGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFSQTKYKEAAELAAESPQGILRTQETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVK >OMO82860 pep supercontig:CCACVL1_1.0:contig09966:24673:26316:-1 gene:CCACVL1_11708 transcript:OMO82860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MASELQNPSSKRNRGFYVKMRILHKGRQHERSLFFKYYKWVLWFSFTLYFLTSFFISNKSIPLSKTRVSGSKASRVLFESVNKTNHKSKPQNPASFKDLKIFIYELPSQYNEDWLSNKRCSNHLFAAEVAIHRALMNTYDLRTFDPYEADFFFVPVYVSCNFSTVNGFPAIGHARSLLSSAVQLISSTYPFWNRSQGSDHIFVASHDYGACFHAMEDRAIADGIPEFMKNSIVLQTFGVNYKHPCQDVENVLIPPYIPPESLRKTLEKAPLTGNRDIMVFFRGKMEVHPKNVSGKFYSKRVRTEIWRRYSGDRRFYLQRHRFAGYQSEIVRSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFPSAVKWSDISLTVAEKDVGRLGRILEHVAATNLSTIQKNLWDPAVSKALLFNNQMQEGDATWQVLDSIYNKLDRSYRRRSRVSSQ >OMO82865 pep supercontig:CCACVL1_1.0:contig09966:51033:51344:1 gene:CCACVL1_11713 transcript:OMO82865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHICKSQSQLPLQQSSSEKHISSDGLQHLLELQIASWQHCSSEVQEDAGGEQHLNVPVPGTNPQDPLQQFAAELQKAPTGEQHVPEVQTPRQQSPFDLQEEPP >OMO82870 pep supercontig:CCACVL1_1.0:contig09966:76492:82611:-1 gene:CCACVL1_11718 transcript:OMO82870 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase, ATP-dependent MSSPPSAFDALMSGARRLAAKKNPSSPSKKRKSLDSSPAQNPNPAQTLDSSPAQNPKPAQTLDSAEAKPVPENASDGTENPVQDSAKPTLDEPQKPPDSKKMKVVSVSERTTELKGKIGLLKKKPADFDPKLVACWETGERVPFLFLSLAFDLISKETGRIAITDIVCNLLRTVIDTTPDDLVPAVYLAANKIAPANEGLELGIGDSLITKAIAEACGRTESQIKSQYDKKGDLGLVAQASRSSQSMMRKPDPLTVVKVFETFRLIAKESGKDSQEKKKNRIKALLVAATDCEPQYLIRLLQTKLRIGFSEQTLLAALGQAAVYNEQHSKPPPNIQSPLEEAAKIVKQVFSVLPVYDKVVPALLTVGVWNLPMNINFTPGIPIGAMLAKPTKGVAEIVNKFHDTDFVCEYKYDGERAQIHYMENGSVEIYSRNSEHSTEKYPDVVAALPRFKKSSVKSFVLDCEIVAFDRAQKKILSFQQLSRRPKKNVVLSNINVDVCIFAFDILYLNGEPLLQEQLKVRKERLYDSFEEEAGFFQFATALISNDLEEIQKFLDAAVSSSCEGLIIKTLDRDATYEPSKRSLNWLKLKKDYMESIGDTLDLVPIAAFHGRGKRTGFYGAFLLACYDESNEEYQSICKIGTGFTEAVLEERSASLRTKVIPEPKSYYRYGETMKPDVWFEATEVWEVKAADLTISPVHRAAVGIVAPDKGISLRFPRLVRVREDKTPEQATSSLQVADMYNAQSHNQPNNENDDEDD >OMO82858 pep supercontig:CCACVL1_1.0:contig09966:8780:10636:-1 gene:CCACVL1_11705 transcript:OMO82858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MEKVMNIIKPKPNPQQQLRDWQHIQREEKNVQKAIRDAAKRNDMGSAKALAKEIVRSRRTVNRLYENKAQMNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPEVAATMQEFSKEMTKAGVIEEFVDEALDNALDSEDMEEEIEEEVDKVLTAIAGETAAQLPEAVRKEKMKQPAQPAAHEEEAIAEGVDDEEELEEIRARLAKVRS >OMO82875 pep supercontig:CCACVL1_1.0:contig09966:123074:123262:1 gene:CCACVL1_11724 transcript:OMO82875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRARQQTSTRNSYCKSQTQASWEEDRRWRQLGISSHPSLLNQQPLIFSCCDVYLPFPDT >OMO82857 pep supercontig:CCACVL1_1.0:contig09966:69:4888:-1 gene:CCACVL1_11704 transcript:OMO82857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MASTFCQNLLLLSFFLLFASFSVKAVSQGNKHQLHISPTSRLAKLNAEKLIRALNLFPEDDVNGGLNDFASVETPKLVEKQFRFPVLDSAGPSVQEFGHHAGYFKLPHTKSARMFYFFFESRNSKNDPVVIWLTGGPGCSSELALFYENGPFHLSKNLSLVWNDYGWDKASNILFVDQPTGTGFSYTSDEDDIRHDETGVSNDLYDFLQEFFKAHPQYVKNDFYITGESYAGHYIPAFAVRVHQGNKAKEGIHVNFKGFAIGNGLTNPEIQYQAYPDYALNTSLITQADYISIKKQVPSCVQAIRNCGSAGGDACMDSYQICNNVFNSIMGVAGDINYYDIRKQCEGNLCYDFSDVETFLNQKSVRDALGVGEIEFVSCSSVVYEAMILDWMKNLEVGIPALLEDGIKVLIYAGEYDLICNWLGNSKWVHAMKWSGQKEFGAAPTVPFVVDGSEAGQLKSHGPLAFLKVHDAGHMVPMDQPKASLQMLQSWMQGKLASSGTPERVSPKYLPYYLLPRKRACEGEVVEGESEKNSKSNNIKDVAVTSPIKKHRTIAPAGINLTVTTGTTAVVASVVPGDANHNDIDEDLRSRRLAVYVRETMRRLFASDVLISGMQGLGAEIVCTQCWCSSLNYEAVLFEFDHVTVSEFVSCFYNFTEDDIKILRVQKKSFAHCPVPSKESKYGWCPEA >OMO82861 pep supercontig:CCACVL1_1.0:contig09966:26711:28887:1 gene:CCACVL1_11709 transcript:OMO82861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEQNETRQFRARQGEREAKL >OMO82868 pep supercontig:CCACVL1_1.0:contig09966:67058:67174:-1 gene:CCACVL1_11716 transcript:OMO82868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKVMIKAKGFYQSRVSTANTCRVGASGKSWLPTPFD >OMO82869 pep supercontig:CCACVL1_1.0:contig09966:73298:74065:1 gene:CCACVL1_11717 transcript:OMO82869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELRHSLLYTTLELEQTRMTVQEELRKRDDQLIQLKDLLNRAMRERDEAQEKCQRLFLEKLLLHQQQQQQGAPLSGVSSIEDEPRRGIDSNNGFSSSDCEESIVSSPVLDTIQQPPQLSPAPPPQAPPPAQPQAPPQATVELVPDKPLPEKGKLLQAVMKAGPLLQTLLLAGPLPQWRHPPPPLESFEIPPVTIPSPPPPQPPPPPPQLLHQDSLISINNCNTLNTCGKVNRKRGLYDGSDSPTETKYQRLLLH >OMO82872 pep supercontig:CCACVL1_1.0:contig09966:103281:103406:1 gene:CCACVL1_11721 transcript:OMO82872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSPGYKTPRVAGFTEQSQTLTPCHTSAKLAGALWDRQP >OMO82876 pep supercontig:CCACVL1_1.0:contig09966:124564:126447:1 gene:CCACVL1_11725 transcript:OMO82876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQSKSTQKSSSWTRGKCLGKGAFGTVSLAINQSDGAVFAVKSVELATCLPNQLESLENEIRILRSLSSPYVVRYLGDDVTRYESPTTSYRNLHMEYLPGGTVVDTAIVKRRLTDVDEKILRWQTRCLVSALRYVHGEGIVHCDVKGKNVLVGHDSASVKLADFGSSIEGGRSAGDRCRPLITPRGSPLWMAPEVMRGEYQGPESDVWSLGCTVIEMVTGKPAWEDHGFNSVTRIANSDELPELPAQLSELGKDFLDKCLRRNRNERWSCDQLLQHPFVSSASAPNMIGESSPRCVLDFGSSEFEDDEITENFEASARQRIAKLASEGGALWESDGWVAVRSYSRESGVNSEEGTSSVYSVSERTHLEFSNCLEWQCGNSEDMKELEESSGGHRCGGWRCESDRSCSAGSSCR >OMP01494 pep supercontig:CCACVL1_1.0:contig06433:6529:6600:-1 gene:CCACVL1_03087 transcript:OMP01494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPAGPKWEIPQLVETEQGLTAPL >OMO73519 pep supercontig:CCACVL1_1.0:contig11221:7183:7344:1 gene:CCACVL1_17236 transcript:OMO73519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKWDVNKSCPLKTIDNAGVLGPHGLPWCQRLPNPSSNTTHDRNCDRFQIRDLG >OMO68518 pep supercontig:CCACVL1_1.0:contig12214:38175:38255:1 gene:CCACVL1_19928 transcript:OMO68518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNTGIDGYIERIYRTDISMDISRYQ >OMO68516 pep supercontig:CCACVL1_1.0:contig12214:508:16300:-1 gene:CCACVL1_19925 transcript:OMO68516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQICCYTCGMRRAGKDADLLLHVWDEKSWQR >OMO68517 pep supercontig:CCACVL1_1.0:contig12214:29202:32399:1 gene:CCACVL1_19926 transcript:OMO68517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMSTNDAYKESAAKHSLREPLTTTLPPLSRITTPGPLLPKWRKKKRLN >OMP07290 pep supercontig:CCACVL1_1.0:contig04543:145:231:1 gene:CCACVL1_01335 transcript:OMP07290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTPTTLVPIGGIEEEALHLGINNTKTLL >OMO92660 pep supercontig:CCACVL1_1.0:contig08187:9356:9463:-1 gene:CCACVL1_06789 transcript:OMO92660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKFKLWATVERDDGVVVPNVFFSGAGDSSVQCS >OMO92661 pep supercontig:CCACVL1_1.0:contig08187:34661:35008:1 gene:CCACVL1_06790 transcript:OMO92661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MASAIKIACVVVVCMALVSAPVAQGAISCGQVAKALGSCIPYLTGKGPLAPGCCSGVKSLNGAAKTTPDRQAACNCLKSNAGSISGINYGLAAGLPGKCGVSIPYQISPSTDCSK >OMP11101 pep supercontig:CCACVL1_1.0:contig01544:108:614:-1 gene:CCACVL1_00666 transcript:OMP11101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHAGTVVGKLEGERDITLGFVDLLRDDFIEKDRSRGIYFTQDWVSIPGVLPVASGGIHVWHMPALTEIFGDDS >OMP07250 pep supercontig:CCACVL1_1.0:contig04567:29:375:1 gene:CCACVL1_01342 transcript:OMP07250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLQYDERLFLPEQKDQTLPRPTLDLQIAAKKHKPEKTIWAPATPS >OMO51202 pep supercontig:CCACVL1_1.0:contig15933:28:198:-1 gene:CCACVL1_29937 transcript:OMO51202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQNGSKTQMQTKVKPKIIYRKRKSGKWEQEAQKIPAIKKTPKQTKKRKILRDEMGK >OMO82475 pep supercontig:CCACVL1_1.0:contig10031:2891:3004:-1 gene:CCACVL1_11942 transcript:OMO82475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVNSRKLFEKVPGAYDMGPIYEVICVIIALICVTLM >OMO82476 pep supercontig:CCACVL1_1.0:contig10031:3644:3781:-1 gene:CCACVL1_11943 transcript:OMO82476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIPQRLAPPSILFVLKTSAELIKPGSHGTSQPSSVWHRLADQVSS >OMO73229 pep supercontig:CCACVL1_1.0:contig11277:2455:8676:1 gene:CCACVL1_17380 transcript:OMO73229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin ClpA/B MASSPCSTASFSGVSLSAARSITTNKNNLFLAQPRLSLSFPSNPNSLKSFKPLQFKRNGAFERFSRTSSRSFVVRCDASNGRITQQEFTEMAWQAIVSCPDVAKENKHQIVETEHLMKALLEQKNGLARRIFSKVGVDNTRLLEATDKYIQRQPKVLGESAGSMLGRDLEALIQRAREYKKEYGDSFVSVEHLVLGFTQDQRFGKQLFRDFQISAQSLKSAIESIRGRQSVIDQDPEGKYEALEKYGKDLTAMAREGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRKLISLDMGALIAGAKYRGEFEDRLKAVLREVTESEGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVENTISILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLTNDTDRASRDRLTRLEAELSLLKEKQAELTEQWEHEKTVMTRIQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLADAEKELDEYLKSGKSMLREEVTGNDIAEVVSKWTGIPLSKLQQSEREKLLHLEEELHKRVIGQNPAVTAVAEAIQRSRAGLSDPRRPIASFMFMGPTGVGKTELAKALASYLFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHGDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNSDEDTLKDLAYETIKQRVMDAARAVFRPEFMNRVDEYIVFQPLDREQINSIVKLQLERVQKRIADRKIKLRVTEGAVQLLGSLGYDPNYGARPVKRVIQQNVENELAKGILRGEFKDEDIILVDTELTAFANGQLPQQKLVFRRLESDPDSQIADSEESALSQTV >OMO73235 pep supercontig:CCACVL1_1.0:contig11277:47732:50363:-1 gene:CCACVL1_17386 transcript:OMO73235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTASKIAKEIGNTVKKAASRNRGWFDPHMAAASCAIDERVPLVDLVVEIRDARVPLSSEYELLRNFPLSKRIVVMNKMDLANPFYIKGWMRYFRQQNCISYGVNSHNKDNVKGLLNFIQAQLRELKQANRQFSETIIVMLVGIPNAGKSALANSLHQIGRISAAEKGKLKHAMVSPQPGETKDISSMKIGSHPNIYLLDTPGILPPSIHDSELCSKLALTGAIRDSLVGQKELVQYFLAILNLSDQYKKWEKVLTNRGKLSFAESKQEHSGCSQLEGKQRKQHLMDHTQDLIVHDVRRALFDVISCFDGNLECEDDMLKLIEAEFVALREALRIPEERDGDVDHKVAVKLLNLYRTGRLGHYTLDPLPITLCNHL >OMO73233 pep supercontig:CCACVL1_1.0:contig11277:27468:29240:-1 gene:CCACVL1_17384 transcript:OMO73233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESISSSVSPDITKPAGGDSRRSSLAGDGSVNSGDKTLSRYLRASTGSCHDHCKYGKKHESEVKARRPFPRRIVKKACDEPNSFESLDLPRREKTSAVKSKSVPNSRTHTPDTSDVINLQVSTDAPDRKNSRREEILSKKEKTSVAKLKPKHSPNSSHSNDSSDVIKLEVPTDSPDSKTARKSATISGGKRELMAKLRSSPNLKSRLSDGPKIMQQDGSLSSERIGVSSKDVSSKTREKSFSKRRAISLKLTAQAEKSSSSVPSEGLSARRNSGISDMKFGKRSATAKVAAKKLVASPRVSLSPRPSIARATSLTTSKNRNLKAVPPQKSQNKVKNDETEQPLNEHNESNNDTLLEKTLYVIKMETENLVLESDKNENCAAELSSPDASSPKSPSQPISTSLSPHSERDQDESEYTVSEAEDDYDSEYDEDETVKMEETDILEGENGGRPRNAGMVISDDKDCQPVKLSFRRGKVVDIQSENNGPRRLKFRRGRVLGGNQTNKSEGRKTYRRGVDDETNDNKSAGEKVVLRHQDVQGKKDDKVLFNNVIEETASKLVETRKSKVKALVGAFETVISLQDTKPSANTVA >OMO73228 pep supercontig:CCACVL1_1.0:contig11277:162:644:-1 gene:CCACVL1_17379 transcript:OMO73228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSSSLSPSLSPHSNTPSVEDSDLLKRSTKRLKDHTNTMEVEGTSTIRLPNCSQIGMDAAVDHQPVHQTYRDKLVNQVDDMQFSFTANSRFLDEESDVEEEDSEDGIPVILLSREEKRRIREPWLHSIIIKTYGKNVGYNFLFPKIKAQWNPKGRMDMH >OMO73231 pep supercontig:CCACVL1_1.0:contig11277:13676:14944:1 gene:CCACVL1_17382 transcript:OMO73231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEELACEMECSRGEDYRLIKLTITDYNRKKEHTVVVECRGHDAARFQNVDHAHGWEKDVVDMVEQKEGKKKILISFECETLKSDKAAEEHIQQYMPKLSGLDAVVNIGRMSISGLDFEAEGEDSKPDLRTA >OMO73232 pep supercontig:CCACVL1_1.0:contig11277:16614:17360:-1 gene:CCACVL1_17383 transcript:OMO73232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKQYRCIHSSSCQCTKGHLNEDVIFLVFQHLNWNPKLIATLSCACKWFDDLAKRVLWKEFCKTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCSVGGLFNSTQIPGHFVYRTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFLVSKVRKMLIDRGAQLHPTAACPYCKARLWNMLQAKMVPQSASCRLGAYEDCIEYYVCLNGHMLGICTLLPLSDTEEASESE >OMO73234 pep supercontig:CCACVL1_1.0:contig11277:35956:39596:-1 gene:CCACVL1_17385 transcript:OMO73234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIAGSCPKSGGFQSPISLRDPNSSFLGGSLKGNFSLQLKPRKEQKKDSNISLVVAAAAATGSDNATTGSANRFYFNITGFPFPLGPFLNRRTIRTEAVKGSIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTKECIKLLKELDAPVEYIVLPTFAYEHKIFVGPFSRKFPKAQVWVAPRQWSWPLNLPLEFFGIFGAKVLKDEDCSTPWADEIEQKVLSSPEVGIGPYVEVAFYHKRSRTLLVTDAVIYVPKKPPECINREYLLASAKNGLAVKILSKGKKVTDEPVVDNEINRQKGWERMVLQILFLGPSNLLEPKASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWIDRIARDWKFKRIIPAHFDGPIKAGRAEFLAAFGFLDDLLGERYVTRPSLALLFTSFMGKAASYFPPDDMKTLSSLDEFLVSVGAVKKTVSGRKR >OMO73236 pep supercontig:CCACVL1_1.0:contig11277:51380:52826:-1 gene:CCACVL1_17387 transcript:OMO73236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELTMTALGLEKRKEDEDDKSQRQREETE >OMO73230 pep supercontig:CCACVL1_1.0:contig11277:9906:12222:-1 gene:CCACVL1_17381 transcript:OMO73230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELLRNSASFSIISNGFGCRYQSFSQGRGRNSVCCRCFCGCFYGGSAVKTNRRHVARCRLNMVLMPVHQCLWFGHLKMSSQKNLHVESTRIDNNVCVPEMVASIESEIVCEDDGEDGLVQNGKGEEFELDSLGQNLPPWGHIVIDQSMEFESRSAGQPSTSSDGKDTLHDSKVHFLEERNEEELSRRILILSRSNKVRSALELCKSMKLSGLQPNAHACNSLLSCLLRNGQLDDALRTFEFMKTSEIITGHTYSLILKAIADTQGCEAALDMFAELEGESEQKKGFDVIFYNTAISICGRLNNWVETKRLWRRLREDGHIGTQVTYSLLVSIFVRCNQNELALDAYHDMIQNGLEPKDDTMHAIIGACTKEEKWDMALSIFQKMLNDGLKPNPVACNALINSLGKAGEVKLAFKIYDIMQHLGHTPDAFTWKSLLGALYRANQHGDALHLFERIREQSSALNEHLYNTALMSCQKLGSWDRALQLLWQMEASGLSVSTASYNLVIAACETARKPKVALQVYDHMMDQKCIPDTFTHLSLIRSCIWGSLWPEVEEILDRVSPNVSLYNAAIHGMCLRGKIDTAKKLYMRMQKSGLKPDGKTRALMLQNLKKDKLKVKRLSYHGRR >OMO73237 pep supercontig:CCACVL1_1.0:contig11277:61505:66350:-1 gene:CCACVL1_17388 transcript:OMO73237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPFPRWFGVARTWGLRNDSRSNSEQDGSISNSIECYACTQVGVPVFHSTSCDSVHQPQWEAFAGSSLFPVQAPPDSSKSSTRARSRYSSSSSGPFGKILDPRSGLVQRWNRVLLVARGIALAVDPLFFYTLTLIASKGGAPCVYLDGGLAAIVTVVRTFVDGVHLWHLWLQFRLAYVSKESLVVGCGKLVWDARAIASHYVRSLKGFWFDVFVILPAPQVTGGCWYVLATQRVVTCLRQQCERSAYCDPSSSCSQKVCSSQNLSPEDVLSSQCGGNSTTMTKSFCFDPEGPYGYGIYGTALPVISSNSLAVKILYPIFWGLLNLSTFGNALSPTSDPLEVVFSICIVLGGLLLFTLLIGNIQVFLHVVMANRKNMQLRHRDVEWWMRRRQLPSRLRQRVRHFESQTWDKMGGEEEMKWIEELPEGLRRDIKRYLCLDLIKKVPLFHSLDDLILDNICDRIKPLIYSNDEKIIREGDPVLRMVFIVRGHIKRTQSLSKGKIATSILEPGGFLGDELLSWCLRRPFLDRLPASSATFTCNEYTEAFGLNAGDLRYITEHFRYRFANNRLKRTARYYSSNWRTWAAVNIQFAWRRYRMRTRSPLIPIPANNSGLEGRLRKYAALFMSFRPHDHLE >OMO69571 pep supercontig:CCACVL1_1.0:contig12013:66720:69395:-1 gene:CCACVL1_19424 transcript:OMO69571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MPPVGTLNINGLIYKVRPCGHLNPFAEPWHPLMNRAPESDRCLFLTFSKGHPLTEIEILTFFTHKYGNCVEKVYVHWAPPPGEENYGNDESSTTAQFGKIVFTGQTIPLLLTAGGAKECKFFVHGKPLWSPFPTPISIRVKNRDGRNSLLHRKTLLIIRATAMDSSETKPYYQNVVVMRHGDRMDNFDPTWKIKAERPWDPPLIDNGLARAFRTGLTLRNQLGFPIHRVFVSPFFRCVQTASEAVAALCSVGDNPNAKTSRDVVSSDPSKVKVSIEYGLCEMLNKETIRLDVAPKDGIFRFDIPKLEALLSTGTVDHTFERVYKEVPKWEETVMGTRSRYQNIVKALADKYPSENLLLVTHGEGVGVSVSGFLEDKTVVEVDYCAYSELKRPISFKNDSFTAGNFEVLTKSGQTGVTYFDNHQLVD >OMO69565 pep supercontig:CCACVL1_1.0:contig12013:50379:50465:-1 gene:CCACVL1_19418 transcript:OMO69565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVCVKVKSTTRKAATEAVPLKRLLK >OMO69558 pep supercontig:CCACVL1_1.0:contig12013:11937:13831:-1 gene:CCACVL1_19411 transcript:OMO69558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIEELKEGEQQDLDQSQLCGENLVVWDAKRVLVGAGARALFYPTLLYNVVRNKIQSEFRWWDRVDQFILLGAVPFPTDVPRLKELGVSGVVTLNEPYETLVPTSLYYAHNIDHLVIPTRDYLFAPSFADICLAVDFIHENASLGKTTYVHCKAGRGRSTTIVLCYLVEHRHMTPDAAYEYVRSIRPRVLLASAQRQAVEDYYLQKVKNNGTPGMSCCTIVRKPLALPATQDTEAFDDGSVVVVTESDLDGYDASFDMVVSTKDMLGEGSLAGKFQFASQAAISRLSCLWLRCHADQKTSQKPGPLSSLGIDIRVY >OMO69573 pep supercontig:CCACVL1_1.0:contig12013:76681:78936:1 gene:CCACVL1_19426 transcript:OMO69573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRVPKKSDNTKYYEVLGVSKSANQDELKKAYRKAAIKNHPDKGGDPEKFKELAHAYEVLNDPEKREIYDQYGEDALKEGMGGGGPSHSPFDIFDTFFGGGGFSGGGSSRGRRQKRGEDVVHSLKVSLEDLYNGTTKKLSLSRNALCSKCKGKGSKSGASSKCYGCQGTGIKVTTRQIGLGMLQQMQHVCPECRGSGEVISDRDRCPQCKGNKVTQEKKVLEVHIEKGMQHGQKITFEGQADEAPDTVTGDIVFVLQSKKHPKFERKFDDLYVEHNLSLTEALCGFQFALTHLDGRQLLIKSNPGEVIKPGQFKAINDEGMPHHQRPFMKGRLFIHFNVEFPESGAFSPEKCRTLETILPMRASKQLADMELDECEETTLHDVNMEEEMRRKEQQHHRRHEAYDEDDDDEPSMPRVQCAQQ >OMO69566 pep supercontig:CCACVL1_1.0:contig12013:53616:54953:1 gene:CCACVL1_19419 transcript:OMO69566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGSSKPFLDFKGVVIIDVPDEDFEVSQEHCCIYEVPKSIRQAKEDDYTPQLISIGPLHHGNQKLAEMEKHKLRYLKKFCARTSNSKEATLGDFLNFIEDNEGRIGKCYQKSVSKAEFESTKFREMILLDAVFIIELFIRHKQNQNDDVLVSKPWLKRDIKVDLILLENQLPFFVLVTLYNRAGPLPDCPSDFLELACNFFNINLDSVSSERDKIKHFTDLKRWELVKNPPQPKTKPKPKPKAKPDDSGASEDIKWVDNLCNATKLHEAGVKFKAGLKPNGASDLLKIEFSNKELIIPPLIVHPYSESHTRNVIALEQCHYPQTAYVSAYIYFLVHLINTEEDVELLVKKGIIINRMGSTTAVAELFNKHLEGVERFSTCYQHIARDLIKCYKSSCNREIANLKNVYFKNLLNGTVSVAALLVVLFTFTQTIFAILERFKPIN >OMO69564 pep supercontig:CCACVL1_1.0:contig12013:43535:44489:1 gene:CCACVL1_19417 transcript:OMO69564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTLKSQLHNFSLNDLKWAMTNNHRLRKLSDSSHKSPHRGDTDSDSDNNRKGNPVREASPKNGAFSPDHRAEKSAKKDISASDVLVDNSSEKKATPSEGRSKICIRFRAKNLKSIDEVADIGDQSLNAEDVDELLPKTWNLRPRKPISKPPNQNGAAPKIGASTPENKTQRPESTREEIEDDIYAMTGSKPTRRPKKRAKNVQKQVDCVFPGLWLASITPDCYRVSEAPPRG >OMO69561 pep supercontig:CCACVL1_1.0:contig12013:24399:26715:-1 gene:CCACVL1_19414 transcript:OMO69561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKDDGLGLSLSLGCSQNQPSLKLNLMSLASPPMQSLQQKNAWNELFHSSDRQLDTRSFLRGIDVNRAPTVADCGEEEGGVSSPNSTISSLSGKRNERDAVGDETEAERESCSRGSDDEDGGAGGDASRKKLRLSKEQSLVLEETFKEHSTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCENLTEENRRLQKEVQELRALKLSPQLYMHMNPPTTLTMCPSCERVAVSSSSSSAAATSSTPTSAAAPNWQRPVPVNPWAAMPISTHRPFNAPASRS >OMO69575 pep supercontig:CCACVL1_1.0:contig12013:84599:85465:1 gene:CCACVL1_19428 transcript:OMO69575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDINIENDAHYSSSSSAVSTTVTSSSTSTSSSTSSSSTASFSHIQNKKSSSKGSKKGSGDLENDDSESKKRQRTCDNDGDDGKHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALAIKGRSAYLNFPELANELPRPATTSAKDIQLAASQAAASTFPSTRRRCNIVEESEAEAEAETEAEAEAEAEAEVPVTQLSSHNSDNGQESSSSPSNSNISIDDDDDNLFDLPDLMIDSTDRSDGLFSSSYSSSWQICAIDSGFRIIEDPFSWEYY >OMO69570 pep supercontig:CCACVL1_1.0:contig12013:64781:66299:1 gene:CCACVL1_19423 transcript:OMO69570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRAEISQIRKGPWKAEEDEVLIYHVKKYGPRDWSSIRSKGLLQRTGKSCRLRWVNKLRPNLKNGCKFTAEEERVVIELQGQFGNKWAKIATYLPGRTDNDVKNFWSSRQKRLARILQNSGTPSHSKPLKIKKEVPDFHDVSTLEAPESCSSMAEESNPMGQSCSSYIENSEGIKMEQLTDAKNPHLYTIDANIAQLEFLSRGKNPYAEAQPQIMFPQIPQPQSYLTLSLESQDLLAKFEDPYFSQVFASMDVPELGCGHVGQPFLEPVRNRGLGPREGTDNPVTPDTFFDDFPADMFDHMEPLPSPSQ >OMO69560 pep supercontig:CCACVL1_1.0:contig12013:17786:19322:1 gene:CCACVL1_19413 transcript:OMO69560 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP2/3 complex, 16kDa subunit (p16-Arc) MAGAEKFVEADNAEAIITRIEHKSRKIESLLKQNKPVEALKTALEGSPPMTRDERCKSANWIVVHRALMAIKDVDGMFSSLDPEYYDILMKYLYRGLSTGDRPTCDQCLRIHEKLTERAGLGCILRSLADTVNTV >OMO69572 pep supercontig:CCACVL1_1.0:contig12013:72424:74988:-1 gene:CCACVL1_19425 transcript:OMO69572 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like protein MERQDFFSPPAVPVTQDSVERWFSATSVNWGYPQFMALKTLQDASKGFLVYDCLIVEAEITVVSKVKRFS >OMO69557 pep supercontig:CCACVL1_1.0:contig12013:4034:5539:-1 gene:CCACVL1_19410 transcript:OMO69557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLSFKKDEAIKLGAQALDLKLPFVESEVLRENLDLIKRQLGLEEVEVMSATDPAASAQVGPLASLLQQNPTSPGNPTAIFLSRLFNSSFLFQPFAFIDYIFAYFAFSFLWILCQEDGRGFEVKVVTSDDYIKSESGLIFRDYEVGKGECPKAGQQVTFHYIGYNESGRRIDSTYLQGSPARIHMGTNAVFPGFEEGIKNMKPGGKRRIIIPPELGPPVSDCDACFCVLYRQFSLTCFEVLFVFLMLAYVAAKILV >OMO69568 pep supercontig:CCACVL1_1.0:contig12013:56842:59340:-1 gene:CCACVL1_19421 transcript:OMO69568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subunit Tim21 isoform 1 MQQNIRRSIISSRSRWGGLLRSFVESKPCFDGFASSAKRFPVNGNSSFTRQYVAGASNMLKGTLGANGSCLQFRGQCGRDSIVSCQASLACSEIYGSKLMSPCFARSFASKSSKHSKESTSEARKEVSTVEDPFDAPTYNIPEKPVTFTEGASYSLIILAGLGVAAAAGYAVFKELIFQPKEYKIFNHALDRIQNDSQVRVRIGHPITGYGQETRNRAARQRIPHRIFTDENGVEHVEVNFYIRGPHGAGKVFTEMFKDKTDNKWKYTYLIVQINSPSRAELMLESYLPAAEPSPSPVK >OMO69574 pep supercontig:CCACVL1_1.0:contig12013:79365:82786:-1 gene:CCACVL1_19427 transcript:OMO69574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAHASGTSTQPAFEALNSDSKFHISQPSQLANYKSPFSSPRFPFFFLRKKALRETVYRGPKVTTCHYAKQKISWELGSRCSFEKATQDEDPGLEFRKLT >OMO69567 pep supercontig:CCACVL1_1.0:contig12013:55725:56131:1 gene:CCACVL1_19420 transcript:OMO69567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEESGGDGGDRTRIPSHWYWAMASVAQFGWAVSSYRKGYAGNHQMMPLKAFAVASLFIGASASASVAFLKASGIHKVEDLREVGANIRTGLGIRPRTRDE >OMO69559 pep supercontig:CCACVL1_1.0:contig12013:15307:17358:-1 gene:CCACVL1_19412 transcript:OMO69559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSYPPFTTRSLTRDNLVNRNSSRNNYTRFVDSPKSRLSELAKETSPRQSFTPASAPIPKPVSSTKASMSAAHSRQYSDGSLLGIHARKGFEEDLKEFYDNKNDDSSSSKDWLSDSFKFDAKPAAPSKTPSRGKSVRTFRSFRTFRTNGSTIGAREAGEKQDNHLKGKLAMTSNEVEEAYADKSEPKTEGLINSLSVGLNGQNLGGDSYMPKPVSPENQIREKQECSEDSEVESGEDSERENEDFHESSDDETMSATLSVAGSEVDRKAGEFIAKFREQIRLQRTSSIDSTPRGFNISSNIFR >OMO69562 pep supercontig:CCACVL1_1.0:contig12013:35765:37855:-1 gene:CCACVL1_19415 transcript:OMO69562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQGEIPIQPPMPPAGQIQPPPPPPPVQLQPLHQPDLLSTAKRRREEEDPTEAGDTGESAPKRQAKAQDVIFRIVVPSRQIGKVIGKAGHRIQKIREDTKATIKIADAVVRHEERVIIISSKDNENMISDAENALQQIASLILKEDDSRSDAAKIATVSAGHVAANTIRLLIAGTQAGSLIGMSGQNIEKLRSSSGASITILAPNQLPLCASAHESDRVVQISGDVPAVLKALSEIGYQLRENPPRQVISFSPAYNYNLLRPPPPQPCVDPTSADYVTFEMVVSETYIGGVIGRCGSNISRIRVESGANIKVYGGKGEQKVRHIQFSGSSQQVALAKQRVDECIYSQMIQQAGTQQPG >OMO69569 pep supercontig:CCACVL1_1.0:contig12013:60506:64096:1 gene:CCACVL1_19422 transcript:OMO69569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWDEILSLPVQSPPNLEFSAAELVWSKVEGWRDNIDRVALIPFARVDDFVRGESSNKDCPTRFHVEARRRRPPKAPYKPKVDGILEYILYWCSFGPDDHRKGGIVRPSRNTYIPKKTNAGRPNTKRGCTCHFIVKRLIAEPSVALIIYNQEKHVDKQGLPCHGPQDKKAAGTRAMYAPYISEDLRLRVLSLLYVGVSVETIMQRHNESVEKQGGPYNRDDLLTHRYVRRQERSIRRSTYELDADDAVSVKMWVESHQNHVFFYEDFTDSDPFILGIQTEWQLQQMIRFGNRSLIASDSRFGTNKLKYPVHSLIVFNSDKKAIPVAWIITPRFASLDAHRWMRALYNRVRTKDPSWKLAGFIVDDPSTDVLTIRDVFECSVLISFWRVRHAWHKNLVKRCAEIEMRVELSMRLGLAVDEICKGCGNVDLFEKFMEDFIDCSEFMDYFTAVWYPRIGAWISALRTLPLASLETCAAMEFYHNQFKLRLSNEKDPAVYQRIDWLVDKLGTKVHSYFWLDEYSAKDDFARYWKDEWVSGLTSWRKALKIPDSDVTIEGRCAKVTEQLDEDRIYVVWNPGSQFGVCDCSGAEMGYLCEHVLKVIKVLREKGSMSPSLSLFQYNKALTDMLRCPPHDSLIRDHALSLALYVQKQLNALVSPIHNTKDTAITNQQGSQPLVSAKQSIGFVDEGRCVNGTLNHENGSEAPAGIASDLGSESVDRVVGTNSSNGEASGEGISGSEMDVDPPSSISPPELPSCNEVVAGNALSEHGDSALSNKAPNVNSKSPPKDNALRDQNGCEEDIIMAEASGALNLDTSMASESENDITDKIHLTDTALQSDGNHVNPGDEAEAVDIIMAEDSENRSTTLNYGDSEPVPDVAAQESDDSGKQKLLTEKEKPSTTKCEVENNVEEANCEICKKVTDYVDGALDVGIVMEEAANDTAISASDKQ >OMO69563 pep supercontig:CCACVL1_1.0:contig12013:38231:39913:1 gene:CCACVL1_19416 transcript:OMO69563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MHHFSKYLYSHLSRHFSTLPKLPHLTKIPSKYRTQAIKDARRALTDYLHGTRYVPFVYAENISKYSPYSLSGLISDIDFSSTGFTRNVLKFLRYHPINEFEFFFESIGIDYKEVRGLLPANKFFFSEDERLLDAACALCGFGFPWNRIGIKFSEACKMLKVRAKVLNQKPEILEQKLNFLCHEMGVSLDYLHIFPAFLCFNLENRIKPRYRFHRWLTETGLSTRNYSIASIVATSEKNFIARIHGIHPDAVKEWYEKYSCSKPDENS >OMP10492 pep supercontig:CCACVL1_1.0:contig02405:579:758:1 gene:CCACVL1_00938 transcript:OMP10492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALEGIPGGPYENLEIRCFDREKDPEWNDFEYRFISKKPSPRLNYRDKNFMRESKPQKEN >OMP11655 pep supercontig:CCACVL1_1.0:contig01050:6795:9724:1 gene:CCACVL1_00359 transcript:OMP11655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILCWVCLVFVITNVGGVLSEEPQLSSARVVFQTNFGDIEFGFYPSVAPKTVDHIFKLVRLGCYNTNHFFRVDKGFVAQVADVAGGRSAPMNEEQRREAEKTIVGEFSDVKHVRGILSMGRYSDPDSAQSSFSMLLGDAPHLDGQYAVFGKVTKGDETLRKLEEVPTRREGIFVMPTERITILSSYYYDTELESCEKERGILKRRLAASAVEIERQRMKCFP >OMO57957 pep supercontig:CCACVL1_1.0:contig14291:15846:16316:1 gene:CCACVL1_25639 transcript:OMO57957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MANLSSSSLAFTVRRCEPELVSPAKPTPREYKLLSDIDDQESLRFQVPVIQFYHYNPSMQGKDPIKVIRDALAKTLVFYYPLAGRLREGLNNGKLMVDCTGEGVLLIEADADVTLEQIGDALHPPFPCFDELLYDVPGSDGILHCPLILIQVLFPL >OMO80093 pep supercontig:CCACVL1_1.0:contig10348:290:1096:-1 gene:CCACVL1_13173 transcript:OMO80093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFIFICLLLITGLGGQNFPGAPNQYPVQPPNGAVVPGPPLDEHDTSIGMEPSAFPDQFTGAPNGCVLIRGIAVC >OMO80094 pep supercontig:CCACVL1_1.0:contig10348:4108:7222:1 gene:CCACVL1_13174 transcript:OMO80094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSALYFSVTTSLFHLLFLFFLVSSVEASCYPDDRSALLQLKQSFVINTSASSNPQAYAKTETWESGDCCSWDGVKCDNGTGHVISLDLGSSFLYGSLNSSSSLFRLVQLQSLNLFDNSLMNSEIPSEIRFLSRLKSLVLSYANFSGQVPSGVLELSELEVLDLSGNPLKLGKLGLTSLLEKLTNLQALNLAHILKLDSNLELGGYLPEIDDGNHSLLELSLASTSFSRNLPESIGNFKSLQQLDIRKCLFTGKTNLIYLDLSNTNLTGTIPSSLQNLTQIAYLYLKANGLDGQIPPWIGNLRKLVEITLSANFLTGPVPESIFKLQNLQVLDLQINQLNGFLNLDSFLELKNLTRLQLSGNNLSLLTGVRSINIVSPPKLKLLGLGTCNLSKFPDFLRSQDELEFLELGDNRIHGLIPKWFWTVGKETLNYLNLGFNFLTGFEELPVVLPWTSLEVFNLESNMLQGSLPHPRPSIILYVVSNNSLSGEIPPTMLCNLSSLVALDLSDNNLTGALPRCLDSSSDSLIILNLRNNHFSGDIPWSYSKSCALWMMDLSQNQLQGTIPRSLAHCAMLESLNLGNNLINDSFPSWLGTLPNLKILILRANELGGAIDQKPQAKPEFSKLQVIDLSANSLRGKLPSDYFKIWNAMQFDNTNSSSLYMNANTSFQGTEFSFSTFYTYVAKLANKGRDLDYDKVPDSLFAVDLSSNKFEGEIPESIGKLKLIRVLNLSNNNLIGHIPSSLGELTNLESLDLSGNNLSGEIPQQLGRLNFLAHFNVSYNNLEGPIPRAQQFGTFNNDSYVGNSRLCGYPLSEKCGNTDENFPPPPLEEEEDEGIASAFKFGWRVVLTGYGAGLIIGLSLGYNFRPRKPERFRNWQASSNTNTNNWSGSRSTSWYGGSFSFIWNKVSWHNRTSSS >OMP06668 pep supercontig:CCACVL1_1.0:contig04863:6082:6180:-1 gene:CCACVL1_01476 transcript:OMP06668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVEAKMINNNVKLGLELVRLKLFSLTIEDDNL >OMO72901 pep supercontig:CCACVL1_1.0:contig11339:8658:13171:-1 gene:CCACVL1_17536 transcript:OMO72901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin II heavy chain-like protein MGSNANANGGNYHVMANGDVDRETLKPAMLSSSASFKARKAKPNAAFPRADVDDIITLMHGSDPVRVELNRLENEVRDKDRELADANAEMKSLRNSERLKQKAVEELTDELQKVDEKLKATEALLESKNLEIKKINEEKKAALAAQFAAEATLRRVHAAQKDDEMPPIEAIITPLEAELKLARIEAAKLQEDNRALDRLTKSKEAALIEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDKMHRQKVTEVDKLTQTVRELEEAVLAGGAAANAVREYQRKVQEMNDEMKTLEREVARAKVSANRVATVVANEWKDGNDKVMPVKQWLEERRLFQGEMQQLRDKLAVAERAAKAEAQLKENYQLRFKVLEERLKVSSITSRTASEVRNMSNGPSPRQSLGGAENFSKSSSNVYLSRRPLNPHSGSLQSNSASASALLRDAKMSSRSFDGCCVSLDRDKMIPDVADKNNMLQSTSDQIMNTDILRCQEGANGITDKSKAEHEDYVSGMLYDMLQKEVITLRKACHEKDQSLKDKDDAIEMLAKKVETLNKAMDVEAKKMRRELAAMEKEVAATRVGKEHDQRTRRISAPRGAVNGSQLPSAR >OMP11966 pep supercontig:CCACVL1_1.0:contig00634:3688:17351:1 gene:CCACVL1_00192 transcript:OMP11966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSNRSSISPFRSRKSSVPSPSTKSTGRPVTPSSTASSRPPSRLSPSPAASSSHSPGPSPPIVVLERPETSKPKENVTVTVRFRPLSPREINKGDEIAWYADGDYTVRNEFNQSIAYGFDRVFGPATTTRHVYDIAAQHVVSGAMQGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFATIQETPDREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPRGENGEEDVTLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDGKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHVEIKASQNKIMDEKSLIKKYQKEISSLKHELEQLKRGMMENPHMAASKQEDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSMPPSIPERSGHRRRHSFGEDELAYLPDRKREYMVDDDAGSCASELSLEARDDVTNLDDLVKDYKRNRRRGMLGWFKLRKPENLAGLSPNADSGSSASGSPASCSKSSQDKVTFNDTKDGRRKSVSRRADDPAISDSFPERTQAGDLFSVTVGGRHLPPSGTTITDQMDLLQEQMKMLAGEVALSISSLKRLSEKAASSPGDSQLREQMRKLKDQINEKRHQIRVLEQRMIGSVERTPQTSTSAEMSQALSKLTTQLNEKTFELEIKSADNRVLQEQLQMKITENAEMQETILLLRQQLNLLSDKSSKNLQYCEANETNSEKSCSEELVKNKDGKNAFGSCEETYGDDNTPTSVMSLNRVFTQEDTKECDKITSLNSQVLIQAAEIENLKQEKVKLTEEKDGFEIHSNKLAEEASYAKELAAAAAVELRNLAEEVTRLSYENAKLTGELAAAKEGRCRSSCCQKTAHDLRQNNMNEVILIEELQKELNMRNQREAALEAALSEREQIEGDLRRRINEAKRHEEDLENELANMWMLVAKMRKPGLDGEDISANISQTGARNGHLLSNSHSFKLFKEEETCENLRGMKTYEELRARYQEERRRCEELERLVSRMKGEDLSALDVTTLEELQNFHVEAITKICHAKV >OMP11965 pep supercontig:CCACVL1_1.0:contig00634:539:2627:1 gene:CCACVL1_00191 transcript:OMP11965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVVLVGWGLCSRGLATRWGTVVVEESTEGGVGVKKGHRLEPKPDGASSCLAIRGPRGLHILNPLLSLSIFAALSSVVATAFVFILGCLAKV >OMP11967 pep supercontig:CCACVL1_1.0:contig00634:17753:20284:-1 gene:CCACVL1_00193 transcript:OMP11967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MDVKKKKATVPWMLMTVFFLALSATFTGCFSAASQQPNKEKSTHSKAANGFASSVLFPLTGSVYPLGYYSLFLTIGHPPKVFEFDIDTGSDLTWVQCDAPCSGCTKPIHDLYKPGKHIPVHCEDPSCGAVHFPENPQCERPDDQCDFELEYLDDGSVLGAVITDYFSLQLTNGSIIRPRLAFGCAYDLKHHGPYSPPSTDGVIGLGNGKASILSQLQSFGVIRNIIGHCLSAKGGGYLFVGDDHVPSSGIVWMPMSGNTKHFLSTTAELLLDGKPTGVTDLQIVFDSGSSYTYFCSRIYKPLLDLVMRGLNGKPLNPVHDQALPVCWKGKKPFKSVHDVKNYFSTLTLSFTNTRNVQLELPPEAYLIVTDHGNVCLGILNGTEAGVDEFSIIGDISLQNKMVIYDYEKQQIGWVSANCDRLPSSDGNYEDFQPYAANFGIVEENSPPTETQVSSKSNKKVYKREL >OMP00097 pep supercontig:CCACVL1_1.0:contig06726:195:275:-1 gene:CCACVL1_03464 transcript:OMP00097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGCSSGGIERRRSESVGFEKEGLV >OMP00098 pep supercontig:CCACVL1_1.0:contig06726:5664:5858:1 gene:CCACVL1_03465 transcript:OMP00098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FENLFSFLALTDEFSRLDFFGLALRPNRFAGGASIAVASGCFNGVVRFVFKQKSPKARAIARGK >OMO54518 pep supercontig:CCACVL1_1.0:contig14974:10935:11015:1 gene:CCACVL1_27755 transcript:OMO54518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSKKHGGQSDMKYIQEEMHRIRAK >OMO60313 pep supercontig:CCACVL1_1.0:contig13741:18699:24846:-1 gene:CCACVL1_24253 transcript:OMO60313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLKSVGLSLQESSNPITTTSTSTPASTADRASSPEPALHGRRLGFIESDGVAEFGAA >OMO60312 pep supercontig:CCACVL1_1.0:contig13741:2448:12157:1 gene:CCACVL1_24252 transcript:OMO60312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRLIDSIQTTPMETQAQNVIEPEATIIPGEHRIHHLHRILNWHRRLRNQMKDPPPTQDLELAQEAQKLDEGAEQEQPSKPTYLSARLSRIAEYSKAKLEKGSKIDRSSDTSFRPKNTSKQRAAGRVEVCFDHCFGATVA >OMO65650 pep supercontig:CCACVL1_1.0:contig12631:30088:32261:1 gene:CCACVL1_21457 transcript:OMO65650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MYRVAEASQYLALTGVGINDIKLAKKAWVLPGQSCTVLDVTPVNYSFQIQAMSSEKLPFLLPAVFTIGPRTDDQESLIKFARLMSAHEKESNHVKELVRGVIEGETRVIAASMTMEEIFRGTKDFKREVFEKVQLELNQFGLLIYNANVQQLVDVVGHEYFSYLGQKTQMEAANQAKIDVAEAKMKGEIGAKLRDGQTKQNAAKINAETKIISTQREGEGKKEEIRVNTEVKVYSNQREAEIAKANADLAKKKAGWAKEAQVAEVEAAKAVALREAELQKEVESMNAETRTEKLKAEFLSKASVEYETKVQEANWELYKRQKIAEAILYEKEKRAAGEKATADAALYSRRQVVDGELYAKQKEAEALVALANAQGTYLHTLLDAVGGNYGALRDYLMINAGMFQEIAKINADAVRGLQPKISIWTNGGDATDKAGAAGASNAMKEVAGVYKMLPPLFQTVYDQTGMLPPPFMGTLSVSKPPTRD >OMO65651 pep supercontig:CCACVL1_1.0:contig12631:32848:34626:-1 gene:CCACVL1_21458 transcript:OMO65651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MASTTINNGGSSFSCRSFLCQVFLGRWFMMFASFLIMAGAGATYLFGVYSKDIKSTLGYDQSTLNLLSTFKDLGANVGILSGLIAEVTPTWFVLLVGSIMNFAGYALIWAAVTKRIPTPQVWHMCAYICIGANSQNFANTGSLVTCVKNFPESRGMMLGLMKGFVGLSGAIFTQLYYSIYGNDSKDLIMLIAWLPAFISILFMFNIRIVKFSSHPNERKVFYEYLSISIAIAVLLMGLTIAQKNVTFSHIGYVISTVVVCFMVFLPLFIAIREEYFTWKQRKEITAPTSIIVEAPALPETASKSEIEPENSETKIEQKNQEVSSCCANICNKPKRGEDYSILQALLSIDMLLLFLATFCGQGCSLTAIDNLGQIGESLGYPHKTISTFVSLLSIWNYFGRVFAGFVSEIIMLKYKIPRPMIMAMAILLSAVGDILIAFPWPGSVYLASLLLGFSYGAHLTLLFTIISELFGLKYYSTLFNCGQLASPLGLYILNVRIVGKLYDNEALKQLVAKGLTRSMVKELTCYGKQCFRLSFSILAATNFAGFLLTMILVMRTRKFYKGDIYKKFRDEMAANEKEMALKPAQEMQKVKN >OMO65647 pep supercontig:CCACVL1_1.0:contig12631:10416:13253:1 gene:CCACVL1_21454 transcript:OMO65647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MVPMKTIRSRVQFFKISALSLVFCVSVVFGNISLRFLPVSFNQVIGATKPFFTAVFAYLMTFKREAWLTYVTLVPVVTGVIIASGVQVLVVASAAKVDAGLLMRKMPN >OMO65646 pep supercontig:CCACVL1_1.0:contig12631:4874:9285:-1 gene:CCACVL1_21453 transcript:OMO65646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MELFRLFITSLMPVLKVLLVIAVGLYLATERVDLLEADARRHLNNLVFYVFFPALIGCSLADTVTIKDLAALWFMPVNTFISCIIGSFFGWILVKVTRTPRHLHGLIIGCSSAANAGSMLLILLPALCEEKSCPFGQSPSCSLYGKAYASLSLAMQAIYVWSILYFIMRSSANHKVNVLNTNETRIIAMTSLSSNDCSADGLHQIDMPQTDNRRKEEILTFEKAKQSFMMVLRSNSLKKIFAPSTIATIVGFIIGIASPIRKALIGDSAPLRVIYNSAVLIGEAGIPSITLIVGANLLKGLRGSGVGPSLIIGIIAIRNILMPGTGILIVKVAKNWGMVNSDSFYQFTLMLQYAMPPAMNIGTISQLLGTGESEFSVIMLWNYIVAAFSITLWIAFYMWLVT >OMO65648 pep supercontig:CCACVL1_1.0:contig12631:19518:22557:-1 gene:CCACVL1_21455 transcript:OMO65648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase METAAVASKDVTVSLAEKKPKVVFVLGGPGSGKGTQCAKIVQHFGYTHLSAGDLLREERDSGSENGTMIKNMMNEGQIVPSEVTIKLLQKAMVESGNDKFLIDGFPRNEENRAAFEAVTKIEPEFVLYFNCPEEELERRILNRNQGREDDNIETVRKRCKVFLESSLPVIEYYKAKGKVREIDAAKPIEEVFEAVKVIFAPKVEKVKSNRLRRRNSVSLTLAAPARIKCWTGNLANRKEKISTLVRSLWNVITIGKKTDYKSDKMHSMVTAYVL >OMO65649 pep supercontig:CCACVL1_1.0:contig12631:26324:28910:1 gene:CCACVL1_21456 transcript:OMO65649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MYKVAEASQYLALTGAGINDIKLVKKAWVFPGQSCTKLDATPVNYSFQVHAMSAEKLPFLLPAVFTIGPRTDDHDSLIKYARLMSAHDKESNHVKELVQGVIEGETRVIAASMTMEEIFRGTKDFKREVFDHVQLELNQFGLLIYNANVQQLVDVRGHEYFSYLGQKTQMEAANQAKIDVAEAKMKGEIGAKLREGQTLQNAAKIDAETKIVSKQREGEGKKEEIRVNTEVKVYTNQREAEIAEANADLAKKKAGWAKEAQVAEVEAAKAVALREAELQKEVESMNAETRTEKLKAEFLSKASVEYDTKVQEANWELYQKQKCAEATLYEMEKKAAAEKAIADAAFYARQQAANGELYAKQKEAEGLVALAKAQGVYLCTLLDAVGGNYGALRDYLMINAGMFQEVAKINADAVRGLQPKISVWTNGGSDGGTDKIGGAAGGNAMKEVASIYQMLPPLFQTVYDQTGMLPPPFMGKLSIPKPPMKD >OMO61573 pep supercontig:CCACVL1_1.0:contig13444:9491:10935:1 gene:CCACVL1_23406 transcript:OMO61573 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MESSTNWVDTTLDLNINLFHRPNKALKRESATISGEVGESEVKLPVKQEAGVLMEELNRIIAENKKLTELLTILCENYNSLQSKFVELVNKNSENEAAAAAATLISKKRKAECEDYNNPNMIGFSGRAESSSSDEESSKIRPKESNNNKAKISRACVRTSPSDNSLIVRDGYQWRKYGQKVTRDNPSPRAYFKCSFAPTCPVKKKVQRSAEDPSILVATYEGEHNHAHPSPAELSLSPNSNTIATPRSSAPAPSPPPKSSITPTVTLELMQSAGTLAMAAEDQTKKAGDSPAAIQQILVQQMAASLTRDPNFTAALAAAISGRVVDHTRIQKW >OMO61574 pep supercontig:CCACVL1_1.0:contig13444:18883:20042:1 gene:CCACVL1_23407 transcript:OMO61574 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDTLDSKKEKVEGLQAELFQLQKENEALRLMLEAMRSKYNNVLQAYLRESNGGGLSPIGSYDDYPNKRARPEVPTLSKASQVFVKTDLKENSLIVKDGYQWRKYGQKVTKDNPSPRAYFRCSMAPGCPVKKKVQRCVEDKSFLIVTYEGKHNHDVNSKPGQSLSSTSTDSSAILHSPTYPVLDINPFRPTVTLDLTLSASNLENSTLNPPNINGMKDYCSSKDDSNKLLRIEDYVASITKDPNFTVALAAAVARSITEQNRRSPTP >OMO61575 pep supercontig:CCACVL1_1.0:contig13444:21050:28884:-1 gene:CCACVL1_23408 transcript:OMO61575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2/DRF autoregulatory MALFRKFFYRKPPDGLLELSERVYVFDCCFSTEIWEDYEYKIYIGGIVGQLRDHFPDASFMVFNFREGEHQSQIASILSEYDMTVMDYPCQYEGCPLLTVEMIHHFLRSSESWLSVGQQNVILMHCERGGWPVLAFMLAALLIYRKQFTGEQKTLDMTYKQAPRELLHLMSPLNPLPSQLRYLQYVSTRNVGSVWPPSDRALTLDCIILGSIPNMDGEGGCCPIFRIYGPDPLLATDRTPKVLFSTPKKSKRVRYYKQVDSELVKIDIRCHVLGDIVLEGISLDSDQEQESIMFRVMFNTAFIRSNILILNREEIDILWNAKDKFPKDFRAEVIFSEMDVASSVISIDLPGIEDKDGLPVEDFAKVQDIFRDVDSLSPKTNVANMLQQISVSNTLQEYAETVSPKGMERTSILHEVKEKPKLQASEHITISRTSSALEKPSIFSFKPSSDANSIRKKFEPQQMQVALQQPVQSTPSSEGKSELQEIQVACQEQGDSKPSVKPSLEANSFKLKVESKELQVGLQKPAESPTSFKPTVDVNLIRKKIEPQELQVALQRPAQPKIISQRVPRSSISASVSYGNSLQGSPVPISRFHSAPALGITALLHDHALSTSEESIHHVASPLTSSSAISSPSLSVTPKPLQLSLAITPPTPPSPAIETSTEASSTVSPQATLKATDTEHPGTTSQGRGQSSFGPLPSLSGASSAVENSNSTPSPSSPSPTFLEVSPSSEAKDSIQSPPHPPPPPSLSGASLSTLVVNSLQSPLPLPLPPPPPPPPPLPYSSKSPSLVVKNSLRCPSPPPPPPLPSSLNASVSSIVKAPPAPPPPPPPSFSGKSQSSTNKSSFSAPPPPPPSAITSSTTCTISSPPELQASGLPPPPPLHAISSSATCTSSTPELQAAGPPLPPPPPLHSGFTSGATSKSSVPLAPPPPGVAPKGPSSNNSAHVSPVPPPPAPCAKGSSKAGGTSQSHSVSNGNIPSIPGPPSGAPLNLKGRGISRTGLRNQGQSRKTNLKPYHWLKLTRAMQGSLWAEAQKPEEASKAPDFDISELESLFSAAAPNSDDSIRDGKSNRRGSGRKPDKIQLIELRRAYNCEIMLTKVKIPLADLMSSVLALDDTALDADQVENLIKFCPTKEEMELLKNYNGDKENLGRCEQFFLELMKVPRVESKLRVFSFKIQFCSQVSDLRRNLNIVNSVAEEVRNSVKLKRIMQTILSLGNALNHGTARGSAIGFRLDSLLKLTDTRARNNKMTLMHYLCKVLVEKLPELLDFPKDLVTLEAATKIQLKCLAEEMQAISKGLEKVVQELNASGNDGPVSETFCTEFLSFAEGEVRSLAALYSSVGRNADALALYFGEDPARCPFEQVVSTLLNFVRMFVRAHEENCKQRELEKKKAQKEAENYEKLKFSNPTKESEHFVRSSIKTAHSQ >OMO61576 pep supercontig:CCACVL1_1.0:contig13444:37986:39822:1 gene:CCACVL1_23409 transcript:OMO61576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCRGSLNYSTPRRIASRILSRLKRGKVKRVFVVGDSRKDDGDDDVAPPDVTVDAPNAPPERCKREANLNVGIGFCLLHLIAESKNELQKMTELRTQMETLLQNVKEELKNKDLPVVKKLESNEGVDESLGFESGLISNEVLLFDQSLKSEDDVPRDDEYLELEGMGRLEAELEAELERLQHHLDSGKLSTDPSQEPMEESISSSTASARSFSISYGEVIDPTNDGQEEDFADSYSGVPPFELARKLHELLETRQQERIRELEAALERAREELCEKEREISWWKDTAHLVSKHIKDPSRLNFQLAQHSRHSFLSLQPVFQAVNSRSDSINKYFK >OMO61577 pep supercontig:CCACVL1_1.0:contig13444:40445:48763:1 gene:CCACVL1_23410 transcript:OMO61577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAESNVSDGLSLDLIRDSLIRQEDTIIFSLIERARFPLNPPTYDPSYASIHGSCGSLAEFIVKQTEATQARAGRYENPEEHPFFPENLPPSEVPPYNYSEVLHPAAMSVNLNKIIWDMYFNKLLPLFVSPGDDGNYASTAARDLECLQAISRRIHYGKLVAEVKFRDERKDYEPAIRAQDKNTLIKLLTFAAVEEAVIKRVAKKAMTFGQEVKLGEDNNSTEGKYKVDPTIVARLYEDWVIPLTKESPLFEALKDSGEMGVSPDGKGSVGMTSMDLDDDDDIFDEPFDVREIGEEYLKSFCRQTAVAFFNQYGLISHQINSYNDFIRYGLQRTFDSFGEYEIQPGYDPSKKGDGDWRHAKVKFGKVSVERPTCWAVSGGTELKMLPRHARLQNMTYSAKMKVEVELKVYTKKIVKSDKFKTGREEIREEEIVYEDKREIFLGRLPVMVKSDLCWMSEVEKADCDFDHGGYFLIKGAEKIFIAQEQISLKRLWISNSQGWTIAYRSEVKRNRLIVRLVDSAKVDSIKGGEKVLNVYFLSTSIPVWILFFALGVSSDKEVVNLIDYGSNDSGISNILFASIRHADGSCADFSKEGNALNHLSKLVKATSFPPEEDIEECLRLYLFPSLHHRKQKARLLGYMVKCLLQAYTGRRKCDNRDDFRNKRFELASELLERELKVHIAHARRRMSKSLQRDLYPDRTVRPIEHYLDGTIVTNGLSRAFSTGAWSHPYKKMERISGVVANLGRANALQTMVDLRKTRQQVLYTGKVGDARYPHPSHWGKVCFLSTPDGENCGLVKNLATTGLVSTNIVESIVDKLFDSGMEKLVNDTSSALDGKFKIFLNGEWVGVCEDSLSFAAEVRRKRRMTELPHQVEIKRDEHKGEVRIFIDSGRILRPLLVVENLSKIKSFKAEKNFTFQSLLDKGIVELIGTEEEEDCRTAWGIKYLLADVKGKESVKYTHCELDMSFLLGLSCGIIPFANHDHARRVLYQAQKHSQQAIGFSTTNPNIRVDTLSHQMYYPQRPLFHTMTSDCLGKPGYPLGQNGLEPKPELYNGQNAIVAVNVHLGYNQEDSLVMNRASLERGMFRSEHVRSYKAEVDNQEMQDKKRKLGDIVNFGKIQSKIGRVDSLDDDGFPYVGASLQSGDIVIGRCAESEPDNSKTDLNGAERGLDHSIKLKHTERGMVQKVVLSSNDEGKNFAVVSLRQVRSPCLGDKFSSMHGQKGVLGFLESQENFPFTTQGIVPDIVINPHAFPTRQTPGQLLEAALSKGIACGGSLKYATPFSTLSVDAITEQLHRAGFSRWGNERVYNGRTGEMVRSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASANLHERLFTLSDSSHMHVCKTCQNAANVIERTVAGGRKIRGPYCRYCQSADKIVRVNVPYGAKLLCQELFSMGISMKFETQDC >OMO61578 pep supercontig:CCACVL1_1.0:contig13444:49538:53497:-1 gene:CCACVL1_23411 transcript:OMO61578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MQRVKALVKARHSFQRLGFISHQRCFSAEPSYSSIYDFQDEVLVEGKAKSRAAILNRPSALNALTEPMVARLKRLYESWEENSDIGFVLMKGSGRAFCSGIDAVTLYNLVNGGKVEECKRFFDTLYKFVYLQGTFLKPHVAILDGITMGCGGGISLPGMFRVVTDKTVFAHPETQLGFHPDAGASYYLSRLPGYLGEYLALTGEKLNGVEMIACGLATHYCLHARLTLIEERLGNLMSDDPKVIESSLAQYGDLVYPDRSSILHRFATIDKCFSHDTIEEIIDSLENEAAGAYDDWCKTVLRKMKEASPLSLKITLKSIREGRFQPLDQCLTREYRMSLAGISKRVSNDFSEGIRARLVDKDFAPKWDPPSLQEVSKDMVEYYFSPLGELEPELVLPTALREPYI >OMO61579 pep supercontig:CCACVL1_1.0:contig13444:54121:62545:-1 gene:CCACVL1_23412 transcript:OMO61579 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MKFMKLGSKPDAFQADGKCIRYVTSDLATDVTINVGEVKFYLHKFPLLSKSNCLQKLVLKANEDNCDEINMVDFPGGPKAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMTEDVDRGNLIFKIEVFLNSSIFRSWKDSIIVLQTTRSLLPWSENLKIIGRCIDSIASKTSVDPANITWSYTYNRKLSVPDKIVEVGMKFQEKIESVPKDWWVEDICELEIDLYKRVMTAVKLKGRMDGAVIGEALKTYAVRWLPDSVDALVSDAHWRRNKLLVETIACLLPADKGVGCSCSFLLKLLKVAILVGVDDSAKEDLVKRISLKLHEANVKDLLIPARSPQTTLYDVEMVQSIVNQYMMGEKRSQDLDVDKNETGCADFVLGHGSLLRVGKVVDGYLEEIAHDPNLSLASFIDLSQSIPEFARPVHDGLYKAIDMYLKEHPSLTKAERKKLCGLMDVKKFTMDASMHAAQNERLPLRVVVQVLFEQVRVAGVQSLHNNPRGTSNSTTNTDEEWEKSAAEDCNSLKKQMSQMKVKEDEFPKSGKLAKKNSKNSKSGVQLLPSRSRRIFDKLWAVGKGNAESKSSETSGSSQSPTSMVPGDTKSSVPINNTPESESAEIKMEIRYSIIVCFVLFLLVNLSLPRYTESLPRCKAWLVQSIPTDMPDLPPVPGVLSSGDVLKWLADNSTEKLDIIAQYWQLKASPEDSRSGDYGYSKDEMYRFGADQGSSVYTALENAADRDVYIRLLQHSGVYPDYTEEPSSLASGRPNVKSETLLMEKWWGSGVVHAKVWISDNQDVYIGSANNDWKSLTQVKELGIYLVNCHKVARKVGVYFQNLWRLAHLNESVFTTTIFDQQWQIQRKVPCWSHFIEPDMRCTPQLPRFVETPHVRGYPILSDPKILKLIIDAPGYGYTSPGPQSNYLSFAPPELSFGRFQPDEQAWIDTIKSVKDGGTVRISTMDWLGQSSYAKQTVYWSSLSSAISEVVYSKHAQVKLIVAYWAHFINNTDVYLKSLLYSNVLCSSSTYNNCSGKVEIKYYLVPGYNLTGPAIDKEGNPTGNIYPGFTRVNHGKYAVSDVRAHIGTSNLIWDYFYTTAGVGFGTYNPAIVSQLQEIFDADWNSPYAVPVEELNAGHAYYS >OMP11560 pep supercontig:CCACVL1_1.0:contig01162:214:7391:-1 gene:CCACVL1_00441 transcript:OMP11560 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MEGALSNMCSKLSLQGDGGDTVVVKDQDWLGGNEGGLAWFHLIGRLFSKRKANVDGLRTAMLLAWRLEGAFMVKEAGDNLFTFQFEDEVERDRILVTRPWCFNGPCWVCGRLVHLDTDCPVGVIMFKTQGCIEKHFNDSLRAEIPDAKPRQMGSVPRSQVGFSASMAGKGVSRQQQTSTASGLGRARAFRNHIDNMLLRGRRAARGEDGGEVSCEIISKIGGSPLVLNKGGMDSRTTHQKWRGKQKELVAAFSQQFEESADYSPNFQEEDFRAVLLGKTVSGLGQSIPSIGPTTNGPVPVDINPGPTAESSSPAAHFVFGATSPQQGRRQRKWKKTARVSHHYSFDVMGPDTNFRIGQKRGSRIPNYEFLNTGANKRSKDREGLLGNQESDDFGPSIYRNNGADVEPGAATNGGNLDDQAAGDDNCFSVSSSGRAGGLAMLWNNEILVSVVSYSTLHIDVHVGGDFNELLSNDEKIGGNIRPQRQMEGFREAVDECHFHELTTKGPLKHEGLEDVIKENWNLDPNIDVQHAIATCGKALQVWDRTIFGNVRSRIRVKQNELEELYMEVEQQEGNQAIQERLDELNVLYDQEEIMWRQRSRVSSLKDGDCNSRFFHSIASTRKRRNFIVAIRDEDGAWQSNRGSADKLILDYFDGIFISSSPDMDRVHAVAGFVDSAMVAFETVHYLKNKRNGTDGYMAVKLDLSKAYDRVEWTFLEAVMRQIGFSERWISLVLACVQSVSYSFLINGAQTETIRPTRGIRQGDPLSPYLFLLCIEECDSILKAFEVALGQMINVDKSVVLFSKNVPELSRNGIMQKLGVQKILAKDKYLRMPIMIDKSKRAELEAIKDRLWKRIRSWNGKLLSIAGREVIKVGPRWRVGDGSSIDVWSEKWLNKSPMFQPQPRPDIVCHPTKVSSLIFNGQWDGDLLNELFEAADVRHILCIPLSILPSNDTLIWHGNSDGLYTVKSGYHIARLVLSKSLPDVENRNVFWRRVWGASMQPKVKYFLWRLWHNILPTKYNLQQRGVPVDDVCSCSNTDTSLLHIFFHCPFAVKVWEISRPWLMEYLQGWIAARIVYDFEAVRQQGHATRQVMRPQRWLPPTVAQYRINTDASFNSEKGEAGLGAVIRDRQGFRVVAARIMNVPDPLFAEVLCRKECNMATASRCHFALQHSLMQKDSKPQLCIGVLIPSCIGVLMPSCIGVLMPSRIGVALKALQIFPQSLNSDDDVPMEDDYLESEGMGGLDTELEKLS >OMO52061 pep supercontig:CCACVL1_1.0:contig15633:483:575:1 gene:CCACVL1_29380 transcript:OMO52061 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid transporter IVLSATIAAIGIVGAIVGTYSTLKGIVKQY >OMP03862 pep supercontig:CCACVL1_1.0:contig05935:3192:3326:-1 gene:CCACVL1_02240 transcript:OMP03862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLVAFERADKWLKKKELAEMLSPERIRLDVKFINGDVAILSSTLL >OMO54569 pep supercontig:CCACVL1_1.0:contig14953:12725:12784:-1 gene:CCACVL1_27740 transcript:OMO54569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRCKRQTDFFQGSATT >OMO54567 pep supercontig:CCACVL1_1.0:contig14953:1990:3614:-1 gene:CCACVL1_27738 transcript:OMO54567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEDFEDFKGRCSGLVKAATSWFDFRKFHQWSEPRMADISKVLNLFKLEVK >OMO54571 pep supercontig:CCACVL1_1.0:contig14953:20796:21419:-1 gene:CCACVL1_27742 transcript:OMO54571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKNKEKYMEEMEAYKQNEEEEAESQRKEEEEMMKLQKQEALQLLKKKEKTENIIKKTKGKRQEKKQQNSDPNKPRSLLLPALSCMLPWLQQQNYFASSFLLFSMETKKSDARVTRNKQCYSDCTYFSQMEGGLQELSEEEKNVWNMKAAEAMEAYKKELEEYSKSAAAATADQENQQQ >OMO54568 pep supercontig:CCACVL1_1.0:contig14953:8399:12655:1 gene:CCACVL1_27739 transcript:OMO54568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANPSPTDSATDLDEQISQLMQCKPLSEQQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDSKSHTFIQFEPAPRRGEPDVTRRTPDYFL >OMO54570 pep supercontig:CCACVL1_1.0:contig14953:17745:20070:1 gene:CCACVL1_27741 transcript:OMO54570 gene_biotype:protein_coding transcript_biotype:protein_coding description:IMP dehydrogenase/GMP reductase MEDGFAASRLFSQGYSYTYDDVIFLPHYIDFPTDAVSLSTSLSRNIRLSIPCVASPMDTVSEAYMAAAMAALGGIAIIHSNCTSAQQASIIRHAKSLHIPIAPSVAFASSQDVLPTAPQGRYVFVTESGTLPSKLVGYVDCENLKGEEVKIFDYMRDCKSVESVPWNYDLGKIEAILEENKCDFVVLERDGEVVNVLIKEDLERLKGYPKLGAGTVGADGEWMVGAALGTRESDKERLEHVVKAGANVVVLDSSQGNSIYQIEMIKYIKKTYPQLDVIGGNVVTMSQAQNLIQAGVDALRVGMGSGSICTTQEVCAVGRGQATAVYKVSSIASQHGVPVIADGGISNSGHIVKALVLGASTVMMGSFLAGSTEAPGAYEYKNGQRVKKYRGMGSLEAMTKGSDQRYLGDTAKLKIAQGVVGAVADKGSVLKFVPYTMQAVKQGFQDLGASSLPLAHDLLRSDTLRLEVRTGAAQVEGGIHGLVSYEKKSF >OMO58206 pep supercontig:CCACVL1_1.0:contig14260:7929:8773:1 gene:CCACVL1_25535 transcript:OMO58206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFSASRLDIPNAWQMPQGGIDDSEDPKAAALRELKEETGVSSAEVLSE >OMO80843 pep supercontig:CCACVL1_1.0:contig10267:8273:10620:-1 gene:CCACVL1_12726 transcript:OMO80843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MNDNVSYFDDDFRKMQFLTKIRTDDPCRPKRAAYRGEATPLGRLTVAKPPHWGGSAVVEPPLWEGSAVAEPNSDYMAKFKVQPKMRSSFSDMFKCYKYVMKIFIFVVGPLQILSVPTFKLVGIRTSLPLPSMWEILSQLVVYFLIEDYTNYWLHRLLHCEWGYEKIHYVHHKYSAPFGFAASHSHWAETVILGIPTLLGPTMVPCHMITLSIWTILRQVEAIQTHSGYEFPWNPTNLIPFYVGAEYHDYHHFVGRQSQSNFASVFTYCDYIYGTDRGYRRHKQALNKVHLGVLQGKMS >OMO53884 pep supercontig:CCACVL1_1.0:contig15118:5152:13104:-1 gene:CCACVL1_28265 transcript:OMO53884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTTEERISKEHYYFNFKSKQKKPKNKVLECGKALAQKLKELDKPFARTRDEPELNNMLKQKLRFAAIVTWELAMKLLGIKND >OMO53885 pep supercontig:CCACVL1_1.0:contig15118:20968:23037:1 gene:CCACVL1_28266 transcript:OMO53885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENGKLFIGGISWDTNEERLKEYFSSFGEVIEAVIMKDRTTGRARGFGFVVFADPAVAERVIKEKHNIDGRMVEAKRAVPRDDQNIMTRSTSSIQGSPGPGRTRKIFVGGLASTVTESDFKKYFDQFGNITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLLKNFHELNGKMVEVKRAVPKELSPGPSRSPLGGYNYGLNRVNSFLNGYTQGYTPSSVGGYGLRVDGRFSPVAGGRSGFPPFGSGYGMGVNFEPGLNPSFGNSANFSSNMSYGRGLSPYYIGNTNRFGSPIGYDASSGGNSSFFSSVTRNLWGNGGLNYNTNAGSSSAYMGSGSGNVGGSAFGNSGINWGSSAISGQAGGNNVSSNSVNFGYGSGDSSFGLGTAGYGRNSGTNVAPTSSYAASNGGFDGAFSDLYGGASVYGDNTWRSSTSEREGSGSFGYGLGSATSDVSGKSSPGYVGGYSVNKRQSNRGLSVKIDRQL >OMO53886 pep supercontig:CCACVL1_1.0:contig15118:26226:29111:1 gene:CCACVL1_28267 transcript:OMO53886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAKLWILVSHKFTAQTLIIVKKQYYSQEIVNFQRRKSGIFQLEL >OMO53883 pep supercontig:CCACVL1_1.0:contig15118:115:524:-1 gene:CCACVL1_28264 transcript:OMO53883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase MASFKTPISLNSMDRVGSSLSQRFSGEIHVIIGPMFAGKTTSLLRRIKSEANNGRNIAMIKSSKDTRYAVDSVVTHDGMKFPCWALPDLMSFRQELGEDAYEK >OMO87982 pep supercontig:CCACVL1_1.0:contig09144:45469:47651:-1 gene:CCACVL1_08623 transcript:OMO87982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant specific Rop nucleotide exchanger, PRONE MVRTLSRRCSILHSSKSFQVRPDNSRRFQPHEVPDVSGDHVENVDDDQSLETRNVETRNAEDQTIEQRCSSVGVSTPKTPQISDTDQMRERFAKLLLGEDMSGGGKGVSSALALSNAVTNLAASVFGEQSKLEPMATETKARWRKEMDWLLSVTDHIVEFVPSQQKSKDGTNMEIMVTKQRGDLLVNIPGLRKLDAMLIDVLDNFGKQEKEFWYVSKNDDPDNENIQHDKWWHPTVKVPTNGLSEASRRWLQSQKDSVNQVLKAAMAINANVLAEMEVPESYIESLPKNGRASLGDTIYKSITVEYFDPGQFLATMDLSTDHKVLDLKNRIEASIVIWKRKMNQKDGRSSWGSSVSLEKRELFEERAETILLLLKQHFPGLPQSSLDISKIQYNRDVGQAILESYSRILESLAYTVMSRVEDVLHADALTQTETSPSTSDMSSSNTDEDMSSSETPTLSDFMGWEMSISRSNITDLELCSKLDSDKKAAKPPISPTPKKASYLDKLENLSALRSPSERD >OMO87985 pep supercontig:CCACVL1_1.0:contig09144:62706:66390:1 gene:CCACVL1_08626 transcript:OMO87985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEKSTIVMATRERESRDRELLIPVADAAHDDTSKPSSSSSSSHHAGRETFTKVVRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGIDIFGLGFVTSITFIFLVGVFMSSWLGASVLGLGEWFIKRMPFVRHIYNASKQISSAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSSVTLQSYSGEEELCCVYVPTNHLYIGDIFLINTKDVIRPNLSVREGIEIVVSGGMSMPQILSTIDTRLPLERSRSDRS >OMO87979 pep supercontig:CCACVL1_1.0:contig09144:27863:32132:-1 gene:CCACVL1_08620 transcript:OMO87979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVESKERWREFQANFNLGNSSAVSMAFVSILRKSANSLAPLAIRLTRVQNSCIATIALNHGYQSQKPNVNKFYPNTLHFSTAAASVVDGFPKGFPFDIQDNHLS >OMO87977 pep supercontig:CCACVL1_1.0:contig09144:16862:17041:-1 gene:CCACVL1_08618 transcript:OMO87977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSFGLLGATSRTRPAAISGDQPNRKILRDNEEERTKPNLQKILLLLFLNKSMGFPVA >OMO87978 pep supercontig:CCACVL1_1.0:contig09144:22876:23750:1 gene:CCACVL1_08619 transcript:OMO87978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSWKAMSRISSRLQSSPLKFKKTSLSALQSTSDSSVTRVSRISRLPLELSSVGPMMPLHSAIASARLVSSLASESRTWSLVPQGISMPL >OMO87987 pep supercontig:CCACVL1_1.0:contig09144:71610:87305:-1 gene:CCACVL1_08628 transcript:OMO87987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S26A, signal peptidase I MERGGLLLCSRSRTIAKLSFSLTRRKILFKPHRQPQILASRRVFCHCVASQTPTIIDMAKYNEAFSRRMAMAGLKPHNRIALGVSGGPDSMALCVLAANWKTEGLYGSDRNGKFIDGLLAIIVDHGLRSESKDEASLVGRRVSEMGIRCEISRCDWLNGKPKQGHLQEAARDRRYKIFQDVCMQNQISVLLVAHHADDQAELLILRSSRDSGVLGLAGMAFMSQVFSSNAYFCNEDWKNHSILLVRPLLDFSKEDMYKICQGSNQNWVEDPTNRSPLFARNRIRMSLGNLSSCIFKSELQAVISACRKERTYVDQICNNLINQTVTIVDRGYAVLDLEALDPSNIEDICLSKYIALVLQFISQRQRPVRGSTSKLLLQYLRTIPCKTSLTAAGCYICPAPGSKGTKALVCCSVNGPLPSKAELFHIQSGEEQKHVLSNELEQIIANAKSYSKNLVTDASQVQFLDMKSASVLDEALRLHIISESTYRNIILLRREEVKHFKSKTEVVSESGSNQENEYVGSVLSEPLLHGQTCYFMNRFIISWKLREKISSNVIPKETPCFSYLGGEIQQSQCSCQNRHDMVVKVRPMIEADWLYLAELSKYPSSDNFEVTKLQLTNNINICSHYSRLSAKGALKLLKSIPVAARRSFPVLINHQGQLLSIPDEEISSFGTISISSTFYSMGKSRNERREERGRMGKEGKEGKREGYKRNLEAMSLLISTLPGGGQLVVHWTLKSREETLDSVAERRSIAMGKHQEGTIRSKKPVKASAVAISHVHENVQSHSVKASTDSEVKSKCDICSGNNSCRTVHARTKLMNVLIEKGKPQEAHFIFNSLTEEGHKPTLVTYTTLVAALTRQKRYKSITSLISKVEESGMKPDSILFNAIINAFSESGNMKEAMKIFQKMKNSGCKPTTSTFNTLIKGYGNIGKAEESSKLLEIMLQNEHLQPSERTYNILIRAWCNKNNIKEAWNVMYRMVKSGMHPDVVTFNTIARAYAQTGETYRAEEMILEMQNNKVAPNVRTCGIIVTGYCKEGNMSDALRFVYRMKDLGVPPNLVVFNSLIKGFLDVTDTEGVDEALTLMEEFGVKPDVVTFSTIMNAWSSAGMMDKCQEIFDDMVKAGIEPDVTAFCILAKGYIRAGEPGEAESLLDSMGKFGLHPNVVIFTTIIGGWCTAGKMEHAVRVYEKMCELGLSPNLTTYETLIWGYGEAKLPWKAEEILQMMEEKGISPSRSTMQLVADAWRAIGLLNEARRIVKSIDQGIASKNSDEIPAAEGFEKILKKQNLSASYSNVLQIPGVAMTNQNGSSTTKTRSQMVLKKYHSSSVFVAHASVSGVQPGSTICRKQLTRMDKMHVQYVNSCKLGFKVEKGTSLRPISNFERLSCYGVKGSGKETKVAVDSGGGGGGGGGGGDGDGEDGEVEKKSGSTPEWLSFTKDDATTVIAAVAISIAFRTFIAEPRYIPSLSMYPTFDVGDRVVAEKVSYYFRKPCANDIVIFKSPPVLQEVGYTDEDVFIKRIVAKEGDTVEVHNGKLIVNGVERDEEFINEAPSYEMTPIRVPENSVFVMGDNRNNSYDSHVWGPLPTKNIIGRSVLRYWPPKRIGSTVLERGCAIDKQESVKASE >OMO87981 pep supercontig:CCACVL1_1.0:contig09144:41187:44838:1 gene:CCACVL1_08622 transcript:OMO87981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/other aminotransferase MASVFASIVQAPEDPILGVTVAYNKDPSPNKLNLGVGAYRTEEGKPLVLNVVRKAEQLLVNDLSRVKEYLPILGLAEFNKLSAKLILGDDSPAIQENRVATAQCLSGTGSLRVGAEFLAKHYHERTIYIPLPTWGNHPKVFTMAGLSVKTYRYYDPATRGLDFQGLLEDLGAAPAGAIVLLHACAHNPTGVDPTVEQWEQIRQLMRSKGLLSFFDSAYQGFASGSLDTDAQPVRMFVADGGECFIAQSYAKNMGLYGERVGALSIVCKAADVASRVESQLKLVIRPMYSNPPIHGASIAATILKNSDMYNEWKVELKAMADRIISMRKQLFDALTAKGTPGDWSHIIKQIGMFTFTGLNSDQVAFMTKEYHIYMTSDGRISMAGLSSSTVPHLADAIHAAVTRSC >OMO87984 pep supercontig:CCACVL1_1.0:contig09144:58424:62379:-1 gene:CCACVL1_08625 transcript:OMO87984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain, type 1/2 MGGRVVGRVRLHVTVRGIFKGGCSSITSLISEKKGDMLEGKAMVKETDMSENMQSQAMAFASQALDFYDVSDCVSIAAHIKKEFDKKYGGGWQCVVVGKTQAQEMMN >OMO87980 pep supercontig:CCACVL1_1.0:contig09144:32451:39224:1 gene:CCACVL1_08621 transcript:OMO87980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGSSSLRAKDENKGVKSQSSKTMNKSVAKKRSKKDKDNSPEIHLRVQLEMCSKRGDAMKAIQLYDKALREEGSSNQKKRDDEKDGSGSDREDNGIPATEDFKKYALRKGFEIYEKMCLDNVPMNEATLTAVARMGMAMGNGHMAFDMVKQMKQLGLNPRLRSYGPALSVFCSTGDVDKAFDVEKHMLEHGVQPEEPELEALLRVSVEAGKGDKVYYMLHKLRTSVRKVSPSTADIIVKWFESKAASRVGKRTMHRRLIKKAIENGGGGWHGQGWLGKGRWNVSRTTIGENALCKCCGEKLALIDLDPVETEKFAESVASIAIKREKHFSFQKFQKWLDYHGPFEAVIDAANVGLFSQRKFKSSKVNAVVNGIRQKLPSKKWPLIVLHNRRITGKMMDEPAVNKELIEKWQNADALYATPTGSNDDWYWLYAAIKFKCLIVTNDEMRDHTFQLLGNDFFPKWKERHHVHFSFSNSGPVFHMPPPFSVVIQESEKGHWHIPIASELDYDTERTWLCITRADSYVVKENLATIPEVSDLQPLDHNKECARSTTQTEVNTNSLPQNDGNHDQPKKPTEEIYKKIRVILSGASVSSDQCTILSKIEAAEILGNCNFALFLKGKKKKTMHITEIFSPPNKEHNSDDGFRFDKSLQELRDLRSQLHYAADYCETTFLNSADKKEVVENTKEYICKAVVTFVDHVGNVSANLNDCISKTDAFSEAELRINCLKQRILSCEQFAHKLALTRVQWNPVSPRHHRRYLSAPSLERSNEDSRVSIAPRPAKHIDKHEPLDTEGVPLFFFTCTEKPSLSKSPSLRSNCDEYSDSDSSSALARKQKTWAEERVV >OMO87988 pep supercontig:CCACVL1_1.0:contig09144:87700:93017:1 gene:CCACVL1_08629 transcript:OMO87988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPTIQPSFPLKSSLFFLLLSLSLLHFKLSFHSTRNLTLTLTPNRTQTLTQTQSRTNYIIRFTDYKPASDHRSYLQSNLRSDGWEWIERRNPAAKFPTDFGLVWIKDSVKEAIILEIERLEFVKDVNVDLSYNRGLLGAAFENEKKRPGKIFTSMSFSEEKYHHHSGLSNSSINWRRHLLMQRSQVTSLFGADALWKKGYTGAKVKMAIFDTGIRANHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGEDAECLGFAPDTEIYAFRVFTDAQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYLDLPFVEKVWEITANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKSLSGTSVASPVVAGVVCLLVSIIPENKRKEILNPASMKQALVEGAAKLAGPNIYEQGAGRVDLLESYEILKNYQPRASIFPGVLDYTDYPYSWPFCRQPLYAGAMPVIFNATILNGMGVIGYVQSPPIWHPSDEEGNLLNIHFTYSEVIWPWTGYLALHMQIKEEGAHFSGVIEGNVTVRIYSPPAQGERTARSSTCVLQLKLNVIPTPQRSKRVLWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGYYVETLGSPFTCFDANQYGTLLLVDLEDEYFQEEIEKLRDDVINTGLGLAVFAEWYNVDTMVKMRFFDDNTRSWWTPVTGGANIPALNDLLAPFGIAFGDKILNGDFSINGEQSRYASGTDIVRFPRSGYIHSFPFLDSSESGATQNVLLNSGMNKALSPILGLLEIGEGRIAVYGDSNCLDSSHMVTNCYWLLRKILDFTGSNIRDPVLFSESVKQDMPLYEDDNNLPSRRTDVNFSLYSVVTGKDLICRSDSRFEVWGTKGYNLHVRGRNKRLPGYHVIDLGRGLNSTVGTTKSRLPKFTEKNKGDSLGNRWINLPSCFQMDVPELVASHWLVPAVVAIAGFLLFLSIWRIRQRRRRRRRSGSGRLANL >OMO87983 pep supercontig:CCACVL1_1.0:contig09144:54525:57876:1 gene:CCACVL1_08624 transcript:OMO87983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDSFRSIVYRSFVTCDDPKGVVECGTIRRSKSGAEKMEQKHESSRKAQSRSSNSHKAERVELGTKGANEEEEDSSSSCQLMEVSRGAHKLNKVIDSWSKGLWYDGHSKDIAKDLLKGALDLQESLHMLGKLQEASHYMARLKKKEKEKERCDRVRNDQVLQRTNSSPIVERNYQTGFQNPRFSADNVSRDCIEELRKVIKEGLARQNQLPNVNAEEKRCFSRRYSDSTSDIPSTSSSHSSTFQTDNFTSMDSSISSAALDKKARAPSLIAKLMGLEEMPSKPLQTDSQREIDSKKIFSQQRPIFEIDMPKVRKSHHVNKKKDPERRTLKEILETMHFKGLLRSNSMIKEIKYEYHHQSNDFFSEQSLINDNPPIVLIKPRHVPSLQPEEKSSLVFQEEGSSNTETKMKKMKVKEEPSSKIIDSKNRGLIFNEKSGRAEAEETPTPIKRLSQQEVAKDSQEKETITVKKEVKTKQKVSIKMKSSGPVTLPSPKKEANDRKSDKTAKPATSSKKPVEKEVAKAMNLSRSKDQAKVTPPKLSKPENASNVSKNKISRPRSATTANSKSNGTPQIANSKSNHMPRTIVGSASDQKKSPTKKKKPVSKAIAAKKTTEKLECKGGNEKIEVASENVNKLECIGDNKKIDLASENDNLFGGYSNETADQLSTEEGTEHTDIQIEEHHDKGESLALDVTPVTIEDQSNRESIGEFDDDPIIPKGPDSESFIVETGLKALLLSSPTFLNHAEELFDLNVNVPTTSQKFGISDITDANSRLLLDCANEIVQRRSFPDYQMVHPPLLTLVGNAKSHSISLAHLLKETCDSIEALRRYSELASENYPIDSLYAMLERDINCSEVLSSIWDLGWRKGFSVDDKMQVVDDIEKQLLSGLIEEICA >OMO87986 pep supercontig:CCACVL1_1.0:contig09144:69453:70701:1 gene:CCACVL1_08627 transcript:OMO87986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MHTKSDSDVTSSVDPSSPRSPKRQLYYVQSPSRDSHDGDKSSSMQATPAYNSPMESPSHPSYSRHSRASSASRFSGNLKKGRNNNNNNNNKRNEKGWTECNVIEEEGDYGEYFYGRDKGLTRRCQIFMGVFGFIAVFSLFCLIIWGASRPYKPQIAVKSLTVHNFYFGEGADMTGVPTKMLTMNCSVRLTVHNPATFFGIHVTSNPVDLMYSEIVVATGQLKYYQPRKSSRIVVVNLQGDKVPLYGAGASLAVSDNGGGVPMKLIFDVRSKGNVVGKLVRSRHRKRISCSLVIDSHSNKPLKLNNSSCQYD >OMO87975 pep supercontig:CCACVL1_1.0:contig09144:2122:8864:-1 gene:CCACVL1_08616 transcript:OMO87975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MAQIRVTMEVGNDGVAVITISNPPVNALAIPIIAGLKEKFDEATRRSDVKAIVLTGKGGRFSGGFDINVFQKVHETGDLSIMPDVSVDLVVNAMEDCKKPIVAAVEGLALGGGLELAMGCHARIAAPRAQLGLPELSLGVIPGFGGTQRLPRLLGLSKAIEMMLSSKPIMSEEGNKLGLIDAIVPSEELLKVSRRWALDIADRRKPWLRSLHRTDKIGSLSEAREVLRIARQQAKKTAPNLPQHQACLDVIEEGIVHGGYNGVLKEAKVFKDLVLSDTAKGLVHVFLAQRTTSKVPNVTDVGLKPRQTKKVAVIGGGLMGSGIATALLVSNIYVVLKEVNSEFLLKGIKTIEANIRGLASRGKLTKDKAEKALSMLKGVLDYSEFKDVDMVIEAVIENVPLKQKIFSEIEKVCPPHCILASNTSTIDLNLIGEKIKSQDRVIGAHFFSPAHVMPLLEIVRTQKTSPQVILDLMTVGKIIKKVPVVVGNCTGFAVNRTFFPYTQSSHLLVSLGVDVFRIDRVISNFGLPIGPFQLQDLAGYGVALAVSKEYETAFAGRTFNSPLVELLVKKGRNGKNNGKGYYIYEKGSKPKPDPSVLPIIEEFRQLTKTMPGGKPISVTDKEILEMVLFPVVNEACRVLDEGVVVRPSDLDIASVLGMSFPSYRGGIVFWADTVGVDHVYKSLKKWSEMYGGGFFKPSKFLEERAIKGIPLSAAATSSPSSRSKL >OMO87976 pep supercontig:CCACVL1_1.0:contig09144:10525:16425:-1 gene:CCACVL1_08617 transcript:OMO87976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MAANGVTMEVGNDGVAVISISNPPLNVLTVALIAELKEKFKEATRRKDVKAIVLTGKGGRFSGGFDINAFQKVHKSGDISDSPNESFALVVDTIEDCKKPVVAAVEGLALGGGLELAMGCHARIAAPNTKLGLPELTLGLIPGLGGTQRLPRLVGIPKAVEMLLFSKTITSEEGKLLGLIDAIASPEELLMASRLWALGIAERSKPWVRSLYRTDKIGSLTKAKEFLRTAREQAKRTAPNMPQNLACLDVIEEGILHGGYNGLLKEAAVAKEVILSPTSKALSHLFFAQRATAKVPNVTDVGLKPRPVKKVAIIGGGIMGSGIATALLLSNISVFLKEINSEYLIKGMKTIEANIQGLVTKGKLTQDKAKKALSMVKGVSDYSEFKEVDMVIEAVIEDVPLKQKLFSELEKACSPCCILATNTSTINLNVIGQNTNSQDRIIGAHFFSPAHVMPLLEIVRTEKTSPQVILDLLTVGKAIKKVPIVAGNCIGFAVNRTFFPYMQGSQLLVHLGVDLFRIDRVIRNFGLPIGPFQLQDLGGYKLGLSTGKEFANAYPDRTFKSLLPEVLLKHGRNGKSNGKGFYTYEKGSRPKPDLSVLPIVEESRQLMNIMPGGKPISVTEEEILEMILFPTVNEACRVLDEGVVPRASDLDVASVLGMSFPSYRGGIMFWADTIGSNHIYTSLKRWSEMYGSFYKPSKFLEERALKRMPLSAAATPSLRSNSKL >OMO89265 pep supercontig:CCACVL1_1.0:contig08803:813:14984:-1 gene:CCACVL1_07953 transcript:OMO89265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vps52/Sac2 MADVAANNVSHQTDTPKNLFDFGAFVGDLIVEDDASSDDISLEGLQQELEECKNDDVVANILSKGIKLREYTKGVENNLRQVELDSIQDYIKESDNLVSLHDQILDCDSILSQMETLLSGFQAEIGSISSDIKILQEKSMDMGLKLKNRKVAESKLAKFVEDIILPPRMVDIIVDGEVNDEYIRTLDILSKKLKFVEVEPMVKASKALKDVQPELEKLRHKAVSKVFDFIVQKLQALRKPKTNIQILQQNVLLKYKYVICFLKEHSKEVYSEVRAAYCDTMNKVLSAQFRAYIQALEKLQLDIATSNDLIGVETRSTSLFSRGREPLKNRSAVFALGERLNVLKEIDQPALIPHIAEASSLKYPYEVLFRSLHKLLMDTATSEYLFCDEFFGEESIFYEIFAGPFAVIDEHFSSVLPNCYDAIGVMLIIRIIHQHQLIMSRRRIPCLDSYLDKVNISLWPRFKMVFDMHLSSLRNANVKLLWEDDIHPHYVMRRYAEFTASLIHLNVEYGDGQLELNMERLRMAVDDLIMKLAKMFSKPKLQIVFLINNYDMTIAVLKEAGPEGGKIQLHFEELLKSNTGLFVEELLVEHFSDLIKFVKTRASEDPNATSERPITVAEVEPLVKDFASRWKAAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDCIKKINGGTALNKDLVSISSIMYEIRKYSRTF >OMO57259 pep supercontig:CCACVL1_1.0:contig14419:30183:32424:1 gene:CCACVL1_25874 transcript:OMO57259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MQSTSASIRESEVTEKEPVNDEYDYLNWFPEIVHDVVEEEPPMKLVYHHGGGFITEPTLRYVNGEVREFDWWDVDKLCSWRFFNIAMDIGYKMEKIQYCDKDDALETGLKDLESDERNSVEVDTTSIEESGDENVEEDGVDEELNSKKLIWDNDDDNGELVTSRVKLSKAIEHEEQLWNEINDVNEDAVIEDNPGLETAGPTRRAKSRANKGFSDFPKPRPRRKVEEVYFDSSDLGSFSETGSDTDSDDATRHPSSKLRFRPDAPIELYKEQNFSAQPVFGKEDLDISDCMGWTGLLNAIGDGMPFVEQRRCARHIYARWEAREKPIITMLEEIRLYVMRRIVKNRQQALDWKTDYGPSILAKLEKNWKRVDNWEVDWDGGVAFEVYHDDIELNLRERFIVSLEGRTCSCRGWDVSGVPCHYAIATILYCGKEPTDYLDDCFKRDEFLKAYQSLLHPCQGPTFWSKGNGDDILPPLVRKPKGRDKKERRREALEGQKHSAKMSRI >OMO57261 pep supercontig:CCACVL1_1.0:contig14419:48236:53503:1 gene:CCACVL1_25876 transcript:OMO57261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDEVICTTSPAAFRLRWDVFLSFRGEDTRHGITQSLYDCLVENGIRVFRDDDGLERGDQIAPSLLEAIEDSAASIVILSRDYASSHWCLEELARICELKRLILPVFYKVDPSDVRKQRGSFEIAFCSHEMRFGIDKVKIWRNALETVGGIAGWVFTDEKSDEKQLIQVVVREVLKEVNNTPFKVATFVVGLDKRVADLIKELDVKSNGVKILGLHGMGGIGKTTLAKAVFNKILPHFDFRSFISNVRDLSRQEDGLIFLQNKIMEDLSITTLNNVDANASKIRKFVNEKNVLLVLDDVDEVSQLHPLGATISKWQGDGRSRIIVTTRNRGVLGEHYVNHLYEVKELYFEPALELFSYHTLGRPKPTDEFMKLSKQIVTLTGNLPLAVEVFGSFLWDKRKVSEWEDALKKLEGIRPRELQDVLKISFDGLDMENQRIFLDIACLFVNMRMKREDMVDILKGCDFKAEIALRVLEEKSLIKFTAWDDSLTMHDQIRDMGRQIIQNENFADPGMRSRLWDRDEIISVLKNHKGTRRTEGIAIDIMKKEPENKKEVVINSKPFKSMVNLRLLQINNVKLEGKLQFLPHELKWLQWQGCPLKTLPPYCPQKLAVLDLSVSIKLEHMWNKVVAENLMVLNLSGCSKLVALPDLSGHGKLQKIFLTYCVGLKNIHESVGSLKSLHYLNLTGCKNLTELPSDVQGMKNLQTLILNDCLELKKLPESIGSMRSLKELYANSTSIENLPESIYRLEKLEKLSLRDCKRIKQLPKCVGKLVSLKELHLDGSALEQLSDAIGDLENLEILSLIFCESLTQLPDTIGSLKLLKNLLIKGSAITELPNSIGWLPYLKYLSVGGRQLSKLPDSIQGLASIVELGIDKTSITGLPSQIGALRLLRKLEIISCTCLKSLPESIGSLLALTHLNIFNADITELPESFGMLENLITLRLNECKRLCKLPSSIGNLKSLHHFYMSETAVTQLPDSFGMLSSLVVLKMRKKEKSKSFSVLPASFSNLSSLEEFDANAWSICGEISDDFEKLSALEILNLGKNDFSKLPSSLRNLSLLKKLFLPKCEELKSVPPLPSSLEELNLADCVSLESMSDLSSLNSLEKLNLTNCEKLVDIPGLECLTSMKRLYLTNCSTCSLAAKNRLSKVYLKHLRNLSMPGSGIPDWFSQGRVRFSSHKSLDFKGVIIAVVVSLNQQIVGELRNELPAIVDIQAKIFNSDHKDIYTTVLHLEGVPKTKEDQVHLCRYPEHHPMLSILKDGFQIQVTMRNPPVDKGVELKKAGIYFVFENDDDYEGDEESLDDSQQSVSQKLANFFGSLEEDDHQNYESNQEMTNHEMQQFEIEEERLLLPAGRRNCYYNFVIKFTFLLGIPLLVSWLCLQLWYTKTQ >OMO57257 pep supercontig:CCACVL1_1.0:contig14419:13954:14986:1 gene:CCACVL1_25872 transcript:OMO57257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGVRVTEGGGSAFRKSVSMNNLSQFDDQPPADSNADAGYASDDVIHASGRSRERKRGVPWTEEEHRLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRNNQNRRRRRSSLFDITTDSFMNSTIFEEEEQVQQENVLAPPPLPLPQPHAKMNGGFPMATFPVSLSNPVVLPVNGESSNSMEMENLTLGTNPKASMSPKLIRPIPIFPIPPSSKMADLNLNQKTQVVDPLPLTLKLSTPSTEEQSSAAAHSSTFQAMSGGSDSNSNSIISVA >OMO57260 pep supercontig:CCACVL1_1.0:contig14419:36408:37838:-1 gene:CCACVL1_25875 transcript:OMO57260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSFKTLFGTLVVLGFILVLSIGGLPSEANKTKTTLTTQSSSSTLGKRHEEHVKVLGKGESSSSSSSSSSPSVVHPQLDLNYMSKRRVPNGPDPIHNRRAGNSKRPPGQA >OMO57258 pep supercontig:CCACVL1_1.0:contig14419:20686:24473:-1 gene:CCACVL1_25873 transcript:OMO57258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQGDLDRQIEHLMECKPLSEAEVKALCEQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAAQFNHTNGLTLISRAHQLVMEGYNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQVEPDTTRKTPDYFL >OMO65120 pep supercontig:CCACVL1_1.0:contig12692:10603:13205:1 gene:CCACVL1_21580 transcript:OMO65120 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MGCSCFVCEKKDGSMRLCIDYRELNKVTVRNRCPLPRIDDLFDQLKGAQVFSKIDLRSGYHQLKIKVADVPNSAFRTRYGHYEFLVMPFCLSNAPAVFMDLMNKVFKDYLDKFVVVFIDDILVYSKSMEEHGEHLRLVLQIFREKKLYAKFKKCEFWLDGVAFLEHVVSKDGISVDPEKVKAIVEWSRPTNATEVRSFLGLAGYYRRFVEGFSSIAMPMTKLTRKGAKFEWTKECEKSFKKLKERLTSASVLTVPDGSGGFTIYSDALKKGLDCVLMQNGKVVAYASRKLKPYERNYPTHDLELAVVVFALKSGGTTCMDYDLTISYHPGKANVVADALSRKNHGNLAALLTSQRSILDDLRMMEIGVRKHGTEGMLASLRIQPTLIERIKEGQLVDSTLQKVRANIETSAPSDFRIHDDGSLRFGDRLCVPNDVEIKKVILDEAHYSGYTVHPGWTKMYRDLKETYWWNNKKREIGEFVAQCIVYQQVKVEHQRPAGQLQPLPILEWKWEHITMDFVSRLPRSPRSHESVWVIVDRLTKSAHFIALKVGYSLEKFVASYVREIVRLHGVPVSIVSDRDSRFVAEFWGSLHKALGTKLNFSTAFHPQTDAQSERTIQILEDMLRACAIDMKGAWDDHLPLVEFAYNNSYKASIQMAPYEALYGRKCRSQVCWDEVGKRKLLGPEIVQQTFDKIQLIRECLRTAQSRQKSYADVRRRTLKFDVGDHVFLKVSPTKGVMRFGVRGKLNPRFIGPFEILEKVGEVAYWLALPPSLSDVHNMFHVSLLRKFTPDPNHVIELAPLPLREDLSYDEQPIKIVDHKEQVLRRRTIPYMKV >OMP06423 pep supercontig:CCACVL1_1.0:contig04938:2532:2675:-1 gene:CCACVL1_01584 transcript:OMP06423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDPDNIHRILLACLCASICLDIFSDGSTVVDVWAPHPSHDLTSIP >OMO96053 pep supercontig:CCACVL1_1.0:contig07542:4297:6816:-1 gene:CCACVL1_05099 transcript:OMO96053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLKPRGKGRDYLREAQEIIYNIKIMYKGMEGCVMN >OMO96052 pep supercontig:CCACVL1_1.0:contig07542:384:940:-1 gene:CCACVL1_05098 transcript:OMO96052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNVRSVDEAIVIGAAPLFLAQEIIYNIKIMYKGMEGCVMN >OMO96054 pep supercontig:CCACVL1_1.0:contig07542:30647:31641:-1 gene:CCACVL1_05101 transcript:OMO96054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANGMMGRFEERGFDSVVCPKPRRIGLFDSSAHDHIRNFRWPSNSCYQSEMADSQAGTELLDIILTKGGYGAEKPSNQVASSPPYFCGSPPSRASNPLIQDAQFNMEKQLPCLSSAAPPSPSSRIAAGGGCVRMKFGHKPAAVRIEGFDCLSRDRRSVSAVA >OMO54233 pep supercontig:CCACVL1_1.0:contig15024:23663:25262:-1 gene:CCACVL1_27956 transcript:OMO54233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFLNKKGWHTGSLRNIENVWKAEQKHEAEQKKLEELKKQIHEERERAEFRLLQEQAGIVQKQERLDFLYDSGLAVGKGASSSTAGGSGSGSGGGGFKALEDALPTSKAAEASGKQSSSVPGALFEDKPHSANDAWRKLHSDPLLLIRQREQEALARIKNNPVQMALIKKSVGEKEEKKEKKKKEKEKSSDRKEHKHRHTSSKHSKHSSSRHQSDSEDSREDNRVRNSHCKSSDYEGRHRRTKSDSEDELKGKESRDRNRGKQRYRYDDQDDVRRNHDKTRHDRYSSQAPTNSDIDKNKEKDTMYDNKVTATRDDRRRRNVAPKLSEEERAARLREMQQDAEVHDEQRWKRLKRAEEDDAREARLASATVGRNFLDAAHKSIYGAEKGGSSTIEESVRRRAHYSQGRSEEGNAFRR >OMO65791 pep supercontig:CCACVL1_1.0:contig12619:8317:16497:-1 gene:CCACVL1_21390 transcript:OMO65791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDQTCIPFPSLFFSIFFLLLRSKLQRRHSKRIKPSDESFLPIIAQDCTFLFQDQKPEPRDCARLKVDELPAASSPK >OMO65790 pep supercontig:CCACVL1_1.0:contig12619:6442:7059:1 gene:CCACVL1_21389 transcript:OMO65790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 2 MDRSDSDFPPAKKQKNVVPSSSRDRVFGDVLISACILDDGKLRYDWYILNVEEGTISPTLRRMLPEALYCSAAVACSNQIYVLGAACKLDTTCPDKVYDIQSHSSVFYFDGNNPEKGWSDVPRMQLGRPFHSAVALGSKIYVFGGSSTSPEYLDINDLKSSWKLLPEPPLEDMSYPVVDSANHRILVHFKSNDSLYALNVDDNNW >OMO86690 pep supercontig:CCACVL1_1.0:contig09433:8140:13578:-1 gene:CCACVL1_09526 transcript:OMO86690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MAGNDWINSYLEAILDVGPGIDEAKSSLLLRERGHFSPTRYFVEEVITGFDETDLHRSWVRAAATRGPKERNTRLENMCWRIWNLARKKKQLEGEEVQRKANRRLERERGRKEATADMSEDLSEGEKGDLPSDVSAHGDSVNGRMPRISSVDTMENLVNQLKEKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALATMPGVYRVDLLTRQVSAPDVDWSYAEPTEMLSPRTTENSMQELGESSGAYIIRLPFGPKDKYVPKELLWPHIPEFVDNALSHIRQMSKVLGEQIGGGEPVWPVAIHGHYADAGDSVALLSGALNVPMLFTGHSLGRDKLEQLLKQGRQSRDDINTTYKIMRRIEAEELALDASEIVITSTRQEIEEQWRLYDGFDPVLERKLRARIKRGVSCHGRFMPRMVVIPPGMEFHHIVPHEGDMDGDMERNDENPTSPDPPIWSEIMRFFSNPRKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSGTNAVVLLSILKLIDRYDLYGQVAYPKHHKQHEVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRVLDNGLLVDPHDQHSIADALLKLVSDKQLWARCRHNGLKNIHLFSWPAHCKTYLSRIAMCKPRQPQWQRNDVAFENPQPNSPGDSLRDIQDLSLNLKLSLDGEKIEGTSLDVDDSADSKSKLENAVLKLTKGAIGGAVKADQNIGGSKSHAMRMRKYIFVIAVDCDSISDIPEIIRAIMEAAGGENSIGFILSTSLSISDVHSLLISGSMSPLDFDAFICNSGSDLYYPSSNSEEGPGLPFVVDLDYQSHIDYRWGGEGLRRTLVRWANSVNEKQGQIVAEDESRSTAHCYSFEVKDPALVPSVKELRKLMRIQALRCHVIYCQTGTTLNVIPVLASRAQALRYLYIRWGMELSNVVVFAGECGDTDYEGLLGGVHKTVILKGIGNNSIKLHSNRSYPLEHVLAFDNPNIVQAQGHCNEDIRASVHKLGVIKG >OMO86692 pep supercontig:CCACVL1_1.0:contig09433:31683:31934:1 gene:CCACVL1_09528 transcript:OMO86692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSTAMGMTCLGLRFPATSALNNPNKQTPFLGAFQSQSLSLSLGLPYQQRPSSSSSSNLFYVDAKARTRREDRQARHSRIRKK >OMO86691 pep supercontig:CCACVL1_1.0:contig09433:19815:29363:1 gene:CCACVL1_09527 transcript:OMO86691 gene_biotype:protein_coding transcript_biotype:protein_coding description:HNH endonuclease MADTSSGSALKFEIESFNGTNNFQMWKSTITNVLVQQRLRDAFETDKLAAVNENRWKDIQRKALVSVDEVMKEVDKVVLLINSLTDRYDPVTRALMVGRKTLSLQDVTSAILEYDRLKETEKKDEENGALTVERGRTNGGDYKNDGRSNGGFNERGRSSSRPRVDMSSKECYYCHEMGHIKAYYKKLKEDLRDFMKSKKKNKAGVNVAAADSDEYSDEDVVLMLEKCDKAVYMANHGEEKIEGIGSVKLRLHDESVKMLGNVRYVPNFTRNLISLGKLDSFGYGYSCRGGGLKITKGSMIVMKEVKNSKNLYELIGSTIRGDGSVSSHQIEKKDVDFPTKKKITFVGSGEFISHRYRLSHPIRQTDDLIAWRCPHLGFMKINVDASVVSNLGDLAMGGIFSCDQGLWMFGFPSKLGQCHVMKIYYGLRPVKIPYSIPTLALVTSSSSLDRAATLSFHRAISRSMVFPSYLSAHVLSENYLVRFAEFKLVFFQTLSQCLDQSLVMPDYPQLQAHLKWKFLPFNYLFVLSVEAWQQLPSVPPGTGKHKIKMGSSPRHSRSSNGEEERPRFFDSKAKTKCWANADTVPGRHPDRWRKDVAGNIVCKRFCNCQGCLCFEYDHIVPFSKGGESTAENCQILQTRVNRFKSNKEGLDTSKLKGYSCEVQFTDKELDIIEMAVYGDVIRPGNQCRCRTVAEMLGQYKSKDDLAACKLPHDKQSA >OMO86689 pep supercontig:CCACVL1_1.0:contig09433:6923:7632:1 gene:CCACVL1_09525 transcript:OMO86689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFCSKKSENQDIDLQTKLVKTADMKEKGADPYDLKQTGLPPGLFPKTHNMFRLPNPS >OMO58976 pep supercontig:CCACVL1_1.0:contig14073:1985:6941:1 gene:CCACVL1_25194 transcript:OMO58976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYLREEISSKCLKCQSKKRSGKVQGWASSAAYSLPMTPLPTHLAELAIRREPIPDHSSSFNPNPKFSATRNPTAALHRAVY >OMO59303 pep supercontig:CCACVL1_1.0:contig14011:24515:25318:-1 gene:CCACVL1_24934 transcript:OMO59303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIYGLRAVALLIYGLMLFPKSKGVIDEAVVDFFEQLENGRNPVPAILAETFWSLSYCRRTSRGRMRGCSQLLCVWFKSHLKPLKGGLPRFHIENEDGGRPLPVSNGVQWPERTKLEWIEWFQHCTKKMVAWRAPWLGDKYRPYCKFNNELWIFLMGLWGIITYSLILVLRQYGIDQFISATHGLKELEFSFDTKEEIDKARAIGQEWRRSHPQSKRLTLGFSCTPDASPEYKDWHRGRIKDMFLPPHKDLQYPIGEDARDELTLKV >OMO50247 pep supercontig:CCACVL1_1.0:contig16244:70139:70234:1 gene:CCACVL1_30552 transcript:OMO50247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKLLPCPRFDEIEIRGNKQIEPQPTPPTI >OMO50245 pep supercontig:CCACVL1_1.0:contig16244:370:9411:1 gene:CCACVL1_30550 transcript:OMO50245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAREESNPYDGGLGAGGKFRKRPFRRTTQTTPYDRRPTAIRNPSGGGGRNGWLSKLVDPAQRLITSSAHRLFASVFRKRLPAPPPHPPQAPEPEINQEVRETPTEATSTDPPALQVAVTGNDNPNSHMNKAGVAELEELLKQKTFTRSEIDRLTTLLHSRSVDVPGGNEEKSSQLKPLVSHDGKDEFPKTPVRENGIENHLISTPIVRSTVLAEDVASPAELAKAYMDSRTSLLSISMPGSSSQVPRADSALRSNKTFPSKSPTMSLVPRSSSHFGSLGNGFMTPRSRGRSAIYSMARTPYSRVNSAAALKGVGSANVFGGPSSSSQSAWEQNRISGSRQGPLKRRSSVLDNDIGSIGPIRRIRQKSNLLSSKSLSLAASGGPLSAHEAGTSSASLDTHHVSLPAENGDNSTPHPGFTPVPSKSSEMALKIFQQLDKLVSPREKSPTKLSPSMLRGQALKSLENVDSSKFLENMHDCGNFSGAFTELPDIRDSTSHKQDKFEENSSKKPVVLFDKSGTIVNGVDTNSSMKENASSVKAADSTVIKSAVQPPQKKRQAFQMSAHEDYLDVDDDNYPNGTTSATVGEGREKLDNCVAGSKSSAAEATIVERPSSLPAVKPISTSAFNQKPDLGPSEGSAFVEKDTGITFPVAQVATSSVHATLLVSQPTSTASKDAASKESNATPMLNFGEKFVPEKQPDAASPIVGLASKNFGEVSSVSGSSGVKLRTSSDKKPENSSSFASTLCGTTNSLSEENTLNGISFRTPETAVSSAVSTSASAGSIFKFGASATSSTLNNGSLPSSPFSFSSQVPSVVSNNGQSSSSNSTNYMSFTTNGGDSSAAATTSATIGDTISNTSSPSMQASVPSISAAPLFKFTSSGNPSTSASTLSASLGEATEAKTQDTSFGSVPFGPFGSTSAFTSSGSGIFGFKSEGPGTVSSTSGGTTAAVTSSNNSSFGGTSSAITNSGSSLFGSTFSPVTSTSNGIFSGTSATIGAGNSSFGGTPFSIASTGSSSFPTTSTITSAGSSITGFSMPAASQATTQTQGSNPFSGASTQASAAGTGVASSTKSMPTQFASSASSPSFGGLVLRSTSSAAKTFGSGAAFGTSSLSETNSLISGSGVAYDTSSPNLQAPKTSIFGSTLSSSSPSSGFPFGSSASFSALSSAPSMFGSSTGASSSSIFPFTSSAAATSSQPVFANSGSGAVFGSAPSSNNDQMEDSMAEDTVQASPSFPTFVQQPTRTPGFVFGASNPSSVPYGASNPSGASAIFGHSNFSAASSFQFAGQPSIAASQNPPPFQASGSQEFGGAGGSFSLGQGGGDKSGRKFVKVRRQRKK >OMO50246 pep supercontig:CCACVL1_1.0:contig16244:10883:13114:-1 gene:CCACVL1_30551 transcript:OMO50246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin beta-like protein MADEVNKTAFLEIQGRMIELTGKLKQVQNQMRNKEGEKKRAFLTLEELGQLSDDTNTYKSIGRTFVLEPKSVLVNEQEQKMKDSESAIASLQTSKEYLEKQMAEVENNFRELLQQDPGLARQIMSMSV >OMO98184 pep supercontig:CCACVL1_1.0:contig07153:246:422:1 gene:CCACVL1_04306 transcript:OMO98184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative fermentation associated protein (Csf1) LETPELSDWLTTTTITSIKIPNKTTEFAIQTPEKARTHSNSQSSSSTYDSAGNVCQTS >OMO98185 pep supercontig:CCACVL1_1.0:contig07153:5512:7650:-1 gene:CCACVL1_04307 transcript:OMO98185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRNLAYHVGRNLQSVSKTHFPLCLSGYSSKSNQSESENEWETLLKPFDLNELRRSFNKITPFQLCRLLELPLDVPTSLRLFAWAGSQKGYCHTFDVYYVLIDKLGAAEEFKVIDRLLMQMKEEGIVFKESLFVSIMKFYGKAGLPGQATRLLLDIKSVYSCEPTVWSYNVVLDVLVDGNCYKVATNVFYDMLNKGISPNVYTFGLVMKALCLNNEVDSACSLLRDMTKHGCVPNSVVYNTLIHALSKSNRVNEAMKLLEEMFLMGSIPDVQTFNNVIHGLCKLSRIQEALKVVDRMLLRGFIPDELTYGFLMQGLCKTGQVDEARALLNKVPSPNIVLFNTLVNGYVASGQLDEAKAVVYDVMLSIGCKPDLYTYNILVHGFCKKGCLGSALDLVNEMKSQGCKPNVITYSILMNGLCKEGRLAEAGDVLNEMSANGVRLNTVGYNTLISALCKDGKIHEAREMFGQMSRKGCKPDIFTFNSLIFGLCKVDQLEAALGLYHDMLVEGIIANKVTYNTLIHAFLRRYDIQEALKLVNEMLFRGCPLDEITYNGLIKALCTTGAIDKGLALFEEMIRKGMNPSNISCNILINGLCKAGKVHNALEFLREMIHRGLTPDIVTYNSLINGLCKAGRIREALSLFDKLQIEGIHPDAITYNTLISWQCKEGAVGEACLLLRKGVEYGFVPNYMTWFIVVRNCVRVSQKEILFGYI >OMO98186 pep supercontig:CCACVL1_1.0:contig07153:9938:12528:1 gene:CCACVL1_04308 transcript:OMO98186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MASFRKAITFKYRLLATHHFSTIPPKVSQYRNQISLANLLQRFHIYPDSFLKTVGVLKGLGFNDGVLTSVLEGFPRVTMMKESEINEKIDFLGQIGIPRYGIERVFYVFPEVLGLNAENRLKPLLEEFLELGFSEKEVREEIVKDPRVFRMELGEMSREAFKVLWKEPRLILYGIEEIEKKIEFLVNRMKYSVGCLVEVPEYLGVDFDKQIVPRYNVIEYLKLKGALGFEVGLVEEFNKAKWRSLVHRPLFLFLWIETDTKVYGSLLRFSESMDLDEGAEAMESFTDNGSPM >OMO98187 pep supercontig:CCACVL1_1.0:contig07153:20313:23234:1 gene:CCACVL1_04310 transcript:OMO98187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MEDTEIIEKGLLLEAASKQGDGFTTLTFPVFCEEVKIISYVAAPMVFVNLSQYFLQVISLMMVGHLGELALSSTAIAVSFCAVTGFSLLYGMSTAMETLCGQAYGAQQYQKLGILIYTAIFCLILACFPLTLLWLCMPKIFIAIGQDPLISQEAGKFTLWLLPALFAYATLQPLVRFFQVQSLIFPLLVSACATICFHIFICWTLVFKFGLGNFGAALSIGISYWLNVIFLGLYMNYSPACSNTRGVSISMELIFQGMGEFFRFAIPSAFMICLEWWSYEFLTVLSGLLPNPKLETSVLSVCLSTLSTLYAIPEGLGAAASTRVSNELGARNPNAARIAVWTLMILTAVETAIVSLALLASRNVFGYIFSNEEEVVDYVRIMAPLVCLCLIWNSFEGVLSGVARGCGWQDLGAYVNLAAYYLFGIPIGALLGFWLQFRGKGLWIGIQAGAFLQTLLLSLITACTNWERQASKARERLFEERLE >OMO96496 pep supercontig:CCACVL1_1.0:contig07461:7464:9137:1 gene:CCACVL1_04926 transcript:OMO96496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAPFIDKNGLKKGAWSEEEDQKLRSYVQRYGHWNWRELPRFAGLKRCGKSCRLRWMNYLRPELKHGNFTEEEDAKIMNLHQELGNRWSTIAARLPGRTDNEIKNHWHSNLKKRLIKQNGTSDEGNDYSTATCQSEGSHNSEGEAESVLIIDTPPNMILESSSFSPATSSRTSELSSLSPDSGSGSISSSNMGVIEDVCRPLPCPADQSSSGDFWSEPFVADNIYNQDGYDPTSMARVGFGLPLPLACDLYFDDYADHLLDFYQLQMQEWP >OMO96497 pep supercontig:CCACVL1_1.0:contig07461:21590:33137:-1 gene:CCACVL1_04927 transcript:OMO96497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVTTLTLSCTIYISISCCYFTMQQCSDFSLAVSHIIRSYEGYMSCFHEPNKASPFAGCICSPN >OMO96495 pep supercontig:CCACVL1_1.0:contig07461:3287:4926:-1 gene:CCACVL1_04925 transcript:OMO96495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTKDDARKGNTDELSR >OMP03405 pep supercontig:CCACVL1_1.0:contig06081:10970:17081:1 gene:CCACVL1_02436 transcript:OMP03405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAADFKVKAKRSREEERGRQIAFIWRQAADEGHVELLGEKEVEKEQNEDEMEVERVQTIASSVLSKDNVSTEFK >OMP05674 pep supercontig:CCACVL1_1.0:contig05288:772:4356:-1 gene:CCACVL1_01851 transcript:OMP05674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the outer envelope membrane of chloroplast MDAQLSASEFTSQPLSSTSPGFSPPSDNDSKFLTSSLQDHALNVNGNGNTSDNESDEDKFETAPERPLVADLDEQFAKDSDGGSIVKADTALEGFKGEGFMDKIDTEVVSYGEMEGKLELGSIAHADQSVLPIQSRDDIDKVVQLDGLKHPGVGDVVESKNPDVKATEEVQYATLDNGFDHISHDDKQPVVGDNTELIATNRIEATQVLAGEKNTEIDAHNNADSASESLLYKADFGAKLNDSKEEEVLDGLGEASAGGGGDESQTIDGSAQPLATHELENLDSDSQTRSLVDMAVEDSYSPETAESSIEDQEEEKHHEDGGGEIEGSDSDRETDGMIFENSEAAKQFLEELERESGIGSHSAADGSHDHSQGIDGQIVVESDDEEDTDEEGEGKELFDSAALAALLKAATGVGSDGGNITITSQDGSRLFSVERPAGLGSSLSNSKSAPRSNRPNLFTPSAVTNARDSEDNLSEEDKRKLEKLQSIRVKFLRLVQRLGHSPEDSIAAQVLYRLALVAGRQTSQLFSLDSAKRTALQLESENKDDLSFSLNILVLGKIGVGKSATINAIFGEEKTSIHAFEPATTVVKEITGTVDGVTLRVIDTPGLKSSAMEQGANRKVLASIKNFIKKCPPDIVIYVDRLDMQTRDLNDLPLLKSITNSLGSSIWKNAIVTLTHAASAPPDGPSGSPLSYEVFVAQRSHVVQQSIGQAVGDLRLMNPSLMNPVSLVENHPSCRKNRDGHKVLPNGQTWRPQLLLLCYSMKILSEASSLSKPQDPFDHRKLFGFRVRSPPLPYLLSWLLQSRAHPKLAADQGGENGDSDIDMADLSDSDQEEDEDEYDQLPPFKPLRKAQLSKLSREQRKAYFEEYDYRVKLLQRKQWREELKKMREMKKKGKPAADEFVYTGEEGDQENGAPAAVPVPLPDMVLPPSFDADNPAFRYRFLEPTSQFLARPVLDTHGWDHDCGYDGVNVEHSLAIANQFPAAVSVQLTKDKKEFNIHLDSSVSLKHGENGSSMAGFDIQNVGKQLAYILRGETKFKNLKKNKTAAGFSVTFLGENVATGVKLEDQIAVGKRLMVVGSTGTVRSQGDSAYGANVEVRLRDADFPIGQDQSSLGLSLVKWRGDLALGANFQSQFSVGRSSKIAVRAGLNNKMSGQITVRTSSSDQLQIALAGILPIVMAIYKSIRPGVSENYSMY >OMP02366 pep supercontig:CCACVL1_1.0:contig06268:441:533:-1 gene:CCACVL1_02825 transcript:OMP02366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALRFRNIEDDTNSTNAPRKSRNNQVF >OMO97862 pep supercontig:CCACVL1_1.0:contig07194:23802:30994:-1 gene:CCACVL1_04429 transcript:OMO97862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDNDIQAVDNIKMDRRAFAKLCHLLQTVGKLKDSRNSTVEELVISFLHIAAHNVKNRILKRQIGRFGKTVSRQFHAVLNAILRLHSLCLKKPEPIPENHTDERWKWFKGVYNPKMQFNYVLPGWEGSAIDGRVLRDVISRKNGLKVPQGFVKVWLTSLLSGFENPWWGIQVLEIKDGELHLCIDYEMWENIQKGPWKPTKSVEREFVEKPRVEWTQEDIKKYQLNFKAINRVSTCTIAKEIWEKLRITHEEIVTSLIDRFSSITNQLNNLGKDIPEGERVKKLLRALPKSWNPLTTAIREARDLNETSFDEICGSLLTHEVDLRSCEEDEKKRAAEKKRCLALKVSALEEEIDSLTIDETGSEPDEETEIQERGRNYLYKKNFKKDKFKAKSWQSNVEEDIKANLCLTAKEDEPEVEHEHNEEGLKAQSQEKKPCAPRKVVQDDDDVGINETNMKDAMITADTNEIATEEINEEPLIESIFINQSKYTKEMLKKFGMEDCKPMKTPMATGTKLDSDEKGKVVDQKLYRGMIGSLLYLTASRPDILFSVCLCDHFQSRPNESHLIAVKKIFRYLHGTTGLGLWYPKGSLLDLAGYFDVNFVGSKTNRKSTNGTCQFLGQMLVSWSSKKQNSVALSTAEAEYIAAGS >OMO97860 pep supercontig:CCACVL1_1.0:contig07194:1568:1849:1 gene:CCACVL1_04427 transcript:OMO97860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFCTQGIASHPILETFSPGTEIIQLEVIENSYTFEGDQKETHNLEAENILQAQLRIKTTAKLSSGTYSNAIWNAGKHKQPPICDFAIQRAN >OMO97861 pep supercontig:CCACVL1_1.0:contig07194:4207:11138:1 gene:CCACVL1_04428 transcript:OMO97861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAKTWYDESCRRFYGGKNRV >OMO90868 pep supercontig:CCACVL1_1.0:contig08415:25509:30361:-1 gene:CCACVL1_07273 transcript:OMO90868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSISQNSRYTLSTVSFIALFLLSLFIFSKRTLEPSVSIYQQSFPLIKSQVQVHSSDSDPTFPDPNPPESSTHHRISPSLSVSQADELHPPSNSTSIDVQLQLPAPPSNSTSIDDGAVDNGEEKRQMCDLYTGTWVKDEEYPIYQPGSCPFVDEAFDCQSNGRRDSDYLRWRWKPHGCDLPRFNATDFLERLRGKRLMLIGDSMNRNQFESILCLLHEGLANKSKMYEIHGHKITKGRGYFVFKFEDYDCTVEFVRSHFLVKEGVRINAQGNSNPILSIDKIDKSSARWKRADILVFNTGHWWVHGKTARGINYYKEGDYIYPKFDAVEAYRRALKTWAKWIEKNVKPGKKLVFYRGYSSAHFRGGDWNSGGSCNGETEPVLKGAILDNYPLKMKIVEEVIQEMKVPVILLNVTRLTNFRKDGHPSIYGKRLIEGKKPSTRRQDCSHWCLPGVPDAWNELIYATLFFNKQF >OMO90865 pep supercontig:CCACVL1_1.0:contig08415:1073:15850:1 gene:CCACVL1_07270 transcript:OMO90865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKQKLQRLSTSTASTFPPQCGQEPGACCLPNSLSPLAAPSSSLSRAAINHHHFWPKNKPPSLDLGLRIRRSAIESVKDSVGGLIGPTAGPG >OMO90867 pep supercontig:CCACVL1_1.0:contig08415:25169:25246:-1 gene:CCACVL1_07272 transcript:OMO90867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEWELLGTGGSESRSLAQRNELSY >OMO90866 pep supercontig:CCACVL1_1.0:contig08415:23884:24048:-1 gene:CCACVL1_07271 transcript:OMO90866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESPPGEPPPLGKAAHPKHGTYPKENPVLLGREYLNLMLARFLNNHCSAYVSDG >OMO91158 pep supercontig:CCACVL1_1.0:contig08377:49356:50666:-1 gene:CCACVL1_07207 transcript:OMO91158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYTYHAPSFWYADPPLDFVPFASFSKILNDRFATIDKLLDFDEQKASEEASKYEKDGLAIELLVRRWNKDNHTFIFFFREVTMTLEDVVELTLLPLFGENNPDFCESMSDMDKNEVAYLLIELGKVASSASPELFVWCRYYLQEEDLRLEKKRSAFVLTWLSLTFGCHPDGRVMNHFAAMAVKIAKGSSFALAPFFLGNLYHYLDLLVSDKIEASVYNWFGKKLTGKKAFQEVMDMEEEFCWRLYTKVRSRNVKFVRVLALPSLARGKCEEKEILATAADNFATCFVASGLPY >OMO91159 pep supercontig:CCACVL1_1.0:contig08377:69791:80918:1 gene:CCACVL1_07208 transcript:OMO91159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAETYKKIPWLYQKVRVEKPLTIETNKAMGKFKSKCRDLPKSRDGAAKLRTCRNHSIQEANSNESSHYKAHINGGLSSRSSIVDPRQTKLVASRSSTNKARCQETSNGEQTGSRIASRELISKRSHLWKFTPTTVRPSKVSAFDSPLQASLPAEQLANVSVVGFAILQNNSEIKLPTRAFDIIGKDYHFVVILPKQSIKREF >OMO91160 pep supercontig:CCACVL1_1.0:contig08377:81773:81886:-1 gene:CCACVL1_07209 transcript:OMO91160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKFPEGSIDAVEGKFIDSLASLSSLPPSLASVTKS >OMO91155 pep supercontig:CCACVL1_1.0:contig08377:10488:14860:1 gene:CCACVL1_07204 transcript:OMO91155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MDSSPSISKLHRWMASNFGYMIHRLFSFQYLPSVLRSELENPKFYFFLSFFRKMTMRMENRRRLDGQDDQRGFSTMVKGKDKNEASSELDWVSHSFSSPSQRFPSLDFTGVGEVITELTEGIEMFPPRYFLFASIEQIRARSTSDKLNVSGHDVRITVWSQFLQTLNLDSLKIQQPKPILIVAATTTKIISDTHELRERFKDEKSVVEIVEDTHLSIPRDQLYKNAAQSTIIEPLGWKATDIDETKFNIHARVTGIDTSSRWYYPACGSCSLSLCNIGDNFYFADHKLQSPHFTVKIPLLVTDTTTRLKVMVFGDVAMEMTSVKLANTSALRQAAVRRNELGFKVFDFTLLSGTQPGDEPTKENQIECSSAQATEK >OMO91156 pep supercontig:CCACVL1_1.0:contig08377:15705:15953:-1 gene:CCACVL1_07205 transcript:OMO91156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDEDQGSQRSLHALFNTRVFHSLKDA >OMO91157 pep supercontig:CCACVL1_1.0:contig08377:31377:34201:1 gene:CCACVL1_07206 transcript:OMO91157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYNILKVNRQATEDDLKKAYKRLAMIWHPDKNPSHKRPEAEAKFKIISEAYDVLSDPQKRHIYDLYGEEALKSGQFPPPSPASSASTAASTSYQRSGGHYYNGNNQRQQQPNTGSFRFNPRDAEDIYAELFGAESNGGRGAAGRGNRGREGWNSNNSNSNGEMRKALAVENVLLCSLEELFKGTLKKMRIFRTILDSSGKCGTKEEILSIEVRPGWKRGTKITFPEKGNEEPGIIPADVIFVIEEKPHGLYKRDGNDLVVNQEVTLLEALTGKNLDLTTLDGRNLAIPVTDIIKPGYEVVVQNEGMPISKEPGKKGNLRIKFDVKYPSSLTTEQKYELKRVLGSISS >OMO96637 pep supercontig:CCACVL1_1.0:contig07416:8174:8245:1 gene:CCACVL1_04849 transcript:OMO96637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQPWRKDSSLAGDFNGAQNKRKT >OMP11042 pep supercontig:CCACVL1_1.0:contig01646:1430:2257:-1 gene:CCACVL1_00707 transcript:OMP11042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSLVITRYQTHTNLILIYRGRKYSKLQVAMQAPLQRADQ >OMO67479 pep supercontig:CCACVL1_1.0:contig12424:3717:3803:1 gene:CCACVL1_20497 transcript:OMO67479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRNVVSGRISPSTWHFLATSAVKLGA >OMO61511 pep supercontig:CCACVL1_1.0:contig13458:3385:3489:1 gene:CCACVL1_23460 transcript:OMO61511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALLPTLPSLFNSLFLLPSSSCFRYLKEQKDHI >OMO57207 pep supercontig:CCACVL1_1.0:contig14428:1524:1957:-1 gene:CCACVL1_25910 transcript:OMO57207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWIPITPIEALDNKTFEEL >OMO57208 pep supercontig:CCACVL1_1.0:contig14428:12790:12966:-1 gene:CCACVL1_25911 transcript:OMO57208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSPLQPGEGIKKGKRKKLEYKLEEYLSDREDASGNVGSVGGTGIGSGQISRFMVATNK >OMO79971 pep supercontig:CCACVL1_1.0:contig10358:5565:21509:-1 gene:CCACVL1_13261 transcript:OMO79971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched MTTPLLIARIRIFGADDQISVRFDCLGLDLTPIWSKRSQWANRGRIWILELVTIVLLLTSVLWAEKTDSTGLSVSYLTSGERHSKEYCAMYDICGQRSDGKVLNCPYGSPSVKPDELFSAKIQSLCPSISGNVCCTEDQFDTLRAQVQQAVPILVGCPACLRNFLNLFCELSCSPNQSLFINVTSVAEVNGNLTVDGIDYYVTDAFGEGLFDSCKEVKFGTMNTRAIEFVGAGATNFKEWFDFIGQKALPGFPGSPYTIAFKSSVTNSSGMELMDVSTYSCGDTSLGCSCGDCPSSPQCSSSEPPSPPKKDDCSISIGPVKVKCIEFALAIAYIILVFGLVGWAFFYWPRERRAASDREPLLKSMDENEANSASMQNVEDLALKVAPQLTNGAQLSYIQGYMSSFYRSYGTWVARNPTLVLCSSLAVVIVLCFGLIRLEVETRPEKLWVGHGSKAAEEKQFFDSHLAPFYRIEQLIISTLPDTTHGKRPRIVTEENIQLLFEIQEKVDGIHANYSGSIVSLTDICLKPLGQDCATQSVLQYFKMNWENFDYYGGVEHAEYCFQHYTSADTCLSAFQAPLDPSTSLGGFSGNNYSEASAFVVTYPVDNAIDEAGNGNGKAVAWEKAFIQLLKVSYLVMFAYISVTLGDAPRLSTFYISSKVLLGLSGVILVMLSVLGSVGVFSAFGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLDLPLEERISNALVEVGPSITLASLSEILAFAVGGFIPMPACRVFSLFAALAVLLDFLLQVTAFVSLIVFDCLRAEDNRVDCFPCIKIPSSAGESDEGRNSRGPGLLARYMQEIHAPILSLRGVKLVVVAIFIAFALASIALSTRIESGLEQQIVLPRDSYLQGYFTDLAEFLRIGPPLYFVVKDYNYSSESRHTNKLCSINQCDSNSLLNEISRAAVAPESSYIAKPAASWLDDFLVWLSPEAFGCCRKFTNGTYCPPDDQPPCCSPDGGSCGLGGVCKDCTTCFLHSDLINDRPSTEQFREKLPWFLDALPSADCAKGGHGAYTTSVDLNGYESGVIQASEFRTYHTPLNRQGDYVNALRAARDFSSRVSDSLKMDIFPYSVFYIFFEQYLDIWQIALMNIAIALGAIFIVCLVITSSLWISAIILLVLVMIVVDLMGVMAILDIQLNAVSVVNLVMSIGIGVEFCVHIANAFLVSNGDRDQRMKEALGTIGASVFSGITLTKLVGVIVLFFSRTELFVVYYFQMYLALVLVGFLHGLVFLPVVLSMFGPPARIVT >OMO79972 pep supercontig:CCACVL1_1.0:contig10358:25791:26453:-1 gene:CCACVL1_13262 transcript:OMO79972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALKEIKSQSSNNVSIEIEAGHVSNQEHEIEVVDYAKRAQWLRAAVLGANDGLLSTASLMMGVGAVRKDSKTMVLTGIAGLVAGACSMAIGEFVSVYSQYDIELSQIKREKMTQNVSLDELEAKKKSLPSPLRAAAASALAFAIGALIPLLGAAFIREYTVRLAVVLVVVSLALLGFGSLGAVLGGASMLKSSLRVLVGGWLAMGITFGLTKLIGSSGL >OMO67724 pep supercontig:CCACVL1_1.0:contig12401:32356:36304:1 gene:CCACVL1_20362 transcript:OMO67724 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MAANSSNGEQQTTSKPPPLPSPLRFSKFFQDIFADMNPNTLVLPVQSNMRILVTGGAGFIGSHLVDKLMENEKNEVTVVDNYFTGSKDNLRKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQPETYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGESLTVQKPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMLELAETVKELINPEVEIKMVENTPDDPRQRKPDIAKAKELLGWEPKVKLREGLPLMEEDFRLRLGVTKKI >OMO67723 pep supercontig:CCACVL1_1.0:contig12401:24328:27634:1 gene:CCACVL1_20360 transcript:OMO67723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAQQQHDRARAVWWTCLASAFRTAIACTIVGVTTLYGPASLQRYVTLPAFSYVTVIIISTDATLGDTLHGCWLVLYASVQSLGPAMLSLWLIGPAKLTNRTTALATTLGGLVVVLPESTHLIAKRIALGQIVLVYVTGFLKGGETHPLMHPVHVASSTAVGVAACVLALLLPYPRLASCEAKKNCKLLAENSSQRLKLFVKALCVDDKAAASASISQAKLLTAAATKLLQSIKRFQGSMKWEKLPFKCLRPYYMNSGDKLQEIEIALKGMETALEGTPFPAGRILLDEELKDGLHRLEEHISLTIKQAKSFLPGTEYSLTVPESNADDIIKFLQTIQTIPPTHQDLPALFFLFCMKLLHTKSSPKPNPQKQQKPLQNQKPISEKEDDGLSFKEVWDSCGLNRKRLLPAFKFSLILGFSVLFGLIYSKPNGFWSGLTVAVSFAAAREATFKVANIKAQGTVLGTVYGVLGYFLFSKFLVIRFFSLLPWFLFTSFLRQSKMYGPAGGISAVIGAVLILGRKNFGPPTEFAIARIIETFIGLACSLVVELLFQPTRASTLAKIELSKSLEAFHECIGSVSLIQVSETNNSLVENQKKLKNHVNQLGKFIGEADVEPNFWFLPFHSACYGKLLGSLSKMVDLLLFGAHAIGFLERDSTKLETSLKETVNKLLESDLKLFKESLCNLTKDLEKISLIKSLPLLDKELEKNNISCDVEMGKSPRPNFFKASAIGNSDEDGDIEMDKILNSYLEHSKQVVDMIHGIEDDDQKELKSQMVLSLSALGYCMKGLINETRKIEEGIKELVQWENPSSNVNLHEISRKIHALCS >OMO67721 pep supercontig:CCACVL1_1.0:contig12401:11958:12778:-1 gene:CCACVL1_20358 transcript:OMO67721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLKPTFGYTIVYVKDVAKSIDFYAKAFGYNVRRLDESHRWGELESGQTTIAFTPRQQHETKDLTGTVQVPKSDRERAPMELCLIYSDVDAAFKRAVENGAVPVSEPEDKQDWGQRVGYVRDIDGMTVRMGSHVHATKQD >OMO67725 pep supercontig:CCACVL1_1.0:contig12401:37970:40155:-1 gene:CCACVL1_20363 transcript:OMO67725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSQLGSFSLSPNLILVFFKREFSRTWPFLVGFAITGTIVTKFSLGLTEEDAKNSPFVQRHKR >OMO67720 pep supercontig:CCACVL1_1.0:contig12401:8326:11059:1 gene:CCACVL1_20357 transcript:OMO67720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MASQASLLLQKQLKDLCKNPVDGFSAGLVDENNIFEWSVTVIGPPDTLYEGGFFNAIMSFPPNYPNSPPTVKFTSEIWHPNVYPDGRVCISILHPPGDDPNGYELASERWMPVHTVESIVLSIISMLSSPNDESPANVEAAKEWRERRDEFKRKVSRCVRRSQEML >OMO67722 pep supercontig:CCACVL1_1.0:contig12401:13715:21850:1 gene:CCACVL1_20359 transcript:OMO67722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKGYKGDLVVSSPKAFPKSSYRQLASTPNRRVVKGAAGDVAIFLLKVAALETVRRVSKAKCPFLWRGIQALQVICYPPVSFIQKWAPFKGLAKGMQMLSRPLLVLSIATSFSDLEEFNDEVPEGTSASPVHSESQYEESVADPPLNTSLCRNNEATESLESESWLDKLHKELESQGVSLPERISDEDLRRFYTAANGDFLVLLSSIKKTIKWRETYRILSQEELETWANMVFWHGYDVMHRPCLIVRLGLACSSLPSHDRPRFAQAVISQVEHGVMHLVSPENPEVTVLVDCEGLSPFKIPMQVMRSCSSLFQDHYPNRLGCLFVIRLPPVVRVIAQTFIQVLKPITRKKLKIVGETYRRVLPENLQTLPSYLGGDCRCRKCLTIDFHNLKRPQINQINKMQENENVSDSEDTLTPGMISQENIHESGSCDQLTSASTSYISPTHQKAKVKKNLVSGSAWEGRACKSQF >OMO56727 pep supercontig:CCACVL1_1.0:contig14486:102080:103758:-1 gene:CCACVL1_26325 transcript:OMO56727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin/Phospholipase A2-related protein MASEKKMVTVLSIDGGGIRGIIPGTLLAFLESKLQELDGPNARIADYFDIIAGTSTGGLVATMLTAPNKENNQQPMYKAKDINDFYLEHCPKIFPQDSADGSKGPKYDGKYLRTLLNELLEDITVNQTLTNVVLPTFDIKLLQPVIFSTNDAKVNSWKNVRLADACIGTSAAPTLLPPHRFETTDSDEKIHTFDLIDGGVAANNPTLIAISQVWLGMIKKQNAEFKYKGPLDSKILVLSLGTGTSPTNPPKYNAEMASNWSMSDWVFLNGNTPLLDVSWQASSDMVDFHVSAVFQCSNSIENYLRIQDDTLTGDASTADIATEENLQNLVEIGNKLLKKRVSKLNFATGQFEEVEGGDTNEEALAKFAEKLHEQRIIRLRDSQDNSDCLKQKAV >OMO56731 pep supercontig:CCACVL1_1.0:contig14486:122099:127534:1 gene:CCACVL1_26329 transcript:OMO56731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MSDSNSSCMDGAYAEIVVVRHGETEWNADGRIQGHLDVELNEAGRQQAVSVADRLSKESKICAVYSSDLKRALVTAETIAARCGGLEVIKDPDLRERNLGDLQGLVFREAAKLSPKAYKAFLSHRTEQDIPGGGESLDQLYHRCTSSLQRIASKHKGERVVVVSHGGAIRALYKRACPDGKTGKVLNTSVNVFHLTDDEWTIKSWGDVSHLEQTGSMEQAYTEIIVVRHGETAWNAIGRIQGHLDVELNEVGRQQAALVSDRLSREPNISAIYSSDLKRALETAETIASSCGNLQVIKDPELRERHLGDVQGLLFREAAKVRPQAYRAFSSRRTDQVIPGGGESLDQLYHRATSSLQRIGQKHRGKRVVVVTHGGVIRALYRRACSKRFRGSIPNTSVNIFHLSEADQWTIKAWGDINHLNQTGHSKSSLDVNRTSAV >OMO56723 pep supercontig:CCACVL1_1.0:contig14486:80902:82701:1 gene:CCACVL1_26321 transcript:OMO56723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIHKTLIRNLRGEQQHFTEFPLPFPLHSQKTAMSRWWRGLKTAVQTPTAPSLSARDYHTIQAIPREYTGNRVSSRDRAQGRIPAVVFSQSLLQKDPANRYPSRKQLLTTETKQIKSILKSVQAPFFCSTTFQLQIRAGSGSSIHRDEESGKILNLVLVWADDGTELKVDVPVVFKGEEDCPGLKKGGHLNMIRPSLKYLCPAELIPPKIEVDVSKLDVGDRVFMHDIEVHPSLKLLSKNDNMPICKIVATKSENPEPMLV >OMO56736 pep supercontig:CCACVL1_1.0:contig14486:163069:164445:-1 gene:CCACVL1_26334 transcript:OMO56736 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA/THIF-type NAD/FAD binding protein MDEKLKCCVLLGAGALAGAVSTVFLLKLLPRNQSEDKKSTRLKEATTRDWIDSENSNYRMGGLNILSDEIVSEQLTRNIQFFGLDSQQKVTSSYVVVIGLGGVGSHAASMLLRSGVGKLLLVDFDQVSVSSLNRHAVATRADVGVPKAECLKKHFSSIFPECQIDAKVLLYDSSSEEEILSGNPDFVLDCIDNIDTKVSLLAACVRRNLKVLSATGAGARADPTRIRVADLRESTNDPLSRSVRHRLRKDYGIEGGIPVVFSLEKPKVKLLPFKGPSGEEDKDNPSDYQVVPGFRVRIIPVLGTIPAIFGQVMASYVITQLAGFDVQTEPVVNLDGEHYQMLLQRLIEHEECLYGAASQVEVDVEEVRYVVKELWHGRSAREVSAKDVGRGMWRAVNDLMLVRWNKDKPASVSNLILLKFKEADEHQLRSLDDIREMEPEFYSRVTSVLKRAEHDFGL >OMO56738 pep supercontig:CCACVL1_1.0:contig14486:174868:175513:-1 gene:CCACVL1_26336 transcript:OMO56738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLSMHAVQNPIIAKLVLAKCMATVEGGPVSKTSFWTTATANRDSDGTGFSGRGPLCANHGLV >OMO56729 pep supercontig:CCACVL1_1.0:contig14486:112451:118308:1 gene:CCACVL1_26327 transcript:OMO56729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTQFKSSNRLKVEQIKLRKEREESLEIDAESNPVHKFPVPMKEGEILDDFCCARKYRKNKSKSPSLF >OMO56720 pep supercontig:CCACVL1_1.0:contig14486:57337:59059:1 gene:CCACVL1_26318 transcript:OMO56720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSLDLSLVYVPKSISEFLKEVSKIKNGFQRLSKITDYVKRLEEEMKKIDAFKRELPLCMLLLKDGIERLKEEEMQCKEKNDGSVTEELMLPLKRKSEENGRVNLEKDGGDKKNWMSSVQLWNSDVNNVDHNKKPNTVPELKLEISGLSLMTPNSELASCNPILKSNGSCRIGSGSSLYSEQNQIKFQAKSLVSHHQQQQQQQQNSRKQRRCWSPELHRRFVEALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHIRKLPASSAGKGDGLWSAENQCNAHMKSNNSQSGSPQGPLLASGSGKGMSSTGGDSMEEDDEKSDGQSWRSGVHNDV >OMO56733 pep supercontig:CCACVL1_1.0:contig14486:138461:140904:1 gene:CCACVL1_26331 transcript:OMO56733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGDFLRDPRAFLLNRMGDSKGKAKLTYHSISSSSSDEEDELESESEEEEDDDDYEEGGELESLSLKECKAYLRKHGLRLTGTKEVCQQRILEHWKIKNGNAEALYPRSSFYINCTGDVCKGDVVLFTQKVFEKFDKVTRHGKVLGRRTVVGRVVKESYGKAKQQHTFTVEVLWSKGIKKLPPLFPLLVKGRNLYKLKTYRQRWSDEAERRKVLAEKHRRGDAARLVKTMNQARRNWSTDVGAKRKMHLCNSRPLKKRKPTEPEKGKLVNTRGKASIPRHANMSNKFQATSQGRQVNKKQKSRSRVSNSSYSHQKPVHLSEGVGAAHYSCADPVPSRYHSETRSNHRSTPVQFASYNMGSTSSMVRPLPFRPYIDSWTRPSAQYRESKFNHHSYTHHANSNPGFYHK >OMO56722 pep supercontig:CCACVL1_1.0:contig14486:69157:70125:-1 gene:CCACVL1_26320 transcript:OMO56722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSPCAACKFLRRKCTQECVFAPYFPPDNPQKFANVHKVFGASNVAKLLNELNASQREDAVNSLAYEAEARLRDPVYGCVGLISILQHRLKQMQLDLNNAKKELATFIGPQAMLPILQPPPFNLPQHVGNPSSSAVMQHNMMPMMGIPSAAAAAAASHGGPLVIREPQQQQQIFEAQQQLAAVVAAREQQEMFRGYEQAPHHQGQQQQEIVRFNSGFEGTGSVTATGFNQITTAAAMSPSLALGSFDNPYQIHQTQQQDHHHHHSHGGHSLQAQLLLQPQQGQTPQQAQQNQQTHQNQNQQQQQQRSGSEEGRSIGPSC >OMO56721 pep supercontig:CCACVL1_1.0:contig14486:61447:64606:1 gene:CCACVL1_26319 transcript:OMO56721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIVTIQVGGFANFIGSHFWNFQDEMLGLDSYGDPLFKTESLNMDVLYRTGESPQGTRTYTPRLLSIDFQGSLGSMSSQGTLYNEGSNASSEVVTWAGNISTQASEPQKKNLFLQSLYQEEQNAPMGNDSNGGTKGAQNEIQDTDIVECLNKGVQFWTDFSKVHYHPQSLYEVNGLWMDGKQFDNYGVGRDVFTENLRGEEICNRLRFFVEECDHIQGFKFIVDDSGGFSPVAADFLESIADEYTNTPVLLYAVRSPGSLMNLRSRKQTVVRDLHDAVSFSRLSSFCKMIVPVGLPFLSTSKASTFLNIEDENPYHCSAVYAAALHSTSLPFRMEPLGPTAETSDASGALDVNGLVQMLAGQSRQNMVAILDVAMPAPSMRGKQDEHSLLQNLQPLTPEVAEDVDDLQAVESMTVHGAIVTEGQRASISEVKNAVNAEYQNATTRPMFCHLSVAGCPLPIPLPFPSIFGNLVGQHGELLGSPISGSISRGSLDVHSIPMAARLRSSCAILPFLENRLGNLRKFGIQRGALGTDLLRSWGFGKDEVEDFGETLSKMVRTVDPHSEISSDSD >OMO56724 pep supercontig:CCACVL1_1.0:contig14486:86204:91681:1 gene:CCACVL1_26322 transcript:OMO56724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWLQNISFSSSSSSSSSTTSAATSAISPGIHSQDSNNYNHRNSKQRSKSRYHYLGFRIAGPKLTRERELRRLSDREFSSPKESLSRSPSCYETPLSLSAPTSRTTSLAVPLPLPLPVPEGDGEQRLPSPNDVGHGKGDFSQDEFRINATVMKSVSTSPLSSSPVISPHRKSTGDMFLPYMVPTGNLAWSAPEMAIDNTGLPPPAFYDYNAYNSTEHTPLPSPPNRSPRRRYRSQSGSSSPIHQMLSLEISSIRPDVHRLPLPPVSALASPSASTPHVTTKAEPLPILPMNSQWQKGKLIGRGTFGSVYVASNRQTGALCAMKEVEIFPDDPKSAECMKQLQQEINILSQLKHPNIVQYYGSEIVEDKFYIYLEYVHPGSINKYVREHCGAITESVVRNFTRHILSGLAYLHSTKTIHRDIKGANLLVDASGVVKLADFGMSKHLSGQRADLSLKGSPYWMAPELMQAVMQKDNSSDLALAIDIWSLGCTIIEMFTGKAPWSEYEGAAAMFKVMRDTPPIPETLSPEGKDFLRCCFRRNPAERPSASMLLEHRFVKSSSFSVASRSNSPSNGRRSMEMPLSPIERPEFKLDQLPVQQSLRSTISVTSDSETAQRSHHKTSDLMAAPRYSPRSTLEALPGLSPPRSVVLAGMIAQRAALITCAVTRY >OMO56732 pep supercontig:CCACVL1_1.0:contig14486:133644:137777:1 gene:CCACVL1_26330 transcript:OMO56732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCTSKPSPNPFSAKSDSRSTPVHPRNDDVRRKSNSVPASPLPDAVNGNRNQNPKEDQGKEDGEKESSIPNNEGKKSPFFPFYSPSPAHYLFSKKSPARSSANSTPKRIFRRPFPPPSPAKHIMSLLARRHGSVKPNEASIPEGSEAEAVAAAGGGGTGLDKSFGFSKHFGSKYELGDEVGRGHFGYTCAAKFKKGELKGQEVAVKVIPKAKRLDPLCGGGELLDRILSRGGKYTEDDAKAVMIQILNVVAFCHLQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDYVKPGHPWIKNYNDVKVPLDILIFKMMKVYLRSSSLRKAALRALMKNATDAMKDSRIPEFLASLNALQYRRMDFDEFCAAALSVHQLEALDRWEQHARCAYELFEKDGNRAIMIEELASELGLGPSVPVHNVLHDWIRHTDGKLSFLGFVKLLHGVSGRTLAKAQ >OMO56734 pep supercontig:CCACVL1_1.0:contig14486:144679:146030:-1 gene:CCACVL1_26332 transcript:OMO56734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MRSMASSTSSKGIAAIVGVGPKLGRSIARKFAHEGYTVAILARDLGRLSRFADEIAREEKAQVFAIRIDCSDCRSVREAFEGVLSLGFVEVLVYNAYQPVSWNLTNFTDIKIESFEKSIAVSSLGAFLCTQQVLPGMVERGRGTILFTGCSASLNGIAGFSELCCGKFALRALSQCLAREFQPLGVHIAHIIIDGVIGLPRGASASASQRGEQQQSGGEGAVMVMDPDALAQTYWHLHVQDQTAWTQEIDLRPSITRFYY >OMO56726 pep supercontig:CCACVL1_1.0:contig14486:100599:101621:-1 gene:CCACVL1_26324 transcript:OMO56726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFYNEKEKLDDFHQARDFDGGRIETLQAKMAELRKIKEELKSARDNATDSWLESKPLIDELERRKSDLATAQNQHSISNTLIVELESQFETIVMEIRSKREEELKATKTINEMNRALEHSREELEQLKTERDEEQRARSKLKQTLRLRRQTLRTLQLTLRAMRMESEAFGASEAEALRYINHPESDNSPVQLTQEDYYALKRRAKEETSLADWRVSVAMEQKITAEKGRNLALARLKETDPDSRPRKRKTEERIVEDEDSKQEEAGDMDLSKQGVDEAHNRGFAFPKARAKAIAEYKKGPPNRMSKPIRMTKKVTILWQLKSCFLHIKRLFKKGQTDK >OMO56737 pep supercontig:CCACVL1_1.0:contig14486:166080:168794:-1 gene:CCACVL1_26335 transcript:OMO56737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSQGSRVIGSGKTLANRRRSNRERKMALLQDVDNLKRKLRHEENVHRALERAFTRPLGALPRLPPYLPPQTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYASSKRNVENLSESTEQSPVRSSKHQRSKSLSINETSSVTSIAKPQPSLARSVSSRKLLPPDTSYDRTGQFLSRPANGRQASTKPTSTSGDVRGKENQSFANAVKDKVSPEKKNSKVVTPVKRLPAKRESADKCLDAQKSQLDGRLEDQEKAQQSPSGSSGDKVSEVDTTPNKISEDTLKCLCSIFARLTTLKDKAIDSNGRNRGTEFQDPYGICFDTKARDIGPYKIHFAVEADTVDLNRSTNALFLIHRLKFLLGKLASVNLDGLSHQEKLAFWINTYNASMMNAILDHGIPETPESVVALMQKATIVVGGHLLNAITIEHFILRLPFHLKFTCPKAAKNEELKIRNTFGVEWSEPLVTFALACGSWSSPAVRVYTAAHVEDELETAKRDYLQAAVGISRTNKLIIPKLLDWYLLDFAKDLESLLDWVCLQLPNQLRKEAVKCLERKGKEPLSQLVQVMPYDFSFRLLLHR >OMO56725 pep supercontig:CCACVL1_1.0:contig14486:94301:100163:1 gene:CCACVL1_26323 transcript:OMO56725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MEHKSCKVPFVHLFCLLLLMSQLVFCQLDNKFYDSTCPNLTRIVRYGVWSAINNDTRMAASLVRLHFHDCFVNGCDGSILLDDIGSTFVGEKTGLANNNSARGYEVIDKIKANVEKACPSTVSCSDILALAARDAVSIAGGLYYYVPLGRRDGRSANITAANELPSPFESLDNIISKFSSKGLDIKDVVVLSGAHTIGFAQCFTFKPRLFNFGGSGQPDPQLDASFLKGLQTVCPNQVDSDTTLVPLDSATVAKFDNSYFKNLVNNSGLLQSDHVLSTDNRTASMVLYYSKYPYPFLKDFGTSMVKMGNIGVLTGQDGEIRKNCRVETVDRERERKMVNPRCYLDISIGGELEGRIVVELYKDVVPKTAENFRALCTGEKGIGPNTGASLHYKGVRFHRIIRGFMIQGGDFSAGDGTGGESIYGLKFEDENFELKHERKGMLSMANKGPDTNGSQFFITTTRTPHLDGKHVVFGKVIKGMGVVRSIEHVATEDGDYPSQEVIIADCGEIPEGADDGISNFFKDGDIYPDWPADLDQKTDEISWWMEAVDSIKAFGNEQYKKQNYKTALRKYWKALRYLDVCWEQEAIDEEKSSSLRKTKSQIFTNSSACKLKLGDLKGALLDTDFAIRDGEDNVKAFFRQGQAYMALNDIDAAVESFKKALDLEPNDGGIKRELAAARKKIADRRDQEKRAYSRMFQ >OMO56735 pep supercontig:CCACVL1_1.0:contig14486:151783:162422:1 gene:CCACVL1_26333 transcript:OMO56735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWATFQHLDLRHVARGIVKPLQPHAAAFHPTQALVAVAIGTYIIEFDALTGSKLSTIDIGSPVVRMAYNPSGHSVIAILEDCTIRSCDFDAEQTCVLHSPEKKTEHISSDTEVHLALTPLLPVVFFGFHKRMSVTVVGTVEGGRAPTKIKTDLKKPVVNLACHPRLPILYVAYAEGLIRAYNINTYAVNYTLQLDNTIKLLGAGSFAFHPTLEWIFVGDRRGTLLAWDVSTDRPIMIGITQVGSQPIASIAWLSVLRLLVTLSKDGTLHVWKTRVLVNPNRPPTQVNFFEPASIESLDIPRILSQQGGEAVYPLPRIRSFEVHPKLNLAALLFANMTGSDNTKNRAAYTREGRKQLFAVLQSARGSSASVLKEKLSSMGASGILADHQLQAQLQEQNMKGESNLTISDIARKAFLYSHFMEGHAKTAPISRLPLISVVNTKHQLKDIPVCQPLHLELNFFNKENRVLHYPVRAFYIDGVDLMAYNLSSGADSTYKKLFPSMPPNVEYYPKHIVYGKKRHLFLIIYEFPGTSTEVVLYWENTDLKLANSKGSTIKGCDAAFIGPKENQFAILDEDKTGLALYILPGAALEEADGKNGAVEPNLMPDQPVDAKVNSIQGPMSFMFDTEVDRIFSTPIESTLMFACNGKQIGLAKLIQGYRLSTSDGHYISTKTEGKKFIRLKANEIVLQVHWQETLRGYVAGVLTTHRVLMVSADLDLLASSSSKFDRGNPSISYMHIAHFRSLLWVGPALLFSTATAVCILGWDGKVRTVLSVSLPNAALVGALNDRLLLATPTDINPRQKKGFEIKSCLIGLLEPLLIGFATMQQHFEQKLDLSEILYQITSRFDSLRITPRSLEILARGPPVCGDLAVSLSQAGPQFTQVLRGVYAIKALRFSTALSVLKDEFVRSRDYPKCPPTSHLFHRFRQLGYACIKYGQFNSAKETFEVIADYESVLDLFICHLNPSAMRRLAQRLEEEGADSELRRYCERILRVRSSGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNLKNIPQWELAAEVMPYMKTDDGPIPSFITDHIGVYLGSIKGRGNIIEVREDSLVKAFIPAAGDNKPNGFHTSIAKPIDKSKGIIGGDSKADSLMGLEALTKNTAADEQAKAAEEFKKTMYGTADDGSSSDEEGVSKTKKLQIRIRDKPITSGTVDVNKIKEATKRLGDGLGLPISRTRSLSGGSQDLGQSQQQPIPVTSGSVANPTVSAPGDLFGTDSWIQPASVSQAAPATKGVGMAAGPIPEDFFQNTIPSLQVAAALPPAGTYLSKLDQTSRQVDVGGRIPPGQVNATPADVGLPDGGVPPQASERPIPAESIGLPDGGIPPQYNAPVAGIPQPQVQPSQMPLSTQPLDLSALGVPNSVEPEKPAAPASVRPGQVPRGAAAPVCFKTGLAHLEQNQLPDALSCFDEAFLALAKDNSRGADIKAQATICAQYKIAVTLLQEILRLQKVQGPRALSAKDEMARLSRHLGSLPLQAKHRINCIRTAIKRNMDVQNYGYAKQMLELLLSKAPPGKQEELRSLIDICVQRGLTNKSINPLEDPSQFCGATLSRLSTIGYDVCDLCGAKFSALSAPGCIICGMGSIKRSDAIGASVPVPSPFG >OMO56719 pep supercontig:CCACVL1_1.0:contig14486:33199:36909:-1 gene:CCACVL1_26317 transcript:OMO56719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MSRERIEEGELSRSLIPKKKEDGVSGSTSSGERPSGTSSATITVIFSTFVAVCGSYVFGTAVGYSSPAQTGIIDDLGLSVAEYSLFGSILTIGAMVGAIMSGRISDYIGRKWTMGIAEIFCIVGWFAILFSKAAWLLDLGRLLVGYGMGLLSYVVPVYIAEVTPKNIRGGFTAVHQLMICCGVSLSYLIGAFASWRTLALIGIIPCLMQLLGLFFIPESPRWLAKIGKSSECEVALQHLRGDSADISEEASEIKGYTQQLSESSILDLFQRNYARSLIVGVGLMVLQQFGGVNGIAFYASAIFISAGFSSSVGMIAMVVVQVPMTALGTLLMDKSGRRPLLLVSAAGTCLGCFLVAMSFLLQDLQRWKEATPILSLVGVLVFTGSFSLGMGGIPWVIMSEIFPINMKGSAGSLVTLVSWLGSWIVSFAFNFLMEWSSAGTFFIFASVCGLTVLFVAKLVPETKGRTLEEIQGSMNPDSVKT >OMO56728 pep supercontig:CCACVL1_1.0:contig14486:104857:106588:-1 gene:CCACVL1_26326 transcript:OMO56728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin/Phospholipase A2-related protein MGTAGFAKGKMVTVLSIDGGGIRGIIPGTLLAFLESKLQELDGPNARIADYFDIIAGTSTGGLITTMLSAPNKENRPIRLNFLAAMANFVKATIVGPKYDGKYVRSLTNDLLKDTTLNQTLTNVLIPTFDIKRLQPVIFTTNDAKRDASKNARLADVCVGTSAAPTFLPPHYFETKDANGKTRTFDLVDGAVAANNPTLLAINHISNEIMKQNTEFVEIEPMDSRKMLVLSLGAGEAKHEEKYTASSASKWGLLEWLFNGGKTPMIDCFVNAGNDMVDFHTSTLFQSLHSRENYLRIQDDTLMGEAASVDIATEQSLKMLVEVGTALLKKRVSRVNLETGIYEEVEGELTNEEALAIFAKKLSEERKFRLA >OMO56730 pep supercontig:CCACVL1_1.0:contig14486:120529:121641:-1 gene:CCACVL1_26328 transcript:OMO56730 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RBP11-like protein MNAPDRYERFVVPEGTKKVSYERDTKIINAASFTIEREDHTIGNILRMQLHRDENVLFAGYKLPHPLQYKIIVRIHTTSQSSPMQAYNQAISDLDKELDHLKNAFEAEVAKHSRDY >OMO63046 pep supercontig:CCACVL1_1.0:contig13070:17660:17797:1 gene:CCACVL1_22516 transcript:OMO63046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFATVFGAHMDNVCKDLKACHPFVIDLFGIRTMLNRIINGIRWE >OMO63045 pep supercontig:CCACVL1_1.0:contig13070:13277:13339:-1 gene:CCACVL1_22515 transcript:OMO63045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIDGRQLNNISPLQRDDT >OMO63044 pep supercontig:CCACVL1_1.0:contig13070:1445:1570:1 gene:CCACVL1_22514 transcript:OMO63044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTRPVLISQTRTTLNVGKNKGRPPERSYRRDFSYPNRMIAP >OMO58794 pep supercontig:CCACVL1_1.0:contig14150:4578:8308:1 gene:CCACVL1_25353 transcript:OMO58794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSSSAATPVTISAANQLQVKLTATNFASWKAQFDDLLCGLDLSGYVDGSFPAPLKTIESDGKVVSNPAYSFWLRQDKLILHAIIASTSEATTSHAAWATFANHNRSRVIALKDKLSSTRQDNKPVSDFLQQIKQITDEIRLTGTPVDDDDLCSMSSKHWNQNSGTTKNWCMDSGAIDHVTTNLQNLSLVTDYDGTAEVIVGVGKVLSITHNVSIPARTPTVLVSKGLSALDWHGRLGHPSSSHLLELIYSDVWGPSPELSIEDGGGEYEKLKQLLQLHGISYFQTPPHIPEHNGVSERKHRHIVETGIASLYHAKLPSKFWTLAFQTALYLINRLPTPILNNHSPFQLLFKRDPNYSKLRVFGCLCYPWLRPYSKNKLDFRSKPSIFVGYSYYQNAYKCFDFTANKFYTSRHVVFVENEFSFPSTSIASDLRSRDSYFLYVPPSFIQLQPPPASSMQQAPQLPSPNVSIQPPISSSQVFPAVNSSPVSPTVIDSADFLMASTDVAPSLPVAPHSAPSDTAADCGNSSMTRSKDNIFKPKSLGANLEPTSVSKAFKEESWRKAMSKALNALLCNGTWEFVPPDWLQMGFQTQAQPQCPVVKPTTIQLVLSLVVQNSWKLFQLKVNNAFVHGHFTDDVYMRQPPGFVNSTHPHHICKLRKAIYSLKQAPRAWYQELRSFLIIYDDIILPGSDESVLWQFVTVLSAKFLLKDLVPLIYFLGIETTFTRKVSTPMPSNVSYTIDDGEPLSNDTQYRSIVGALQYLSFTRPDLAFVVNKMAQFIHKPSTVHWHAVKWVLRYLNGTIDHVIFIHPPVSTAFPLLAYIDADWAGINVTENQSQPS >OMO95033 pep supercontig:CCACVL1_1.0:contig07749:58587:58673:1 gene:CCACVL1_05633 transcript:OMO95033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSSPDLIMWLNSKIGGVELGFGKASL >OMO95031 pep supercontig:CCACVL1_1.0:contig07749:20436:22203:-1 gene:CCACVL1_05631 transcript:OMO95031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MSLVRSAEPSTAAYRNTKLYSLQGSSDTSDLSAQMFGSDKHRPVYITDSYSSESYEKYFLDSPTEELIHPSSSGMSGSSLRLQDVSSYQLIDYLEIQSPDTLDSDTDQMKLKLQELERALLADNDVCEDDDMFGTGLSMEIDNEWCDPVRTESLHDSPKESSSSDSNLSSISSNKEVSHVSPRTPKQMLIDCAALLSEGNIEEASSIINELRQMVSIQGDPPQRIAAYMVEGLAARMAASGKYLYKALRCKEPPSSDRLAAMQILFEVCPCFKFGFMAANGAIIEAFKDEKRVHIIDFDINQGSQYITLIQTIAKLPGKPPYLRLTGIDDPESVQRLNGGLEIVGLRLEKLAEALGVPFEFEAVASRTSLVRPSMLNIRPGEALIVNFAFQLHHMPDESVSTINERDQLLQMVKSLNPKLVTVVEQDVNTNTSPFFPRFIEAYSYYSAVFDSLDATLPRESQDRMNVEKQCLARDIVNIVACEGEERIERYEVAGKWRARMTMAGFTACPMSSSVIDMIRKLIKEYCDRYKLKEDLGALHFGWEDKSLIVASAWR >OMO95030 pep supercontig:CCACVL1_1.0:contig07749:14093:20040:1 gene:CCACVL1_05630 transcript:OMO95030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease MASLKRKSEGAASPEEENNNESSKFYDIYGPQAKAEIVIKEPENDSTLSLQDVQGLVTWVLADGYMPSWVFIKNKPLIPKVVLLYIPGLDAALYLAQSKTLSGFKQSCGNPRPLLGLSCVSDGMQTIDALLTCKVKRKRNVIDSVRDASQSAEPEKSIFEMDSAELLKDIPFPITYYTLTAKELEDNGYFLNQPGFLSTLPAPAGSSPSEMLALDCEMCITSEGFELTRVTLVDINGQVVLDKLVKPSNPITDYNTRYSGITPEMLSGVTTSLEDIQEVFLKLVYKDTILIGHSLENDLFALKISHDLVIDTSVLYKHPRGGSYKTALRVLAKRFLSREIQQSGTGHDSIEDARAALELALLKIRNGPDFGSPPSFMRRKLLALLGECGKNSSFIDDISIVKRFASESSHSIPVCSDDEAVLKARREVKNDRVHFVWSQFSELNSFFKKQAEDDEKLNGKLAEMISLLTCNKKPASRKGTKYNVPNGLKEILSRIDARVRSLHSALPTNSMFIICTGHGDIAIVHRLPAAFPSSSK >OMO95029 pep supercontig:CCACVL1_1.0:contig07749:11423:13760:-1 gene:CCACVL1_05629 transcript:OMO95029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome maturation protein SBDS MSRTLVQPIGQKRLTNVAVVRLKKHGMRFEIACYKNKVLSWRSRVEKDLDEVLQSQTVYSNVSKGVLAKTKDLMAAFGTDDQTKICLEILEKGELQVAGKERESQFSSQFRDIATIVMQKTINPETQRPYTISMIERLMHEIHFAVDPNSSSKKQVFFSFFFLISFSSLFFSAALEVIRELQKNFPIKRSPMRLRLNVPGENFHALSEKLNEWSATIVSKDESGSELLLVCEIEPGMFRECDALVRNLQCRLEILAVSVHADGDTQVDYDEEDISSHLPKESADSAPSRLPQESTDSMVQLSEKMQKQTISSGNENGQGEVKQHKCGTCNAFVGDAKEYRDHFKSEWHKHNLKRKTRQLPPLSAEECLADMEMSDSKSDLQDYSF >OMO95032 pep supercontig:CCACVL1_1.0:contig07749:44157:51053:-1 gene:CCACVL1_05632 transcript:OMO95032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSTVKTIALAYGFLNIESSCFDSSKPAPKPVNQTSPEAFKKSSDLAI >OMO61193 pep supercontig:CCACVL1_1.0:contig13567:34298:35945:-1 gene:CCACVL1_23702 transcript:OMO61193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRRRGIFAAIVFIGLLLSLSSLRAVSAATVGRMGGSSSKGDDDHDHDSFVDDHEYSSTPRRNPFKEHCDRLSPHVSYVNSNCGGNSSHNSTSDDLLHPVAFIIIFIIIFAALAAILYYIHHQPKISILKIQVALSAIARDLPMELNDIASTTDTSRKNGWHTILEETILLLCGYPHHYYLYGSSSATLHEESKYMRRFRQLSKQEGDKCDGESLVNVNGHTMQKPVIPKAGKLDEDCILVTILVAVKGCHKLPAIKTANGVKRALRYLKKISKGETKALEVLWTPQDENDALPVQELREKYPHLTNLWGSW >OMO61194 pep supercontig:CCACVL1_1.0:contig13567:38427:38617:1 gene:CCACVL1_23703 transcript:OMO61194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSMDEAGVGGTNHAGIIEAWFGCTILAPT >OMO61192 pep supercontig:CCACVL1_1.0:contig13567:25933:26217:-1 gene:CCACVL1_23701 transcript:OMO61192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MTNYGEVDRSVECTGSINAMISAFESVHDGWGVVVLVGVPNKDDAFKTHPVNLLNERTLKGTFFGNYKPRSDLLSVVEKYMNKELELLRNQQGL >OMO61191 pep supercontig:CCACVL1_1.0:contig13567:21041:22177:1 gene:CCACVL1_23700 transcript:OMO61191 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Disease resistance protein RPP13 MLCVAIIGIPFVLSHIFCLDVPKNYSTWAYMLNNFKLLRVLDFEGEETFGGCKLPDGLGNLIHLRFLSLRNFEFISSKLPSCLGNLGCLRTLDLRLQGKIHVPNVIWKLRQLRHLYLPYHLKRQTRLRLDTLVNLQTLVNFNMRNCYVAHLLSLINLRKLEILWIPGTNEAFEKHLNNKKNPQIITSTNLRSLSFENNQSGSQKMDPTHLMQFLSNCVTTFELSLRLVRMSKLPQHHHFPSSMAYVSLRGTFLEEDPMPTLEKLRNLRILNLEDDAFAGNKMVCSAQGFPKLDSLIIKGLYDLEEWEVDEGAMLALRYLEISYCRKLEMLPEGFRFVATLQELKITAMPKTFQDKLVQGGEDFHKVQLIPSIIFKETF >OMP01055 pep supercontig:CCACVL1_1.0:contig06545:2649:7018:-1 gene:CCACVL1_03182 transcript:OMP01055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-phosphate binding barrel MEGLLSLKTTPGVSFKSIPSFNNRPAFLIRRSSMSLPLRRSNFPAIRAQQSETETISTEREGEEDALKVKEWEVGMFQNEVAESQGIRIRRRPPTGPPLHYVGPFEFRLQNEGNTPRNILEEIIWHKDTEVSKRKEKKPLVALKKLLDNAPPTRDFVGSLKAAHSRTGLPGLIAEVKKASPSRGILREDFDPVEIARAYEKGGAACLSVLTDEKYFKGSFENLEAIRTAGVKCPLLCKEFVIDAWQIYYARAKGADAILLIAAVLPDLDIKYMVKICKMLGLAALVEVHDEREMDRVLGIEGIELIGINNRNLETFEVDISNTKKLLEGERGQLIRQKDIIVVGESGLFTPEDVAYVQEAGVKAVLVGESIVKQSDPCKGIAGLFGKDISL >OMP01056 pep supercontig:CCACVL1_1.0:contig06545:8207:10183:1 gene:CCACVL1_03183 transcript:OMP01056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVENPDLGVAAESPFLGERNRIPSTFGGEQANPRVSFRDAAMGYRAHDESFDEMESLESDEGLIHVSTFGGWLQISLSDRFKAHIRRKWVRCLIVKLLGRSISYKVLYDRLQKLWQLKGEITLVDLDMGYFLVRFVSNDDYEFARDEGPWTIFGHYLTVRSWVPDFHPSDAVINFTTVWVQFPALPIEYYNPRILMALGNSVGKAVRADFNTKYASRGRYVRVAVEVNFEEPLVPKVYFEDRWLNVQYEGLPMICFKCGRQGHKGSCPFFPDANETAKQDGVGEATMTESEVQEQQKQVNERVGDFGPWMIAESRKTHRTPKNNPVNHGTAYQKPNQGSGSRFKASYQGEDINDQVDLEEVQERDNTVQAWANGVRVGSAKSNDRAQKAQVPAKTQHVTKMYVQVTNSKAHEGSRSSSAAATHKAQIVVRRDSQDVDQGLGAAVQTSMVNHSNVIIHRDGKVVEGNHGGIQSFNSSTGL >OMO74379 pep supercontig:CCACVL1_1.0:contig11134:29832:39662:1 gene:CCACVL1_16781 transcript:OMO74379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSRARSSSPFSYRKPSSPFSSTSSTSSFMSNKLMPRSCSSSASSYFNSGGGYGSRSMTPSRSRSDSMYHGSRGYNAHTPVAYAPEEIIGEPLEAPRSGDSISVTIRFRPLNEREFQKGDEIAWYADGDKIVRNEYNPATAYAFDRVFGPHSTSQEVYEVAAKPVVKAAMEGINGTVFAYGVTSSGKTHTMHGDQNSPGIIPLAIKDVFSMIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLLSSRSHTIFTLMIESSAHGDEYDGVVFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKASHVPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNLEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISVLKEELDQLRQGMIVGVNHEELMTLRQKLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNTLPVCLSDVPSHQRSLSLGEDDKLDVLRDGTLLIDSENQKDSPSSSAALASDPSYEFRHRRSSSRRNDEFSPTGSTITESTQAGELISGTKLAGGMTSDQMDLLVEQVKMLAGEIAFSTSTLKRLLDQSANDPDSSKTQIQNLEKEIQEKKRQMRVLEQRILESGEASISNASFVDMQQKVMRLMTQCNEKSFELELKSADNRVLQEQLQNKCSENEQLQEKVNLLEQQLASLSSDKLPLSSGQGISEEYVDELRKKVQSQEIENEKLKLEQVQLSEENSGLRVQNQKLAEEASYAKELASAAAVELKNLAGEVTKLSVQNAKLEKDLLAARELAHSRGNQTVNGINRKYGDNTRPGRKARLSGRSHDLSGAAGDDFELWNLAPEDLKMELQARKQREAVLEAALAEKEFIEDEYRKKVEEAKKREEALENDLANMWVLVAKLKKEGAATPEGNTVEQHSNGMENVHEPKANGIESTNVLKERQVSDVSSKPANETPKEEPLVVRLKARMQEMKEKELKSMGNGDANSHMCKGSGSPV >OMO74377 pep supercontig:CCACVL1_1.0:contig11134:17258:20259:1 gene:CCACVL1_16779 transcript:OMO74377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILDVCPKLITIPDLSSAKDLEVLSARRCKCLLQVPPSIGHLYNLIRLDLGFCEKLKTLPSLKRLTSLESLDLYCCSNINKFPEISSVKIKKLNIGGTAIEEVPPWIGSLCQLQDFYMSFCTRLKSLPNVTQFPEVSTTQLTELWIQDTAIEEVPSSVSCLSALREMHLSGCRKLERISTSICKLKSLKLVDLKDCSRFESFPEISDTMDALEHMDLSGTAIKELSSSIMEHLPNLQLLRLNHCEKLEHLPNGFHNLTSLKYLYLRSCKRLKSLPTALPVNLVRLDAHNCTLLEEVSSVKQLIKQGMLTTGFWSEDYLSISFSNCFSLITNDLWHGILYLAISLKVSPLRLQFNTCFLGSDIPEWFHHECVGSSVSIQLAPYWYDNWESYSSFILCVVVSFDQFYSEERSFISPLEIQCKLHLKTSHGHSLHLFQNLDLYQGEPIPYDKDHIFLWTSMFIREEFSDWETGESRNFSASGYCHVEASFKFELGTPITYEGKLDIKKCGVHVGTETIRGIFLGMNVRDRDELQLSPTAFEKMQGLEFLYVYSCSLKRIDLPDGLQSLQIPPLGKISLPSKFDPRNLVQLNLRDSHVELLWEGVKVPPSIGHLYHLIRLDLAYCERLKTLPSLKRLTSLESLDLTGCLD >OMO74380 pep supercontig:CCACVL1_1.0:contig11134:41055:42180:-1 gene:CCACVL1_16782 transcript:OMO74380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MKIWCDVCDKEEAIFFCSADEAALCESCDRRVHHANKLASKHSRFSLLHPTFKESPLCDICQERRGFLFCQEDRAILCRECDLPIHRANEHTQKHNRFLLTGVKLSSSSSSSSPVLLNPTSSSSNGYGATVDSDQTTHNKSKSHSSKRFCSVSPSNDQNPYNLPCSTAANYRVEDNNYTSDTASISTSSISEYLMETLPGWRVDDFLDPSNTANGFCKTIDEQVIKGGDFDCFSSEAIWVPQVSYSPPSRSPQLLFFVPGNDLVNGFKVKETNVLKFGCERDDGSFLVPQISSSNSHKKSRHFR >OMO74378 pep supercontig:CCACVL1_1.0:contig11134:20276:26162:1 gene:CCACVL1_16780 transcript:OMO74378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIKLQTLNMGGAAIGEVPSTISVTASQGRPLKHLNHLPIMRPPRTTTLATKKRLHVPISNKSENRGQWAPLNLRARDSPATVALPAVLADNKIDFSPLLVPRIITDLIPTCKA >OMO74376 pep supercontig:CCACVL1_1.0:contig11134:2881:6279:-1 gene:CCACVL1_16778 transcript:OMO74376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASTVSLSTPFLSTLTLAPKPECCPSIKLVPRIGVEKPARLKSMIVGCKKENQEVGFQVGERLYLGMDFGTSGARYALIDKQGTIHAEGKREYPQFLREERMDWPLSWKTTLFSLLEDVPVHLRPLIASISLDGTSATTLIIDSNTGEPLARPYLYNESCPDALPLVKSIAPVNHTVCSGSSTLCKLVSWWNNDNSDKKSAILLHQADWLLWLLHGQLGVSDYNNALKVGYDPELDSYPAWLLSQPYSRLLPIVKAPGTSIGHLTEDIKTQFGFPEDCVVCTGTTDSIAAFLAARATKPGKAVTSLGSTLAIKLLSTTRIEDARYGVYSHRLDDKWLVGGASNTGGAVLKEIFTDGQLEKLSEHINPMEASPLDYYPLKSVGERFPVADPKMEPRLHPRPESDVEYLHGILESIARIEAKAYILLKDLGATQVDEVFTAGGGAKNEKWTKIRERILGLPVTRATQTEAAYGAALLALKGAQIPI >OMO73641 pep supercontig:CCACVL1_1.0:contig11202:731:909:1 gene:CCACVL1_17195 transcript:OMO73641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNLVNHIESAVIRFFAVCKSRSW >OMP06161 pep supercontig:CCACVL1_1.0:contig05048:3753:3863:-1 gene:CCACVL1_01693 transcript:OMP06161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QNEDEEPSAEAACSESVRVRAACFAHDPIYFLKLRDS >OMO88898 pep supercontig:CCACVL1_1.0:contig08891:14614:14673:1 gene:CCACVL1_08126 transcript:OMO88898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIEAGPNSPLRLSYMAK >OMO55731 pep supercontig:CCACVL1_1.0:contig14595:4795:6267:-1 gene:CCACVL1_27048 transcript:OMO55731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAKRYNIYVGVLVSSVVTLAQLVLAHATEPPAVYIFGDSILDVGTNNLKPSCLARADMSFNGIDYPYSEPTGRFSNGLNTADQIVALLGLTRSPPPFLYLVNNMSSFQQNILQGVNFASGGSGILNTTGLQFNKSVCLEEQIEQFSTVRSNITHMTHDEAATDEILSKAFFLISIGSNDIFEFLSNLTNLSLPEFNATMFSNYETHLRRLIYLGARKFGILTVPPIGCTPFARANFSPDGNCSDSDPANQFAQAFYVEAEALLKRLSSEFRDMRYSLGNTYLMTTTMMEDMYAFGFKDISSACCGNGTYPCNQTATLCANRDEYLFWDRFHPSQRASELAALTLFGASQSFVSPMNFSQLLEVNM >OMO55732 pep supercontig:CCACVL1_1.0:contig14595:8816:12151:1 gene:CCACVL1_27049 transcript:OMO55732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MTMVVSMCRSFRDRALDRCFHCFPCLADPARRSSLCLKVALVTLHLLYVGILFLFYSDLIEKTKKEPWYTALYLLLFFATLVQYFITSGSSPGYVLDAMRALNDTNLAYKKSSVASKQPASSKNGSLVISVEGSQSGRNFQGSNTTSWSKLVMDMYPPGTSIRTCTCSYCNVEQPPRAKHCHDCDRCVLQFDHHCVWLGTCIGQGNHCKFWWYICEETALCLWTGILYITYLKANISRAWWKDAIMILLLIALSISIIFLLLLLIFHSYLVLTNQTTYELVRRRRIPYLRNLKKSRGHTLA >OMO55735 pep supercontig:CCACVL1_1.0:contig14595:29154:31183:1 gene:CCACVL1_27052 transcript:OMO55735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLHLCKAFTMQMRLHSVVHGFI >OMO55734 pep supercontig:CCACVL1_1.0:contig14595:22678:27443:-1 gene:CCACVL1_27051 transcript:OMO55734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSPINVPVRSISLPSRLLPNSIEAELNELKTFSTTNHADHGEAICTGFTRLAELYNNIEEIIQSQKALHHQQNIKLVEEALDDSVGLLDACGDARDLIMMMKEQVQELQSALRRRGGDSSCIQSTIHEYISFRKKLIKKIAKSLKALKKLDANYTNICSLPVKVLMVVKALRESNAIAISMFRSLLCFLSMPPVMKTKATGGWSLISKLMPERRCGQKLLNEVGIVDFTLSTLQVQGKVNGKNEAKINIDAQIQVIRRLETLCATIKGLEQVRSISLPSRVHPTSVKLETALNHLKAWKTSSASSSGETIQIGLIGLADLYNCVQEMVNSPQTKQKLVHYQNGKLVEEALDESITFLDTCGKGRDILFTMKEHVQSLQSALRRKRGDSSIETEVASYISCRKKVRKEVVKCLGDLKKMETKFGSSAVLSVSDVDHHLLMVVKVLREASSITIAVFQSLLLFLSMPSTKTRIGGWSKISKLISTRLMSSGKEERVMNEVGSVDVAVNSIKIGDGMALAEVQMLQRMLKSLDSSIDGLEAGLDCIFKCLVQNRVIFLNIITP >OMO55736 pep supercontig:CCACVL1_1.0:contig14595:32577:33971:-1 gene:CCACVL1_27053 transcript:OMO55736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVPPSFRTAISNSLVKKIPLEPQTAIPRQVPGKTPKKKPSQHSKTFQASVGSRRTESLFKSPDLSSAKKVLSSIVASTKAPLDVRFHNALLQSYAFVSTLNDSISLLHHMLKTQPSFSPDRSTYHILLSQSCKAADSSLSPVHQTLNLMVTNGFQPNQVTVDVAIRSLCDAGRIDHALELVKELSLKHSPPDNFTFNFLVKNLCKCTTLSTVYGFIDDMKTSFGIKPDLVTYTILIDNVCNSKNLREAMRLVGVLHESGFKPDCFVYNTIMKGYCMLSKGSEAIEVYKKMKEEGVDPDIVTYNTLIFGLSKSGRVKEARKYLDIMIESGHFPDAVTYTSLMNGMCRDGNALGAVALLEEMERKGCTPNSCTYNTLLHGLCKGRLMAKGMELYGAMKSAGMKLETASYATLVRALCKEGRVAEAYEVFDYAVESKSVTDVAAYSTLEVSLKWLKKAREQGLAV >OMO55733 pep supercontig:CCACVL1_1.0:contig14595:12811:14849:-1 gene:CCACVL1_27050 transcript:OMO55733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVASAATAHSKKLMKLTLSLFRNGFNSSKCKTAAKMAVARIKLLRNKRQAVVKQMRRDIALLLQSGQDATARIRVEHVIREQNVLAANEFIELFCELVVSRLSIIAKRKECPADLKEGIASLIFACPRCSEIPELVAIRDIFEKKYGKDFVGAATDLRPNCGVNRLLIDKLSVKTPSGEVKLKVMKEIAKEYNVEWDTTESEKELLTPPEELIEGPRAFVSAASFPVKPATNHSQSHHPKEPITREESPMQFKDTVSAAAAAEESAKRAIAAAQAAAYLANRDGSRVNESSGCVKSSNDSLGNHAANYGGGFVVPSYLPVNPQHQYEAPAPAPGRIYESQSFDRSCHPRSEEEARNVEGGKMCRRHSYNAPSQYSDIKFDESDCDEEIETEDGFGSSINPPPQRSPPPLPSSYGKQDSIHRVHPKLPDYDDLAARFEALKHRNSLP >OMO63845 pep supercontig:CCACVL1_1.0:contig12887:1038:2747:-1 gene:CCACVL1_22232 transcript:OMO63845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAVRLICPTHPTLHFAHPTLESFIWNILIRGNAQAKARPTFPPLSIYIRMRFHGVSPDFHTFPFLLQSFNTPFHLLSGKQVHAQTILLGLFQDPYVLTSLITMYSSCGDLVVSRQVFDEITRPDVACWNSIIQAYVKVGSVDIARSLFDKMPQRDVRSWSSMIVGYVRCGKYKEALALFREMQKLAGNDVKPNEFTISAILSACARLGVLEHGKWAHAYIDKCGIKIDVILGTSLMDMYAKCGSIEKARDVFTKLRLDKDVTAWSAMISSLAMYGHGEECLQLFSEMVKLKVKPNDVTFLGVLCACVHGGLVSDGKEYFRRMSEEFGITPLIQHYGAMVDLYGRAGLFDEAWDVINSMPMEPDVLVWGSLLSGSRMHGNIETCEVALRKLIELDPRNSGAYVLLSNVYAKTGRWLEVRQVRDLMETMGIKKLPGCSSVEIGGVLHEFFVGDDTHPESKEIYAMLDEIIKRLKMEGYVGNTKEVLLDLDEEGKELALSYHSEKIAIAFCFLKTSPGTPIRIIKNLRICLDCHVAIKMISKVFEREIVVRDCNRFHHFKEGKCSCKDYW >OMO63847 pep supercontig:CCACVL1_1.0:contig12887:5244:6971:1 gene:CCACVL1_22234 transcript:OMO63847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEELLGTVPCSSLGVEAALRLGTAGALWGICSAPIEARRKGLAGIPQASFVAKTVGKYGLQCGFVAGVFTLTRCGLQRYRGQNDWKNSLIVGAVAGAAVAAATRSWSQLCFHDVCIPEIHFNIRLHFYSSSS >OMO63846 pep supercontig:CCACVL1_1.0:contig12887:3522:4843:-1 gene:CCACVL1_22233 transcript:OMO63846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22e MSRGAAAAPKGKKKGATFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTISRDKNKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRSVYELRYFNIAENEGEEEE >OMO93040 pep supercontig:CCACVL1_1.0:contig08141:78:3066:1 gene:CCACVL1_06659 transcript:OMO93040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor MGKYIRKAKAAGEVAVMEVSQSSLGVRTRAKTLALQRLQKSSASPATAAAAPATGGGDGSYLQLRSRRLEKPPVVVPPHDSKRQKPHQQQQGSKKGSCAQNPNPNSNSQVRVASFGENVLDFEGRERSTRESTPCSLIRDPESIRTPGSTTRPTSSADTNQRVLNSSRRHIPTAHEMDEFFAVAEEEQQKQFIEKYNFDPVNDKPLPGRFQWEKVDP >OMO93041 pep supercontig:CCACVL1_1.0:contig08141:7912:10039:-1 gene:CCACVL1_06660 transcript:OMO93041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGKMFTLAEVSEHNTPKDCWLIINGKVYDLTKFLEDHPGGDEVLLSATGKDATDDFEDVGHSDSARDMMNQYYVGEIDVSTIPKKTAYKPPKQPHYNQDKTSEFIIKLLQFLVPLAILGLAVGIRIYTKSS >OMO93042 pep supercontig:CCACVL1_1.0:contig08141:19638:24504:1 gene:CCACVL1_06661 transcript:OMO93042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome/cyclosome, regulatory subunit MAAAAATMVSSAGGLLAMLNESHPQLKFHALSNLISFVDQFWPEISTSVPIIESLYEDEEFGQHQRQLAALLVSKVFYYLGELNDSLSYALGAGPLFDVSEDSDYVHTLLAKAIDEYASLRSKAAESSDEATVDPRLEAIVERMLDKCITDGKYQQAMGIAIECRRLDKLEEAITRSDNVHGTLAYCINVSHSFVYRREYRREVLRLLVKVYQQLPSPDYLSICQCLMFLDEPEAVANILEKLLRSDNKEDALLALQVAFDLVENEHQAFLLNVRDRLSAPKSLPSEAVPPVSSETAPQNETSAAAEDVPMTDGSSVATTNVQEADPKEVMYAENLTKMKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRDNLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRDSLRSTNVEVIQHGACLGLGLAALGTADEEIYDDIKSVLYTDSAVAGEAAGISMGLLMVGTASEKASEMLAYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQINEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKSKHDKVTAVVGLAVFSQFWYWYPLIYFVSLSFSPTAFIGLNYDLKVPRFEFLSHAKPSLFEYPKPVTVPTTTSAVKLPTAVLSTSAKATKARAKKEAEQKANAEKLAAAESSSAGASTGKGKSSSEKDGEAMQNQKLWSVVDF >OMP11950 pep supercontig:CCACVL1_1.0:contig00658:994:1053:-1 gene:CCACVL1_00200 transcript:OMP11950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMAVALRLCSQLLKCFN >OMO62588 pep supercontig:CCACVL1_1.0:contig13238:11221:11328:1 gene:CCACVL1_22737 transcript:OMO62588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIFSALDMHGVAYALTDAKPTEAVQLEHRVHANK >OMO62587 pep supercontig:CCACVL1_1.0:contig13238:8510:8605:1 gene:CCACVL1_22736 transcript:OMO62587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NGILQIPDGVLDLAGSTLQLRLTDRNKDQSL >OMO96602 pep supercontig:CCACVL1_1.0:contig07427:15036:17487:-1 gene:CCACVL1_04875 transcript:OMO96602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGMAVDDNGKLYNEYESAAKKPKTTTSKVWLDMTKLECEDKTLLKAQCNHSDELILCLLQWNINKKISSITLDNASYNDVLVSSLKSRFRANMALLCDGAFFQIRCCGHILNLIVQAGLKLIDDVVGKVRNGIKHIKKSGPRKKRFYEIAEKSFHLNVTRKLHQDVCVGWNSTYLMLESALYFKDVLVYWGLHDKDFKIFALSDEEWGNVNILCKFLKVFYDVTCIFSGSKYPTSNIYFRGVWKVHNVLLDTANGPHTFLTPMVKEMQEKFNKYWVEYSLVLSCATILDPRYKLNYVEYCYTKLYGEHSHEFVQTVLNTLRILFDEYLKVAQCNSSSLIGNSTMTGASILESTQHDSNVSGAALDETEDYKAFLKYWSKSSIWYSELSLLARDLLAIPISTIASESAFSVGKLKVINYMRSSLKPKIVQAVVCLDDWMRAKGFSKEMEQEPSFSSDDDEEDDDNDANAAVTL >OMO87061 pep supercontig:CCACVL1_1.0:contig09343:43373:43732:1 gene:CCACVL1_09286 transcript:OMO87061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKPDNSKNLHHTQTKPDRKKRDRDEVERSGNEEFMRKEKRGRKSEMVERNCAEPREGKRPGG >OMO87058 pep supercontig:CCACVL1_1.0:contig09343:22469:23148:1 gene:CCACVL1_09283 transcript:OMO87058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEIDDGFLKERRREERRKKKKGKMKSGLAHVQICAPNHEHAVKLGRCVAAYLKQFDALNTDALDKFVQSHE >OMO87057 pep supercontig:CCACVL1_1.0:contig09343:2475:5227:1 gene:CCACVL1_09282 transcript:OMO87057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucosamine mutase MVSTVKYLFILILTLIGPSRGQQSIGFGAFKRDNGPPSSSGSAAPANPHEHPCTPTYYCKGAFLSLRNAQEGDVDAGIHTEHMMEAVASAYGIFLQGRNGNESKPLTVFLCINDSNISTPILSLRDAVVRGIRKGLDDDRYRLFSNLTIAEREAFHGNITTKGSGDRVDIIFSTPGPPLGEIDMDDILERAAEIYWNMPCTEGPICFNKWKLTLEVNKNHTDVVEAGSFQNYEKKVLEHFGSKNYTASDPNLQKAVYTEDGVQVSGYDGWFLLTRPLNHPALQLYIEAPTHEEAVKLGSCVVADVKQFRALNASDVDKFVH >OMO87059 pep supercontig:CCACVL1_1.0:contig09343:24486:32554:-1 gene:CCACVL1_09284 transcript:OMO87059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSTYPEWQFYSGPMKHTKPAEKINLDSYRIDYRSIVHLAALPTF >OMO87056 pep supercontig:CCACVL1_1.0:contig09343:970:1083:1 gene:CCACVL1_09281 transcript:OMO87056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCELKKRTHIYLVNDYGDFSGCRKKRLRSCKELKK >OMO87060 pep supercontig:CCACVL1_1.0:contig09343:37530:38066:1 gene:CCACVL1_09285 transcript:OMO87060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MRNFKPFLLVLSAILALLVLSGMLAGTPFISPGAMKKNKSPPTNSGSAPPAEPYQRGCIQANGCRGSSPSEKEVGNRKLLSDFSTSQQFLRLTTC >OMO91439 pep supercontig:CCACVL1_1.0:contig08343:18973:26546:-1 gene:CCACVL1_07115 transcript:OMO91439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRVGTKASLVQVKSVVKRVRGQLEF >OMO91440 pep supercontig:CCACVL1_1.0:contig08343:31258:38806:-1 gene:CCACVL1_07116 transcript:OMO91440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDYPIPSSSSLTRSKKPPMAEDDIMELLWQNGQVVMQSQNHRSFKKLPTSPSKFHDAEQSAPREIRSSSNNHHQQQQQSVTDHLFMQEDEMASWLHYPLSEPNFDNDFCADLLYPSSSIAAVAPCVTSTATTAAPAPPPLGSGRLSQLSASPSASVVASASRPPVPPSRRNESESTRIHNFLHFSRHKTARVEPSGPSNSKSVVRELTVIDSSDTPAMAPESRASEAKPSTAEAASGGNNNNACATLSAAAAASTPSAGVSVGASRDNLATCEVTVTSSPGGSSASAEPETQKAAPAEDRKRKGRELDDGDCHSEDAEFASADTKKQTRGSTSTKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCGMVPMMFPGVQQYMPTMGMGMGMGMGMDMGISRPMMPFPNVLAGSALPTPAAAAHLGPRFPMPAFHMPPPVPTPDPSRIPNNQSEAMLNPLGMQNPNQPQLPTFADPYQQYLGLHPMQLPPHQSRAMTQPGSNKPSTSRGPENLENQPSELKFYIDIEVNTVSSVNPNEE >OMO91438 pep supercontig:CCACVL1_1.0:contig08343:11677:14815:1 gene:CCACVL1_07114 transcript:OMO91438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MGGPSRQCQVCNQAQSKYKCPSCLVPYCSLACFKRHKGTVCVNLGSTNDAETTSVKLESCNDAKTHSVKPKSTEPISSASSRFQVERRLEVDDPSEVLQVLQLQAIGDAQLWFLKLERNHPAITWDAFAQQCNLRFGPPIRRNKLGELVKLRQTGSVDDYQQKFVQLAARAGSLTMDQEIAVEVELHQPRDLSTAMSLALLHERRGSRLAAANWHLPK >OMO88778 pep supercontig:CCACVL1_1.0:contig08936:15151:16703:1 gene:CCACVL1_08210 transcript:OMO88778 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding protein MNKFGFVLLVMAAFSMAKQVEGKKGYEKPVNCGHLECAPYQVIESQQEFEIRSYAKGMWVATSPISSASYKDAAAKGFNILFAYIQGNNDHAVKINMTAPVWVNIITPATQPNYLHNLTFVVHFYMPQEFQNSLPPQSAQAHTVELPDHKFSAVRRFGGFMDDSNISAEISALKKSLNATAWDTHSVDYPLLYTAAAYNSPFEHENRVNEVMLWFDQQLIKT >OMP11005 pep supercontig:CCACVL1_1.0:contig01724:2570:3675:1 gene:CCACVL1_00733 transcript:OMP11005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MTNIICMVMKQRRNESENDAVINSNNKVTISRVEEKLARKKSERTTYLLAAMLSTLGISSMAVLSVYYRFSLQMEGGEIPLLEMFGTFALAVGAAIGMEYWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAIINAVPAIALLAYGFWNKGFVPGLCFGAGLGITVFGMAYMFVHDGLVHRRFPVGPIAHVPYLRKVAAAHQVISTLVHI >OMO99591 pep supercontig:CCACVL1_1.0:contig06855:29527:30618:-1 gene:CCACVL1_03718 transcript:OMO99591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MFHLLTSKALVDQLNAPLLEALLCQDCSSASPNPSPGCNNNTCTLFPDNNVIRTATFAELSQDVVSVQSTDGTSPGPVVSVPKLLFSCGATFMVEGLANGVTGMAGLGRHPISIPSLFSAAFSFDRKFAICLTSSTKSKGVIFFGNGPYIFHPNIDVSKDLIYTPLILNPVSTDAIYYEGSPSTEYFVQVKSIKINGKTVPLNSSLLSIDDQGNGGTKISTVNPYILLETSIYNAVIKAFVQEINANVSQVAAVSPFGACFSSNNIGKTRAGPAVPPIDLVLQSELVYWRIWGANSMVQVNENVLCLGVVDGGLNPRTSIVIGGHQLEDNLIQIDQATSRLGFSSSLLLKQTTCSNFNFTSIA >OMO75385 pep supercontig:CCACVL1_1.0:contig11047:8432:8605:-1 gene:CCACVL1_16183 transcript:OMO75385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRAAPPSPLLNPKRDKAPPLESNFFPSRESHVTAPLSSQAQKQRETSYLSPPLLYPR >OMO75384 pep supercontig:CCACVL1_1.0:contig11047:5616:6719:1 gene:CCACVL1_16182 transcript:OMO75384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MLSSSSPFVYSLILFLSLFFLLLFAPQILPFKKHQQQELEQNRQKPQSLITPQDELEDLHLFHQAVLSASPASSKISHLGTVNPKPKIAFLFLTNSDLVFAPLWQRFFQGNDHLFNIYVHADPFVKLSAPEWSVKANFIPAKRTARGSPTLISAARRLLANAILDDPFNLYFALISQHCIPLHSFNHIYTSFLGDPSSSSKAFLTQKSQKSFIEITSDSPHLHNRYVARGEGSLLPEIPFKQFRVGSQFFVLAKRHALLVLKERKLWRKFRLPCFDLDSCYPEEHYFPTFLSMKDPKGCSHYTLTRVNWTDSVDGHPHTYHAPEVSSDLLHTLRKSNSTYSYFFARKFSPDCLKPLMAIADDVIFRD >OMP00014 pep supercontig:CCACVL1_1.0:contig06744:19400:20089:-1 gene:CCACVL1_03498 transcript:OMP00014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKFLLQRDKKDELGSELLGSQ >OMO61535 pep supercontig:CCACVL1_1.0:contig13448:20880:20969:1 gene:CCACVL1_23443 transcript:OMO61535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAFNRTKTEQMLPLMKKFQGKINPGSI >OMO61533 pep supercontig:CCACVL1_1.0:contig13448:446:1650:1 gene:CCACVL1_23441 transcript:OMO61533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTTISSTFLSALLLLFLVCSLKEGYALGLGRRCRSMTATSFSIDIPSMSTLFFLPTCHSSAQASDKKSSLRVVHKHGPCSRLHQDKATIPTHAEILRQDEARVKSIHSKFANNKGQGSINDAANLPDNDGSVVGSGNYIVTMGLGTPKKDYSLIFDTGVTSLGHNASLAQDLAINSRTQFLIPPFPLHIPTFHAFNNLQCHSLPPQVN >OMO61534 pep supercontig:CCACVL1_1.0:contig13448:17400:19433:1 gene:CCACVL1_23442 transcript:OMO61534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MDVHHILHLDSLLPSAICNSSTKALNQKSFLQVVHKHGPCSVFHQDKAQKSPTHAETLLQDQARVKYIQSRVAKNSDTAVKETDAATLPAKDGSTVGSGNYIVTVGLGTPKKQLSLIFDTGSDITWTQCEPCAGSCYSSQREPIFAPSKSSTYSNVSCNSATCSALLTATGNSPACSSSACVYGIQYGDSSFSIGLFARDKLTLTSRDIFSNFLFGCGQNNQGLFGGSAGLLGLGRDKLSFPSQTAFKYKKFFSYCLPSSSSSTGFLTFGKGGSSSSKSVKFTPLSTATQDTSFYGLDIVAISVAGQKLPISASVFTTSGAIIDSGTVITRLPPAAYAALRSAFQQRMSQYPRARALSILDTCYDFSKYDTVTLPKISFFFKGDVEVPIAAVGILYANSVSQVCLAFAGNDDESDVSIFGNTQQKTMLVVHDGALGRLGFSSGGCQ >OMO82449 pep supercontig:CCACVL1_1.0:contig10036:4432:4941:1 gene:CCACVL1_11949 transcript:OMO82449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMFFGLGSNIQGIPLAPTIIAAMRDMVNTYHAEKTLGFPILISRFLQKAHAINANDTKLCTNEKKHVLRKETLTSLKFIRRDVVWINPFRVDEQELDDSDEEWVESEEEEEENQVIAPAAANAEELSDRELLMNLIASVNALGVKVDHMQGTLDQLVANQQQQPPSP >OMO69971 pep supercontig:CCACVL1_1.0:contig11914:19717:24916:-1 gene:CCACVL1_19171 transcript:OMO69971 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase MEKANCKVCVTGGAGFVGSSLVKKLLEKGYTVHATLTDVGDLSKAELLKSLPGADTRLVLFQANMYQPEEFEQAIQGCTCVFHVANPLYHIGGTSQTIIEVSVSAMKSIVMSCLKSGSVRRLIYTATVSAASPLKEDGSGFKDLMDETCWTSLNLKYPYFHEDYVESKTITEKEFLRYCSDAKTSGKLEMVSLASGVIAGDTILPYAPATVGVNFVAENLGYGELASPPIQQNKLLTCGESFQSYGEVVSAHYGTSNGIFQTLITMEPEEKE >OMO69974 pep supercontig:CCACVL1_1.0:contig11914:108031:108126:-1 gene:CCACVL1_19175 transcript:OMO69974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NETEKLWLIEQVLLFKEVFERDIGMKRKMRY >OMO69972 pep supercontig:CCACVL1_1.0:contig11914:103222:103905:1 gene:CCACVL1_19173 transcript:OMO69972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRTCEQPSVGNLEMSINVFDTNVEKNQTQGNGEDEFDYSQRAQWLRAAVLGANDGLVSVASLMMGVGAVKDDIKAMLLAGFAGLVAGACSMAIGEFVSVYTQRDIEIAQIKRKKSMQESSGDYNQESDREKLPSPCQAAIASALAFSIGAVVPLLAAVFIRQHKVRLAVVAVVASLALAVFGVAGAVLGRTPVVRSSLRVLIGGWMAMAITFGLTKLIGGTTGI >OMO69973 pep supercontig:CCACVL1_1.0:contig11914:104606:106284:-1 gene:CCACVL1_19174 transcript:OMO69973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase, N2/N3-terminal MAEKEATAEPTADTNPQSEDMDLETLNSPAQNPDDGDAANGADNNGDPNSKRGREEDGEEENDDKPKKQKVEKSVEEERLDKASGSSESGPVRLGPKEFASSVEMFDYFFNLLHFWPSQLNFNKDLEWKSYDHERNYEHMVLLDLVKKGHLEPDKKIGGGVKTFQIRNHPMWKSKCFFVIREDETAEDFSFRKCVDHILPLPEELKIKSDANRALGSGGWKGRGGKGGGRGRGRGGKSRN >OMO56008 pep supercontig:CCACVL1_1.0:contig14563:20772:21881:-1 gene:CCACVL1_26820 transcript:OMO56008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MDEPSETQTQTQTTDPLTNQFGSLNDLSHELASLQELATRGSWRSILDKVSRARALNLLSKPHDQLIYLSYNVLALSKLRRFTEASTELDSLHDFNSHHYQYESYPELYPNRSGSMVPFSLRFIHAQLPIKLGNRQEGLDRFYLLLNFIRQRIEDKGSHNLEESVKVWKKRENFVLNCLIGHHLGAKEFNVCLDLIKDLINRGYLDPVLVSKLGYIQMQVGDLEGAKGSFQRVETMLSERKNDEYSFLSEVEFKNLVNRNKALVYLVGKDYVSAVREYEECIERDHSDVVALNNKALCLMYLRDLSDSIKVLENALERVPTVALNETLVINLCSMYELAYVNHSEIKRTLSNWIARVAPDDFDASCTRV >OMO56010 pep supercontig:CCACVL1_1.0:contig14563:56024:57175:1 gene:CCACVL1_26822 transcript:OMO56010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVSKLVAPPTRSIARELDEIYKDLHELDFISIEEPKGALERKESLQKEKQISVDPISLRESSMGEISFNMMLPPLKAPQDESAIPFPPPLLPAKHKFLSCSLPNSATSSPRFSSILSRKNLKNESQASPREIDKLVKKHSSAAQHHQEMQKSNQFWRSKSCGDGRTCPPPDEIDDFLLNKQHQVPNSSLMISNVNVNNENRRKSGTKHIESNEDDFKCGALCLFLPGFGKAKPVRPRKAEAAETIMMMENNNVISRTVSLEKFECGSWASSNIIPDHDEDGESVNLYFDLPLELIKNLGNDAHLPVSAAFVFDNKDIKGVLKNGSASGRATGRKSHESSRHVRFSTSSPTSYPASPASCITPRLRKARDDFNAFLEAQRA >OMO56007 pep supercontig:CCACVL1_1.0:contig14563:14999:18199:-1 gene:CCACVL1_26819 transcript:OMO56007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MATSLHSSTSTSRVGFSSQFTGSNLASKSSVSVSFPSSLTNLTFKSVETSRQQSSKICAMSKAESGSFEQLNVDISLSPRVNSVKPSKTVAITDHATALAQAGVPVIRLAAGEPDFDTPAVIAEAGINAIREGHTRYSPNAGTLELRTAICNKLKDENGLSYKPDQILVSNGAKQSIIQAVLAVCSPGDEVIIPAPFWVSYPEMARLADAKPVILPTLISDNFLLDPKLLESKITEKSRLLILCSPANPTGSVYPKELLERIAEIVAKHPRLLVLSDEIYEHIIYAPATHTSFASLPGMYERTLTVNGFSKAFAMTGWRLGYIAGPKHFVAACNKIQSQFTSGASTISQKAGVAALGLGYAGGEAVATMVKAFRERRDFLVRSFGELEGVKISEPQGAFYLFIDFSSYYGVEAEGFGKIENSESLCRYFLDKAQVALVPGDAFGDDTCIRISYAASLTTLQAAFERIKKALVSLRPAVPA >OMO56011 pep supercontig:CCACVL1_1.0:contig14563:63542:65599:1 gene:CCACVL1_26823 transcript:OMO56011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MVLLSAGCRSRAILLFSLLVIIALFNGSAVEARIRRYKWEVKYEYKSPDCFKKLAITINGQTPGPTIRAQQNDTIIVELTNSLLTENVAIHWHGIRQIGTPWFDGTEGVTQCPILPGTTFKYEFVVDRPGTYLYHAHYGMQREAGLYGSIIVGLPEGQSEPFSYDYDRNIILNDWYHKSTYEQATGLSAIPFQWVGEPQSLLIHGRGKFNCSALTTPSLDPGVCNATNPECSPFRLIVVPGKTYRLRVSSLTALSALSFQIEGHNMTVVEADGHYVEPFVVQNLFIYSGETYSVLVKADQDPTRNYWITSNVVSRERKTPAGLANFVYYPNHPSRSPPTTPPIPPAWNNTAPRIAQSKAIKALQGFIHTPPQLSDRVIVLLNTQNEINGRRHWN >OMO56005 pep supercontig:CCACVL1_1.0:contig14563:9269:10784:-1 gene:CCACVL1_26817 transcript:OMO56005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease MEGFSPSQSGSGSGSGSAEIVFFDLETTVPNRTGQRFWVLEFGAIVVCPKKLVELESYSTLIRPKDLSVVPLRSSRSDGITRDAVANAPEFEQVSDKIFSILNGRIWAGHNIQRFDCVRIKEAFAEFGLPAPMPVGIIDSLGVLTEKFGKRAGNMKMASLATYFGLGQQKHRSLDDVRMNLEVLKHCATVLFLESSLPSILNGSTWQSPSMIMTRSRSNTGKLTCREDSSRKSPPTSIGYQRTVPYTRGNLGKMTERVKNLLCKAQGSQPLNNLLKHSHSVLR >OMO56009 pep supercontig:CCACVL1_1.0:contig14563:22900:25189:1 gene:CCACVL1_26821 transcript:OMO56009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MIIMVGISRILSLILLVSSCIFINLSTVPAAATSERKFTWEISYQYKSLDCYKKLAVAINGMTPGPTISAEQGDTIVVDVINNLLMENVAIHWHGIRQRGTRWSDGTDGVTQCAIMPGETYKYTFVVDRAGTFMYHSHYGLQREAGLYGLINVSLPIGVSEPFNYDFDRGIILSDWFHHSAYQHATGLSSITFQWVGEPQSLLINGRGNYSCSGLAASVCNSTNPECLPSALTVISGKTYRLRIASLTSLSALSFQIEDHEMTVVEAEGQYVEPFVVKNLYIYSGETYSVLVKANQDSSRNYWTSINVISRQPKTPNGLAIFNYYPNHFHKFPSTNPPLGPLWNDTRSQIIQSRSIKARQGFVVTPPKKPDRVIVLLNTQNTIDGYIRWSLNNVSHTLPSTPLLIAMKENITDVFDPNPPPEDYDSANYDIYSVPSNTNSVSSSSIYRLEFNSTVDIILQNSNSMTENVSESHPWHLHGHDFWVLGFGEGKFNLSRDNQSYNLVDPIMKNTVPLHPYGWTALRFKADNPGVWLFHCHIEAHFYLGMLVVFESGVEKVGDIPMSNYGCGKTKA >OMO56004 pep supercontig:CCACVL1_1.0:contig14563:3918:7216:1 gene:CCACVL1_26816 transcript:OMO56004 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate anion transporter MASHFTDAPAEQEMVDLEKHDCVERVQWVLNTPKPPGLGHELMETAFSWKKKIPFLNKKSGWKGELLSMLQGMFPILSWCQNYKAYKFKHDIMAGLTLASLCIPQSIGYATLAKLDPQFGLYTSVVPPLIYAVMGTSREIAIGPVAVISLLLSSMLQKLQDPVANPIAYQKLVLTVTFFAGIFQAAFGLFRSGFIVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGITHFTNKTDIISVMKAVWSSFHHHWNPHNFFIGCSFLIFILVTRFLGRRNRKLFWLPAIAPLLSVVLSTLIVFLTKADKHGVKIIKHIKGGLNPSSVHQLQFNDPHIGEIAKIGLIVAIIALTEAIAVGRSFAAVKGYHLDGNKEMVAMGFMNIIGSMTSCYVATGSFSRTAVNFSAGCETAVSNIVMAITVFISLELFTRLFYYTPVAILASIIISALPGLIDLNEAYNIWKVDKLDFLACIGAFLGVLFATVEIGLLVAVTISFAKIILISIRPGTETLGRLPGTDMFGDVNQYPMAVKTPGVLIMRLKSALLCFANANFVRERIMKWVTEQEKDSKGIAEKTIHLVILDISNLMDIDTSGIASLEELHKNLDSNGMKLAIANPRWHVINKLKVANFVDKIGGRVYLSIGEAMDSI >OMO56006 pep supercontig:CCACVL1_1.0:contig14563:12603:14174:-1 gene:CCACVL1_26818 transcript:OMO56006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease MEGSSTSPEIVFFDLGTTVPNRTGQRFWVLEFGAIVVCPKKLVELESYSTLIRPKDLSVVALRSSRSDGITRDAVANAPEFEQVAAKIYSILNGRIWAGHNIQRFDCVRIKEAFAKICRPAPMPMASLATYFGLGQQKHRSLDDVRMNLEVLKYCATVLFLESSLPSKLNGSTWQSPSMIITTSRSNYTGKLTCREDCSRKSPPTPIGYQRTVVPYTRGSLGKMTEIVKNLLCKAQGSRPLNNLLKHSHSLLLKH >OMO89476 pep supercontig:CCACVL1_1.0:contig08705:16109:20138:-1 gene:CCACVL1_07806 transcript:OMO89476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHPQNYTDPWIASKISPILREW >OMO89477 pep supercontig:CCACVL1_1.0:contig08705:21269:21376:-1 gene:CCACVL1_07807 transcript:OMO89477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPSIAVDLCSNISSLEAKGVNRSVRSVRSVSI >OMO89479 pep supercontig:CCACVL1_1.0:contig08705:30673:33336:-1 gene:CCACVL1_07809 transcript:OMO89479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFEKKVSLEESMEIEEEEEEEDSSISKNKEILHSLRKFLDVQQRRAQAYAKLKTGFAEYMNSSGELAYQQLCREITGEFNDCSKQVLEIEALFLNPDYCRVDLAQLLRAVQTQEKQKLHLTATIQVLKKAGRPSERLVSHENCLFKKPMEHECVHVHEITEASGTEDAEANAEYDNALKEAIIGVQDAVTAINEDLEEVRYEIAALEAE >OMO89478 pep supercontig:CCACVL1_1.0:contig08705:22177:29404:1 gene:CCACVL1_07808 transcript:OMO89478 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting-associated protein MYILPLQGPFLYFYPENPALEYLLPRLISFLPFLNLAIGEMANNFFSRAEVTSSGRPVLLRGEVECYLLSSVDLEPEDHHHFSPLKSGLLILTTHRLLWLPSSSTTAPTSAAAIPLHAISHIFSSKKSLKAMFHSPRIRFQVLVSPDGRIFDPGSSSGSSSGSGSGLGSRSIVVTAVIRGKGDCDGFLGKFWDSWRARAWESNETSGPGSGSASGSGTGAGTGGSLYSSDGSVRMVGVAGILRKEQEMWESTDKSLQDAFQDLNALMSKAKEMVMLAEKMRQKLLSGSSQTSATNDEDMGSKEEMQDWLLSVGIISPVTKESAGALYHQQLSRQLADFVRTPLERAGGMINLIDVYCLFNRARGTELISPDDMLQACSLWEKFDVPVMLRKFDSGVMVIQNKSHSDEEVFARIRSLVTKPEALRSGISPSDAAMTLGIAPAMAKEHLLTAESKGLLCRDVSPDGFRFYVNLFPEIDPRDVYLVKEYGICSTWIKAVSTTG >OMP06258 pep supercontig:CCACVL1_1.0:contig05002:111:170:1 gene:CCACVL1_01649 transcript:OMP06258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKKFSSYGIQEGNLKL >OMO53115 pep supercontig:CCACVL1_1.0:contig15324:5632:7356:1 gene:CCACVL1_28872 transcript:OMO53115 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MEGEKGTVCVTGGTGFIASWLIKRLLEEGYSVHTTVRADPEKIRDISFLTNLPGAAEKLKIFKADLSDPDSFDAAIEGCKGVFHVATPVDFGNNEAEEVVTKRAISGALGILKACLKSKTVERVVYTSSASAVVFSNNEVETMDESFWSDVDFVREKMKHFGNYMISKTLTEKAVLEFAAEHELDLVTLIPSFVVGPFICPKFPGSVRSTLALLLGEQYSHLLNTSMVHVDDVARAHIFLLEYPDAKGRYNCSSDTISLQKMAEILSAKYPEFPIPTADSLADVKGKKLPGLSSNKLLDAGFKFIYGVEDMLDGAIKCCKEKGIKDVKLLLNQFEDELVPFENSD >OMP06573 pep supercontig:CCACVL1_1.0:contig04882:2167:2496:1 gene:CCACVL1_01502 transcript:OMP06573 gene_biotype:protein_coding transcript_biotype:protein_coding description:squamosa promoter-binding-like protein 12-like protein MSNATPTEIPRKLRPFTLEAFEAIPDNISDKKEDSKAEPANTSPTLGASVGFGEPLPSLKLGERTYFEDVCAGSTAKTSSYTATSSESPTPAERSKANCQSTHVPRLPS >OMP06574 pep supercontig:CCACVL1_1.0:contig04882:7985:9013:-1 gene:CCACVL1_01503 transcript:OMP06574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHYSDKKARRMGDDRLSALPDSIIHHIFSLMDIESCIRMSLLSKNLKWLWNSLPDLNFDGWRVPNKSKAIRFRNLVLRALYDRDHHVPINSLRLVFDIQYDIEDGHGDFSRKFADYLESPETCIRHLSIVFTLGCVVPSYRMPTLTTLYLEGCDFSRNPNCLDPFASCVNLEQLFLHNCGFSEGFTWKITGPQLLRLEITDLACNGFYDIYKFEIWTPKLLSLRLNLFKPLDFSTLHLPSLKNLDLQIFHATTSNRKTISLLINMFRGFHHAQYVKLCSKIILILSLLHGLAALHKQPPPFTRLKTLTIQRREGDSLNKIPDDVLSYFLKGNSIASVEYE >OMP07612 pep supercontig:CCACVL1_1.0:contig04315:725:787:1 gene:CCACVL1_01273 transcript:OMP07612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGSGRVSYVYRRGIESTKVK >OMO88090 pep supercontig:CCACVL1_1.0:contig09106:28938:37217:-1 gene:CCACVL1_08557 transcript:OMO88090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGEERGGQISVVQALLEELPGQFLTYMRSRNIKPLHA >OMO88089 pep supercontig:CCACVL1_1.0:contig09106:8765:27977:1 gene:CCACVL1_08556 transcript:OMO88089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTDSPSNSKSVLLPLAEHFGNRDYIPYFALARDYESVDVTKGVNYASGWAGIRDESGQRLFHPYHLFYMEAVSAIVGSLAGKAVDYTISPIARQVDGEGEVVKAESICFPKLKHLELSTLKKLESFSSSGNYTFQFPSLQTVQLNDCPNMKMFSPADPSTPSLFKVIFGPIFGGKERYSRSLNRAVQQMFEKQESEAKDDKENSEIKDEEDLTSPEH >OMO94679 pep supercontig:CCACVL1_1.0:contig07816:32278:34656:1 gene:CCACVL1_05889 transcript:OMO94679 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribonuclease Z MSQFSVQNVTSLEDDDLDMMSPFDSNHVSPSYNAAIGNKKAKKNKKSVDKEKDVGEGIKEAIEKVVDAIRTSGELLGRLQHRPLPQTSSPFESFRLKTFERSFLFSYSTLSRPKN >OMO94677 pep supercontig:CCACVL1_1.0:contig07816:1470:7255:1 gene:CCACVL1_05887 transcript:OMO94677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAELDPLATAKWQQALEEKDKAIERKHRSVSTMKFKANDGENVDGDGE >OMO94678 pep supercontig:CCACVL1_1.0:contig07816:19933:22258:-1 gene:CCACVL1_05888 transcript:OMO94678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCSIGKNQRMYHRVAAMVYRIGVLPDFRLAPKFSDLSFSLP >OMO94040 pep supercontig:CCACVL1_1.0:contig07997:3738:6239:-1 gene:CCACVL1_06221 transcript:OMO94040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFADAGADKDGRVNKEEWKACICASSYVLKITEGASKYFPG >OMO86386 pep supercontig:CCACVL1_1.0:contig09493:6623:18649:-1 gene:CCACVL1_09608 transcript:OMO86386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGLMSFSCLLTCRRISSNNFTGKIPDFFPSWKQLQKLEFQASGFEGPIPPSISSLSNMYELRISDLNGGVSKLPNLRNMSNLFRLMLRSCNISGQIPPYLFQMPNIQNIDLSFNRLTGNITNVTRFEKMQSMYLTNNSLTGPIPDWIKSIKVSSQVDLSYNNFSEDSEPPTCRETVNLFKSSSGGKNLQLSECLGNYPCLKDRYSLYINCGGEKVTIGGIDYEADNDTGGAAKYFPMISNWELSSTGHFWDMSNKTSVDSVYLAQNISVLRMNDSELYTTARLSPLSLTYYIRCLGKGNYTVKLHFAEIVIRGNSSFYSLGRRIFDVYIQGNLRLKDFNIKRTAKGVDKEYVHEFKAVAVRDKTLEIRFRWAGKGTTDVPKRGTYGPLISAISVKSEFKPPNDRQKKILIVVGAVVLFLLLVFMILGALWWKGLWDRISREEGNALVEQQKGNLMELVDPKLGTEFNKEEAMTIIKVALLCTNSSPALRPTMSQVVSMLEGRTAVHEITSTDPGFFGDQIGFKSFSNFDQSPIRSSDTHSLVRSSDSHSLVRSSDSHSLTAISVGRQFNKDNLNHSEESSVETSGQAMEMTRSPVLLLSLMIITVMSMEALKVEAQAAGELSRDEGNNHTSWKTPKSADRPLLNNTLLCNCSFPGNVCHVEMIVLNANNLTGKLPQSLTNLTKLTELRISSNNFTGKIPDFFPSWKQLQKLEIQASGFEGPIPPSISNLSNMYELRISDLNGGVSELPYLRNMNSLFRLMVRSCNISGQIPHYLFQMPQVQIIDLSFNRFRGNIPNVATLDRMEKMYLTNNSISGPIPDWIKSLSSRSQADLSYNNFSEDSVPPTCRETLQLSECLGNHPCSKDRYSLYINCGGGKVTVKGIDYEADNDQGGEAKYVPINNNWEVSSTGHFWDTDITSNDYVAHNVSVLRMNDSELYTTARLSPLSLTYYIRCLGNGNYTVKLHFAEIVIRDNSSFYSLGRRIFDVYIQGNRRLKDFDIENTAKGVDKEYIHEFKAVTVRDKTLEIRFHWAGKGTTAAPKRGTYGPLISAISVKSKFNPPNDRQKTIFIVVGAVVLFLVLVFMILGALWWKGLLWDRISREEELRGLDLKTGIFTLRQIKAATNNFDAANKLGEGGFGIVYKVTYNFKSFLFSNTLLTL >OMO95914 pep supercontig:CCACVL1_1.0:contig07575:8755:8898:-1 gene:CCACVL1_05177 transcript:OMO95914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIEKGKRKALPELWRQSFAVGSGGGHGGMVEEGGGTDLKDKKLRTRV >OMO69250 pep supercontig:CCACVL1_1.0:contig12085:21029:21253:1 gene:CCACVL1_19581 transcript:OMO69250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGVWASKWQEELKSTGDDAAMNEGSNPKTPKDCVFDPFAPGPEENLLAPPRRIRKYVDQMSLKFDYSVDKRF >OMO69252 pep supercontig:CCACVL1_1.0:contig12085:46009:46684:1 gene:CCACVL1_19583 transcript:OMO69252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGETSERPITKLGRLVLTVSKLRFHCRVNKSLVEGPLSGDFIVAALHCQRSSAVYMNSVGPRKALHHKLEKKWSS >OMO69249 pep supercontig:CCACVL1_1.0:contig12085:13380:16578:-1 gene:CCACVL1_19580 transcript:OMO69249 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative actin binding protein MVNVPPPPITPTAPPPYWPPPTPGPSYSPPPAPGPPGNHNTVYIAVFVSLGGAFFLAFLAAGLFCLAKQRKKKVIIPPPIEESACVAVEEQRHVHETITTGPCGEQTVTLTVDDDVRVHEIAEVGVAAIASPHASHGHAEGHHLKTG >OMO69253 pep supercontig:CCACVL1_1.0:contig12085:48234:50450:-1 gene:CCACVL1_19584 transcript:OMO69253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIYRQGRLVFTHCCNSLYFSTDRSAEGSVETWSDGKENIISGVISRASTAVRLNVRYSLSLEYKENVISMRQPADTLYVSWSALAVRRIPSGLPSAAVYPMCISFPQVSSPISIKAQGQAFNACDKIPFNPCGEVKFVADELSAGELVVQLTVGDLLAKFAAGDPAIGIY >OMO69251 pep supercontig:CCACVL1_1.0:contig12085:31573:43546:-1 gene:CCACVL1_19582 transcript:OMO69251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLSLLLESSRRHLIARTRRRLVANEAASAIVATPMMYLGLGMPQGRCKTI >OMP02759 pep supercontig:CCACVL1_1.0:contig06187:5239:5325:1 gene:CCACVL1_02709 transcript:OMP02759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDVKECFITGSRRMLESLMNILSRIG >OMO73299 pep supercontig:CCACVL1_1.0:contig11269:15087:15930:1 gene:CCACVL1_17340 transcript:OMO73299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQDRSKVKVDEDEACQTFEYIMPSCRLLLLEPGQEEKFVSEEELKAKLKGWLENWPGKTLPPDLARFVTLDEAVSYLVRSVCELEIDGDAGSIQWYEVRLE >OMO73300 pep supercontig:CCACVL1_1.0:contig11269:17655:23700:-1 gene:CCACVL1_17341 transcript:OMO73300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant MEQVIEKPFNSGPIQSENAELENKTPPQNQKIDQNSQDSGNDLKKTCTPDRLKVPKAFKYPERYRSPTDSMMSPVTKGILARNRKGAGSLLPPSINQTKMDREYILRIYHGGRFVRDPDLSYVGGEIVQARNNPDTMNYIELEAIITRTLRYREMGYVYFRDPDDPDFNESMRLVWDDSSTIRMINAWEKYGEIDLYVDHLDENPEVVQQVDDGLNAVGGEGLNAVGGEPGINAVGSEGLNEAPQVGVEIEVEAEVYDALGDVNLGAEDEVAEAEEEVENDFYDFAEAEGDESEVQSDDEDGDKGVFKDGFSVRVRGLSDGENDEELQRALNRKLKKGKGPQIRISIPVEGVSDNENDHLLHYVRLQQQKDVGEGTSHQVEDNYEVDHYQEPETKDDEYPRYDPSLDLPEFETGMFFTDVYEFRAAIRKYALAKRREVNFLKNDKTRVRVKCEGSKNCPWFIFASFDKRSGGFQVKEYIDNHTCCENFTNKAAKASMVAEKMYQTIRDNPKMSLKQIQAKEFSMLWDYANELLTKNPGSTIKLSTQRVTQYSPIHFRRLYICLDALKRGWKEGCRPILGIDGCFLKGPYKCQVITAVGKDSNDQMYPVCWAVAETEYREVWEWFLNLLKDDFDMCDGLRYTFMSDQHKGLESAMKEVLPRAEHRNCARHVFSNWSGRLHGKTYEAMYWQIVKASTPVQWEERFDLLKLMDKTRADELKAKQKNPKLWARAFYGRRVTKAIITMLEEIRVQTMTRILQKREWVHTWHDDYGPLVKEKFAKQKKEGKDLYLQELANLRYSMCSCLQCNLAFGGDPDEYLHPCYHKDTYIKTYSYGLKPINGPHEWVKTGKPALLPPIIPRAPPGRPKMNRRKGKNEPKKTPTIGKLSKKGTQNSCTLCGGVGHNIRSCQLRASTNGIHAANIETRQPNPILGVAPPPNDVGPSGSKGKGPLKPKAKAPSSTKGQGRAAGIVISKGRNLQTRPRTEATTQEKGKKKVVGSKHDKGKKPVECQNEAHTFVRPCRQRKPSFKAMGLASGYSTCKTIAATKAASTMTMAASTMTRAGSTSATHSLTTNTQTKGKRKIVEDPVGTQESIKKKK >OMO73297 pep supercontig:CCACVL1_1.0:contig11269:9732:10965:-1 gene:CCACVL1_17338 transcript:OMO73297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPEILNKKCEFSGIKQMGSLRSWSDRVEAMDDKEEGSKHMTEFEVSNKPHSRDGSSASNSSSEQQNPGNYDESSNFEFISSPEESNQNQKPSKKIAEQVHAEGYEPNRIPSSIFNSKPATPMDWSTASNESLFSIHIGNNSFSKDQFFALYKSGELTKLDEHIITQGLPHPSELESITMKNVHHVVNAGEIAKKDDNNHNHNHNHNQPKMAPIEDVSPEITKNTPTIDYGHQVAPNHSSSSQGQGQEEVHKSHVKSISGRSDGSNNSALSFNFPVLTDPGRLSNVNDQEQNIKGLKTKSAEQQPEKQTKEEVPPSPQSPATTAPQNSSSRSWFSWFYCCRCS >OMO73298 pep supercontig:CCACVL1_1.0:contig11269:12737:14725:1 gene:CCACVL1_17339 transcript:OMO73298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKNRINFFDVVGLYIELKEEQIVIGNW >OMO77122 pep supercontig:CCACVL1_1.0:contig10816:3303:3569:1 gene:CCACVL1_15199 transcript:OMO77122 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 protein MSLDLKGGDRQELIWKKGTPTPMAAARVSIEQKEESKGNEENVKLIKGYRQKVEEELSKIRTGILGIIDKHLILSSTSGEATVFYYKM >OMO77123 pep supercontig:CCACVL1_1.0:contig10816:5874:9508:-1 gene:CCACVL1_15200 transcript:OMO77123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGKVSAIVYITVALLILFLISHSPKKHSSHNRHRRLKLRSSYTFNKPTTHHEPVAFDPLVADIERRREDRQWEKQYFEHSHPEFTHEPAPGEESQPEWEDFMNAEDYLNDEEKFNVTNRLVLLFPKIDADPADGFVTEHELTEWNMQQAEKEVLHRTQREMDIHDKNHDGLISFAEYEPPSWVKNDNTSVGFDMGWWKEEHFNASDADGDGLLNITEFNDFLHPADSKNPKLLQWLCQEEVRERDTDKDGKVNFNEFFHGLFDLVRNYDEEGHNSSHPSDDSMEAPAKRLFSQLDKDGDRLLSAEELLPIIGKLHPSERYYAKQQADYIISQADSDKDGRLTLLEMIDNPYVFYSAIFSDEDEDDYEYHDEFR >OMO81024 pep supercontig:CCACVL1_1.0:contig10249:5912:8593:1 gene:CCACVL1_12655 transcript:OMO81024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MSWKHASASNWHVFHRARCICWDKHRYCAFVGINIGTDVSSMPPSSDVVAILKAHQITHLRLYDADAHLLKALADSGIEVTVGVTNEEVLGIGQVPARAAAWINKNVASYVPSTNITAIAVGSEVLTSIPHAAPILVSAMNNLHKALVASNLNFQVKVSAPQSMDIIPKAFPPSTATFNTSWNSVLYQLLQFLKNTNSYYMLNAYPYYGYTNENGIFPIDYALFKPLPAVKQIVDPNTLFHYNSMFDAMVDATYYSMQGLNFSGIPIVVTETGWPWQGGPNEPDANVENAQTFTNNLIQRVSNNSGPPSQPTIPINTYIYELFNEDKRPGPISERNWGVLFTNGTAVYPLSLIGSSQITANSTGVFCVAKPDASEDKLEDGLNWACGQGQANCSAIQSGQPCYLPNDIKSHASYAYNDYYQKMRSVGGTCDFDGTATTTTIDPSHGTCVYTGSTNSTAGDGFLPPTALGPVSPLGESIKLPVSKIQFLISAACLAVVLL >OMO81026 pep supercontig:CCACVL1_1.0:contig10249:13055:17044:1 gene:CCACVL1_12657 transcript:OMO81026 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MGKSIAEVGLEDLCKAGGSSLSKEEATQIYQVIREAIAIAGGSKGFDDPDPREVWREVVGRRVLEPWHPHQLHQLVYYSVYANWDASIHGPPLYWFPSLYQSKLTNLGRMMEVHGPKLLGTAYKDPIASFSLFQKFSVQHPEVYWSIVLEELAVVFRDRPRCILDKSDKSKPSGRWLPGAVLNVAECCLSPCSHPRKEDDSIALVWREEGSDDLDVNRMTLKELREQVMLVANALDATFSKGDAIAIDMPMTVNAVVIYLAIILAGFVVVSIADSFAAKEIATRLRVSNAKAIFTQDFILRGGRKFSLYSRVVEADPYKAIVLPVSGNNVGIQLRKQDLSWQEFLSSANQSPRPNHYSPVYQPIDSTINILFSSGTTGEPKAIPWTQLSPIRCSADGWAHNDVKVGDVYCWPTNLGWVMGPVLIFACFLNGGTMALYHGSPLGRGFTKFVQDAGVTILGTVPSLVKSWRSTNSLEGIDWTKIRCFCTTGEASNVDDDLWLSSKSYYNPVLECCGGTELSSAYIQGSLQQPQAFGAFSTAAMTAGLVILDEHGHSYPDDEACVGEMGLFPLYLGATDRLLNADHEKVYFKGMPMHNGMRLRRHGDILKRTAGGYIFVQGRADDTMNLGGIK >OMO81025 pep supercontig:CCACVL1_1.0:contig10249:9265:11795:1 gene:CCACVL1_12656 transcript:OMO81025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MKRCVEEFESKSERLMKEGKLDEEEHYYSKKRSRVIVMIGGGGRPSSSSAAATAAGGTWVSTTSVSASGKRIQREMSELNADPPLHCSAGPKGDNLYHWVATIIGPPGTPYQGGIFFLDITFPSDYPFKPPKVVFKTRIYHCNVDAAGNLSLDILKDSWSPALTVTKVLTAIRAIFTNPDPYNPLISGIARLYLTEKAKHDEIAAEWTLRFAK >OMO81027 pep supercontig:CCACVL1_1.0:contig10249:44948:45241:1 gene:CCACVL1_12659 transcript:OMO81027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKSIGEVGIEELSKAGGISREKAKVIHGVIKEAMAKAEGSKGKGWESREVWKEVVRRKVLKPWHPHSLHQLVYYSVYANWDASINGPPLYWFPSL >OMO85010 pep supercontig:CCACVL1_1.0:contig09673:13276:15267:1 gene:CCACVL1_10478 transcript:OMO85010 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ran binding protein MSSTPKTDPEEHNREDEENAPAADDEDTGAQVAPIVKLEEVAVSTGEEDEDPILDLVAVYISSVNSVLVMIVCASNCKSFMETVQEVAESQGKKEENKDATDTADLLEKLSVGENKGDEKEKEEKCTAAEDKEAVESKAKTEADKEDESASKA >OMO85008 pep supercontig:CCACVL1_1.0:contig09673:6603:7080:-1 gene:CCACVL1_10476 transcript:OMO85008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress induced protein MSSEQQRQELDARARQGETVIPGGTGGKSLEAQEHLAEGRSRGGQTRKEQLGREGYQELGSKGGQTRKDQIGTEGYQEMGRKGGLSTTDKSGGERAAEEGIDIDESKFRTRS >OMO85011 pep supercontig:CCACVL1_1.0:contig09673:15756:16492:1 gene:CCACVL1_10479 transcript:OMO85011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRDYLEGLAHAQVASRAPHHISLLRFFATVELRAFLATYCIG >OMO85009 pep supercontig:CCACVL1_1.0:contig09673:9016:12467:1 gene:CCACVL1_10477 transcript:OMO85009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDEREEKELDLTSPEVVTKYKSAAEIVNKALQLVLKECKPKTKIVDICEKGDSFIREQTGNMYKNVKRKIEKGVAFPTCVSVNNTVCHFSPLASDTSELEEGDMVKIDMGCHIDGFIAIVAHTHVVQDGPVTGRKADVIAAANTAAEVALRLVRPGKKNKDVTDAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNPDTRVDDAEFEENEVYAVDIVASTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKTSRFIFSEINQKYPILPFTARALEEKRARLGLVECVNHELLQPYPVLHEKPGDYVAHIKFTVLLMPNGSDRVTSHPLQELQPTKTIDDPEIKAWLALGTKTKKKGGGKKKKGKKGDKAEESAEAEPMDASNGAES >OMO85007 pep supercontig:CCACVL1_1.0:contig09673:2087:5818:1 gene:CCACVL1_10475 transcript:OMO85007 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family MSSVLNTVSSLRLSGIYTSNNNNHLRVPTHSVFTPASVSFTRRRLTVRAADTDTNEVKSKAPDKAPASNGGSSFNQLLGIKGAAQETDIWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWNLEDVAKSILCMIMSGPCLTGYTQTINDWYDREIDAINEPYRPIPSGAISENEVITQIWVLLLGGLGIAGILDVWAGHDFPIVFYLALGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIIVLTLLYSIAGLGIAIVNDFKSIEGDKALGLQSLPVAFGAETAKWICVGAIDITQISVAGYLLGAGKPYYALALLALIIPQVVFQFKYFLKDPVKYDVKYQASAQPFLVLGLLVTALATSH >OMO98250 pep supercontig:CCACVL1_1.0:contig07143:22888:22974:-1 gene:CCACVL1_04276 transcript:OMO98250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRSDRNQVGKRGGDGLELREAASKWS >OMO87666 pep supercontig:CCACVL1_1.0:contig09206:4807:4881:-1 gene:CCACVL1_08837 transcript:OMO87666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELGQPEKIDRPVAIQSIRVGVGFGV >OMO97261 pep supercontig:CCACVL1_1.0:contig07255:19280:26855:1 gene:CCACVL1_04617 transcript:OMO97261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKYHYNSSLHVHVALFVREVGNRKKRLWKETKKNRNALTIALIFLIDLKNSQQTITFNDPLRIPSFRANWSPARIAKISA >OMO97260 pep supercontig:CCACVL1_1.0:contig07255:7931:9821:-1 gene:CCACVL1_04616 transcript:OMO97260 gene_biotype:protein_coding transcript_biotype:protein_coding description:f-box family protein MGHLQCPKFPLCTSLKTLTLEECPLIFEDDHFSSCLNLETLTLRRCYPYVKRVIKISAPRLVNLSLVEFPNYNYENDDGFWKLEISAPMLSSFCYRNSTMFPMKLSMDGCPILDKVDMFLDCSYRRMKHVEFLHVLFQEIIFHAKHLSVAAGLWWTDLEVSLNCFDPFLVFPSSMAAISKKSKAVEENEMDR >OMO97262 pep supercontig:CCACVL1_1.0:contig07255:29849:30963:1 gene:CCACVL1_04618 transcript:OMO97262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELESNQVEGFRSTSLREDTAHKFMERKAIDDSLGDSEEEENDRTYYLNKTEDIKEEEEAAQKTLKSSGKAQKIKEMNPKKKKTRQPHNRVSERVEELQLQRQEMKNKKTLNGKRM >OMO75943 pep supercontig:CCACVL1_1.0:contig10948:4063:4161:1 gene:CCACVL1_15978 transcript:OMO75943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSPMYIAFLGLTKARDLLPQPLSFKLPAKHIAE >OMO51298 pep supercontig:CCACVL1_1.0:contig15888:7498:7620:1 gene:CCACVL1_29875 transcript:OMO51298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESLLAATQAKQDAACQTNLKSWSPIRAVLQTQILAPST >OMO51297 pep supercontig:CCACVL1_1.0:contig15888:1466:1549:-1 gene:CCACVL1_29874 transcript:OMO51297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGWSRSPDVVVVKAKQQFTREDREA >OMO51299 pep supercontig:CCACVL1_1.0:contig15888:8686:8859:1 gene:CCACVL1_29876 transcript:OMO51299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENGISLEPEVVADPDPEPVGTPPASKVADSAGDDDHEEGEEGGNIRLGGKCQRGK >OMO76095 pep supercontig:CCACVL1_1.0:contig10923:28417:29092:-1 gene:CCACVL1_15926 transcript:OMO76095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGANYREVNGAWREAVGGGAAAKEEEAEKSYAKQEDIEFHFPYMGRA >OMO76092 pep supercontig:CCACVL1_1.0:contig10923:22766:24909:1 gene:CCACVL1_15923 transcript:OMO76092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MQLVSNDSRKEDISEREPILCQSDTSQRSEECSSSCEITAIAGDSVVVDDLDDIDVDETCHLVNVDHPQCRICLDIGGDDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFAHCTECRALFILRANVPPDRWWLRLKFQFLVARDHAFIFVIVQLIVAFLGVLVYKFYGEELREMFGYDEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREGNKNTPELDPSHVTELRMLGLY >OMO76091 pep supercontig:CCACVL1_1.0:contig10923:15891:18498:-1 gene:CCACVL1_15922 transcript:OMO76091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHKLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFDIPTDGSRSRKSGPINSAPSRTGSFGGAASHSGPIMPNAAAPRAGYTTSGPVAAGGMSGSASLKKSNSGPLNRHGDPVKKSSGPQSGGVTPSGRQNSGPIPPVLPATGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKLKGSAVVHNQAVTVLSQDDDFSFKRNFPKPILWALILLFVMGFIAGGFILGAVHNAILLIVVVILFGAVAALFAWNSCWGRNAIMGFIARYPDAELRNAKNGQFVKISGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHRRFTWGLRLLERRAVDFYISDFQSGLRALVKTGYGARVTPYVDDSIVIDVNPTNEALSPEFVRWLGERNLSSDDRVMRMKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPEPITTGCQWGKCTFPASLEGIVLRCEDTSNNDAIPV >OMO76094 pep supercontig:CCACVL1_1.0:contig10923:27572:27980:1 gene:CCACVL1_15925 transcript:OMO76094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S17 MKSVVGVVVSNKMQKSVVVAVDRLFHHKLYNRYVKRTSKFMAHDEKDQCNIGDRVKLDPSRPLSKRKHWVVAEILKKARIYVPPSVDAANSSIKNEAPPSTS >OMO76089 pep supercontig:CCACVL1_1.0:contig10923:1948:7581:-1 gene:CCACVL1_15920 transcript:OMO76089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKERTPTMSSVAMPYTGGDIKKSGELGKMFIPTDGSKSRKSGPINNAPSRTASFGGAASHSGPIMPNAAPRAGYTNSGPGSTGGMSGSASMKKSNSGPLNRHGDPVKKSSGPQSGGVTPIGRQNSGPLPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKSHGSAVAHNQAVTVLNQDDEFSFKKNFPKPILWSLILLFVMGFIAGGFILGAVHNAILLIVVVILFGTVAALFAWNTCWGRRAILGFIARYPDAELRNAKNGQFVKISGVVTCGNVPLESSFQKVPRCVYTSTSLYEYRGWDSKAANPTHHRFTWGLRLLERRAVDFYISDFQSGLRALVKTGYGARVTPYVDDSIVIDVNPANETLSPDFVRWLGERNLSSDDRVMRMKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPEPMTTGCQWGQCIFPAGLEGVWFLGSDVESQQTRQNPSSREASGTISEYLKGKREGGVGGGGLMLKLSSLFRNPDDMIT >OMO76090 pep supercontig:CCACVL1_1.0:contig10923:10881:14491:-1 gene:CCACVL1_15921 transcript:OMO76090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MVRQTGKQTPRGTARLVLICVGLLGIGLIADFFWASSSRFNTSLSFSNTWSPPPNTALLSLPSKAKSKEEKGKNESQRVLLSATFADLPAPELKWDKMADAPVPRLDGAAIQIKDLLFVFAGYGTIDLVHSHVDIYNFTDNTWGGRFDMPKEMAHSHLGMVTDGRYIYVVTGQYGPQCRGPTAHTFVLDTETKTWQDLPPLPVPRYAPATQLWRGRLHVMGGSKENRHTPGLEHWSIAVKDGKALEKEWRSEIPIPRGGPHRACVVFKDRLYVIGGQEGDFMAKPGSPIFKCSRRNEVVYSDVYMLDDEMKWKTLPPMPKPDSHIEFAWAIVNNSIVIIGGTTDKHPVTKKMILVGEVFQFNLDTLKWSVIGKLPFRVKTTLVGYWKGWLYFTSGQRDRGPDDPAPKKVIGEMWRTKLKLNP >OMO76093 pep supercontig:CCACVL1_1.0:contig10923:26296:26364:-1 gene:CCACVL1_15924 transcript:OMO76093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRGLRDCGKFCNATKEENRR >OMO71020 pep supercontig:CCACVL1_1.0:contig11750:21270:25125:-1 gene:CCACVL1_18499 transcript:OMO71020 gene_biotype:protein_coding transcript_biotype:protein_coding description:UV radiation resistance protein/autophagy-related protein 14 MENREQQDRDKTLITDAKQINVAEGNAKVIEWEDFEHELARLWSLSSALKEANEKKQSLQEKLQAFIQVKNESLNKLNELEEMRERLEARKVVMENMSARCKVAKEDAKSREEMLSTEVRALLVAGTSLSVAKKRLQESNRLLTEERGYIKLKNVQRKLRARQQYMISQLSLLYPVKIIVGPAQEQELESYPGSSRLGNSSVSKPINQGSLTILGLHLSMLPFTKMSFFTDKKEVQRSATALGYVAHVVSLIASYLQVPLRYPLRLGGSRSYINDYAPSVEPTSSDLSWDTAITANLKPAEFPLFLEGQDTTRAAYAVFLLNKDIEQLLNFIGVKSLGPRHVLANLKELLRTVQSSEYIDT >OMO71022 pep supercontig:CCACVL1_1.0:contig11750:31554:32942:1 gene:CCACVL1_18501 transcript:OMO71022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIGRVFERKSSPAKATSSFSFRKAKPFISSRKTKPSISNGSSSSSASSFRKPKPRPSSSSKTSTFSFRQTKPHISNGSPSSQDPLKTHFASLIRSSKNILQLRQIHAQVFHRNLSSSSNLTTLLISVSSSLKSVPYALSVFNHFHRKSLFLFNALIRGLTDNSNFQSSVSHFLLMLSHGVRPDRLTYPFVLKSIAGLGLRCLGLILHGRIIKCGFEFDSFVRVSLVELYVKLEELGLAFQVFDESPERIKNGRILLWNVLINGCCKVGNLRKAMELFKAMPERNIGSFNSLINGFMRNGDSGEAMVLFNEMKEKDVISWTTMVNGFSQNGDHEKALSMFFKMLEGGLKPNNLTLVSALSACAKLGALEAGVRIHNYFLENGFQLNKAIAPALVNMYAKCGDIQSACKVFDETKEKDILTWSVMIWGWAIHGYYEQAFQCFKKMMFSGVDFVVYVDLNYSF >OMO71019 pep supercontig:CCACVL1_1.0:contig11750:4762:4833:-1 gene:CCACVL1_18498 transcript:OMO71019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEKMIHRQILHHLHLPETTVD >OMO71023 pep supercontig:CCACVL1_1.0:contig11750:38254:42392:1 gene:CCACVL1_18502 transcript:OMO71023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKRVPDWLNSSLWSTTPNDDRLQRYSPSPATATATTTTTTATTASAAEPTVQPPVPVPPPVAPSRPQSTSTPPKSESRDPLHSNSSYDSNNNDQNGGSSGISPEDISRQAQLLAELSKKVVNMRELRRIASQGIPDGAGIRSTVWKLLLGYLPPDRGQWLSELSKKRSQYKHFKEELLMNPSEITRRLEKSTGSDNDEFKSESSGMLSRSQIPQGEHPLSLGKSSIWNQFFQDSEIIEQIDRDVKRTHPDMHFFSGDSQLAKSNQDALRNILIIFAKLNPGIRYVQGMNEILAPLFYVFRNDPDEEMAAAAEADTFFCFVELLSGFRDHFCQQLDNSNVGIRATITRLSQLLKEHDEELWRHLEITTKVNPQFYAFRWITLLLTQEFNFADSLHIWDTLLSDPEGPLETLLRICCAMLILIRRRLLAGDFTSNLKLLQNYPSANISHLLYVANKLRTQGSG >OMO71021 pep supercontig:CCACVL1_1.0:contig11750:25873:31114:1 gene:CCACVL1_18500 transcript:OMO71021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSLKCGDCGVLLKSVEEAQEHAELTSHSNFSESTEAVLNLICSACGKPCRSKTESDLHTKRTGHTEFVDKTSETAKPISLEVPKVAATDSEEAVGEGSSSQSEEMVVPEVDKKLLEELEAMGFPTTRATRALHFSGNASLEAAVNWVVEHESDPDIDQMPMVPLNKNAEPPKPSLTPEEMKLKAQELRERARKKKEEEEKRMEREREKERIRVGKELLEAKRIEEENERKRLMALRKAEKEEEKRAREKIRQKLEEDKAERRRRLGLPPEDPAVTKPSAPVVEEKKSFVPVRPATKAEQMRECLRSLKQNHKDDDAKVKRAFQTLLTYIGNVARNPDEEKFRKIRLNNQAFQDRVGSLKGGVEFFEICGFEKVEGDQFLFLSRDKVDMQVLNSAGSELNSAINNPFFGVL >OMO71024 pep supercontig:CCACVL1_1.0:contig11750:67958:72333:-1 gene:CCACVL1_18503 transcript:OMO71024 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MRRPGLHRQHGKQGGGPKGIYAKLTIAVVVLLICTLSLLFSATISGNRGSLEPSEINVEELWESANSGGWRPSSAPRSDWPPPPRETNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPELDANSFWHDDSGFQGIYDVEHFIQTLKYDVRIVESIPEIRKNGKTKKIKAFQLRPPRDAPISWYTTTALKKMQEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPNIMKLSELIVDKLRAQGHFMSIHLRFEMDMLAFAGCFDIFNPEEQSILRDYRKKNFAEKRLVYKERRAIGKCPLTPEEVGLILRAMGFDNSTRIYLAAGELFGGERFMKPFRDLFPRLENHSSVDSSEELVTNTQGLLGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKGLAPIFIDRENGQTAGFEEAVRRIMLKTNFGGPHKRVSPESFYTNSWPECFCQVSPENSAHKCPPDNVLEILDSQLENEVTNDREALAQTNSSRTER >OMP12348 pep supercontig:CCACVL1_1.0:contig00115:328:945:1 gene:CCACVL1_00030 transcript:OMP12348 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 MCILIHSGFVAEPKQHTSQSDEVEKGYVLTLAGRLLLKDSEMSSRPFLLGALDPVLMKPWQSFGAWFQNGDANPSAFATSHGKPFWDYAEYEPRINHLFNEAMAGVSLLIAKVMITKCKGFFKGLKSLVDVGGGTGTITKILANIFPEMDCTVFDLPHVVAGLQGEGNLKYIGGNMLDKVPFGDAVMLKVNFALYCCCVNQFLGI >OMO81698 pep supercontig:CCACVL1_1.0:contig10122:52363:60277:1 gene:CCACVL1_12285 transcript:OMO81698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MACEDVVFGEGPDDLLLQELDILELTTEDESHLMLKEWPVDAILEEIDFTLSEFWVQVHNLPLAYMTKANAEKIAATFPGLVELDFDSEEPVRWNGVLRMKVKVNVEDPLKTGFYLQRKYKPSSWVSFKYERLPDFCFHCGRLGHVNKDCKFRDDGRKKGSYGHWMKANQLKKASVFKGAPSKNPNFHKRKEGEEEAARSIHVEGASAKL >OMO81699 pep supercontig:CCACVL1_1.0:contig10122:61200:69641:1 gene:CCACVL1_12286 transcript:OMO81699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MGYLGGYYVEPRGRSGGLALWWLDEFNLEVLAVSKNFIDIKTDLGGKEVWFCTFVYGDPRRGGRREVWEDLSRLRNRLEDKWCCIGDFNIVSSEHEKSGGLPIDRVQADMFLNFISECNLLEIDVQGANFSWSNNRTGEDNILEKLDKVYANVEWSNLFSEALGCYDVSLASDHLPLILSLEKIKKGRRDFKFESKWLLDQECSNVVVEGWNSHVDGSRMFRFSKKLSSTRNCLRNWSKVDEYASTDFKSVARKFLWCNYRKRKMKKEEKDEKGLCLCS >OMO81697 pep supercontig:CCACVL1_1.0:contig10122:36999:37088:1 gene:CCACVL1_12284 transcript:OMO81697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQEQSSTTTTHLTADHLAGTPNRHQSF >OMO95854 pep supercontig:CCACVL1_1.0:contig07594:34352:44604:-1 gene:CCACVL1_05215 transcript:OMO95854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanyl-tRNA synthetase, class IIc, beta subunit, archae/euk cytosolic MPTVSVGRDRLFAAVGKTYTREEFEDLCFRFGIELDDVTTEKAIILKEKHLEKEQPSADDEVIYKIEVPANRYDLLCLEGLAQALRIFNGQDEIPRYKVANISKESMLKMCIKKETSPIRPFVVCAVLRGITFDEASYNSFIDLQDKLHQNICRKRTLVAIGTHDLDTLQGPFTYEALPPLEINFVPLKLVKNFRADELMEFYKSDLKLKKFLHIIEKSNVFPVIYDRNRTVLSLPPIINGAHSAITLKTKNVFIECTATDLTKAKIVLNIMVTTFSAYCERKFEVEPVEVIDADGKSSIYPDLSEYKMEVPLSYITGTIGVPLEVDEVTSLLNRMQLQANRGGLGDTINVSVPPTRSDILHACDVMEDVAIAYGYNNIPKRKLSSLKPLSLNELSDLIRYEIAMNGFTEVLTWILCSKKEIFEMLNRRDDKSSAVIIANPRSSDFEVVRTSLMPGMLKTVGHNKDHPKPIKLIHGLVDRVMEVMGTPFVPVGDNTGYYIQLSDEPEFLSGRQAKIIYKGSRIGIFGIVHPEVLNNFDIPDPCSFLEIYIENFL >OMO95853 pep supercontig:CCACVL1_1.0:contig07594:29927:30106:-1 gene:CCACVL1_05214 transcript:OMO95853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKDDIKYAVGEARLSEDEGVRVGYSMALLLKVAKLLILPLLTSSLLPTTSILNLNL >OMO95855 pep supercontig:CCACVL1_1.0:contig07594:55287:55880:-1 gene:CCACVL1_05216 transcript:OMO95855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHDGLTGQRGGILLTNGKLVENNNNDVAKTSAIGAMRNKEGLMNKDEMLFQVQIEMHRILNEAYEEERNYQFNCQWDRGPDTKHNENESHGSQKSSPKTKRSPEDEEEQENNSGGMIRRRLQRQDMNGDEASREVEPIEDAEGLWKTVLEQFRNES >OMO95856 pep supercontig:CCACVL1_1.0:contig07594:79715:92200:-1 gene:CCACVL1_05217 transcript:OMO95856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKNKLFFSSSKKSDSSSPDGSNSPRSASNSPIRSDKKKPKASTSKLDSQQIPNSTSPFSPVACKQTQVKDGLRRKELKPKEQTQPQPQPQPQPQSQSQSQFQSQTQSPVSKPSSSTASKKPETKEGPSSVSPILASSLGLNRIKTRSGPLPQESFFSFRGDKSAAASVLGASNLSRPGGSSTGGAGSGSAGDGSSGKSGSWKKDGLNQRLFQESLLDNASNSDSMSTGSGGGGWHSREQSPSVQGKSRLQNGESSSGRNESSWGRSGGLKSSEFCTPETSYDCENPKESESPRFQAILRVTSGPRKRFPADIKSFSHELNSKGVRPFPLWKPRRLNNLEEILKVIRAKFDKAKEEVNSDLAIFAADLVGVLEKNAESHPEWQETIEDLLVLARSCAMTPPGEFWLQCEGIVQELDDKRQELPPGTLKQLYTRMLFILTRCTRLLQFHKESGLAEDEPVIQLRQSRVLHPIEKRSSSGVLREAKSLSASKVSKAASSKKSYSQEQRALDWKRDHVVLPEGLIIPADETPKNLESPASRNKIASWKKLPSPAKKDPKEVTAPKEQNDSKIETFKRRGASDVDLAAVKLQEVPAAKESHHEHSSKHQHKVSWGHWGDQPNASEESSIICRICEEEVPTTNVEDHSRICAVADRCDQKGLSVDERLLRIAETLEKMTDSFANKDMQHVGSPDVAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDCFPEADNSAFMDDLKGLPSMSCKTRFGPKSDQGMTTSSAGSMTPRSPLLTPRTSQIDLLLSGKGAFSEQEDLPQMNELADIARCVANTPLVDDRSMPYLLSCLEDLRLVMDRRKFDALTVETFGTRIEKLIREKYLQLCELVDDEKVDITSTVIDEDAPLEDDVVRSLRTSPIHSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVARVYIAEVVLALEYLHSLHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSLLDDEEPQLSASEQQQERRKKRSAVGTPDYLAPEILLGTGHGATADWWSVGVILFELIVGIPPFNAEHPQIIFDNILNRKIPWPRVPQELSLEAYDLIDRLLTEDPHQRLGARGASEVKQHVFFKDINWDTLARQKAAFVPSSESALDTSYFTSRYSWNTSDDHAYPGSEFDDSSDADSLSGSSSCLSNRQDEVGDECGGLAEFESGSSVNYSFSNFSFKNLSQLASINYDLLSKGWKDDHPANS >OMO95734 pep supercontig:CCACVL1_1.0:contig07617:270:459:1 gene:CCACVL1_05286 transcript:OMO95734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSYMSPPQAHNSSTPGLLYFRIPRLSFFTTMVEAGDVKA >OMO81221 pep supercontig:CCACVL1_1.0:contig10226:5746:12147:1 gene:CCACVL1_12539 transcript:OMO81221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETQIQKREFNDCSEEVDQNGFGFEENESLASRLIKTIKMSRFRFAQVWVVKLSYEEVEEKCSSPSSTTVATRRL >OMO81224 pep supercontig:CCACVL1_1.0:contig10226:22031:24040:-1 gene:CCACVL1_12542 transcript:OMO81224 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MTDMEMAIVEATPEENNNQLAVTPETQPNKRRKKKSIVWEYFTIETVSAGCRRACCKRCKQSFAYSTGSKVAGTSHLKRHIAKGTCPALLRDQDNNQLSPYTPKIGGSEPPKRRYRSPSTPYIPFDQDRCRHEIARMIIMHDYPLHMVEHPGFIAFVSNLQPRFDKVSFNTVQGDCVATYLREKQTVMKFIEGVPGRFCLTLDMWTSNQTLGYVFITGHFIDSDWKLQRRIFNVIMEPYPDSDSTLSHAVAACLSDWSLEGKLFSLTFNHPLTEAGLDNLRPLLCTKNPLILNGQLLLGNCIARTLGNMAKDVLGAGQEIIKKIRDSVKYVKTSESHDEKFVLVKNQLQVPTEKSLVLDNHTQWNTTYQMLTAASELKEVFSCLDTSDPDYKLAPSMEDWKLVETLCSLLKPLFEAANILTTATNPTAITFFHEAWKIHSDVGRLVTSEDSFVSNLAKSMLEKIDKYWKDCSLVLAIAVVMDPRFKMKLVEFSFTKIYGDDAPTYIKVVDDGIHELFHEYVALPLPLTPTYEEGNAGKSDESQQGSLLTDHGLTDFDVYIMETTSQQMKSELDQYLEESLLPRSQDFDVLGWWKLNKMKYPTLSKMARDILSVPVSASAPDSLFDISDKKLDEYRSSLRPETVEALICAKDWLQYGTVDASNALVKMEF >OMO81223 pep supercontig:CCACVL1_1.0:contig10226:17892:20538:-1 gene:CCACVL1_12541 transcript:OMO81223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear RNA activating complex (SNAPc), subunit SNAP43 MDLSAFKQDIDELVEEFVQSESTTLNDMKRIWLSRKFSYIYDASPATNLAFFMQSLYSHAIGYMVNVDSLYCRLGGLYCLYCLYETQPFKPPFKIYLSLGELKKIKNLVAEAKEMGIRVVPTLVKRMLEKNMFLFGFVDLNEGSVSETINNLTALQDMRIKVAYEKLFADTEIEQYLQMDLGTEVDLDELKKMSTEYAAAKKKAIEEAGGEVDVQNIKHISENKESLGEILEKIDENWKNQRKTFYQQTGLNQRPRKEEQPLQLELHENEQDNDVADEVFRLLDE >OMO81222 pep supercontig:CCACVL1_1.0:contig10226:14517:17172:1 gene:CCACVL1_12540 transcript:OMO81222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase MTIKPAVKIAERRLIVKDKTILTGVPENVIATSGTAAGGVEGVFLGAVFDEENSRHVVPLGTLRDVRFMSCFRFKLWWMAQKMGDQGKDIPLETQFLLVETKEGSHLDSTEENQIVYTVFLPLIEAPFRAVLQGNQNDQLELCLESGDADTKASSFTHSVFIHAGTDPFATITEAVRAVKLHLKTFRQRHEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLESLASGGTPPKFVIIDDGWQSVGGDVNDSSTSSDESETKQQPLLRLTGIKENEKFQKKDDPTVGIKNIVKIAKEKHGLNYVYVWHAITGYWGGVRPGVDGMEEYGSKILYPMVSKGVFDNEPTWKTDSIAVQGLGLVNPKSVYKFYNELHSYLASAGIDGVKVDVQCILETLGGGHGGRVELTRQYHQALDASVGKNFPDNGIIACMSHNTDALYCAKQTAVVRASDDFYPRDPVSHTIHIAAVAYNSVFLGEFMVPDWDMFHSLHPAAEYHASARAISGGPLYVSDAPGRHNFELLKKLVLPDGSILRARLPGRPTRDCLFTDPARDGISLLKIWNMNKFTGVLGVYNCQGAAWNSEARKNTFHQTKTESLTGHAKGRDVHLIAEASVDPDWTGDCAVYSHRTGELITLPYNATLPVSLKVLEHEIFTVTPVKVLAPGFSFAPVGLINMYNSGGAIEGLKYEVKNGAKLSELDAGYEGETSDLGDLKVENCSDELVGKVCIEVKGCGNFGAYSSVKPRKCTVGSTEVEFDYDSSSGLLKLSLEKMPEEGQKVHVVEVEL >OMO49422 pep supercontig:CCACVL1_1.0:contig16509:2414:2479:1 gene:CCACVL1_31008 transcript:OMO49422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVTASQNPSLVHHLHIAKA >OMO49424 pep supercontig:CCACVL1_1.0:contig16509:37150:38109:-1 gene:CCACVL1_31010 transcript:OMO49424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ACPSVRVSSVLEPRKPRDFFGTEDRPEERREEGKEITESPEQEGSTVGDERGSKSGWFESERG >OMO49423 pep supercontig:CCACVL1_1.0:contig16509:7447:19409:-1 gene:CCACVL1_31009 transcript:OMO49423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRKTLILKDLRPTAPASGLFIEKIREFMDEHTKSEGIINGMAVCKTYLQNEDYNVDVPLYIIEELSSTTSCILCNTTDEKLCSMEIDKIIEDLQCEELNRIARYCRDCSESNLITIRDLLEKKGESAEDYLAREAEE >OMO83367 pep supercontig:CCACVL1_1.0:contig09890:1900:4363:1 gene:CCACVL1_11412 transcript:OMO83367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MKAIMIQQNCAGAIDKEMLPEKSTDKEIKEINSKAHSAILLSLSNEVLREVVAEKDTASLWKALDDKYMKKSLANRLFQKQRLYTFKMVENTPIKDHLDSFNRIILDLGGVRVKIEDEDLALILLFSLPRSFQNFRDTMLYGRDTIALKDVKDALLSKELQNKVSADVDGEAGLIVTRGRNKEKSSGTTRFRSRSKSRVSRLRCFYCNEKGHLRKDCPDRKKGNSSEKMESNVKAMVAIVQEGSSLVETSDDEVGTDVLTVSTTGSANTWVLDTSASYHMTFSRNLFTTFKEWNGSVMLGDKTTLTVKGSGSVQIKTHDGTIRTFDAWLVPELRNLISLGTLDKQGYKYSGENGQIKVSKGAMTILKGKLQHGIYTLIGNSVIGEVAVSESLGDSNDRTELWHLRLGHMSEQGLSILSKRGLLDGSECGKLKCCETCVLGKQRGVKFSSGKHTSKGILDYVHSDLWGPAPVESHSRCRYFVTFIDDYSKKVWVYFLKTKDELFDWFKEWKIMIEKHTSRQIKTLRTDNGLEFCGQEFNEFCKKEGILRHHTVRRTPQQNGVAERMNQTLLQRARCIRLNAGLSKKFWAEAVNTTAYLVNRSPSTAIDLKTPQDLWYDKPSDYSGLRIFGCPAYAHVNDGKLEPRAIKCIFLGYGTGVKGYRLWHFDSNKVIISRDVTFDESAMFSQKEELVDLAERRTRREIKKPQRYADCISLNVGETDPIAYALSVAEIIDSYEPRSYKEAIKSETAADWCRSIRVRGVRTPTAERLNSNYGVATNLFLPRCD >OMO93874 pep supercontig:CCACVL1_1.0:contig08039:19517:20191:-1 gene:CCACVL1_06298 transcript:OMO93874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALESKTNCHRILRLQALDSLVEALEIPLLMVNAVRFLRNLCTYSGAECFYQLKGAQGLSAENKLQEVMVGLAAQVFKHMTSEESSIMFDRAGIKEEQLAEALVKILQKYYHPSAKTTAELESFNIFSGTVGLSHHSTTTHSLVETALKLLRDR >OMO93877 pep supercontig:CCACVL1_1.0:contig08039:30545:34978:1 gene:CCACVL1_06301 transcript:OMO93877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MEEVGAQVAPPIFFHQALASRFCEPASLQRKRDLSYQTPTFQYQNPSQPRVANPRDNWNPKLWEWDAVRFIAKPLDTEILQPGTATAELRKKEPVNGNGNGNSMTSKQTAVVNEDEESLQLNLGGGLNSVEEPVSRPNKKVRSGSPGGTNYPMCQVDNCKEDLSGAKDYHRRHKVCEVHSKATKAPVGQQMQRFCQQCSRFHPLSEFDEGKRSCRRRLAGHNRRRRKTQPEDVTSRLLLPGNRDNAGNGNLDIVNLLTALARTQGKNEDKSNNISSGPNRDQLIQILSKINSLPLPMDLAAKLPNIGVLNKKNQEQGLLGHQNQLNGKNTSSPSTVDLLAALSATLTSSSADALAIFSQRSSQSSDSEKTKSNGSDHAAAPSTRSRAPMEFTSVGGERSSTSYQSPVEDSECQIQENRANLPLQLFSSSPEDDSPPKLASSRKYFSSDSSNPMEERSPSSSPVVQKLFPMHSTAEAVKHEKMSIGRGTAKYDAEGSRTHGSILPLELFSGSKKATDHGSFQHFPSQAGYTSSSGSDHSPSSLNSDAQDRSGRISFKLFDKDPSHIPGTLRTKIYNWLSNCPSEMESYIRPGCIVLSVYLSMSSVAWEQLDGSLLQYVNALLQESDSDFWRNARFLIHTGRQLASHKDGKIRLCKSWRTWSSPELFSVSPLAIVDGQETSLILRGKNLTNPGTKIHCTYMGGYLSMQVTGSANQGTAFDEVNMGGLRIQVSSPAALGRCFIEVENGFKGNSFPIIIADASICKELRLLESEFDMETKACDIISEEHAYDGERPRSREEVLHFLNELGWLFQRRSTHRLPESSNFSLCRFKFLLIFSVERDYCALVKVLLDLLVESNMDMDGLSRESIEMLSEIQLLSRAVKRRCRKMADLLIHYSVISSDENSKRYIFPPNIKGAGGISPLHLAACTSGSDDMVDALTNDPQEIGLSCWSSLLDANGQSPYAYAVMRNNHSYNKLVAGKFADRRNGQVSLTIGTEDEQSGLSATQLRQIRFRLNQARSSCAKCAAVATKYHHRFPGSQGLLQRPYVHSMLAIAAVCVCVCLFMRGTPDIGAVAPFKWENLDFGTI >OMO93876 pep supercontig:CCACVL1_1.0:contig08039:26586:26795:-1 gene:CCACVL1_06300 transcript:OMO93876 gene_biotype:protein_coding transcript_biotype:protein_coding description:molybdenum cofactor biosynthesis protein A MARGEEGGWWREEEGGGYGGAIEIVRTHINVCGNQLSVLFKHAKYDVSGRIRNACCDFCQTPTHFLFLS >OMO93879 pep supercontig:CCACVL1_1.0:contig08039:45781:48376:1 gene:CCACVL1_06303 transcript:OMO93879 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSTRIDQRMETLANEVQQVREAQNQHVQLPPPRRQLGVVLVGDVMREPRVPRVAARGGPLDRLRLQEAGGEAYLDNLWPRRVVEREESKDNIKYTISKFNGIGSPEDYFDWESKLDMYFEYHPLQKQRRLQALRQGSKSIDEYYAEMMLLMSRAEVEEAAQATMAKFLAEEMLQNALKAEGQVRRNSSTKKSYSSSSSSWKTPYKKDERVPFKEKEGVKKEYVMYFNEHGELLSKEEGDFNLDSSGDGDYERNDDEAAIDDGDELAPLKSLVARRTLSAYVKGDVHNQWENLFHTRCYVNGKPSSVIIDGGSCANIAGVYLVKELQLPTSKHPKPYSLGTKRMFCVLPMQACHVLLGRPWQYDNKVHHDGETSRYSFVCGLKRSKAEPKIVDLGIENRTVLSEKKETSRKVVKEYVFLEEIPSGLPPIRGIEHQIDFIPIAQIPNRPAYRTNPDETKELEKQVGELLEKGYVRESLSPCAVPVLLAPKKDEIWRMCVDCRAINNIIVKYCHPIPRLDDMLDELHGASLFSKIDLKSGYHQIHMKEGDGWKTAFKTKLGLYEWLVMPFGLTNAPSTFMRLMNHVLRAFIGKFVVVYFDDILVYIRTLEDHVEHLRCVLDVVRVEKLYADDRPSAIIKINNPIITN >OMO93875 pep supercontig:CCACVL1_1.0:contig08039:20308:20953:1 gene:CCACVL1_06299 transcript:OMO93875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRCQQVFIHMKAPRVDLLKGSGTRPTPKIRPKNGRVLAKVEASKVGLWTKKKSKSGPAQPMSRPTHENQLALLPH >OMO93878 pep supercontig:CCACVL1_1.0:contig08039:35680:37122:-1 gene:CCACVL1_06302 transcript:OMO93878 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEETIVLYPSPGLGHVVSMVELGKLLLHHHGRRGNHQFPVTILLTTGFWDTPTITSYINSVSQAYPSISFRRLPSISVDNSQKCSRAAIGFQFIRLNAPNVLHSLQEISESYKISAFVIDIFCTSALSIGKDLKIPTFYFYTSGASSLAAFLQFPKLDEQTTGSFKDQPDTVFHFHGAPPLKAIHMPEPALDREEPAYHDFVVYFCSGLAKSDGIIVNTFEDLEPISIKAIADGLCLVDSPTPPTYYIGPLIVAADSQATVEHECLSWLEKQPSKSVVFLCFGSRGTFSATQIKEIANGLERSEQRFLWVVKNPPNDEKEKQTEVNSDVVDLDSLLPEGFMERTKDKGLVVKSFAPQVAVLNKDAVGGFVTHCGWNSILEAVVAGVPMIAWPLYAEQHLNRNILVQDMKMGIAVEQRDEEDGLVSGIELEKRLRELMESETGKELTKRSLEMKNKASAAWGPTGSSTTTLGNLVELWKQG >OMO68963 pep supercontig:CCACVL1_1.0:contig12148:17770:31590:-1 gene:CCACVL1_19740 transcript:OMO68963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 1 MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSVVGSIDQMDADDDNENNDSKTSNNNVDSNKPKGKRRLYVGSQSLGFRRDHMEVLSPLKDGVVVDWEIVDSIWDHAFKECLLVDPTEHPMLLAEPSSNTQQQRERTAELMFEKYKVPALFLAKNAVLTSFASGRATSVVVDSGGGSTTVAPVHDGYVLQKAVSTSPVGGEFLTDCLMKSLESKGIVIKPRYSFKRKEIQPGVFQTVDIDFPNTTESYKLYSKRVIASDIKECVCRAPDTPYDESAYSNIPMTPYELPDGQTIEIGADRFKIPDVLFNPSLALTIPGMDSFAEISPSVRGLPQMVIDSINRCDVDIRKELFGSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSDFAFVLLIATQTLLKCMKSMELHTYKENALKMRPIGCVSCHCKFQVHSGSICANNIQIDVAFAHTNAISPITLNPSFNRSSFSVGFIFGTASSAYQYEGASSEGGRGPSIWDTYTHKYPDKIADRSSGDVAVDSYHRYKEDVRIMKEMGLDAYRFSISWSRILPNGKLSGGVNLEGIKYYNNLIDELLANGLQPYVTIFHWDLPQALEDEYGGFLSPHIVDYFRDYAKVCFDHFGNRVKNWITLNEPWTYSNFGYATGLLAPGRCSDWQQLNCTGGDSAVEPYVVAHRLLLAHAAAVKLYRDKYQATQKGVIGITLVSHWMVPFSNERNDQDAAQRALDFMFGWFMDPITNGDYPKSMQSLVGDRLPKFSKEESEMLKGSFDFLGLNYYTANFAAADTAKPGLGKPSYLKDSRVILSTERYGVPIGQKTATDWLHVYPKGFFELLIYIKRKYNNPIIYITENGVSEFNNATLSVEASRVDIMRVEYYDQHLSFLRDAIIGEGVNVKGYFAWSLLDNFEWGDGYTIRFGIYYVDYEDGLKRYPKLSAQWFKNFLKDHS >OMO68964 pep supercontig:CCACVL1_1.0:contig12148:34901:35314:-1 gene:CCACVL1_19741 transcript:OMO68964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKGKKLTVSNHEDTGSGEEEKIPAQKRRGRPQKPLKDEFDEEEVEKLEEEDGENGKAGIISKERKSPSAAENGKKRRRNSQVKEKSDLVKEENGVGTRSSTNDSTKSNGFRHNGSRRKSKPRRAAEVGVECK >OMP05966 pep supercontig:CCACVL1_1.0:contig05107:9736:9801:-1 gene:CCACVL1_01769 transcript:OMP05966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAHRTQAWQSGLTRLIDTPIS >OMO57079 pep supercontig:CCACVL1_1.0:contig14447:36613:36717:1 gene:CCACVL1_26020 transcript:OMO57079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAMLPKYDTRSAKMEQLYFAEEINELRGAGKG >OMO57081 pep supercontig:CCACVL1_1.0:contig14447:45849:53598:1 gene:CCACVL1_26022 transcript:OMO57081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAHLRPRNITFKNAPSVSPLSKRRI >OMO57080 pep supercontig:CCACVL1_1.0:contig14447:42745:44217:-1 gene:CCACVL1_26021 transcript:OMO57080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKKGKAKAEKAEPTDKSTKNDPKFPSSIRSVPPSSVAITIHAKPGAKSSSITDFSDNAVGVQIDAPAKDGEANAALLDYISSVLGVKRRQVSIGSGSKSRDKVVIVEEITLQSVFDALNKASKS >OMO57078 pep supercontig:CCACVL1_1.0:contig14447:11627:12553:1 gene:CCACVL1_26018 transcript:OMO57078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRGKEVVEAEDWSKVNEDPLSDTQDRLLEEEFLSGSMHVDLDAENSEVEGDSRGKKRTSDSSERRNVKLSKMDKMNAFLDRWTTALTAKEEAYKAKALRYKSSSSSGSADRHSISVCMDLLEKVEGVSSTAYNKAIEKFLSADWRQIFVGMSDARRKDWLDSLSDSSGSSNPSSPLELVGKQKNKNLEECSTKRDYDEPFVGQVFHSEEEAFVFYKQYAIRRGFLIKKGRVERKDGEVKKRDFFCHRYGRQPLKVADPTKKQRNRKSLKCECKAHLHISLNVSLKEWRVTNFVMDHTHELLSPQIS >OMO51104 pep supercontig:CCACVL1_1.0:contig15972:30863:31152:-1 gene:CCACVL1_30001 transcript:OMO51104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENDTIPPTIVDSATATKTGQSSNNVAAPLPILAPVTYAKPFPNISKIEVFDGNNFRRWMERVYSALDMHGVVFAITYTKPTDDSFLTNGPMLI >OMO51107 pep supercontig:CCACVL1_1.0:contig15972:46556:49847:1 gene:CCACVL1_30004 transcript:OMO51107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase MKSSNSNQPTIVAILLFSSVCHFLPFCIGSPPVNEVENETPFTYTEGHKGPKEWGHINPHWHVCDNGKFQSPIDLLSERVHVIHSLGKLRKDYKPAPAVLQNRGHDVMVKWKGDAGKIIINGTSYKLIQCHWHSPSEHTFNGTRYDLELHMVHESSHKKLAVIAIVYKYGRRDSFLSQLFHDIKRSGNGIKEKNLGIVNPGDIKFGSRKYYRYMGSLTVPPCTEGVVWTILKKVRTVSRDQARALRDAVHDGFEANARPTQRLDERPVLMFTPRENGASA >OMO51108 pep supercontig:CCACVL1_1.0:contig15972:53104:53990:-1 gene:CCACVL1_30005 transcript:OMO51108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILLACTTQKLANSGVNIAAPLLVVAKNYYSGVCTRQMTPYRNVIDRDTQFSGISYGVATNATKPIAVFINAPGDK >OMO51106 pep supercontig:CCACVL1_1.0:contig15972:41214:43805:1 gene:CCACVL1_30003 transcript:OMO51106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETGRRNSNTQLLEELEALSQTLYQSHTAATRRTASLALPRTSVPSISAVDEAAESKFEPKPSAKLRSRRMSLSPWRSRPKLDHEETGSKDQTKKTSQPNQLEGKAVPEKKGIWNWKPIRALSHIGMQKLSCLLSVEVVTAQGLPASMNGLRLSVCIRKKETKDGAVNTMPSRVSQGAADFEETLFIRCHVYCTQSSGGKPMKFEPRPFWIYLFAVDADELDFGRNSVDLSQLIQESVEKSYEGARVRQWDMSFNLSGKAKGGELVVKLGFQIMEKDGGIGIYNQEQGLKSSKSKNFSSSFARKQSKTSFSVPSPRLTSRAEAWTPSQKQVTADLQELDELNLDEPAVTATSSSSVGIKKPEETEKIEEIDMPDFEVVDKGVEISEKEETGEAESVEDNKSVSSEVVKEMLLDQLHLTRLTELDSIAQQIKALESMMGNEKLDKIITDDETESQRLDADEETVTREFLQMLEHEKSNEFKLDQPDNIPPLQLDRNDQDSDESDSSKVYLPDLGKGLGCVVQTRDGGYLAAVNPLDSLVSRKDTPKLAMQISKPMVVPSDKSMSGFELFQKMAALGLDKLSNQILSSMPLDEIMGKTAEQIAFEGIASAIIQGRNKEGASSSAARTIAAVKSMVNAMSSGRKERISTGIWNVNENPLTAEEILAFSLQKIEGMAVEALKVQAEMAEEEAPFDVSALIGKDHGDKSQPLASAIPLENWIKNYSLISSEAELGDPETLTIAVVVQLRDPLRRYEAVGGPILALIHASKADDIITDNYDEEKRFKVTSLHVGGLKVKSAGKRNIWDSEKHRLTAMQWLVAYGLGKSGKKGKNVQQKGQDLLWSISSRVMADMWLKTMRNPDVKFAK >OMO51105 pep supercontig:CCACVL1_1.0:contig15972:37251:39601:1 gene:CCACVL1_30002 transcript:OMO51105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase MKCFAILLFASLIFMIPSQTEGQEDFNYDESSGKGPSQWGSLRPEWQTCGSGQSQSPIDIPVGNVVVASDLGDLQASYSSRSAVLKNRGHDIAVLWNGGDAGNINIDGIDYKILQCHWHSPSEHTIGGTRHDLEIHIVHQDAQKQIAVLSMLFQIGQPDSFLSGLLSAIENVGGGEVNLGNKNPGDIGFVGRDYYRYEGSLSTPPCTEGVVWTVFREVKTASTEQIQALKAPLAEEVKDNSRPTQSLNGREVLLYQPSGSTGRGGGCA >OMO89042 pep supercontig:CCACVL1_1.0:contig08860:25494:26266:-1 gene:CCACVL1_08048 transcript:OMO89042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRAAQSKLTLLAVSLLFLPFLQANNISFNYCDKNANYVVKVDGLIISPYPVVGGKTATFNISASTGQAISGGTAVIDVFYFGMHVDHEPYDFCEETSCPIPVGEFLISHHPEFPAISPPGSYLVEMRLLSSDNSQELTCISYNFSLISESLVSDS >OMO89041 pep supercontig:CCACVL1_1.0:contig08860:18705:19952:-1 gene:CCACVL1_08047 transcript:OMO89041 gene_biotype:protein_coding transcript_biotype:protein_coding description:precursor of dehydrogenase dihydrolipoamide dehydrogenase 4 MLQIEQLEQDISDLQQARAGRKEQEAAVFKGLKNAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHTKALGMQVDESILAMYRNLMSEELFLNVQLCIYYDAFPGVKFISLHFYIPFVFVDAPLIATGRAPFINGLGLEDVCILVFKCQGFASGNINEQCADQVKSNNKEYRHRLDWNNSIRTDCAILVH >OMO51926 pep supercontig:CCACVL1_1.0:contig15676:1859:1998:1 gene:CCACVL1_29492 transcript:OMO51926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGGKIDLGAAFGAADKDSGGS >OMO51928 pep supercontig:CCACVL1_1.0:contig15676:11866:14732:-1 gene:CCACVL1_29494 transcript:OMO51928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNVRSTNKFYTECFAELADWTNKIVCFGFGRPIALKQHRIAHPQTDGQTEAVNRTLGNLVRCLCGEKPKQWDIALPQAEFAYNNAVHSATDRTPFSVVYTKAPSHTLDLVKLPEGNGLNMSAKHLAEQVVEINDNAYVVDLPDNMGISKTFNVSDLSKFHDSSVPLYPNSRTSFSQVEENDADELGISFMDLMDRQKSVRKGVERFGFWA >OMO51927 pep supercontig:CCACVL1_1.0:contig15676:4444:8019:-1 gene:CCACVL1_29493 transcript:OMO51927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQANQEKKLKLYSHWISSCSCRIRIALNLKGLEYQYIPVNLKEGEQFSPEFQKLNPIGYVPVLVDGDIVISDSFAIFMYLEEKYPQHPLLPSDLQKKALNIQAANIVCSSIQPFQNLAAQKYIAEKVGPDEATPWVKHHIEKGFEALEKLLKNHAGRYATGDEVFMADLFLAPQVHAAINRFTVDMAKYPLLARLNEAYSEIPQFQNAMPENQPDTPSTPSTRALFNFKLVD >OMO77066 pep supercontig:CCACVL1_1.0:contig10830:11019:11141:1 gene:CCACVL1_15213 transcript:OMO77066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNEVQLVDEGLRARYKAAYPHVDGRSSISQPSSPPKALLP >OMO57584 pep supercontig:CCACVL1_1.0:contig14353:14415:14552:1 gene:CCACVL1_25740 transcript:OMO57584 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteasome subunit alpha type-7 MEVTVMTKEHGLQQLQEAEIDVITAEIEAKKAAAEAAKKGPPKET >OMO94086 pep supercontig:CCACVL1_1.0:contig07981:4737:6385:-1 gene:CCACVL1_06179 transcript:OMO94086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRQGKGKAVEILVNDFKVFSTEKSLNNFR >OMP07884 pep supercontig:CCACVL1_1.0:contig04148:657:716:1 gene:CCACVL1_01201 transcript:OMP07884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSTGPFLANQTKNEEAGPVE >OMO57323 pep supercontig:CCACVL1_1.0:contig14405:35390:35644:1 gene:CCACVL1_25839 transcript:OMO57323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNGSYGTSWADQWDYGPDPQPTETSKTNGGGAKAKYSKKVEDGLSKTKAVAVTGVKKAKVGASAGIKWIKEKCSKTTQKQSN >OMO57322 pep supercontig:CCACVL1_1.0:contig14405:29316:32950:1 gene:CCACVL1_25838 transcript:OMO57322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MAAYCSKKPLNLHKTAKIVETLIVQDYQGHKFIATSSASTLFVNPSIKEVEEIKTRSYSFVVKLSDYRVT >OMO57320 pep supercontig:CCACVL1_1.0:contig14405:13117:13659:1 gene:CCACVL1_25836 transcript:OMO57320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIVVKLGVCKEKQMKKVMKTVSVMPGIKSINIDMDQRLLTLITDVDVGDLLNEIRNESVLDRLRNKRPCSAKVVLVEPYKEEEEEKKKKKNAQKKDEGKKTDQTAAVAVEAANNSKKEEGNKRRDEHTYSNHHPMNMMPLPPPPYDYFYHPHDPRMIPPYPCKVVTEDQYPTPSCVIC >OMO57325 pep supercontig:CCACVL1_1.0:contig14405:62882:64012:1 gene:CCACVL1_25842 transcript:OMO57325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEKSMLYIPHDTENRVPSAKVYRVLCLCYLCVTQLDRAQEYANEAHKLDVNIIFIFAFGHIMGILSKGTVCKKSEFQAVQLLKLRVQFKWHVSTMRISLSSSWFRFGNFPGIRRRLSLYEVEAWISTKTSDGKLVFSRTEGEPYVFTFGKSQVGFEEKNSGNS >OMO57319 pep supercontig:CCACVL1_1.0:contig14405:10786:12063:-1 gene:CCACVL1_25835 transcript:OMO57319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILPCHLSCHRDDESQSGSSHLHSESSTSSISSQPSLPSVPSLTSSSSQRLEQTPTIHQKCIATLKGNSYVFSLALSGKILYTGSSNGEIRAWSQDSSDQDTPVDNIVATSNSAVKSLLVLGDKLLSAHQDNKIRIWKISNHLQQKYKCIATVPTMNDRFLRCFSEKNYVQVRRHKKSTWVHHVDTVSALALSIDRSLLYSASWDRTFKIWRTSDFKCLESVQNAHDDAINAIVLSKDGFVYTGSADKKIKVWKKHAGEKKHLLVETLEKHKSAVNALALSDDGTILYSGACDRSILVWERENSVKEGGDRHMVLVGALRGHNKAILCLAVVADLICSGSADRTVRIWRRGIDKSYSCLTVLEGHRKPVKCLSAAVVDDNTYTKDDSQPDTTYMVCSGSLDYDIKVWQLWTPVFFSNSYGFVQK >OMO57321 pep supercontig:CCACVL1_1.0:contig14405:24845:25951:-1 gene:CCACVL1_25837 transcript:OMO57321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M10, metallopeptidase MKRQILVVVTTILFSLSFDSVSARFFPNITGLPPLPFSKNGTLAPWDAFTKFAGCRSGEKRDGLAKLKQYFNEFGYIQNAPSNFSDDFDDELEKALRVYQQNFNLNVTGELDDQTLQKIVLPRCGNADIVNGTTSMNSGKSASFHTTKHFHTTEHFTFFPGTPRWPDHRQDLTYGFADQLTDEVKAVFARAFEKWSAVTPLTFTPTDSYILADIKIGFFTGDHGDGEPFDGVLGTLAHAFSPTNGRLHLDGAEDWVVAGDVRTSPLPSAVDLESVAVHEIGHLLGLGHSSDENAIMYPTISSRTRKVELGSDDVEGIQYLYGSNPNYNGSTSTTSTHERETSDGGVRDSISRWSLGVILTVGFGFVLL >OMO57324 pep supercontig:CCACVL1_1.0:contig14405:53150:54123:1 gene:CCACVL1_25841 transcript:OMO57324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MHRCRMKRKKPRWITRAIWKKLVELWDSNEYQDLCAKNKRNRLLDSGATIYRGGCISTIEHRDREELKTGEKQSNLDIFIKLNTEEDGITWKSGTARLAHEKLKKAQAEEVARLGEGTVINDDHLWVKIMGVHKRRCYGLGNLITEMAVFPTRSQSSQSTSTINTDESSLKEEVAELRQLVQQQNKT >OMO65048 pep supercontig:CCACVL1_1.0:contig12701:128:205:-1 gene:CCACVL1_21586 transcript:OMO65048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLMNKKITKNIVEEERTRGEKYYCFE >OMO50527 pep supercontig:CCACVL1_1.0:contig16145:5599:11427:1 gene:CCACVL1_30387 transcript:OMO50527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein MASTDGLVPITRAFLSSYYDKYPYDPLSDVSDLTSQIRSLAQDLLQGCPPTQDESLLVQEADNQPPHKIDENMWKNREHIEEILFLLERSRWPTTLQQSSTSEVDEFATLFGQLKDKFQKALRALESFQLRNSERIFNTVMTYMPQDFRGTLIRQQKERSERNKQAEVDALVNSGGSIRDRYALLWKQQMDRRRQLAQLGSATGVYKTLVKYLVGVPQVLLDFIRQINDDDGPMEEQRQRYGPPLYGLTRMVVTIRLFLSLAWQRFEAFKINRNQISVMEKAVDTYTSEFERFINFISEVFANSPFFITAVDASILDARKNDEYKEITVPAGKTYEVALTVESINSYIAWDFSLVQGKMNMDIGFSIEYTNTGGEKTLILPYRRYESDQGNFSTVMAGNYKLIWDNTYSTFFKKALRYKVDCIPPVLEPELESGQSNEMEG >OMO50526 pep supercontig:CCACVL1_1.0:contig16145:2199:4902:-1 gene:CCACVL1_30386 transcript:OMO50526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGAVGEMNSSNSTSESKAKAATNTQTHGREEKEEESGRLDSLLKWAAGLGVSDSQKPDSCCCLGYSLAVSYFPDAGGRGMAAVRDLRKGELVLKVPKSALITTQLLSRDDTLSAALKAHPSLSAAQVLIVCFLYELSKGRASPWHPYFLCLPRSYDILAAFGEFEMQALQVDYAIWAAQKAVTKAEYEWKEATVLMKELKLKPQLLTLRAWIWATGTICSRTMHIPWDEAGCLCPVGDLFNYAAPGEDPNGFENADNLQNGDIIDVVDTQHSQRLTDGAFEERASAYCFYARKNYKKREQVLLGYGTYTNLELLEHYGFLLDDNPNEKVFIPLEHDIHSSSSWPEDLLFIDQNGRPSFALLSTLRLWATPPHQRKSIGHLAYSGSQLSQGNELFVMKWLAKKCHVILKHMPTLIEEDKLLLSFIDKIQDFDNLWEWGKALPAFGNEFCDFLKATGMRIDDEFKSSGRRTQMMIDRWKLAVQWRLNYKNIILNCISYCTGIISSLSG >OMO50528 pep supercontig:CCACVL1_1.0:contig16145:12182:18595:1 gene:CCACVL1_30388 transcript:OMO50528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALKYVWEGAIPLQIHLHESQVTTLPPPPPALILAPRIGYLPLLAPLIKPYFSSTLPPGVDTIWFEYKGLPLKWYIPTGVLFDLLCPEPERPWNITVHFRGYPGNVLIPCEGEDSIKWNFINSLKEASYIINGNCKNVMNMSQSDQLDLWRSVMNGNLEAYQHVSSKLKLAIVEDEYTSLCRSKSQEGFGEKDLAGQVKTGRIPVRLYVWSFSEDFDDLEDMSPVDSWEKISYINRPVEINKEGKCFTLHDALRILLPEFLSDESLSDAKLGGVDVEDAQKVPCEDVSSNKADEDQGQNTNEQPQACCSPSRAEIKLIRIQGCKIWGSKEAPVEATKEFIEILKQLEGALGEKDFFGGDAFGFVDIIAIPLTSWFYAIEKYGKFKVEEECPKLSAWIKRCLQRESVAKVLPPPQNVYEFVTMFRKMQGIE >OMO50525 pep supercontig:CCACVL1_1.0:contig16145:1318:1635:1 gene:CCACVL1_30385 transcript:OMO50525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MTTAALSCRDVRKQLSPCLIYMGSLGTKNEDKCCDGVRDLSTMARTPAAHQDACNCIKSEIGGLIRNRKDTDDKLNKMKSLAKDLPGKCGVNVPYEISDSTNCDE >OMO54879 pep supercontig:CCACVL1_1.0:contig14875:8219:20309:-1 gene:CCACVL1_27496 transcript:OMO54879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDQVVSGRLTYQTPGLDYSSGVWQFEGYGFVPNGTSGATISQIHGAASGAPF >OMO54880 pep supercontig:CCACVL1_1.0:contig14875:28489:29261:-1 gene:CCACVL1_27497 transcript:OMO54880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanases superfamily MDGHADPTHGFTEMPLTKANFELQRPYNVPLEERYSYENGIHKMWVYADDKPHDPNSDTQPRTEIRIRGLDYSSGVWQFEGYGFVPNGTSGVTISQIHGAASGATTLILRIYNGNLRYYDGDLVARDLYDKWFRLNVIHDVDEGKVRVFVNGVQKYSTNDQGPGDLYFNCGVYAAPAHISYYMESRWRDIKIFKK >OMP10889 pep supercontig:CCACVL1_1.0:contig01799:850:1015:-1 gene:CCACVL1_00757 transcript:OMP10889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLFLIGFAQHLKETSMFLLPNEPIAVCCLRDYHSNLVSFSLSSDLVPHCFDPMLL >OMO70640 pep supercontig:CCACVL1_1.0:contig11801:11475:14841:1 gene:CCACVL1_18734 transcript:OMO70640 gene_biotype:protein_coding transcript_biotype:protein_coding description:RelA/SpoT MAVSTIALYASPPSSVCSTAHQININSHSSCDFDFNARSSSSTSATTATSSSQRPIVGGLSCLFSSPSVKTSFSSGGGEDIGSYRGEEFKELSSSFRYSSSKFGNSSLKTNQSPVSVFQGPVSCSSSSPPMRIGREKGGDGNFHGSFRSGTNGLFNGFVRSALGSCIDYDSPSFEAQSSGFVDELPFTMEDNLMEEVVSDPYAKELLLGAQMRHKIFREDSVVKAFYEAEKAHRGQMRASGDPYLQHCVETAVLLASIGANSTVVAAGLLHDTLDDSFLSYDYIFKTFGAGVADLVEGVSKLSQLSKLARENNTASKTAEADRLHTMFLAMADARAVLIKLADRLHNMMTLDALPLLKQQRFAKETLEIFVPLANRLGISSWKEQLENLCFKHLNPDQHKELSSRLVNSFDEAMITSAIEKLERALKDKEIPYHVLSGRHKSLYSIYSKMLKKKLAMDEIHDIHGLRIIVENEEDCYEALRVVHQLWSEVPGKLKDYISHPKFNGYQSLHTVVKGEGTVPLEVQIRTKEMHLQAEFGFAAHWRYKEGDCKHSSFILQMVEWARWVVTWHCETMSKDRSSIGYDDSVKPPCTFPTHSEDCPFSYKAHCSQDGPVFVIVIENDKMSVQEFPANSTMMDLLERAGRGNSRWSPYGFPVKEELRPRLNHKPVNEPTCQLKMGDVVELTPAIPDKSLTEYREEIQRMYDRGLPVSSAGPPASSMVGTRS >OMO70641 pep supercontig:CCACVL1_1.0:contig11801:15519:16169:-1 gene:CCACVL1_18735 transcript:OMO70641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TAFII-31 MAEQGEEDLPRDAKIVKTLLKSMGVENYEPRVIHQFLELWYRYVVDVLTDAQVYSEHAGKQTIDTDDVKLAIQSKVNFSFSQPPPREVLLELARNRNKIPLPKAIPGPGIPLPPDQDTLISTNYQFAVPRKQPAEAMVEIEDDEESVDPSSSQEQKTDTPQPTSQRVSFPLAKRQK >OMO70649 pep supercontig:CCACVL1_1.0:contig11801:50302:52994:-1 gene:CCACVL1_18743 transcript:OMO70649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLFLLFDFSDNDFLADWRYENGIGGATASEEGPKLEDFLGCCYSNSPSEDQTKVYCQTQQQEDQTQNNVSTRINVNVAPCYNGDMENGEDFSNPSSLIQAFHYNENTQTLIPSENLHQCDPNPNHNQNNGIYHVPFDGATSVSGFKSWLRQTPFSSGKNGSSTEGSNNNFQTLSLTMSPTSQNGSSTIDAIAPLEVVDTRKRSGGKTLAKEPVPRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTHINFPLSTYEKELEEMKNMTRQEFVAHLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFDISRYDVKRICSSSTLIGGDLAKRSSKDSASHAIEDYNSCASSTSQPPLAITNGEASDELAEMVWSANSDDLQQQHQNGNTNNDATLATSSSRNSSNNFNLGIGGDFSQGYFSLQVPKYENHHQVPMFALWNE >OMO70638 pep supercontig:CCACVL1_1.0:contig11801:105:4052:-1 gene:CCACVL1_18732 transcript:OMO70638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDDVEKAILISFDESGTLDAGLKSQAVNFCQQIKETPSICSLCIEKLCFCKLVQVQFWCLQTLHEVIRVRYGSMSLEEKNFIRKSVFSMVCLERIDDKVCSVLESPAFIKNKLAQVLVTLIYFEYPLIWSSVFVDFLPHLSKGAVVIDMFSRVLNALDDELICLDYPRTSEEVAIAARVKDAMRQQCVAQIVRAWYDIVSTYKNSDPEICTNVLDCMSRYIAWIDIGLIANDVFIPLLFEFILVDGLSEQLRGAAAGCVLAVVSKRMDAQSKLSLLKSLQITRVFGLISDDNDSELVSRVAALITGYAVEVLECSKRLNTQDAKSVSMELLDEVLPSVFYVMQNCEMDAAFSIVQFLSGYVATMKTLSPLQEKQMLHLGQILEVIRTQIRYDPIYRNNLDIVDKIGMEEEDRMAEFRKDLFVLLRSVGRVAPEVTQIFIRNSLTSAIASSSDRNVEEVEAALSLLYALGESMSDEAMKAGTGLLSELVTNLLSTRFPCHSNRLVALVYLETIARYMKFVQENTQYIPLVLAAFLDERGIHHPNIYVSRRATYLFMRVVKLLKSKLVPFIETILQNLQDVVARFTSMNFASKESAGSEDGAYIFEAIGLLIGMEDVPLGKQSDYLSSLLTPLCQQVEATLLNAKALSPEESPLKVANIQQIIVAINALSKGFSERLVTASRPAIGHMFKQTLDVLLQILVVFPKVEPLRTKVLSFIHRMVDTLGASVFPYLPKALEQLLAESEPKEMVDFLVLLNQLICKFSTLVQDILEEVFPAIAGRILSAIRKVADSSGPEANTE >OMO70642 pep supercontig:CCACVL1_1.0:contig11801:17063:17380:-1 gene:CCACVL1_18736 transcript:OMO70642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLALITAVVLSPLYVSRKNDQTRYYEMKLSSGFVLPMVLAGLVVAIKTTSSSKQSGAARTSIIPSPDPSWVLRIGGSSWGLAGILIMLMLVLSWQESVQEFFWR >OMO70643 pep supercontig:CCACVL1_1.0:contig11801:19192:20428:1 gene:CCACVL1_18737 transcript:OMO70643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MESTTKLWHVISLYLSTALAIFRNLLHLILNINRTPFLTKSMDTLLSLYFRLCDLSPCAIDLDDKTTIHFWVPNHRRFHRPSLVMIHGYGGNSLWQFLYQVGPLSRKFNVYIPDLLFFGKSYSKALERSEAFQAKCMVDGLQRLGVEKFSVYAISYGGFVAYKVAEMWADAVEKVVIVSSGIVYTDEQRDEHLKKLGRHPSEILVPKNPVDLKLLVNLSMSKPTSLYEWIPDFLLREFIMMMYDHCRKEKVELAEHLVGKKAEPNLPVLTQETLLIWGDKDKVFPIELAHQLQRHLGSKSRLEILKNTGHAANMESPDALNKLIISFVSN >OMO70639 pep supercontig:CCACVL1_1.0:contig11801:5924:8520:-1 gene:CCACVL1_18733 transcript:OMO70639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKKRVQRGIYSKLNGFVTVPWRHVACKHQPLKPYGQRRRQTRVILEDGGFVGVKATVTVRDCRLLSTWFLVCRLTQLREVSHLATPPLEGVSYLAISHMISDPTTAVVHCSTSVLHQ >OMO70647 pep supercontig:CCACVL1_1.0:contig11801:38905:40306:1 gene:CCACVL1_18741 transcript:OMO70647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 8 MITTAARNRSPFTPTQWQELEHQALIYKYMVSGVPIPPELIFSVKRSLDSSLASRLFPHQPIGWGCFQVGFGRKADPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRSRSRKQVELITTNSSSSSSSSSTTTTTIAVPSIINRSNLSMNSTSSLSSNSSFSFSPLSSSEIYTHNQNPNNPFLYSHNSSTSPHHFLDSATSVSYNNSQPDKDYRYVQGTKEGVLDIERSFFPEASGSSTVRIVADSFNQPLTAALSSYKSYPNHQSEYQKQEQDEEQQQHCFVLGTDIKLARPIKLQKDHHHHNHNETQKPVHQFFGDNWTPRNNTSTTTDSWLDLASNSGVHSGKIQIVDEGLSNLNNGSL >OMO70644 pep supercontig:CCACVL1_1.0:contig11801:22227:24300:1 gene:CCACVL1_18738 transcript:OMO70644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSSYDQALASLISQIAFSFDGAVLGVALAYAAVRTIFRFKCTSTALRKIGGAPSVRVADLRSLLEEERSNSTEEPIVVVRGAVEARPATDGLSWKGLKSNVLVSQESGDKAVIIQRSQTYIYHEWRGFLGWTSDLRSILGRSWTKKESSTMKTVPFILVEGGKWPQSDSVIVNMDGSRHPLPLTTVYHQLQPINASPYTLLQALFGHEYPVGLLNEEKILPLGKEITAVGICGFNNGVPELKSCKELPYFLSDKTKDQMLLDLAFKTKILLWSGVVFGSLSIGILGYAFVRNWKKWKEWRQRRAQQSIQAITDEATSEITVDEDAGDIPDGELCVVCLMRRRRSAFIPCGHLVCCQRCAISVEREVMPKCPVCRMSIRSSVRIYAS >OMO70648 pep supercontig:CCACVL1_1.0:contig11801:44287:44712:-1 gene:CCACVL1_18742 transcript:OMO70648 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative actin binding protein MEPPLSRLLLLSLGILLTIFADLAESQSPDRSPPPPPPSPPSRSPPPPGSPSRPSPPHRSPPSRRPPPPPSKYHRNGTSRHRPPPPPPHSNAHKLNIGKKIGLLFIGIAIILQIGVVGFLVFKRRQLLKVKGAYETCSSSS >OMO70646 pep supercontig:CCACVL1_1.0:contig11801:27075:30527:-1 gene:CCACVL1_18740 transcript:OMO70646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPPAITHTKIFSLTVQLILIKYGNRRKFTGKDLKLISTLVWSMYLFADWLATVALSTLIRTRRDQITSPLAIFWTPFLLLHLGGPDSITAYSLQDNDLWPRHFFGLCFQIGVALYVYVKFWDLSKTMLRFMAAPVFIVGIIKYGERVYALFKASSIRFRKSVFSTPNSKNFQLESDLLKGPTSQESQQQGNLKLEQHLHQRQVEIKYRYLHRAFLLFQVFRPLFSDLKLRIYKGLSYIFEMEMEVYTAEEAFRIVEIELGFLYDLLYTKIPIVTSKMGVILRCICLSCLVSTLIAFLFVVGKHGYSKVDIGISYLLIVGALFLEIYSAILHLSSDWGILWLTIQENRFFKSLGSKLSHFSRAKNGIRTMAQHSLLDYSLKRRNFRLAKAINVFDQDDNLEKSKD >OMO70645 pep supercontig:CCACVL1_1.0:contig11801:24933:26522:-1 gene:CCACVL1_18739 transcript:OMO70645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MTLEETEPNPTSDHLLDWLDDSVSFLPSLLDDPYNPGEINGSPWWDQNQDIGHDLINIGATTATSIDSPIIAAAAENTTNNGLLQSNSAIPNPSLPSNSSKKRKLSDEPDPKTSQNHQQKKNQSKKNSNKANNCNNGNNKEGRWAEQLLNPCVTAITAGNLTRVQHLLYVLNELASSTGDANHRLADHGLRALTHHLSSSSASTSPVTFASTEPKFFQRSLLKFYEVSPWFAFPNNIANASILQILAQEPDKKRNLHILDIGVSHGVQWPTLLEALTHRPGGPPPLVKITVVAATAENNQIIDTPFSVGPPGPNFYSRLPGFAKSMNINLEINRLENHPLENLNAQIIEHLPGETLIICAQFRLHHLNHNTPDQRTEFLKVLRSLDPNGVILSENNMECSCSNCGDFATGFSRRVEYLWRFLDSTSSAFKGRESEERRVMEGEAAKALTNQGEMNEGKEKWCERMRSVGFMGEIFGEDAIDGARALLRKYDSNWEMRVEEKDGCVGLWWKGQPVSFCSLWKLDIKVEES >OMO89760 pep supercontig:CCACVL1_1.0:contig08625:6130:7023:-1 gene:CCACVL1_07652 transcript:OMO89760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGRNRNAKSKANRGCGGNEGGRYD >OMP05144 pep supercontig:CCACVL1_1.0:contig05513:2790:2858:1 gene:CCACVL1_02046 transcript:OMP05144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEQPPSPSSPASIDNIAIYR >OMP05145 pep supercontig:CCACVL1_1.0:contig05513:3755:3838:-1 gene:CCACVL1_02047 transcript:OMP05145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NDFAEKAKLGSEIAEPGSAGKRFVGIF >OMO55237 pep supercontig:CCACVL1_1.0:contig14759:22700:35290:-1 gene:CCACVL1_27340 transcript:OMO55237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICQIEEVKEEGETWKLYFDGASNLSGQRIGLVTLARSKPESESDKAASTEQRTNPRTDEYARKRATSISQRA >OMO55236 pep supercontig:CCACVL1_1.0:contig14759:3566:3694:1 gene:CCACVL1_27339 transcript:OMO55236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDPHLWRYGSDQVIRRCIPDEDIQSVLKFCPQMQVEELKPRS >OMO83397 pep supercontig:CCACVL1_1.0:contig09886:7718:9876:-1 gene:CCACVL1_11403 transcript:OMO83397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAPQVAQHEKTVLQPQKKSSDRIRSTLNKTKMASSILWLLSIFSIFTIAACIDDNCAACNAVAAELEIQLSKEKPRNHLDMRNRLDSKGQRQGKVIDYRMSELRAVELLDGLCEKMQDYTLEMIDSSRRVWIKVDNWEILTIDKQEAKTHSKDISSYCGRLLEETEDEVNPQYYL >OMO83401 pep supercontig:CCACVL1_1.0:contig09886:37965:41136:-1 gene:CCACVL1_11407 transcript:OMO83401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAGSWDGSYDPGSQSDESFHFERLHIEPLYDAFICPLTKQVMHDPVTLENGQTFEREAIEKWFKECKENGRKPVCPLTQKELKSTDLNPSIALRNTIEEWTTRNEAAQLDMARRSLNMSSSENDVLLSLRFIQHMCQKSRTNRHAVRNADLIPMIVDMLKSSSRKVRCRTLETLRVVVEEDADNKEILAEGDTVRTIVKFLSHEQSKEREEAVSLLYELSKSEALCEKIGSINGAILILVGMTSSKSENILTVEKADKTLENLEKCENNVRQMAENGRLQPLLIQILEGPPETKLSMAGYLGELVLNNDVKVHVARTVGESLINIMKSGNMQSREAALKALNQISSYEASSKVLIEAGILPPLVKDLFTVGANQLPMRLKEVSATILANVVNSGYDVDSIPIGPDHQTLVSEDNVHNLLHLISNTGPPIECKLLQVLVGLTNSPTTVLNVVAAIKSSGATISLVQFIEVPQKDLRMAAIKLLQNLSPFMGQELADALRGTVGQLGSLIRVISENTGISEEQAAAAGLLAELPERDLGLTRQMLDDGAFKLIISRVVKIRQGEIRGTRFVTPILEGLVRVLARVTYVLADEPDAVALCREHGLAALFIELLQANGLDNVQMVSAAALENLSQESKNLTKLPELPPPGLCASIFPCFSKQAVITGLCKVHRGTCSLRETFCLLEGQAVDKLVALLDHTNEKVVEAALAAISTLLDDGVDIEQGVIVLCEAEGIKPILDVLLEKRTENLRRRAVWVVERLLRTEDIAYEISGDQNVSTALVDAFHHADYRTRQIAERALKHVDKIPNFSGIFPNMG >OMO83396 pep supercontig:CCACVL1_1.0:contig09886:2910:5881:1 gene:CCACVL1_11402 transcript:OMO83396 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MESENLDNVKQVTLGKPPRHLSVMRHCVSSARLIAEANLELDVGIVVHKSASDEKTDFLPSLRSGSCAEIGPKQYMEDEHICIDNLIGHLGASEDFPSPGAFYGVFDGHGGTDAAIFIRNNILKFIVEDSHFPICVEKAIKSAFLKADYAFADASSLDISSGTTALTALIFGRTLIIANAGDCRAVLGRRGRAIEMSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELQETDLTEEDEFLIMGCDGLWDVMSSQCAVTMARKELMLHNDPERCSRELVREALKRNTCDNLTVIVVCFSADPPPRIEIPQSRVRRSISAEGLNLLKGVLDCN >OMO83398 pep supercontig:CCACVL1_1.0:contig09886:23283:24131:1 gene:CCACVL1_11404 transcript:OMO83398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAISLEPHHRNGTVSHVESRDYRSV >OMO83399 pep supercontig:CCACVL1_1.0:contig09886:27759:27887:1 gene:CCACVL1_11405 transcript:OMO83399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRSAESTRPELTNGNGNVPSSWKIDGYDMAYTISVEKGTV >OMO83400 pep supercontig:CCACVL1_1.0:contig09886:28988:30730:1 gene:CCACVL1_11406 transcript:OMO83400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAENVSGEESLRQDFESLSVSKRLVRSVSQKLRKKTQRGEGEEEDKVRGVSLKCLTLYGRGGGCKVGAETGEEFGDPSNRRRSSASEEGKVYKIICGTEENNFDCFSYGVKEKFWKKNNRKDLELEESVRNSRMHIFLPDDILEMCLVRLPLTSLMSARLVCKKWRYLTTTPRFLKMRREGSYQKPWLFLFGAVKDGYCSGEIHALDVSQDQWHKIGADNLKGRFMFSVASIQDEVYIVGGCSSLTNFGRVDRSSFKTHKGVLVFSPLTKSWRKISSMRYARSMPILGISEVSSDFSVIQSHQNRHDRRFPRSRVGGVSDVYEDPHRLSLRRQYRNAFDENEPSLLPNRKSYKFIRQRSEQSNSKGCKRFVLIAVGGLASWDEPLDSGEIYDSLSNKWTEIQKLPIDFGVICAGVVCNGMFYVCSENDKLAGYDIERGFWIGIQTSTFPPRVHEYYPKLVSCNGRLFMLSVSWCEGDGQIGRRNKAVRKLWELDLMYLTWTEVSVHPDAPMDWNPAFVTDRNLVFGVEMFKIFGQVLDFFTVCDVSDMGMSWNHISRNHMAHELDASSCMTKTMAVLHL >OMP03033 pep supercontig:CCACVL1_1.0:contig06155:593:3374:1 gene:CCACVL1_02611 transcript:OMP03033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DQPERAVRLFAKMRQRRIQPDIRTYEHLFSLVGTVNAPYEKGNMLSQVDTMKRINAIESDMAKNDVQHSFNSMRNLLRALGAEGMTRELLYYFNVAEQLFRQNNTYLGPPIYNTVLFSLVAAGEIQTAIEIFKYIKSYGFHPNIATYTIMIDSCSTIKCFKSACAFVSMMIRDGFYPGKVTYTALIKILLDHANFDEALNLLDQASLEGHERDELFYNTILHKARDEVRIDIMEFIIKLMHRDKVQPDPSTCFYVFSTYVVSGFHHTAVEALQVMSMWMISDEDCTLEEKKSQYEDNFVLSEDSEAESRIIQFLNSEEHLMAALLNLRWCAMLGFPISWSPNQSQWARRLTIHF >OMP03035 pep supercontig:CCACVL1_1.0:contig06155:8294:12379:1 gene:CCACVL1_02613 transcript:OMP03035 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MALPRRRYNYYHRLRSLLPVLSAISGALLIFFAILSILAPSPNESDQFHLRHHQTSFNAVVEASNEDSGFRIPSSGGKLDRDIWSSKNAKFFYGCSNASSKFARAEVITHPSRYLAIATSGGLNQQRTGITDAVVAARILNATLVVPKLDQKSFWKDASNFSEIFDVDWFISFLSKDVKIIKQLPKRGGKNWTPYTMRVPRKCSERCYQNRVLPVLLKRHNAVQLNKFDYRLANKLDTDLQKLRCRVNYHALKFEPDMLAFSGCDYGGGEKERTQLGAIRKRWKTLHKNNPDKQRRQGKCPLTPEEVGLMLRALGYGSDVHIYVASGEVYGGEETLRSLKALFPNFYSKETIATKEELEPFTSYSSRMAALDFIVCDESDVFVTNNNGNMARIIAGRRKYFGHKPTIRPNAKKLYRLFPSRSNMTWEAFASRVRTLQRGFMGEPNEVRPGRGEFHENPATCICEDSAAKEKAKADSKHKKHAKVEDSSKKDVASDEQNDEDDDEPEWPDMEDDEDQSGLQEKGVYNNNITGSDYDAVNSDEPELEEMLSD >OMP03034 pep supercontig:CCACVL1_1.0:contig06155:4157:6551:-1 gene:CCACVL1_02612 transcript:OMP03034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLTVKPSSFVLQSSSTALSSCKPKQCLSSVSFPRNIKNKKRLNLRIQAYDSSKSDEASKSNGDSKPPNGTLPKTRRDILLEYVKNVQPEFMELFVKRAPQQVVEAMRQTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVIMTGYMFRNAQYRLELQQSLEQAALPEAQEEKKDVPDYAPGTQKNVSGEVIRWNNVSGPEKIDAKKYIELLEAEIEELNRQVGRKSTNGQNELLEYLKSLEPQNLQASVTETSASELAKLLYWLMVVGYSIRNIEVRFDMERVLGTPPKLAELPPAENI >OMO95454 pep supercontig:CCACVL1_1.0:contig07675:4166:6570:-1 gene:CCACVL1_05405 transcript:OMO95454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAENKEILRKHENRFEPKNVFSMIPKIEFNLPFFNQNSKKLEPSVVKKEEEIQVPKPPSVFIGNRQKFPPPLEVEAEESVGRTSNPVILWQVYAVGGFFILKWIWARWKERKEMGSKKESSDDEQPPANDDDSQYV >OMO95453 pep supercontig:CCACVL1_1.0:contig07675:345:1882:-1 gene:CCACVL1_05404 transcript:OMO95453 gene_biotype:protein_coding transcript_biotype:protein_coding description:myotubularin-like protein MAAPRPRRPVSVRDPSDGCERLDGTGSWDALEWTKIEPVTRSVSHANLEFLLEAERVVGEGHGVVLVNTDEAGTLFVTNFRLLFLERFGLGLGTFA >OMO95456 pep supercontig:CCACVL1_1.0:contig07675:12875:15778:-1 gene:CCACVL1_05407 transcript:OMO95456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MVRGGKVGHRSNSKKRVRSKDEGSDDSDEDYVVSAEENDDTEGDAEDYCSSLDECASEESFGSFVDEEDEEEEEVVRKVVRPKARRMPSPKERKIVGRKSRKRKSASDEEEEEVDEDYVEEKMPSPKEMKIVGRKSRKQKSASDEEEEDEDYVEEKMPSPKEMKIVGRKSRKQKSASDEEEEDEDYVEEEEEEEEEVDEEEDDDEEFTLDEEDCLDEEEELTMKKKKNNLKVSRQGFRKSGPSRRRKNWKKPVASKKQGGRKKRTLKRKKRVEEDEDEYDCDFIDSSPIVRKKIRTNIKRRKKAYVVPSDSDFVSSGSSDYEYTISEEEREQVREASALRGGLKANLRSSSSSKRIQEVEDLGQCKKPPGRKGKEKLEEIKAEVIKQVCGICLSEEDKRRLRGTLNCCSHYFCFTCIMEWSKVESRCPLCKQRFETISKPARAAAGVDLRDVVPKRDQVCYVPKRDQVYQPSEEELRSYLDPYENVICSECHQGGDDELMLLCDLCDSSAHTYCVGLGREVPEGNWYCDGCKPVALGSSSSQVPDSLPDQRPINNLYNRLSPIVNVGETLDSIVVPSPRMPLPPGFAGLSSPRFPVGDIPAISPGSGAGAPTLTQRRWLHRQIQTMRMNRMTGRADGLSAVNMGIDLINSHIDQSRETTAHQARAQDMTSLQQTVFEQRIQDDPSSSLQSRDLFPSRLGHLRRQDSSTTTFNTPVNLTLWPGLAGISSNDQLRHCSNGSNIGPDGCGLPYSVRDEDNFSIAREQLQAMVRVHMKELSKDFELDNGSYKDIATRSIHTVLAACGLEHRRSEDPIVSPPSNCTHMERLAAGQMSLLKGCCLTCFDSFVKYVVKKIMDTTSPRLCLSLAL >OMO95455 pep supercontig:CCACVL1_1.0:contig07675:8459:9229:-1 gene:CCACVL1_05406 transcript:OMO95455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNVEIEIEKENSKFPKNLLLIFKKLPFSGKRQVEVVIEKKKPIENDVVDEKKSINKPADVVKFAEPRPAVPPPLKLEAEEPAGKTSSQIILWQDESTCRLHFNMLLKAQLFLGPPV >OMO95530 pep supercontig:CCACVL1_1.0:contig07661:31042:32961:-1 gene:CCACVL1_05381 transcript:OMO95530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLAERRKLADLTIGPVLTVTTEAMLEHKKKLLQISGSKLLFGGEPLENHSIPSVYGALKPTAIYIPLEEILKEDNYELVTREIFGPFQIITEYEKDQLPLVLDALERMHAHLTAAVVSNDPIFLQDVVGNTVNGTTYAGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDFGPLPKDWEIPPST >OMO58229 pep supercontig:CCACVL1_1.0:contig14258:10541:13459:-1 gene:CCACVL1_25528 transcript:OMO58229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S28 MNSAAISFQWLQILIFLLVLSISVSSIAAAQYFNDIPRLSLILEEYSHDQVLSATSVSEDLQTFYYTQTLDHFNYNPQSYSTFQQRYVMNFKYWGGANSSAPIFVYLGAEASLDSDLAAVGFLDDNAPRFNALIVFIEELMATYSPVIVFGGSYGGMLASWLRLKYPHVALGALASSAPVLYFDGITLTPEGGYYSVVSKDFRDTSETCYQTIQKSWADIDKVASQPNGLSILGNKFKTCNPLSSSSELKSALRLMYGYAAQYNRAPTYQVSAICKGIDGFNSYLGSQDNDILDKVFAGVVAFYQNSSCYINPITNASETAIGWSWQRCSEMAMPIGIGNGTMFEPNPFNLSIYKEQCESFYGVPPRPNWVTSYYGGHDIKLILQRFGSNIIFSNGLRDPYSSGGVLKNISPSIIAVTTVDGSHCLDILPEKETDPDWLIRQRKTEFKIIKAWISKYYADLKAYKQ >OMO51993 pep supercontig:CCACVL1_1.0:contig15646:24855:28567:1 gene:CCACVL1_29452 transcript:OMO51993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MHAPVLVLKDSLKRESGTKVHHANIQASKAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNAILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIEKNYHPTVICRAYIKALEDAIAVLDKIAMPIDVNDRSTMLGLVKSCIGTKFTSQFGDLIADLAIDATTTVGVDLGQGLREVDIKKYIKVEKVPGGQLEDSQVLKGVMFNKDVVAPGKMKRKIVNPRIILLDCPLEYKKGENQTNAELVREEDWEVLLKMEEEYIENICMQILKFKPDVVITEKGLSDLACHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDDFFTFIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNIIKNPKLVPGGGATELTVSATLKQKSSSVEGIEKWPYEAAAIAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWTGIDGNTGAIADMKERKIWDAYNVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGAGPSKPKIETEADADGEQILPD >OMO51992 pep supercontig:CCACVL1_1.0:contig15646:14032:22975:-1 gene:CCACVL1_29451 transcript:OMO51992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEAPDFWLEESSEKPVAPVSLKRKFKSKTPVFVGWGSKPLIEFLDSIGKDTTEQISRHDVTDIIMKYVNENNLLNPAKKKQILCDERLHSIFGKKTISRIKVYELLEIHYAENQDNWDEEFFFSSEEDNRVEQQKNVVSERKAYQKRKVSETPKSSFAKIVPDNIKLVYLKKSLVEDLLKDSENFEAKVVGSFVRIKPDRFYIHKNTHLLVLVKGLKKASGTNAINADIRFEVHNYVKDVSISMLSDDNFSEEECEDLRKRVKNGQLKRPTIGELEAKAQSLHADITKHWIAKELTLLGKLIERASEKGWRREYPFGLRELLKTPKEQSRLLDEVPNVIADEIEAETAPEEHKKTDNPAISALRGPSEIPSGTAPNGNLATLMPSTTGSGENVQVRSPSMAVQPSVVFSRDNAAAQSPVAISNDSSDSLQDVDVPERLNEPINNNGSAQSTVTISNAQVIDLSDDEEQEEEEQGSNEVEAHDNVNVNILMWHYSDPQGDIQGPFSLNSLKAWYDADYFPSDFKVWKTGENRSKAVLLTDILRQMFHD >OMO51991 pep supercontig:CCACVL1_1.0:contig15646:29:11760:-1 gene:CCACVL1_29450 transcript:OMO51991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MENGHDGKLADKLSGLALNDKEIKDSSNDDSLFQVMKAVEAAEATIKQQAEENSRLRAELQKKMEQLEKIKVGSGSNPNASANEAIKMNLNGTLNAFRPPPVAASDNNPSTAPSHLSSPSTARSISPTRYQLEGEYDSRLPQGLMQMPQENNSNSLWKQDVSVKIRENEDEIMQLRKQLAEYSIKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYQLQAAQQERTTFVSSLLPLLAEYSLQPPVPDAQSIVSNVKVLFKHLQEKLIITESKLKESQYQLTPWRSDVNQSNFPPQSPSHSLGATLTTSSKNGLELVPQPAYSQGMTQIASDAQTESNWDLPGQHQGGLGGGIASNNMEYSPIASRTSAANEIQTQLAVTRGDMHAARYGEETINKQVKFRDPVSNNSEMDDPDTEGHQMEREPPNWGSGNSPYETGVDDPSSSYPPYLPPVLEESSYSEAADDDPLPAIEGLQISGEAYPGRELQACGYSINGTTSCNFEWVRYMEDGSVNYIEGAKQPNYLVTADDVDTYLCIEVQPLDNRKRKGDLVKVFANEHKKITCDPEMQSHIENTLYSGHASYKVSFSTGFLDIWEPATLTIKREGYSIKCTGANGLVVTEKFSPSTQIQVIFGDPTEFVIIGSNGAQRTLKADRSSNDVSCSRDTIVLTFRLFVIRAGERRKGKKRGLFFNKFKSSKISMASLEEQVASLAKAVESLANNIKEKDEQISFLMMKVVENKGKDKPQILQQVDDNKNVPEFGENSTKIAAEDLQSISSDQIKELIKEAIKDQAENIAPLSYTYAKPYSQRIDCMKMPDNYQPPKFQQFDGKGNPRQHVAHFVETCNNAGTYGDLMVKQFVRSLKGNAFDWYTDLEPGSIDNWEQLEHEFLNRFYSTRRIVSLIELTSTRQCKDEAVIDYINRWRNLSLNCREKLSEASALDMCIQGMNWTLRYILQGINQKLLEELATRAHDMELSIAVGGNQEPLVREPTEHKGGKFVTKEVESKQSMAVSIKSFKTANDSKKVKSKSSQEKIKISLKEKREKEYPFLDSDVPKMLEELLKFDLIQLPEMKRPEEADKVNDPNYCKFHRLISHPVEKCFILKDKIMELYKEGMIEFDDEVATSNFVMAIPIPSMKFGSFDPMKMHAKLTSNDDVKQANGGNARS >OMO51994 pep supercontig:CCACVL1_1.0:contig15646:29274:30596:-1 gene:CCACVL1_29453 transcript:OMO51994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQAVQKNTLYVGGLAEEVNEAILHAAFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEKEDASAAMDNMDGAELYGRVLTVNYALPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRIQAENQAAMRAAEELHRKKMADEREGEKEDDTEMKDDPMARAEAEALKQTS >OMO80394 pep supercontig:CCACVL1_1.0:contig10326:8109:9038:-1 gene:CCACVL1_12992 transcript:OMO80394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETYNSITSRKNPPKRWEDLDTNILKGILSRIPPDNLFWEISCVCHAWQSVAWDFLFWNHNVLDLKFVMTALSDSPYAEPTNLLELYSRRDFPGKYPSPREYHQMAAKLTMLLKHIMEGNDPYGHSLWDWRQSIHTIVIPFDLELSDDHLLYIAERYIA >OMO80396 pep supercontig:CCACVL1_1.0:contig10326:22459:25624:1 gene:CCACVL1_12994 transcript:OMO80396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQSPWSKIPTWLDLPAFINGKAKFESLIAVILIMAQITSPLPLGGWESWSILPANAVLYSPETKLPRTGELALRRAIPANTNMKAIQASLEDISYLLRIPQRKPYGTMEGNVKKALKIAVDGKDSILASIPADLREKGSTIYASLIDGKGGLQDLLKYIKDQDPDKVSVGLASSLDTVAELELLQAPGLSFLLPEQYLNYPRLTGRAIVELAIEKGDGSSFTPESGGEPRKTATIQVVLDGYSAPLTTGNFAKLVTDGAYDGTKLSCINQAIISESGTDKNGYSVPLEIMPSGQFEPLYKTTLSVQDGELPVLPLSVYGAVAMAHSEASEEYSSPFQFFFYLYDKRNAGLGGISFDEGQFSVFGYTTAGREILPQIKSGDVIRSAKLVEGQDRLVLPNES >OMO80393 pep supercontig:CCACVL1_1.0:contig10326:4504:5679:1 gene:CCACVL1_12991 transcript:OMO80393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MGKSNSSRDWTQIYAIYGMDQWQTLVFLLCHAVFFSLLSVLFLLYFDSVFHFFHTFLSSPEAARFAAGFGGAVTAISAVCLFFSAANFFYSAGPLHYDMAQRMVGSVNDWSTVKLALDIGCGRGILLNAVATQLKKTGSSGRVVGLDRTKRTTLSTLRTANVEGVGEYVTCREGDVRSLPFGDNYFDVVVSAVFVHTVGKEYGTRTVEAAAERMRVLGEMVRVLKPGGVGVVWDLLHVPEYVRRLQELKMEDIRVSERVTAFMVSSHMVSFRKPSQHILGPSEVRLDWRC >OMO80395 pep supercontig:CCACVL1_1.0:contig10326:12438:21346:-1 gene:CCACVL1_12993 transcript:OMO80395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Foie gras liver health family 1 MANYLAQFQTIKSACDHLVIAVEDVSDLWPTVKNSFEERLPFKRACLNNKTRNPVFVENLPAEFILTTDARLRSRFPQEQYLFWFREPYATLVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSRAHPSNDQATKMAKKVYAKLEVDFSSKKRERCCKFDVHGPEANFWEDLESRIMESIRNTLDRRVQFYEDEIRKLSEQRFMPIWNFCNFFILKESLAFMFEMAHLHEDALREYDELELCYLETVNMGGKRREFGGLDHGDDQAALLNPGNKPLTQIVQDDSFREFEFRQYLFACQSKLLFKLNRPFEVASRGYPFIISFSKALALHENILPFCMREVWVITACLALVNATSSEYKDGHVAPEIEKEFYRLQGDLYSLCRVKYLRLAYLIGYGTEIERSPVNSASLSMLPWPKPSVWPSVPDDASSEVLEKEKMILQETPKVKHFGIQRKPLPLEPTVLVREANRRRASLSAGNTSEMFEGRPAFADGSDVSLKTSPSNKVQAISMSRTYSTPGNFEGSIDRPMRLAEILVAAEHALKQTISNPDLRKTLSSIKDFEQKYMELTIGAADNYHRSWWKRHGVVLDGEIAAVCFKHGNFDLAAKSYEKVCALYAGEGWQDLLAEVLPNLAECQKILNDQAGYLSSCVRLLSLDKGLFTMKERQAFQSEVVSLAHSEMKHPVPLDVSSLITFSGNPGPPLELCDGDPGTLSVTLWSGFPDDITLDSLSLTLMATYNADEGGKLRSSSATVLKPGRNTITFPLPPQKPGSYVLGVLTGHIGHLTFRSHSFSKGGPADSDDFMSYEKPTRPILKVFKPRPLVDLSAAISSALLINEAQWIGIIAQPINYSLKGAVLHIDTGPGLKIEESHSIEMESYGNAPKSSSDSGDGSVAVNKEFDQLSLLDGKIEFPDWASDVTSILWIPIRAIDDKLARGSSSGVPQRQSIVDGMRTIALKLEFGISKNQIYDRTIALHFTDPFHVSTRVADKCNDGTLLLQVTLHSQVKASLTVYDAWLDLQDGFVHAGQGDGRPISGFFPLVTSPTSRAGLLFSVSLGKRIAEDENKAQPDSILNIRYGIAGDRTNGAHPPVAAKSNETEGTGQDLIFRSALVLQQPVLDPCLAVGFLPLASDGLRVGQLVTMKWRIERLKDIEVKKVPQTDDEVLYEVNAHSENWMIAGRKRGHVSLSTKQGSRIVVSILCVPLIAGYVHPPQLGLPDIDEANISCSPAGPHLVCVLPPALSSSFCIPA >OMP00918 pep supercontig:CCACVL1_1.0:contig06586:15069:15155:1 gene:CCACVL1_03247 transcript:OMP00918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVGLVQFSVPFQKLGAFQAKAKPAGV >OMO55185 pep supercontig:CCACVL1_1.0:contig14771:13687:18517:-1 gene:CCACVL1_27354 transcript:OMO55185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MASASVLFAATGLGSVAQVKSPRLFVRSSLDTNVSDMSVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYESRQFIGGKVGSFVDRKGNHIEMGLHVFFGCYNNLFRLMKKVGAEKNLLVKDHTHTFVNKGGEIGELDFRFPVGAPIHGIRAFLATNQLKTYDKARNALALALSPVVKALVDPDAAMRDIRDLDSISFSDWFLSKGGTRMSIQRMWDPVAYALGFIDCDNISARCMLTIFSLFATKTEASLLRMLKGSPDGYLSGPIKNYITERGGRFHLRWGCREILYDRAANGETYITGLAMSKATNKKVVKADAYVAACDVPGIKRLLPSQWRESEFFNNIYELVGVPVVTVQLRYNGWVTELQDLERSRQLRQAVGLDNLLYTPDADFSCFADLALTSPEDYYIKGQGSLLQCVLTPGDPYMPLTNDEIIRRVAKQVLTLFPSSQGLELIWSSVVKIGQSLYREGPGKDPFRPDQKTPIKNFFLAGSYTKQDYIDSMEGATLSGRQASAYICNAGEELVALRKKLAAVGSQEQMGISGVTDELTLV >OMO55186 pep supercontig:CCACVL1_1.0:contig14771:21504:22106:1 gene:CCACVL1_27355 transcript:OMO55186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGMQFKDDTALASTFNLVPADGTDEDEPSKVDVEVVTVEDTQIPKKSMGEHLNVIDNHCMMEQNPQPHSESEHQSEPPQQKKRSPESDSSHLNKGKEKVPKLVGRVAKLSRQIDRLCTAAESMSTATSAKLTFEPYNIPEAIRLLDSMEEEVPKKSQLYFFATKLFLNKDKRTMFMSLSTETRAWWLKMEMEESSQVA >OMO55184 pep supercontig:CCACVL1_1.0:contig14771:5829:11911:1 gene:CCACVL1_27353 transcript:OMO55184 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MEDLVLDLEVASDPEMEKVGWSVLGKIASEKPLNKGVVKAILGNLWPEKDVPIIGDVGSNLVNLIFTFEEVMNRALTKNPWSMMGYYLNLKYWPPDKAIREVDLDSIAFWVQIHSLPREMMMKSNAMKIGSSLGKVIEIEEPRGRFGINRSFLRVRVESRAENPLVPVCWVNRPGGDRAWAEFNYEKLSDFCFNCGRLGHTCKFCRSEVAVAQRFGPFMRAPMAKQLLSPGKQRSISWDGRKKPWECDWHLQKVARGFNVDPVSEKVVRGLNFDHASEKARGVDDVTAKALGTQNVTLGIASGSFGDAKVGPNMPAQQPASDIRLVDTDVTMGVSRRSADVATRRPAREQAVPEADYPDEPGVPELDYPETTKTYEPDSPEIYESGSQDIVNRRKLPNLSPVKMIRTVMNLSNVFHSLHLKRGAGDDIDLKDCKKKQKVVGLLNPDHMDSDCQTIDLERAQEKEVLCLGLGKCVPQTKRKYKRKAGVRGRGRKANSAVVIRELEDPHEVPITQASLNSVVRALKGLKRNTDPGWIFLMETKNNSVKVDNLGGMLGYDNAFYVEPAGLSGGLAIWWKKGIDIRCPVDFEDRQRLYQVLVERITITEGLVLCMGDFNDILTPEGKKGGATLHLVLPKGQPAHLANGAWLQCFPDAQVFNQPAIGSDHLPIRLDTTPEVPKAKRYFKFEAMWVDNLNCEELAKIQSGEEMDNSSEDLHKIVKKIDEALENEERYWFQRSRVKWTLFGDQNTAFFHQTTLQRRQRNKILRVKDSNGVWLEDEAFVINKFSQHFRNLFSSDTEYDWRKVISHMPQVITEDINKELTQPILEEEVKWATFEMGAHKAPCPDGFFHGGFMLRELNRTNIVLIPKVASPEEVSDYRPISLCNFAYKIISKILANRLKKWLDLVITQNQNAFIANRQIQDNILIAQEVFHHLRLKKKRKRCELALKLDLNKAYNRVEWGFLEAVLLKLGFCRKWVSWIMQCISTVSYTLVMNGRPAGEIVPSRGLRQGDPLSPYLFLVVVDVLSRMIQDAIDDGSVKGIKLSKHCPVLSHLFFADDALLFMEARVQNCQKVAEIIEKFCKASGQRVNLLKSSAIFSLNTSDQLRNDIAGSLGITVAQNPGTYLGIPGLWGKTRKEVLSVLKSRILSRIQGWKQKLLSQGGREVLIKVVVSAIPTYLMACFKCPKTFCQEINSAVAKFWWGQLKEESKIHWGNKPRDCLRMRMLFGPVSLKVYIFLIPLFWRLKRGPDPPGLGATFWRVEISSKNIFAGRLEMGTLQRSAEKEAISNVPRSRCYGNDNVIWPNDKSGDHSVKTGYYTIRSGRRIQIRPLVSSSHTIDNQVWKIIWALCEKKVRTDLLCPICRDSPETVEHLLLTCEWVQGVWFGCFDYRISKKDVTTFDDWLVEVCKSARENFSKIWRGHLSSRATTNDIGKPPDPWYPPEPGWIKVNGDVAFNPASDEPGWIKVNGDGAFNPASDEERKVIFETDSAELVAAINGGKKKKWTVEPFLQDFRELTKDLAAKRVSLVHRLANVAADWLAKSVSQGKCPSGRVSASVDRFIDPRFGLGH >OMO55188 pep supercontig:CCACVL1_1.0:contig14771:88687:94859:1 gene:CCACVL1_27357 transcript:OMO55188 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MRSSTDRINIAASAQRLDADNRISLRYYYRIADNADIFRAEKNIIDLYIMLLRFSSLVSETIPCHRDYKASLQHQKVYLKKRLLSALKELEDLKPAVQQKIDELNRKYTYQSASFQQSSLKNQSLPYYGATKAVRPAGRELVNYGSRTQPFSYTKPMEQFSRMSLNLLRPKEETLSKHSILGPNGLYGQWQPPRSDKGVQYPINIDLTPIEIPSLRQPIENELPGKNDYSKSEPVASSMESTLTIDNAENSQKSHLDKPSHLDRPMISFEESETTDVPIKVIRQPSPPPVLAEVQDLVHAISPQVTETDCKIDDLSADGLVRSESPLQLHIATTMMESFMKLAKSNTDRNLETCGVLAGSLKNRKFYVTALIIPKQESTSDSCQTTNEEEIFEVQDKRSLFPLGWIHTHPTQSCFMSSIDVHTHYSYQIMLPEAVAIVMAPRDGSRKHGIFRLTTPGGMSVIRQCQRRGFHPHDQPPDGGPIYSSCTNVYMNPNLNFDVIDLR >OMO55187 pep supercontig:CCACVL1_1.0:contig14771:49536:88618:-1 gene:CCACVL1_27356 transcript:OMO55187 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore membrane glycoprotein MFVGSAGFRPANQFGEAEALRNVVNGGSGEKGGTGNKARKSFCYLALGNSTYFNSGFVGIINVTYAGPQRKFYPSSFSDIPVSSQVPQTIAAPIDPSPPSSAVHSQHESSDTQGTVIPGSRHPRLTVPSS >OMO89875 pep supercontig:CCACVL1_1.0:contig08592:2543:3480:1 gene:CCACVL1_07581 transcript:OMO89875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLFSSLFSLLPPQPKRMSIPDMVEVARVYITRFQRHIEELSQRRMQYLVEEEANNRATTIPPVLNIMEFNSIMEINLITGSNMAFTLSDIISIIEEEGAQVLNVTYNTAGNTNILSIRCQAVYARIGIENSRLLHRLRTMIEE >OMO50456 pep supercontig:CCACVL1_1.0:contig16175:5459:7251:-1 gene:CCACVL1_30427 transcript:OMO50456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MENPSNDAPLMENPPTDAPMMNHPPTTDFESPREENAPAERAETNAIHNANESSISEERKSRGRNRGRSIPSDPSQRLPLTVINESDFLEDGVAADITATILNNYNDPYPTWGQYPKPAQIGLWQKFQAKYTWGEGLDEVVEKIWHTKCSRGLRDAVCRAKKYGCEKAGINPYDPNADWSKVKSCRHKDCFIPQRVWEILVDDIWSIEKNRKNAENGKRNRNSETGGSVTKHTGGSRSFAKHRHDMRGKDGVDPSQLDFFKKTHERKNGGAFVDKKSKSVSDKYTSSLKEKHGAESYVHVQFDPKAWKDAIGKRSRSHLYGFGSLEGQRVLLRGSSSQRPTVPETQNHQNQVKEQMLAVALSNILPGMLASMSNSPNANQSGDNVVLPSPQHDSEATESEDGNDGENGDGMNMG >OMO50457 pep supercontig:CCACVL1_1.0:contig16175:7572:7838:-1 gene:CCACVL1_30428 transcript:OMO50457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSESIYQEDDPNLPTLVLPTDELDHEGILHGGHLEQADMSENVDFQNEEDDGEEEIIEEKELDENESIETEEEEMEHMSSDSDDGY >OMO56524 pep supercontig:CCACVL1_1.0:contig14508:17677:20272:-1 gene:CCACVL1_26485 transcript:OMO56524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVIESSVWEPSSFVYVFIFLSCFLSLAFFPYYFSKHAPTKSTPFSDNSTSSSSLRFQRYFLFLYSLAAVLEGLWSVYGEFELVYYGVSKEDTVSFLCIGFGVALFVGSFLGLLSDLIGRKKFCLVFCILHLIVGIWKRITSSPSFWVANVCLSLATSIFSFGFEAWAVDEIEKQGHRQDVLHETFWLMTFFESAALIGSQVIGNWLVGSGNLEKGIASPSTAAILLAIVGIIVMSKGYKGTPRSMTFKDYRASFCACVLGDRRIWLVACAQACLCFSVAVFWILWAPTLVADGRGVYLGLIYPCFLGARMSGSTVFPWLINVSLRTEDCLVYAFTIQGLLLSIIAYDYQEIGVLVTQFSLFHVCIGLILPSLARLRTMYVPNELRGGMITLSLAPANAAILIILMQRGYYRAIENSTMIAFAAIGLFIAAGCMHVLKRCGKQPYQNWHKL >OMO56525 pep supercontig:CCACVL1_1.0:contig14508:21707:22603:1 gene:CCACVL1_26486 transcript:OMO56525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 16 MKFFIVFFFVSMLAFNLGVSLPIPEKPTFLSFKESFSTLFGDQNLRPLDANGNSVRISLTKSTGSGFKSRSLYNSNFFSASIKLPPNNTAGVVVAFYTTNADVFKSNHDEIDFEFLWNVPGQEWIVQTNLFGNGNMQRGREERYKLGFDPTQDFHSYSILWNKNWIVFYVDDVPIRQLQRVDAMGGDYPSKPMSLYSTIWDGSDWATAGGKHKINFGFAPFNADFSKFVIPGNGCSTDQIQQCQDNANVQKFVADFNGLKGDELSKMEDFRKKHMTYSYCHDRRRYPSALPECIQVKG >OMO56530 pep supercontig:CCACVL1_1.0:contig14508:36007:38865:-1 gene:CCACVL1_26491 transcript:OMO56530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSSLIPFPNKLSDISFSSSFSPFPLQLRRNPSKPQKFRIFAVAVDPPQELPRNSPQRLLKELAQRKRATPPKKHIPPKKFILKPIIDDKKLTERFLNSPQLSLKQFPLLSSCLPSSKLNNADKTWIDEYLLEAKQALGYPLEKSEELGDDNPAKQFDTLLYMAFQHPSYDRTMARHVKNAHSRLGFLGQYVLELALAEFLLQRYPRESPGPMRERVFALIGKRNLPKWIKAASLQNLIFPYDDMDRLIRKEREPPVKSVFWALFGAIYLCFGMPEVYRVLFEVFGMDPDAEDCQPRLRRQLEDVDYVSVEFEGNKLSWQDVATYKPPEDALFAHPRLFRACVPPGMHRFRGNIWDYDCKPQVMQTLGYPLVVPDRIPEMTEARNIELGLGLQLCFMHPSKHKFEHPRFCYERLEYVGQKIQDIVMAERLLMKHLDAPGRFLQERHRRTVMNKFCGRYLREKYLHRFIIYSEQVQDAYENNRRLRNPATTAVQQALHGLSYTVYGKPDVRRLMFEVFDFEQIQPKTV >OMO56520 pep supercontig:CCACVL1_1.0:contig14508:9571:11803:-1 gene:CCACVL1_26481 transcript:OMO56520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLNVYCSITIPSPRHIKLGSSYGSCLPRYHGGKKLPRGITIRALKDEMDGGMSSSFPGRKWEPGLEIEVPFEQRPVNEYSSLKDGPLYSWGELGPGEFFLRLGGLWLVTFTVLGVPIAAASFNPSREPLRFVLAAGTGTLFLVSLIVLRIYLGWSYVGDRLLSAVIPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVIKLLKQTLVGTGALLVTSVFLFIFATPVEDFFKTTFAAKETPSSVASKTNKKFDIRKEELLQLPVEVMSDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWEDLLK >OMO56529 pep supercontig:CCACVL1_1.0:contig14508:34869:35636:1 gene:CCACVL1_26490 transcript:OMO56529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKISSMANIAEKINVEFDDELTYIFNDDNAEKPNLPKFPKGELNDDDEYSPVLGTSTPYDECFMSPSYMLSPGLCLLPNTGAEPESSPGYSPSSPGYDPTSPGYSPTSLAYSPSSPSYFPSYSPTSSSYSPTCPSYEYDPTSPGYGLTYSPTSPSYSYTPISTPYSPTSPSYNSFTSPSYSLVTSSTYSPTSPGYYSPYCPTSPSYSSSHKYSTPSPPAYSPSSPRLSPSGSYSLTSPNYSDLPELELLPWTP >OMO56528 pep supercontig:CCACVL1_1.0:contig14508:33101:33473:-1 gene:CCACVL1_26489 transcript:OMO56528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTREPVRNWLIATKKSIDEITEEVRFSSEVIREAKLKRARDELEAEARRSAEAKQVEPLVE >OMO56527 pep supercontig:CCACVL1_1.0:contig14508:29220:31985:-1 gene:CCACVL1_26488 transcript:OMO56527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVRRTNPPLLLRLLLVCAVVASRPPLAHDCRDNREIDEISCSNRPKIMSKSRVYADVNVIRPKEYWDYESLTVQWGDQDDYEVVRKVGRGKYSEVFEGINVNSNERCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYHLELDPQLDSLVGRHSRKPWSRFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAKEAMAHPYFSQVRAAESCRMRIQ >OMO56519 pep supercontig:CCACVL1_1.0:contig14508:5369:8766:1 gene:CCACVL1_26480 transcript:OMO56519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFSGLLYRYRKISYVSIQLNPYSYSLSLCSSFLHPSFRTQWFSTGAGSTAQTEFPVENAYDILGVAETSSFAEIKASFRRLAKETHPDLAESRDDSSTSSQRFVQILAAYEILSDSQKRAHYDSYLFSQRNILQKQSRQGSKLYTYTSHTTVNKQMEVVEWLKWYRFTINDIVSQRKAVVGTSYLDVLEADFYSAIHTAYYGPVIESIDLLPDRFEAEERSVYETPEVLHLVSGRDLFGMVCLVNSVPELSCTYAEKLTSTSAASVMSQSRQNDRIYMNDEKEIHGGISQMKNTDNKNYVSDAYRDLELHISGRVVAVATRTHPKSCFDEMQNEDVQDNIQVFLTSDDDSILVGKGLDHKIGSRILLGTITGLGTSEEEDSCFVYNSSGTKTHVIMKHRTLLVKHMHWYRVGDEVSVCECRSSRARLPPSKFWLFEPRCGMHDIGGWYVETFGKDKKGRTVPSQRYWDGFDANEQFDGRLHPAMYLFALAYRTLDIEDAKIRKQTIRDIIEGKLYRILSFCKKLV >OMO56522 pep supercontig:CCACVL1_1.0:contig14508:12919:14421:1 gene:CCACVL1_26483 transcript:OMO56522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MKAKSRGYKADLAFSGVFVFCCLFFIAGFFTSNLFSQEAYGSRQRRRVVETVDSEFTISHGETGDGSISMIPFQVISWKPRAFYFPNFATAEQCQGIINMAKAKLQPSHVLLPKGGTGQANEIRTRYIAFNVLRYEVGQKYLSHYDAIDPERYGPQKSQRVATFLLYLSDVQQGGETVFPYENGLNMDENYDYTKCTGLKVKPHLGDGILFYSLFPNNSIDPTSAHGSCPVIKGEKWVATKWIRDQPDS >OMO56523 pep supercontig:CCACVL1_1.0:contig14508:15257:16754:1 gene:CCACVL1_26484 transcript:OMO56523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MATTYAFDDYTPKAKAKSRGYWYWWNNYSRAKLGFPSVFIFCCLFFIAGFLCSSLRSQEVSGFKQSGRQLEETLNYDVMAHGKTGDDSMSVIPFQVISWKPRAFYFPRFATPEQCQHVINLAKSKLEPSKLALRKGEVEKPQDVRTSMGTFLRASQDGAGILNAIEEKIAKATKLPRSHYEDFNVLRYQLGQKYDAHLDAFPPEQYGPQKSQRVATFLVYLSDVEEGGETAFPYENGMNMDGSYDFKKCVGLKVKPRMGDGFLFYSLYPNNTIDQLSLHQSCPVIKGPKWVVTKWIRDQEFSL >OMO56521 pep supercontig:CCACVL1_1.0:contig14508:12015:12110:1 gene:CCACVL1_26482 transcript:OMO56521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVEGIDKSPIKKVPVFFVAGGRGSELVQA >OMO56526 pep supercontig:CCACVL1_1.0:contig14508:23385:25373:-1 gene:CCACVL1_26487 transcript:OMO56526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding protein, ACBP MELFLEFVFTVSLSLILSFILAKFLSFSSVIGQHLEAVSRSCVEVTAKSEKCVLECEKGIGFVSEVVKVDALDESVEEKKVLQVSLNGSYGGSPKIAEETTEETCAGEEDLVNETTEIELATEEEEEEEEEIEVLECEEENGGDCLKEGLSDDDDDDDDDWEGIERSELEKDFGAAVLFMECTSNDDQMLKLGNDVKMQLYGFHKIATEGPCHEPQPLALKLSARAKWNAWKRLGNMSPDMAMEQYITLLSRNIPDWMQDYSICGKSKVQSANMETPRELPLGTMSENQTITVNYRTRIRAFFLVQSHSSSPTSSSHLSKSHRL >OMO67845 pep supercontig:CCACVL1_1.0:contig12364:10274:13002:1 gene:CCACVL1_20265 transcript:OMO67845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFGASRFTVKFPSRGGDGSSSGARHTRSRNVTASVRRDREEQLQRGREAAAAAQRERELAAAAAEQAYFDGAGRLTSFDSESHSHPYMDLPLMRGRGGRFTSTGSTSGSSKRSRRSRTTDADWVVRDPVPGGLTVGDVIPSFLGHVASLEGQLITWDLDFDPMQFAMMEVLDIPHDVLNALHYDHVGVLTESIFTLCNKDRMPETQAIAWVWILLGSTLFCDKSGNRIRPSCMLEVKEGLENVPRYSWGAATLAYLYHPLRVASRGDCQGIAGCMTLLQAWIYEYIPCFRPPRVHHDPRLPRCANLAIRAEGRSEDRLSSLRSRLDQMVTWLPYGRNPHMVLPKTTYTGFIQYRDVLEVYYSTRVLRQLGFVQTIPPPIYHMSTAVRSWSSKQYKVNWPQDFVEPQWNGFPHHCMLPVSQCESSDSDPSACDPEYMDWYVSRSHPRLVSIGEPSSSAVPLHSNSEYGDDISSMDEQLAALRENDLARILEKWNLAE >OMO67848 pep supercontig:CCACVL1_1.0:contig12364:30349:30420:1 gene:CCACVL1_20268 transcript:OMO67848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAINQLPPSSASESSNLTSQFK >OMO67847 pep supercontig:CCACVL1_1.0:contig12364:25705:29974:-1 gene:CCACVL1_20267 transcript:OMO67847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTMDHSMQYPIEPHGTDIIDMSYQLRCLEIAAYGSVLRAFRAQADHLSWDQEGIITQLRQELNVSDAEHGELLLKINSDESIKLIREWQNGDTNVQESFSGDLNASESALYMMGYALQKKRKTSHSSDSIMHLQEPHVQASAGNLPIPIPAPPKHTGNGILKSKTERFDHSKGGPDVIEIHETKKADVIEIHETKKLLQEIEKVVNGRKGTDPAQIEKAKLILSVILAHERSLLWALAKLSDVADDDGSPVQMLHHYSNGEVIENMRPMPMNSNFQRQAGGSLSYAPKNHPPKKSSSRKSHRSTHSVKLRTRRV >OMO67846 pep supercontig:CCACVL1_1.0:contig12364:13348:15991:-1 gene:CCACVL1_20266 transcript:OMO67846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDETFLTDDDAIEWAKDITVIHHIELVISSHKDGGKKKLLRCFRGEWYRGLLRDLDAVVQRNTKTKACKCPFRVKVQEMRGCGRWRIVAYPGIKGMNNHALSVYPEGHRQMSGLSEASKKLARDMKLSRPSAVHAAIVDQHPEDYATRKQAIAQSFKNGRWRQILEAPTELEYNRQVNFMRDRWSRQRAQVVTYIEETWRTFEESTSKAGPVYSSFPFNHLTCRVSHYCLTLLDAELRRMRELVDEVGDHCGCVLRITHDIPCACELKGAMESGIPISVDGINMFWRTLTMGDQVEQSDDFVGGNDMPEHQMYFQTLVDQIRGSDPAVVRRASLLLHSQLYPNEANYVEPEVNTRVRGRPSRSTRRDPSSWEYSQRGRGHGRSSGRSSSTGRGRSSSSSVNNGSGNDQMADDLTKFWAYSRIPSIISSNVYRYLDVKDDNNCGFRVVASIFFNSQDEYVALCSFFHAECRSPGAVLYCRCMHQRLHEGLLGRSALPT >OMO61117 pep supercontig:CCACVL1_1.0:contig13585:17238:17375:1 gene:CCACVL1_23734 transcript:OMO61117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKTIKDYDLPSLEVEDIEADLKIPSEILDEESVEICQEDYDKK >OMP11047 pep supercontig:CCACVL1_1.0:contig01640:81:176:1 gene:CCACVL1_00703 transcript:OMP11047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKTIQNSTNGPRKTRNKKCSE >OMO91967 pep supercontig:CCACVL1_1.0:contig08282:7420:8499:1 gene:CCACVL1_06939 transcript:OMO91967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGIVTWSKEEEKAFENAIAMHWIEEESNEQWEKIASMVPSKSVEELKQHYQLLVEDVDAIEAGQVPLPSYTGEDPTSSAKDFHGFSGTSSSDKRSNSGYGNGFSGLNHDSNGHGGKGSSRSDQERRKGIPWTEEEHRDRRRSSIHDITSVNNGETSHQAPITGQHTSTNPSGAAAMGPSVKHRAQPHMPGLGMYGAPVGRPVAAPGHMASAVGTPVMLPPGHHPPPPYIVPVAYPMAPPPMHQ >OMO91968 pep supercontig:CCACVL1_1.0:contig08282:9197:17486:-1 gene:CCACVL1_06940 transcript:OMO91968 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase superfamily MVSETVQVTCVTNGGAKNNQGIKRKHLGSDKNTTDCPGEASDVKGEIGTSDLTSNITDDSQPDGVSKKSRLPKELTFQDMYNNQEAFDDDDDDDSDWEPVQKHVEILKWFCTNCTMVNLDDVVQCDICGEHKESGILKHGFYASPCSPMVDLLQVELEARGRDKDLPVEASASNSSTAVGFDERMLLHSEVEMKSHPHPERPDRLRAIAASLSAAGIFPGKCCPIPAREITQEELQKVHTLEHIEAVDITSQMFSSYFTPDTYANEHSARAARLAAGLCADLASAIFSGRVKNGFALVRPPGHHAGVTQAMGFCLHNNAAVAALAAQVAGAKKVLIVDWDVHHGNGTQEIFEQNKSVLYISLHRHEGGKFYPGTGAAFEVGSKGAEGYCVNIPWSRGGVGDNDYIFAFQHVVLPIASKFAPDFTIISAGFDAARGDPLGCCDVTPAGYAQMTSMLSALSGGKLLVILEGGYNLRSISSSATAVIKVLLGESPAPEPENIVPSKAGLHTVLEVLKIQMKYWPTLSSGFEKLESQRGMYSMEDEMRVSGIVVYMRVHNSQDI >OMO91969 pep supercontig:CCACVL1_1.0:contig08282:21093:26879:1 gene:CCACVL1_06941 transcript:OMO91969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHESVTVFDNLIVLKTSLLFLVGYIVGLDDRHTMNILINQATAEVVHIDLGVAFEQGLMLKTPFPFTLTRDIVDGMSVAGVEGIFRRCCEETLSVMRTDKEALLTIIEVFIHDPLYKWALSPLKALQCQKETDDDLDTSLEGSQDEYEGNKDGTRALLRVKQKLDGYEDGEMRSVHGQVQQLIQDAIDPKRLYQMFPGWGAWMEGFRSVVLSLDKLQNDGKQLAKGGSNQMNRKQLQQKVGLKPSLTNCIDGLVLLHEMHLA >OMO91966 pep supercontig:CCACVL1_1.0:contig08282:1066:3822:1 gene:CCACVL1_06938 transcript:OMO91966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSPSFSVKPELSLKPDPDSLQRWVVAFCIIRFDLEQGQLIEECYPPGCLTQEEELEVAFSSFPDSVSQHHNRSSIHDCMFFFRILRHKNTKQGNLTSSEIKEIDDRETTSTPKEEKVIRRSRSSGISKGSKYLYGYVFNRQRHDERLKRGGEQKSVVILSHGPYSSVFRPLLQIMGPLYFDIGKKAVEHIAGYVSLWPAPVPGKLMELPIGNAMLKVNLPPAHSLPLESGTSYEESASSMAPFLPNNQSIPQGLFHDSDLFGTFRGLLLQLWLLWELLLIGEPILIIAPTPPQCCEAVASLVSLVAPLLCSVDFRPYFTIHDPEFAQLNSLKEGAKYPPMILGVTNLFFLKALRNIPHIVSVGNPAPNSSRVALASKSTGRIPGKPEGFGLQQLSLKKFSPSSLLNAVKLRRDGPLCLMTEHKEAIWSTYTATTKPDTSILNRLIDAGMSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRATAPPEGSSPFLDPPPLPPFTADEFLTNLSARGAGKFLSKRMRSNWLDLYRRFLKGPNFMPWFQRRHCVAESEQHKLWRQARMKTDIRHLISKMPELEVVDCFNAIERHLLGEIQLQQSGRSVADSAAMCQKLKCDLQAVFNVLPKDMQQLLLLNPQRAAFLQGNSSPELTKLPGRPSIQVGVLSSNSPR >OMO83860 pep supercontig:CCACVL1_1.0:contig09845:21471:23006:1 gene:CCACVL1_11123 transcript:OMO83860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLDLNDDPCLVASEAISDDQVKNVGESEAPVDGCVQRLEGRAEVQDVEEMGERTDFPLQPVERVAEDDVQNTGIPHISESTASDEDENEEVMKNTSTDDVHVDADDELMEEAANQADDEMDQEASRVPRVNFDDTYAADPCRYFLDKDPSEMNKCYMEGLEFNSCDDAFKFYRTYGHIIGFSVRKGSSRRDKKTNELVMKEFSCNKAGWRMDKWNKLENRKKEPKAVTRTGCVAHMRVRLNKRTGKWEVSWFEGQHNHDLAKRKQRHLLRSNRQVTEGSIQFARAWRRTGARPCQIMSYLATEAGGFQSVGFTRKDLSNRLYIRGRNSVEAGNIHTAITYLETKANSDPGFYVSYAVDKDNTFERAFWSDSISRADYPFFSDVIAFDTTYKKNGLNLPLLVFVGVNHHHQTIIFAFALLTVENEEAFVWSLEEFLKCMSNRETILINGEYFQLTHFVKLARDHLEMYQRMPSYRPPVRFVACHGVSLHLLFYCSRASLDLLSSVTRLQS >OMO83865 pep supercontig:CCACVL1_1.0:contig09845:39270:43906:-1 gene:CCACVL1_11128 transcript:OMO83865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1-like protein MALIDVTKSCLDSISEISEHIEGAIVYLDAGCTESFQLMGAFPVLLDLGVRAVCSLENMSSLDTVGDWNANYDPARKIVIMTSRLLSDAHRYVLRCLSTHQGVHHCSIFTSISEVAHSVYPDSPLGPDAFHEYESLLLQDYEELVKKSEIKSGRSVTRNTQENLTFEDEGWSQLTSIEEDISFHEASSTGKSIDDDSPTGKRVNLGQKLIVSVHHFPMVLCPFSPRVFVLPSEGSVGEACLSAEHEDSLSAGLPPLSTGLPSDGDEVPPSATLTAHFLYHLAAKMDLKMEIFSLGDLSKTVGKILTDMSSLYDVGRRKRTVGLLLIDRTLDLLTACCHGDSLVDRIFSALPRKERTSSSASIKGSQAQPKLGPSSLERASLEVQIPVGKILTEQESKMDDSQFSERIAAFLAGWDSYKSSSQIVDLINLSKKTSDDKACPAELLKGSFISTESFRGTPYLEAILDRRTKDGAILVKKWLQETLRRENITINTRTRPGFATKSELQSLVKALAKSQSALIRNRGIIQLATAALYALDESCSAKWDAFISAEKILSVNAGDTSQSLAAQIGDLINKSAFAGSDGKKSGKMEHSQGLLSFQDALLLAVIGYILAGENFPTSGSGGPFSWQEEHFIKEAIVDAILENPSVARLKFLQGLTEELEANFNKTKPDKAKETSTDQFDIDDFDDDQWGKWGDEEDTDNKEQAYDDMQLKLELRDRVDNLFKHLHKLSSLKSKNVVLREGPLALESNLSSDPYTNKGLLYKLLTKILGKYDVPGLEYHSSTVGRLFKSGFGRFGLGQAKPSLSDQNAILVFVVGGINAVEVREAQEALSESGRPDIELILGGTTLLTPDDMLELLLGQSSYI >OMO83859 pep supercontig:CCACVL1_1.0:contig09845:9108:13355:-1 gene:CCACVL1_11122 transcript:OMO83859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPLGPPQLQPQQPPADNDNLKLRRSDMDSDKEMSAPVIKGNDQVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGENVAIKKVLQDRRYKNRELQLMRLMDHPNVVSLKHCFFSTTSKDELFLNLVMEYVPETMYRVLKHYSSMNQRMPLIYVKLYTYQIFRGLAYIHAVPGVCHRDVKPQNLLVDPLTHQVKLCDFGSAKVLVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEALAHPFFDELREPNARLPNGRPIPPLFNFKQELAGASPELINRLIPEHVRRQMGLGFPHPAGT >OMO83863 pep supercontig:CCACVL1_1.0:contig09845:29276:32035:-1 gene:CCACVL1_11126 transcript:OMO83863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEEEYYRRKERIALVAIVVLASVAVASLLVAFSYYCYIRNKVLSKRSKTQNATLIDSKDKASSIDLEVAMDKGLHVFTFKQLHSATGGFSKSNVVGHGGFGSVYRGVLSNGRKVAIKLMDQGGKQGEEEFKMEVELLSRLRSPYLLALIGYCSDGSRKLLVYEFMANGGLQEHLYPISGSNNLTVNLDWETRLRIALEAAKGLEYLHEHVSPPVIHRDFKSSNILLDRNFHAKVSDFGLAKLGSDKAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDSKRPPGESVLVSWALPRLTDREKVVQIMDPALEGQYSMKEVIQVAAIAAMCVQPEGDYRPLMADVVQSLVPLVKAHRSTAKVGSCSSFPSANKSPTSRDPSKASS >OMO83864 pep supercontig:CCACVL1_1.0:contig09845:35244:38730:-1 gene:CCACVL1_11127 transcript:OMO83864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1 MAGVKLLLSQARRLSRLQHSSSPSPFLSFHRYLSSSSSSIPPQPDPEPSQSQSQSQSQSVPIEPVSYATKPKDPTPPPQEQNEKEKKHKEEEEELLFQVNQIVQSIPPPSSTPGPTSPLEGPRSSWTREDLRYVKDAPSPTITPVSYPTRVAPLPEDRSGEEGQVQKEGSLEMEQEAKRIHAETLASRRGFRTILPQEEKVVLPFPMLIKPVKKNEQRPVFDLMDAIREVKANAKAKFDETIEAHVRLGIDQKRSELIVRGTMALPHGAKKEVRVAVFAEGADADEARAAGADVVGGTELIEEIASTGKIDFDRCYSTHSFMKRLIKISKILNQHGLMPNPKQGTVTKDVTKAVKEAKQGQIKFRMDKTSIVHVGVGKVGLSEESLRDNIGAFMNALLQAKPAGLKKTSKYAGYVNSFSICSTTAFYVI >OMO83861 pep supercontig:CCACVL1_1.0:contig09845:23088:24413:-1 gene:CCACVL1_11124 transcript:OMO83861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQRVKRRYQGNVIVSICTPSPHKSCISTQEFDNDGIHISTGDCDECKCSVEVEKMKVDFAERFNILDEKLENILSVINIKSGIHGDAVNKGYSDDVASANDAEPLSEQNGIPYDGDVELTHETGPRVIKKQKRCSLGEIKLSQHGTQNDDKGKTICSTQDNTARKPVNEHKEDDVAENTVFGCGLRNDSEENFGDHDDDLNSVEKRVGGIIDDLHKRIVTLDKKGEGHSVFLTGTSESSVGWTDIKAFNSMKAEMMIADKDGARGGGSMDDGLDSLFNYSRCTPNLSKLDELPPKKLKKVKKALFQHMEHCERVDGLMDRNGEKSMKNDEVSGGRKTTSLQILDAMTGKWVPVTNGAKVEGSPASLTIRPKNFPSEVNVQF >OMO83862 pep supercontig:CCACVL1_1.0:contig09845:24747:28553:1 gene:CCACVL1_11125 transcript:OMO83862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKSLRDINEIAKFQGPKHSEPQRGREL >OMO50362 pep supercontig:CCACVL1_1.0:contig16203:16614:17051:-1 gene:CCACVL1_30489 transcript:OMO50362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFANCHQALAHASGLGPGKSNHRP >OMO50359 pep supercontig:CCACVL1_1.0:contig16203:2258:9141:-1 gene:CCACVL1_30486 transcript:OMO50359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGVAQQTAYSVFSIHQQRRSPGSNVFMSRKLVPPEVFDKLHGVLKDNGAQVFLCCDPSRNGADDFHVISSMDHEKFGDLRAKGCNLLGPQCVLSCAQENRTLPKQGYTCCLAMDGLKVLASGFDMDEKVKIEKLVTAMGGVLQSKGSADVSFVVVKNVLATKYKSALNVLKKPIVTVQWLYQCWNEHRVVPQESYRVPPFSGLTICVTRIPSGDKYKVAQRWGHIHIVVRKWFDQSLVRKACLNEESFPVLGGCASSKKSVSGSFPAEHSQDKFRGSSLSATSSVVPEFNHLTVPSTGLGDPDLEATLSQNMSSMVSDANVIFQEDVGEVQNLQHSNETKFDGCVANDSQSEDNDLYLSDCRISLVGFEASELRKLVTMVRRGGASRYVSCNDKLTHIIVGTPSEVEKKELRTLAASGVIHVVKPNWLEDCDRQNKEIPVQQRHTACDLLLPKDSLHSVKGPVTGMISLNRSKSSVIPNSGTGMSSSWGKNLDDTKSNIGDYSLELSVRSSKQDLCSAVNSKNNGRKNQQCKSIIQNPKNVVSSTVFKGKIFCFSLSFPEDRRDEIIDWVDQGGGNVVHDHLKRNANFIIECHGVITESAIDSQSTYVSTHWIRSCLEDGCLLNVASHILYSPLPCRIPFPGFESFRFCVSQYEEKDRQLLRNLCFTLGVKFQETFTKRVTHLLCKFRSGPKYEAACKWGIQSVTSDWIYECVRQNRVVSLDPFFPKEVTAQDREAGLCTVSQFPTQAVQMMSVDIPSHFMSQSQNQNIKTLGVKSEDIIGGRNNGSTDEAKQSNVHRKRARCLEDDDQNRLIDSGEHLHDPILNENSNENNKSKNGEDLFAAIDDIVEQTSKIHDQKSPERNGCDKSIFATDCTGLRQDHIDSHSVIGLSRYLLNRTEKKDEIFSYSKDAGLYDGFSETQTESQVVGYEEDLSGRQMLIDRVRTRGSMS >OMO50360 pep supercontig:CCACVL1_1.0:contig16203:10249:10671:-1 gene:CCACVL1_30487 transcript:OMO50360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRMEENVRAESTSGAQPQHTRERLANDSVSNVLPSTNKPISSTTAAAIRTPSPSTNGSSLDRLKQEKLKGISSNSMDEVMPKKKVKRKPEMDLEETHFRPEKPPQQQGDDRYKSLKQAVSLPPKPNLPSTATNFEQSS >OMO50361 pep supercontig:CCACVL1_1.0:contig16203:11059:16244:-1 gene:CCACVL1_30488 transcript:OMO50361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKSGGGGEAAAAASGGGEPSRVPPPKVMKARDRQVFTVELRPGETTYVSWKKLVKDANRAIGSSAAAAPAAPSVSAPEPPPNAHPNLQSRIAPGQAAEKEEKDDQAPNRFSAVIEKIERLYMGKDSSDEEELDETPDDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPPVITNQQPKKRRRKDTAKPLGESDDGRVSNKHVKAAKITAGRAEPSLGRNNFNHSQNLSTLKEQYVDLKSQNQLSVSGVSSKKKSSDTRLASDPSSHLKVSNGDSPVPVANVKDIEKSKVGVLQSKNVFSNKLKDGSGSSDILHQKYHDKNAYVQSKYQHGKPISNTDELDQSVRPREKNGTRELPDINVSDGRYAMHVAKSSQTQKKDGSTLRPKGSMLERSIRELEKMVAESRPPAMENQEADNSSQGIKRRLPREIKLKLAKVARLAASQGKVSKELLNRLMSILGHLIQLRTLKRNLKIMISTGLSAKQEKDDRFQLIKKEVVEMIKTRGPSLESKALEQQAGASDDFQENASDERALKKKFSMDAPLEDKICDLYDLYVDGLDEDAGPQIRKLYVELAQLWPNGLMDNHGIKRAICRAKERRKAIYNRHKIGCKSS >OMO86917 pep supercontig:CCACVL1_1.0:contig09386:3754:7113:-1 gene:CCACVL1_09383 transcript:OMO86917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Afadin/alpha-actinin-binding protein MAAATGAALDLRPPPHSAFSIGEYNTFADAGNLEHCAKYLNQTLVTFGFTASLDLFANDPVSIARTCNCIYSLLQQRQRDIEFRESANEQRQRLLSDISRLEAKVERLEAQLQAKDREIATITRTEAKNTAAFKAQIEKLQQERDEFQRMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNDFYKKIVDAYEAKNQELMAENADLRALLRSMQVDMRDFFNAPNGLPKQSLPVSDRNENDPSQSPLGGRTDVFDLPFHMARDQIEESLRTKMASIKERMVQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQ >OMO86920 pep supercontig:CCACVL1_1.0:contig09386:47996:48115:-1 gene:CCACVL1_09386 transcript:OMO86920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPLFPSRRRHLSPHVDITVESRVFPFPSRPSPPSFIF >OMO86919 pep supercontig:CCACVL1_1.0:contig09386:37792:42774:-1 gene:CCACVL1_09385 transcript:OMO86919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRCGYGSDSRYPRGTIYHCSESATDSSFTIQIRSVSIYNYPNPP >OMO86918 pep supercontig:CCACVL1_1.0:contig09386:7775:7966:-1 gene:CCACVL1_09384 transcript:OMO86918 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor MEGKYVKDLFEMGRDLGKVVIVDDNANAYSLQPENAIPRWPFVKDGEDIDLKMLVKVFEWCEL >OMP03816 pep supercontig:CCACVL1_1.0:contig05957:83:205:-1 gene:CCACVL1_02253 transcript:OMP03816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVNRASEQCLRKSTECSPEHVQLPQARAITNAQKKSAQ >OMP03834 pep supercontig:CCACVL1_1.0:contig05944:2639:3058:1 gene:CCACVL1_02251 transcript:OMP03834 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE nucleotide exchange factor MEKQLAEVFRKFGVEKSDPTNEPFDPHRHNAVFQVPDNSKPPGTVANVLK >OMP11747 pep supercontig:CCACVL1_1.0:contig00925:2655:3245:-1 gene:CCACVL1_00295 transcript:OMP11747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HSRQKNLDSIYAAAHVWSLSLDITEDTARFWYYFPQSFQDTVAKLNRLSSDLDQAEEQRSEFEKRQKDIDDENSKAFEVAIPTDEEFQVDRKIIEVERKITDLQAELTSLKAHRTALVTKREKDTRERMDRLEIQTKELMARHPEIDEIDHRRAYLQFQIDQLLIDVEAWNDFLPPRNEAENGGDKDGDGESKENS >OMO51266 pep supercontig:CCACVL1_1.0:contig15909:3539:3613:1 gene:CCACVL1_29898 transcript:OMO51266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMSDESFMDERVDLRDRPEKGFS >OMO70032 pep supercontig:CCACVL1_1.0:contig11893:8367:10274:1 gene:CCACVL1_19134 transcript:OMO70032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIRTFNGEVTILRVSPRDDIPTLIGEVHRNGPNLGFFIYIGK >OMO70031 pep supercontig:CCACVL1_1.0:contig11893:7489:7767:1 gene:CCACVL1_19133 transcript:OMO70031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRLQVEEIVDNGAEKAARFKVKKWGVVPGKRRSVKAMMWDEIVKLVSCLFQSSRAASTSQPNKFDSLELERGVVHMLPYIWGGQKYENFP >OMO92685 pep supercontig:CCACVL1_1.0:contig08185:1403:1468:-1 gene:CCACVL1_06784 transcript:OMO92685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRGREKRFVEEKGTVGMWF >OMO71724 pep supercontig:CCACVL1_1.0:contig11570:5753:13997:-1 gene:CCACVL1_18085 transcript:OMO71724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGGRSYVSSPPAFSTDAKKLLLCTANTVSVFSTATGLQITSLEGHTALVTSVIVVPAPNPAAKVFCCCWTTSLDGTIRRWDFSVPELLKTVNIGMPIFSMVIPSLCLPAEGKERHDNLFAYVSVEETQEKPKAVRRQIRKCNLSKSRLVGKLILGETSKPELLIVSPSGNFFGIRNKCKLHIWKVPDPESERAITRITLHHTKQISAIAFHPTQRIVAAGDVTGRILVWRGFGNKTFNRGNAVVNERLIDIEEDKPGVRDNDDADSCSTWHWHPAKVKVLRFSSDGAYLYSGGKEGVLVVWQLDTGKKKFLPRIGSPLLHFIDSPDPTLSSAPSSYPEMYKGLSNGIAFDQNAGLVALRTENYCIQFYSLVDDRGISEVQVCERNHQPGDDITVIVTAVALSLDGSVMSTAEVKLAEEGIGGLVCLKFWVSGSQNKEFSLSTIVYEPHRDGQISAVTFHPSGHMAASSSFGGDFKVWTCNNESPKNEQMLQNSSWTSHAVGSYKKKQMTAAAFSADGSVLAIAAETLITLWDPYKNVLLAVLGETLTPIVSLSFVGNSDNLVAASCGSKAQLSVWSMSKLSLSWSYKLHIEALASAADLSSFAALALLPESSNETTFDQRDGVILLYNATEPVPTAICEQPLQFIQCSRLAKGGDLGFLKVNPSLAEEISVDGKSPELLLAYMNGDREYVLFDPYSKNAREVSVIHKEGLAALELKGQADQFGYASIYGDLPEFDMKRTQSSWVPSFPSERPWETIFSGSSHALPPLTKLCSAFLESLLEKRTAAVE >OMO66177 pep supercontig:CCACVL1_1.0:contig12576:14667:15591:-1 gene:CCACVL1_21287 transcript:OMO66177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSGSKVDQIGSDIYKLLFFFFLLQHSLFPSLIRLNPSCSVTSTHPNKPSKLPQNGKPKTYPSHLLYRAEVAKKGELAG >OMO66178 pep supercontig:CCACVL1_1.0:contig12576:29768:29839:-1 gene:CCACVL1_21288 transcript:OMO66178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSPEGISKAVGVTSNADLPP >OMO79075 pep supercontig:CCACVL1_1.0:contig10506:7602:7721:-1 gene:CCACVL1_13922 transcript:OMO79075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSQPLSGGILTLSFSEPIIELSRINKKTLVFSLTGIPSSR >OMO58083 pep supercontig:CCACVL1_1.0:contig14275:8359:10449:1 gene:CCACVL1_25587 transcript:OMO58083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MAEVQGNWVKLNQNGTGPGARSSHAITIVGEMAYVFGGEFTPRVPVDNKLYVFDLNTLTWSIAEVTGDVPPPRVGVTMATVGETIYVFGGRDGTHKELNELYSFDTCTNKWTLLSNNDDGPAHRSYHSMTADERHVYVFGGCGVSGRLNDLWAYDVVDQKWIKYPSPGDSCKGRGGPGLAVAQGKIWVVYGFSGVEMDDVHCFDPVQEKWSQVETSGEKPTARSVFSTVGIGKYIIIYGGEVDPSDLGHLGAGKFSGDVYGLDTETLVWKKWDDGPGLETHPGPRGWCAFASGLRDGQQGLLVYGGNSPSNDRLDDIFIFTPFVACN >OMO58088 pep supercontig:CCACVL1_1.0:contig14275:65307:65387:1 gene:CCACVL1_25592 transcript:OMO58088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVTALPLSCAIYISISCYYFTLQ >OMO58087 pep supercontig:CCACVL1_1.0:contig14275:58989:59753:-1 gene:CCACVL1_25591 transcript:OMO58087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLFFLINNPLSWIVVLVAPLITFATFFIFGSLSFLFTSTVLILSTLVFILFAKKKPKLVEKPVKDQLQEISSSLQVEEDILESSKQKHGSHQVMSTQQKQASESSEQAETGSYQIYHDYLVRSPDVMSENECVDQMSTTEDSEVDWPFRDKMDQSPDYSDDGSISDEDSLIEISLPGGHYVSHKEEDQPNNKKFNFQQKVQLQDFTPESFFQQRSLMELLAELNEMNEEENLIEIDISMGSIKCSRFEIEA >OMO58084 pep supercontig:CCACVL1_1.0:contig14275:12571:13868:-1 gene:CCACVL1_25588 transcript:OMO58084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLATALGLFLLLLLLASSTTTNAHNITKILAKHPEFSTFNHYLTATHLAADINRRETITVLALDNSAMSSLLSKGLSLYTLKNVLALHVLVDYFGSRKLHQITNGTTLTSTVFQSTGNAPGTSGYINITDLKGGKVGFGTSDNDGKLDAVYVKSVQEIPYNISILQISQPLNSAEAEAPTAEPSKLNLTEILSKQGCKAFSDLLIATGADATFSETIDGGLTVFCPTDSVIKGFMPKYKNLTAAQKTSLMLYHGIPEYEPLQSLKSSNGIVNTLATDGANKYDFTVQNDGNDVTLKTKVNTATITGTLKDEEPLIVFKIDKVLMPRELFKADEVAAAPKSSKSKSKHKEADAFAPSPSDEDPADQAADNNGVAGLDGRRLVLAVLSMCIGVLLLM >OMO58090 pep supercontig:CCACVL1_1.0:contig14275:77164:83414:-1 gene:CCACVL1_25594 transcript:OMO58090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MDFFALQSHFISLVFRASKGILNAFCFCFCVIPSQSVPFITNFDMIGVGLASRWEGRSQSKEAIGGEGFQEGPEKCFHLAIGVVNSLKIYISVLLAKSVLCKLTFALTNFSILSGSLDSQGQSFRSSKTKRVTPVELNNRARRKELQRKEAEAKKKNENSCSSVYFPSVIGFLAAHCIWNGEIKPLLEFDESKTDATSQEEAYDALDPTGNITIKWDDPTVVDLLPGTPYNQLIANCCKGGVMNSWVQEPANAASSFQSSLLASHRSDMECYMHIFIVPGSEDTYIETSPYLASAVSGPGKRGLTKILIYNARGAGSSSFPQYIANQYYRESPHLTIVTETRLSGRAAKRVRDSLTFDHTESIDASGFCGGAWLLWNESDSELEILSKGPFGLRADIEPMDKDLSDE >OMO58082 pep supercontig:CCACVL1_1.0:contig14275:3619:5166:1 gene:CCACVL1_25586 transcript:OMO58082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTSEFQLTIEKQMEIVQGVELLNGVEDLYGGITLQMEKPMDSEAFLSALRASISQWIHQGKRAIWVKLPTELVNLVEPAVKEGFRYHHAEPDYVMLVKWISNSTNTIPENASHRVGIGAFVLNDKKEVLVVQEKSGKFKGSGVWKFPTGVVNEGEDIATVAIREVKEETGIDAEFLEILAFRQSHKSFFTKSDLFFVCMLRPKTFDIQKQDTEIEAAKWMALEEYAAQPFIQKHSAFSSVAQVCLTKLEKGYVGFSPVATTSASGKTNYQYVNSRDLHRL >OMO58086 pep supercontig:CCACVL1_1.0:contig14275:37642:37782:1 gene:CCACVL1_25590 transcript:OMO58086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQCHIKRLNNQLDYHHGVQHQEHQKIRKEVEQGKKHSLHIYDFR >OMO58085 pep supercontig:CCACVL1_1.0:contig14275:30567:31220:-1 gene:CCACVL1_25589 transcript:OMO58085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, CBFA/NFYB, DNA topoisomerase MADSDNESGGHNHSGGNAQSETSAREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKIYLQKYREMEGEKTTMGRGEKDGASGGSAGGASGGGGGGSAGGGGGVGSGGSGFNGGGGMYGGMMMMGHHQGHVYGSGGYHHQMSAGKGGAPAAAAASGGAGGGAAVRSR >OMO58089 pep supercontig:CCACVL1_1.0:contig14275:73561:74309:1 gene:CCACVL1_25593 transcript:OMO58089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSRTPSTCPAHVASSNAMKALVRVTLNNCGSSATARTVAQGTAATTAVISTGGVATARIGTAGATI >OMO96384 pep supercontig:CCACVL1_1.0:contig07482:8681:12504:-1 gene:CCACVL1_04980 transcript:OMO96384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MRKLYRKLVLMKNPLTSVRKSGNSHSARVFSDRKWIVPFLASLLVSITLFLSAIFGLFNAPYGGDQLPFDIISFTKAEDSSGYFVESDLKKFLNRSAHSDVEAPRLAYLISGTKGDSHRMMRTLQAVYHPRNQYVLHLDLEAPPRERLELTNMVKSDPTFREVENVRVMAQSNLVTYKGPTMIACTLQAIAILLKESLEWDWFLNLSASDYPLVTQDDLLHVFSNLSRNINFIEHTQITGWKLNQRAKPIIVDPGLYLSKKSDIAWTTQRRSLPTSFKLYTGSAWVALTRTFVEYCIWGWDNFPRTILMYYTNFISSPEGYFHTVICNTDEFRNTAISHDLHYIAWDTPPKQHPISLSMKDFDKMVKSKAPFARKFHKNDPVLDKIDKELLGRTSRFAPGAWCIGSSEDGADPCSIRGDDSVFRPGPGAERLQELFKTLLSEDLRKKQCS >OMO96383 pep supercontig:CCACVL1_1.0:contig07482:6859:8248:1 gene:CCACVL1_04979 transcript:OMO96383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQEQRDETDHTSNLTNKKAANQSMLLCCKIFFSESRNRAALDAIERAAKQDPETVIVNKFEDRAYNRSRYTLVSYVVHDSTGSAIYSPLQRTVLTMAEAAYGAINLELHSGAHPRLGVVDDIVIHPLARSSLDEAAWLAKALAADIGNISQVPVFLYGAAHPTGKALDTIRRELGYYRPNFMGNQWAGWTMPETLSEKPDEGPSQVSRARGITMIGACPWVGLYNVPIMSTDVSAARRIARMVSARGGGLPTVQTLGLVHGEDSTEIACMLLEPNHIGADRVQSRVEMLAAQEGLDVEKGYFTDHSPEMILDKYLNLISVDRA >OMO75739 pep supercontig:CCACVL1_1.0:contig10995:11844:16208:1 gene:CCACVL1_16061 transcript:OMO75739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVGNMGVLVLLLLVFLLWLKVPTCNSQQEEEASSFSPGRAVIDVKGGPESVVWVVQLSDLHFSVHHPERAIDFKNLVPSALSFINPSLVLITGDLTDGKSKDLLVMKQNEEEWMEYKNVMEDVVKRSGLNKSIFYDLRGNHDNFGVPMVGGSFDFYSKYSINGQLRRSGHVNSVTLQAGEQKYLFVGLDSTMSVGLRGPTNLFGHPTDQLLSDIDMELSQWDSQSPKPVSKISFGHFPLSFSASSQSGKILKDIFLKHSLSAYICGHLHTRFGKNLKRHHLSSILFRSSQNLFQFNVHQIPSEESKNCSFGAPPVEEFWEWEMGDWRKSRAMRILAIDRGHVSFADIDFKSGSKKTIILPTFPLDSRFMSTSSSHHEYECEHMLPSSYETVRALVFSVSPIVSVVAKIFDSRYGNLDVVMEAPMTKHAADRSSRGDLYTVPWNYKAFEDPSADRFWLQIEATDIIGRSTLTDLRPFSVNGHHAKVLWTWKEFFVMGCQWEALYYPILWLALYFLFLLLLIPKAFLIFSSKQYTCKNFLTAKGLINGIAWVLQELCRVPVAWFGFLGYLSYLILFPWFSGKVFTDGGDRLYMTYMGWVVQSFDNKRIHDYIGSPDIMVVVLPHLTFVVLPAILFTGGLAAERAVHRDNFLSISGKKEDDYSRLDKRSHRNDSRGSRRAKLHLDGRWIRSILLVLCLVICWKHFKNCRAVLKAYEMNPLLHFPVYSFGIPLLLGYAIYKTKRA >OMO66652 pep supercontig:CCACVL1_1.0:contig12527:46968:49077:1 gene:CCACVL1_21038 transcript:OMO66652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHSLTRKELQTLCKQNKILANITNVAMADALKALETVEGLDEMMNQSQSPEKTINNSSKDILSTATRASTRRKTTKEEPQSTQPTTRTRRMTKRTVELDEENRNVNVPETPVMAATSTTTRRRVAVGSTRRKVEAQKEEGAVSEAPLARNLSAFLEDENDLKDDTLENSESHDNGDNEGTVASEADSQKSSNFEGLSDLDAKDASHEETNKSDAYLAKSETKLAYMPDGTIDPKVNRNCLIASTNDVALSGDTNEIDNSEEEGLVVENNGVSHANETKSTEEEGLVAENNGVSHANETKSTEVLVAEACKDMSAEFVEPIEVESGDDKEPEDEESDEGK >OMO66647 pep supercontig:CCACVL1_1.0:contig12527:1888:5520:1 gene:CCACVL1_21033 transcript:OMO66647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVLSAGGYSSCLPAGRGFSIPKSKGSKLCTRAALVEARPPRVNNGSLAVQVYGADRADDLQAEAKALSRAANASVYNPELIARKYGSRPVQVVRRTLEILVGLGSFALKLLLDEKNGTLDRNKRQRAIELRKIFTRLGPTFVKLGQGLSTRPDICPPEYLEELAELQDALPTFPDEEAFSCIEKELGMPLDSIYSSISPSPIAAASLGQVYKAQLKYSGQTVAVKVQRPGIEEAIGLDFYLIRNLGFFINKYVDIITSDVVALVDEFARRVYQELNYVQEGQNARKFKMLYADKEDILVPDIYWNYTSGKVLTMEWVEGVKLSEQAAIERQGLKVLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARFAIIGHVVHLVNRDYEAMARDYYALDFLTPDVDVSPIVPALRNFFDDALNYTVSELNFKTLVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRWNRLENLLVQGSKDRDFSAKDALQPVLKLLLGPDGEELRTLVIKEAVRVTEAVVLGAVNDTYNSVPSFMRTLMFNANGSGPLAMSATELESMIELRDQVFRIWGLLRSSENFDPTILQPILQVLQQPEARSLGGRVVGGITQRLAARLLQQVLRMPTTSTSS >OMO66648 pep supercontig:CCACVL1_1.0:contig12527:14768:19032:1 gene:CCACVL1_21034 transcript:OMO66648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transthyretin/hydroxyisourate hydrolase MAEITVEEKEALACCGSTQFAKQLALASPFSSLDQALSTATDIWFNKVDVNGWLEAFAAHPAIGQSPSPSSHPTSAQWSKGEQSTALATATDSGLQELSDWNARYRQKFGHVFLICAAGRSAAEILAELKNRYWNRPIVELEIAAKEQMKITELRLRKLLSAKAEVVSTGSQHSMRKSEEALLGKAPHVQARSRPPITTHVLDVSRGSPAAAIEVRLEMWKGNQPRPLFGEFDTGGWVLQGSSTTDRDGRSGQLMSMVDALSPGVYRISFNTGKYCPDGFFPYVSIVFEIRESQKWEHFHVPLLLSPFSFSTYRGS >OMO66649 pep supercontig:CCACVL1_1.0:contig12527:20040:20982:1 gene:CCACVL1_21035 transcript:OMO66649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKDNIEVITAVYKLKLHCPQCASKIKNPLLRTQGVHSVDVEIDKGEIKVKGAIDAIKIHKLIQKLGKKKVELISPQIKEKPPPPPVTPKKVVKEIEETIMKVHLHCDKCEKDLRNKLLKNKGIHSVKADIKGQKVTVQGTIKSDKLLSYIKKKVHKHAEIVSSKKLDIDDKEEKKKVEKVEAKKEDVKKSGEKSTEITEFKHEVIVEVKSKDVNTPYFVHYVYAPQLFSDENPNACCIV >OMO66651 pep supercontig:CCACVL1_1.0:contig12527:34863:44581:1 gene:CCACVL1_21037 transcript:OMO66651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRIPEVVSDVDLKFLIDNLDEKLNEDDKWENVIDKTNGSLSYNAKCCKTKDKPLKYLSTTVFENCSPELLKDFYMDNDFRKQWDKTLVDHMQLQVEVSNGIEIGRTIKKFPFLTPREYILAWRLWEGKDRTFYCFIKECEHPSAPRQKKYVRVEYFRSGWQIRKVPGRDASEIRMFHQEDAGLNVEMAKLAFAKGIWSYVCKMDNALRKFSLITHPLTTPAVSAATLIRKVPPELDTTSGITSPEAAISMAIPKPVRGELREKKLLRKPSKKTIAKSLVLIGGVICLSRGHSALGAKVAMAYILTKLRKRDESSSQSRQVAALNVMFKSLNTPSQLSGWRVNGGDPCEDAWEGIKCSGSSVTEIKLSDYGLNGQLGYQLSSLTSVTTFDLSKNNLNGDIPYQLPPNAVHIDLSENHFTGNVPYSLSQITSLEDINLGHNQLNGQLSDMFGTLKKLKSLDLSFNKLSNKLPNSFANLTRINTMYLQNNQFTGTINVLADLPLNDLNVENNKFTGWIPNELKDINNLQTGGNSWSSGAAPPPPPGVHHRRPDGGEETQISPSESNNKSVITALIITISCFGALMMLAVVIAVLTRRRPHPPSSQYFLDEERTSGYKGFTPLASRELKPDGYVGILKDTKDTKSKDSNPNFLIDMKTMLKSPSMGVKRTISGRVSFSENEFANRLKSGRSTSVRAIPYALADLQNATANFASSRLLGEGTIGRVYRAKYPDAKVLAVKKIESSLFPLEKPEAFSEIVTNISKLHHPNIAELVGYCSEQGHNLLVYDFYRNGSLHEFLHVSDDFSKPLTWNTRIRIALGAAKAIEYLHDVCSPPIVHKNIKSSNILLDLDLNPRLSDYGMANFHQRTSQNLGMGYNAPECTKPSAYTLKSDIYSYGVVMLELLTGRMPLDSKRGKSEECLVKWATPQLHNVDALPTMVDPALRGLYPLKSLPPFAEIISLCVQPEPKRRPSISALVEALVRLVQQSNVFMKEDLSTSRRTEDSDIS >OMO66650 pep supercontig:CCACVL1_1.0:contig12527:21464:27443:-1 gene:CCACVL1_21036 transcript:OMO66650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCELGTTPLSGETYGRQGITIYLHLFILHSQTFPNSVAGISKLSISPFDAEIAPGNSPLARHFLFLPFLLNYFFKSTTNYQTNPIILQIADTTVSFSEIDFWNYCQIFCVVVILEAMPATKKSPGCNRIDYAFSKLLKQIGNPVDFELPDWFNKSKPIPYTFIKRNIYLTKKVKRRLEDDGIFCSCTSSPGSSVCGRDCHCGMLLSSCSSGCSCGDSCLNKPFQNRPVKKMKLVQTEKCGAGIVAEEDIKHGEFVIEYVGEVIDDKTCEERLWNMKHRGETNFYLCEINRDMVIDATYKGNKSRYINHSCNPNTEMQKWIIDGETRIGIFAMRDIRKGEHLTYDYQFVQFGADQDCHCGAAGCRQKLGVKPSKPKISSDAALKLVACQVALSSPKLKAMLFGNNTVEKTLTDYRFFFLFFFLLLLFRLTGMGLISGSSRHAYRGQTGSRCCIGEVIKISRSMNERSFGIIKRFDKYSKKHLVMFEDGVVEFLDMSKEEWELITL >OMO83288 pep supercontig:CCACVL1_1.0:contig09911:1440:1529:1 gene:CCACVL1_11456 transcript:OMO83288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NDSEAVEPSFCPSCFGLRTRRLFWVGYFDV >OMO61527 pep supercontig:CCACVL1_1.0:contig13451:66:1191:-1 gene:CCACVL1_23444 transcript:OMO61527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 GNIELTGFRNEVYTSEPANVEYILKTNFQNYSKGDYNYCLLKDLLGDGIFTVDGEKWRQQRKVSSYEFSARVLRDFSSVVFRKNVGKLANILSEATNANEIVDIQ >OMO61528 pep supercontig:CCACVL1_1.0:contig13451:9327:10620:1 gene:CCACVL1_23445 transcript:OMO61528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MQHLCVDILITPTLGYWNVSLAQDLATHHSGNQFLRPPNPPRIPTQRFMQLGYVQCTFDCNSSTGFLTFGKGGSSSSKSVKFTPLSTATQDTSFYGLDIVAINVAGQKLPISASVFTTSGAIIDSGTVITHLPPAAYAALKSAFRQRMGQYPRARALSILDTCYDFSKYDTVTLPKISFFFKEDVEVPIAWLGHCTLIMYHKCA >OMO53958 pep supercontig:CCACVL1_1.0:contig15090:8439:10708:-1 gene:CCACVL1_28177 transcript:OMO53958 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MENGDIIQSPSPLRKIITVASIAAGIQFGWALQLSLLTPYVQTLGVPHTWAAFIWLCGPISGLLVQPIVGYNSDRCTSRFGRRRPFIAAGATFVSIAVFLIGFAKDIGHHAGDSLERPTKPRAVAIFVVGFWILDVANNMLQGPCRALLADLSANDHKKMRTANGWFSFFMAVGNVLGYAAGSYSHLYKIFPWTRTKACDVYCANLKSCFTIDIIILLLVTVTAVTTVKEDPIAKQVQEEDTKAPSPFVGEIVSAFKTLKKPMWILLLVTCLNWIAWFPFLLFDTDWMGTEVYGGKVKGTAHEQKIYGDGVRAGALGLMINSMVLGLTSLALEPVGRLIGGVKNLWGIVNFILCACLASTVLITKIAEAWRQHNGPNLVHPPLNVKGSALAVFGLLGIPLAVTFSIPFALASIYCSSAGGGQGLSLGVLNMSIVIPQMLVSVISGPLDAAFGGGNLPAFVLGSIAAAISALLALLALPNPPKQISLSPGMGGGH >OMO53959 pep supercontig:CCACVL1_1.0:contig15090:34225:34326:1 gene:CCACVL1_28178 transcript:OMO53959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESANSSKPRKNPLLTEAPESAESFSFEAMLS >OMP04838 pep supercontig:CCACVL1_1.0:contig05619:6155:7121:-1 gene:CCACVL1_02127 transcript:OMP04838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MIRVVPRERFERTCAQQSHSAAASANVLCCQADECGADLRDAKQYHRRHKVCQPHAKAAFAFVKGIRQRFCQQCSRFHELSQFDGTKRSCRDRLAGHNERRRKAQSEQQQEQEAEDVELEASPTSNIMTSSSSTRHPKHGGELTLQGCANTKHFRIRLLTTTFNIFLLRLFGTTLLLSMI >OMP04837 pep supercontig:CCACVL1_1.0:contig05619:3267:5634:1 gene:CCACVL1_02126 transcript:OMP04837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate and isopropylmalate dehydrogenases family MARRSIPILKQLLSSPCSNLTATRSVTYMPRPGDGAPRAVTLIPGDGIGPLVTGAVEQVMEAMHAPVYFERYEVHGDMKRVPQEVIDSIKKNKVCLKGGLATPMGGGVSSLNVQLRRELDLYASLVNCFNLPGLPTRHDNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGNENQVEQKRANPVALLLSSAMMLRHLQFPSFADRLETAVERVISEGKYRTKDLGGSSTTQEVVDAVIAALD >OMO58786 pep supercontig:CCACVL1_1.0:contig14157:24008:24100:-1 gene:CCACVL1_25357 transcript:OMO58786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLPEKMTCVVSNKPEAAQKVLTFQQCFDEH >OMO58785 pep supercontig:CCACVL1_1.0:contig14157:19515:22577:1 gene:CCACVL1_25356 transcript:OMO58785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MSIPIIESCRKRKRRPKLFGFQSFAEGGIGCPINPSGPFRENIRVFLKHCAEPEDYCVDGMPIWCTLLIHEKKSFVIPLYTIEDHVTLHSSNPFCDHCRCTGWSNQLVSKRKYHVIIPNDREWNKPLEDGVLNSHSHLLHGLIHCNGFGHLLCINGIEGGSRFLCGREIMDLWDHISDLLRARRISVEDVSKKHDMALRLLHGAAYGHTWFGRWGYKFCRGSYGVSEKNYDRAIEILSSLELDKVIQDFSEREQCRQMKGIIQHYRDLSESQLVTMRDLLKFVLTIKSRGAVQNKLVAPTTTSAPTASSTQKNPIRISFHKKGSLKEKSSKCKRFTSVIAHMDSRWPPKRLEYAAEVIVDALKEHKSTFSHGGMTRQDLRDAARMHIGDTGLLDYVLKSMNNVIFGSHIVRRSTNTARILEYTIEDVDNEAQRGKYQQEPQPDASLPDHLVPGTDVYNDVVYLYNNVLMNHPDSELLQLATQVVLDSKHFVKDRPFKDEDDQLLRFFCQVVPGLIDADQDTLTESLPASELVILPLHATVLDLKQAVERALRDTYCILDKLVVTDIDNLGNLEDGEVLFGALESGAQILVRGSGIELESKLRHEGGAENWIVRCECGAQDDDGERMVACDICEVWQHTRCCGIDDSEAVPPLFVCPGCCASVGPPMTMSESPLAFQNCDDLLLDSPIPYGLP >OMO64056 pep supercontig:CCACVL1_1.0:contig12869:28007:29515:-1 gene:CCACVL1_22084 transcript:OMO64056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MAPELVQVPAKPTGFTKPVTLPKRAYVTFLAGNGDYVKGVVGLVKGLRKVKSAYPLVVAVLPDVPEEHRKILENQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVYENIDHLFDLPDGHFYAVMDCFCEKTWSHTPQYKIGYCQQCPDKVKWPAEMGQPPALYFNAGMFVFEPSLSTYEDLLKTLQITPPTPFAEQDFLNMYFKDIYRPIPLVYNLVLAMLWRHPENVELDKVKVVHYCAAGSKPWRYTGKEENMQREDIKMLVQKWWDIYNDESLDYKKLPAVAETEAEPVNLQPFLAALSEAGAVKYVTAPSAA >OMO64052 pep supercontig:CCACVL1_1.0:contig12869:15354:19793:1 gene:CCACVL1_22080 transcript:OMO64052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitrogen regulatory protein PII MGIRGVTVSDVRGFGAQGGSTERHGGSEFSEDNFVAKVKMEIVVSKDQVEAVIDKILEEARTGEIGDGKIFLVPVSDVIRVRTGERGEKAERMTGGRSDIDGPIFLAALFYANINMFLPFYSPLPVHAFVASLDHEPPPSWGGRSRVDLDCLCVDLDQLRRDNMGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >OMO64055 pep supercontig:CCACVL1_1.0:contig12869:26162:26764:-1 gene:CCACVL1_22083 transcript:OMO64055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MATLKCFSAMVIIFLAMISLFFSGCHADDYLTLIDTICKKSQDYEFCSKRLSSDNRSPNVADLNGFALISISLTITQVQSTLDRIPGILAKLNDPLEKRRLEACRNHYSTSVGDFHNSFTFASRHDYWATFNSVRDGTNRVIDCHNGYRMDGPIATSPIDGDDHDVIKLSGIVLIIVDDLIRRSAGAALHKTPVYVPLHF >OMO64058 pep supercontig:CCACVL1_1.0:contig12869:46568:49443:-1 gene:CCACVL1_22086 transcript:OMO64058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASDDSQDISRTPSIGAGPSLGTDHLNGEEEVSSKFLPAKRIKISKDVFRNDEVFADLSPLPPSKSSHSIVPGELETTSVLKSHDEVPVQPPTPITPAAAGVASASVSVASLQERGGSSSIAGSSKSQEKKWVTKYKDEVEGLFSATDQASKYVKNGDPLSLKAAKLQACKAGLGPHSGYKFCSPHEDDRLYHIRQNGRRAFFPKVIFECGFRFPGHPFVFEVLDFYNIAASQISPNASTEEGRKLGLPVRRVGATGSRSFFGVQLKKGMKWPIEIRWEDIDTKAFNSEKFDLSSGDEEELEYFDSQTFNWKELIHATRLVLSGISPLPYQMKGVGDVGPGVCPLVFKWVELIDGKEVVKTESVVVNALGDVDRKFLPPGQYVEQPFVAPWWNGKKWVDGPSHSGVPVGPGNHGRGKGLMALNVLAQAVGHPGFQSSPAPTQPSPSDEQDFAEVTATEMDESLLAAIRAKQDAARQAHLQGLSPIRAVSQTQIPAPLETPTGPNKTSAPPAQTPVYARPRGSPLLMPPLGPTDAPGSPDVLDLMAAFFTYGLNYANGEDFKAVRSLIPVERSAMVVCTSVQNLLSARANFAAYEHEIAVCAPQLSKFIGERMPGAPHFQQQWELDRAATALKEKEGQLKDLQDDYDGTLKTLKETKVQLQEEKARVSRRNKSLKKERDACAKDKAAWAQEKSAIQAAAYMNKARDSAIYMMQKQYPSVDASVINFGADELPPDYAPDPVLKKTPEQYMEENDISLEPEVVAKFDPEPVGTPPASMGATNIGGDDVQEEE >OMO64051 pep supercontig:CCACVL1_1.0:contig12869:3606:13841:-1 gene:CCACVL1_22079 transcript:OMO64051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAAGGGEEFVYRISTAEEWETLQKDGSVYGGDLDKSSGFIHLSSLHQVKPTLQNFFSNVKVDLYLLQIDAKKLGDGLIYEVVDGSNSFPHFYGPSRSFVPLPLDAVTKAEKLSVSDGQFIHCQCTKTPIVFNFGDTNSDTGGFADGLGLNFGPPNGRTYFRQPSGRLSDGRLMIDFLFSAKGTAATPFNIFSRCLGRESLNTSYLTPYLNSLGPNFTNGANFAISGSATLPKYVPFNLGVQISQFLRFRARSPALKIKGYTDLVGDGDFQNALYTIDIGQNDLAGSFNYLTYSQVIDKIPSFIAEIKSTIWTIYQSGGRNFWVHNTGPLGCLPQKLSLYSQNASDLDEHGCLKPLNDAAKTFNEQLRVLCEQLRTQMVNATIVYVDIYSIKYDLIANAPNYGFQSPLMACCGNGGPPFNYNATITCGNTGHSVCNEGSQFISWDGVHYTEAANAKKQYVEILIAEGTKIECSTGRPLLINFGDANSDTGGVLAGIGLPIGLPHGITFFHRGTGRLGDGRLIIDYFCQNLNLSFLTPYLDSLAPNFTSGVNFAVSGGMIIVHPQFMPFQLPVQVRQFIRFKNRSIELHALTGSRDFVDETGFRNAVYMIDIGQNDILEGLYASNLTYAPVAKQIPSFLAEIKLAIQNIYLYGGRKFWIHGTGPQGCAPKELAINPHTDKDLDPIGCLRVHNDLSKAFNKGLKKLCKEMRSVLKDATIVYVDVYSIKYDLFANYKKYGFQNPLLACCGYGGPPNNYARKATCGQPGSTICKNVSKSIIWDGVHFTDASNHVVATSILSECSPGRPLLINFGDSNSDTGGVLAGVGLPIGLPHGITFFHRGTGRLGDGRLIIDFFCEHLNLSYLSPYLDSLAPNFTSGVNFAVSGAMTLPQFSPFQLDVQVRQFIRFKNRSLELHALTGSGDFIDEKGFRNALYMIDIGQNDLLVGLYASNLTYAPVAKQIPSFLAEIKSAIQNIYLYGGRKFWIHNTGPLGCAPKELAIHPHTNKDVDRIGCLRVHNDLAKAFNKGLKNICKDMKYVLKDATIVYVDVYSIKYNLFAQYKKYGFENPLLACCGYGGPPNNFDRKATCGQPGSTICNNVSRSIVWDGVHFTEASNRVVATNLLSGRYSIPQMKLDQFFG >OMO64053 pep supercontig:CCACVL1_1.0:contig12869:21363:22898:-1 gene:CCACVL1_22081 transcript:OMO64053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRILLSRHPTSRYDSSFSVFPFKFFSSVSNSPQNDDAGTVYRIITSSTSSVNLIESLKSTGIFLSNDIIDNVLKRFRFSHGNPLQAFEFFKYTGKRRGFYHTASSLDNMLYILGRSRKFDLIWEVLIEAKKKDRSLITPRTMQVVLARVAKVCSVTEVVKSFWKFKKLVPELDTLCFNALLRTLCQEKSMTDARNVYHRLKHEFRPNLQTFNILLSGWKSSEEAEEFFEEMRVLGIRPDVVTCNCLVDVYCKNREMEKAYTMVEKMRNKEMWPDVITYTSLIGGLGLIGQPDKARDVLKEMKEHGCYPDVPAYNAAIRNYCIAKRLDDAYSLMDEMVGKGLSPNANTYNLFFRVFFWSNDLKSSYTLYQRMMDSGCLPSTQSCMFLIRLFRRHEKVGMALRLWNDMVEKDFGSFTLVSDVLFDLLCDLGKLVEAEKCFLQMIEKRQKPSNVSFRRIKVLMELANKYDAVQNLREQMAVFGPSNQLPGGEENLTETPDLDSLNVNHARRN >OMO64054 pep supercontig:CCACVL1_1.0:contig12869:25022:25600:-1 gene:CCACVL1_22082 transcript:OMO64054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MASLKFFIFLAMTSLFFSGSHADSALIDGICKRTQDYNFCSTSLNNDPRSVNADLKGLCLISISITIMQIQSTLDRIPGILGTLTDPLGKQRLGACQNDYSTSLGNFQNSFSSASAHDYWKTFDSVKDGTNHVIDCHNSYRTGGPIATSPIDVDDNNVIKLSEIILIIVNDLISGSAASSLLKAPVHLPVHR >OMO64057 pep supercontig:CCACVL1_1.0:contig12869:33347:35204:1 gene:CCACVL1_22085 transcript:OMO64057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSQPVEENYANPKTCFFHVLFKASALGFYILSALFADSFVIIFVITVVLCALDFWVVKNVSGRILVGLRWWNEINDQGESVWKFECLDQESLARINQKDSWLFWWTLYLNAAAWVILGLFSLVRLQADYLLVVGVCLSLGVANIIGFTKCRKDAKKKIQAFATQTIASRVSSTIQSAFSVV >OMO50094 pep supercontig:CCACVL1_1.0:contig16321:44557:45526:1 gene:CCACVL1_30640 transcript:OMO50094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGVAVEIDLKILSAAKPPVGKIFRLEDIGGVDGSSSATNEGPHQKQS >OMO50091 pep supercontig:CCACVL1_1.0:contig16321:17724:22434:1 gene:CCACVL1_30636 transcript:OMO50091 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-2 MPTPVSVARQCLTPEAAHALDEAVNVARRRGHAQTTSLHAVSALLSLPSSALRDACARARNAAYSPRLQFKALELCLSVSLDRVPSSQLTNDPPVSNSLMAAIKRSQANQRRQPENFHLYRDISQQNPSNISCVKVELQHLILSILDDPVVSRVFGEAGFRSSEIKLAIIRPLPNLLRYSRPRGPPVFLCNIENPDPGYEASRVMGRRGFSFPFPGFASFFEGEENCRRIGEVLARRRNPLLVGVCAYDALASFTESVEKKKDGFLVKEMSGLNIICIENYILKCVNEGFNKGEVDLKLEEMGRVMEREKGGSGVVVNYGDLKVFVSDKGEEKDDDNDKVDDEEEEGIGYVVRQLTRLLQVYVGKVWLLGAAASYQTYLKFLSRFPSVEKDWDLQILPITSLRNSLPESYPKSSLMESFVPFGGFFSTPSESKGSLSSSFQHVTRCHLCDERCEQEVIAISKGGSNVSVADQYQSTLPSWLQMAELGANNGLDVKAKDDGLLLSTKVAGLQKKWDNICQRLHHSHSVPNSNTYQANPPFPTVLGFHLVGDKKESAHGHNGNSSTNTQTNENCCTNVNSCLPVGFQKMSTSQSDIPSSVVSNTKNGDFLSKLREKPSKEGDFEAAEPISPCSLSNSSIGDASQASPTSATSVTTDLGLGICSVSSSDKLMKPTNQNHTGLAQDFSGRVPSNVDVVNGSVSSHPAQSSSSSSPDFGGQLDLCNFKKLFAAVTERVGWQDEAARVICQTVANSRARNGHVASRRGDIWLNFSGPDRCGKRKIAVALADVIYGGRENFIYMDLSSQDGVLHSHLLFNCREVNCDLRFRGKTVIDYVADELSKKPLSVVFLENIDEADIQVQSSLSQAIRTGKLSDSHGREVSNNNAILVTTSTKGSQVVCHKTQSSNYSEDKILRAKGWPLQILIKQDGNTFLRDLMVPVATRKSISKQGFLNKRKLIGSQATMEQDETIEMTKRANRTSSWKLDLNIPAEESEFQEADDGTVDNDSAAENPTSWLQDFFDQPVKNVVFKPFDFDALAEKVLNDINQSFRKFIASECLLEIDSKVMEQLLAAAYLSDENRVVTDWVGQVLSRGFAEVEKRYNLNTNSVVKLVACDDLLSEEKTVGVCLPPKIILN >OMO50093 pep supercontig:CCACVL1_1.0:contig16321:37048:39769:-1 gene:CCACVL1_30638 transcript:OMO50093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MNNLHDWPEPIIRVQSLSESDLPIIPDRYIKPLTDRPCLNSEVDNDSNIPIIDLTGLSEHEDNLPASTLDQISIACREWGFFQVINHGVSPELMDRAREVWRGFFHSPMEIKQVYANSPKTYEGYGSRLGIEKGAILDWSDYYYLHYLPLTLKDYKKWPASPDSCREVIDEYGKELVKLSGRIMKVLSINLGLKEDQLQNAFGGDNIGACLRVNFYPKCPQPDLALGLSSHSDPGGLTLLLPDHEVPGLQVRRNGKWITVKPARHAFIINIGDQVQTDKVDKHDDFKVMIYEDKVLSNANYKSVEHRVIVNSGMERISLAFFYNPKSDIPIKPVEELVSEEKPALYSPMTFDEYRLFIRLKGPKGKSQVDSLKSPR >OMO50092 pep supercontig:CCACVL1_1.0:contig16321:23748:26180:1 gene:CCACVL1_30637 transcript:OMO50092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MASSSPRTVEEIFKDYNARRSALVRALTYDVDDFYSQCDPDKENLCLYGHPNESWEVALPAEEVPPELPEPALGINFARDGMNRKDWLSLVAVHSDCWLLSVSFYFGARLNRNERKRLFSMINDLPTVYEVVTGRKPVKDKPTVESGSKSRNSTKRSIDGQPRSNPKLADESFEEDEEEQGDTFCGSCGGGYSADEFWIGCDMCERWYHGKCVKITPAKAETIKFYKCPTCQKKGRQ >OMP11621 pep supercontig:CCACVL1_1.0:contig01090:2014:5897:1 gene:CCACVL1_00390 transcript:OMP11621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class IV MNIVQRSSSLRHLLVQFLRASSVSSSKLSGYHGYTSQAASSLRVATQPSSDSDDEYADVDWDKLGFGLTPTDYMYVMRCSKDQTFNAGQLTRYGNIELSPSAAQILIQAIYEGTKANRTEDGRILLFRPEQNAARMKYGAERMCMPSPSIEQFVDAVKETVFANKRWIPPPGKGSLYVRPLLLGSGPILGLAPAPEYTFLIYASPVRNYFKEGTAPLNLYINEEYVRASPGGAGGVKTITNYAPVLKAIASAKNRGFSDVLYLDAVNKKYLEEVSSCNIFIVKGNNISTPATNGTILKGVTRKSIIEIARDQGYQVEERAIEVDELGEADEVFCSGTAVGVAPVGSITYRNKRMEFKTEGRLVSKELYSTLAGLQTGRIEDKNGWTVEIV >OMP11623 pep supercontig:CCACVL1_1.0:contig01090:14486:14569:-1 gene:CCACVL1_00392 transcript:OMP11623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSLNLNTKTKAMSYILKTVLEVTAAAA >OMP11622 pep supercontig:CCACVL1_1.0:contig01090:7595:13209:1 gene:CCACVL1_00391 transcript:OMP11622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDYIAATAAAKQHIEEIRNKKFAVGNKEPNPLTEDLHHAVTSLSAELYTKDVHFLMELIQNSEDNAYPDGVQPTLEFVLTTRDITRTGAPSTLLVFNNEVGFSKKNMESICSVGRSTKKGKRNLGFIGEKVPEWVEDYAIVSDVCDIYGVDKILPTTTIILPLKLEKVEAVKRQLSDLHPELLLFLCKIKRLSVRGCSNDPNEAETVSAIFVSSETHQKAMPSGRANSCVVHLSAKEKLESTEETCQYFIWRQAFQVKPESKVSTRNDVDDWIISLAFPFGKRLKRGASSIGIFAFLPTAMVTNFPFVIHADFILASSREAILLDNKWNIGILRCVPAAFFNAFSFCVRYPVSSMAQAFEFLPARASSIPELNNIRESIKIMVQKASIIPCKMFGGKRWCKPGVAIRIQKKFRELLCDLQNQDISLKDMFSLKKIPLYPSLDLEKYNEVLNYIGVASDCESDKWYAQCIQTCNFILQASEDSYMKLLCFIATMKYTSFSTNFKTVPFLKYVNRDGEVKLHTCSSSKKILYAVAEDGHAWLTKWNRHFGCPGGMYFVPSSTQKALISHERSAFLRSWLSSNAGWNSCTVYNYALEVFLVLTSNNEPEIAIDCARFIYHSHSHGFIPESQLNYLCKFLPIVDGSGSIRKQMAVTLVPTSRSKWARLLGPTNPFLEQNYVDIAEAYAKSYQSNGEHTPEEELLDFLAKNLRAKDLPQLLPPDMVLPLRSCLTCEEAYLLLDWIRFLRIGGLSIPEKFNQSIRDQRWMKTYSCFSCPSQSFLLDTTEKIVFEMMKIVLHDFFILDQEFYSDRIFSYVDELKYLGVKLGFDDLQRLLANRFKSLTSSSLSKDDTYSLLMFISISREKKMLDEEWLDAIREGKWLQTSQGFRAPKESLFLQSETEVEAVLKITNLPVVDESFYGSKLSTFSSELTILGVMLNIERVYDLIAQNLTFPVDVTSITGDCGLLILRCIRYMGSSASGFIDNVTDQPWLKTNSGFESPLKCILRDGEWDSLFSIVDVPIIDEGFYGDEIKSFKAEMKAIGVAVDFDGAFQMIIAQFKLLSSSHSLTPANIISLLICVRDMIQKRPSQLLEFHSSLLGEKWLKTRHGYRAPKESILFSSKWEGAGFVARGLKQPVGSGLITRDSAISLLECINCLMSKCNDQNAFVAFLDNLKRSKWLKTTFGYSVPEQCILFDPTWEGVLERTDVPSLDEDYYNADFSVFKNELRVIDVKVDPGEVCCILLRILSSKTETSSIVRMYWFLSGGEWISSRLLVLCDKYQLFGSRLYALEDYYPKELLPMFTSIFGVAKFPSTDDYMQLWNDWDLRSTRQVTAVECFSFFAFFLDNFNPCILEALQKNLTKLPATTGKSEKIYLVSKEEVFLPDDLQLKRIFENAEVPLFTWFPSQSNLSPVTPWRLNEVYNSIGVRKISESVVQKVDHPVFSNYKSKMFADSRNGLFAVGLLKIILAFLAGPMMKMPAKERHKAAKSLLELSVFETDKAIQVNYQLLLSTRSRTLEAQRSKMVFWDRSYHKLILNRSGFEDRKANIEFVSSFAQEVAEGVLSQGPVDAIYNLSKLLQIGFMFEFKEDAVEFLLIKESLKLFVEDTEFLNAEFGKRTHPRSEQLGPLTPIPSSKKRCQ >OMO66567 pep supercontig:CCACVL1_1.0:contig12538:2501:3496:-1 gene:CCACVL1_21080 transcript:OMO66567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFSLASTAKKKTQYHLSSLLLSDFMLFCSFILSHPLYFSYLIFFSPYLLKIFSFLSPLFVTTTLLLLAFLTLTPTPRPSFVNQQGSVCHLELPESSSKVSFLLTTYQTLVETLRSKVEDQSDGFVCLEELEAYKMVFETEMSPTDEVLEMESNEDSLEAVEAPLENNLDEEKPTEIARPEANNQVRAVVKLFEDFLQEKEGVENLSSKRREKEVKSLISLESNKGDEEQEEEEEFMSGSKAAAMLGNSNNKKISEVPKVSADNGKGEDAVNFQRVIANWSGENINIDEHNYNSKVVTDKDQTMEWNSPLGNFGSMRKKRVEKNIGMQAF >OMO66568 pep supercontig:CCACVL1_1.0:contig12538:7797:12925:1 gene:CCACVL1_21081 transcript:OMO66568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEQPKLESVSWYVNGVAQGISYRLLEKLNGPISFHRFCSSNKIPVLITIALLCNNINQGRQVL >OMO66569 pep supercontig:CCACVL1_1.0:contig12538:14657:14776:1 gene:CCACVL1_21082 transcript:OMO66569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFLNPRKINFIKQKVESPNPRKLPNQHFLASFRHNKAE >OMO99573 pep supercontig:CCACVL1_1.0:contig06863:15405:20741:1 gene:CCACVL1_03731 transcript:OMO99573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 48 MSLRHRPGAQSGPPRPAPPEDEPYNIIPVHNLLADHPSLRFPEVRAAAAALRTVGDLRKPPYAQWHPAMDLLDWLALFFGFQHDNVRNQREHLVLHLANAQMRLSPPPDNIDTLDAGVLRRFRRKLLKNYTSWCSYLGKKSNIWISDSSRSNSDHRRELLYVGLYLLIWGESANLRFMPECICYIFHNMAMELNKILEDYIDENTGQPVTPSISGENAFLNCVVKPIYETVKAEVESSKNGTAPHSAWRNYDDLNEYFWSKRCFQKLMWPIDVGSNFFVTSSKGKHVGKTGFVEQRSFWNLYRSFDRLWVMLFLFLQAAIIVAWEEEKYPWQALIGRNKEKDRSVQVKVLTVFITWSGMRFLQSLLDAGMQYTRVSRETLGLGVRMVLKAVVAAAWIVIFAVCYGRIWTQRNHDRHWSAAAESRIVLFLQIALVYVIPELLALALFVIPWIRNFIEETNWKIFYLLSWWFQSKSFVGRGLREGLVDNVKYTLFWVLVLATKFAFSYFLQIKPMIRPTKLMLDFKEVHYEWHEFIGGSNKLAVGLLWLPVVFIYLMDIQIWYSIYSSFVGAGVGLFQHLGEIRNIQQLRLRFQFFASAIQFNLMPEEQLLNARGTFRSKFNDAIHRLKLRYGLGRPYRKLESNQVEAHKFALIWNEIITIFREEDIISDGELELLELPQNSWNVRVIRWPCFLLCNELLLALSQAKELVDAPDKWLWYKICKNEYRRCAVIEAYDSIKHMMLEILSVQSEEHSILTVLFQEIDHSIEIEKFTKTFKMTALPQIHMKLIKLVEILIKPKKDVSQVVNTLQALYEIVVRDFIKDKRSTEQLREDGLAPRDPAAMAGLLFENAVKLPDPSDEKFYRQVRRLHTILISRDSMQNIPANLEARRRIAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYNEEVIYSKEQLRTENEDGISILYYLQTIYNDDWKNFMERMRREGMVKDDEIWTTKLRDLRLWASYRGQTLSRTVRGMMYYYRALKMLAFLDSASEMDIKEGARELGSMGRDGGLDSFNSERSPSSRSLSRASSSLGLLFKGHEQGTTLMKYTYVVACQIYGAQKAKKDPHAEEILYLMKHNEALRVAYVDEVPTGRDEKDYYSVLVKYDQQLQKEVEIYRVKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEYRHYYGIRKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKIRMHYGHPDVFDRFWFLTRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMVILTVYAFLWGRLYLALSGVEGSVLAANDTTNKALGAILNQQFIIQLGLFTALPMIVENSLEHGFLQAVWDFLTMMLQLSSVFYTFSMGTRTHFFGRTVLHGGAKYRATGRGFVVEHKSFAENYRLYARSHFIKAIELGLILTVYASHSPVAKDTFVYIAMTITSWFMVLSWILAPFVFNPSGFDWLKTVYDFDEFMNWIWYRGGVFAKAEQSWERWWYEEQDHLRTTGLWGKVLEIILDLRFFFFQYGIVYQLGIADHSTSIAVYLLSWIYIFVAFGIYLVISYARDKYAAKEHIYFRLVQFLVIILGILVIIALLEFTAFKFMDIFTSLLAFVPTGWGLISIAQVFRPFLQSARLWGPVVSVARLYDILFGVIVMTPVAFLSWMPGFQSMQTRILFNEAFSRGLRIFQIVTGKKSSNL >OMO99574 pep supercontig:CCACVL1_1.0:contig06863:24684:25827:1 gene:CCACVL1_03732 transcript:OMO99574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPLLFGETSNGAGLRNRLYRRSDAITYGSPYQKAAALVDLAEDGVGLPEQILDQSSFGSAAKYYFIFTKFDLIWTLNYFALIILNFIEKPLWCFRTSSYSCSDREYFFLGQLPFLTTTESLIYE >OMO76759 pep supercontig:CCACVL1_1.0:contig10871:45764:46757:-1 gene:CCACVL1_15447 transcript:OMO76759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKGGIASACAACKYQRRKCTPECPLAPYFPADQARVFQNAHKLFGVSNILKILRNLDPAQQAEAMRSIKYQANVRDKFPVYGCVYVIRNYYYQIQLVEEELLAVHAQLEMYRQHHQISDHHHHQNNIPSQLELGMAPPSNNNNIALPLFNQVPQHNYNSLPVSMQHSYSNSSNNLGYSSSYSDSKENIAANSLWVSHGFAPNNDSDNNSINPMAIQSHHQQLLSPHPLAVQQEVVHDYDEIHPFFDTIDDRQSYMDSKEAYESSSEESLKDTTQSVEHAAENELKSAAACFSLTSVN >OMO76755 pep supercontig:CCACVL1_1.0:contig10871:16626:18957:-1 gene:CCACVL1_15443 transcript:OMO76755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQDMEWCVSMPKVELHAHLNGSVRDSTLIELARDLGEKGIIVFSDVENVIRKDDRTLHEVFKLFDLIHILTTDHYTVTRITKEVIEDFASENVVYLELRTTPKRNDSIGMSKRSYMEAVMEGLRSVSSVDVDYAPSGLKTDTSNGTTRKKIYVRFLLSIDRRESTEAAMETVKLALEMRDLGVVGIDLSGNPIVGDWTTFLPALKFAREQGLYITLHCGEVPNQEEIKAMLDFSPQRIGHACCFGEENWRKLKSLKIPVEICLTSNIRTETISSIDIHHFADLHKAKHPLVLCTDDSGVFSTSLSREYSLASSAFGLGKTEMFQLAENAIDFIFADEGVKEDLRAIFEVATERLDL >OMO76751 pep supercontig:CCACVL1_1.0:contig10871:2053:4012:-1 gene:CCACVL1_15439 transcript:OMO76751 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-alcohol phosphatidyltransferase MGIYRSLQTLITKNTKKARTFFTAASANSTAYFIPPLSSPLLHTRVSPSFPPLTKWIAPFNGPLFLSSPPWKLSQSATPLSGNVAVLRKVQSLNLDLIRGRGDRKFPVKLQFGSVVSDPKVSHRVETDKEGTNERDALVQSFVNLPNFVSMSRLVSGPLLGWMIVNEMYGFAFVGLAISGATDWLDGYVARKMRINSVVGSYLDPLADKVLIGCVALSMVHNHLLHPGLVGLVVLRDVALVSGAVYQRASSLGWEWKSWMDFFNLDGTSPQKVEPLLISKVNTVFQLILVASALLQPEFGTPETQSCITYLSLLVAATTVGSTFAYGAQYMKKRPGVITRKS >OMO76752 pep supercontig:CCACVL1_1.0:contig10871:5003:5837:1 gene:CCACVL1_15440 transcript:OMO76752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFTCCRVDVEQQSGSFKGRTNVLVGNNNNRKSSRSFMRNLSNRSVSSSKQKIAEEIQKVGKAKYSARIFTFPELVIATDNFNPNCLIGEGGFGRVYKGYIESIDQVVAVKQLDRNGTQGSREFFSEVLMLSLVQHPNLVNLIGYCADGDQSLLVYEYMANGSLEDHLLGMLNDI >OMO76758 pep supercontig:CCACVL1_1.0:contig10871:29853:39390:-1 gene:CCACVL1_15446 transcript:OMO76758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 1 MFILPLSTTASDRLLNLKQHLKNPPAFPSNFLFGTASSAYQYEGGYLTDGKGLNNWDVYSHSSEGRFGEVNVAGITFYNELIDALLLKEPGSGTSKTEGMWRESAQKNGIHIGEPTDLEWLNVYPQGMEKILAYLKERFNNTPMIITENGYGEMSDANSTVEELVHDVRRVEYMAEHLDALSTAIRAALYKEIVDSVNAEKLRGDQYEGGYLSDGKGLNNWDVYTHKPGNVIDGSNGDVVVDHYNRYMDCMFTKCEPGPGTSKTEGFFGQSPQKNGIPIGPPVRYADVGNENSTAEDFLQDSKRAEYMAGYLDSLSTAIRNGADVRGYFAWSLLDNFEWQYGFTRRFGLHYIDYKTLERIPKYSATWYKKFIAEQGKVQYQDS >OMO76757 pep supercontig:CCACVL1_1.0:contig10871:26245:29389:1 gene:CCACVL1_15445 transcript:OMO76757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, RsuA/RluB/C/D/E/F MGSASLTSIFFGNGCRSLSAPVSLLRTLASSNASSLYKLRKNNSKTVLSCLSSSKRGLSCLSSKVDVAQTASTSTVNGYPEYHRLLPCPSENGPPRVEHLVVSEGGPVLEYICKALDLPPLFVADLIHFGAVYYALVCPQPPPSATPEQVKIFKEVTAPSVLSKRASIKGKTVREAQKTFRITHVDQFVEAGTYLRVHVHPKRFPRCYAIDWKSRIIAVTDSYVVLDKPAGTSVGGTTDNIEESCATFATRALGFSIPLKTTHQIDNCTEGCVVFARTKEYCSVFHGKIREKKVKKLYLALAAAPVPTGIITHYMRPINMAPRLVSEDFIKGWYLCQLEVLECKEVPWPDSVVQENYCIEDSGWPSKDYAYECKINLLTGRTHQIRAQLAACGAPIVGDSMYMPATIAEMANPGLNPFGKYKKYTTEDDKEIAIKEWIAQYGKEPSVAIGLQACQISWDEGEHCYEARSPWWRLR >OMO76754 pep supercontig:CCACVL1_1.0:contig10871:9137:15622:-1 gene:CCACVL1_15442 transcript:OMO76754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MSWGLGWKRPSEIFRLSLNYGNEESAEDLDRSSSSSSISSTSSSSASLSPQDQQEVGFRIDLDWLAGDDEDQVALRLQSQLMVALPVPQDAVAIELRETEENVVGVEMKVEKRREPLRGLTMVKAAGSGQQSDGVGVLVRLLRSNLVPSGDGSPVGCGDHWRSVTLLSLCGCGLSTLPVELTRLPMLEKLYLDNNKLSVLPPELGELKTLKVLRVDYNMLVSVPVELRQCVRLVELSLEHNKLVRPLLDFRAMAELQILRLFGNPLEFLPEILPLRKLRHLSLANIRIVADENLRSVNVQIEMENSSYFGASRHKLSAFFSLIFRFSSCHHPLLASALVKIIMQDQGNRVVIGKDENAVRQLISMISSDNRHVVEQACSALSTLAGDVSVAMQLMKCDIMQPIETVMKSHAPEEVVSVLQVVVTLAFVSDTVAQKMLNKDVLRSLKMLCAHKNPEVQRHALLAVGNLAFCLENRRILVTSESLRELLMRLTVTPEPRVNKAAARALAILGENENLRRAIRGRQVPKQGLRILSMDGGGMKGLATVQILKEIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMTLDQCEEIYKNLGKLVFAEPVPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKHSADEFQRLLKEMCADEDGDLLIESAVKNIPKVFVVSTLVNVMPAQPFVFRNYQYPIGTPEVPFAISESSGITVLGSPTTGAQVGYKRSAFIGSCKHHVWQAIRASSAAPYYLDDFSDDVYRWQDGAIVANNPTIFSIREAQLLWPDTKIDCLVSIGCGSVPTKARKGGWRYLDTGQVLIESACSVDRVEEALSTLLPMLPEIQYFRFNPVDERCDMELDETDPTNWLKLEAAVEEYIQSNSESFKNACERLLLPFAHDEKWTENLNSQHFARAKASNSDENSPSLGWRRNVLLVEALHSPDSGRIVHHARALESFCAQNGIRLSPLHGISGDSKTLPATTFPTPFTSPLITGSFPSSPLIFSPDVGMQRLGRIDMVPPLSLDGLQSGKTAASPPKSPPAPRQLSLPVRSLHEKLQNLPQVGIIHLALQNDSIGSILSWQNDVFVVAEPGELADKFLQSVKLSMLSVMRSQRRKGASNVANISTIADLIRCRPYFQVGNVVHKYIGRQTQVMEDDQEIGAYMFRRTVPSLHMTPDDVRWMVGAWRDRIIICTGSYGPTANLIKAFLDSGAKAVVCPTAEPQEVSMATLSGSGEYNVLENGRFEIGMEDAEDDETEPVSPVSDWEDSDMEKNGDHSTGFWHEDEEELSRFICRLYDSVFREGARVDVALKNALASHRKLRYSCHLPNVK >OMO76756 pep supercontig:CCACVL1_1.0:contig10871:21581:23119:-1 gene:CCACVL1_15444 transcript:OMO76756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MELNLIDLFIIGLSLVFLRLWWRYWSATGGGRKNLPPGPPGWPLVGNLVQIILQRRHFVFIIRELRKLYGPIFTLQMGQRTMVIVTDPRLIHEALVQRGPTFASRPPDSPIRLVFSVGKCAINSAEYGPLWRTLRKNFVTELITPTRVKQCSWIRKWAVENHMKRIKTESFEKGFVEVMNNCRLTICSILICLCFGAKISEERIKKIESILKDVMLITSPQLPDFLPILTPLFHRQMKEAKALRKRQLECLVPLISSRRAFVEKGENPNQEMVSPIGAAYIDSLFGLEPATRGPLGEEEFVTLCSEIISAGTDTSATTVEWALLHLVMNQEIQGKLYQEIVDCVGKDGDIKEEDVEKMPYLDAIVKETFRRHPPGHFLLSHAATKDAELGGYTIPAGVHVEFYTAWVTENPDIWSNPSEFKPERFLHGDGVGVDITGTRGVKMVPFGAGRRICPAWTLGVLHINLLVAKMVQAFKWLPVPDSPPDLDETYAFTVVMKNPLKAVIVPRKGIEV >OMO76753 pep supercontig:CCACVL1_1.0:contig10871:7549:7896:-1 gene:CCACVL1_15441 transcript:OMO76753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVAVGTRGTVGSLVKKEIDYFTKFELEGRRGSSWKPPQGPIVVEMMDCTSGHSLSRPSFWLSISGWKRKKRRSGTGFLPSMCSATEVAENQLNRIPGFSYRILKHDVNDFHV >OMO76750 pep supercontig:CCACVL1_1.0:contig10871:818:970:1 gene:CCACVL1_15438 transcript:OMO76750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARLTPDQKVACSIHVGFKTPIQIGSHSFLKFFRSSRPTAPPPLLPDFF >OMO88196 pep supercontig:CCACVL1_1.0:contig09086:12020:16416:-1 gene:CCACVL1_08532 transcript:OMO88196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASKPSPALIALKPRQVVLSSNSAKTMKNKASPLSLDSHQKSLLLLSVANFLQRNSFSKTLKKFLSEAQIQKNDLTGSSLDLEEMCCKYLAMSDNSKPKLSSQQFQDMLANGNSDGQVGGTCAVENATKKNKGGDESSKVDKSANSKKREEHVSYEASKASSDDIIGQSQLNESDKKQKEKKKKKSKVDSESHVDAECLGGESEKSKVAVSTESNIVSGAGIENKTKDKKKKKNKLTSDSHFDHVDQHGSEDKQQAVTNASDISLEDKTSKSKAKKKTKDDAEVLEEEKSKENNKNGMSKEDLTIVDCKGSKKRKRLDSVVHDSHPVLENGIEDSKRRKTESSEEQYSKLDSSVGAEKQLNNQENESIEKTVNNSAQKSSKKQQNGSVQPKKPFQRVNADEVVFVDKRLEDNSYWAKDGAEIGYGAKAQEVLGQVRGRDFRHEKTKKKRGSYRGGQIDLQSHSIKFNYSDDE >OMO56839 pep supercontig:CCACVL1_1.0:contig14471:12040:12138:-1 gene:CCACVL1_26231 transcript:OMO56839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISYFVETAPKAKSEPSKVGRLLKLGLSSRR >OMO56840 pep supercontig:CCACVL1_1.0:contig14471:13937:14275:-1 gene:CCACVL1_26232 transcript:OMO56840 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuolar protein sorting protein MAPLFPPRNPPFRPRLLLVLHLLPLSFPPHVQNDRRRLPESTIDLLPPLQQLHIDDHVVFMAGVFTVVSGAGDPVHDAGLRGGVRIQILDGNRVAERLLPFRIELPNPAVRV >OMO56838 pep supercontig:CCACVL1_1.0:contig14471:8264:10516:1 gene:CCACVL1_26230 transcript:OMO56838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKKKLVEKLFNISKVSSQALTNCRISSSTVQNRMSQKAGKATTAMAPDPGDSNANGNVSGNGIFRRFLHKGTILSPAIRKMPMGENLMERLREMDISKDRIRLDGLSPNLVAKSAVPELPELSVEEAKKLLRVAQLEVVKTRLRETGKTWISYSDFIQICRESCSDQEQGLQFAKLLDESGSVIVLGNVVVLRPDQVAKAIGGLIPLPQRNPNDPRRKELVELEKQKAVIDEKADSLVRRELWLGLAYLVVQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYAFFLRTSKEPSFEGFYQSRFSTKQKQIMKTQNFDFERYNELRKLFYPDSSSSPSAPAVASFDHGSEKMEIGALEH >OMO64542 pep supercontig:CCACVL1_1.0:contig12809:10752:10835:-1 gene:CCACVL1_21680 transcript:OMO64542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTENIQIQTQKPSTTGTETATTGYR >OMO64543 pep supercontig:CCACVL1_1.0:contig12809:25668:25811:1 gene:CCACVL1_21682 transcript:OMO64543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKVRSKLNKGKRREELTEQTVRMNARRQQQQRNQEAEMENERRKR >OMO64544 pep supercontig:CCACVL1_1.0:contig12809:31323:48852:1 gene:CCACVL1_21683 transcript:OMO64544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLALPIRPSKTDEIYALIDDHKGRPLERISSKKASSGDEP >OMO64541 pep supercontig:CCACVL1_1.0:contig12809:1961:9985:1 gene:CCACVL1_21679 transcript:OMO64541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGICWIESTEATSLEKMSIEGMVRWQLEVDKLN >OMO49552 pep supercontig:CCACVL1_1.0:contig16480:56323:56632:1 gene:CCACVL1_30935 transcript:OMO49552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKLYLQPEIAQPSSPPCAAMPELRFFERHQGTPQARPNRIYFQPQIRPNQPLDEAENQICVSSLSTVGFLDLTPLA >OMO49553 pep supercontig:CCACVL1_1.0:contig16480:78921:80592:1 gene:CCACVL1_30936 transcript:OMO49553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAEGNSNSLQVLEPYRSSKDAAAGKVINLSESLGELTKDMTLRMIVGDMKYDQFNLKELVREVTSLAGAFNIADYVPFLGPLDLQGFKPRIKAVSKALDKALEKIIDEHELNIHEHQKGQTDFVDMMLTMLNQPMNPHDDPVYRIDRITIKAMILDLITGGQDTAATTIEWALTELIRNPRAMWPLQEELRSIVGKNRMVEENYLPKLTYLDMVIKETLRLHPVAPLLVPRESMEDVTINGYYIPKKSRIFVNVWAIGRDPNVWSNNVEEFLPERFVDGKIDLLGHDFVLIPFGAGRRICPGMKLGLTSIKLVLAQLVHCFEWELAGGMLPSELDMTEEFGLSLPRAIHLFAKPINRLLEKSMRKLIQFLV >OMO49550 pep supercontig:CCACVL1_1.0:contig16480:16856:18986:-1 gene:CCACVL1_30932 transcript:OMO49550 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L29-2-like protein MRQGRAAMAGQSLPDVARSCPNLRACRSGSGIRRDLVAPKKILPLKSFGRLLSNLISPEPMNAGEGKQMRVLYGLSTIFGHLWAAIEHFLASDKFLGTDFFFPILLFAFNFQVKIGSHLFHVRGGRNVSNFDEKFTTLKILVLHAGWLENHELTMDSLLYPMDPKFLSNQGYAKKLNKKDGWEVDDAFCSP >OMO49551 pep supercontig:CCACVL1_1.0:contig16480:22832:23518:1 gene:CCACVL1_30933 transcript:OMO49551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDPHDEEHKYIIERTNIKAILLDMFAAAFDTSATTVEWTMAELLRHPQVMFNVQQELKDVVGKNRLVEESDLSKLTYLDMVIKESMRLHPVGPLLLPRESIEDITIMGYFIPKKSRVLVNVWSMARDPNVWSDNAQEFFPERFKDSNIDLRGKDLQLIPFGSGRRRCPGMQLGLVNVRLALAQLLHCFEWQLPDGILPSELDMTEKFGLSLPRANHLLVKPTYRLLAQ >OMO49549 pep supercontig:CCACVL1_1.0:contig16480:13854:14573:1 gene:CCACVL1_30931 transcript:OMO49549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAPSILALLGTLLLATISAFFYISRTTKNGRKLPPGPRGLPIIGNLHMLGTLPHQNLHYLAKKYGPIMSIRLGYIPAIVVSSPEAAELFLKTHDVVFASRPKVQASEYLSYGSKGMAFTEYGPYWRTVRKWCNLHLLSASKVEQFSPVRKAEIGSLVQTLKKAAAAGETVDLSQKVGEVIENIMFKIIFGRSNYKNGTFSLKPVIEETLSLTGSFNLSDYVPFLAPLDLQVNLLLSLFT >OMO79387 pep supercontig:CCACVL1_1.0:contig10428:11870:14024:1 gene:CCACVL1_13706 transcript:OMO79387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPSISEKMINLWKGWEIRALILVSLILQVILIVFGSYRRRTKRSWGNSNISLTFIAIPVFIAGIIKYGERTWVLWSSSTKRLRSSLISTPDAGPDYAQSVQTRAGKRKMDKDSLALMVLPRKEVELSFLYDLLYTKARLVYSWLGICIRLITFLSSLSALVTFLIFVSDKQVYAPADVAITYTLLGGAIALEIYALLMLLIFSDWTRLWLVKFMHIKGPSERALDVKRWSGIISKHNLVNICLKEANSPWIKIQKLLCVHEFLAKYLYITWQDVDTKLKELIFQQLKKKSETIQGDDFKVNLCRTLLSHRGDNALKEMKCDEQFEWSTVGVEFDHSLLLWHIATEICYYEDTKRFKDDTESLNKCSKISKCLSDYMLYLLVVCPTMLPKGIGEIRYRDTCADATRLAKQLQLLRPADQDSWGFKEKWEMINKVWVEMLAYAAVHCEWKEHAQQLRRGGELLTHVCLVMAHLGLSEQYQIQKQFIHHPNRRFFEELLLKVYKKPRSEFFLCLLQCFLRIPDCCGTRGSDFD >OMO79388 pep supercontig:CCACVL1_1.0:contig10428:14278:16404:-1 gene:CCACVL1_13707 transcript:OMO79388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIGDLPRELFLEILLRLPVESLMRCKCVCKYWYALISNPKFIELHLKYNCNNNVCVLLKRCLLTCLGERENMLSLVCCNGFSFVNLDVDLSLYKKEPCLQLLGHCDGIICLSNYRDDIVLCNPATRESMVLPQSCLPCYPSIPNLIPQTSALGFGYDAKSHHCKVVRIVSYWEEINGSGFPHLSRVEVYSLATGSWKELNVKVPAHVCYSPCFETYFNGVFHWYAIDDNRNEVILAFHMGNEEFQVIPMPSFLSMYDYTVCRSLLVWNGCIALVIYPGRGIEKSFEICVMKEYGVRDSWTKVLTIGPLARVEMPLVFWKNDEILMEGTDGQVVSYNLRAREVQDLPIYGVPKSFATLVYVNSLVSVKGGNQMLDEGDNTVSARRNMDSGLKYGIEE >OMO87914 pep supercontig:CCACVL1_1.0:contig09157:58161:59006:1 gene:CCACVL1_08677 transcript:OMO87914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MEAAASRPLVERRARPQKDQALNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSTTSSPANSSKIKLADQVTPPILSNSSSHQNPKIHEGQDLNLAYPPPADHQDYNNLSKFMEVPYNKSNQPNSTSSSTSTHHHLNAMELLKSSGISSRGLMSSFMAMAPVSDSNTVFSSSGFPMQDFKPTLNFSLDGFETGYGNLQVQGVEESGARLLFPMEELKQQVPSTNELDQQNRGQGDSSTGFWSSNGMLGGSGGHWS >OMO87907 pep supercontig:CCACVL1_1.0:contig09157:18018:19823:-1 gene:CCACVL1_08667 transcript:OMO87907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MATGFISMFSSILVTLILFCLASSMLFRGVGGTIGVNYGTVANNLPPPAEVAHFLLESTVINRVRLFDANPDILKAFAHTGIAVTVTVPNDQIPRLTKLNFAQQWVEDNIQPHTPATNIVRILVGNEVISTANKLLIGSLVPAMQTLHTALVAASLDRRIQVSTPHSLGILSNSSPPSTGKFRQGYDTHVLKPLLSFLRATNSPFMVNPYPFFGCSPETLDYALFRPNAGVFDEITELLYTNMLDAQLDAVFSAMKFLGFDDLEIVIAETGWPSMGDSAQVGVDAKSAAEYNGNLMRHVTSGAGTPLMRNRTFETYIFALFNENLKPGPTCERNFGLFRPDMTPVYDVGILRPTARSSIPNYPKSPSPTNSAPRSSKGKKWCVPKMEADDNALQRNIDYVCGLGLDCGPIQEHGACFLPNTVRAHAAFAMNAYYQSSEKKDYDCDFEQTGAITNIDPSYGKCQYES >OMO87909 pep supercontig:CCACVL1_1.0:contig09157:30317:30786:1 gene:CCACVL1_08672 transcript:OMO87909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAAPGIVLCRRTKMAVRSGKNFSCNKSTNHNFNLGIR >OMO87918 pep supercontig:CCACVL1_1.0:contig09157:68955:71694:-1 gene:CCACVL1_08681 transcript:OMO87918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee-like protein MESSACSPPLYSCRNCLNPLALGDDLVSTKFKGRNGRAFLFSHAMNIVVGPKEDRHLITGLHTVADIHCADCREVLGWKYERAYEASQKYKEGKFILEKAKIVKENW >OMO87905 pep supercontig:CCACVL1_1.0:contig09157:3138:9923:-1 gene:CCACVL1_08665 transcript:OMO87905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAVVYTLLTTAFIYLFVFSPNKPHGHHGPSARRLGLKAAHFDPLVTRIERTAEQKGGSYQGDHPQHISYVPEVSDAYEYFNDDGSLNTTLRLVILFPMLDNAPPDNLISPNELAVWIDKQARDRLGHRTDKSMSWHDKNGDGVISFTEYLPQFTQDDIERNNMEHGEAGWWMEQFKNADRDLSGTLDFNEFKDLLHPEDSDNENVQTWLLREKTKRMDEDHDGKLNFKEFLDHAYNIYKSYADFETASAPVPTAEEKFVELDINQNKYLEMEELKPILRYIHPGERLYAKYYTNYLINEVKNIRAMEVTQVLLNAQSIDGALRTNAEENLKQFQEQNLPSFLLSLSGELANEEKPVETRKLAGLILKNALDAKEQHRKFELVQRWLSLDANAKSQIKACLLKILSSPVSDARSTASQVVAKVAGIELPQKQWPELIGSLLSNIHQLPAHAKQATLETLGYLCEEVSPDVIDQDQVNKILTAVVQGMSGSEGNTDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCEATLSPEVRIRQAAFECLVSISSTYYDKLAPYIQDIFNITSKAVKEDEEPVALQAVEFWSSICDEEIDILEEYGGDFSGDSDIPCFYFIKQALPALVPMLLETLLKQEEDQDQEEGAWNIAMAGGTCLGLVARTVGDDIVPLVVPFVEENIAKPDWRQREAATYAFGSILEGPSPEKLIPLVNVALSFMLSALTKDPNSHVKDTTAWTLGRIFEFLHGSATASPIITPANCQQIVTVLLQSMKDTPNVAEKACGALYFLAQGYEDVGPSSPLTPFFQEIVQSLLTVTHREDAGESRLRTAAYETLNEVVRCSTDETAPLVLQLVPVIMLELHNTLEGQKLSSDEREKQSDFQGLLCGCLQVIIQKLGSSEPTKYVFMQYADQIMGLFLRVFACRSATVHEEAMLAIGALAYTTGPDFAKYMPEFYRYLEMGLQNFEEYQVCAVTVGVVGDISRALEDKIVPYCDGIMTQLLKNLSSNQLHRSVKPPIFSCFGDIALAVGEYFEKYLMWAMSALQRAAELSTHTAGDDEMTEYTNSLRNGILEAYSGIFQGFKNSPKTQLLIPYAPHILQFLDGIYMEKDMDDVVMKTAIGVLGDLADTLGSHAGSLIQQSLSSKDFLNECLSSEDHMIKESAEWAKLAIGRAISV >OMO87911 pep supercontig:CCACVL1_1.0:contig09157:43246:46574:1 gene:CCACVL1_08674 transcript:OMO87911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLPLASLPLAANMIAAGNGGQKQQTNPRVGERTLGRPAFLAERGKEIEIRPITSMYPEIS >OMO87916 pep supercontig:CCACVL1_1.0:contig09157:63125:65611:1 gene:CCACVL1_08679 transcript:OMO87916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKSIAIPPPSPPLNQNHPKSHHFRMPNTLCLLDKPVSSTTYASILESCKDPNLGKQVHSHVFKSGFFGHEFVDTKLLQMYGKFGCLEDAELLFDKMTLRNLYSWTAMLSLYVNYGLFEEAFWLFEKLRLEDVLLDFFVFPVVLKICSGLGNVEIGRQLHGILIKYQFVRNVYVGNALIDMYGKCGSLDDAKKVLETLREKDRVSWNAIVTACAANGKVYEALDFFERMSLLEKNPRPDLVSWSAVIGGFSQNGYDDEAIDMLFRMVREGIEPNAQTLASVLPACARLQNLSLGRQFHGYITRHGFMSNPIVVNGLIDVYRRCGDMTIAFELFLKFSVKNAVTCNTVIVGYSENGNIAKAKELFDRMEMMGIKKDISSWNSMISGYVNNSMFYEALNLFKQVLREDGIEPDSFTLGSALTACADTASLRLGKEIHSQAIVRGLKHNIIIGGALVEMYCKCQDLMSAQILFNEVTARDTATWNALISGYARCNQIENIQHLLRKMKEDGFQPNAYTWNGIIAGHVENDLHDKALQLFSEMQITNVRPDIYTIGMILPACSRSATIERGKQVHAHSIRCGYDADCYIGAALVDMYAKCGSIQYALLAYNRILDPNLVSHNAMLTAYAMHGYGKDGINLFRKIIDNDFRPDHVTFLCALSSCVHVGSVEMGLELFDLMKHYDVKPTIKHYTCMIDMLSRAGQLNQAYELIKRVPVKADSVMWSTLLGGCVIHGNVELGEIAAERLIALQPNNTANYVLLANLYAYAGKWSDLARARQKLKDIGMSKIPGCSWIEDRDAIHVFLAFDKSHRRKEDIYATLDKLTLHMKTMAL >OMO87906 pep supercontig:CCACVL1_1.0:contig09157:10702:13654:-1 gene:CCACVL1_08666 transcript:OMO87906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding protein, ACBP MADWQQLLQSVFIGLLFSYLLAKLISLVVSFKEDNLSISRARTSHVQVDDAKLDSVHRSDPQGRTDYSVAHEADSVIAEVGSVRNDSDGDDSDGVDDDDEDDDWEGVESTELDEAFSAATAFVAAAAADRLSQKVSSEVQLQLYGLYKIATEGPCTAPQPSALKMTARAKWQAWHKLGAMPPEEAMQKYLDIVTELYPTWLSGSAAKSKGRADAAGKDAKGPMGPVFSSFVYEEESGNDLTMDAIHGFAREGELNNLLKCIESGVSVNLLDSEGRTPLHWAVDRGHLKITEALLSRNADVNAKDHEGQTALHYAVVCEREDIAQFLVKQNADKNIKDNDGNSPVDLCESNWPWLQHEGKAD >OMO87910 pep supercontig:CCACVL1_1.0:contig09157:31124:34481:-1 gene:CCACVL1_08673 transcript:OMO87910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLREDEECSFFDAHEHIATMSGLNSNYIEIPDMNSGSDDWSESGFHYDVWIRSPQSVKERRSKFLDWVGMSLDRISAKNSVDEPSWEGEIGRVRETSGAVLRTSSFEEEFSSSRTSLSCWSNDDINFSEESGSRGNFVCREGNEGGAAVCDVDEVGQNGKKSEGCERRAERLVTGKESQNPSVSSPSFQQTGEGEVLKQSKLEGIAKRVKNRWLSRLRSISCVVDRQVENDRLKGNGDDSLLGSKVQRVKVHQSRKRMKELSALYKGQDIQAHEGSILTMKFSPDGQYLASAGEDGVVRVWQVVEDQRCNDLDIPEIDPSCIYFTVNHLSELKPLFVDKEKVGNLRSLRKTSDSACIIFPPKVFRLLEKPLHEFHGHSGEILDLSWSKKNFLLSSSIDKTVRMWQVGCERCLRVFSHSNYVTCVQFNPVDENYFISGSIDGKVRIWAISGCQVVDWTDIRDIVTAVCYRPDGQGGIVGSMTGSCRFYNMSDNHLQLDAHICLNGKKKSPCRRITGLQFLPQDSSKVMVTCADSQVRILQGLNVICKYRGVRNNGNQTTATFTADGKHLISSCDDDNVYIWNNVAQNEPTPSQAKEIRSCERFSANASIAIPWCGLKYGNSENGRHFEVLNDNLPENLPFSAPSYFSLSHEYFLESFPKGSATWPEESLPPSSPSSASSSMHKSQFKFLKSSCQSAFDSHAWGLVIVTAGPDGRIRSFLNYGLPVPV >OMO87917 pep supercontig:CCACVL1_1.0:contig09157:65825:67922:-1 gene:CCACVL1_08680 transcript:OMO87917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MNLKEPLWSKQTDSDGSQPPSSSSTRDPESDGAPSAATSAVEELIKSLNQQRIYREVTLALRTGLRDARAEFSFLRVRGLRFLLKSLRSIAQSDTSVYLFSLTQSIPELQVVPVLFEHSLKETVDDRVQSLDHIFSVEPMNIKSPSTDAEVALALRVLEGCCLLHPESTSLAQQHKAIPVLMNVLSTRGVLEQGACLDALISIMLDSSTNQTEFEACNGIEEVAELIRDKQVDETLRLKCGEFLLLLIGHVNGRDRSPMATIHEDVRRLLGEKSASLIWAASQFGSTLDPDQRLTALHIQARRVLESLDLY >OMO87913 pep supercontig:CCACVL1_1.0:contig09157:51058:54750:1 gene:CCACVL1_08676 transcript:OMO87913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHLVSSTDSDEFTHLTRALSRSTVIGLDAEWKPIRSQQSTFPTVTLLQLACQLGDDTAVWDESVVFLLDLALIPLSSIYELLKDVFVSPDILKLGFRFKQDLIFLSSTFSGQGCNPGFDKVEPYMDITNIYKLWQHKQGKKVSKDTKSLSAICEEVLGTSLSKELQCSDWSHRPLSEDQIRYAASDAHCLLGIFKTFKAKIVKEGHSCSDVKELHQHNVNLGLKEILTMPDNNNMVVGTKFCNALDIVQATASSEDCERIAQVVEVVRNTKPMDESLLKIVKKYGEKILLRESDRKPKSSRKKGRKRSSVVVCREKKLEIFSDWQGPPPWDISLGGDGCPKFLCDVMVEGLAKHLRCVGVDAAIPHSKLPEPRELIDQACREKRVLLTRDAKLLRHQYLIKNQIYRVNNLLKNEQLLEVIEVFQLKISEDQLMSRCTKCNGRFIQKPLTTEEAIEAAKGFQRIPNCLFNKNLEFWQCMECNQLYWEGTQYHNAVQKFIDVCKLNE >OMO87912 pep supercontig:CCACVL1_1.0:contig09157:48491:49966:-1 gene:CCACVL1_08675 transcript:OMO87912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRIRLKLKSFPLVQTKIVDFFRIQRSSESTEKLSQINSKKRKKGSCIAMSKKKRKLLPFNPTEDPQRRLEQMASLATALKASGAEYSDELTYRPGMALRSANCTALEKGGMQVLPKEDIEALNLCKRMMEKGECPPLMVVFDPVEGFTVQADRFIKDLTIITEYCGDVDYLRNREHDDGDSMMTLLHASNPSKSLVICPDKRSNIARFVNGINNFSPDGRKKQNVKCVRYDVNGQCRVLLIANRDIRKGEKLYYDYNGYEHEYPTEHFV >OMO87915 pep supercontig:CCACVL1_1.0:contig09157:59497:59939:1 gene:CCACVL1_08678 transcript:OMO87915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKSVGSYQYPSGSREILPPPILPLKAKPSS >OMO87908 pep supercontig:CCACVL1_1.0:contig09157:24151:27167:-1 gene:CCACVL1_08671 transcript:OMO87908 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid lumenal 17.4 kDa protein, chloroplastic MEEQFVNIRGRSWASESSASSEVERFMQEQRSSDAKKAWATLVPNHDAMEIKRKRDSNYHTKMVTLSTPLSHNSLSLRQLSTKGNRCPLHQLPSPVRISCSSASKCVSKTEESSSPFKELRGVACGLLAICTVATASPVIAANQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKNNLKGKSLAAALMSDAKFDGADMTEAVMSKAYAVGASFKGTNFSNAVLDRVNFGNADLQGAVFKNTVLSGSTFDNAKLEDAVFEDTIIGYIDLQKLCTNKTISEEGRVELGCR >OMO50862 pep supercontig:CCACVL1_1.0:contig16038:10356:10537:-1 gene:CCACVL1_30195 transcript:OMO50862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEISAHSARVFGIKNFEEFSLFVDFNLKHWDVDTAA >OMO50861 pep supercontig:CCACVL1_1.0:contig16038:3525:5366:1 gene:CCACVL1_30194 transcript:OMO50861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MCNPKPSSSTSSFLPSNTNNNNNNKTHFTKTVDLPKVDDEQLHRLPTLSEAVDEMKAIGKISGPTAISSLLLYSRAAISMLFLGYLGELELAGGSLAIGFANITGYSILGWLPVAIVFGDGRPCLLLLCLRVLPFALNGGALSVGVSTRVGNELGANRPGKARISMIVSLFCAVAIGLAAMVFTTLMRHQWGKFFTNDTEILELTAVALPIAGLCELGNCPQTTGCGVLRVSPSILPISTTKQEDYSINKNPKENSKKQQQQQGDDLEGIVVSINDEFVKSASLETDPLLSKSHIDMSIN >OMP02307 pep supercontig:CCACVL1_1.0:contig06278:3760:7651:1 gene:CCACVL1_02835 transcript:OMP02307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MEGVEKPVYYITRCLHDNELNYSPMEKHCLSLVDVTRKLHHYLLAHKLIVVTSPLKSLHEEILPDDLPGEVHTEVCHIEPPCGEWQLFFDGSSTTSGERIIGVDELCIIGDSNLVVKQTNGEFSLEEPTLAPYRDLVRSFLDKFQSVRCEHSARSSNRGANEVLARCVSNKEADNRLKAAHRQWCGQEGPPLYRTLQRAGGEHEGGLGLYKKLLDLGYYWPPLETDALNHARKCHRCQIYGNVIHAPAVELHSVTTPWPFHTWVFDLIGPISPPFRGYKWILAATECFTKWVEAIPLKKATGAAVANFIKENIICRFGILGRIISDNGTPFTNSHMTKFLALYDVDHVKSTPYYPQGNGQTEATNKTLLRVLSKMVHDDPKIWHRALPVALWAYRTSERGPTRATPFSLVYGTESVVPSEILVPSARMALDAGLTHEQARSLELEAVEEKRDKAHTNLIGYQRRVCRAYDKLVRRRNFDVGDLVLCAAENVRRGISTSNKFSPKWEGPYIVHEVHDSGYYTLMNPGNNNAITSPINFQYIKKYHAYHGGNDAPSTYHGLEDKHGEHGKDVHGLQRSMEMHKDHGDIDKHVPSTKKMLFDSLKMPIVGAVLRGQENPYLYWSSGGEAIPARARAKKFKDTLLGLVRSHLDDLKTIQVQLKSFYDDLSKKTSINYKFITLLAIDSKWPD >OMP02308 pep supercontig:CCACVL1_1.0:contig06278:11831:14458:-1 gene:CCACVL1_02836 transcript:OMP02308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCVIALKDMGREANHMGRQAYHMGPQLESRHATSKHMGAGDMGAEANDLGAQANHMGAQGESGCSHARAKHATMRVFTLNLLHLFPDLATFLPVLPFSWTKMPLKSADRNCPRFCAAKEREEAAGLQTYKNLLRLASCMEALDDEEDEIGEFLMILAVEEYYYRFICKQPCRVSQLSGYAFLQEILHGHDRKYYLVDSGYTNMHGFLSSYCGDRYHLRDYRGRGWQPTGPEEIFSYRHSSLRNCIKRTHKIRDLMFEEFGRDDLIIDDDESLGASTS >OMP02309 pep supercontig:CCACVL1_1.0:contig06278:16245:17386:-1 gene:CCACVL1_02837 transcript:OMP02309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVRARASTSQAAPIEEETTIQPYLSVPPPIFVDSECQEWYDSRWILLSKFGEAILRQHRQGASWLFLHHQHNGQSKYIVVDEEYLCRLLGLNNEGSMFYFTYVNGEQRTATESTWKRDGALRRFDIPLGMDNQESREVRVKYMEPRDRLVCYLLHHNVIPRSSNKHKLRIEDFYVVDKLCHGLGHCNGIPMARLLLSRMWEVVHSSHEDKAFVFPLLISKILVNEGVEVDGEYCFNNEDNVIDVNCLSHLGLRRRVVANVVNWYNVQRRTYAQGLEQSQGTRQPSLEVDEENFQELPMREFQQLMVREMRQHRSEMRAYMERMEDTLRDSMGQRRPRRGC >OMP02310 pep supercontig:CCACVL1_1.0:contig06278:18560:23151:-1 gene:CCACVL1_02838 transcript:OMP02310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MSRCVIALEDMGREANDMGRQAYHMGPQLESRHATSKHMGAGDMGAEANDLGAQANHMGTQGASGCSHARAKHATMHVFTLKFLHLFPDLATFLCCMPNTRSSGTKDLEFNSEPERTLFVLRKENLFREQGGDSSTPSSQASSPRSTTSSSSLESQSPRENMAKENNNNRTLRELAAPNVTTQRLTIQYPTNEENFEIKSGFIHLLPKFHGMPGEDPPRHLTDFQIVCSSMKMQGISEEQFKLRTFPFTLMDRAKDWLYYMPCGSITSWTSLKKLFLEKFFPVHKASSIRKEISGIKQSQGETMYEFWERFKRLCSSCPNHQIPQQLLIQYFYEGHHTDKCPSLIGDDVEEVNALGMQEGFQRKQEPFPRSYNSYGNQGAYQARPNNYPRQSSHYSEGMQQMRETMEIIKKQLGQLASDVSEPKAQGQNKIPSQSKVSPKENVNAITLGSGKELEEPYPTKSTMDEGTSKKEEELHVLAKEIKIEDDEPIILEFVKDYGEAKETSVVKPKLDSKKEPFPTEPRKSMKENEDQDILDIFRKVEVNIPLLDAIQQVPRYVRLLKQFCTNKKMLQGKFNVGATVSAVLQRHLPPKCKDPGMFSITCSIGNTIIDNAMLDLGASLSVMPYSFYQTLKIGPLKYTDVVLQLADGSLVHPKGVLKNILIKVQHLIFPIDIFVMEMEGEITNDQCPLLLGRPFLRTSHTKIDVFDGSLTMEFDGDVIHPKLSSNLSLKTNDFVCVVEKWVKKELGTTSSTMTSEQLSKGRQRITTFRQRKDENFHLAWERFKKLCADYPQHDISQQVLIECFYQGLEVDDQLLVDNINNIPLFDRTVKDAYEALEALTQAIAPPSWIKKKNKEKLGERGKISNNTREDVNKELKEAREKLLKIDGAIIELFKAMSDTLNITVELLKEHTQCSFTNGE >OMO50165 pep supercontig:CCACVL1_1.0:contig16284:8146:8211:-1 gene:CCACVL1_30590 transcript:OMO50165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGVASCYRSWAARRSVSGC >OMO54210 pep supercontig:CCACVL1_1.0:contig15034:11870:14861:-1 gene:CCACVL1_27972 transcript:OMO54210 gene_biotype:protein_coding transcript_biotype:protein_coding description:XPG/Rad2 endonuclease MGSDGGLEIEEIIRICERFFGMDGFGKRGEVPSLRERKEHLDVAKEYEEQGDHAKALKKYKDAVCIDFAITHKVIEIILKMEKEPPIGDEYRFSRISQHKYFPEGFTLSMLQNLCIIRGCDYLPKVIKELRRLNSEGNSIKFQEPYNGEDTYEAAFVKAKLTFQHQSVYDPSTGCIVALNDIPNDVAAAHDDLNFLGPLIPDNIAEGIATGKRHLITYVELE >OMO54209 pep supercontig:CCACVL1_1.0:contig15034:2183:10745:1 gene:CCACVL1_27971 transcript:OMO54209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKENVASANVGEGEPNGRITRARAAALRASGQLPPLNAPNQPDQKRNLRANTKRTALEENNTSSPLQQKKRAVLQDVTNVCCNNSYRKCLNATKIQAKSNKQAKKGQANESKVAASAVAEVQLTQVSSQKECIQEPAKIELKSEVTCSINQKGDAALQSNSIGDESRHSHWLANQCSPLPSQLQSPSKNAERVCFSGTSITSNDPNFIDIDSDKKDPQLCSLYAPDIYNNLRVAELVRRPDPNFMETIQRDITQSMRGILIDWLVEVSEEYKLVPDTLYLTVYLIDWFLSQNYIERQRLQLLGITCMLIASKYEEICAPRIEEFCFITDNTYTREEVLKVEGQVLKHFGFQLFAPTAKTFLRRFLRAAQASYTSPSLELEYLANYLAELTLIDYGFLNFAPSIIAASAVFLARWTLDQSSHPWNPTLEHYTAYNVSDLKTTVLALQDLQLNTNGCPLNAIRAKYRQQKWLIVKSRSLHYRGSRHSWLQDNSMHHDASTDGGLRQGPVCSALPTKPAEVSSVEDLFDFICSGPLVEKIGLTPEKVAESIDKWLFYGSKLCRLFQVNELYLTVPQKARFYHYYVPVFVWCEEQISQHTSKFKDGEEIPPLVIGFSAPQGCGKTTLVFALDYLFRITGRKSATLSIDDFYLTAEGQAKLREENPGNSLLELRGNAGSHDLPFSVETLTNVTKLTKEGMKMKLPRYDKSAYSGRGDRADPSTWPEVEGPLTVVLFEGWMLGFKPLPNDVVKAVDPQLETVNKNLEAYYDAWDKFVQAWIVIQIQDPSCVYQWRLQAEIAMRQAGKPGMTDEEVEDFVSRYLPAYKAYLPTLYSEGPNGSDPEHLLLIEIDEGRNPILGL >OMP08287 pep supercontig:CCACVL1_1.0:contig03894:134:196:1 gene:CCACVL1_01133 transcript:OMP08287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGATKGGGKVKEVALGDW >OMO96094 pep supercontig:CCACVL1_1.0:contig07528:14796:15918:-1 gene:CCACVL1_05072 transcript:OMO96094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVFSTLDVLRALKVVLPVALFPFVWSIVFLSRLAHGMKKSRSRTFNAWLRAENPHVRSARYGGAKGCSARSARRLVISQATHRNLAASCGGDSRREGGSSQIETSTNALLFLKINQILSVFIAFCFGAKVEKVVIDKDLPGDNLDYEVGAPSFSKSVNSGSGQQMQGPTVGLNGGAIHRGNQAKGGFRAVGAKEMELGSAERGKLGALTGHERVVEEVGDYSGHVGLEKGFLSLFALKLLIFQTISKEHVFVRHVWELSWDIVCQRLQQDNRTLLGIAEKNFYRESGLIEIVVQGPVLSEPIMGQVGICFQFLFCGTFGFKCDLG >OMO58834 pep supercontig:CCACVL1_1.0:contig14108:309:536:-1 gene:CCACVL1_25326 transcript:OMO58834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSSTVDNLENLPLPSSVSSVTKRSNHDLSSSLGKRLNPIMMTQIFFLLFLRLDPSDRTHQLAPINGSIFEFLIVFQ >OMO87775 pep supercontig:CCACVL1_1.0:contig09175:9627:9752:1 gene:CCACVL1_08769 transcript:OMO87775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLATNTKAVPAMTLFPGGVSRSEWDRVPSTSYAPFSLSP >OMO87776 pep supercontig:CCACVL1_1.0:contig09175:10063:12693:1 gene:CCACVL1_08770 transcript:OMO87776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVAYAIGKYEDQSFKPSSPFLQALEILQDQIDYTFKNIDLFQISMTHASFSEENNEALSILGANIMETSAAIHSLEKDIDMSSKELGNLISEISKVSSCAFDGLQLGLQHVVRVSAKTDPSSSTIVCGAFRAMFGAIAIDFGSTGKAGNVFWSIHDGKVERAASRNQPIYVEASPEVKDEDEVSPEVKDADEL >OMO87777 pep supercontig:CCACVL1_1.0:contig09175:13064:20920:-1 gene:CCACVL1_08771 transcript:OMO87777 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter C family member 5-like protein MVALVSTSNTRTKLSREAEAAITPERWAATETTPRQWPVLVRTRSSSSGLQSLTVSSSDPVNSSDGRVVVAGTYVAAQTDSSWAFSTDFRPASFIDFDILPLPPDLADEANHGGRNAGRCRHCSAPRHVKFGHRDKRSSVLLGSLFFKADLIWNTNESREGIACVMVGSGKSSLLSCILGEIPKISDEKPEVKEIVQNQNGRFVGPSDEIKPAAAGSAGGNKKKEKKIWKPGCFSTKESGWSQRAERQEQ >OMO63294 pep supercontig:CCACVL1_1.0:contig12997:17086:17196:-1 gene:CCACVL1_22422 transcript:OMO63294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALQASPFLNGANVRGQYVTPSLFSLADPKIPKPKYK >OMO98493 pep supercontig:CCACVL1_1.0:contig07103:18156:18242:-1 gene:CCACVL1_04215 transcript:OMO98493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKQIKFQHENKQIKFQHENKQIKCPA >OMO75569 pep supercontig:CCACVL1_1.0:contig11026:820:1741:1 gene:CCACVL1_16129 transcript:OMO75569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLDLQLAFFDFDSGANVMMTLDMTCLKCGVYPSEILPYDLQTPTAGIRKSQLRPLSDEIKAALGNLRAGYSRIIRLCRCVSQVMQSSGR >OMO75570 pep supercontig:CCACVL1_1.0:contig11026:8215:8802:1 gene:CCACVL1_16130 transcript:OMO75570 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MGYICDFCGDQRSMVYCRSDAACLCLSCDRNVHSANALSKRHSRTLLCERCNSQPAFVRCAEEKISLCQNCDWMGHGTSTSNSTHKRQTINCYSGCPSASELSSIWSFVLESPSAGESACEQELGLMSITENTERTSWGPTENTISQNNTGAAEVNDDSNADKGSGWGGSLSVPELRSARPPPDQPATSTDIPLPK >OMP11087 pep supercontig:CCACVL1_1.0:contig01568:3196:3255:1 gene:CCACVL1_00674 transcript:OMP11087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISKKKKMMMMNSAGVKR >OMP11086 pep supercontig:CCACVL1_1.0:contig01568:2003:2158:1 gene:CCACVL1_00673 transcript:OMP11086 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme oxygenase-like, multi-helical MATTSCVVSATTVEKPKKRYPEEAKGFVEEMRLVAMKLHTRDQAKEGEKEV >OMO51831 pep supercontig:CCACVL1_1.0:contig15700:28045:37438:1 gene:CCACVL1_29563 transcript:OMO51831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MDGGNGFLKLGMINSVAAVSLERLIQFQSRQSRQRVVYEMRLTMKTGVATQKKLSESAVDFPRVNENYTGRHFVNLQKLYQAKCEADFLAIEQVLGIFKLAEI >OMO78069 pep supercontig:CCACVL1_1.0:contig10639:856:1002:-1 gene:CCACVL1_14669 transcript:OMO78069 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem I reaction center subunit XI, chloroplastic-like protein MASNLKSSITSPISRALLASPKALSASPYRFLPSRKHSSFTIKAVQPEK >OMO78070 pep supercontig:CCACVL1_1.0:contig10639:3093:10889:1 gene:CCACVL1_14670 transcript:OMO78070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENGTTGTKSVFDAGTVFGAGTVFGAGIVFDAGTVLAVGSRCF >OMO96644 pep supercontig:CCACVL1_1.0:contig07413:14851:17673:1 gene:CCACVL1_04846 transcript:OMO96644 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO protein GDP dissociation inhibitor MESGKRAEAGPSTGVSEEKPETEQREIMSQKPLAVQVCNDHEEADEEEEEGAAAAGVVAGFVPGPLLSLKEQIEKDKDDDSLRRWKEKLLGCVEGDLNGQMEPEVQFHSIGIISDDLGELNYPLPIDKNRNGLVLFTLKEGSRYQLKLTFSVLHNIVSGLTYSNTVWKVGLQVDQNKGMLGTFAPQREPYVHILDEETTPSGVLARGIYSAKLKFEDDDRRCHMELKYSFEIKKSS >OMO96643 pep supercontig:CCACVL1_1.0:contig07413:1517:7424:1 gene:CCACVL1_04845 transcript:OMO96643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MKSKVRIKKPRRGGLRGDLGQIMKCLCTREQLTKVDNMIPSSESLATKDFSLSGYSSKASDGEKKPDTRNIEEAELSLRESSSLNYEEARALLGRIEYQKGNIEAALHVFEGIDIAAITPKMKLSLARRVERRKRRSHDYAPPAMSIHAVSLLLEAIFLKAKSLQHLQRFKEAAQTCKVILDIVESSLPEGLPENFSADCKLQETLNKAVELLPELWKHSDSPHEAILSYRRALLHRWNLDAETTARIQKQFAIFLLYCGGEASPPNLRSQMDSSFVPRNNIEEAILLLMILLKKIFLKRIEWDPSILDHLSFALSIAGDLRALANQIKGLLPGIINRKERCHILALCYYGAGEDLVALDLLRKLLSSREDPQRVPALLVASRICGEKPNLAEEGINFACRALESMEDECSQLEGTGKFLLGMALSAHSKSSLSESERFARQSEALQSLESAAQITCMKDPNILYYLSLGNAEQRKLEAALYYAKSSLKLEAGSNVKGWLLLARILSAQKRFVDGEIVINAALDQTGKWDQGELLRTKAKLQIAQGQLKSAVETYTQLLAVLQVQSKSYGSGKKLHKDCGYFSRSFEQEIWHDLAYLYISLSQWRDAEICLSKSKAISSYSAVRNHATGLLYERKGLLKEALKAFQDALDIDPSHVPSLMSAAGVLSQQLGSQSNAVIKSLLMNALRVDRTNPSAWYNLGLLHKAENTASSLEEAAECFEAAAILEDSAPVEPFR >OMO67052 pep supercontig:CCACVL1_1.0:contig12477:4189:6465:-1 gene:CCACVL1_20822 transcript:OMO67052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-ketoacyl-CoA synthase MARNEQDLLSTEIVNRGIESSGPNAGSLTFSVRVRRRLPDFLQSVNLKYVKLGYHYLINHGIYLATIPILVLVFSAEVGSLSKEELWKKLWEDARYDLATVLSFFAVFVFTLSVYFMSRPRSIYLIDFACFRPSDDLKVTKEQFIDMARRSGKFDEESLEFQRRILKSSGIGDETYVPKALMSNENCATMKEGRLEASTVMFGALDELFEKTRIRPKDVGVLVVNCSIFNPTPSLSAMIINHYKMRGNILSYNLGGMGCSAGIIAVDLARDMLQANPNNYAVVVSTEMVGYNWYPGKDRSMLVPNCFFRMGCSAVLLSNRRRDYRRAKYRLEHLVRTHKGADDRSFRSVYQEEDEQGFKGLNVSKDLMEIGGDALKTNITTLGPLVLPFSEQLLFFGTLIWRHFFGGDKSKASLSPSSKPYIPDYKLAFEHFCVHAASKTVLDELQKNLELSEKNMEASRMTLHRFGNTSSSSIWYELAYLEAKERVRRGDRIWQIAFGSGFKCNSVVWKSMRRVRKPSRNNPWLDCIDRYPVGL >OMO67054 pep supercontig:CCACVL1_1.0:contig12477:16405:17107:1 gene:CCACVL1_20824 transcript:OMO67054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPSSSNTPASSPTENGLDNNNANGGVPTTMQVESSEMMDWTSFLSMLDTNQEMSTSGYGFYPGLYPPPPPPDDVDDDDDQNGGDAFSQSSFLWNF >OMO67053 pep supercontig:CCACVL1_1.0:contig12477:10593:11693:-1 gene:CCACVL1_20823 transcript:OMO67053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPVRNLEQYRRQLNLPKPHKISDFIRKCPKLFELYKDQRGTLWCGMTKQAEDLLEEEERLIDEHSTKAAEFITRILMMSVDKRIHLDKIANFRRDFGLPIDFRKKWVNQYPEHFRVVKSKDGVEFLELVNWNPAWAITELEKKALGLTDGIGREPGLLSLPFPLKFPPNYKKVYRHGGKIEHFQKRSYLSPYADARELKAGSLEFDKRAVAVMHELLSFTIEKRLVTDHLTHFRWELVMPQKLMRLLLKHFGIFYVSERGKRFSVFLTEAYEGSELIEKCPLVLWKEKVLSLVGYRGKKKGIPTFSDLSDMEQKDLIEGETKDSICVESEEEETIGGLETLSLANHDELEIAHVSSAYKDTDQT >OMP07040 pep supercontig:CCACVL1_1.0:contig04705:890:991:-1 gene:CCACVL1_01388 transcript:OMP07040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSITSAPLCSLPQSSKALTSMAGESRLPKTDRH >OMO89848 pep supercontig:CCACVL1_1.0:contig08596:15893:16186:-1 gene:CCACVL1_07591 transcript:OMO89848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQMKVLVAIILAVSLFLDFPAAVFGDDLERSKSNPRVPKPLPEEFIRQNGLDLDKVRRREDQLQRLYGYLNSLEDYRPLKQKLDDDEEVLPSQKP >OMO89849 pep supercontig:CCACVL1_1.0:contig08596:22591:22845:-1 gene:CCACVL1_07592 transcript:OMO89849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPRPRGYYNHGRRDKNGAKDQPASRLNDVDDNANKTAVEYVFGRDTDKCLSHGTVCFFRHRECCGTCRCSLPGLCLSTVYER >OMO58898 pep supercontig:CCACVL1_1.0:contig14091:14078:19326:-1 gene:CCACVL1_25275 transcript:OMO58898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSNKKLKQKLRAELLAKTVTEIDPNKKNPDSDSNPRSLRSLLDSVENETESEDVATKVYVGGIPYGYTEEDIRYYFEGCGTITDVDCMKFPDTGKFRGIAIISFENEAAAKEALALDRADMGGMQLTIQPYKSTRANKKVAGFAPKMVEGYNRIYVGNLSWDITEDDLKKFFSDCNVSSIRFGKDKETGEFRGYAHVDFSDSVSVAMALKLDQDIVCGRPIKISCAVPKGVKTQPTARPTSNKVPASHELPPTKEAPAVACNEALPTTGDEADNGGNSNVSSGKMRRRTCYECGQKGHLSSACPNTKTADTTPASNEAPTTEEAPASFEAPPTTVSEADNGGNSNVSSGKMRRRTCYECGQKGHLSSACPNKKTADTTPASNEAPTTEEAPASNEAPTANEAPPTTVNEADNDGGSKISSVKPFETTQNFRFISKVFFLNLPSMATNSRGRIELAMQKRYQDREISPERNIVWVERKSSKEQQQKVPVVYYLSRNGQLEHPHFIEVPLSSPQGLFLKDVINRLNSLRGEGMANRYSWSSKRSYKNGFVWQDLSENDFIYPCQGREYILKGSQLLETSLSSRSYETVTSTSSSSKNSSETYSSSEDSNVPAIIRRKHHSWSEFKELDEQKIYKARTSKEFSSKGSSVSTQTGENSRQRRLGSEETKEQEREGNQSIVDLIGQQASRLSSFSTSDVPKSLKISADIRDQSVESDRPSGRIKASAVIIQLIACGSRRAKHFESMEHGDEVN >OMO58897 pep supercontig:CCACVL1_1.0:contig14091:7009:12477:-1 gene:CCACVL1_25274 transcript:OMO58897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MGPIRGFKRRKKAADKKEVDQNGSPASETDAPSLGPQPQPLDWWDEFSKRISGPSRSKDSKSFESVFRISRKTFNYICSLVKEDMMARQSSFTDLNGKPLCLNDQVAVALRRLSSGESLSVIGDSFGMNQSTVSQITWRFVEAMEERGLHHLNWPSTEAEMEQVKSKFEKIRGLPNCCGAVDITHILMTLPTMDPSNNVWFDREKNYSMVLQAVVDPEMRFRDVIAGWPGSLSDAIVLRSSGFFRLTEEGKRLNGKKLSISEGTDIREYIIGDAGFPLLPWLFTPYQGKGLSDLQIEFNKRHAATRMVAQMALARLKEMWRIIHGVMWMPDKNRLPRIILVCCLLHNIIIDMEDEVVDDMSLSHHHDTGYRRQNCESFDKSALIVRDKLSLYLTGTLPPQKVTFTPAGGYRGCYLLWLYNFAAAVY >OMO58896 pep supercontig:CCACVL1_1.0:contig14091:4568:5231:-1 gene:CCACVL1_25273 transcript:OMO58896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MGAVEGTILALIVERNNTSLWHIHLDSKLIAAVYGGFVSAFAIYTMGSVTKKKGPVFVSAFNPLGLVIVAILGFFFLAEEMYLARGIGSIVIVVGLYLVLWGKSKDQPHSDTDSGGGTVAESDQQMSAIENGETRGPSLFTITSNRVRPIEESD >OMO58895 pep supercontig:CCACVL1_1.0:contig14091:3547:3633:-1 gene:CCACVL1_25272 transcript:OMO58895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFDASVLLKDKRFWFASFLIVWAAGLQ >OMO58899 pep supercontig:CCACVL1_1.0:contig14091:22228:25404:1 gene:CCACVL1_25277 transcript:OMO58899 gene_biotype:protein_coding transcript_biotype:protein_coding description:C1-like protein MEIQHFSHEHPLVLVEEQSHESDGDEKACCSGCGELVSGPCFSCVACGFHLDKKCAEASSELNHPFHSKHNFDLLKRHPYEGGCYCNFCGKRCENFVYHCSCNLDLHIKCGLLSYKIAEKKLGELQHISHIDPALISMENCNIEELQKAECFVCWMPLLGSKYLSADCGFYMHERCVDLPAEINLLWHPQHSLVLQFNSEGYPYRCKRCNFNLHEDCMRLPLIVRHKCDDHPLKLTYRDNSNYSECYYCDICEKERDPNHWFYFCEICNTSTHPNCVVDKNSSFIKPGGIYKEWEDPTFELVSSSAAEFLVKYKSCKDDQCDFFDWYDDLVCKRTRELLVAFRDNERRLLKEKIAAN >OMP07489 pep supercontig:CCACVL1_1.0:contig04401:140:1045:1 gene:CCACVL1_01295 transcript:OMP07489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVGAIPHATTLLVDRPLALTNQSSHRLQQMRLQMVANREGAVVLRPHSFIAIQNSLSNTGNEFGHGVFVVACNARRNGEHDRSERGGTSLQVLKAFVGDDLTDHRGHLGFYPGPLRPWLWLPRDVVVLEPMIAQTSEESRIAPSRFEFVTAFDAFSRGLDAGRRCTYAAKLLMTDMYISLNSSSAPPATGRERLQCRLARLAYRAALR >OMO89281 pep supercontig:CCACVL1_1.0:contig08791:5856:5945:-1 gene:CCACVL1_07938 transcript:OMO89281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLTHSGQIPANFLTDMSLISTNGHHSV >OMO61243 pep supercontig:CCACVL1_1.0:contig13556:8885:11220:-1 gene:CCACVL1_23654 transcript:OMO61243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDLESLAEATSGAIGALVSTTILYPLDTCKTKYQAEVNVHHQQKYRNISDVLWEAVSTGQVLSLYQGLGTKNLQSFISQFIYFYGYSFFKRLYLEKSGSKNIGTKANLIVAAAAGACTVIITQPLDTASSRIQTSEFGKSKGLWKTLSEGSWREAYDGLGISLLLTSNPSIQYTAFDQLKQRLLKRQLKEKMGMDSSPVALSAFSAFVLGAVSKCIATSLTYPAIRPSGIFQRIRSPDLEDCFKLGVASNDKGEDLKDRMGPNSFT >OMO61242 pep supercontig:CCACVL1_1.0:contig13556:6612:8563:1 gene:CCACVL1_23653 transcript:OMO61242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDINLFREEKGNDPNLVRESQRRRFADVGIVDKIISLDKRWRRCQYELDHLRNRKELNEIRTEIAQLKLKNNAMVRQWGQKRMESNLKNHVKRVNLLRLADTETGPKVAGRRGIFRTHQFEKVEQFCITSPNDSWEMFEEMIKNSEEFYQELKIPYRVVSVVSGKLNDAAAKKYDLEVWFPASKTYRELVSCSNCTDYQSRRLEIKSNGQYVHMLNSTLTATERTMCCILENYQTENGVEIPEVLLPYMDGVTFLPF >OMP10495 pep supercontig:CCACVL1_1.0:contig02394:778:837:1 gene:CCACVL1_00932 transcript:OMP10495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSTGPFLANQTKNEEAGPAE >OMO61421 pep supercontig:CCACVL1_1.0:contig13490:4377:4703:1 gene:CCACVL1_23524 transcript:OMO61421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLQRSTTSFRRQGSSGLVWDDKFLSGDLNQMKALRDNNNQENMMKRSRSEGGRGRGGGGGGRMYRAAREASPSVDPPSPKVSGCGLCGIFGNPDAGKKRRSSKRKL >OMO61422 pep supercontig:CCACVL1_1.0:contig13490:7458:7541:1 gene:CCACVL1_23525 transcript:OMO61422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSEIAGFEPSSYMISYKLLTKRRSS >OMO61423 pep supercontig:CCACVL1_1.0:contig13490:8688:9410:-1 gene:CCACVL1_23526 transcript:OMO61423 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative axonemal dynein light chain MATKENKQRKLSGFLSTFYRSTSKLHKPKDQIPPPAAAATAVVNNNNKHVNPQSFLLKKLENCQALELQGGSRGLEREFELGAPGGGGGDGGGGKIVEGRKSVSHVETNLPSVVSFLQVKVLVSDMPGFMQVHAFRCARRTYDSLEKFSPRHMAYNMKKFE >OMO51957 pep supercontig:CCACVL1_1.0:contig15659:4727:4795:-1 gene:CCACVL1_29478 transcript:OMO51957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRSRGQIGSIFGMTWQNPDY >OMO89046 pep supercontig:CCACVL1_1.0:contig08859:32982:34706:1 gene:CCACVL1_08045 transcript:OMO89046 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing protein MELKELGQDIVKKCMGSPRAISAAAEILSATPATLDHWSIVLKQIDKDQASTSYTLSLVADALPSHLKLCLSYCALFGKNYDISARRLIVLWVAEGLIEQQRGSKMAAEDIAEKYLKKLENQGMIQVVKWKTNGKIKKCRMHPLLRDQWVSKGKRASFVQVPDEAACSCSSSSTGMIRRVADHLDEQDDCFHHIHGSRRTNDSLRSYYEDLRSILSFDFREGPTPGEEIGNFLRRGIKGRFLLRLRIVDLEGVSLPKLPKGIGKLKELRYLGLRGTYLEILPSSIGYLPKLQTLDLKNTHISTLPSTIWKMQRLRHLYLSDRYRCRFLAPPSACSAIDLQTLWGAFLDEESPMEGGLNRLINLRKLGLVFRLTLSQQRSLAKWITRLIHLESLRLRSIDESVQPSTLYLTPLSSLKNLSTIYLMGRLNNPLVVQKLPENLTEITLSMSGLLNDPMPYLEKLPNLRILELLADSFIGKVMICSDGGFPLLRVLKLWKLKDLEGLVVQKGALPIVKDIEIRSCGNLQMIPNGFQHLLHCRELKLTGMTEQLKRRVNKDYGQDWYKIAHIPSISVED >OMO89045 pep supercontig:CCACVL1_1.0:contig08859:31968:32441:1 gene:CCACVL1_08044 transcript:OMO89045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLAPSPWKTTEASLLKATDKLSDLLIYKQELLDGFEEDFKMIERGLAQMHLMATNVKEERGLEEYAEEVRLLATQVEAVVDAFILRKQKSKQLSFSNCVKDSFPFFKSRAEIYKLIDDIESIRSSILKVVTSGNELGISHVKARKDPGAVSQNPE >OMO89043 pep supercontig:CCACVL1_1.0:contig08859:21914:25989:-1 gene:CCACVL1_08042 transcript:OMO89043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MGMATLSFSSLNPLSSSFSSASSSSSTNHFLPKLSLTKQEISTLSGYNSKSKSRRKLLFQPTRLILHPVLLLSGFDKPLDTQTFLATISVLAAIALSLFLGLRGDPVPCDRCAGNGGTKCVFCDNGKMKQETGLIDCRVCKGAEAGNMSNTDSASGAPPFYEVDECRGVLRVYSDGSIWRSPNPSFNVPVEDDGSVLWKDVVFDPKHNLQLRLYKPASSSPSSAKLPIFYYIHGGGFCIGSRAWPNCQNYCFKLASELQAVVISPDYRLAPENRLPAAIEDGFMAVQWLQAQARAENPDAWLTDAADFSKVFISGDSAGGNIAHNLAVQLGAGSPDLAPVCVRGYVLLAPFFGGTVRSRSEAEGPKDAFLNLELIDRFWRLSIPIGDTTDHPLVNPFGPVSRNLEHASLDPILVVVGGSDLLKDRAEEYANKLKKWGNKTEYVEFEGQQHGFFTINPNSEPSKALMLIIKRFIAENSC >OMO89044 pep supercontig:CCACVL1_1.0:contig08859:27640:30278:1 gene:CCACVL1_08043 transcript:OMO89044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQNQIPSNTDLFDAYFRKADLEGDGQISGAEAPSFDLTYPNV >OMO89047 pep supercontig:CCACVL1_1.0:contig08859:36066:41644:-1 gene:CCACVL1_08046 transcript:OMO89047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINADKKGNYAVEVGGVNISPNPVVRGKPATFNISASTDQAISGGTAVIDVSYFGIHIHQETHDLCEETSCPISAGEFVLSHSQALPAITPPGSYLLKMTLSSDKSQVLTCISFNFKVSLSASESLFEFCQEVVMQIFPNRFRELWNEWELRRFPALLVLLSQSLQIILVVFGNRRKYISRNWIRLILWLAYLSADYVATVSLGILANNQGDFDDGSPGDAVMSFWAPFLLLHLGGPDTITAYSLEDNELWLRHLLGLVCQVGVVFYVFIRSLVPMELNFIAIPVFIAGIVKYGERTWVLRSASSQQFRQSLLPRPDPGPNYANFMEDYKLKEMEGFKLSYTALPAFTVVPHTNTFQGGNLIPDGTILISAYDFFNTFKRLFADLILSFHDVEKSHSYFQRCPWNEAFKVIEVELGFVYDILYTKANIVYSKLGVFLRSVSLSSTIIALVAFSMLDRQKFTTFDVCVTFLLLIGAIFLEIYALLALLSSDWTMLWLSKREKFLATQMYKAISTFKFITSRNRWSNHMEQYSLIGSCFKGAQRFSWIHKHVNGNETSVVISPCLKEYIFQQLVEKSKIAANLSFCRQLCACRGEQVLGEKNCLDKLGWSIEVEFDQSILMWHIATSLCYCYDQKRNPNYVLDLRCKVSKSISEYLLYILVKRPSMMPDGIEQIRFQDTVCEAIRFIQDRKFISNASQACEMLFQGDNRIKPSSVKGDRSKSALFDACRLAKALCSVEEERQWNTEEKWELVSHVWVEMLSYAVSQCRWNHHAQQLRQGGELLTHVWLLMAHLGLTKQFQISKGHVRAKLVGQ >OMO99141 pep supercontig:CCACVL1_1.0:contig06952:38550:39038:-1 gene:CCACVL1_03915 transcript:OMO99141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMGGSVRDECDEGGNGSTVKVRGGEKWKQCFMEKSIDLNAVPKSKGNNLGAYEIRDPDFGVKSFRRFKSAEKQSSSVGKPQKRSNGNSVNKIKKRLYFGSDCRNRSRQNGIPGSNSSKMNASNSKASTGRPFSEGSAQNPFNMEPDALNKDSTDGETDSP >OMO99143 pep supercontig:CCACVL1_1.0:contig06952:44633:52757:-1 gene:CCACVL1_03917 transcript:OMO99143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGCKCFYWKRMDELAPTEPETFSLPAPLPQWPQGQGFASGKINLGELEVVKISRFEFIWSSNFSQDKKKGVTFYKPVEIPDGFHSLGHYCQSNDEPLRGFLLVAREKPSESEVTHLSTCVASPALSEPLDYTLFWSSNDGSEESLEGCGFFWLPQPPEGYKSLGYLVTNTPRKPKLDEMRCVRADLTDKCESYRVILNVSARFSEFPFQVWSTRPSHRGMLGRGVSVGTFSCSICWTPGQELSIACLKNMDPMLHAMPNCDQIHALINHYGPTVFFHPDEIYLPSSVSWFFMNGALLFRKGNSIGECIDPDGSNLPSGGKNDGEFWIDLPSGDQKNNVKQGNMRSAKLYVHVKPALGGTFTDIVFWIFCPFNGPATLKIGLMNIALSKIGQHVGDWEHFTLRICNFTGELWSIYFSQHSGGVWVNAYDLEYIQGNKAIVYSSKSGHASFPHPGTYIQGSTKLGIGIRNDAATSNFYIDSSTHYELVAAEYLGHEVVAEPGWLQFMRKWGPSIVYDSKTELERIINILPMMLRFSVENIFAKLPVELCGEEGPTGPKEKNNWVGDERWSSPSSKVSSAGNSVNEEKSKPLASKAVSLVLITVTGGVALSALDDLSIYYGCSSKAMEKASKNQAIIDAIGEPIKKGPWYDASLAVAHKRQSVSCTFPVSGPQGSGVLQLKAVRNGDDNWYTYFLPRDWEILIMEALVHVPGNEEKRQTLRISLLENAPSPSSTACTECRPQQSENQEKK >OMO99136 pep supercontig:CCACVL1_1.0:contig06952:11695:12003:1 gene:CCACVL1_03910 transcript:OMO99136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMGPNTNGSHLSSSLQLLKPLHLDGKHVFSFRKVIKGMAIVRSIEHFATEDGDYPSSRSHIIAEKLLREQMIDGISNFFFKDGYIYPDSPADLAKKTPYC >OMO99139 pep supercontig:CCACVL1_1.0:contig06952:19630:21356:1 gene:CCACVL1_03913 transcript:OMO99139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSTDKDGRTGGRKDPAWEHGTLFPGKGKRDSRRGGCHWRERLSLERKVGESSSTQKPKRNLAEIEADEMKEEFGPSEEDPVANMSEAEF >OMO99135 pep supercontig:CCACVL1_1.0:contig06952:2583:3272:1 gene:CCACVL1_03909 transcript:OMO99135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVTHGKPTTNYVHQAPTRVKEKLKELSTNGFDWVDRCRRKATPLKTLNREEEESHEGGGPLEIGTTVITEKRKQSQ >OMO99140 pep supercontig:CCACVL1_1.0:contig06952:24729:25853:-1 gene:CCACVL1_03914 transcript:OMO99140 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA-dependent RNA polymerase 5-like protein MFDSVHEEKWQDFPSIQQAVSGGSGGLDRQLLSPSPRATATAYTCPSFTTAALALYHPCQASFLLLLPLFLSSIFTIYLPLLLVEPDPSLSLSNPSCNAANLVSTAIHRRSANNYLQRPLKSILSLGGLPKPEPRVIFEGNDLKWNSNYTAKRNAGRKTKTSGTQDAKVKNQCMNEERER >OMO99142 pep supercontig:CCACVL1_1.0:contig06952:40453:41132:-1 gene:CCACVL1_03916 transcript:OMO99142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEIGNARKKIMVCLRPKAGGINWLFEVDDGYSLVMELSTEQHQAIDEIGFGAM >OMO99137 pep supercontig:CCACVL1_1.0:contig06952:12788:16054:-1 gene:CCACVL1_03911 transcript:OMO99137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVGMRRTTRVFGMVKGSEGARVLRSGRRLWPDSGEVNTKTPTKEADEHYNLRKKPLKSEVNGAAPELNGKPKRVLQVENPKKQTRKPKDAAINNGGRVDKMYGIVYTRKRKRNEVQKDQLSEQKTFERRNAVQKNHPSGKSNRKKKKVRRRVSRRQASKRRKTSKIVQEARVLTFTVENGGCYGWFPSFLTSVLAYVRRAEVSLSQLAAFLTSQPIYGVYTSNGVNFLWGPPANRTGICKFFGVRESIPLFSVDFSAVPHCFLYMYHSKLHRFKCMQLVPVNSDETMSDSEDELSLTYVVDAFKTKIGTAAVEIGNLGSKIILHPTDRGSRLTGRNGYRNGLSSRGIQKRRSSLRRRRAKNLSLVSMHKANGALMSDLISSRRNGIPFSSVVSKNKVRSSVRHSSAANLSDMSSSISDLMQNVDLSKCSANVLVIESDRCYREEGTIITLELSASREWLLVVQKDGSTKYAHKADKFMRPSSCNRFTHAIMWTGDENWKLEFPNRRDWIIFKDLYKECSERNTPASIVKVIPVPGVREVSGYEDRCIVPFHRPELYITFDGDEVSRALAKRKANYDMDSEDEEWLKKFNYEFFSGNGHCEHLSNDCFELMVDAFEKAHFCSPDDYANENASTHLCRDLASRGVVEAVHAYWLKKRKQRRSALLRVFQGHQVKKAPVVPKPFLRKRRSFKRQASHGRGKHPSLLKALAAEHDALAEQNAMLKVEEARVSASRSVESAIAKRRRAQLLMENADMATYKAMMALGIAEAAQFTEFSDGAVSQYLDL >OMO99138 pep supercontig:CCACVL1_1.0:contig06952:16635:19210:1 gene:CCACVL1_03912 transcript:OMO99138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQASYCSWKRASQINRGR >OMO76780 pep supercontig:CCACVL1_1.0:contig10869:1973:2928:-1 gene:CCACVL1_15422 transcript:OMO76780 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein MLEDLIIAEGQPDNYDLCGCRYIISGARLKHFSYLGSLDDGCCIINTPSLVGVAVDVFSCKSITLGRMRAYCTYKLLTGLKNIKLLSLSTETLQVLSHTEESTAHPLPLFDNLEKLIVCGKAVDFAGSGRMLMMILQHSPHLETLEFSWGIDFLANDDRIFVDPMPACFSTHLKKVIIRMFDERHVTKEELHVIKSLLLSAQGLKELRFSVCEINKTHFRDLISSLPAGLVRPGTNIYINGESVVA >OMP01422 pep supercontig:CCACVL1_1.0:contig06455:1953:2030:1 gene:CCACVL1_03097 transcript:OMP01422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIGSSNMPPIGQVVGISSEAILPLQ >OMO51400 pep supercontig:CCACVL1_1.0:contig15848:7009:10654:-1 gene:CCACVL1_29814 transcript:OMO51400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLGEFGFELSGFRSNGSDSFFGSFMYRVIWVRVIRVSGHSGSGSIGFRVGRVRVLTSGQLGLTSRVIQVSGHTVLGSLGLRVIRVSGHLGYGSFGSRIIWDAGLSGLGLGGFGSFTFSSQIGLGEFGFGRVLGFRTTLLLKCSFNEHQITLCFESLDPFDIPICTQICNTSFNNAGPCVFLGYSLHQNSWEYSERGRGRGRSSSGRSSSTGRGRSSSSSVHNASPDGRSGNDINKYYHRRRIPKIIKSFVRGYLDVTVDGYCGFHVVASFVFNTQEDWPMVRDCMANELFTHRAMYEPMYIADIGGVDAAIRRIQWDGGPCRRNYWMQIFAHNIKDETGSNIQKNLWAEREMNSWAEKETNGHVSI >OMO79247 pep supercontig:CCACVL1_1.0:contig10459:17380:24696:1 gene:CCACVL1_13811 transcript:OMO79247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTETKAVPEAEKKKEQSLPFYQLFSFADKYDYLLMISGSVGAIIHGSSMPVFFLLFGEMVNGFGKNQSDLTKMTHEVAKYALYFVYLGLIVCLSSYAEIACWMYTGERQVSTLRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGESKALNSYSDAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQSDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLMEIIKQKPTIIQDQSDKKVLPEVNGNIEFKDVTFSYPSRPDVIIFRNFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNEGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMDEVEAAACAANAHSFITLLPNGYNTQAGAYASLIRFQEMVGNRDFANPSTRRTRSSRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRIEMISNAETDRKNPAPDGYFCRLLKLNAPEWPYSIMGAVGSVLSGFIGPTFAIVMSNMIEVFYYTNPTSMERKTKEYVFIYIGAGLYAVVAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEEEHNSSLLAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILGTFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQNKILSLFCHELRVPQMRSLRRSQTSGLLFGLSQLALYASEALILWYGAHLVSKGASTFSKVIKVFVVLVVTANSVAETVSLAPEIIRGGEAVGSVFSILDRHTRIDPDDPEAEPVESIRGEIELRHVDFAYPSRPDVSVFKDLSLRIRAGQSQALVGASGSGKSSVIALIERFYDPTAGKVMIDGKDIRRLNLKSLRLKIGLVQQEPILFAASIFDNIAYGKEGATEAEVIEAARAANVHGFVSALPDGYKTPVGERGVQLSGGQKQRIAIARAVLKDPTILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRNVDSIGVVQDGRIVEQGSHSELISRAEGAYSRLLQLQHHHI >OMO79245 pep supercontig:CCACVL1_1.0:contig10459:1068:1181:-1 gene:CCACVL1_13809 transcript:OMO79245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRSSARSGNQNNEDYSLKLRELLATNKKKKRNAAK >OMO79246 pep supercontig:CCACVL1_1.0:contig10459:2382:4025:-1 gene:CCACVL1_13810 transcript:OMO79246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFLDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRKSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYCKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGDEDDGEEY >OMP05305 pep supercontig:CCACVL1_1.0:contig05447:3163:3784:-1 gene:CCACVL1_01963 transcript:OMP05305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MLQSTLESTITVALNALAVHERNDASSVEQMTEAGAIDALLDLLRSHQCEEASGRLLEALFNNVRVREMKVSKYVIVPLAQYLLDPQTRSESGRLLAALALRDLSQHEGHARASGSVSACRALVSLLEDQPTEDMKMVAICALQNFVMRSRTNRRAVAEVGGILVIQELLLSPNAEVATQAALLIKFLFSNHTLQEYVSNELIRSLT >OMO70346 pep supercontig:CCACVL1_1.0:contig11838:82744:84174:-1 gene:CCACVL1_18983 transcript:OMO70346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-N-acetylmuramoyl-pentapeptide transferase, conserved site MRSHSSSSNLHHFYSPGPSRSPKRRSFVPVLPRIRFLSSSRLHPAASSSYSPLKLQFKRSKHEQKRRRRFRYDVVKIKAADDDSVGISSFDDWAVDDSVATIYVGLFINLGLIIFLTLVLLLVDWWGWKIVRLPLAPFYLTSPFFISLILAACAGYVCVPLLKSLKFHQVIRKEGPARHSRKRRTPTMGGLFFIPVGISVAKFVSGSSSIEVSASRKRRTPTMGGLFFIPVGISVAKFVSGSSSIEVSAAAVATLAFGAVGLLDDVLSFIKQHNSGLSPRLRLVLEAAVGIWFSCWLDATSLSSPYGMYIFL >OMO70344 pep supercontig:CCACVL1_1.0:contig11838:65453:68646:1 gene:CCACVL1_18981 transcript:OMO70344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFKERQKMEEAVAAELAEQ >OMO70334 pep supercontig:CCACVL1_1.0:contig11838:5477:6013:1 gene:CCACVL1_18971 transcript:OMO70334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNVRIMQNWGEITPDLMISRQKPSPSSSPRLETIAEEECCINEGVPKRVFVLLPKKKEGI >OMO70343 pep supercontig:CCACVL1_1.0:contig11838:63181:64659:1 gene:CCACVL1_18980 transcript:OMO70343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MEVEEIQTQACKFSRVGNGRNDSSKIGQKGVSENYPDDEEDGELMVKRGGGGGGGGGGGGGGGDGGGGGVIDTTNRLRGWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAASDAIAELPSLNSSFPDTPKQLSDEKRASGGGTTTNEQAFDSAEVELDGDPNNYQQNNQSSQQQHLSLSKSACSSNSETSKNSGLSLSRSEIRENRVKARERARERAAKDKEKEHDHQNVNPISQNSSFTELLTGGIGNNNGGSPQNPNGEPDFFNKSTNSAAATARQWPNTPMDYFASGLLGPSSSSSSRSHHNHSSGFPGQIQLGNSLPQALSIPPFNVSGDQSHHHHHHQELQHFSFVPNPDHLIPVTTQPGPGCDYNLNFTISSGLAGFNRGTLQSNSPSSFLPHHHLQRFSSIESSPSPFYIGTPPVENHHQFPAGFHDRGLQLCYGDGTSRSSDHKGKGKN >OMO70342 pep supercontig:CCACVL1_1.0:contig11838:47610:49646:-1 gene:CCACVL1_18979 transcript:OMO70342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14b/L23e MAASFASRCSKVGRSLLGGLGNNFSNLTSTSSEMTHGSFLSQQQRTFIQMRTVLKVVDNSGAKKVMCIQALKGKKGARLGDTIVASVKEAMPNGKVKKGKVVYGVVVRAAMQRGRCDGSEVKFDDNAVVLVDKQGQPIGTRVFGPVPHELRQKKHVKILTLAQHIA >OMO70337 pep supercontig:CCACVL1_1.0:contig11838:12982:16288:-1 gene:CCACVL1_18974 transcript:OMO70337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDASREEHTQRETAFYLFTQQRLPACKPVLTPAWVITIFLFTGFIFIPVGLVTLRASRSVVEIVERYDAECVPEPFSIDKVSYIQDDSIPKNCTLFLKVNKYMKAPIHIYYQLDNYYQNHRRYVKSRSDQQLLHGQKYQSTSSCQPVEFNNDQPIVPCGLIAWSLFNDTFSFIRERAKLKVNRKNIAWKSDREHKFGKNVYPFNFQNGTLIGGGKLNPRIPLSDQEDLIVWMRTSALPSFRKLYGRIEEDLDADDVVVVHLMNNYNTYSFGGKKKLVLSTSSWLGGRNDFLGLAYVFVGSSSLILALVFLLLHLKYRRPYGDTSYLPWNRKSLSG >OMO70340 pep supercontig:CCACVL1_1.0:contig11838:33166:33576:-1 gene:CCACVL1_18977 transcript:OMO70340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MQVAKKTGIPMAAIDSSNASATTAVMKQLDNPYEIVRQLASTNAVVLFSMSGCCMCTVAKRLLFGLGVGPTIIELDHHVAGPDIQAVLFQLSGDGRQPIPAVFVGGKFLGGIETLMGCHINGTLVPLLKEAGALWL >OMO70336 pep supercontig:CCACVL1_1.0:contig11838:9056:9151:-1 gene:CCACVL1_18973 transcript:OMO70336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIAIFMLPCSHARFDSFQLAAFLSNRVEP >OMO70335 pep supercontig:CCACVL1_1.0:contig11838:7378:7617:-1 gene:CCACVL1_18972 transcript:OMO70335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMKREVAKKQTWGAVAPSRHVSRRTSSSSFPRLETILEEGGVEYNSSLSKKVFLVLPIALSTAFWVLLCKDGTTLYA >OMO70339 pep supercontig:CCACVL1_1.0:contig11838:21037:29979:-1 gene:CCACVL1_18976 transcript:OMO70339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESEGKKSKTSEKMRWKWVIGAFIAIIIAIALASRSSPKISLFGQTDKSCSCSQDKHKYSGIVADCCCDYETVDHINKEVLHPLLQELVKTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPESEFPESFKKPYHRGLPSDDLKCQEGKPEAAVDRTLDSKAFRGWTETDNPWTNDDETDNSEMTYVNLQLNPERYTGYTGPSARRIWDAVYSENCPKYPSEELCQEEKLLYKLISGLHSSISIHIASDYLLDESTNLWGHNLKLMYDRVLKYPNRVENLYFTFLFVLRAVTKATDYLEQAEYDTGNPTEDLKTQSLMRQLLYNPKLQAACPLPFDEAKLWKGQRGPELKQQIQAQFKNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVNGQEHLGQELQLQRNEVIALINLLNRLSESVKFVHEMGPAAERISEGKISSPAGLASVTCCFAFNLPYNKLLCCSAGLSVSGKWKRSIGSSTWIAKIDNEVKHLYVTIIHTLIVRDPDSPLLLASARLFRHLQRSYIPRPTSSEAPEVLDRLPSKIPAAIAMSLIAGSYERFIWGFKLKPLTHDPSSQSLTLTQLFSYPSHTAPITTVAAAGPAAASGSSDDTVHIYDLSTAASLGPLHHFSSSVTSLSFYCPPNLSFPRNLLSASADGTISIFDTEPFVLLKSFRAHRKSINDLAVHPSGKLALSVSRDGCLAMSNLMRGKRSFCCRLGKEPTVVKFDVSGEKFFMVSEEKIGVHVAEDARLLFELDNEKRVLCAAPGESGILFTGGENRSITAWDTNSGKIAYSIEDAHSTRIKGIVVLTKDVAGEDDPYLVASASSDGFIRVWDARMAIKGKPTPLAEANTKSRLTCLAGSSLKSSKRLQIGKRAPKEEQDGSEDL >OMO70341 pep supercontig:CCACVL1_1.0:contig11838:43537:46999:1 gene:CCACVL1_18978 transcript:OMO70341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MEGGVHPGTDVSAFRECFSLTWKNPYVLRLAFSAGIGGFLFGYDTGVISGALLYIRDDFKNVDRETVLQESIVSMAVAGAIIGAAVGGWLNDKFGRRRAILIADFLFFIGAVIMASAPGAPLLIVGRVFVGLGVGMASMTSPLYISEASPAKIRGALVSTNGFLITGGQFLSYLINLSFTKAPGTWRWMLGIAGLPALLQFFLMMSLPESPRWLFRKGREEEAKAILRKIYPAHEVEQEIQDLRESIEAEIREEGSSEKINIIKLLKTKTVRRGLTAGVGLQVFQQFVGINTVMYYSPTIVQLAGFASNQTALLLSLVTAGLNALGSIVSIYFIDRTGRKKLLIISLIGVAASLGVLSGVFHETTTHSPAVSQAATSHFPNYTCPDYSSATNFASWDCMKCLKASPDCGFCAAQTNKLLPGACLISNDIVKDLCHGESRLWYTRGCPSKYGWLALVGLALYIIFFSPGMGTAPWIVNSEIYPLRFRGVCGGIAATANWISNLIVAQSFLSLTEAIGTSWTFLIFGVISVVALFFVIIYVPETKGLPIEEIEKMLEGRALHYKFWEKQHYDEPKGKNPACE >OMO70338 pep supercontig:CCACVL1_1.0:contig11838:17475:19610:1 gene:CCACVL1_18975 transcript:OMO70338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAQQARHFLSSFPLQFPHFPAIQTRLFSSNSSSLLNLCKNPQQLQQIHARYILHGLHQNPTLSSHLIDSYANFGLLNLSLQLFDSITIPSSNLYNAILRNFDALGEFRRTLLVYKDMVVKKSMYPDEKTYPLILRACSCLFDVDYGKMVHGQLAKLGFDSFEVVNDALMEFYRGFGEFEQEVVDERPVKDLDDLKSLIFEPSYGVSLVSIINLLRETVAFRSLVNGKAVNCFVLVSNLSKDLSVNTALLSMYSKLGSLKYAKSLFERMLEKDLVVWNIMISAYSQHGKPKESLEKLRCMANSGVRADLFTAIPAISSIRQLKSIEWGKQMHAHVVRNGSDYQVSVHNSLIDMYCECDCLNYAGKIFENLTNKTVVSWSSMIKGCINHDQNLDALSLFSRMKADGVKADFVTVINILPACVNTGALEQVKCLHGYSMKFGLNLLSSVNSALLISYAKCGCIDMARKLFDEEEIDGKDIITWNSMISAHAKHGDWSQCFELYNQMKRLNLKLDQVTFLGLLTACVNSGLVREGRAFFKEMREIYGCQPSQEHYACIVDLLGRAGHINEARELVREMPFKPDTRVWGPLLSACRLHSESKFAEFAAEKLLTMEPRNAGNYVLLSNIYAAAGKWDKFAEMRRLLKDKGLKKIPGCSWLQINGHLNEFRVADRSHPKVNDIYALLAILEFSIKEAKSHSRQMHILVTGEVAQDF >OMO70345 pep supercontig:CCACVL1_1.0:contig11838:80339:81162:1 gene:CCACVL1_18982 transcript:OMO70345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEMDKARVQIGDKMELLAAREVEVAGRERRVNARDNQVGERTVEVTKREHAVRARVQAVESKEKALAEKDKKRLQEEWNRSNLTFEESRIFRVAKQSAEDSVIPSLVNPQSKSKEYTVPTRMNLAPFRTLYPFVEQRRNGQSKVFVLKNDNAIVLNRVLSTVITAMNKGYTWVKPITSDSIRWNSAGIQLSEEPELCANPHMRKVRNEKTLNCLVYLVDQMWEGKKMSEEAINFKALCTDLELFW >OMO81355 pep supercontig:CCACVL1_1.0:contig10196:55940:58522:1 gene:CCACVL1_12462 transcript:OMO81355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASKADKKAVVDAAAWMFNVVTSVGIIIVNKALMATYGFSFATTLTGLHFATTTLMTVILRWLGYIQSSHLPLPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVMDKIRYSRDTKLSIGVVLLGVGVCTVTDVSVNTKCFVAAFIAVWSTSLQQYYVHHLQRRYNLSSFNLLGHTAPAQAGTLLILGPFLDYWLTNKRVDAYGYNLASVMFIILSCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGKEGLNLHVVLGMIIAVAGMIWYGNASSKPGGKERWSLSLPTKQQKHGSLSDSSEHDGKV >OMO81348 pep supercontig:CCACVL1_1.0:contig10196:11107:12341:-1 gene:CCACVL1_12455 transcript:OMO81348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVASSIPYIKIPNPSSPCSSSSSCSLSSSTSCRLSTAARPYVVTIRSSQTEGPLRRPVAPSPPPPLKPVPPSPSPTPPPPPPSSSAAPPPPKPAASLAAVGDQNGITLEFQRQKAKEMQEYFRQKKIEESNQGPFFGFLGKNEIANGRWAMFGFAVGMLTEYATGSNFVDQVKIMLSNFGIIDLD >OMO81350 pep supercontig:CCACVL1_1.0:contig10196:19086:19202:1 gene:CCACVL1_12457 transcript:OMO81350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKRDLKSRKTEIRELNRRRRHVRSRLTYELIGEEII >OMO81354 pep supercontig:CCACVL1_1.0:contig10196:45983:50358:-1 gene:CCACVL1_12461 transcript:OMO81354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRKSIATSLDEVDRTMYASFCSAANSLSQLYTQAMNQQKLSFQAGKRHSLEKLYQWIWRQQEGGSRVTTMDILNYIQNELDYSGEEPPMSPRAPNQQQNTQPTMQFINNSFMVSSGSSGQAAGRGNRPEHCDQQSKNLVFSNALSSPVRQSLQHYHISQGGYCPNGGLTSGNGARNNESNFLQHQTRDSNPLSSNDSSMDMHADSPSHESTY >OMO81347 pep supercontig:CCACVL1_1.0:contig10196:3215:7632:-1 gene:CCACVL1_12454 transcript:OMO81347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVQGKMDSPPRGLQKLKMENGYVGKVGFVGHRRSTGQRYSDSGRVPKPELHRKRSSLSGANEEKERIQRRNEAVADGKEGDFNDNDKEKEKEKEKEKERTQRRSEAVESKNILIVEQEMVDGWPKWLIDNIPKEVLAGLVPKSAESYEKLDKIGQGTYSNVYKARDRETGKIVALKKVRFDTSEPESVKFMAREIMILQKLDHPNVVKLEGLATSRMQYSLYLVFEFMQADLTRIISRPEERLTEPQIKCYMHQLLSGLQHCHERGILHRDIKGSNLLIDKNGMLKIADFGLANCFSPNRKYPLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFAARPIMPGRTEVEQLHKIFKLCGTPSEEYWKKLRLSTTFRPPQSYKPSLVDAFRNFPKSSLGLLSTLLALDPAYRGSAGSALQNEKTMKKLRIGDGGNWLGEMCSMLKYLWPRNPKMKRRSQTLRESRKQVELAEHKEDTMFLREDTEKTAGPNVQVQEPGSSTTTSTSSSSKHTRPMSPSNLLSPVASSVQKTSPSTQVHSSASRNIQNRPPIPGSQTRVQKDNGNMYRLNQVGRSASTREFRTLNQRKHLEYAIDD >OMO81352 pep supercontig:CCACVL1_1.0:contig10196:28337:28801:-1 gene:CCACVL1_12459 transcript:OMO81352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSNGKALLRGGGRLAPLSHNKYGCQRGREYAGGILPVPVPDGE >OMO81349 pep supercontig:CCACVL1_1.0:contig10196:17836:18411:-1 gene:CCACVL1_12456 transcript:OMO81349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTVEGGGFFSSSASGYSKGLTLLLLGHKHEDRPMRVTPWNHYQLVDQEPDPDLQLASIKNRLSRGCASLVCFGRTSAGLDSPSPLKVGPVQQQDVSPGPLVPDKINDHTTQVDDGNNNARKVALKSSLKKQSNGTPVPLEDVNDRQGSGETDGDIPNHTERRKVQWTDACGSELAEIREFEPRYEKFLL >OMO81356 pep supercontig:CCACVL1_1.0:contig10196:59123:60118:-1 gene:CCACVL1_12463 transcript:OMO81356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVARVRIPWLAFPSHCKRKGKQGKKSCFMSFRSVTSDKVHHRQLPAEFAGQSKTICGSSAGFLIMRDEISEEITMIDPISKTHFRVPPLICCSTDSLDDDLVLKAVLSTPPDCNPYGFVLMAIYGEKRELAYYKAGSKTWTKLDEAGSYLDDVICYKGDFYAVNEYGKVLLIYQPDSDSDSDPNPLMVEEIAPPWLLRGSKVYLVVMAGYLCAIVRFLKDSPNPTLGYETRKFELFMVDEFRNWTRFGIANDWAFFLGQNESTALSVEDFKGLKASCIYFTDDNWESYKFGIVGGHDSGVFDMAEECFHPLQSSHQDWQKPFWFLPDDVR >OMO81351 pep supercontig:CCACVL1_1.0:contig10196:22513:27885:1 gene:CCACVL1_12458 transcript:OMO81351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYDGSLWMSIIPGPDGNPIPRTDWDGDGD >OMO81353 pep supercontig:CCACVL1_1.0:contig10196:44954:45046:-1 gene:CCACVL1_12460 transcript:OMO81353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPLAKADNGNGVQNHSKAKLTCSSLDKN >OMO88266 pep supercontig:CCACVL1_1.0:contig09059:33952:35565:1 gene:CCACVL1_08494 transcript:OMO88266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWTSLKERMESQFTKKMALGSMTRARAKRFKDSIMGLVRTHLDDMKTIQVQLKSFDDDLSKKTPINYKYNGK >OMO67832 pep supercontig:CCACVL1_1.0:contig12368:713:1275:1 gene:CCACVL1_20282 transcript:OMO67832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RNRTTKGGRMIDIGTRTVKNKYPIPLIADLFDQLGNTRWLLFKTTLVVQLIV >OMO67833 pep supercontig:CCACVL1_1.0:contig12368:2202:5082:1 gene:CCACVL1_20283 transcript:OMO67833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MNGGDPAFKLFGRKIPVPEPQIHAGDTSSEITNQETETSHTNTSGELDKSTATENDKEEYQTSMKPNEIVTNSKPKDEQAESNSADQEKVLKKPDKVLPCPRCNSLDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPIGAGRRKNKHLASQYRQIIVSSDGVPLTRIENPDSPNQQLVPPGESTTAFRPSMGNGTVLKFGAEAPLCESMETVLSLGDQKRCVEMGTVSCGEIREEPSSGSGSSVTPCSIRGNELPGNAPQKERLGLVGPNEQNTQQPTQCYPVQPWIFPWNPGMNNVASMASGQSTSERIAAPNISTPNAVQWCPTPMVAVPGFCPPNVPLQFVPAYWGCMPLWTAGGGNVSLNGSNGCPSPSSSTSNSCCSGNGSPRLGKHSREANFVEEEQSEKCVLVPKTLRIDDPNEASRSPIWATLGIKPDQKDPSRGGKIFNAFESKAEGKDRLPDGSHILEANPAALSRSHTFQEST >OMO73388 pep supercontig:CCACVL1_1.0:contig11239:415:682:-1 gene:CCACVL1_17285 transcript:OMO73388 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEENNYNPQQFPSAAAANYSSSNSLIIHNDESDQEAAMNNNNLPPGFRFCPEDIELIEDYLMKKVNHEELPCDIIRQVKLYEQDPSVLT >OMO54317 pep supercontig:CCACVL1_1.0:contig15014:37295:38203:1 gene:CCACVL1_27893 transcript:OMO54317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKPVKIANLFALLDDQESDDITKIVEGLHKPEAPSSMPKRNEKKEENPKHKGQFYRQDMRAKRIYYNNQGYRGGGGGGGGRGRGKYYYNNNDYQDSLLENRKPLEALKKGGADQVRRVEEFGSMQLIGKKKLQDKKKEFEEKKLEEADDKKEFEEIRKKQHANSSIKTITMDWTNGSRRRYHQQRRNFGRQEGHGDDGGAAATNNNDIKPAQKEKAAKEYRGRFEDHKQFPSLGTA >OMO54315 pep supercontig:CCACVL1_1.0:contig15014:23842:30188:1 gene:CCACVL1_27891 transcript:OMO54315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGIPQDSQQRRKGGIRTLPFIIANEALEKVPSIDAKHDLVFEGSNTIELESFV >OMO54320 pep supercontig:CCACVL1_1.0:contig15014:47923:48255:1 gene:CCACVL1_27896 transcript:OMO54320 gene_biotype:protein_coding transcript_biotype:protein_coding description:curved DNA-binding protein-like protein MADYPLRGSSQSKLTQNAAAAEKAKQAAEESFKLGNPTMAVEQAFAALDLNPKLPMLQNYITTYLVHSFASILTFCKKKKKDDTLVLYSILFIEDCYADIDEATIRKHYK >OMO54312 pep supercontig:CCACVL1_1.0:contig15014:15655:16347:1 gene:CCACVL1_27888 transcript:OMO54312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHGKKHRAEVAKSLPEWERMFIAYKELKKKVKLIRAGIDQGNLEAEDMGFTLLLDRELNKINTFYIDKEEDCIIRFRELEIMAQNLNGREEMLEVLKDILSFHAEMVMLLHYSVINFTGLMKIVKKHKKHRGASDESPPYMPRVLQQPFFSTDLLYNLIKGCEAILIRLSPPNDP >OMO54314 pep supercontig:CCACVL1_1.0:contig15014:21962:22879:1 gene:CCACVL1_27890 transcript:OMO54314 gene_biotype:protein_coding transcript_biotype:protein_coding description:2S globulin MELSKLFIALFIFQVLFPCQISIQAAPANSNLFREYIGAEFNNVKFADVPINSDVEFHFILSFAIDYDNSDSPSPTNGKFNVFWDSDNLSPSQVSSIKNSNSNVKVALSLGGDSVGNGYAYFNPSSIDSWVSNAVSSLTSIIQEYNLDGIDIDYEHFQADPDTFAECIGRLITTLKKNGVISFASIAPFDDDDVQSHYKALWKSYGDIIDYVNFQFYAYDQGTTVSQFINYFKIQSANYNGGKVLVSFISDGSGGLSPQNGFFTACNRLKSQNKLHGIFVWSADDSMGNDFRYEKQSQALLAISH >OMO54319 pep supercontig:CCACVL1_1.0:contig15014:45723:46983:1 gene:CCACVL1_27895 transcript:OMO54319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S54, rhomboid MGKGQPSPPPSSSDVESGPNHHKPHHHKAPPPHFSPPLRQPWVAWLVPLIFLADIVIFGATMYINNCPANSGPDECVLYDFLGRFSFEPRKYNPLFGPSPLTLKNLGGLDWKLVVERKQLWRLISCIWLHGGILHVAANMLSLLFTGVQMEQEFGFLRIGLLYLLSGFGGSLISALSAARKKQTLVSVGASGAIFGLLGAMLSDLFTNWTNYTNKCPALLNLVLVICLNLALGFLPHVDNSAHIGGFISGFLLGFILLVRPQFGYVSSKHIPAGYQLKHKKPKYKCYHNVFWVIALVLLIV >OMO54318 pep supercontig:CCACVL1_1.0:contig15014:40658:42897:1 gene:CCACVL1_27894 transcript:OMO54318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MEQWEMEKPMTLLWLAFTNVNGLTINGSGTINGRGSAWWPQPCLHKVAKALTFYRCNRLVLKGLRHINSQRNHITLTNCKGATISNLHISAPATSPNTDGIDIGGSSNVQIRNSFISTGDDCIAVSSGSSHINITGISCGPGHGISIGALGVHGENDTVEEVHVRNCTFKGTMTGVRIKTWQGGVGYARKISFDKIKFIRVDSPIIIDQYYCPSGENCRNETSAIKISDVSYKSIVGTSITDKVINLSCDQNVGCSNIQLDHVYIKSMVPGKKAYSYCFNAHGKYTHTRPVADCLKP >OMO54310 pep supercontig:CCACVL1_1.0:contig15014:3041:3142:-1 gene:CCACVL1_27886 transcript:OMO54310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERKEEDHPDRPANQQNKVVKEVAIAHDQYIT >OMO54311 pep supercontig:CCACVL1_1.0:contig15014:11941:14721:1 gene:CCACVL1_27887 transcript:OMO54311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAVLFANSEGNILVERFNGVPAEERLHWRSFLVKLGADNLKGVKNEELLVASHKSVYIVYTVLGDVSVYIVGKDEYDELALAEVIFVITSAVKDVCGKPPTERLFLDKYGKICLTLDEIIWKGYLENTEKDRIRRLVRLKPPTEF >OMO54316 pep supercontig:CCACVL1_1.0:contig15014:34104:36752:1 gene:CCACVL1_27892 transcript:OMO54316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNEIEIAAVKVKVTVIDSPNLVVSYISELESLIYRGRRLVGVDIIKTNPGYFGLNCLLLLCVGTRCLVLQLQNLGCIPISVGELLADATICFVVEIGHLAARILKNRSLEKCDLAELGDKVEMKFSMEFQGPVFPDWNALVFSMDEVKYLVLKSLIQSPRVVGIDLKLNTYSSVSSSTKDSLLLLCVGTRCLVVQMHRLPCFPKILSELYGDNTICFVGKETTRQLIKQESYRLILSPYLFCKGDHKIVEGIGLIAARVLKDRRLEKCSLAELSNKVGLNFGDEIQSLVIPWDHVVFTIDEVKFVVHDVYRCYALGNKLIGML >OMO54313 pep supercontig:CCACVL1_1.0:contig15014:17819:21033:1 gene:CCACVL1_27889 transcript:OMO54313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase, LH2 MSRFQGANALKRKRPGATSDALTDHDQAVLDVIRSKQDMGIYQRDIKREIHTKHNITLPDPAITKCLKSLVTKNLIKEVKNIQSRGKKHFISVDFEPSNELTGGAWYSNGSLDTEMIEQLRRLCWNHINGLQIATLEAVTDYVNKTKVPNVPLSKQQTAELIGSLILDNEVMEVKSSGTGEFASIPVGKVCYKCINARRIILRLPQSQSQPHPLKSFKVNNATQTQNNAASCSYTVSIRTSCSSTTYTRDQISLAFGDAYGNQVYAPRLDDPYTRTFERCSTDTFEIYGPCTYQVCYLYLYRSGYDGWKPESVTVYGYYTKSVTFYYNTFIPGDIWYGFDFCNGLASASASS >OMO59721 pep supercontig:CCACVL1_1.0:contig13896:8665:8763:1 gene:CCACVL1_24644 transcript:OMO59721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEDKITIVYFIKFKVNASIRNSAKGGLSYK >OMO98812 pep supercontig:CCACVL1_1.0:contig07020:31137:31751:1 gene:CCACVL1_04059 transcript:OMO98812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKLIQCVSKGHPDGVLLPPSPTKEIAINTLSSILTCSLDFEERSIAAGIISQLPKDDTDIDETLRKSETLKAIHEVICSSDDRFSGIGATINQDKFYLLENALAALSRFTEPSKPKLQRQVGELELYPPLVQVLSTGSSLAKQRTPLPLAQLSRSSSLSVSDIRAKQENSLPLLHMMKLFPNMSWCCTAATTNEMSCSVHGFAC >OMO98811 pep supercontig:CCACVL1_1.0:contig07020:19650:30791:1 gene:CCACVL1_04058 transcript:OMO98811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDEGHQETRALMAAALET >OMO97608 pep supercontig:CCACVL1_1.0:contig07223:5256:5339:-1 gene:CCACVL1_04503 transcript:OMO97608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGLSDLWNNFHLTEEKNLAVAVDPKL >OMO97609 pep supercontig:CCACVL1_1.0:contig07223:19185:23926:-1 gene:CCACVL1_04504 transcript:OMO97609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MEGLSSEDCRHTSLEDLVIQVDLWRVSKVDLNRFATRFVSIVRCFGNDVQQVKDGQNQQAQPPQQRANAEINNERIQLPPPRQAARLDPMERLRQQELGGQAINENIQPRRGVEREEPKDDIKYKIPKFNGRGSPSDYLEWETKLDMYFDYYPHVEPKKVQIATLEFMENALNWWNQLVQSRRRNLERPIDTWLALKSFMRKRFVPSFYTNGLYQDLQSLRQGTRSVDEYYSEMMLLMSRAEVDESPQATIARFLAGLNREIHDIVEMQQHYDVEELLQHAMKAESQVKRNKKSFVSSSSSWKTPIKKDEKSSNKEKELAQKGSSPKTESKSSSSSSSKNHVKCFKCQGFGHYAKDCVNKKVMFINEHGEIDSEDEEFTLGSSGDGDDEGDAHSDSDDGTKEMSYAMFCPCKHAMYYLVYHGNMTIRSNMMVRQTSIPSCVVKPFTFIPLSPQEALKDQLKLKEDFVRMDSEFKAKEEAKHANLSMNCVGNKSDLVAKHVRSKKVNKECMLATKSEIKEALNDNSVLILLLLKYTLVSTNHLEKELPSNIVSLLSNYVDVFPEEIPSGLPPIRGIEHQIDFIPGAQIPNKPAYRTNPKETKELEKQVGELLQKGFVHESLSPCVVPVLLVPKKDGTWRMCVDCRAINNITVKYRHPIPSLDDMLDELHGACLFSKTDLKSGYHQIFMKEGDTYQQHFMGTFNRFSKMAHFIACTKTNDAINVANLIFKEIVRLHGMPRTIKTQHYMKNANKGRKEIIFEPGDWVWLHLRKERFPEKRKSKLLPRGDGPFQVLERINNNAYKLDLPSEYGNVSATFNVSDLSLFDSDADLRTNPFQGRGDDAPRDYHGLEEHNGANDDNASDKHGVVSESLEDATVDLGLKESLEEHGGVIIAHDRPSHGPIAHGQALDRPHARPSPSHAWPSPSHDPAMPMHNRPFDPLAIPSGPMTRARAKRFQDALVGFVRSHLEELKTIEDPLDRFEDHTPRNIP >OMO97610 pep supercontig:CCACVL1_1.0:contig07223:24369:26835:1 gene:CCACVL1_04505 transcript:OMO97610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPKDHRWISWCTTGNRIEYSLVCGLQNGPMDLQWFQFIKMRSYKPTKASLNLLARALVIGLDGMAKGSNGRLCMGMAGSCDGDGRACDGLIESLRPTNEEEDLVRFIDSYKSRSFGVAIRLWWLFKATMGNRKDGENQANDLATLYQKLKETHRKLLNYKQDERIPFKENECVKKEFVSPKTESKDSSSSSSKTHIKLLSEEKGDSNGDGDDERDDDGAAIDDGAELASLSLVASRTLSAYVKGDVQRENLFSHKDVCEWEALAI >OMO72584 pep supercontig:CCACVL1_1.0:contig11431:18955:29217:1 gene:CCACVL1_17703 transcript:OMO72584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MGNRGQKRTETADELPADKRACSSLEFRPSSSNSSSIQTHLNSPNSTHDADMETSSSASASSRSDGEHEKEEDSAYGSCDSDAEQQPRHRMLRDYQRQRSTGDHGKLTNILSNLSEDGNGASGQLAALTELCEVLSFCNEDSFSSLMADSLSPILVKLARNESTADIMLLAIRGITYLCDVFPRSSGFLVRHDAVPALCQRLLAIEYVDVAEQCLQALEKISRDQPQACLQAGAIMAVLNFIDFFSISVQRVALSTVVNICKKLPSEGPAPFVEAVPKLCDLLQHEDQQLVESVATCLIKIADRFCQSSEMLEELCKHELINQVMHLINLNSRINISQPIYNGLIGLLVKLASGSFVAFRSLYELNISSTLKDVLSTYDLSHGMSSSHLVDGNCNQVLEVLKLLNELLPTSAGDHINQLVSDKESFLADHPDFLHKFGMDILPMLVQVVNSGANIYVCHGCLSVISKLVRLSKSDMLVELLKTANIASFLAGVFTRKDHHLLMLALQITDIILQKLSDVFLNSFIKEGVFFAIDTLLMPEKCSQVMFSGIQPSFDSSKSSSAREVHRCLCYAFDTMPSSSLPPCKVDKDSVCNLASHIKTNYFAPELFDSEKGVTDILQNLRTFSAALSDLINMPHADNSPAQQEEKFYSILHQIMLKLNGREAVSTFEFIESGIVKSLMHYLSNGLYLRDNVESNDIYDHLLVLGRRFEVFAKLFLSYSDIPVEDLPLSVLIRKLQSALSSLENFPVFPSHGFKQRNSFATVPNGRCVMYPCFRVRFVREEGETCLSDFSEDLLTVDPFSTSDAIEGYLLPKIFSKRTENGESNAKALEQMESQPVHLITNANSSQGESSGFIDSMAVDLELAEMQEDDANMSQFASEQVHIRESNSGETMSSDETNVGSDVKEQKFPTESTEKTEPQCSASVDNDDGDSSPRLLLYLEGHQLDRNLTLYQAILQQLLSSENEVIMWAKLWSRVYTVTYRKAVESKQDSAQEHTFLEQKSLISDKIVTSMQNMALFSSMFASKLASDLDKSSPTYDILFLLKSLEGINKYSFHLMLYERIRAFAEGRIDNLDNLKVMVHSVPQNEFVSSRLTEKLEQQMRDSFTLSTGGMPSWCNQLIASCPFLFSFEARSKYFRLVAFGPRRVQLHTTSRSSLGASHERQPTAGGLPRKKFLVWRDRILDSATRMMEIHARHKGLLEVEYNEEVGTGLGPTLEFYTLVSHEFQKPGLGMWREDHSSFITSKALPVVDSGILINPFGLFPRPWSPTSDSYDGIKFSEVLKKFVLLGQIVAKAIQDGRVLDVPFSKAFYKLILGQDLSVYDIQSFDPELGRTLLEFQAIVYQKMHLESISVENSMLKPDLCFRNTRIEDLCLDFTLPGYPDYVLSSESNHKAVNLANLEDYIKLVVDATIHTGIARQIEAFRSGFNQVIAIEHLRIFTEEELERLLCGERDFWAFNELLEHIKFDHGYTASSPPITNLLQIIQEFEYAQRRAFLQFVTGAPRLPPGGLASLNPKLTIVRKHSSNCADTELPSVMTCANYLKLPPYSSKVGGGLVVYMKSGWWGSVVVVDIRWLTEELIVVGARGSSMATAATTASKPTRSFRVQAATAHQQTQPTTTTTDLSLSADKRVNDDQTLLSLLRQRKTEQAWTHYSQSTHIPTPTCLSRLVAQLSYQTTPVSLARARSIITRLRNERQLHRLDANSLGLLASASAKSGHTLYASSLIKSMLRSGYLPHVKAWSAVVSRLSTESPSESIKLFDSVTRRVRRFADPSIVSDSKPDTAAYNAVLNACANLGDKSKFLELFDEMSEWGCKPDVLTYNVMIKLCARADRKDLLVFVLERMIEKGIRSCMTTLHSLVAAYVGFDDLETAEKIVQAMREGRNDLCRILRDANSEDLKQAEEDEYYDEEEEEEEEENSYNFSQNEIVDIVFEKLLPNSIVPSSEPPLLPKVYTPNSRIYTTLMKGYMKVGRVSDTVRMLEAMRHQDDKASHPDHVTYTTVVSAFVKAGLMDRAREVLAEMTRIGVPANRITYNILLKGYCQQLQIHKAKELLREMADDAGIKPDVVSYNILIDGCILIDDSAGALAFFNEMRERGIAPTKISYTTLMKAFALSGQPKLANKVFNEMLRDPRVKVDLVAWNMLVEGYCRLGLVEEAKKIIQRMKENGFHPNAATYCSLANGISVARKPGEALLLWKEIKERCEIKKEADNPSSDSPSPPPLKPDEGLLDTLADICVRAAFFKKALEIVACMEENGIPPNKTKYKKIYVEMHSRMFTSKHASQARQDRRIERKRAAEAFKFWLGLPNSYYASEWHLEPIDR >OMO72582 pep supercontig:CCACVL1_1.0:contig11431:6066:11005:1 gene:CCACVL1_17701 transcript:OMO72582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNSCETGFVSLNCGGTGNFTDDLGLQWSSDEFLTYGETATISVSNETRKQYMTLRHFPADSRKYCYKLNVVSRTRYLIRATFLYGNFDDNNVYPKFDISFGATHWSTIVISDANTIEKVELIFLATSPTVSVCLSNATTGQPFISTLELRQFNGSIYYTLFEERYYLGVSARINFGADSEAAVRYPDDPFDRIWESDSVKKANYLVDVAAGTQKVSTDQPIDIGGSNERPPQKVMQTAVVGTNGSLTYRLNLDGFPGNGWAFTYFAEIEDLAPEESRKFRLVLPDHPDLSKPVVNIQENAQGKYRVYEPGYPNISLPFVLSFRFGKTSDSSKGPLLNAMEVCKYLEKNDGSLDGAVMANMITTYSSADWAQEGGDPCLPVPWSWVGCNSDIQPRIVSIHLSSKNLTGNIPSDLTKLSGLVELWLDGNSLTGPIPDLTGCLNLKIIHLENNRLTGELPSSLVNLPNLKELYVQNNMLSGRVPSGLLSKDLALNYSGNINLHEEGGKGKHLAVIIGSSVGAAILLIATILSCLMLRKGKKKHEPEQFHHSLPIQSQRRPSSMSNNPSEAAHCFTTAEIEEATNNFEKKIGSGGFGVVYYGKMKDGKEIAVKVLINNSFQGKREFSNEAKLHIESGDIQRIIDPSLRNDYDIQSMWKIAEKALNCVQPHGHMRPSISEVLKEIQDAILIEKEVMVLREGNSDEMSRQSVNSSLNPNSLDLVGPDNYLSLDDTIARPAAR >OMO72583 pep supercontig:CCACVL1_1.0:contig11431:12758:16630:1 gene:CCACVL1_17702 transcript:OMO72583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, CBFA/NFYB, DNA topoisomerase MADAPAAPAQAAPASPGGGSHESGEQSPRSNVREQDRYLPIANISRIMKKGLPANGKIAKDAKETVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIDPLKIYLTRYREGDTKGSAKGGDASAKKEVQPSPNAQLAHQGSFPQGVNYGNSQVISLSLSVHVNYKPKSLHVFFGIDYIPKDCVNLGLIVDLSSWRGLNVLLSSSVMEYGPIFDSIGIGFLLFPVSHCICLP >OMP05983 pep supercontig:CCACVL1_1.0:contig05100:1183:2862:1 gene:CCACVL1_01764 transcript:OMP05983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSSNNSNFDERRWVINIRQTLEAELEDESEIPVTIFNVPKTLLSSDPDSYTPQEVAIGPYHYWRPELYEMERYKLAAAKRIQKNLCNNLQFDDLVQQLVRIVPKVRASYHKLLEFSNETLGWMMAIDASFLLEFLQIYAMKEGKLLTRVSSRMSHLVDYAGRKSAHNAILRDLIMLENQIPLFVLRKVLEVQFPSLDEADDLLLSMLIGLCKELSPFKMILDEFPKIHVSETAHLLDCLYDLVVPKMEWRASSEIFEHDQDQNNCDEGKEEGSSEADSSNVRKLLSVIWNVLSKVNKGPFRMIKKLLLSKPIKLIFKLPWALLSKVPGLSLLKQPIEYLFFKGEGDEDNKSKSDQNTDRPPLVEEITIPSVSELMSSGVCFLPTSGNLMTITFDVKTATFYLPTISLDVNTEVIMRNLVAYEASSAKGPLVFTRYTELMNGIIDTEEDLKLLRERGIILNRLKSDKEAAAVWNGMSKSIRLTKVPFLDKAIEEVNKYHCGRWKNKAKRMMKAYVFGSWQILTLLAAILLLVLMGLQSFCSVYSCHKVLRIDTGDNA >OMO68047 pep supercontig:CCACVL1_1.0:contig12296:11175:11243:1 gene:CCACVL1_20106 transcript:OMO68047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVMISDQGDQAEPPELQAKPHC >OMO96021 pep supercontig:CCACVL1_1.0:contig07551:16973:21902:1 gene:CCACVL1_05119 transcript:OMO96021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFSYFSSDEKKLSERLGSSCSRGGQTSYYSTAFPQNESLLPQQHPENYASKTSAPEQMKIVDAPKAAGSDNIAAQAFSFRELATATKNFRQECLIGEGGFGRVYKGKLEKTGQVVAVKQLDRNGLQGNMEFLVEVLMLSLLHHQNLVNLIGYCADGDQRLLVYEYMPLGSLEGHILDLTPDQKPLDWYARMKIALGAAKGLEYLHDKSNPPVIYRDLKSSNVLLDKELNVKLSDFGLAKLRPTGDKTHVMGTYGYCAPEYQRTGQLTVESDVYSFGVVLLELITGRRAIDTTRPNNEQNLVEWAQPVFKDPSRFPELADPVLNGEFTIRALNQAVAVAAMCLQEEAAVRPLISDVVIVLSFLGNGPDANMVASCYSDQNPTCDDEEDSRKEPQRSLELEIGASTLEVSVFGYSDEMMPKRWVFSEFDTEKLQQVEADNEKIRHGKLVSDSS >OMO61317 pep supercontig:CCACVL1_1.0:contig13529:37716:38103:1 gene:CCACVL1_23612 transcript:OMO61317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIRREKLNIRVSKPPHKDPQRKAGKQKQQRLSLAAKGMKVRNRSELKKV >OMO61315 pep supercontig:CCACVL1_1.0:contig13529:5626:6414:-1 gene:CCACVL1_23610 transcript:OMO61315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLVAETICSKYFWDDVENVVAITKPIYLMTKFCDGEGAKMGEIFEKMDNMLGQIKDITRGNKYEEDYLSMQKIILQRWEKLNMPLHSLAFALSPRFYDIRYLKTLAPGGVPRRAPNCYREVVVGVMEAFKRIAENLNEEKTLREQFATFHMKKGVFAMAPAQVDAVTMDAIEWWSTYGLESQPISSSSAERAWSTYSYIHNVKRNRLNCTRAGKLVFIHSNTRLLSRFNQSYEDDPFKKWDIDPDNAYEVRRLEMDCFRL >OMO61316 pep supercontig:CCACVL1_1.0:contig13529:36777:37195:1 gene:CCACVL1_23611 transcript:OMO61316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEFKPKVSFAPHGFSDDVVKLEPTKKKKQRETLLKGVD >OMP11636 pep supercontig:CCACVL1_1.0:contig01069:6729:11289:1 gene:CCACVL1_00375 transcript:OMP11636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein MVALSMVPFLGTVRSTPSLTSLAPYQRQISMRSSSISFRRNGLFPFRRFLQACLQSSFTSEGAGEKLPPGQSEIIFIGTGTSEGIPRVSCLTDPLKKCPVCTKAAEPGNKNRRLNTSILIRHSKPSGGCNILIDAGNCISVRIRTIDAVIITHSHADAIGGLDDLRDWTNNVQPHIPIYAAKRDFEVMKKTHYYLVDTSVIIPGAAVSALQFNVIDEEPFVVHDLQITPLPVWHGRGYRSLGFRFGNICYISDVSEIPEETYPLLENCELLIMDALRPDRSSSTHFGLPRALEEVRKIKPKRTLFTGMMHLMDHENVNEYLSKLMETEGLDVQLSYDGLRIPITL >OMP02140 pep supercontig:CCACVL1_1.0:contig06318:3607:3690:-1 gene:CCACVL1_02914 transcript:OMP02140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILKPYTKVTQSSSKVYFTYKLFFTSHS >OMP02139 pep supercontig:CCACVL1_1.0:contig06318:1045:2510:1 gene:CCACVL1_02913 transcript:OMP02139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRAQENNENSVDRRHKRMMKNRESAARSRAGKQVPVKADLPLLCCVLLSNGIRDSLKAERRMLESFIKSKVRKQLRH >OMO55476 pep supercontig:CCACVL1_1.0:contig14683:1056:12585:-1 gene:CCACVL1_27228 transcript:OMO55476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDSLSDAQKEELVSFRYKDRLALTFIRMNEKIFDEEICRLSKIEVEDLGLWDISFDAKFSAEVLRLSKIDFEVFEDSVVDKIAHVGQEENSIDDMLVEIFSLDQAEKETVDFFGIEKIFKCEYVAMEFFGEETNMKEFGADFFHDEWENMDQFFETKKHFVKFEDEAVPRVKMKIQFDYSTISQKTSSEMKIEEIYDFIFGIHSNREVFGASNRFALYNFPIMFMVIESRFATCHLQVVRFIPDYRLVFELRHSYLMDKSWMSKPRQCREYKEGVNQFLEFAFSKASRGGKIMCPCKHCVNTKLQTKDEVRVHLICAGFLRGYTYWVLHGEKRGQAASIHDVPSSSSTHNVQDMNMGAMLKDAMGFFSCPVGVDNLGSPNFDDAFDGQNSDEDVGEQQERGFAEQFGEGSTRRESTFFNLLKDAESELYPGYSRNVRLALSSDGLNPFRMVSSNYSVWPVLLFVYNLPPWVGMKQHSFILSMIIPGDKGPGNDIDVYLQPLIKELKQLWEGVETYDASTQQMFNLRAALMWTLNDFPAYREKNEEVPDRLVMWEKTHTKKDGSYVTEDAKKKLEEAKKLQSNSDLSSTSNQRDINEMIFRKVYGEEHSGRVRCLGLGPTPTRVFGVKSNFVYTSEASSNVGQEIELVMVKDELAEIKVKYAKLSDDFADMREAVTLLMAERATCQYATQNELGSRLMVVVSSSTLAEECFTKNDVVLANRPNLLRAKHFGYNSTALSVTSYGDHWRNLRRICAIEIFSNSRLNSFTNVRKDEVRRLLLKLSRDSRQGEFAKVELKSMVFDLTFNNIMRMVAGKWYYGDEVTNEAEAKEFRELIAEQFKSGGTANPADFFPILSWFGFEYKEKKLGQRMDRMLQKLIDEHRSKENNTNSMIDRLLSLQESEPHYYTDKIIKGLIWVMITAGTDSSAVTIEWAMGNLLNHPQVLKKARAEIDSQIGQENLIDEPDVSKLHYLQSIICETLRLYPPARLLVPHTPSSDCTIGGHNVPRGAIVLFNAWAIHRDPNVWEDPTSFKPERFNTEKRESYKLMPFGLGRRACPGAGLAQRLVGLTLGSLIQCFDWERVDGKEVDMTEGIGSSMPKAQPLEALCKARPIVDKVLYN >OMO62728 pep supercontig:CCACVL1_1.0:contig13193:54622:54744:-1 gene:CCACVL1_22672 transcript:OMO62728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFPLPETLTPYTAATGPCRISHPPSEPPLVTASEHHRSSD >OMO62724 pep supercontig:CCACVL1_1.0:contig13193:6190:27573:-1 gene:CCACVL1_22668 transcript:OMO62724 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutamate-gated kainate-type ion channel receptor subunit GluR5 MGVRRICLLCHEKSDKSKEQKTFLSVAKDFGSEMKESNELYALVVKQQVELQVEEHQELVQPLLLEFKDIMPDDIPGG >OMO62725 pep supercontig:CCACVL1_1.0:contig13193:35539:35631:-1 gene:CCACVL1_22669 transcript:OMO62725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAYNSVVDGTVSHVTNGVDSKGRLTRF >OMO62727 pep supercontig:CCACVL1_1.0:contig13193:41109:41306:-1 gene:CCACVL1_22671 transcript:OMO62727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TDESEVFMGNQASAYVVGNKNVVLKFTSGQKITLVNVYHAPDMKRNLVATALLVKRGFKNVLEFDK >OMO62726 pep supercontig:CCACVL1_1.0:contig13193:36718:36786:-1 gene:CCACVL1_22670 transcript:OMO62726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVISTSAQMAYGREKARPK >OMO87480 pep supercontig:CCACVL1_1.0:contig09231:1130:1495:1 gene:CCACVL1_09002 transcript:OMO87480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MVRSAAAAEAAAGPEDEKKPIATLQVINAIPKNESQPIRVNCDTNPGLKNINAGEVYEWKVQEKTTYFCLALWDRFFASFEAFQPRKDGSHDQVFWLLKMDGLFHSWDNSTWVKAANWQTE >OMO87481 pep supercontig:CCACVL1_1.0:contig09231:14241:15521:1 gene:CCACVL1_09004 transcript:OMO87481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLCILCLRSLVFMITFSKIMFAATSRTYPADIQVLKDLKNGLDQNSIASGSCLSSWDFSFDPCDNIFSDHFTCGLRCDRVVSGSARVTEITIDPVGYSGSLNSTSWNLPYLQILDISDNSFSGSIPDYLSNLTRLRRLCLSGNSLSGEIPAALGSLSHLEELYLDNNHLHGPIPSSFNNLTRLKRLEIQQNDISGEFPDLGSLKNLYFLDASDNNISGGVPATLPESLVELSIRNNKLGGNIPENIGRMGFLQVLDLSHNILSGAILSVLFDHPSLEQLTLSYNNFSFLQIPGNMGLNSNIIALDLSYNQLRGFLPAFMASMPKLSALSLEHNKFTGMIPAHYALKAANAIPGNSNTSSFERLLLGGNYLFGPIPGPFLGLKPGSAEVSLVDNCLYRCPDAFFFCKGGNQKSLVDCKSFGPSIP >OMO84679 pep supercontig:CCACVL1_1.0:contig09726:13692:16761:-1 gene:CCACVL1_10716 transcript:OMO84679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Violaxanthin de-epoxidase MAQAARSICFSHDISVKVQCTTSGFTSNERFYRQVANFHGIVLLKIRSRGKKSRYSQSIKCSTNDSVEELRCSNQLSRRKERNFSPHSSNKRIPKVEEAFAFLVPTISNFLEEWSQSQIVKVVGLLACAYLVVPSASAVDALKTCTCLLRECRIELAKCIANPSCAANVACLQTCNDRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPQKSDVGEFAVPDPAVLVQNFNIADFTGKWFISSGLNPTFDTFDCQLHEFHTEAGKLVGNLTWRIRTPDGGFFTRSALQKFVQDPKYPGILYNHDNEYLHYQDDWYILSSKIENKQDDYVFVYYRGRNDAWDGYGGAVVYTRSAVLPESIVPELERAAKSVGRDFNKFIRTDNTCGPEPPLVERLEKKVEEGEQALVREVKELEGEVEEEVKRVGKTEKTLLERLAEGFKELQQDEEYFIKELSKEEMDLLDQLKMEASEVEKLFGGALPIRKLR >OMO84677 pep supercontig:CCACVL1_1.0:contig09726:2482:4245:1 gene:CCACVL1_10714 transcript:OMO84677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSMTMLIISLNVGELVSVQLD >OMO84678 pep supercontig:CCACVL1_1.0:contig09726:6209:10047:-1 gene:CCACVL1_10715 transcript:OMO84678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Violaxanthin de-epoxidase MRYILSSKIENKQDDYVFVYYRGRNDAWDGYGGAIVYTRSAVLLESIVLELERAAKSVGRDFNKFIRTDNICGPEPPLVKRLEEKVEEGEQGLVKEVKELEGEVEEEVKRVGKTEKT >OMO84683 pep supercontig:CCACVL1_1.0:contig09726:37381:37470:1 gene:CCACVL1_10721 transcript:OMO84683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLIGGDGFDKEELGDDDGDGLEERRSG >OMO84682 pep supercontig:CCACVL1_1.0:contig09726:34553:36689:1 gene:CCACVL1_10720 transcript:OMO84682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHISVELSHAVLCNMLLVFFRDQRPFRPRLSWLDPKTRNIDELDGPLGLDGCHSSIHILGHHSPLYIKQQAMHFPW >OMO84680 pep supercontig:CCACVL1_1.0:contig09726:19461:21933:1 gene:CCACVL1_10717 transcript:OMO84680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAACMLNFTSYLYQPSGDCIGDNKNIKFWGTISSRLCCRNALTAFSESLAVQANRTNGDIFINKDQWRKCDGPFLRQESVSIDSCGFDDFYYGNSQCSSLSLPKIQSKPSYQNAFNACSNLGSSFDYSCKKCTDAIGNAVENLLEIFRSEKIHTERALCGLSVVISVAAANVDNHSFVADFLSCMSSLDDLDTIAKVLIEVIVVLIIVMLILILVKYVSTKKRKGQICIHLPTPIKAKEYNKCSGLYSFSKAEIDNAISCNRKKKSLGKGSAGEVFEGILPSGQLVAIKHINRGHSPDSFKREVAGLSRIRHPNLVSMLGCCIDGEEHYLVLEYCSAGNLAQHLLRKDSGLTWDRRVKILRDCAFALRYLHHYMDGCIVHRDIKLTNILLTEDFEPKLSDFGLAKMLGMEESKVFTDVRGTIGYMDPEYMTNAMLTCASDIYSFGIVALQLLSGQKVFELDLDASDQLTRKAKDVSMGKRPQEDFEDPRLKGKLNRADFEAILHIAVLCVAKSSTGRPTIDIVFDEMERAWKSTLADMRAKNGTNSSETPTSTSLEVLSV >OMO84681 pep supercontig:CCACVL1_1.0:contig09726:23861:25313:1 gene:CCACVL1_10718 transcript:OMO84681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAIVAIFTAIIVLSLILVLVKFVAVRKSKGESKGDSKRELPPKPIPCKDITTYSGLYRFSKAEIENAIGPYNRRKSLGRGSAGEVYEGILPSGQVVAIKQIIKGNSSDSFTREVAGLSRIRHPNLVSLLGCCVEGDEQYLVLEYCSAGNLAQHLLKKDRVLSWERRVKILRDCALGLRYLHNYIDGCIVHRDIKLTNILLTEDLQPKLSDFGLAKMLGMEESKVFTDVRGTIGYMDPEYMTNAKLTSASDIYSFGIVALQLLSGQKVIELDLDASEQLMRKAKDVSMGNRPLTDFEDPRLNGNLDRTDFESILQIAVLCVARSSRGRPPIDVVFEEMEKAWKNTKANMKTREEIGPSASPQSPSMELISV >OMO84684 pep supercontig:CCACVL1_1.0:contig09726:45340:45519:-1 gene:CCACVL1_10722 transcript:OMO84684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTELVGNPVKDGVLSFRGQLHDWFPIGLQRREVYNWQGDQGSKLRTWRGILGSIIPRL >OMO63741 pep supercontig:CCACVL1_1.0:contig12901:4342:4488:1 gene:CCACVL1_22305 transcript:OMO63741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQLKEEEEDAFEKRAPQHYLHLSPSKHYLEKQENEAQIFFHLEHHC >OMO63744 pep supercontig:CCACVL1_1.0:contig12901:14620:14925:1 gene:CCACVL1_22308 transcript:OMO63744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MDKVIRLASEKGVVLFSKSSCCLCYAVKILFQELGVTPMVHELDQDPEGRDMEKALMRMGCNAPVPAVFIGGKLVGSTNEVMSLHLGGGLLPMLKPYQTLC >OMO63740 pep supercontig:CCACVL1_1.0:contig12901:2923:3231:-1 gene:CCACVL1_22304 transcript:OMO63740 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MEAVTSMVADRPVVIFSRSTCCMSHTIKTLISGFGANPTVYELDEIQNGQQIERELQQMGCKPTVPAVFIGQQLIGGPNQIMTLQVKNQLVPLLIRAGAIWI >OMO63747 pep supercontig:CCACVL1_1.0:contig12901:56443:56523:1 gene:CCACVL1_22311 transcript:OMO63747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHFEGGIFVSYPVSDVGARRFGGK >OMO63742 pep supercontig:CCACVL1_1.0:contig12901:7765:8610:-1 gene:CCACVL1_22306 transcript:OMO63742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MELKKAAAKGSKAEQKAKKKQVEEEISRLSAKLKEKHAEELASLGYSNSNEKDKSNMDNLVKAIAGVSVTTHQDHSKPSKGARRREKRAQQEAAREQRIQDEQSNIVSDRQIEDERLEKKLEPLGFTINEIKPDGHCLYRAVENQLALLSGGSSPYTYQQLREMAAAYMREHASEFLPFFLSENTTDGDSNDSLAERFENYCKEVESTAAWGGQLELGALTHCLKKHILIFSGSFPDVEMGKEYKSDGGPSSSDGTIRLSYHRHAFGLGEHYNSVVPELIT >OMO63750 pep supercontig:CCACVL1_1.0:contig12901:77900:88765:1 gene:CCACVL1_22315 transcript:OMO63750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEAKIVAEKLNRRFGPYKRTKKIRRVQLKLQAVGLREEGTNQPATTAPSTAV >OMO63745 pep supercontig:CCACVL1_1.0:contig12901:16465:16539:1 gene:CCACVL1_22309 transcript:OMO63745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFYIDPEDKVKRQDIYTVNYES >OMO63746 pep supercontig:CCACVL1_1.0:contig12901:19187:30344:1 gene:CCACVL1_22310 transcript:OMO63746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAEGEELIELKFRIFDGTDIAHSTYASSITVATLKQKIVAEWPQDKTVTPKSINDLKIIHAGKVLENNKTLADSRITFGDLPVGVITMHVVVQPAVAKNKTEKNQEESRKLNSCGCEHYKRRCSIRAPCCNKIFPCRHCHNEAANSLSDPKDHHDLIRQDVKQVICSICDTEQEVAQVCCNCGVNMGEYFCNICKFYDDDTTKGQFHCDDCGICRVGGRDKFFHCQKCGSCYMMNLRDNHLCVENSMKTGCPICYEYLFDSVKGTQILKCGHTIHMDCFEQMMKQNQYRCPICSKSVLDMTSIWEDLDTDIGATSMPVEYQFEVVSILCNDCNTTSQVKFHILGLKCRQRTTQTTPYDRPPTAIRNPSGGAGKKGWLSKLVDLAQRLITSSAHRPFASVFRKRLPAPPPHPPQALEPGASISTSRSSKAGLQFPVGRIAWFLKAGKYPERVRVSVPVYLAAILEYLAAEACSIVEGLEGLKISVPPNGYATGRS >OMO63749 pep supercontig:CCACVL1_1.0:contig12901:71755:76740:-1 gene:CCACVL1_22314 transcript:OMO63749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAFWGTRVLEIVKKHDSGGLLWKRIKLTSTRKANAKKRLHRVWQLDLQGLAAFCCYSAAGRPLNVPLRYAEQFSWCEWHEKQSNRTVRGSSNII >OMO63748 pep supercontig:CCACVL1_1.0:contig12901:62539:63570:1 gene:CCACVL1_22313 transcript:OMO63748 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEKLNFVKNGVLRLPPGFRFHPTDEELVVQYLRRKVLAWPLPASIIPEVDVCKSDPWELPGDLEQERYFFSTREAKYPNGNRSNRATLSGYWKATGIDKQIVTCRSNQVVLVGMKKTLVFYRGKPPHGSRTDWIMHEYRLVHTNAPNQISLTQQAVPLENWVLCRIFFKNRCTSRHHNHHNNTKNDCTMQSCNDKKQPKTGPVFYDFLTKERTSTTDLNLAPSSSSSASSGITQVSHNDTDDHEESSSCNSFPYFRRKP >OMO63743 pep supercontig:CCACVL1_1.0:contig12901:12192:12500:1 gene:CCACVL1_22307 transcript:OMO63743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MDRVRDLAAKKAAVIFTKSSCCMCYSIKTLFYELGASPAIHELDHDPSGRDMEWALRGLGCDPSVPAVFIGGRFVGSAKDVISLHVDGSLKQMLMDAKAIWF >OMO68859 pep supercontig:CCACVL1_1.0:contig12164:5250:5715:1 gene:CCACVL1_19798 transcript:OMO68859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQVIEVYLAELDQWEHSLDARNQ >OMP06081 pep supercontig:CCACVL1_1.0:contig05071:1990:3749:-1 gene:CCACVL1_01717 transcript:OMP06081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin subunit MESLRHEKVQKFEEFVDLRLKPDLARAVAESSDLRKNIENLEKNSVTSLRTMVNLGSEVYMQAEVPDTQRIFVDIGLGFHVEFTWSEALKFISLREEKLEREIEEYTRLIASIKAQIKLVCEGIRELLEIPAEKTVEERIF >OMP06082 pep supercontig:CCACVL1_1.0:contig05071:11146:12129:1 gene:CCACVL1_01718 transcript:OMP06082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLLPPPTLQPSQIGFLGILVVSRHIVKAHYRHFSALALLFLLPLAVSSTTYPYIYKLIFLLKLFQQNPPVFFPTILFLILIYALFVLIFSLIAVSSVTYSVFHGFQGRPLKLKSAIKSALTSFPRLLSTWLMVSGLFSVLVLIRFSLIKATQLLAFKFTYFPPQYIFMIIFVCIVTYLQLAPVVAIIETSSWGLEPLKRSKYLVTGMKKVAFLMFLLYGFCFGTLLWLTAETWDFHYPSSDKYWFWVLYVVLGSACFMACLVSYLVAITVFYIYSKAIHGEHAEEIAAEYLRLPSDDGKVLFILLVSGWIPYQFQLILNLFGLVF >OMP06084 pep supercontig:CCACVL1_1.0:contig05071:18620:20732:1 gene:CCACVL1_01720 transcript:OMP06084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPFAGSKPLPPSSPRSSAMATPAPQPFKRCRRHHHLSRYKSIFRPFDAYPTA >OMP06083 pep supercontig:CCACVL1_1.0:contig05071:14410:14736:-1 gene:CCACVL1_01719 transcript:OMP06083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENAVSDGADGEESEDEDEESVEEGDEDDGFRGEEERGLLLKRVEKDGELGFECGEEELGENQLIDVNVNREGESKRDKEEDGERHEMESVGFEYAVGYVSNGPKIDL >OMO55248 pep supercontig:CCACVL1_1.0:contig14753:6797:9513:1 gene:CCACVL1_27335 transcript:OMO55248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGGDTTASVLGSSSGVVGGGGGAAASTADVSAAASSGARDGSSEVAANMLGGPNGNNNHNLGEDDRSRVDEGDRSFGGNRWPRQETLALLKIRSDMDVTFRDASVKGPLWEEVSRKLAELGYHRSAKKCKEKFENVYKYHKRTKTGKSDGKTYRFFDQLEAFGIGSHPPPPPLQSPAAPPPQLKPQTTMPAAATNNNNNNPQSLPPHVSTVPSTTTTLPSLPQNIVPPNINITVPSFPSTNPTIQPPPPPTLTNPTTFPNISADLMSNYSTSSSTSSDLELEGRRKRKRKWKDFFERLMKEVIQKQEDMQKKFLEAIEKREHERLVREEAWRMQEMARINREREILAQERSIAAAKDAAVMAFLQKISEQQNPGQAPQNNTNPNPILPSQQPQLKPQAAAPQAAPPPPPPQPVSAVAPPAAPPATAAAAPVQAPAPPPLVAHQQMMNMEVSKTDNGDQSYTPPSSSRWPKVEVEALIKLRTNLDSKYQENGPKGPLWEEISAAMKKLGYNRNSKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDAIYKERNKFDQLKPENSVPLMVRPEQQWPPPPSEPHQHDHHHDHVMEDMESDQNQDEDDKDGDDEEEDDEGGDYEIVASKPVSMGGGE >OMP12175 pep supercontig:CCACVL1_1.0:contig00327:3:104:-1 gene:CCACVL1_00090 transcript:OMP12175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELDPFAKSHYCGTSFFLESKGEKSEHYNMID >OMP10826 pep supercontig:CCACVL1_1.0:contig01883:560:652:1 gene:CCACVL1_00778 transcript:OMP10826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRQICPHRLAVQDISLSRRQRGFDFPWG >OMP05716 pep supercontig:CCACVL1_1.0:contig05256:1165:3209:-1 gene:CCACVL1_01843 transcript:OMP05716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREGMRRVPAASTRNPCELPSSKPIPSNKKPT >OMP05320 pep supercontig:CCACVL1_1.0:contig05440:1012:1083:-1 gene:CCACVL1_01956 transcript:OMP05320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLVPDPWAVVNPIPLYIGEFSKT >OMO79191 pep supercontig:CCACVL1_1.0:contig10471:17660:17776:-1 gene:CCACVL1_13862 transcript:OMO79191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAIVAYVFFFPTSLLRIMSVLVPSSNTTQLGPVKST >OMO79190 pep supercontig:CCACVL1_1.0:contig10471:1917:2426:-1 gene:CCACVL1_13861 transcript:OMO79190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKSRRWLPDEDAALIAAIVDMQNVGRFNADTGFKGGYLLELERMLHESLPQANIKAKPHIESRIRTLKKEWGIIYDMINGTNTSGFGWDGIREAGKDLSRSIGSEMVIQEKVQELDIILGEIDGLTEEERDIALSKIPDHPAQMLVFGSLPPHRKLAWLRRFLSSH >OMO68277 pep supercontig:CCACVL1_1.0:contig12240:57909:58046:-1 gene:CCACVL1_20000 transcript:OMO68277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETKARPVCKRASLFLSKTRSLDLKFLPKSSNFSGGPASLGGYPDP >OMO68276 pep supercontig:CCACVL1_1.0:contig12240:38365:46334:-1 gene:CCACVL1_19999 transcript:OMO68276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQAADLAILWLTWTNCFGASCVILFLLLSERAEDQGR >OMO68275 pep supercontig:CCACVL1_1.0:contig12240:14589:28541:-1 gene:CCACVL1_19998 transcript:OMO68275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVREVSDEIKDAGQPAGGSLTSQNLPASVSETNIVDQQPQSSEREEIEEQEAEHEAWISLISEEVLSLKTQGRDRKANGGH >OMP11051 pep supercontig:CCACVL1_1.0:contig01633:484:555:1 gene:CCACVL1_00700 transcript:OMP11051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRGVSTALWSVPVPKASPTLPKP >OMO59024 pep supercontig:CCACVL1_1.0:contig14064:1394:8957:-1 gene:CCACVL1_25152 transcript:OMO59024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRGNLIHEIDYKNYQLSQKDGSIATLHGLIDGLKQKLNSKESRLAELVLEYDTTVRQLMHEKTMLHDELSHEKTRFHDDLMHEKTKLHDQFAEEIRKIKSENIKLKCELLKQTKDLEEFKSQNDQKQRTSLDEMEVLKKNLPYQDLVEVPVEVDKASSAEISAQRKELEEKSEELEDLWSSCNCLIVKENLTNQQFLDACKNLPCQDLVEVPIEVDEASSDEISALRKELEEKSEELEDLWSSCNCLIVKENLTNQELLDACKNLPCQDLVEVPVEVDKASSAEISALRKELEEKSEELEDLRSRCNCLTVKESLTNQELLDARKESIQGLKDILNSRTSLGVKKMGEIDKKAFDTACLLKFPNEDWQLMSAELCSLWENNIIDSNWHPFKTIPLNGNEQEVVDEDDEKLKQLRNEYDKVAFDAVSTALLEMNEYNPSGRNFSLLLKMRKGNYEEQLKNERRHLIHEIDYKNYQLSQKDESIASLRGLVDGLIQRLNSKESRLAELELEYDKTVRQLMHEKTMMHAEFMHEKTMMHDEFMHERTMFHDELMHEKTKLHRKFSEEIRRIKSRNIQLKCELRKLTEELEEFKSQNDPKQRTPLNEIEVPKENLPCQDLVEVPFAVDKASSDEISALRKELEENSEKLEDLESLCNCLTVKESSTNQELIDARKESRQGLKDILNSRTTLVVKKMGEIDKRAFDTACKLKFPNEDWEVKSAELCSLWEENISDSNWHPFKMISHNGNSRREEVVDEDDEKLKQLRNEYDKVAFDAVSTALLEMNEYNPSGRYPVDEIWNLKEGRKASLKEIIEYLIKQLKTHKRKRKR >OMP04083 pep supercontig:CCACVL1_1.0:contig05833:11392:15143:-1 gene:CCACVL1_02193 transcript:OMP04083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, typical subtype MKVLEILKYRHKNFIKSQVRDLAYAAAPVGRLIHHNQPAGCWDFERSALLQFKESFIIKKSASGFPGAYPKVRSWNVAAASFMVPSTIHSNSTLFHLRHLTSLNLYDNDLQFLEQSETFPGSNYNLELQNQSLKRLAENLVHLKYLNLDAVNVSSTVPQSLANFSSLTYLSLRGCDLHGEFPIEIFLLPNLQILRVSFNQRLTGRLPELPINSPIESLILLLTSFYGELPKSIGNLKSLSHFDVGGCNFSGPIPSSMANLTQLTSLSFHLNHFSVRIPSWIGNLTRLTYLDFGENEFWGPVPQSLYNLVNLEILDLNGNNLNGTVSLKQFLQMRGLQYLQLSGNDFWMLSTTPKNVSADPQFKVLSMGSCNLSEFPEFLAHQNKLVNLDLSVNIDGLIPNWLWGLSPNILEVLYLDWTTPPSDLQSELYNCPLPSQYFQRWKAMKVVDFSELKYLQADASFKVHFNWTISVSYSMTMTNKGIETEYEKIQEFLVAIDVSSNQFEGRIPEDIQILKGLQLLNLSNNFLSGPIPPSLANLSNLESLDLSQNNLSGKIPQELARLSFLAFLNVSYNHLIGPIPQGKQFATFDNNSFGGNPGLCGKPLSKKCHSEAAGAPPHLSSKEDEDGGDSLFEFGWKIVALGYGVGLVLGLILGYSFNPRKHKWFVKYFWGKPLRRRTQSN >OMO54713 pep supercontig:CCACVL1_1.0:contig14909:119747:119830:-1 gene:CCACVL1_27654 transcript:OMO54713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALPEIPASVSVPREEATPSTPSCF >OMO54698 pep supercontig:CCACVL1_1.0:contig14909:19144:19299:1 gene:CCACVL1_27638 transcript:OMO54698 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAE1/Type III polyketide synthase-like protein MAEARKETETINFGAIDELLAKTGAKPRDIGTLVVKYSSLFNPTPSLSSAI >OMO54702 pep supercontig:CCACVL1_1.0:contig14909:45835:47172:-1 gene:CCACVL1_27643 transcript:OMO54702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQPIDIDSQAQKETVPFRAEPVKPVLKSRLKRLFDRQFPSVLRISTAEKPSIGETQFTTSKDGGIEFEPSSVCLAKMVQNFIEDSHNDKQPPPQPPPAKCGRNRCNCFNANSNDSSDDEFDVFGESINGGSSPPDACDTLKSLVPCASVIERNLLADTAMIVEKNKNCKRKDDLRKIVTDGLVSLGYDSSICLSKWDKTPAFPAGDYEYIDVVVEGERILIDIDFRSEFEMARSTGTYKAIVQSLPYIFVGKPDRLDRIVSIVSEAAKQSLKKKGMHLPPWRKAEYMGAKWLSQFTRASSQSGDITDCKETNDCDGCGCGELDLIFGEEKTNSLEENSGVKDSPATPVTTWQPPAVKTKSVERGTKMVTGLASLLKEKP >OMO54709 pep supercontig:CCACVL1_1.0:contig14909:95781:99207:1 gene:CCACVL1_27650 transcript:OMO54709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSRATPRPSAPLPPDHHHHRKHFLICAPKITVHQRGRTRSRTNLTVVRVVDGGDSYLDMWKKAVDRERKETEFQKLASKVASVGDDSVGGDGENNKEVIEKKSEEFQKILEVSNEERDRIQRLQVIDRAAAAIAAARSIFKKDSSSTGKEDSKNDNGSRIKVQEEGKQSGSIFVTPSRSSGNGIPGPDFWSWAPPETTDQALDDMDGLQTARKSSEYTISSNPVLEKDRSVSILSIPFESKTFETIRNLPPFQSLVEVDKTTVSEVAVEETSLKEEHDIEVEFSAHAAEAADALHNAKELSPQGVSANGTRWWKETGVEQRPDGVICRWTIIRGVSADQSVEWQEKFWEASDEFDYKELGSEKSGRDAFGNVWSEYWRESMLQSGGLVHLEKTADKWGKNAKGEEWQEKWWEHYDASGKSEKWADKWCSIDPNTPLEDGHAHVWHERWGEQYDGYGGSVKYTDKWAERCEGDGWAKWGDKWDEHFDSNGHGVKQGETWWQGKYGDRWNRTWGEHHNGSGWVHKYGQSSSGEHWDTHVGQETWYERFPHYGFYHCFENSVQLREVKKPSEMSDSEQ >OMO54715 pep supercontig:CCACVL1_1.0:contig14909:137772:143277:1 gene:CCACVL1_27656 transcript:OMO54715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRKKQRLLGKPGAMGYEPTPQGKHVGAA >OMO54699 pep supercontig:CCACVL1_1.0:contig14909:19832:20684:1 gene:CCACVL1_27639 transcript:OMO54699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASREGCFGIPAWQISTAGGGGYGSSSG >OMO54708 pep supercontig:CCACVL1_1.0:contig14909:93393:94007:1 gene:CCACVL1_27649 transcript:OMO54708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAANFMKLSLPLYSFKSSTVKFPIISQRTTTNSNYNNVAAAATLIKLSPWRRRLLAAKSKNELSEVPPEVPFGTPPEIPSRPDSRPPSVPPELPSVPVTPEVDPSNTPPEFTTTDPPPLGRPVPDPGPDFPKPPLGPPPLGPENPVPPPGRPPPPEVEPPSPPDIIPPPSTPPDYIPPPSLPPDIPPAPPDIPPIKGPTFVF >OMO54700 pep supercontig:CCACVL1_1.0:contig14909:25734:26898:1 gene:CCACVL1_27640 transcript:OMO54700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSLKFNSLKEAREESEKVIFGAIDELLAKTGTNPLDIGILVVNCTVFCPMPSLSAAVVNRYKLREDILSFNLGGMGCSAGLISIDLAENLLQVHPNTYALVVSTENITHASYFGNNRSMIVSNCLFRVGGAAVLLSNLPSDRHRSKYELVHLLRTHEAANDKSYKAIYQQDDEDGITGVALSKDLVVAAGEALKKNLSALGPLVLPLSEQLRYLATSIARKVFKKKVKQYIPNFKLAFEHFCIHPGGRAVLDQLQKSLDLSDWLLEPSRMTLYRFGNTSSSSLWYELAYLEGKGRIKKKERICQIGLGSGFKCNSAVWRALRTINPVMETNPWMDEIDDFPVNVPKVDTVHF >OMO54701 pep supercontig:CCACVL1_1.0:contig14909:27502:38426:-1 gene:CCACVL1_27641 transcript:OMO54701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MALARDTPYIGLVILLMKHFAILKLVITVAHIPTVNAGPVMKREQGKLGGAAGGTTAPPPKRGRPFGSTAASVASALAADSVAPSTLLGPTLQIHNSFADQNNKRIVLALQSGLKSELTWALNTLTLLSFREKDDMRKDACLAKIPGLLDALLQVIDDWRDISVPKELIKGTRARTLGVNSVVTGFGHEYEALGSNSLLPQSGLGSGSSSVEVSAQKSTSKLRPSEWWFEEDGLFNLDDEGRAEKQQCAVGASNIIRNFSFMPENEIVMAQHRHCLETVFQCIEDHAIEDEELVTNAMETIVNLAPLLDLRIFSSPKPSFIKITEKRAVQAIMGMLGSPVKAWHCAAAEFLGRMIINPDNEPLLLPFVPQMYKRLVDLLSLPAFDAQAAAIGALYNLVDVNMDCRLKLASERWAIDRILKVIKTPHPVPEVCRKAAMIIEHLASDSQNRTSLLAYENAFAEILFSDGKHSDTFARILYELTSKPNNKMTAARGIWGM >OMO54711 pep supercontig:CCACVL1_1.0:contig14909:104841:106301:1 gene:CCACVL1_27652 transcript:OMO54711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGDILSEEQIVEFKEAFCLFDKDGDGCITVEELATVIRSLDQNPTEEELQDMISEVDADGNGTIEFTEFLNLMAKKMKETDAEEELKEAFKVFDKDQNGYISATELRHVMINLGEKLTDEEVEQMIKEADLDGDGQVNYEEFVKMMTTVG >OMO54704 pep supercontig:CCACVL1_1.0:contig14909:57502:77159:1 gene:CCACVL1_27645 transcript:OMO54704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLEATISRISTEDVGTEQVGYGAGVTVAPLVFNGSASRGALLSRSHEGPSLRSDHMIQLSTWNGQARESHQQTLPVGPSHCPIISMPPLGSQTSVTQLGAQCFHLLAI >OMO54703 pep supercontig:CCACVL1_1.0:contig14909:47902:53628:1 gene:CCACVL1_27644 transcript:OMO54703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAGEGLHPRVTDDLSYRILLLPIYGYG >OMO54707 pep supercontig:CCACVL1_1.0:contig14909:87342:89367:-1 gene:CCACVL1_27648 transcript:OMO54707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGRSQSVVSPIPQRVQSFQAADTRGKHRIQAELKRLEQEARFLEEELEQIERMDQASAACKE >OMO54710 pep supercontig:CCACVL1_1.0:contig14909:99512:101664:1 gene:CCACVL1_27651 transcript:OMO54710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MGDKVEEEDRNKGPSRFLSIVYDHIINPGHWTEDMRDEALEPADLYDRNMTVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKEPLKECKIIEGDAEDLPFPTNYADRYVSAGSIEYWPDPQRGIKEAYRVLKIGGKACIIGPVYPTFWLSQFFADMWMLFPKEEEYIEWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKPLSGDSPLQLGPKAEDVKKPVNPFVFLLRLLLGAMAATYYVLVPIYMWIKDQIVPKGQPI >OMO54693 pep supercontig:CCACVL1_1.0:contig14909:949:1074:-1 gene:CCACVL1_27633 transcript:OMO54693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEREGVGLNKLLFTTRIPISLGFTPVFAKSSSTAPKITVS >OMO54696 pep supercontig:CCACVL1_1.0:contig14909:14351:15511:1 gene:CCACVL1_27636 transcript:OMO54696 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAE1/Type III polyketide synthase-like protein MQGSLKFNSLKEAREESEEVIFGAIDELLAKTGIDPLDIGILVVNCTVFCPMPSLSAAVVNRYKLREDILSFNLGGMGCSAGLISIDLAEHLLQVHPNTYALVVSTENITYGSYCGNNRSMIVSNCLFRVGGSAVLLSNLPSDRHSSKYELVHLLRTHEAANDKSYKAIYQQDDEDGITGVALSKDLVVAAGEALKKNLSALGPLVLPLSEQLRFLATSIARKVFKKKVKQYIPNFKLAFEHFCIHPGGRAVLDQLQKSLDLSDWLLEPSRMTLYRFGNTSSSSLWYELAYLEGKGRIKKKDRICQIGLGSGFKCNSAVWRALRTINPVTETNPWMDEINDFPVNVPKVDTVHF >OMO54695 pep supercontig:CCACVL1_1.0:contig14909:9553:10797:1 gene:CCACVL1_27635 transcript:OMO54695 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAE1/Type III polyketide synthase-like protein MAEARKETETVMFGAIDELLAKTGVKPRDIGILVVNSSLFNPTPSLSSAIVNHYKLRSNILSYNLGGMGCSAGVISIDLAKQLLQVHRNSYALVVSTENNTRNWYSGNNRSMLVTNCLFRVGGAAILLSNRSRDRRRSKYELVHTLRTHMGADDKSYNCVFQQEDETKNIGVTLSKDLMVIAGEALKANITTLGPLVLPLSEQLLFLVTLVARKVLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKSLDLTQWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIKKGDRLWQIGFGSGFKCNSAVWGAVKTINPAMEIRNPWMEEIDQFPVQVPKVAPIVY >OMO54712 pep supercontig:CCACVL1_1.0:contig14909:109666:113499:-1 gene:CCACVL1_27653 transcript:OMO54712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEMGNNTSGLQVEDNITQEKSQQEVAAADDVKGQNYVAPVAQDKDSQGKETEFASADIGREDHSVDEQKSYDKELKEDNMKTEGEKPLQEAGRTDDVKGQNHEVVASEDANNNEKETGLAPSNLEVAEPHDDKQNQDEKGEDSNMIPETKEQSLQEAATNEAKGQDHLVPAAAEVMKFHENETELNSTEPDSMTSPHVDNQKQDEKEDNSTNLEADEKSLQEAASENEVKHEADLVLAPGEENNHETETGLVSIEDKKEEDSKIKDEANSKSSNEAVNMTDDVERQDHLVQPIENETGLVSTDPEGTADSHVDSPKQDEKGEDKENDETRERTPTIESHADDIEVKNLIIPASESEGSNKVEAEAELASSDAVVAGLEHTLDNQTLEGREQGETELHPPAESTKVEAKPSQEISEGREMQAASQTKNLEDHEMHRESNSEQNLSETVDHFEDQNSMMTENEGARNSISDAVSSTSHDLVPHQEPSVLEPEQSKLAETETEQHGEVCNEPQKSEHMVISSLTSPDQQNGFISDSGVSTEMVESAFVDSSPKVEKGMDDILVEKKATKELSNEERFELEDGNNINDDSATAMTDLPNEFGAKCNGELPSEMNSIRNDSPESHVEAILSDEAQIFQQEVSKTNGNFLVPGQNAILAREEAENGDMNKSHCKTQSVESVEQSNGKESEEGSKPDEPKASLPQFMMNSYESKEKRCLLDTNCDSNSKGCQFEEAKIVENGHHVDVPINNKNEATKEQQKDSSGNEVHLVTHTAMVPTGTFLTHKEFKEEESEEKKIIEEIVEKDEGSVANGNTTLRREKPAIANGDHYQQETVGRLSNESSPDNISIHAEMRKSPSFNLDLRIDARPEESDQTPLLYQDKTTIDDSFSSQADHVALGKVTESKTEYKENSFHYEEAMQVEEKVVTLERSDSEKSKTPFLGFLKEEEEEAQLLVTPKNQDNPSATNKAAIKVSIKEVASASQKGKEKRKPKVSLFGTCMCCATVIN >OMO54714 pep supercontig:CCACVL1_1.0:contig14909:123267:126471:-1 gene:CCACVL1_27655 transcript:OMO54714 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate adenylyltransferase MASTFFTQTSLPSKSFNNSLNTHFVPSFKLPVSLSLKPRATVLKRLSLRAGLIEPDGGRLVDLRVAEPERELKKKEAALLPKVKLSKIDVQWVHVLSEGWASPLTGFMRESEFLQTLHFNCLRLDDGSFVNMSVPIVLAIDDSQKERIGESNSVALFDPDDNLIAILTDIEIYKHHKEERIARTWGTTAPGLPYVDQTITDAGNWLIGGDLKVIEPIKYHDGLDRFRLSPAELREEFERRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGYTKADDVPLSWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPVEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDKTQGKMAFFDPSRAQDFLFISGTKMRTLAKNKENPPDGFMCPSGWKVLVDYYDSLTPSDSGRIAEAVPA >OMO54697 pep supercontig:CCACVL1_1.0:contig14909:16280:17050:1 gene:CCACVL1_27637 transcript:OMO54697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERTGIRPSKVEVFLKIYQKKDKTWTEERNLFKHAKELARLGEGAVIDDDELFIQVSGLIKRRCYGLGNLVDEVSAFIKNTPCTTTDDSDGSLRAQLVLSAKTVQKQNKIIKDNTKRIDMILSILESQGVRLLPSVFFTRTSFGGSGESVSQPLPNRSPHQQQCNEQQQSDQGQQQQSKEHNEEMGQNIVAN >OMO54705 pep supercontig:CCACVL1_1.0:contig14909:78427:81452:-1 gene:CCACVL1_27646 transcript:OMO54705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MSQSLQFFTPSRSPYVILPKLPATSNVRFPVINFPSRKHSVTITKCLSSDLDATSSQVLASGNGQSGVAGVLSADNVAAVPSHDSGAAGIEVDAVTEAELKENGFRSTRRTKLICTIGPATCGFEQLEALAVGGMNVARINMCHGTREWHQTVIERVRRLNEEKGFAVAIMMDTEGSEIHMGDLGGDASAKAEDGEIWTFTVRALNSPRPERTINVNYDGFAEDVKVGDELLVDGGMVRFEVIEKIGPDVKCRCTDPGLLLPRANLTFWRDGSLVRERNAMLPTISSKDWLDIDFGIAAGVEFIAISFVKSAEVIKHLKSYIAARSRGSDIAVIAKIESIDSLKNLEEIIQASDGAMVARGDLGAQIPLEQVPSAQQKIVQVCRELNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQFPEKALAVLRTVSVRIEKWWREEKRHEAMELPDVGTSFADSISEEICNSAAKMANNLEVDALFVYTKTGHMASLLSRCRPDCPIFAFTTTTSVRRRLNLQWGLIPFRLSFSDDMESNLNKTFSLLKARGMIKSGDLVIAVSDMLQSIQVMNVP >OMO54694 pep supercontig:CCACVL1_1.0:contig14909:1517:2305:1 gene:CCACVL1_27634 transcript:OMO54694 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAE1/Type III polyketide synthase-like protein MQVNRNSYALVVSTENITNNWYSGNNRSMLVTNCLFRVGGAAILLSNRSSDRRRSKYELVHTLRTHKGADDKSYNCVFQQEDEQKNIGVTLSKDLMVVAGEALKANITTLGPLVLPLSEQLLFLATLVARKVLKMKIEPYIPDFKLAFEHFCIHAGGRAVLDELEKSLDLTQWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIKKGDRLWQIGFGSGFKCNSAVWRALKTINPAMEKNPWMEEIDQFPVQVPKVAPIVY >OMO54706 pep supercontig:CCACVL1_1.0:contig14909:84258:86641:1 gene:CCACVL1_27647 transcript:OMO54706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, Rab type MGAFISKFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGDVVTTHPTVGSNVEELVYKNIRFEVWDLGGQDRLRTSWATYYRGTHAVIVVIDSTDRARITIMKEELFRLLGHDDLQQSVILVFANKQDLKDAMTPAEITDALSLHSIKTHDWHIQACCAINGDGLYDGLGWIAQHVTGKAPS >OMO88110 pep supercontig:CCACVL1_1.0:contig09103:11765:12175:-1 gene:CCACVL1_08550 transcript:OMO88110 gene_biotype:protein_coding transcript_biotype:protein_coding description:C1-like protein MHPCCAMLSTEMNISVHPHTLKLLPMPQYSSTSDPGFVCAECNKRRSGRVYHCTVCDYHLHAVCAKNMVNGLQANGIKAMDKPSMFGTAAKVATKVMIEFIGGLIEGLGEGVGQVLIQTAARGRCYTRSSARNSTT >OMO88111 pep supercontig:CCACVL1_1.0:contig09103:26702:29096:1 gene:CCACVL1_08551 transcript:OMO88111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MVDSESIYQEDDPNLPTLVSPTDELDHEDILHGGKMTRGRAKRHGVQKMRGKGSSTSSVQVDTQDNVNLNMENPFNDAPPMENPPTDSPMMNHPPTTDFEKMHQRRELKPMPSITLMNLPQLKKERVEGAIVAKYTWGEGLDKVVEKIWHTKCGRGLRDAVCRAKKYGCEKAGIDPYDPNADWSKVKSCRHKDCFIPQGKKMEYPSQLDFFKKTHQRKNGGAFVDEKSNYVSDKYNSSFKEKHGDESYTQVQFDPKAWTDAIGKRSRSHLYRFGSLEAALSNILPGMLSNILTGMLASMSNSPNVNQSGDNVVLPSPQHDSEATESEDGNDGENGDYMNMG >OMO61691 pep supercontig:CCACVL1_1.0:contig13430:10617:10793:1 gene:CCACVL1_23319 transcript:OMO61691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKCEKFEIVAKEIEEKYEILANYIPYWTTGGGGFLLGQLSNAMTVQTILKKDEVNFTV >OMO62825 pep supercontig:CCACVL1_1.0:contig13146:8732:8794:-1 gene:CCACVL1_22621 transcript:OMO62825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDVLSEQNSGYRVEKKLK >OMP11299 pep supercontig:CCACVL1_1.0:contig01401:891:980:1 gene:CCACVL1_00580 transcript:OMP11299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPDQLFIPWKLLLDILQIKPEYGFYGRFKK >OMO87336 pep supercontig:CCACVL1_1.0:contig09245:3660:4187:-1 gene:CCACVL1_09119 transcript:OMO87336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 LYR protein MVLALDLQDFLLRARVLKLYRQALRTARRAPDQSRAELKQVIRQEMESNRECKDKQRIRFLISEGTERLKGLTEMLDMQGHSTMQLDLASKKNSLKREPS >OMO87335 pep supercontig:CCACVL1_1.0:contig09245:1300:2901:1 gene:CCACVL1_09118 transcript:OMO87335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTKIKFRFPSSISPFSQSFFNFCSSSTPASLQEDEAGEADTLESPKTAALSPEETEIVEKFHSLIKDHHRKNPNPDPNSGPPTPNYTIASLSLDFSKISAAHSISPSLVRHVIDKCGAVRHGIPLLQTLSFFNWATTRPDFAPSPDPYNEMIDLAGKLRHFDLAWHFIDSMKAKNVNISFETFSILIRRYVKAGLFAEAEHVFNRMEDYGCVPDKIAFSIVISSLCKKRRAYEAQAFFDKLKDNIFKCIEKLQDVNAAHQMYSKMKDLKCLPNTVTYNVLMRMFAIAKSTDMVLKLKKEMDENEIEPNVNTYKILITMYCGMGHWNNAYKLFNEMIEEKCLRPNMRLYEMVLEQLRKAEQLKKHEELVEKMVDRGFVTRPL >OMO87334 pep supercontig:CCACVL1_1.0:contig09245:33:389:-1 gene:CCACVL1_09117 transcript:OMO87334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase MAGHEYVSLKDLATLPKPGTPSTTPPFPGNLKTRHVKRKQPRYKNGVAIYWDEVPLRKELEEEDYENFGCFDFIDKFIIRTFRRFFDKAFGALLGLPKFDETFVASPRINLLRSHDFS >OMP07633 pep supercontig:CCACVL1_1.0:contig04297:801:872:-1 gene:CCACVL1_01259 transcript:OMP07633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AHDSTLIVMDWYQDRVLDRQTSF >OMO99018 pep supercontig:CCACVL1_1.0:contig06970:26905:28123:1 gene:CCACVL1_03963 transcript:OMO99018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQEVQNGGAKEAVEVKFTAVKPHLMVEAAKAVDAVQFYKAAFGAVESGCNVYPKRKAEQDLPHIASSHLELAGSTILVSGVAEDSAPVKTEGAGCVLCLETDDVEAAIAKAVSAGAVVEGEIADSDGAFCGGRVGKVKDPYGYFWLFCSTAKECAEVEA >OMO99016 pep supercontig:CCACVL1_1.0:contig06970:5128:9208:-1 gene:CCACVL1_03961 transcript:OMO99016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSSVLFFLPTSTCSAPNLPSLPFLFSTPSIPSNPRYHWQLKNPKRKKQFLGRVSSSYEVGGGYPEEEFEALYKTQNQRVDDNQNIDSSQYEALLKGGDQVISVLEEIITLLEDMNMDEASEEVAIELAAQGVIGKRVDEMEGGFMMALDYMIQIAEKDQDDKVQVVGLLCRTPQKESRHELLRRVAAGGGAFKSENGTKVHIPGANLNDIANQADDLLESMETRPVIPDRKLLARLVLIREEARNMMGGGILDERNDRGFSTLPESEVNFLTKLVALKPGKTVQEMIKNVMLGKDEGADHPDNDEEANDAIRMRSGGIAGRGSVTGRKPLPVRPGMFLETVTKVLGGIYSGNVSGITAQHLEWVHQKTLQVLQEIAF >OMO99017 pep supercontig:CCACVL1_1.0:contig06970:13365:25523:1 gene:CCACVL1_03962 transcript:OMO99017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNISGLEDTDSGSYKSITHCRRCWQRRLVDGRGGSGFRLTCDLCCKSVKMMPKSLKSAEETKQADPPPSSSWLLPSSLSVRLVTTAIPDTDVFRKLSIWKLIASVFVANAKPRNNPIFSKRAAERVERT >OMP04497 pep supercontig:CCACVL1_1.0:contig05729:4405:5145:1 gene:CCACVL1_02159 transcript:OMP04497 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-hydroxytryptamine receptor-like protein MVDLTSSTDVDSTEPAASAQAPSQPVDATMKPEYGPWMIVQRKPCRTTKEAVNEDSGELTKDQVVEEFRAKIVDPIIQRNLKMQNDKNGNGRSVWKPKQDAGIKFKSKKGKEGFKAKNKESVPTYKRAELPQPYSAFESNKVNGPSGFSFKAGSLKNFSFDLKRLEDLVGSSHFGLSHLMGKQDNSILGKDLTNGEFSKAETSSGDANMVLPSDAISLPPQ >OMO80711 pep supercontig:CCACVL1_1.0:contig10281:8435:12557:-1 gene:CCACVL1_12799 transcript:OMO80711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKSESGGGGGGAYVRADQIDLKSLDEQLQRHLSRAWTMEKNKKEGEEGGGGGGGGGVAEQLRPSNTVRRQEWEIDPSKLIIKSVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSEAEIASLRAAFTQEVAVWHKLDHPNVTKFIGATMGTSELNIQTENGHMGMPSNICCVVVEYCPGGALKSYLIKNRRRKLAFKVVIQLALDLARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVSMIEAIDTSKGGGMIPHDQPQGCLCFRRYRGP >OMO80710 pep supercontig:CCACVL1_1.0:contig10281:4633:7494:1 gene:CCACVL1_12798 transcript:OMO80710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFISCNSYSSLAVSKWTNPRLPTKGTSFLRTQRSSSWFTRPFLSKCTPQKLVQCQLQNGQKGKSFSLKECAISILLAAGLITGMPSLDWSPNAYATNPALSDLSVLISGPPIKDPGALLRYALPINNKAIREVQKPLEDITESLKVAGVKALDSVERNARQASRSLKQGKSLIISGLAESKKDHGVELLNKLEVGMEELQQIVEDRNRDAVAPKQKELLQYVGDVEEDMVDGFPYEVPEEYRSMPLLKGRAAVDMKVKVKDNPNLEECVFHIVLDGYNAPVTAGNFVDLVQRHFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTEKTRTIPLEIMVDGEKEPFYGATLEELGLYKAQTKLPFNAFGTMAMAREEFENNSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDFLADLKVGDVIESIQVVSGLDNLVNPSYKIAG >OMO80712 pep supercontig:CCACVL1_1.0:contig10281:17770:21623:-1 gene:CCACVL1_12800 transcript:OMO80712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHMVYPGAVHSRFEHSLGVYWLAGEAIQKLKSYQGLELGIDRFDVQTVKVAGLLHDVGHGPFSHLFEREFLPQIHHGFKWAHEQMSAKLIDHIVDVHHIDVEAEMIKRVKEMILASSEFALPKSAKEKEFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCSFDFHRLMETMRVVGDEICYRAKDYLSVHKLFATRADLYRTVYTHSKVKAVELMVVDALVKANSYLDISSSIQDPAEYWKLDDTILKTIETAPDEELRESRDLILRIRRRNLYQFCNEYAVPKDKLEHFKNVTAKDIVCSQKNGVLLKEEDVAVSNVRIDLTRGRQNPLKSFLTGLISDQDYESEEKFPISDERISHLLPTSYQDMIVRVYSKKPELVAAVSEAFENFQLKTYGVKAQVHATPEKKKRRL >OMO96861 pep supercontig:CCACVL1_1.0:contig07365:25286:33979:-1 gene:CCACVL1_04754 transcript:OMO96861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKSSLQLQALESFYKEEKYPTQKEIEGYAVELGLTLKQVKGWFVERRKRDKRENGKCKSTSSMAENRFGKRKKKMLLPQDLLSPKYILKKVFRKDGPPLGVEFDSLPSQAFCHCKGSKDLHVGDEEYQRGATKRRKVPELTTIDHQSNNNESAPVVKHGIGKGLMTVWRVVNPEGGDIPTGVDFSNCQIVAPPQVSSPVVRKAPVRNKRRQPLVSLMKQRTLEKKLQEKKRSSIKRREVKSNKDDNNKHPSKEKCELSLEGSISDECLDQLEMLVDDEELELREQQAGPNPLTCSDHLGTSGVVGCFLCKDLLAKFPPSSVKMKQPFSMQPWDSSPETVKKLFKVLHFLYTYSVILDICSFTLDEFAQAFHDKDSLLLGKIHVALLKLLLSDIEAELSGSRLPRFSLSCKFLALLHSVENQEFMVEFWKKSLNPLTWTEILRQVLVAAGFGSKQGLLRREALSKEMTLMVRFGLRPGTLKGELFRILSERGNNGLKVSDLAKSLPVTELNLTSTTEELEELICSTLASDITLFEKIAPSAYRLRSSSVTKDDNDFQSDTEYSGSVDDDSDDSTASSSSDDSDCDSGNNYRRKLKSKNRQRSKNNMLTVYTEIDESHSGEVWLLGLMEGEYSDLSIEEKLDALVALIDLLRAGSSIRMENPCKAIAEFVPNIPHYGSGAKIKRSSHNQHNFPRPSWVYGQKYGAQGAHASSDSQPVDSSSISKFCEKEKCPSSRKDAKETEIGVYIHPMQSIFLGSDRRYNRYWLFLGPCNAHDPGHRRIYFESSEDGHWEVIDTEEALRALLAVLDDRGKREALLVVSLEKREASLCQEMSSTHLYDAVIPLMPSDSSEHYVAREDSSSPVSDIDTVAMNESLTPCGAIVLEAGKKGEEQNRRWRRLQDFDVWLWDSFYLNLNAVRHSKRSYLDSLTRCGSCHDLYWRDEKHCKICHTTFELDFDLEERYAIHVATCRDKGDSSMFPKFKVLPSQLQSLKAAVHAIESVMPEGALLGAWTKSAHRLWVKRLRRTSSLSELLQVVADFVAAINESWFNQCNTEQEGSTIIEEIIAFFPTIPQTSSAVALWLVKLDDIIAPYLKKVNSEKELENGTQCSERRALAE >OMO96858 pep supercontig:CCACVL1_1.0:contig07365:5062:7563:-1 gene:CCACVL1_04751 transcript:OMO96858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKANNTNIVKTTNRKRRQSNQLIKEEQNDDQEEVIGDMEDLFSQGDVRKIRASLLEWYDENQRDLPWRRRTKLGDGKNVKEEDEEEEKRAYGVWVSEVMLQQTRVQTVIDYYNRWMQKWSTLQHLAQASLEEVNEMWAGLGYYRRARFLLEGAKMIVSGGSGFPNSASSLKKVPGIGDYTAGAIASIAFKEVVPVVDGNVVRVLARLKAISANPKDKMTVRNFWKLAAQLVDPSRPGDFNQSLMELGATLCTLLNPSCSSCPVSSQCRALYNSKSDESVMVTDYPTKVAKTKQRQDFSTVSVVEISETGSQGISQQTKSDSKFLLVKRPDEGLLAGLWEFPSITLDEEADIAVRRKLIDQLLKKSFRLNPHKNCDIISREFVGEFVHIFSHIRRKIYVELLVLHLKGGIDDLFKEKDKKIMDWKLLDSEAVSRMGLTSAVRKV >OMO96859 pep supercontig:CCACVL1_1.0:contig07365:7947:8036:1 gene:CCACVL1_04752 transcript:OMO96859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEDSENSGGRSSLPSEAYVERIHPSIH >OMO96860 pep supercontig:CCACVL1_1.0:contig07365:8442:12379:1 gene:CCACVL1_04753 transcript:OMO96860 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, NADP-binding protein MEIGFLGLGIMGKAMSVNLLKNGFKVTVWNRTLSKCNELVALGASVGETPAEVVKKCKINIAMLSDPAAALSVVFDKDGVLEQICGKCYIDMSTVDPDTSCKISEAITSKGGHFLEAPVSGSKQPAETGQLVILAAGEKALYEEAIPAFNVLGKKSFFLGQVGNGAKMKLVVNVIMGSMMNAFSEGLVLADRSGLNPHDLLDVLDLGAIANPMFRGKGPAMLQDNYSPAFPLKHQQKDMRLALALGDENAVSMPVAAAANEAFKKARSMGLEDLDFSAVFETMKILKHKS >OMO96862 pep supercontig:CCACVL1_1.0:contig07365:38270:45448:-1 gene:CCACVL1_04755 transcript:OMO96862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFPGLLAKDFGIKPQGKSAPMAPPRNPSSGSNFSSVPNYGFRSDFNRSSSGNAKSSSNSIFGDHDDGLMFNDVFGGPPKYSSESRATTTQSSSFDYNSIFKESKPPVFDKPVYDEDIFDGLPGVKSSSTPTAAKYDDIFASISGSPPPPKHKSMNSSPFDDLIGNLGKKETETKAKSERVRPGEDLPVFDDLLPGFGRSSSPAPARSTKEASQSQKPASNSIKTSSNLMEDPFVVLESTSVPADSSSGLFTDPLEEISKLNGSGKSRGDSSSGSGGVFDDVDPLDDLGKSVPPVSPDSNKRGKDRSPLRTASGPQNPASKEPSDDDYEYHTKKRAPSVDGFPETVFDMPNVSTDFHSSVGRTTSPPPYSNVSSNETASQVNTTPRSEENFDTSGDVWLTVSEIPLFTQPTSAPPPSRPPPPRPPRLSKKKVNDSSFPNSTQYSHSSQSARAATRSSVSSPFDELEDFAMGRGGNNVEQSEVFPGDDFDTNSVAAAAMKEAMDRAEAKFRHAKEMRERENFKVARSREAGQMDKDERPMQDVFDRELREKQERIERERQQREREEEEREKRRRELEREREEKEREQRRLEKEREQVREMEREREKARQAVERATREARERAAAEARARAERAAVGKAAAEARERAERAAVQRAQAEARERAAQDARERAEKAAAEAREREARERSATARNEAEAARLRAERAAVERAAAEARERAAVEARERAAAAARASQQKNDNDLESFFSAGRPSSAPRPRANSSDPVFDGQNKGGPEGARRTSVGPSSNMRKASSTANIVDDLSSIFGAAASSSGEFQEVEGETEERRRARLERHQRTQERAAKALAEKNERDLQVQREQAERHRIAETLDVEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYVAEKVFDLLKGMAYGSTASNAAKVPEG >OMO99378 pep supercontig:CCACVL1_1.0:contig06905:119:5836:-1 gene:CCACVL1_03826 transcript:OMO99378 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MALRGHENDKEHADPPENQEMFTFMANLQAMMQANEAMMRDLKIDLERKMESQRTQTEDNLRQYLREIIPAQGGIDVAMDDVEVPPPPLGVDNIQERQDPILPIEEVADNVNEVNRDEVAAYVPPRVRGNGAGNGHHGNHNGHGHNGGRNGGNGNANGTSSDLSTVEHLGRFPIQCGEANTGFQKLRLFPNSLTGVAFTWYINLPPNSVTTWEEMERLFHTQFYKTEPEISMVDLSRLSQRKGESAEDYIARFKKMRNRCRIALPEQEFVRLAQNGLDLELRKKFEGMEFRDYYEMSTKVARYERLLHEEMERRSSSYGTYYHEPNYELGVAEIKTDRPIECPALTSDVFDYLYKSGMVKLPPGHNIPYLEIIKGKEYCKYHDSWRHSTNSCTVFRNIVQEKIERGILKFPEPSKKDMGVDKNPFPATVNMVAINFPKDGRLTKEEKAKEVLEEGQAAFMKKKLVVHFDQEPCDKPKKQRYGYANSHKIDFVPNQIGRPIPRPLALNMTPRRKMELTPPTARHVISRAENYLPNKNVPQKLNFDHDQGRSMHNEKPKNQVAYASTKETCFANPKPKQTAPHPMVPSTSKAIPSNLFVLNENVKFPTKPRSKCNDNIDGAEEGNSNDVHPMLKVTHDMSFEQLVVARLEPLGAKVVLEQARLDEREAKIKRKEVELDIRTNVLADMIIALNKARDEFNRQKNGDVDQDKVDNKEDDVNVVDLVNALCENTSDDFLAKSEDSITFGQFTINLHCNVLTLPCIFAAKVDEEMDLSEPLPNGDAEDEILLPCKSEKCSGGKDNEAKAITFLKPNENATRHIKPLYIKAHFDGIPLNRILVDNGAAVNLLPYASLRKLGKCADDLIKSDVTVSDYFGAVSKTRGILPTSLTVGSETSVSALFVVDSSTYHALLDKDWIHSNWCVPSSLHQFLMFWNDNEVEIVHADNKPFKVESNAVEARYYDESVGTIWFLGQDKYGRPMPMVSTYTLEKRIQSLEDLAAPVANAVYQNQNKIQDEITIQELDHAPMKLDDLKAEVQDPLLEIDLGTDGKHRPPYISQLLAEEDKLRIVALLKEYKDCFAWDYDEMPGLSRDLVEHRLPIIEGYRPYQHPPRRMANNVILLVKEEIERLIKVDSSVLRATPKDQYPMPVADVLVDGAAQDKILSFMDGHSGYNQIFIVEEDVSKTAFRCPGAIGTFEWVVMPFDLKNARATYQRAMNAIFHDMIGKFMEVYIDDVVVKSHNSLNHINHLRRSFERMRHHGLKMNPLKCAFGVSAGNFLGFLVHQRGIEIDQNKARAIMQAQPPTNKKELQRFLGQINFLKRFIANTAGKTKAFSPLLKLKDGEEFVWREEHQVAFEAIKKYLAMPPVLVPPRKEKPLYLYVSATLSSIGCLLAQEVERGKEQVVYYLSQTLTDVENRYSPIEKLCLSLYFAAIKLRHYMLYYNVCIIAKTDIVKYMLTRPILRGRLGKWCFALLEFSFRYIPQKAIKGQAVADFSADHPCLDLGEDFEEAMEVMEISTLPWILEFDGSSTSESNGAGIVITSP >OMO99379 pep supercontig:CCACVL1_1.0:contig06905:13940:14505:-1 gene:CCACVL1_03827 transcript:OMO99379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLTAFKRSNRKPIRRRDAKVENDLKSNDRYAPLDGSLVPSISPTSPDVPIVNDPLWTSPAQEGPTP >OMO99380 pep supercontig:CCACVL1_1.0:contig06905:18082:22573:1 gene:CCACVL1_03828 transcript:OMO99380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVESSLEELLEGKKRVGNPLVPVGGFNKKNAEI >OMO99381 pep supercontig:CCACVL1_1.0:contig06905:22911:23042:-1 gene:CCACVL1_03829 transcript:OMO99381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAGERGSWERVRDQDSSPTTSPPPLSFFYPMKTPFPRKSQF >OMO65955 pep supercontig:CCACVL1_1.0:contig12600:17553:18263:1 gene:CCACVL1_21332 transcript:OMO65955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDFWSHLLAILACFVGLLVLFYKLWKQGISGHQVSDEGRVLAPEASGSLPFIGHMHLLGGQRQRTLAQTLGAMADKYGPIFTIRLGVFRAVIVSNHEAIKECFTTNDRVLANRPRSNAGTYLGYDHAGFGFAPYGEYWREMRKPAMIELLSTRRLETLKHVQVSEVDALIKDLYLFCKKNEQSYNQEGNKMVISHKFEALALNTIITLGEEPGPVWVQGPPSDPIYMSDTNPNPKV >OMO53413 pep supercontig:CCACVL1_1.0:contig15205:34640:53520:-1 gene:CCACVL1_28651 transcript:OMO53413 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAKHNLQFTFLALIISLLLFQLQHVLSASPCRSFCGNIAINYPFGVDDGCGAPQYREMLNCTNDLFFITPSGSYKVQSIDYDKKTMVVYDPAMSTCSILQPHHDFIMTDIQSVIIPPTSDTVFALLNCSIDSPVLNHYKNLCFNFSGHSCDELYGACNAFRIFHSLTNSTPPCCFTGYDTVRYMSLNILDCTHYTTVINTDNLKGVGPLDWVYGIKLSYSVPDTGCERCTRSGGSCGYDTEIGGMMCLCSASFNATRQCDENEFEHSSTSSHFPPPRTPLNTIPDPSQYLKETLIHHDEHDLEFRDKSDSSKTINYKTPRLTTRQGKRHSEPNSAHTTPARTAPRFSLGGGPGPCVPTRFPPAIEGRSGGVSSSSSSRLPRGISIADTSTYSIEAPYFDLIEDPSFWRDRNVQVLIRIRPLSATEKVSQGNGRCLKQESAQTLVWLGHPETRFTFDNVACEAISQEKLFRVAGLPMVENCMSGYNSCMFAYGQTGSGKTYTMMGEINEVDGELNEDCGITPRVFEYLFSRIRMEEESRKDEKLRFSCKCSFLEIYNEQITDLLEPSSTNLLLREDLKKGVYVENLTEYYVQTVDDVLKLLLQGAANRRIAATNMNSESSRSHSVFTCIIESHWDKDSMTHFRFARLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAQGKHRHVPYRDSRLTFLLQDSLGGNSKTTIIANVSPSICAANETLSTLKFAQRAKLIQNNAKVNEDASGDVNALQRQIQQLKGQLFSLLKNSPNSPNSSMPSFSGSTMGDYSGKNEYTGENVADCRIQNIHKKQMKRIEAALAGSLRREKMAETTVQKLEAEIEQMNRLICQREEDVQRIKMMLRFREEKIKNLDLLATGLVSTEEYLMEENRALKEEVRLLQTKIDRNPELTRFALENIRLLEQLQIYQNFYDHGERVTLLGEVSELRNQLLEVLEGKHRFSSRYENQDRNTAKELEDCRNMNSKLMREVEELQMELRKYLNCSQAATASVETISVCSDSGDETASFSQRDDVGLENQHEQSISVDPVMQHSVTQKELIDARLLIKAMETEHINLFEELQHLQEENRKYMEIMCNKEKLENESIHKLEFQCLETDQLASNNEGLGMESEHIDQKDLQDKLDKLTKDLDNARLLNCQYQQVQASQLSCQHEADLVREQVETETARTILHLQEEVASLQLELNERIASITQENMRLRDMIKAREQEAKSICMEWERATLELTSFLLDGSKSLKDASRQIENIACSFPEINVWVGEHVERAAKVCIDKEERILLLQRSLEDAQRMIVEMEMKLSSLKGAAIALNEIQEPSADAKTEAAAELSMLVSNQLTMAEEQVDAAFSVAKSLVNHNEAAHVDHAEVDIPILTLATSQEKQSDVTLDDLKAEVELAKLAILESDIVISKSYEDAEVHLSTLQTDIFEASSDYKELLQDLLRQILDMRSKIFELEQSCHSFQFSKIKWQSVGATKCQKCHLLHQIKDELAQGNEILKLIKQCIETKATMHAFLSNDEDAIENDSWSSDSSISSSEFSIESSALGNHLSGSCCHRKITELMDDTKVEEVPPESDLNLLEKLVTFDPRKELWKALDVFHKLYVWLTAILNENDIGECSHTKGLPSFGLTMQIDETGSTSTVEALADDINPAKSFFKKFEEARATMEEADYMLNALLKENENTKVLNSILGQASEELIVEKSNLIDEVEKLRYSISLKERENGLLQDQIHSTLVETANSISLLEECFQQMQKQIEDKFKVLHSDVLSLMQEMLFCISNSRSSVEDVCSEMIEKELLLFILHQCYFGDVTRQPLTFRNEVYSVLNTSAKSRFICQSENVVYHKKSIDEEDESKQLKHLEKRETHLSDNNDLIDENLSLKKELRRKETLLEGLLFDLHLLQESASNSMEIKDENEKLMLALKQVRHELEMRRNQVDDLLAQHSKLEVRLSDAENALLISNSNLDQAKETIDSLLDQSTEMKMLLEDLYLKKAEAEEQLEEQKEVVKGLEKEILHLNYSVEKDLLSSVEGIEEDLRKVTSERDELREEIFSLNDKLEMARSLADENEAIAVEARQESEASKIYAEQKEEEVKILEHSVEELESTINVLEKKVYELDEEVERHRLIRNSLEHELQALRDRLSKVDNFAVVNSVSSNAEMDEELISRQMHNTLLEPHEAHDRIRTLEKERTELRIEIKQLKEHISELVLHSEAQASQYQQKYKSLEAMVREVKTDLSSSTATAPVSEKTEKTSIRSRGSSSPFRCIASLVQQMNSEKDQEMSIARHRIEELEAVSVSRQKEICMLKTRLAAAESMTHDVIRDLLGVKLDMTNYANLIDQHQVQKLVEEAQQQAEEFLAKEQEILDLRKKVNNLMEEKESCLSEVSKKDADMLAAQLAVEQLQQRDQLLSAQNEMLKMDKTNLIKRVAELDELIKKLEGTSTSNQKQKHQASEMKENGSLNLGGIDFPKRLAHSQRVLYRVNNELAQFRKAHGHGRQLQHDKTLIEFVLHPLSATWRQPGIASNICMFATMSGAKEDFSVKNINPKIGGRASGANQNLTSSYDLVEQMQFLFVRIVKARDLPLHPYSGLCDPYVEVRVGNYVGTTMYLDRKPDLEWNQVFALTRDRMQSPSVEIFVREKQLMIHENIIGQVTVNSPDIPLRLTPDSAFAPQWYRLQEGTGELMVAVWFGTQADEAFPDAWHFDTSTVSGESLPNTRSKVYLLPTLWYLRVHIIQAQDLVPGTKNRKPEVYVKVNLGDVTMRTKVSPNKSVDPKWNEELMFVAEEPFIHPLVFSVEDRLGNHMEECLGKCKINLSQVEQRIEPKPAGIKWYNLEKVLLGDDGVNKEVAFSSKLNMKISLDGGYHVFDEPIHYSSDYRSTMKKGWKPVIGVLELEIIGASGLQPMKLRNGYETTDAYCVAKYGPKWIKTRTVANTFSPKWNEQYTWEVYDLSTVLTIGVFDDSHLQGGEVLGSGKDPSIGKVRIRVSTLSYDTIYKRSYPLVILQPNAVKKMGEIHLVVRFTCSSFDNLVKAYCLNPLFPKFHYNFPLSMLQLDALRSQANRILCKSLSRSEPPIRKEVVEDMLSGGSQMWSLRKCKANLLRLVATLNWVLTAWKWLTKISRWENWQQTFVFLICYSVLVTFPGLILFLVKIILFVLVPVWLYKRPPKHNNCHVDVKLSLLNSATADELDEEFDSFPSSREADVLRMRYDRLRNIAGRVICTMGDLANQADKVYSLLNWHDRRITSMFLISCLLASIVLFFLVRHAPSDRVIKGLFHCVTVLLVSRHPAIRKDIPIVPLNVLSRLPTQADNML >OMO53420 pep supercontig:CCACVL1_1.0:contig15205:89931:93847:1 gene:CCACVL1_28658 transcript:OMO53420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bicarbonate transporter, eukaryotic MEESFVPFRGIKNDLQGRLKCYKQDWTGGFRAGFRILAPTTYIFFASAIPVISFGEQLERDTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKSRPDLGSELFLAWTGWVCVWTAILLFLLAILGACSIINRFTRLAGELFGLLIAMLFMQEAIKGLVHEFRIPERENPKLLEFQPSWRFANGMFALVLSFGLLLTALRSRKARSWRYGSGSLRGFIADYGVPLMVLVWTAISYIPAGNIPKGIPRRLFSPNPWSPGAYENWTVMKDMLKVPVLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFSLRKPPSFHYDLLLLGFLLLRNRLVATARQCMHKNASLGQVYESMQEAYQQMQTPLIYQEPSSRGLKELKESTIQMASNMGNINAPVDETVFDVEKEIDDLLPVEVKEQRLSNLLQATLVGGCVAAMPLIKKIPSSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHATFVETVPFKTIAVFTIFQTAYLVVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKFFKGAHLQDLDAAEYEESPAVPFNQLQEGELVRTASFADDGEILDGMITRSRGEIRRMSSLKLTSSTATPCKEFQSPRFSDKAYSPRVSQLSLRGDLSPASVGRGPFSPRTNEVKLSNLGKTG >OMO53407 pep supercontig:CCACVL1_1.0:contig15205:2840:4742:-1 gene:CCACVL1_28645 transcript:OMO53407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASLARRKGYLLSRNLSNSPADALKYSFSLSNFSRGFASGSEENDVIVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKHSFASHGVKVSSVEVDLPAMLAQKDKAVSNLTRGIEGLFKKNKVNYVKGYGKFISPSEVSVDTLEGGNTVVKGKNIIVATGSDVKSLPGITIDEKRIVSSTGALALTEVPKKLIVIGAGYIGLEMGSVWGRLGSEVTVVEFAPDIVPTMDAEVRKQFQRSLEKQKMKFMLKTKVVGVDTTGNGVKLTVEPAAGGEQTTLEADVVLVSAGRVPFTAGLGLDKIGVETDKGGRILVNERFATNVPGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKHGHVDYDKVPGVVYTHPEVASVGKTEEQVKALGIAYRVGKFPFLANSRAKAIDDAEGIVKILADKESDKILGVHIMAPNAGELIHEAVLAINYDASSEDIARVCHAHPTMSEALKEAAMATYDKPIHI >OMO53408 pep supercontig:CCACVL1_1.0:contig15205:5994:7585:-1 gene:CCACVL1_28646 transcript:OMO53408 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MIVAEADLIYRHQVVDLTAISTQVSRFDSVADCAEIIGDAVLESSAVKFVPRIRSGSYADIGPRRSMDDEHIRIDDLSSHLGSIFKPSMPSAFYGVFDGHGGPDAATYIKRNAMKLFLEDFDLPQVSDIDAVFLKELEDSHRKAFLLADLALAAEESISSSCGTTVLTALVLGRHLLVANAGDCRAVLCRKGVAVDLSQDHRPSFLPERKRVEELGGYIDDGYLNGCLSVTRALGDWDLKFPLGSSSPLIAEPDVRQIVLTEDDEFLIIGCDGIWDVMSSQFAVSHVRRSLRRHDDPEECARELVSEATRLNSSDNLTAIVICLSSPACVESSPPQRRRFRFCNLSEEARNRLKSLLEGN >OMO53422 pep supercontig:CCACVL1_1.0:contig15205:105452:139540:1 gene:CCACVL1_28660 transcript:OMO53422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAHVLHLLRRYLGEYVHGLSLETLRISVWKGDVVLKDLKLKAEALNSLNLPVTVKAGFVGTITLKVPWKSLGKEPVIVLIDRVFVLAQPAPDGRTLKEEDREKLFEAKLQQIEEAESATLEAISGSKLGSPPPGNSWLGSLIATIIGNLKISISNVHIRYEDCVSNPGHPFACGVSLAKLAAVTMDEQGNETFDTSGALDKLRKSLQLERLAMYHDSDSLPWNVDKKWEDLSPKEWIEVFEDGISESAANCELVSKWAMNRNYLVSPINGVLKYHRLGNQERNDPDIPFEKAALVLSDVSLSITEAQYHDWIKLLEVFSRYRTYLEISHLRPAVPVSKESYILWWRYASQAALQQRKMCYRFSWDRIRHMCQLRRRYIHLYASSLQQSSNVVNSEIRDIEKDLDSKVILLWRLLAHAKVESVKSKEAAEQRRLQKKSWFSRWRTNSEDASAEDGLDGSQLTEGRLSKEEWQALNKLLSYQPDEELMSHSGKDMQNMIRLLITVSVSQAAARIININKTEIVCGRFEQLHVSAKFKNRSTHCDVRLRFYGLSAPEGSLAQSVCSEQKVNALTASFVQSPVGENVDWKLSATISPCHVTVLRESFDRFSEFVKRSNAVSPTIALETATVLQTKIEKVTRRAQEQFQTVLEEQSRFALDIDLDAPKVRIPLRTHGSSKCDSHFLLDFGHFTLHTMESQSDEQRQNLYSRFYISGRDIAAFFTDCGSDCQNCTLVKPNSDDQSVLMSPRFEKNDNFYSLVDRCGMAVVVDQIKVPHPSYPSTRVSVQVPNLHIHFSPVRYCKLMELVDILHVAMDPCVQPGGVDFQAGLASWSSADLATDAKILVWRGIGNSVASWQPCFVVLSGFYLYVLESEKSQNHQRYLSMAGRQVHEVPSANIGGSPYCIAVSSRGMDTQKALESSGTWVIEFQGEEEKVIWLRGLIQATYQASAPPSMDVLGETSDGINESDDPQTINSKAADLVINGAVLETKLSLYGKTGEGVAGKLEELLILEVLASGGKVKIISWESDLLVKTKLHSLKIKDELQGRLSGNEQYLACSVLKNDSSLESHRSCDPHENEMSAVHPDDDDTFTDALQEFISLTESDALSQYMDMRDASGFESAEMLIQEKDLIQGKGLSGEIFYEAQGGDDLDFVSVTFSTRGSSSPVYDGIDTQMSIRMSKLEFFCNRPTLVALIGFGFDLSSSSCRASVTEVNEALDDKSSMSKEKAEESGRIEGLLGYGKARVVFHLNMNVDSVTVFLNKEDGSQLAMFVQESFQLDLKVHPASLSIEGTLGNLRLRDMSLGTDNCLGWLCDIRNPGVESLIKFRFNSYSAGDDDYEGYDYSLFGRLSAVRIVFLYRFVQEITVYFMELATPHTEEVIKLVDKVGDFEWLIQKSEIDGAAALKLDLTLDTPIIIVPRNSMSKDFIQLDVGLLKITNEISWHGVPEKDPSAVHLDVLHAEIIGVNMSVGIDGCIGKPLIRESRGLDVYVRRSLRDVFRKVPTFCLEVKVGFLHGVMSNKEYGVILNCTYMNLNETPRLPPSFRGSKSGSKDTMRLLVDKVNMNSQMLLSRSVTIVAVEVNCALLELRNGIHEESPLARIALEGLWVSYRMTSLSETDLYVTIPTFSVLDIRPNTKPEMRLMLGSSVDASKQASTGNLPLFINKSSFSKVNFEAGLDMDVPVSTMFLMDYRWRLSSQSFVLRVQQPRVLVVPDFLLALGEFFVPALGAITGREETMDPKNDPISKNNSIVLSDSIYKQNEDVVYLSPSRQLIADTHGIDEYTYDGCGKTILLSEEIDKKELHSERFRPIVIIGRGKRLRFVNVKIENGSLLRKYTYLSNDSSYSVSQEDGVDISLMDKSSSDDEKKIVENLDKLMNSPKTDSYLQDDPKVVQSFTFEAQVVAPEFTFFDGTKSYLDDTSSCEKLLRAKMDLNFMYASKGNDIWIRALVKDLTIEAGSGLIILDPLDISGGFTSIKEKTNMSLISTDICFRLSLSAISLLLNLQNQAAAALQFGNAIPLAPCTNFDRIWVSPKENGSHNNLTIWRPQAPSNYVILGDCVTSRPIPPSQAVLAVSNTYGRVRKPVGFNLIGFFSHVLGFGVDGHTDVDSDCSLWMPVAPPGYISMGCVANIGKHPPPTHTVYCLRSDLVTSTTCSECMLNVPSNQQFNSGFSIWRLDNVLGSFYAHSSTECPPKENSSDLSHLLLWNSVWSYAFLKESVPVDNDHASQQMDNQGVGSSGLDILRSISKATSCYVSTPQFERMWWDKGGDLRRPVSIWRPISRRGYAIVGDCITEGLEPPALGIIFKSDDPEISAKPVQFTKVAHITGKGFDEVFFWYPIAPPGYASLGCIVSRTDEAPCMDLVCCPRMDLVNPTNILELPISRSSSSKASQCWSIWKVENQACTFLARSDIKKPSTRLAYTIGDSVKPKTRENVTAEIKLRYFSLTVLDSLHGMMTPLFDMTITNIKLATHGRLEAMNAVLISSMAASTFNTQLEAWEPLVEPFDGIFKFETYDTNVDGPSKLGKRMRIAATNIVNINVSAANLDTLVETILSWRRQLELEQKATKLIEDAGGPPGHEDLTFSALDEEDLQTVIVENKLGNDMFLKRIEQNSELVDQLQHGDAASVWIPPARFSDRLNVAEGSREERYFVAVQILVAKDLPIIDDGNSYNFFCALRLVIDSQATDQQKLFPQSARTKCVKPLISDTENPNKGNAKWNELFIFEVPRKGVAKLEVEVTNLSAKAGKGEVVGALSFRVGHGASLKKVSSARMLSQKHDVQNIESYPLRRKTNSVEDMLDSGYLFVSTSYFERITTADFLRNAESKDASDKDIGFWVKLGTEDSWESIRSLLPVSVVPKSLQSEFIAMEVVMKNGKKHCIFRGLATVVNDSDVNLDISVCHFSMIHDSGSSSHNIMVEEIFENQRYQPITGWGNTWSAFHGNDPGRWSTKDFSYSSKDFFEPPLPNGWKWISTWTVDKSQFVDEDGWAYGPDYQSLRWPLTSLKSHMKSGHDVRRRRWIRTRQQISEQGKCCINSDFTTIRPRCSTVLPWESTSRESDQCLRVRPGVDYPQPPYAWGHTISAAVSSSFAGVKDQPFLDQSSLYRQSNLPQGSKMPNFALKLDQLEKKDVLLCCCPSVGGRQIWLSVGADATALHTELNQPVYDWKISVNSPLKLENRLSCPAEFTIWEKAKEGNYVERDHGIISSRKSAHIYSVDVQRPIYLTFFVQGGWVLEKDPVLILDLSSNAHTSSFWMFHQQSKRRLRVSIERDMGGTSAAPKTIRFFVPYWIINDSSLPLAYQVVEIEGSDTAEMDSHSLSRAVKSARTVLRTSSYSMERRNSGLRRNIQVLEAIEDTSPIASMLSPQDSAGRSGVMLFPSQKDTYVSPRVGIAVAIRNSEIFSPGISLLELEKKERVDVKAFNYDGSYYKLSALVNMTSDRTKVIHLQPQMLFINRMGFSLCLQQCDSDRVEWIHPTDPPKPFGWQSSSKVELLKLRVDGYKWSTPFSVSSEGVMRISLKNDTGSYQLLVKVEVRSGTKSSRYEVVFRPNSSSSPYRIENRSIFLPLRCRQVDGTSDSWHFLLPNTAVSFLWEDLGRRHLLEILADGTDPSRSQKYNIDEVFDHQPIDVIGPARALRVTILKEEKVNVVRISDWMPENEPTAIIKQSVPSSSEFSRNESQQQQLQSTSECEFHVIVELAELGVSIIDHTPEEILYMSVQNLHLAYSTGLGSGFSRFKLRMRGIQMDNQLPLTPTPVLFRPQRIGNERDYMLKISVTLLTNGSMDLCVYPYIGLHGPDNSAFLINIHEPIIWRIHEMIQQVNLSRLYDNSKTTAVSVDPVIQIGVLNISEFRLKVSMTMSPSQRPRGVLGFWSSLMTALGNTENMTVRINQRFHENVCMRQSTMISNAISNVKKDLLGQPLQLLSGLDILGNASSALGHMSKGVAALSMDKKFIQSRQRQEKGVEDLGDVIREGGGALAKGLFRGVTGILTKPLEGAKSSGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAIASDDQLLRRRLPRVISGDNLLRPYDDYKAQGQVILQLAESGSFFGQVDLFKVRGKFALSDAYEDHFLLPKGKILMVTHRRIILLQQTSHITQRKFNPARDPCSVLWDVMWDDLATMELTQGKKDQPKAPPSRLILYLKSRATDAKEQVRIIKCSRDTRQALEVYSSVERAMNTYGPNLCKELLKKKVTKPYSPVTDGSAVEMIAKEGAAYTWSPSTGMLRMGNFVAEAINSSLSPVHRIESFICSNRGFHSTGVKRMGGGHAHGHDEPYYLHAKHMYNLDRMKHQKLKMSLGVFTAFSIGVVVPVYAVVFQQKKTASA >OMO53418 pep supercontig:CCACVL1_1.0:contig15205:77980:80620:1 gene:CCACVL1_28656 transcript:OMO53418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQEGAPEFVVDQGMFYPTATNYGYYCTGFESPVEWDDHQNIFSADGPDVQYAGAQTETLPYVYYTPGYGYAQSPYNPYNPYIPGAVMGDGSFIGAQHFYTVPPYQNPMSPSAYVPVLFQSDGIRNSPTDSADARASIANRPDGRGAKHNLASASAAYSRNNSKSVSNHTDTLTRVLDGQSKQSAIHGSIPDSSGPASARAHQGRIASGSVQPIGNIPGGKHPSQRNQLKTDFSVGNDFSEYGSSATGRGALDRLRPKFHVGRVLNDAHGFTDTLGEQNRGPRTNRLKNQIMVKAYTTKAGNSDAEGNIIIYTDQYNKDDFPIDYVDAKFYVIKSYSEDDVHKSIKYNVWSSTPHGNKKLESAFEDAQKIAAGKPSGCPIFLFFSVNASGQFCGVAEMIGPVDFRKDMDFWQQDKWSGSFPVKWHIIKDVPNSHFRHIILENNENKPVTNSRDTQEIMFKQGLEMLKVFKNHTMKTSLLDDFMYYENRQKIMQEEKGRLLVKSFESPVLAATLDPANKSNYVELLLHENEKTSKHSDPDVLKRSVPSSSQQQVYADSDTSKAIRMNENTESNAVEAIDDASALKIGSLTINPKQGELKSCADGALKTDSTEVLTVGSMPVKVNGYNESSGFLTVGSIPLNPKSLQVDEGGKKGAEGCD >OMO53411 pep supercontig:CCACVL1_1.0:contig15205:18853:28510:-1 gene:CCACVL1_28649 transcript:OMO53411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRAVYEPNEYPSTMQRLYQWTPDECIPEFYCDPRIFYSQHSGMTDLAVPSWAGCPEEFIKLHREALESNRISSQIHHWIDITFGYKMSGEAAIAAKNVMLSSSESTKPRSIGRRQLFSKPHPARRGATQETSDSSKESVVCQHQENEMANEKSFVYKTASLQELEEASLFSEHARHLSPLYFFDKENSLKQSSSFNESQSEDMEKCASNPHDISNCFGFPSGVDLNYLLEHMETRDDDSTGYQELLLWRQKSYLSRTFSEDAAKDIFSMGCLLAELYLRRPLFDSTSLAVYLERGVLPGLMQELPPHAKVLVEACIEKEWMRRPSAKSLLESPYFPSTVKSVYLFTAPLQLIAKDGSRLHYAANFVKQGALKAMGTLAAEMCAPYCLPLAVAPLSDAEAEWAYILLKEFIKCLTPKAVKATVLPAIQKILQAIYSHLKVSLLQDSFVRDVWNQIGKQAYLETIHPLVISNLYNSPHKSSAAAASVLLICSSEELGVPITVHQTILPLIHCFGKGLCPDGIDVLVRIGGLLGETFIVRQMLPLLNYVARSCIDVSCMNKPEPVHSWSGLALIDSLITLDGLVAFLPREVVVKHLIEDKSCLHVLALMQANLEITVLQVAATTLLTICQRIGPELTALHVLPQLKELFDELAFSQESLSGSGSLGKSLKVSKSKVNEEFQIESRMDLVLLLYPSFASLLGIEKLRQCCATWLLLEQFLLRFHNWKWEYTGESSRSGSENVAAKVPALSKKSITDYSPAKLLLNGVGWSIPQSQGVRGTKNLMPQRHTPNAHQGSAQIHETASHHLKSEPWFWFPSPAASWDGSDLLGRFGCPKDEFPWKIRAYVLSSVRAHQGALRSLAVCQDENTVFTAGIGPGFKGTVQKWDLTRINCVSGYYGHEEVVNDICLLSSSGRIASCDGTIHVWNSQTGKLISIFSEPSTDSLHLASPTSSQLKVGADHVDMLNSNTLSSAVLTSPFDGSLYTCMHYLEPVEKLVVGTGHGSLRFIDVSQGRKLHLWSGESNESAFPSLVSSICSCGSDKEQGNGGSASPRWIAAGLSSGHCRLFDMRSGNVIAHWRGHDGYVTKLGAPEEHLLVSSSLDRTLKIWDLRRNIPMPITYRGHSDGVSGFSVWGQDVISISRNKIGLSSLAKCGDEIYAWIGCNSAKLGHLYAAAPTPPTNSVSVTSILGGASDNTGSGIARRLVLKTGLNVIVACNIPKDSPMLEANAEKKLVEKLIALGYSRKIQLYPQGRRHGTGSHISLYLSLADVASLTATKIFADFTLRIQDQQHGRHIAGKVSHWFSASSHESGWAKFASLGYFHHSGTGCLVKDTCIVEAELTVHALATTLS >OMO53415 pep supercontig:CCACVL1_1.0:contig15205:57635:64820:1 gene:CCACVL1_28653 transcript:OMO53415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTQIAQLLNQTLSPDGDVVRTSTEALDRLSSLPHFPFALLSIAARGQNPGQRIAASTYLKNFARRNIEVHDDSSSKVSKEFRDQFMRTLLQADPSVLKVLVEAFRIIVVAEFVKQNSWPELVPELRSAIQSSNVISNGANCEWSTINALTVLHALVRPFQYFLNPKVAKEPVPLQLELIAKEILAPLLTVFHHLVEKATAPHGKKELETEKILLLISKCLYFTVRSYMPSAVAPLLPSFCHSLIQILSSLSLNNGDTSEDEHLLRLKTGKRALLIFCSLTTRHRKYSDKLMPDIVNCVLKIVKCSSNVSKLDFLSERIISLAFDVVSHVLETGPGWRLVSPHFSFLLESAIFPALVLNEKDISEWEDDAEEYIRKNLPSELEEISGWREDLFTARKSAINLLGVISMSKGPSVGNSKDASSALSKRKKGEKNKRNKQPSIGELLVLPFLSKFPIPSDATASDPRILRDYFGVLMAYGGLQDFLREQKPPCTTTLIHTRVLPLYSVSVCPPYLVAAASWVLGELASCLPEEMSADIYSSLLKALAMPDREDTSCYPVRVAAAGAIAGLLENEYLPPEWLPLLQIVIGRIGNEDEDDVILFQLLNSVVEAGNENIAVHIPHIVSLLVNAISKSMHPNLEPWPHVVVRGFEALAVIAQSWETFMLQEVEQDDSDEKQASGQAAIARALSALLQQAWIAVPLDAEASPPPSCVDHSSTLLRSIILSVSGSNVIAELKLSELLLVWADLISDWHAWEEAEDISVFECIKEVVSLHSKYGLKNFIVRDMPLAPAPPVPKRSIIEAISSLVSEAILQYPSATWRACSCVHILLHVPNYSCETECVRQSLAVVFSQAAFSRFRGVRSKLCSLWKPLLLAIGSCYLCYPDTVETVLEKEEDGGFSTWAAALAFACARPSEFGLSAKSEIKLMMMTLAKIVERLGVSNPSSSLFRDCFTSLMELSVQMKELDEEMEEENDEESEDDDDEDDDILDDDEESESEHEETEEEFLERYAEAASALENGIVEEGDVEEVDLDIELGNLEEVDEERMVLSLIGRYQNTLSQGQALSSQLVSKFINAFPDSASLFRQSM >OMO53412 pep supercontig:CCACVL1_1.0:contig15205:31533:34023:1 gene:CCACVL1_28650 transcript:OMO53412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MTLGTPTTTQAYGEPWYWDNRYAQEAAPFDWYQKYPALAPLLHLYVPHRHHRLLVIGCGNSVFSEDMVKDGYQDIVNVDISSVVIQAMQSKYSDSQHLKYIEMDVRDMTPFQPDSFDAVIDKGTLDSILCGNNSRQNATQMLKEVWRVLKDKGVYILITYGAPVYRLGLLKESCKWSIKLHVIAKFGAEGSSEQPNLDLTNPIPLDEGGSSVEDALGKNPDVHYIYVCIKHLVFKLLKEAKQSNEEASDN >OMO53406 pep supercontig:CCACVL1_1.0:contig15205:287:2141:1 gene:CCACVL1_28644 transcript:OMO53406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTNGLDISGNLNENQGTLNESLKEDAKALNSEPPCENAEAKARVEALWEQMNKGIPKSSLRQFSSNNNYSTTKKPSPKPSNNWMKYLQMRPPKATESPGQDRQTDAGVQSAVEDGTSDKAQLKKVDKENPSDKFKPVLDEHNANVDTKVSQKTSNTNISDEAKKLAAAALSAVKDAAAAAAAAANRGKIEVLGFLSTRITEVRDFAGQEIEYRKRIDADSKEAAEKAKAPATSAVDAVLAQIQKKPKLSVLDKTKKDWGEFKEENKGMEEELDAYKKSSNQYLDKVSFLQRTDYREFERERDARLALQARRRPDMREDP >OMO53419 pep supercontig:CCACVL1_1.0:contig15205:81731:86204:1 gene:CCACVL1_28657 transcript:OMO53419 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase DRM2-like protein MSSFSNGKSSSGQQGQNTIVPKPEMLDFDFPDDALYARQHLRDNAASSSGSNVRSFFIGMGFLPSLVDKVIEEKGEDDTDLLLETLINYSDVQKANTQSSEYRDSLLDYKDGGSCPGIPSYIQPKEEPDAFEEFYIDKRASLLMMNFSVNEVDFALDKLGEDTPVNELVDFIAAAQIAEELEKESEDSPSSEEENDQNITNETLFGTMEKTLRLLEMGFSENEVSIAIEKFGSEIPMEELADAIFTGHVRTKSTKLTSAALGGGLIDDTIKVEIEDCGPNVVPLSRNINLGESSRGKRPKEEDFDDFPVSLPRSKQTMHENKQKGKRPKHGYVDDGSSFLDPTWLEEKIGPNVELEMPNAFRSSSCKSLDNMIAKPPYFVYGNVVNASANDWAKISQFLYGIQPELVNAQFYSAVNRKEGYIDNLPSGDRFHILPKSPLTIQDTLPHAKKWWPSWDTRKQFTCVGSEVAGVSNLYDRLGKMIADCRGQLSSDQQKDILRHCQILNLIWVGQDKLGVARPEHWEHILGYPLNHTQAMENNLSQRIQVLKQSFQIDALVASVGLWLLYIGWAST >OMO53423 pep supercontig:CCACVL1_1.0:contig15205:142324:145200:1 gene:CCACVL1_28661 transcript:OMO53423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWYGGVLILLLAITLVFSYSLRVRETRPRKQSAYDFFRNHPAKDSPSPRNENDSIGSPKLPLTKKPELINVERLDDLYGPSPRNISEEESKALILWPHMRLILSRSDALPQTGQGIKEAAIAWKELLAVIEQDKTTQLSPNIRLKMKNCPSSISNLDKTVFNGGDILQLPCGLVEDSSITVIGIPNGRNRSFDIELAGSNFSGETKPPIILHYNVSVAGDNMTQEPFIVQNTWTNELGWGKAERCPAHLSSSNFKVDGLGLCNEQLVRSTAEDNQNVSGDSSSNAPQGSSHASANFPFVEGNPFIATLWVGLEGFHMTVNGRHETSFAYREKLEPWSVSRVKLAGGLDLLSAFAKGLPVPEDHDLVVNSKLLKAPVISRKRLLMLVGVFSTGNNFERRMALRRSWMQFEAVRSGDVAVRFFIGLNKNREVNFELWKEAQAYGDIQFMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDEVISSLKGKASDGLLYGLISFDSSPHRDKDSKWYISEEEWPHSAYPPWAHGPGYIVSRDIAKFIVRGHQERELKLFKLEDVAMGIWIEEFKKGGKQVHYMSDERFYNVGCESNYILAHYQGPRMLLCLWEKLQKEHQPNCCE >OMO53409 pep supercontig:CCACVL1_1.0:contig15205:9048:13112:1 gene:CCACVL1_28647 transcript:OMO53409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLSGLSNLSGSKQQAKIEILRDVSGIIKPGSIYA >OMO53416 pep supercontig:CCACVL1_1.0:contig15205:65535:67008:-1 gene:CCACVL1_28654 transcript:OMO53416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MAAETEALADTEINWARLDKTRFHVIGAILFTAQQALIHPTAVVKTRMQVADSRLGEAHLPATLVFRHILRHDGLPGIFRGFATCAIGSLPGRVLVLTSLEMSKDIILNYTQSLHMPDTTRIALANGVAAMFSTLLSSLYFVPLDVICQRLMAQGLPGASSYNNPFDVARKVIKAQGFRGLYRGFGLTVLTNSPASALWWGAYGAAQRIIWRSLGYSDDAEKKPSHMEMVSVQATAGMVAGACSSVITTPIDTIKTRLQVLDDYGVGTGRPSVVKTTKTLVKEDGWWGLYRGFGPRFLNMSLYGTTMIVTYELIKRLSVKHI >OMO53421 pep supercontig:CCACVL1_1.0:contig15205:98077:100510:-1 gene:CCACVL1_28659 transcript:OMO53421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MDLARGLLLIWVLAILSLDRYSSNVNGRYHYHKKQKEKGSASASPVYAPVPSSPVGDGDAPPPQAQVDPVPSTPADHPSNPPPSVPSDPYPNDPAATDCIFDVTSFGAVGDGSSDDTAAFREAWKAACAVESATILVPSDKEFIITSTIFSGPCKPGLVFQVDGVLMPPDGPDCWPTADSRKQWLVFYRLDDMKLTGNGIIEGNGHKWWELPCKPHRGPNGSTLKGPCDSPAMIRFFMSSNLVVSGLRIQNSPQFHMKFDGCEGVLIEKLSISTPKLSPNTDGIHIENTKSVGIYNSKISNGDDCISIGPGCSNVDIEGVTCGPSHGIRNCIIVDQYYCLSKACLNETSAVYVTDVKYKNIKGTYDVRSTPIHFACSDTVACTNITMAEVELLPHEGELVDDPFCWNAYGIEETLSIPPIGCLQEGMPQTIAETAQYTC >OMO53410 pep supercontig:CCACVL1_1.0:contig15205:16720:17901:-1 gene:CCACVL1_28648 transcript:OMO53410 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthetase METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKANLDYEKIVRDTCRAIGFTSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTQVRKDGTCPWLRPDGKTQVTVEYYNDKGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPDQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMIAINLDLKRGGNARFLKTAAYGHFGRDDPDFTWELVKPLKCDHVQA >OMO53414 pep supercontig:CCACVL1_1.0:contig15205:54698:55626:-1 gene:CCACVL1_28652 transcript:OMO53414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl hydroperoxide reductase subunit C/ Thiol specific antioxidant MPGITIGDTVPNLEVETTHGKLKFHDYFKNGWTILFSHPADFTPVCTTELGKMAAYLPEFEKRAVKLLGLSCDDVKSHNEWIKDVEAYTPGCKVTYPIVADPEREMIKQLNMVDPDEKDSSGNQVPSRALHIVGPDNKIKLSFLYPASTGRNMDEVMRALDSLQNASHHNNKIATPANWKPGDPVVISPSVTNQEANQMFPQGFETQKLPSGKEYLRFTHVN >OMO53417 pep supercontig:CCACVL1_1.0:contig15205:68331:73630:1 gene:CCACVL1_28655 transcript:OMO53417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEAAAAATLGPQYAPDDPTLPKPWKGLIDGSTGLLYYWDPETNITQYERPATLPPPLPPGLPPVVSTPKLTPIPVAHPVQSNGVVAPMGQKQVPQVAQQQGQQMSQLSQPQGQVIGQQQQGSMIGQVSNQQGSQQPSSQTGQAIQQPGQFIPQQNRPQLMQHLNQPKMSQMGPPMPQQPSQHLLQQQGQQPGQLILQQAIHQMPQQLGQQTMQHQNSQLTQPQGHQYANQHLQYMAYQQSSQQPTPCGAQSKQYPDREDYKASDPKRKDGDFQPGNQSRFSPSQFQQMGTSSSQNLSAGTNSVQMPQTGLYMGQAQQFSGSSVSMHQPTSVAPPQQIGSDLVHQQQGHRFQNHMGPVIMQSNMPPSGLKTNYEDNLHGRAGNNYYFNGGKDGPMLGSQQPSRSLMPMDMRVGNLPPQSAIPGHGGGFNSMVGHAMHSVYGHAVPPYSNNALMRPPFVGSTDTASLSPAEAYRKQHEVTATGDNVPPPFIRFEDTGFPPEILREIHSAGFSSPTPIQAQTWPIALQSRDIVAIAKTGSGKTLGYLIPFFILLRQRRYNPQNGPTVLVLAPTRELATQIQEEAMKFGRSSRVSCTCLYGGASKANQLKELDRGADIVVATPGRLNDILEMRKIDFGQVSLLVLDEADRMLDMGFEPQIRKIVNEMPPRRQTLMYTATWPKEVRKIAGDLLVNPVQVNIGSVDELAANKAITQYVEVVPQMEKERRLEQIIQAQERGSKVIIFCSTKRLCDQLARSLGRNFGAVAFHGDKSQKERDWVLSQFRTGKSPILVATDVAARGLDIKDIRVVINFDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAPDLIQVLERANQHVPRQVREIASRGGPAFGKDRSGMSRFNAPTSSGGRWDSGGRGGMRDGGFGSRGGMRDGGFGGRGGMRDGGFSGPGGRGDPFTGRGNRGRGFPGPGGGNVGWGRNERNLHDRFNSFDGRGHGRGRGRFDNRRSSADSRGRSCSRSPERVRTWRYSRSRSRSRSRSRSRSWSRSRSRSRSWSRGRSRSPRRSYSRSPGRTRSQSHSRSHSRSHGRGHSRSRSHSYDRYDKPNEKNLDQKDVARPELGAPRMSGMFPMSPGAHDNSLPENDNSINVKPLQAVESSQLVHEEVETDKVDQSVSEP >OMO66734 pep supercontig:CCACVL1_1.0:contig12524:20068:21640:-1 gene:CCACVL1_21001 transcript:OMO66734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDMKSPEPENSKSFLVDSHLHHENPEWLIENSKGCCLDENSPLQTPEKELGLCKSTQRDASFSSGGGSSDFSLKEGTESSSLSSDSDSESFSSSVNIYSSALSTDNGLEHHKVIELGSELPIMKLQVADEENVDGKLKMRENSYAELTEKLVKCEEELRESNLKLLLAEEEIERLNTELKKSESGAVLSEHLLVQLESLQRETKMREDDLELEKGKVIELQKQVFELEAYAVNLQEQLDTERRQAVNLQEQIVRYSNDLSHRGHEVEELKVALCDAQDNFSLQKESFQSEIFGLLQKEALLEARLKEWELHARLLEDKVRQCEAEKLEIKGLHDTQELGLQGQISQLKAELAEKGMHTETLNKNLDILKLKYDMLMAEKDGVIAKVNTLVAELSSRDLQIGQMDQHLQQLHTEHVQLISGSKHAKNLEDELKLRIKDLEKEVDRQRNVISDVAEEKREVIRQLSFTLEHYRSGYKEFQAFFKHKRHAVMAS >OMO66733 pep supercontig:CCACVL1_1.0:contig12524:12162:15414:-1 gene:CCACVL1_21000 transcript:OMO66733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGVTPKIRLVRCPNCRLVLPEVADVPVYKCGGCETILVAKKRNVVSNSTSVLQETQPAPSNKEVHVSEHGESSSSTPGEVLPSPSEFHMSQESGGNQNISSDYHDENDGANLSIEGEHDDHCEKDQYTSSDSESDCDRLKVNRSRGGRQKVETQASLSNKTAYASEHGESSSSTSQELLPSSSTSHELLPSSSTSQEILSSRSTSQELPPSPPECHWSRESGGNQNIPSDYHDEKHGENLSNEGQNIVHYKKDHYTSSDSDSDSDKLDVNRSKGGQQICNLQQSGVSIEGSLTPEPHHENDELMLLGEGNLEPEANYKMVQLEGENSELETNNKSGSNISGLSIDNPPDKRQISSTVTACAAAGEVNTSDNLVSSPDEHLGQPQKSEHHGFGRVRSTDTFETVDYASPSSELSGPFEYLSKSTTVRSSHAYDGSISSFDGMDDHFHEQQVRSLKNKYNYANYHVPEERHRRDKLPAQGMMNGNFGMRYHATNFSSDLSYEKHYAAKKYSKLHQDELLEPVMHRRPPRNWPRLERDEYPSQVPLSLRSSLRGYGSAGPSRELHDGYPLDSAFHPLEKAEYTEQENIKLLRMVHELQDQISKTCHLNGKPNRRNSTDEPWRQKPFPAYYYQEPHGEENHYPRYHGRQGQRSSYSQQSRFSRIPFSGGAINTRDHIENSCLCCHPQDWQRSEQLRPPILRHNRGLCRAHPGHSCYNSYSSCPSSPQRYMESDYSIWSRETQSDDQRYKDHELKRYLREKHHSVRRHLRPMAGGAPFVTCYHCFRPLQLPADFLLFKRRFHQLRCGACSKVLKFSLQKGMHIVPYEQAAAEPPPSEVGDYGDVINVRSSTPASCSHGCLQADPVSYSDDYGNSFCKSCSTDGDPVSHAQFHDLQGNSGVRNMPYTSSRPMEQSQNIPARTEELPPRTGGSPLHRLMGYSSPSEVIN >OMO67308 pep supercontig:CCACVL1_1.0:contig12448:3725:7232:-1 gene:CCACVL1_20623 transcript:OMO67308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIIQVSDFLSVLDFKGHDSASAPSQISVKVSMGKAEYQTWDKGDFSFPLTTLRDNLTVTLEDAEGNKISHTGVETRLVMEKGVWDDIFPFEGGGHVHMKLQFVLSEEERQKVRIMRESALKKKHEELCNSGHASPKNASVGYKDMSGSQESLLQSGLVANEVTLGSIPLKVFKDGKFDIDNKDGSYSIQKEKSTIDINGKEGNSSSKSKPDSVPSEPEKVNKSRKQGPVGKANSNVMKMISAFEGSVNQDKPSIKPPPSIKPETRKIGVDSFIANPHSNEVDAEKIIPPNATLRRVNTKEFEQRISSNRGKMQTIGSAKSMYASASSKEMESVQAERKLLDLKNRFILKQKESDMKEEKKYSEDFRRASTMEKAAFSRKMLDKHSKSNQSWNSFSKKQHSSKILVTQDGGEETFHKDPPKADGISNGKLKSAATWSNGHCSNRSSGLWIFPGETNCLCITAGGKKIMDLMGGFWDETNTTNQRTGEVDSDDDQSIEQNEDGKTSSQKLRPKLENSMDREGQPIGPFRQVIKVVIMVGFATLVVLTRKKT >OMO67310 pep supercontig:CCACVL1_1.0:contig12448:12645:13937:-1 gene:CCACVL1_20625 transcript:OMO67310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MTSSNCYSSVYPFPIDLNQDHDHQNQLLINLNPQPSNSSSSSCPLFFNPPTVPHDQPAYFSSTRDQPQQDHQAKIIYVPQNEHDEPLDESDVSTGLKFSIWKKEEQVLEQDEELETSRNETAAKWMSSKMRIMRKMMTSSDHADNNSSNSSTRPKFEDQKQPSSSSPDNSCSNSSYNNNNNTIRVCADCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRAMAAAAAAAAANGSINNVAAESTKPSSMKISKVHHKAKRSSNNGLKNKKCKLSNSQSHQGRKKLCFEDLRVILSKNSAFHRVFPQDEKEAAILLMALSYGLVHG >OMO67307 pep supercontig:CCACVL1_1.0:contig12448:166:1537:-1 gene:CCACVL1_20622 transcript:OMO67307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-type MGEERRTMSMELALQREMEYRRKLERLQLFPSVDSGDEILPVQVQPKIGSSSKIIPPPQQLQASKNPNVFCEVCQVPCSGSLNYQQHINGKMHKLKLEASKLARKDGGAGASNSMANEKPYCKLCGVWCSDYDLLRQHLGGKKHKKMQAKLGHGEIAAENRCE >OMO67309 pep supercontig:CCACVL1_1.0:contig12448:7900:9238:-1 gene:CCACVL1_20624 transcript:OMO67309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPPYSSKEARINRFKPIWRILLISNLGLGGIICEVKELSDLPYFGIVKAFDLMAFYFSVLLFDLHKPVNVLWVTTYMFAKARKKNQSIVDNKPTKTGMDDGKSETETETETKTEADNSSPAVTSTPSYEEPLILPLPVVAQPPKVLEPIPEDQQREIFQWILEEKRKVKPKDREERKRIDEEKALLKQFIRAESLPRI >OMO92062 pep supercontig:CCACVL1_1.0:contig08270:36613:36678:1 gene:CCACVL1_06926 transcript:OMO92062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSSVILVSKKSMSLAKCS >OMO95633 pep supercontig:CCACVL1_1.0:contig07638:20493:20974:1 gene:CCACVL1_05341 transcript:OMO95633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIARFSSSPFLAATPVGPKSLPLPRPSQVIVSESLPSSDGY >OMO95631 pep supercontig:CCACVL1_1.0:contig07638:6249:12086:1 gene:CCACVL1_05339 transcript:OMO95631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLKDWREASPSQDSATL >OMO95632 pep supercontig:CCACVL1_1.0:contig07638:13919:19793:1 gene:CCACVL1_05340 transcript:OMO95632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent decarboxylase MDSSPSTASFLIRFRGSANSFLSQFEPLALLLAPFLTLLVARVFHSFLSVTVEKGLKASLIGFFMSSIKLFPGVKSYIDAEKQKVVDKLQSGSKSKRENSRTELPTTGLGVGVIEEMKHEKSKDVDWQGKCSGTVYIGGSESEGHFSLINEACSMFAHTNPLHLDVFQSVARFEAEVVAMTAALLGSKEKASGGQVCGNMTSGGTESILLAVKTSRDFMKMKKGITKPEMIIAESAHSAYDKAAQYFNIKLRRVPVNKEYQADVKAIRKHINRNTILIVGSAPGFPHGIIDPIEDLGKLAHSYGICLHVDLCLGGFVLPFARKLGYPIPSFDFTVMGVTSISVDVHKYGLAPKGTSIVLYRNHDIRKHQFVAVTEWSGGLYVSPTIAGSRPGGLIAGAWAAMMSLGQEGYMEHTRKIMEVSKMIQKGINEIPEFFIIGRPDMTIVAFGSAVLDIFEVNDIMSAKGWHLNALQRPNSLHICVTLQHVPFVDAFIQDLRDAVKTVKENPGPISGGLAPIYGAAGRMPDRGMVQELLVNYMDGTC >OMP11034 pep supercontig:CCACVL1_1.0:contig01665:2285:2350:1 gene:CCACVL1_00714 transcript:OMP11034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPQPYSCKFKNDVRSFAD >OMP05267 pep supercontig:CCACVL1_1.0:contig05463:1541:7965:-1 gene:CCACVL1_01979 transcript:OMP05267 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MNALNSPTPTNLHSSNLIPTASLPDPPGQEIDPTNRSTKRMKDDSSTMECSVSLNGETSTHAINDANMDNPTVTSSLLVANANVSSYRDMLLADSEEAQISVTYSPSCLHDISDSDSESDDEEGVASIYLSKEEKRRIRAPWVNALIVKAYGRNVGYKFLFPRVMAQWKPKQKMECIDLGCDFFLFRFQCKEDYNRAMYSGPWFAGPYFLSIRQWEPGFKPEKATFTTTAVWARLPALLIEFFDSLTLRRIGNQLGVLLRIDATTEKNSRGKYARLCVQVDLDRPLIHTVKIGKHRQPVLYETVADLCFKCGCIGHNAANCNSFEKQTHDNMDINEGPSINATENSSANPETEIPTGTNSDEKENTQEDSKYGPWMVVERKRSKNVKNNFNTGTGKSSGGAAQGQYNGRNSKPDNSNGHWQRQNTPPTSQKHKPINQEPKPRELFVFLKDIGIFLDWALYSILHFESIPTPENTPFPLTKGWHNIVSARYPLNPNHYTHLLMDQEDLLDLTEEEKEKMLKDLVEQEFKEAVETVERELLNQTPDPWITESMMRIIFESEMDFAKSIVIPQGIRETSVIGRFYNKQGEPTMIYSYAIMPDCHANTNIIWKDWKTGVTHTDPQTANQWWGYKPNCLSMIKILSRNCRGAARESFKRNCLNLIRDHKPLILFISEPRISGARARAVAWSFGFSDMYLVDTIGFAGGLWMLWNREDVSQTFPIGEQAIHAEIQVTHLNSTFNWFISGIYASPKLAKRKLLWDELSTLSSSINMPWSIIGDFNDVIAADEKFGGNPINLYRSRLYRECMNDCHMIDLGYIGAKFTWVNGNYNGILIRQRIDRAWGNDSFNLLFPDAKVFHLPRTSSDHNPILLELMPSAAGPINKPFRFEWCWFRHPDFKEFVHSLWHNFRENLASTITNFAISVKKWNVDVFGNVHIKKKNLTARLKGIERSLSINHNQFLVNLQKDLIEEFNGILKQEEDLWKLKSRIDWNVDGDKNTSFFHATTVIRRRRNKINSLKDISGNLVVDPSEIKRMISNHFLKLYTTSLSFSTLESNLFLPPGHKIDNSLASDLTACPTKNLIFKEISEVFLTKSIPEGWNDSLISLIPKTSNPELITQFRPIGLCNTPYKIVSKIIVNRIRPLLSEIIGPNQASFLPGRKSADNVILLQEIVHSFNKKSGKNGDMIIKLDLEKAYDRLEWNFIRNALMFFNFPPDLIQLIMNCISSVNLFMIVNGEKTDSFQPSRGIRQGDPLSPYIFIICIEYLSLLIRDKFDKGHWRTFKISRSGPPITHLFFADDLILCAKATLRNCILIREVLNTFCDVSGQLVNIDKSKILFSKNTNHVLRNVIADNFGFEAVDNIGNTLDKKKIHLINWETATCHKNSGGLGLKAAKPLNQTYMAKLGWQLLENKNNLPWTQALTSKYLSGNNPCKYGSPLWKGIMESSNSIDQGLRWVVKNGSKACFWTEDWTGHGILRSFFIGPFQQHDLNLKVKDMLDNHGYWKDEVLLFLPPDVVNIIKSTPLHRHCEAEDVLAWKFEANGEFSLSSAYQIAKNTPNSDAREWKWIWSSPTLPRIKSFIWLVAHNRLAVKELLVLRGIATSSTCHVCNDPLESVKHVLRGCKQAISIWRALKPPENIHQSFTSGLRDWLEFNMKHTDPAHFTIPWNILFSFAIWEIWKHINDNFFGKTLRSNNRILQSIFQQAAEFFASSDNANNKKLSYTKQIQWTPPPAGFLKMNTDGASHGNPGLAGAGGIIRDSQGLFVLGFQKRIGFATSTAVELWAIREELSLAKERNLNNIMLETDSQLAIDLLQNCFDPKHVLIVLLDDCRSLMAQLRIQTLQHTFREGNYCADKLAKASTLMDEDFIVFEQPPASISIDLFADVSGISFPRTCNIRDTHSCYEEGLVPNNDLYFFS >OMP05266 pep supercontig:CCACVL1_1.0:contig05463:69:200:1 gene:CCACVL1_01978 transcript:OMP05266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTNTQVNGIVDPSCKAESWAGGMYESEKSSRQQRTKKGKKF >OMP05268 pep supercontig:CCACVL1_1.0:contig05463:9592:10719:1 gene:CCACVL1_01980 transcript:OMP05268 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MATENESPKSGSGSGSGSVTVNGDATPDRKIALITGITGQDGSYLTEFLLNKGYEVHGLIRRSSNFNTQRINHIYIDPHNAHKARMKLHYADLSDASSLRRWLDTIRPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHIAATGRSHIKYYQAGSSEMFGSTPPPQSENTPFHPRSPYAASKCAAHWYTVNYREAYGLYACNGILFNHESPRRGENFVTRKITRAVGRIKIGLQSKLFLGNLQASRDWGFAGDYVEAMWMMLQQEKPDDYVVATEESHTVEEFLEVAFGYVGLNWKDHVVIDKRYFRPAEVDNLKGDSSKARKVLGWKPRVGFEQLVKMMVDEDIELAKREKVLVDAGYMDAQQQP >OMP05269 pep supercontig:CCACVL1_1.0:contig05463:11282:11350:1 gene:CCACVL1_01981 transcript:OMP05269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVGRGNVVSAMQITKVAFIS >OMO71042 pep supercontig:CCACVL1_1.0:contig11738:15481:19918:-1 gene:CCACVL1_18487 transcript:OMO71042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKVILLRCLSLLCLTFAVNGIIPTTLEGPFKPVTVPVNTSILSKMTSLSLDDTRLKRPKLDLQPEQISVSLSSDASSVWISWVTGEFQTGYDIIPLDPQSVASVVQYGIYGTGRNNEATGNSLVYSQQYYTSGIIHHVLITGLDPDTLYEYQCGDPSIPAMSDVYYFRTMPSQPGDYPDRIAIVGDLGLTYNTSTTINHMLVNHPNLAFLVGDLSFADLYLANGSLSDCCSCSFPPCPYQETFQPRWDYWGRFMQILTAKVPTMVIEGDHEIEKQDDNQTFTSYSSRFAFPSGENGSSSALYYSFDAGGIHFVMLGAYASYDKSSDQYKWLESDLSNVNRSVTPWLVAAWHPPWYSTYKEHYREAECMRVEMEDLLYAYGVDIVFNGHVNAYERSNRVYNYTLDPFGPVYITVGTGGNEKNLTLEHADEPGNCPEPSSTPDGYMGGFCAFNFTSGPAAGNFCWNEQPDYSAYRDPSFGYGILEVKNETHALWTWYRNQDYTHVVGDVIYIVRQPAQQPVQPKGLPYDYKLLLLRQSSDQFY >OMO71041 pep supercontig:CCACVL1_1.0:contig11738:11946:14713:1 gene:CCACVL1_18486 transcript:OMO71041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPDLKLVLFFIFFLISNFFFVHGASWCVARSDATNLALQTALDYACGSGADCTPILSNGLCFLPNTIQAHASYAFNSYYQRRGMAPGSCDFAGTATVAKTDPSKMTALDLFFLLKSNQSKLGYEFPAQPEGYLHQQRQQQ >OMO74921 pep supercontig:CCACVL1_1.0:contig11074:50657:51531:-1 gene:CCACVL1_16403 transcript:OMO74921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTKEYLDLVLVPSGLLIMSSYHVFLAYRCIKLPETTVLGYENHFRKAWVERMLQLEAKDRMLSLTTINSTTSAATFLATTSLALSSLIGTWLADTPSSLFRNSLVYGNTSTSIISVKYISLLICFLVAFGSFLQCIRNFAHATFLISMPNTDLPVSYVQKAVTRGSACWSIGLRAIYFATTLLLWVFGPIPMFAASVIMVVILHLLDRNVTPLHDFEPAESNSLTKINVESTAAAGVFELQDKANTNGTMEANLDPVH >OMO74931 pep supercontig:CCACVL1_1.0:contig11074:91794:94478:1 gene:CCACVL1_16413 transcript:OMO74931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Optic atrophy 3-like protein MSRTLIEANHRLSINLQRKIYGQSTQILIRPLNEERAIAAAAGLLGELVIFTVAGAAIIYEVQRSAKSEAKKEEQRRKELEVTCPNS >OMO74917 pep supercontig:CCACVL1_1.0:contig11074:31109:38694:1 gene:CCACVL1_16399 transcript:OMO74917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSPTSVSSSKQRDFGRKSGTRLRKKHKRLDAICEEEYNRNHGEGNEGNDGDGSGSADMELRRSSRVRRAPVILDVSPPPPKKRRKIGKNGRFCRGRSRLGRVKEKQEQEEEEGEMQTLGTWKSRLRTRGRKAKVKVKAEETVLPNTKRKLFEDITGHHDDEEEEEEDDDDDDDDDDDGNGDDNDDDDDNEEEEKEEEREMDDGQSQTTAVKSKRLVRVKLASDSDSEQKDETCSMEEDGEVEKEQIKGDQVEDGSPVLDSEMTRGNDRRNVVVEDPAVSESEVSHQNNTEKMDDNVVEEETTEVSNCIQSEEGCIGQENAEVAETIARVELREEQVQQLECQNNEAIEDDVVEVDNVAEDVEDGGIHEDVEDDGLVKVDEKPSEHNNGMRVKESNQAAAGASARSHIKQGRRCGLCGGGTDGKPPKKLVHDAGDSENEAYSSSASEEPNYDIWDGFGDEPGWLGRLLGPINDRYGIARIWVHQHCAVWSPEVYFAGLGCLKNVRAALCRGRALKCTRCGRPGATIGCRVDRCPKTYHLPCARANGCIFDHRKFLIACTDHRHLFQPHGIQYLARIKKMKAKKMKLEMRKVSNDAWRKDIDAEEKWLEYCGEDEEFLKREGKRLHRDLLRIAPVYIGGSESENGKSFEGWESVAGLQDVIKCMKEVVILPLLYPEFFDNLGLTPPRGVLLHGYPGTGKTLVVRALIGSCARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPRRTRQQDQTHSSVVSTLLALLDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSLEDRAAILELHTRKWPKPVTGSLLKWVARKTIGFAGADLQALCTQAAVIALKRNFPLQEILSAAEEKNTGAKRAPLPSFNVEERDWLEALSCSLPPCSRREAGMAAHDLVASPLPTHLIPCLLEPLSTLLVSLHLDERLWLPPLLSKGVALIESVIISTLEDKGLPKDHWWSHVHGLLKEAEVTKEIERRLSHAGMLVGETSVADYETCIRDVSNAGVKFEPSMVLNGHTCSSLSRNAYSIATKRTGFRILIAGSPRSGQKHLASCLLHCFVGNVEIQKVDLATIAQEGHGDLILGVTQILMKCASMGSCVVFMPRIDLWAVETINQVAEERNSSLMEEDPQLVVKENVSSQQQSELAETSEAIVAVQGISHAWSSFVEQVESIGVSTSLIILATSEIPHQELPDRVRLFFKSDQPDCSQKTALEHTVPRFSVHVDRNFNHDMVIKLSVAELSRDILQPFVHLIHQRSHVHKTFKRKYSAQSYAVAENDNISHGLACEVGVGSQSCGDLSVTVPAPLTNSRNLKGKPSLMLAISSFGYQILRYPHFAELCWVTSKLKEGPSADIAGPWKGWPFNSCIVRPSGSLEKATVACNSSNIKSKEKFGLVRGLIAVGLSACRGLYTSLREVSSEVRKVLELLVGWINTKVNTGKDRYQYIRILSQVAYLEDMVNSWVHSLQSLDQDVQTKAASPKLHSLVSPDNHFTCVNNRDQIEECGPDVSNRNCPESKGLIENNDDFTVQTTDFIDLNNKNDDYAPNHKGNVVLCEEAAQEMGLTSNTTSEEHLNSSVANQPVFHVDKQNGTNSGPCGSESTKNPTVEGQCNVQKIHCFDLNKMDVDCAPSHDGKVATVEAVRQISLVDNTSSVEYRNSSGTNLSVLEDKQQNGTNPGQCGSEGTRNPTVEGHPGSSKQSNGFAQSESALSENGFSSSGEMDRAKFFVPEKACNQENDSQTEITMTSVDGGKPEDSEHREDPDTALPTESGVTCSYRCCSNCLHTLLGLMQKVLLREWKLDGSHLTIDDVHDTVASLSVDLLSTVRRVYSAENLRHENHGKLPNHQERSTCRCKRSENSLVIPIECSCHSVGTSSPNIQLAFDPTFVYRDGVMVPIDSNEEVSFHCKFQTLCLCSLIESLSMTKQPFD >OMO74922 pep supercontig:CCACVL1_1.0:contig11074:52944:56851:1 gene:CCACVL1_16404 transcript:OMO74922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCKNLSSATSHFSYVTNPSLPKSLNFKFFFSSSSHPKTYPKRSLHLRSWPQQRRHCRVAAKPITAQASSTTTTEEKAPKLGKRTDLKKIMILGAGPIVIGQACEFDYSGTQACKALKEEGYEVVLINSNPATIMTDPDMADRTYITPMTPELVEQVLEKERPDALLPTMGGQTALNLAVALAESGVLDKFGVELIGAKLDAIKKAEDRDLFKQAMKNIGIKTPPSGIGNTLDECIEIASEIGEFPLIIRPAFTLGGTGGGIAYNKEEFEAICKAGLAASLTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSIKIIREIGVECGGSNVQFAVNPVDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYSLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSQPVLTTQMKSVGESMALGRTFQESFQKAVRSLECGYSGWGCAKVKELDWDWDQLKYSLRVPSPDRIHAIYAAMKKGMKVDEIYELSFIDKWFLTQLKELVDVEQYILSRSLSDLTRDEFYEIKKRGFSDKQIAFATKSSEKEVREKRISLGVTPTYKRVDTCAAEFEANTPYMYSSYDFECESAPTEKKKILILGGGPNRIGQGIEFDYCCCHTSFALQKAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVLNVIDLERPDGIIVQFGGQTPLKLALPIQHYLDEHKPLSASGVGHVRIWGTSPDSIDAAEDRERFNAILNELKIEQPKGGIAKSEEDALAIATDIGYPVVVRPSYVLGGRAMEIVYSDDKLVTYLENAVEVDPERPVLIDKYLSDAIEIDVDALADSHGNVVIGGIMEHIEQAGVHSGDSACSIPTQTILSSCLDTIRSWTTKLAKRLNVCGLMNCQYAITASGDVFLLEANPRASRTVPFVSKAIGHPLAKYAALVMSGLSLTDLNFTKEVTPQHVSVKEAVLPFEKFQGCDVLLGPEMKSTGEVMGIDFEFAIAFAKAQIAAGQKLPLSGTVFLSLNDLTKPYLERIAKAFLGLGFQIISTSGTAHFLELKGIPVERVLKMHEGRPHAGDMIANGQIQLMVITSSSDALDQIDGRQLRRMALAYKVPIITTVDGALASAEAIKSLKSSTINMIALQDFFETEVETAKSKDLLSTSSL >OMO74925 pep supercontig:CCACVL1_1.0:contig11074:67129:67773:-1 gene:CCACVL1_16407 transcript:OMO74925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESSDVIAVCCAKFILYIPFIVIVILVWTNWGNYPEFGLNSLTVSNLNISGSEISGIWDVEFLAKSPDFLYTNNYPQPTLSVYYQNQELLLENFLPGIHLPKKKTISYRVNTLAMATSIQNKGVADAIANDWSQQKVVSFTVTLQAASSPHNWHPLTANVVCARIKVGFSSSSQGTMLQESSANNKKTHHRQAFTRCSTNFLGPSPYLFMVMD >OMO74933 pep supercontig:CCACVL1_1.0:contig11074:98599:99907:-1 gene:CCACVL1_16415 transcript:OMO74933 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA binding protein MGDPEVQVRFAGFGPEEDEWVNIRKHVRQRSLPCEASECVAVLPGDLILCFQEGKDQALYFDAHVLDAQRRRHDVRGCRCRFLVRYDHDQSEEIVPLRKVCRRPETDYRLQQLHASNDSTSTDQQKSNTDSSTATAPKSFGLMTEPMQKQLNPDLSRIMPTSHAVVAQTTNPESKNVGTMTTNVTTPVTTTNPGVSHSGAAFTSAIAVAAAAGGSQSIQEGN >OMO74918 pep supercontig:CCACVL1_1.0:contig11074:40153:41393:1 gene:CCACVL1_16400 transcript:OMO74918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MEAVRVQILSQAGISEVPSQYVQPPENRPTPITNSIISLSCNNVPAIDLSQDKESIIEAIQEACREWGAFHVINHGVPTKLMDDLKRIITNGKYKSCVHRAITNASKPRLSLATFHDPAKAVRISPADDLVSPSSPPRYSPVVYGDYMSSWYKGPEGKRNLDALLIDAGN >OMO74932 pep supercontig:CCACVL1_1.0:contig11074:95080:97766:-1 gene:CCACVL1_16414 transcript:OMO74932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MRFLKSQSLKPRPISALLTKGGSIRCYVSSCRAVLLPRFGGPEVLELRPDVPVPDLKPNEVLVRARAVSVNPLDTRMRSGYGRSVFEPLLPLILGRDVSGEVAAVGASVKSLTVGQEVFGALHPTAVRGTYADYAILSEDELSPKPASITHVEASAIPFAALTAWRALKCTARITEGQRLLVAGGGGAVGFAAIQLAVAAGCHVTTTCGSQSINRLRAAGAEQAVDYTAEDIEGVIKGKFDAVLDTIGVAETERISINLLNRGGHYMTLQGEAAALSDRYGLPIGLPMATAVLLKKRIQYKYSHGIEYSWIYMRADSEGLHEIQRLSEAGKLNIPVEKTFSITQVREAHEAKDRKQILGKVVLELD >OMO74928 pep supercontig:CCACVL1_1.0:contig11074:78633:79295:-1 gene:CCACVL1_16410 transcript:OMO74928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSIDMLGPPAPLSSRCRFLIMALIMFAAMIVLAVIGVIVYAILIGINFKNYPKFGLNSLSVSNLNISGSEISGIWDIEFLAKSPDFLYTKNYPQPTLTVYYKNQPLLEKFLPGIHIPKKTTISYRVNTLAMATSIQNKAVADAIANEWSQQKVVAFTVKLQAPSPHNANKLTLSVVCAGIKVGFSSNSTSSNSQGTLLQESSANNKNTHLQAFTRCSNN >OMO74923 pep supercontig:CCACVL1_1.0:contig11074:59259:59972:-1 gene:CCACVL1_16405 transcript:OMO74923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHPVHPLGVEQQPPTRRPDHQLPNDANCDCFNACLALIALLAFLGIVTGITGIIYAILGIINFGNNPKFGLNSLTVTNLNISRSEITGIWDVEFLAKSPDFLYTNNYPHPTLAVYYQNQQLLSEEYLPRIHVPKKTTISYRVNALAMATSIEDKGVADAIANEWSQQKAVAFTVTLQATPSPSNENGLITVNVVCAGIKVGFSSSSSQGTLLQESSANKDTHRQAFTRCSNNYVKN >OMO74926 pep supercontig:CCACVL1_1.0:contig11074:73466:75864:1 gene:CCACVL1_16408 transcript:OMO74926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLRCCYENQTGVIMSLGKTCSCYSIYRLKSLIFCLKILKGAGVDSGNGIGSVGATIPPSLSGSVFMLSGLKYSTINMIALEDFFETEVETAKSKDLLSTSSL >OMO74913 pep supercontig:CCACVL1_1.0:contig11074:20269:20816:1 gene:CCACVL1_16395 transcript:OMO74913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQTQKLYASKHNKKRLNQEQVRLLEKSFDANKKLEPELKQYLANQLGVPARQVAIWYQNKRARWKTQSLELDYNTLQVKLENAVSEKRRLEKDVKS >OMO74929 pep supercontig:CCACVL1_1.0:contig11074:80443:81192:-1 gene:CCACVL1_16411 transcript:OMO74929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRNRSSESCVIGIPVDTFSVDMREQQQQPPPPPPPRPRPRPHHQLPNCDCYYVIIYALLAILTIAGIAIPVYGILGLINDGNNPKFGLNSLSVSNLSISGSKISGIWDVEFLAKNPDFLYTHNYPHPTLAIYYQNQPLLVEEYLPRIRIPKKKTISYGVNALAMAIQNKGTVADAIANQWSQQKVVAFTVRLQATSSPSDENGLNVNVVCARIKIGFSSSSQGTLLQESSANTHRQAFTRCSNDYYV >OMO74916 pep supercontig:CCACVL1_1.0:contig11074:27189:29832:1 gene:CCACVL1_16398 transcript:OMO74916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease MDTHLSSTSRWRPMCLYYTQGKCTMMDDPAHLEKFNHDCSWDLQVNAAEIGKKCTQGVDYLLVFDLEGKIETLEFPVLLIDTRSLAVVNFFHRFVRPCQMHLQAINKYIEGKYGKLGVDRVWHDTAQPFKDVIEQFETWLTQHQLWDKEKGGFLTRAAFVTCGNWDLKTAVPKQCEISQIKLPPYFMEWINLKDVYLNFYGREARGMMSMMNQLNIRPLGSHHLGIDDSKNIARVLQHMLADGAVMQITARRNPTSQKVEYQFENRIRSTLSILHLDVNYDYARNSYLNSPALTFDYIDSIQMTRW >OMO74915 pep supercontig:CCACVL1_1.0:contig11074:25790:26374:1 gene:CCACVL1_16397 transcript:OMO74915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQFKFLGLQLLFLLCCAALANGSLLPFMDPPSSGNLLFPDPFRVLEQIPFALERDESLALSPAKVDWKETAEGHQIMLDVPGLKKEELKIEVEENRVLRVSGERKREEEKKGDHWHRVERSYGKFWRQFKLPDNVDLDSVKAKLEDGVLTLSLAKLSPDKIKGPRVVSIAGGDHQDNETAAKLKSSEAKQEL >OMO74927 pep supercontig:CCACVL1_1.0:contig11074:76940:77704:-1 gene:CCACVL1_16409 transcript:OMO74927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRNRSSESCVIGIPAESCVIGIPADTFMREQPPPPPPPPRPRPRPRPDHQPPDAPTCDFLETLFFILIILAIVALCVWGILELSNLGNNPKFGLNSLSVSNLNISGSEITGIWDVEFLAKSPDFLYTNNYPRATLAVYYQNQQLLLGKKLPRIHLPKKTTISYRVNALAMATSIQDKGVADAIANEWSQQKVVAFTVTLQATDENGLIVNVVCARIKVGFSSSSSQGTLLQESSANTHRQAFSRCSNDYYYL >OMO74919 pep supercontig:CCACVL1_1.0:contig11074:42174:43553:-1 gene:CCACVL1_16401 transcript:OMO74919 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGKSKSSNFHIFMFPWFAFGHMTPFLHLANKLAEKGFRITYAMPRKAVHQLQPLNLHPDLISLHPVTVPHVTGLPPGAETASDIPIFLTHFLSIAMDLTRDDVEALIPSIKPKLIIYDNAHWIPEIAKPLGIKTICYNVVCAASIAIILVPARNIPKDRPVTEEDLAEPPPGYPSSTVLLRGPEVQSLLFVTNPFGEGITFHERITMSMQKCDALSIRTCHEIEGKLCDYIGSQYGKQVLLTGPGLPEENKNPLEERWENWLAQFEPGSVIYCCFGSQFILEKRQFQELLLGFELTGLPFFVALKPPLGAATIEEAFPKGFVERTKERGLVLDEWVQQPQILAHPSVGCIVSHCGFGSMWESFLSHCQIVLIPQLGDQILNTRLLADELKIAVEVKRAENGWIAKQNLSEAIKSVMDQDSEFGKMLKENHNKWRDTICPELMTDYMDKFVQSIHELVEC >OMO74924 pep supercontig:CCACVL1_1.0:contig11074:62858:63598:-1 gene:CCACVL1_16406 transcript:OMO74924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRNRSSESCAIGIPAEQQPRPHQLPNSTAPVYRNEEEAARARCRYLCLLCVAIIVIIIVFVFRILFAINKWNNPKFGLNSLSVSNFNISGSEISGIWDVEFLAKSPDFLFTNNYPHPTLAVYYQNQQLLLGKKLPRIHLPRKKTISYRVNALAMATSIQDKGVADAIANEWSQQKVVAFTVTLQPISPHNGHRWIVNVVCAHVKVGFSSNSTSSSSQGTLLPESSANNKNTHRQAFTRCSNTYL >OMO74930 pep supercontig:CCACVL1_1.0:contig11074:84383:86635:-1 gene:CCACVL1_16412 transcript:OMO74930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPERPHRSTSINNSSSSSNTTSTTSELFICFTSRLSSSSMKISSKSILSPGRTREPSQISLSSSLSRRLRSNGSMKGGQASPMFPTNGKKRGCGFENPEPSSPKVTCIGQVRVKTKKQGKKFRACRSKRRGEVSFRKVDHNNGSNSVENTSSCQDYNMGHFLSNNNNNSLHQQHQQQQECKKWVHLPLTICEALRAFGAEFNCFLPCRSSCMANNQRDKEEKTGGSGSGNNNRNGNGSSSSSCGAVFARWLVAVQEGEGEGKGREIELVVGGEEEDEIRRDSISSEMMMRSSQRRHVFENIDLNDCGDENMGDEEEARVSICIPPKNALLLMRCRSDPVKMAALANKFWEAPMPKDEEVEEEEAENGIEEKEEEEEEVQKDVVNVEREGKEVKFEEGIEYQEVSEISEMFVSCEATEEQEIPEVEAETEAESSFGGVVEEKLETSLKEENFIECQDQAKENEVEEDHQESMKTEETFPEVPLDLQKLQREEDVPDIEQETEEEQPQEEEETEAIIEEEFEENEKKQEEENTVEYQAIAEEVEEEEEEEEEGGASPPAAEEQEAETTQGRSELECPETREPDPVDESKESESQQNLLPDCLLLMMCEPKLSMEVSKETWVCSTDFIRWLPERKKPQPVVKQKDGGDEPKRRISIDSNKAPPILLQPARSSCSFPAAPPMATAAAANGPGGGAGSKVIDQKLVGGGKGFVLTRCKSEPRKSSAKLAPDACFWKNRKLEPATLGVGAAGVGF >OMO74914 pep supercontig:CCACVL1_1.0:contig11074:23057:25111:1 gene:CCACVL1_16396 transcript:OMO74914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MASTSGSRSEGVTGRISRAALTLYSDNQDLVTDIRKALSLMKDIAVDLERQNQSDLVKQLEDAVAELVQTHEDCLHKSLAIKSVADTYQPGTELTDFQKLLEAEFEKVKASSSSSSQKNTLMHHFRQAVWNVHHEGQPMPGEEQEDIIMTSTESNIRNLNCPITGKPIDELTEPVRSLDCKHIYEKRAILDHIKSNRGSVKCPVSACPKMLQASKVTCDPLLAFEIKEYSMSRQTAGTEGIEDLTEMEGYDEN >OMO74920 pep supercontig:CCACVL1_1.0:contig11074:46334:49953:1 gene:CCACVL1_16402 transcript:OMO74920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITRSCSMQKFRPPKNLEAEPISDTSRVQFQEMRRRCSSQKPLQKSRSDLAFQELQGFKDLGFRFEKEDLSPGVVNMLPGLQENKIEELKQDKVRRPYLSEAWLAQSLAPPIPNSVSKNSAADMKAQLKFWARAVATNHLLSSPFPLSLPPLSTNPSFQLFLLQKPISISPFSSHSKTHLGPLLAQAQEPIATVAEAEEEQDGPFELPPSASSSSIFATNDDPSPIQTATSVLLTGAIGVFLFRSLRRRAKRAKELRLRSSGAKKTLKEEALDSLKAMGSASIDTKKSQPSAAQALLGSIAAGVIALILYKFTTTIEAALNRQTISDNFSVRQLTITIRTIVNGICYLATFVFGINSLGLFLLSGQLAINSFMEDSSTQENESKGEEKVGSLSSVAENAVDGSELTNSKEDKSPDDK >OMO87041 pep supercontig:CCACVL1_1.0:contig09346:17579:18806:-1 gene:CCACVL1_09296 transcript:OMO87041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MATLAVLPTVWVRDLSLLSYISAGGVVASVLVVLGLCWFGLVDNVGFHHKGTTLSLSTLPVAIGLCGYCNSGHAGFSNICTSMAKPNQFPSVLLACFGICSLMYAGTAVVGYTMFGEATESQFTLNLPKDLIASKIAVWTTVYAQQNHRTSLVISTLIVGLSIPFFGLLMSLIGSLLTMLVTLILPPACYLSILRGKVSRIQ >OMO87043 pep supercontig:CCACVL1_1.0:contig09346:21422:28404:-1 gene:CCACVL1_09298 transcript:OMO87043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTDPPWGETSEDSASKTKLPEKETNIKMLVDSRDITELQLKQSDCELLIRKKEALLPLEPASPIVMPQNMAPAMFQTPPPAAAPAPAPATPTLPALAPSSPPPGKAGRPVTGVTQILHFVPFCY >OMO87040 pep supercontig:CCACVL1_1.0:contig09346:16393:16494:-1 gene:CCACVL1_09295 transcript:OMO87040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTTDIPAPLQVDVFKQKDAPSIDEKGRTNR >OMO87042 pep supercontig:CCACVL1_1.0:contig09346:19842:20848:1 gene:CCACVL1_09297 transcript:OMO87042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGYFIFHSKRRTEAHLRRDWRQQRRPEGGETIGDLPILKIADFGLAQVSGVKNMRKWDYCFCGTPTYMSPESIVGQIWGALDVWSLGCIVIEMITGRTAWRNYQGPEDMRDKLLRGQKPDIPENMSTWGKDFLKRCFGSGPNERWTARMLLERTHSFNPSPRPSYCHLR >OMO87039 pep supercontig:CCACVL1_1.0:contig09346:4867:12355:-1 gene:CCACVL1_09294 transcript:OMO87039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAGALVGGAFLSASLQVLFDRMASRQVTDFIRGKKLEKLLLMNLKSTLMSVRAVVDDAEDKQITKPSVKDWLSELKDAVYDAEDFLDEIAYEALRTSLESEDQTTSTKVSRFFSSLNPFNKRIMESKLEEILKRLECLVSQKDILGLKDCRAEKSFQRSPATSLVDESCVYGRVDEKEAIMEFLRPEYASGNQIDVIPIVGMGGVGKTTLAQLVYNDKRVDGWFDLKAWVCVSDEFDAFRVTETILQQITPGCDGSLDLNQLQLKLKEKLLGKKFLFILDDVWNENSVDWEALTSPFHFGAKSSKIVVTTRNDKVADIMRTVPTYHLNVLSSEDCWHVFAKRAFANTNPSRHPNLKAIGEAIVKKCSGLPLAAKTLGGLLRCKLDVEEWNTVLTSNLWDIRDDARTTRSAVDGRRSFGILSENVTMEEQGSEYFKDLTSRSFFQQATQDKSCFVMHDLISDLANSISGELFCRLESGVTSCKATKKTRHVSNIQEYYDVRNKFEALCEAKGLRTFLTLENQYSGNYISKMVVNDLVMRSKSLRMLSLAKHSNVSEVPEEIGELKHLRYLDLSRTSIERLPNSLCALYNLQTIKLFYCFSLVELPEDMGKLINMHHLDIRGTSLKKMPPGMGKLEGLNVLTDFVVGKHDGSNIGELGKLKHLQGSLAISNLKNVVSAWDAKDTGLKDKVQLKELQLVWGNNEIKFNIEDNSDDDDIGDDSEHERKILDQLEPHTELEQLVINYYRSTRFAEWVGHPSFSNVASVKLSSCKNCCLLPPLGQLPSLKSLSIKRCAKIVTVGDEFYRNNNDASNCPKLTKSLPKHLPCLRKLVIIRCRKLEGLLPRAPSIEKVHLMGCDALQMEALPCGLRELLIEDLRINDSIMEQMLQPCTSLENLYIDKCSELRSLPEGSSLAMTLKKLSIEESNVLDDSKILSYTSLQSLEKRDSRCKGVESFPLGSFPLLNSLMVSRCEELKWIIGALENAPAPLSSSCCLNFLQIMGCPNLICFDKLEGFYAPNLTTLNLLRCGKLKALPEQMHSLFPSLEEFWIEECPKIEGFPKEGLPSKLKRLSIGGGCKKVIEGMMIGRRDRKWSLHSLPSLEYLSISGEGGEEKEGIESFPDEHLLPSSLTRLLISSFPNLKSLESKAFQHLTSLRQLNIYNCPSLQSMPEKRWSSTPLNLLSRLVDSRDITELQLKQSDCHSLSIFTTFSTDTPSGIFHRCGNGLFRGLISQMLEPDPQACIRTFGCFLFISIAKGSHLQQLYANKQKATDGPYAQILDVNAKSPPISPDNEGRRVQFGEAMAMVIGHEKNGDNTKRSHGNSLSSSSNDISRTYHESEMPLALSMAT >OMO87045 pep supercontig:CCACVL1_1.0:contig09346:40568:43666:-1 gene:CCACVL1_09300 transcript:OMO87045 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 VRDWSDEKCLKLLKKCYNATPKDGKGIVIEQILPSIADVSSVVEAKLLNDVLTMTLNPGGKERKQNEIFDLAFQSGFQSVSLSSFECHYWNKIKKGPFGIGYLKEVSHC >OMO87044 pep supercontig:CCACVL1_1.0:contig09346:30451:40257:1 gene:CCACVL1_09299 transcript:OMO87044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide isomerase MSKTRNTPLIIFSILLIPVTIFWLWNSLSNNSSILENFYHGDLQQLLEATFFPKLDGGHEDFDEDIAEFWSKKQPVIEEKDHEVVVSLTEKNFNFFIAKHEYVIVIFYASRRHPLQYEAAVATLVKDDRVAFAKVDAIKEIGLDRKYKISPILGNPTALLFADGVLKSSDHGVTTRDDIVRLIRKITAGVPSIAEKGDAIEQPAINKKNEAVVRLSVKNFSSFIAENEYVMVDFYAPWCYWSRKLAPEYEAAAATLLKADGVALAKVDCTTERELAMKYKISGYPTLLWFVGGVCNQSYYGDRTRQVFVDGIVRWVRKSITSTTERSAKHLFTVSNGQDLNVTRKPVINEKDHKVVVRLTEKNFSSFIAENEYVMVNFYAPWLYWSRILAPEYEAAANLLKGDGVVFAKVDVLTERELVMKYRISGYPTVFLFAGGVRKLYDHNRTRDDIVKWVRKSIAGVPVITEKDDAEHLLAADFIKVVGFYDTLEGEDGKELLVASKLRPDLKFYQTTNPEVAKIFHMNSETQHTVTVQLRAEEEIFLTFAGSFTGKELAYFLCGDKGEVPCIKGKFSPVVHSLSEERRVQPVFNEKDDEVVVIRLTEQNFSSFIAENEFVMVKFYAPWSQSSQRLAPVYEAAAEAMKDDRIAFALVDCVLEHELAEKYHIRGYPSLFLFAGGVRQYYDGKKERDDIVKWVRESIAGIPIITEKDDAEHLLATDFIKVVGFYDTLKGPNSKELLEASKLRPDLKFYQTTSPEIAEIFRIDPKIIYPVVIQLGTEYENFKQYVGSFFGLNLAKLLPSGKPHYSKDRAAVVSQYPRKKALAPEYAAAATELKGEVVLAKVDGTQEAEILQKFDIEGFPTLLFFVDGVHKTFEGGRTKDGIVTWVKKKTGAPSVYNITTTEEAKSILAAESTIVLGFLDSLVGPVSEELVAASRLQDDVKFYQTSNPDVAKLFNIEPLAKRPAMVLMKKEDEKLSYFDGPFSKLAISEFVSNNKLPLVVTLTSETAKLVFGNAIKKHLLLFANSHDSEKIKATFHEAAKSFKGKLTFVYVELDHQDTAKPVLDYFSVSGDSPRVLAFTYDDDKKYVMNGNLTFNNIKSFVEEFLEDKLKPFYKSDPIPDKNDGDVKIVVGKNFDEIVLDESKDVLLEIYAPWCSHCQALHPIYNRLGKYLRDIDSLVIAKMDGTANEHSRVKVDGFPTLLFFPAGNKSSDPIDVEADHSVVALYKFLRNHATIPFKLQKPASWTENIIGQSGSDDQKMSNDMNLKDEL >OMO86767 pep supercontig:CCACVL1_1.0:contig09417:127:9920:1 gene:CCACVL1_09475 transcript:OMO86767 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MISCLSLSSSYLSEKIALKRFRRGIRRCCIKRPQILPAASRDGFGVRVFVLSDLHTDYPENMAWVRSLSTKRHNKDVLLVAGDVAEMYDNFVLTMSLLKERFEYIFYVPGNHDLWCRWEREDLDSLQKLNKLLDACRQLGIETNPAAIDGLGIVPLFSWYHESFDREDDIVGMRIPSLDMACKDFHACKWPGNFSNRDTSLALYFDAMNEKNQDDVKQIQMTCSHIITFSHFVPRQELCPEKRMLFYPNLPKIIGSDWLEDRIRSIHGVEGSSFACHVFGHTHFCWDAIVDGISGHGKMKLRCLICKVRWEMRRSYRVTIRIRLKYQRRGWQEMTILAEENELFGMELPRIGSFSGSSYLSQKFNMEDIISSVLRMWCEHDGIEEVIRNAWKDPQGLDVVSKLHQCGIRLRQKERSTFGNVRHNIAKQTKDYDRLYSLAQLGEDSSELQRCEDDLNALYRQEEIMWKQRSKVQWLILDSRVIEKEIMDYYRNIFTTSHPCMEDVEYVSSKVVGRVSSAMKTHLQQEFTGEEIKQAAFDMDPGKSLEPDGFTTLFFQKFWNIIGDDIVRMALSFLNDGTPLPNFNDTCVALIPKVDCPMVAKDFRPISLCNVVLKIISKALANRLKVILPDLVAENQSAFVPDRMIFYSALIAFETIHFMKNKRSGRKKHMTLNLDLSKYSIVINGKRSEELIPTRGIRQGDPLSPYLFLLCMEGFSALIHDATQEGYIEGVGVNRNAPKVSHSFFVDDNLLFLRANVAECDAVLGLLRKFELASGQQIIIGKSALLFSRNVTRDVQAVIMRHLGVERIMEADKYLGWAIMIGKSQRRELQMIKDRIWSRLNGWTNYYQWLCWALNAEPGFTLLSASEAKYFWGKNLMQASLGRNPSFIWRSLLKGRIVLKEGCRWWVSNGANIRAFKDKWINNPPNFMPKLRDERNQQGGLRISALIV >OMO61339 pep supercontig:CCACVL1_1.0:contig13517:13903:15549:1 gene:CCACVL1_23593 transcript:OMO61339 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase MIFVERVFFFFSLSLYFCKETRNEEKEVRAGDWGSSEWTRERSNRQQHLLTCGLRVTSIKIGLCFYYSVSFSDPYLNSDAGTMSPFEHGEVFVLDDGGEYVLDKERRGDYLGKTVQVVPLITDAIQEWIERVAVIPVDGKKGPADVCVIELGGTVGDIG >OMO61340 pep supercontig:CCACVL1_1.0:contig13517:21966:23121:1 gene:CCACVL1_23594 transcript:OMO61340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTAFFELLPVIDFVAQILGKDVYSRPMADADRVKTVQQNDYDQDPINIDSKLPSITARVLPAPWVQLKSLLITDNSLYDTALLNILFQDGPTKGKLISRWRNKFYADK >OMO61341 pep supercontig:CCACVL1_1.0:contig13517:37124:38527:1 gene:CCACVL1_23595 transcript:OMO61341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEYWTSFASLLGVLAFCQSLLQVIFPPELRFACLKFFNRIFHLFSFYCYFDITEIDGVNTNELYNAVQLYLSSYVSINGSRLSLTRALNSSAITFGLSNNDSIVDTFNGVNMLWEHVVTQRQSQTFSWRPLPEEKRGFTLRIKKRDKSLILDSYLDYIMEKANDIRRKNQDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFDTLAMDPLKKQEIMDDLLDFANGQSFYQKTGRAWKRGYLLYGTPGTGKSSMIAAMANYLSYDIYDLELTEVHNNSELRKLLMKTSSKSIIVIEDIDCSINLTNRKKNNNSNNNPRSYYEPEIRCGSTSVCDGEEGGGNSITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIYMSYCSYPALKILIKNYLGYEESDLDGEVLKQLEQVVDKAEMTPADISEVLIKNRRYKQKAVKELLEALKDKGRKENIE >OMO62482 pep supercontig:CCACVL1_1.0:contig13260:86814:88950:-1 gene:CCACVL1_22799 transcript:OMO62482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MAESLDYGAFMEKFTLQLSPSSHGDRQLPLSGLTFAVKDIFDVEGYVTGFGNPDWVRTHAAATSTAPAVLDILRAGATCVGKTVMDEMAYCIYGENKHYGTPRNPCAPDRVPGGSSSGSAVAVSAMMVDFSLGTDTGSSVRLPASYCGIFGFRPSHDAISTIGVLPLAQSFDTVGWFARDPEILNQVGRVLLHLPNMDPIRPTQIIIPEDCFCLSSVPRERTDKILLKSVEKLFGGQSVKHITLGEYIKDKVPSLQHIIDKENDDQECNIPPSMAALSSAMRLLQRYEFKNNHAEWVTKVNPDLGPGIFERAWEAIRSTEENIDVCNAVRSELRAALTTLLGEHGILAIPTAPGDPPKLQTDPAMLATFRARAFSLLSIAGLTGFCQVSIPLGMHSNLPVSISLLAKHGSDGFLLNHVETLYGNLKEQIAMMRE >OMO62486 pep supercontig:CCACVL1_1.0:contig13260:103510:107093:1 gene:CCACVL1_22803 transcript:OMO62486 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcriptional regulator ATRX-like protein MMNSLSCNRNISDEASLEVLGDTISGYIVNLRREDGEEAVRIPPSISAKLKVHQSITKVRSGDKGLGCILAHTMCFGKTFQLSAIMCINHVYPSVMPPVRRAEFFAKWRAKGGVFLIGCTAFRNFSLGKHVKDLAHGQRNLPRIADLENGILHCLNLVQGKALLQTDGNLEHSAIMQPKLSLISFKFSEIMEPKLSFVLLQVFGNHGAKALFDLLQERKGGWCYVDTGQVLIESACSVDRLEEALSTLLPMLPEMQYFRVLPAPFLKLPLTYGHMYADIVSMCLVSPCTVSPALFHVHPSLFRPVSSNLTQFEYSAGRLPIGSECIPVAARIAFGFVCFVAARIAFLSRHIPVDIGEWYFEWGYIEGHCELRQVQCELGKLNVNKASSM >OMO62476 pep supercontig:CCACVL1_1.0:contig13260:1050:2340:-1 gene:CCACVL1_22793 transcript:OMO62476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGADAQILDAVPLSRVGYRGMYELKLSDTSPQLMEIWNGRNPREILDFKILRRLEFHNCSSLKYIFTPSMALSLKHLRSIGVKECSTLKEVVREQGIEEEAITDDFIFPTLTYIEIDSCSSLRSFYLGSRALEIPRLETIKITECPKMTTFVSSFPRDEEEEISADGTKNIEPFFSDKFSLFM >OMO62487 pep supercontig:CCACVL1_1.0:contig13260:110947:116200:-1 gene:CCACVL1_22804 transcript:OMO62487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19/L19e MPLKLEGDCCELVNVGLNNIQETDYVDLYSSVQSEPVEFMSWLLRTLKKKSSIIHECSQIYVFVYKEVALALKINSIYNKRKLLSIHENVRVLHDAFFKYQAKQKLTTQRFFYHEGKRIWGKMKATREERLPTKIHWLRRMRVLRRLFPKYVEPKKTDKHMYHDLLMEARERTLSDQFDAKRAKNKASRGVSRRAGGTPCSVVSQLELSFRAWVFAFPIGAVDQGELLVTLGSYFDFLFFLSVAVVSLLVCLARFPSIRSFFYEGELLVTLLSPFLSLSLARSLSLSLALSLSRSLSLPLSLALALDFLPFGASFMKDEILVTLLPPFLSLRSCSRSRSRSLACSVCVCVSVFSPRIFLWRQGAWNVRNGSCYFEWGMWSTTVSFVCVFSCTFVSAGLASCGGLSSRAPSSVLASPPEEASVFLCTFVCAGLASCGGLCLLGTFVCAGLASCEGLCLLVHLRVCWPRLLRRPLSSRAPSCVLASPPAEASVFSWTFVSAGLASRGGLCLLVDLRVCWPRLLRRPLSSRVPSCLLTSPLLSSRVPSCLLASPHFMSSHVPSCLLASPPAEASCLLIYLRVCRVFVCYLVYHRVCLFSNSMPIRSCKWAAAS >OMO62481 pep supercontig:CCACVL1_1.0:contig13260:79714:82009:-1 gene:CCACVL1_22798 transcript:OMO62481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MEEKSLEFGAFMEKFTLQIQPSSSPHELPLSGLTFAVKDMLIKLSSYFIGIDSRYVTGFGNPDWAKTHSAATSTAPAVMDLLMGGATCLGKTVMDEMAYCMYGVNKHYGTPKNPYAPDRVPGGSSSGSAVAVGAILVDFSLGTDTGASVRVPASYCGILGFRPSLGAVSTVGVVPMSQSFDTVGWFARDPKVLNRVGRVILHSPEVNPIKPSQILIPEDCFHLSSIPSDRTAKVLIKAIDKLFGGECVKHVILGDYVKDKVPSLQHFIDKGNEDRNDIIPSLAALSNAMRLLQRYEFKINHAEWVTKVNPDLGPGISERIWDAIKVTEENIDLCHAVRTELRAALSALLGDHGILAVPTTPDPPPKVQANPATLDLYFSRPFSLLSITGVSGFCQVSIPLGMHNNVPVAISLVGKHGSDSFLLNLVETLYDTLKEQFGLIKD >OMO62478 pep supercontig:CCACVL1_1.0:contig13260:28499:28612:-1 gene:CCACVL1_22795 transcript:OMO62478 gene_biotype:protein_coding transcript_biotype:protein_coding description:amidase 1-like protein MAKSLEFGAFMEKFIPQPTPSSLQLPLSGLTFAVKDM >OMO62485 pep supercontig:CCACVL1_1.0:contig13260:97753:100996:1 gene:CCACVL1_22802 transcript:OMO62485 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromatin remodeling complex subunit MEEKHEEVEDVESASGDSFIIDSDALSSIDYKTFSSIPTSANLRFFSAFLMLESLLHAFYTHFNAPLILQEPLTEQEIEEINSEFLEIESKVAEAQKTLEKESLAEVESEVRDKLAQTLQGDDLDKAVAEEMATFKAAWEGMLDELETESVQLLEQLDGAGIELPSLYKWIESQVPEGCSTEAWRRRAHWVGSQCKRYKGELYFLNLRPLPKLRVLDLGMGNMVDYWRRVKKLSDEGSQEQELASVYLANNPQEAALLGLKFPGVDEVEEIEDIDASPDNPLVADAIENERSNSF >OMO62479 pep supercontig:CCACVL1_1.0:contig13260:31054:37710:1 gene:CCACVL1_22796 transcript:OMO62479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPEASPPAKKIFQKDAYLMDRISELPDDLLIRILSTLTLEESVVTSTLSRRWEHLWKLCNRSFDFDGVNKLIMDLKEEEIEEERCKMISLIDQAMNSYEAIVELRVAFDFDKSCRYSIDKWIENALNKRVKNLELDLKSYTRLGVKRDMYYGFPQKSFSCIGIQFLTSICLKYAPLLRNVSTSKSDSYSTHALVTVQSYPYQLESLSLCLLMFKGNIHLPRFTTLVNLRNLTYDIYVREEISILHWTPLIKASPFLHKFKLKLHSECNERIVWGGNNDDDDYRHQHLKEVEIVGFLKVATNDIEFIAYLLKSAIKLEKLVINTCPCYLAPGIDYNVERKKARRLAHRLAKKRAPGAKLVLLLNSFFVLKIDHLISTKPSEIRIVWGGNNNDDNYGHQHLKEVEIVGFLNIATNSAEFIAYLQLRLTGRALYINSCNFVAIMEIPEASPARKIFQKDAYLMDRISELPDDLLIRILSTLSLEESVVTSTLSRRWEQLWKLCNRSFDFDGVNKLIMNLKEEEIEEERRKMISLIDQAMNSYEAIVELRVAFDFDKSSRYSIDKWIEIALNKRVKNLELDFKSYTRLGVKRDMYYGFPQQSFSCIGIQFLTSLCLKYVTFTDEILGNLLSNCLVLERLHVENSPGLLNVKLCNLNSLWRLRLKHFHISECYLVKSIELRKVSTRISDSYSTHALLTVQSYLYQLESLSLCLQMCQGIIHFPRFTTNLVNLRKLTYDIYIIDEEISILHLTSLIKASPFLHKFKLKIGQLHSEFNERIVWGGNNNDDDYRHQHLKEVEIVGFLSIATNVTEFIAYLLKSAIKLEKLAINTCPSYSDPWYDYTVEREKARRLAHRLAKKRAPGAKLVLL >OMO62483 pep supercontig:CCACVL1_1.0:contig13260:89563:91569:-1 gene:CCACVL1_22800 transcript:OMO62483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MNQEDGANQTGSCSGEKESNPCPICLGLMVQESYLDTCFHKFCYNCIVRWSKVVSGKRSCPSSSLACPLCKKENFSVISGFDGTCFQRHYIGHNFEDRFTFSKAHKYRLQCYYSEPGILNDIFDVLRFWKSRKYLQSNMWLQSWLKRELQALMQEENVDIVVHHIHGVIDSFLKRIEQTRLKKSAEAIREDFKIAVSDAAKPFLLARTDRFVNELELFLASGLNIEAYDAVYMQRLGWNTLGGTNGTRPAEEPIQHVEVVPYLYIFDADSDEDG >OMO62477 pep supercontig:CCACVL1_1.0:contig13260:24001:28156:-1 gene:CCACVL1_22794 transcript:OMO62477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MGERKVVQKYYPPDFDPAKLPRVGRRKNQQMKIRDMMPMKVRCNSCGNFITGGTKLNSRKEVVSGKTYLGIKIYRFYYKCNNPKCSSEIIITTDPQNSDYAVESGARRCFEPWRAADEEAGKRKLKREFEEKGNSMKALENRTLDSRREMDKLAALDYLKSMKARHATVSANDMLEKLHSSDVEKKKKLEEEDEELTKLNFPRPKEDFVKRISDEVFEEHGGDFLKRPKVYEDVSSNPTDALVKTSFHRTHRVKAPSKFDVDGYVTGFGNPDWKRTHSAAVSTAPSVLDVLRAGATCVGKTVMDEMAYSINGENVHYGTPTNPCAPDRVPGGSSSGSAVAVGASLVDFSLGTDTGGSVRVPASYCGILGFRPSHDAISTSGVTPMAQSFDTVGWFARDPVLLNQVGRVLLHSPNMDPVRPSQIIIPEDCFSLSSIPNHRTTQVLVKSVEKLFGGQILKQIVLGDYVKEKVPSLQHFMSNGNEEQAYNIPSLAALSSAMRLLQRYEFKKNHAEWVTTVNPTLGPGLHERVWDAVRTPEENIDVCHSVKTELRAALTFLLEDHGVLALPTVPGDPPKLQSNPASLEVFRARAFSLLSVAGVSGFCQVSIPLGMHNNLPVSISLLAKHGSDAFLLNLVETLYDTIKKEAEISEKMSS >OMO62480 pep supercontig:CCACVL1_1.0:contig13260:39611:55016:-1 gene:CCACVL1_22797 transcript:OMO62480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALCSFMSAMPGSAHLMAKRIAFGQTVIVYVAARRLNNGDTESSNPITTTSTSTPASTADRASPPKPGKDALVTGATVAFTYVKSQEDRDAQDTL >OMO62484 pep supercontig:CCACVL1_1.0:contig13260:92491:93688:-1 gene:CCACVL1_22801 transcript:OMO62484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDQDEDDVFAAIPVHFKDWISGLPDIDDKETPPFEKKMKKS >OMP06827 pep supercontig:CCACVL1_1.0:contig04793:3417:12938:1 gene:CCACVL1_01435 transcript:OMP06827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTAEEEAALKAGVIKHGAGKWRTILKDPEFSGVLYLRSNVDLKDKWRNMSVMANGWGSRDKTRLSIKRTSSIVKQEDNAMATALVPSDEEIADTKPIPVSTTTMQIPTSTKRSIVRLDNLIMEAITSLKEPGGSNKTTIAAYIEEQYWAPPDFKRLLSAKLKYLTACGRLIKVKRRYRIAPTLSFPERRRNHSMLFVEGRQRISPRFDRDDFNVLTKSQVDLELAKMRTMSPKEASAAAARAVAEAEAAIAEAEEAAREAEVAEADAEAAQAFADAAMKTLKGRNNQKVQAGPSYLAVATRMHFQRTAGLEQEIENLKKKLASCTRENQNLQEELSEAFRIKTQLADLHRLEVAKNVEAEKQVKFFQGCVAAAFAERDHSIMEAEKAKEKEELMAKKFNEFQSRLEELTSNCLEQSRHNNALQIDLAKQEEENENLRKVVSKFYEIRQQATGELEDANWDDQCTCLLLDPAEMWSFNDSSTSKYISSLEEELERVRSSVDDLRNKLRMGLEIENHLKKKVRELERKKVTSIKMILNRVIELRHYHSQHRTEIMNLLDMERSNVKEIVDAAEENFRQFDAKGQNMQTDLNIDAIECKDVHMSTDAEAEPVSEVLDLNVLNVDERKADTSDALAQALQEKVAALLLLSQQEERHLLEKNVNAALQKKIEELQRNLLQVTNEKVKALLELAQLKRKFQLLQEKSSNEMKQGSVLSEVSDRRISTYEKDGKLKNLLKKTYLSRWVGAVDARGNAFEAQPNSMDFARMRIENATLKESMESMDHLTSTIHRLRLSLLKVTESITPEGRNINASEALDEIISEARLVKTALGSSLPVSWSAEGDGESIAGSRDTEPGDMIEDSSSEKLDSVSAAGFEMVDLLILAAQILKERTKAGSLSGS >OMO67592 pep supercontig:CCACVL1_1.0:contig12414:2283:7384:1 gene:CCACVL1_20429 transcript:OMO67592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATHSKDPLPATVNCDNQDNLSSSQAINVALGVLPVVFFGV >OMO96717 pep supercontig:CCACVL1_1.0:contig07390:11317:14366:1 gene:CCACVL1_04806 transcript:OMO96717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEKKKRNGSIGSILKHADGLDMWLMGLGFLGAVADGTAPPLTIYLTGRLFNNVGAAAVSTSASASATATLIHTLRQVALYVLLTACGGWLACFIEGYCWTRTSERQASRMRSRYLKAVLRQDVGYFDLNATSTAEIVTSVSNDTLLIQEVITEKVPHFIATSVTFIGTCITAFLILWRLALVVFPFVLLLLIPSLVYGKILMNLARNIRLEYNMASTVAEQAISSIRTVYAFVGENKTIAHFSAALQGSVKLGLSQGLAKGLVIGSNGITYAIWAFITYYGSRMVMHHGALGGSVFVVGTCIAVGGQGLGAGLSNLKPIFEACSAAERINEVIQRVPLIDLDNMEGQILDKVLGQVEFKHVEFAYPSRSESIIFNNFSLKIPAGKTVALVRSMVGDRMSLLVQTISGVTIACAMGLFIAWRLALVMIAVQPLIIFSMYTRKAVMKSMSRKAIKAQQESSKLAAEAVSNHRTITAFSSQDRILKMLEKTHEGPRKENLRQSWFAGLALGTAQFLTNCIMAFDFWYGGQLISQGYISSKALIQTFLILVGTGNAIAEAASMTSDWAKTADVVGSLFAILDRYTRIEPDDSNGYIAEQITGHVELCGVNFAYPARPDIIILKDFSIKIEAGKSTALVGQSGSGKSTIISLIERFYDPLKGVVKISGRDIRSYHLRSLRKHIALVSQEPTLFAGTIRENIAYGASDKVDESEIIEAAKAANAHDFIAGLADGYDTFCGDRGVQLSGGQKQRIAIARAIIKNPTILLLDEATSALDSKSEKVVQEALDRVMVGRTSIVVAHRLSTIQNCHMIAVLEKGKVVEKGNHSSLLAKGPTGAYYSLVNLQRGAQDSTVSIN >OMO96721 pep supercontig:CCACVL1_1.0:contig07390:65292:67953:-1 gene:CCACVL1_04810 transcript:OMO96721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTATARAVIFSRITSLSAKPLPPSLSRFLPNRRNRPFSGVTVSCLNSGGVYDDYSVSTQKSNLDRGFLVIANMLKHIEPLDTSVISKGVSDSAKESMKRTISTMLGILPSDQFSVLVSLSKPPLHRLLFSSIITGYTLWNAEYRVSLMRNLERAAPAEQVAEEAMEGLRQQERDVVEEKREEIDSRSGGFQEFDKIRPRVFEDLSPKALNYIEKLQAELSDMEAELNAQKKENLRIECDRENRNDLLEYLRSLDANMVAELSQPSSVQVEEIIHQLVQNILQRFFKDELASDFIRDSGISNKGSHQDTTDENSDTVGTSRDYLAKLLFWCMLLGHHLRGLENRLHLSCVVGLL >OMO96723 pep supercontig:CCACVL1_1.0:contig07390:76964:77869:-1 gene:CCACVL1_04812 transcript:OMO96723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKIETSHDDTIHDVAMDYYGKRLATASSDTTIKITGITNSASQQLAILHGHKGPVWKVAWAHPKFGSVLASCSYDGQVIIWKEGNTNDWVQAHVFNDHKSSVNSIAWAPHEIGLCLACGSSDGNISVFTARADGGWDTSRIEEAHPVGVTSVSWATSMAPVALLGFGLLNPVQKLASGGCDNTVKVWKLESGSWKIDCFPALQMHSDWVRDVAWAPNLGLLKSTIASASQDGTVVIWTCAKEGEKWEGKVLKDFKSPVWSVSWSLTGNLLSVADGSNNVTLWKESVDGEWQQVSAIEQ >OMO96720 pep supercontig:CCACVL1_1.0:contig07390:63695:64804:1 gene:CCACVL1_04809 transcript:OMO96720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MFVLFIRSVLGIIFLASLLLISPVNVNAIRLFRPETETESESESESDLMMKFLEAPEYQNGPNCPLLSKDENLVCDPSIVHIAMTIDPQYLRGTIAAVHSVVKHTSCPENLFFHFIASDSSSVNANQLTRIVKSAFPSLSFKVYVFQEDLVKNLISSSIRQALDNPLNYARSYLADILEPCITRVIYLDSDTIVVDDIQKLWRIRLSGTRTIGAPEYCHADFAKYFTSEFWSDAELTRVLEGRRACYFNTGVMVMDLERWREGDCTRKIERWMRIQKERRIYELGSLPPFLLVFGGEVEGIDHRWNQHGLGGDNRVNTCRSLHPGPVSLLHWSGKGKPWVRLDADTPCPVDYLWAPYDLYHFQQQQKPE >OMO96716 pep supercontig:CCACVL1_1.0:contig07390:4820:9772:1 gene:CCACVL1_04805 transcript:OMO96716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPGKHKTGAATAKKVNGYSMRSIFMHADGADLWLMTLGFIGAVGDGFSTPLVLLVTSKLMNNLGQASAFTSETFIHNINKNSVALLYLACGSWLACFLEGFCWSRTGERQATRMRARYLKAVLRQDVEYFDLHVTSTAEVITSVSNDSLVIQDVLSEKVPNFLMNVAIFVGCYMAAFIMLWRLAIVGFPFAVLLVIPGLMYGRGLIGIARKIREEYNKAGTIAEQAISSIRTVYSFVGESKTIAEFSAALQGSVKLGLKQGLAKGLAIGSNGVVFATWSFMAYYGSRMVMYHGAAGGTVFIVGAAIAMGGLSLGASLSNLKYFSEACSAGERIMEVINRVPKIDSENLEGEILGKVSGAVELRHVEFAYPSRPESMIFKDFSLKIPAGKTVALVGGSGSGKSTVIALLQRFYDPLGGEILLDGIAIDKLQLKWLRSQMGLVSQEPALFATSIMENILFGKEDATMEEVIEAAKASNAHNFISQLPQGYDTQVMETGSHDELIENENGHYTQLVQLQQTDHKEKTLDHEATSNFSTYALSSISNMDINSTSSRRLSLVSRSSSANSITQNPPVSLPRESAVEDQKLPVPSFRRLLALNLPEWKQAVLGCLSAILFGAVQPVYAFSLGSMVSVYFLTDHDEIKEKTKIYALCFFGLSVFSLLINVGQHYNFAYMGEYLTKRIRERMLSKILTFEVGWFDKDENSSGAICSRLAKDANVVRSLVGDRMALIVQTISAVTIACTMGLVIAWRLALVMIAVQPIIIVCFYARRVLLKSMSQKAIKAQDESSKLAAEAVSNLRTITAFSSQDRILKMLEKALEGPRRESIRQSWFAGIGLGTSQCLTTCTWALDFWYGGKLISQGYITAKALFETFMILVSTGRVIADAGSMTSDLAKGSNAVGSVFAVLDRYTTIEPEDPEGYKPEKITGHVELHNIDFAYPTRSDVMIFRGFSLIIEAGKSTALVGQSGSGKSTIIGLIERFYDPLEGVVKIDGRDIRSYHLRSLRKHIALVSQEPTLFGGTIRENIAYGASDKIDESEIIKAARAANAHDFISGLKDGYDTWCGDRGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKAVQDALERVMVGRTSVVVAHRLSTIQNCDQIAVLDKGKVVEKGTHQSLLAKGPTGAYFSLVSLQRRPHNTTQMME >OMO96722 pep supercontig:CCACVL1_1.0:contig07390:70955:73448:-1 gene:CCACVL1_04811 transcript:OMO96722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVFRESEPNSRSLYCRKEKSLGLLCSNFRALYDNGGVQTIGLDDAASKLGVERRRIYDVVNILESIGVVARKGKNQYSWKGYGEIPRALEKLKEEAVKENFLISGCNKSLRVSNENESGESVNVKNPSESSKHIDSKREKSLWLITQNFVKLFLCSNAEMITLDSAAGALLGDVNNSTAMRTKVRRLYDIANVFSSMNLIEKTHHPDSRKPAFRWLGWGGQPQNGSMTTTWVFNEPKKRVFGTEITNQSLKRMKAKSLVQRKFNQKENMAFHIKHECVENVHKLEQHSQQSSKGFVFGPFLPASMPSVNKNVRSVRDWELGSKPQYLNQALGDLFAHYMEAWKSWYAEVSGKEECHHLSS >OMO96718 pep supercontig:CCACVL1_1.0:contig07390:26223:30120:1 gene:CCACVL1_04807 transcript:OMO96718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKKKKRNGSIGSIFKHADGLDMWLMGVGFLGAIADGTVTPMTIYLAGHVFNNVGTSPSASATLNQLALYVLLTTCGGSLGCFLAFLILWRLALVIFPFVLLLLIPSFVYGKILLNMARNIRLEYNKASTVAEQAISSIRTVYAFVGENKTIAHFSAALQGSVKLGLRQGLAKGLALGSNGIVYAIWSFITYYGSRMVMHHGALGGSVYIVGTCIVAGGQGLGASLLTLKPIVEACSAAERINEVIQRVPVIDLDNMEGQILCNVSGEVEFKQVEFAYPSRPESIIFKNFCLKIPAGKTVALVGSSGSGKSTVISLLQRFYDPLGGQILLDGVPINKLQLKWLRSQMGLVSQEPSLFATSIKENILFGKEDATMEEVIEAAQAYNAHNFISQFPQGYDTQTDNNNASSRRLSLGSRTSFTNSAAPNLASPSGEISNEDQRLSKPSFRRLLALNLPEWKQATLGCLGAILFGAVHPVSALMLSITILLIWGSILTKRIREKMFDQAENSSGTICARLAKDASVVRSLVGDRMSLLVQTISGVTIACALGLFMAWRLGVVMIALQPLIIFSNYTRKVVMKSMSRKAIKAQQESSKLAAEAVSNHRTITAFSSQDRILKMLEKTHEGPRKENLRQSWFAGLALGTTRLLINCILAFDFWYGGKLISQGYISPKALIQTFLILVTTGKVIVDAASMTSDWAKTASVVGSLFAILDRYTRIEPDDPNGYIAEQITGRVELCDVDFAYPARPDIIILKDFSIKIEAEKSTALVGQSGSAKSIIISLIERFYDPLKGVVKIGGRDIRSYHLRSLRKHVALVSQEPTLFAGTIRENIAYGASDKVDESEIIEAAKAANAHDFFAGLADGYDTFCGD >OMO96719 pep supercontig:CCACVL1_1.0:contig07390:46293:58107:1 gene:CCACVL1_04808 transcript:OMO96719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Paired amphipathic helix MKRTKEDVYPGSQFKRQFGSSRAESYGQNQMPGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGMAQKLTTNDALSYLKEVKEMFQDQKEKYDMFLEVMKDFKAQRTDTVGVIARVKELFKGHNNLIYGFNAFLPKGYEITLVEVEAPPKKNVEFDEAISFVNKIKKRFQNDEHVYKQFLDILNMYRKEHKDISEVYSEVAALFEDHPDLLDEFTRFLPDNSAAPSTHQVPYGRNSTQCYNERSSATPTLRHIHMDKQRRRDRIITSHADRDLSVDRPELDDDKATIKMQKDQRKRVDKDNRDRRTRDQDDTEHDNRDFNLHRFPDKKRSARKVEGFASYDDRDTLKNMCNQGFIFCEKVKERLCSSDDYQAFLKCLNIYSNGIIKRNDLQNLVTDLLGKYPDLMNEFNQFLERCENTDGLLAGVISKKSLSGDGHASRPVKLEDKDREQKREIEGAKEKERYREKYMAKSIQELDLSNCQRCSPSYRLLPDDYPIPIASQKSDLGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFKCEDDRFELDMLLESVSSTAKRAEDLLKSINENKVDSPVRIDDHFTALNLRCIERLYGDHGLDVMEILRKNPALALPVILTRLKQKQEEWTKCRADFNKVWAEIYAKNHYKSLDHRSFYFKQQDSKNLSAKSLVAEIKELKEKNQKEDDVLVASVAGHRQPLAPHLEYEYLDVDIHEDLYKLIEYSCEEMCSTKEQLNKVMRLWTTFLEPMLGVPPRPNGREGADEGGKAQNPAVNCTGSSIAESDGSPGADATVNSGQQKTASDGDENSSAELTNSCRNSLTNGETLVKEEHSGRNSRDDSKLEKEIKFVADRRPGISMNASIEKVGNSIAALAIGENNHSRNNVEGASAASRPSVTAEDREPEANADLVHLSEGGDIAKHALLVNGVPTDGSNVNKYHEESAGGSKIEKEEGELSPNGDFEEDNFVAYDDNGLKAVPKAKHSVESRQYRSGSGKELQCEDTGGENDADADDEDSENASEAGDDASGSESAGDECSREEHEEEEEVERDEVDGKAESEGEAEGMTDTHFVGVDGTSLSFSERFLFTVKPLAKHVTAVLPDEDRNNSWVFYANDDFYVLFRLHQILYERILSAKTNSTGAEIKWKNSKESSSSDLYASVLDGSADNAKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQAVATDEMDNKLLQLFEYEKSRKHGKTIDSVYYENARVLLHEENIYRVKCSSSPSRLSIQLMDNVIEKPEAFAVAMEPSFSAFLHNDFLSVFSGKKEPHGITLKRNKSKYSGLDEFAATCMAMEGVELANGLENKIACNSYKTNRLAKLKQSKLDVRREQWLSQDCNAVKNKECKEVFSGGAESSPFSAKRAVERNKSVHNSETKLREEETEDISIHDNDLESLMNSPIQSDEGHNDSREAHSGSSCSGSSSRSDCFSGSASEEEEDGCLDNWEDVADALSADDNQNNPATAMPTTYETKIESTCADQQFQNQVINRSNSESRATVCGSHMNCRAWRSDDAFRPRSLPSLPKEHNSSLNSDWHNSCGAINWAERSTLSHPSSCPICCEDLDMTDSSFLPCPCGFRLCLFCHKRILEADARCPGCRKHYDSINGKICFSR >OMP03596 pep supercontig:CCACVL1_1.0:contig06031:9929:11230:-1 gene:CCACVL1_02347 transcript:OMP03596 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MKMPSLEDELFPSTPGKFKIERGHNMNRQFHRCFASTSTMFLWALFLIALTASYLSFQSFVDSGSRYFTASWGGIQWEKQVRNSAQIHRSGGMSVLVTGAAGFVGSHVSLALKKRGDGVVGLDNFNNYYDPSLKKARKSLLSSHGILVVEGDLNDAKLLAKLFDVVAFTHVMHLAAQAGVRYAMENPSSYVHSNIAGLVTLLEVCKSANPQPSIVWASSSSVYGLNEKVPFSELDQTDRPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITIYRGKNRSDLARDFTYIDDIVKGCLGSLDTSGKSTGTGGKKRGPAPYRIFNLGNTSPVNVPELVNILERHLKVKAKRNIVQMPGNGDVPFTHANISAAQRAFGYKPTTDLQTGLKKFVRWYLSYYGYNNRKNVQ >OMO54860 pep supercontig:CCACVL1_1.0:contig14879:11390:18543:-1 gene:CCACVL1_27514 transcript:OMO54860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHCMKRKQLQALCKKHGVPANLTNRAMADRLASIFKEENEEPASSEELVSNPEELGSESGAKVTKKQAKKVRFSPDNQTFVYEVSAYGRPSRRSRRLSKNPALVTERDAKSEDIGKAADSQVRGRSSIVQNAVEDMVFSPPVGRKRGRGGMKGKDGEVNSIGKNAFSQNAVPEKVSADDSLPVPEGAETEKPLRRSKRNAKGNCAKSAAVLIQSETEVTKLQEQSEGDAALEKPPEGLRRYPSRRKSVVSGKGGNEEELGKRRYSLRRKSVVPQSGKGENEEKLGKMKTRKRARMAELDAIVEQSDEVERAEGALTSQDSAPLRRSRRRAVLQNTTSATDRELANKGDMGKMQQLSATFLGKDETAELPRKSIRNASRYNSSETFKEGLDRGSGANQCGFAEDSLLFLEMEENKDSAGNIEETLIATSVNVVGLSTDCEIQQSADKPMEFCENESNILGDERKTLVHGSDVKSEENCMSLQSADIKCVLDDSNRSEKKFIDFESNANHVDSSEITFPTESQAVEDTIASAEIAIKIGDGMSDQEMKEDTHSAPESLVVYEEDRNPVESDEERLVQVDTADGDTEMNDHHLLCWSVDGKGSVNDPALFETRPAEFESKVTNLDSSDTSLANALSLAADQVDLTGATTSVLNPEKQLETEPPEGESSNFASDTVNIDASRIFKSKISEESNNTNVTTFQSLGVAIFEDHLNEFVDNSVLKKAEQMIPIDSLSGEGIQNMESSSKLTENQESSAEFHFAMAEARGQKITEVQQSTYANTIAPEYQGIEKTCRFEAAANSNLVEPGMIDFESNGSKVISPEITSPSSRPDLARKIPSYTEVVKVLETKDPLTAETIDSINVSMDADGSRSILKDQIELQLEDANLSAAEPIAEELFSDLLNELGESIGSKRGSEIPFVKIEKLTATDLSDGEEISLNERSTEAMEMKENSDITGTTNITENIRSLNSEITTCRSPSGLASQSQDKSCNAGITVSLVRSEMCNEDEETTLVDDEETLEQDDTIEGETKYEPCMSLNKRDALDKDLAEPKMVEVESNANKVICYETTSLAGDFSSASQANLAVETLNELNLEKELEPEESPKVGNMTYKCDKIEVEDTKIPAQEDRNSMYLEDANMTADKPVPEVVIWDHSNELSENCTSKEFEVSFNKAEETGVDYSSGKGMVQTRSSIKINEKIEATAKINNDTAETGEKIFTEMQWSLSSHDSLLITPTGPKVGSQDMQVENAVSSAGCGMSGQEKNEENVEVVEIENEQTINSERELFIGGKLIFDEGCEENFLVDTIACPLLDSTESPCSKGKQNAITVGSCNKIHLETEVKHIFEVFDGEGTNHVSSEEKDNDPFPMRVDHVLVDAEKTCCGEIVNQSLDNNFAATMNGNGISTIRETDVGQDDNIVGEIALSGLKVELGGQGDCKMDAELHDTNTSMLDAILQETDASSMVRTEVPEGINASVSIKMPFEKANCDDLDDQGEGVAPQHDFSSSIGLDGLTSTVGMIIDDSPNVGSMERTLNGNIPQFLPQVTDDIDDVGKTEISIDRKVAGEDRDEYQINTRGPNDVLNGSSVEESRGDPEEIAQAKSDDCEASVKTATFIDCTDNLVVNQPLCLDESKSCNRENEEPHGLHKLHLPSDMANESRDVGSCGKLDIVSSMIPEMEFGCSSISSICATSNEHKQLENPDESHILTNMEMSFFGAIENANAGDSNYSALDKTITECNPSDLKEGNYAACSDAENTKMSAKKDGPQVNEETIEIRKDVSGLNTEMSDSEVVAEVEYKEAFRKETDLLFSQKKSATAKKEGSRSVFLKQLSSSMAKSKNKSNLIQRTPKRLVIQDMKENEPTAKREQMGNQTTPKTSAKRRPLEHVPWKF >OMO54862 pep supercontig:CCACVL1_1.0:contig14879:29161:38031:-1 gene:CCACVL1_27516 transcript:OMO54862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRWRDTVKRVRLRKAFRKIYTKLVRELEKKFSGKVRIQQVRM >OMO54861 pep supercontig:CCACVL1_1.0:contig14879:20987:21304:-1 gene:CCACVL1_27515 transcript:OMO54861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTHFCLVSFATIFLCVFSLSPLPTTFAATTIPRKPLVPKAKVALPKASKLVEKICNGDYIVNHKFCLKVLSNPEAAAAKNGNELTKVVMKMAASNAQYNNPNG >OMO54863 pep supercontig:CCACVL1_1.0:contig14879:48671:51133:1 gene:CCACVL1_27517 transcript:OMO54863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L3 MTITTSFHAEASQSPTEHWPFRRERMSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDPSKPCKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVIVGVVGYVKTPRGLRTLNTVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKQYESDEGKKGIQAQLEKMKKYATVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTVADKVDFAYKFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYKVGKSGDESHTAITDYDRTEKDITPMGGFPHYGVVKSDYLMIKGCCVGPKKRVVTLRQSLINQTSRLALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >OMO54859 pep supercontig:CCACVL1_1.0:contig14879:4424:9647:1 gene:CCACVL1_27513 transcript:OMO54859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MDTKGRLVAGSHNRNEFVLINADEVARVTSVKELSGQICQICGDEIEISVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGCPRVEGDEDEDGDDDLENEFDIANHDRRDPHHIAAAMLSARLNINRGSQPHISGISTPAELDAASVATEIPLLTYGQEDAGISADKHALIIPPFMSRGKRVHPMPVPDPSMTLPPRPMDPKKDLAVYGYGTVAWKERMEEWKRKQNEKLQVVKHEGNNGDEFEDTDLPMMDEGRQPLSRKLPISSSKINPYRIIIILRLAILGLFFHYRILHPVNDAYVLWLISVICEIWFAVSWILDQFPKWCPIERETYLDRLSLRYEKEGKPSELASVDIFVSTVDPMKEPPLITANTVLSILSVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFAQKVDYLRDKVDPTFVRERRAMKRDYEEFKVRINGLVAMAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQNRKAKSNDKKKNNKEVSKQIHALENIEEGIEGIDNEKSSLMPQIKFEKKFGQSPVFIASTLMEDGGVPKGATTASLLKEAIHVISCGYEDKSDWGKEALPIMVRVWLWVKILGALFLHSLRCVPFDINSTACILYAASYLSPYRKVYCP >OMP05198 pep supercontig:CCACVL1_1.0:contig05487:2963:6347:1 gene:CCACVL1_02013 transcript:OMP05198 gene_biotype:protein_coding transcript_biotype:protein_coding description:cc-nbs-lrr resistance protein MGQFQIFKALELGSVIARVGDDSFTFRVKRNVINHSDEFSIGDLENLDLLHGQLYIWPEGGNVDKEEAKRAKLHKKIHLAKLKLPLLDMYKIDQLIEALNLPPSLGILYDADTTVRFQIRLQRLRITETVIDSKSET >OMP03113 pep supercontig:CCACVL1_1.0:contig06147:9508:9892:-1 gene:CCACVL1_02553 transcript:OMP03113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAGRKDSRAQLKLQWSDFGAETEHRVKGKGKAGKSTGKEGKNE >OMP03112 pep supercontig:CCACVL1_1.0:contig06147:1500:2522:-1 gene:CCACVL1_02552 transcript:OMP03112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKLECVINPLASSSQNSNTSSSFTLHFMNDYFRPRALKENYCQKIELDRMLERHNMDSIRKTMQMHEDIFKHQVRELHRLYSVQRMLMDELKKEIKQNRYWTSPNSHEINQSQMINQHQLTAQTSSGYNFHVQVQGDPNPRERSGSCSGDTMRMAARGFDLETPADQDPDVSAEVSTAEDQAAAGPSSRINQMMMSNVQGSDEDSEVELTLSIGGSSSKKLTPNQESVSIHNSNRELDSSASFKSDRAGEDCSAPNTPISSSSTTFDQERKRPHWLFQGLSIKRT >OMP03114 pep supercontig:CCACVL1_1.0:contig06147:10951:11265:1 gene:CCACVL1_02554 transcript:OMP03114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYVKTSRPKAKRHLFIDTSTCIASDHVEILDRCAGLTTPFDRPNGAITAHMMNRYSRFKAKKQARISTSQSAGLGRESNLISCSPRKKNIDVVLRWKVFMQS >OMO62242 pep supercontig:CCACVL1_1.0:contig13297:1925:2803:1 gene:CCACVL1_22940 transcript:OMO62242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLSFFLLKNSIGAKMKKGIRNFCNGDGSTSTLNQHHDQQQPPEMAVTPPSVVASNANSMTQSPPTTLEEMILRLELEEELARKAKLNEFNGFRAGRMSCVNNSDILRSARNALNQYPRFSLDGKDAMYRSSFRNSEIVNGGRKSVCCDQGVRERYCKIEFESRFGKSICLPSTVGGESVIWCKPGVVAKLMGLESVPVLVSSSGSKHGENRKQKLSSLIKRQNLRRRAERHEIERRLAMEMSNNYEDLRLRRSSAEKMGSCSKAGYCVMKPVVVEPAQHGDGGWPTRRFL >OMO62243 pep supercontig:CCACVL1_1.0:contig13297:10292:10357:1 gene:CCACVL1_22941 transcript:OMO62243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNSNPKRRQMTQPFPLQP >OMO66023 pep supercontig:CCACVL1_1.0:contig12595:21490:23655:-1 gene:CCACVL1_21322 transcript:OMO66023 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP cyclohydrolase I MGALDEGHFCVELENGMKLDIADLGLEKEPETIAIEDAVKVLLQGLGEDVNREGLKKTPLRVAKALREGTRGYKQKVKDIVQGALFPEVGVHDGVGHAGGVGGLVIVRDLDLFSYCESCLLPFQVKCHVGYVPSGQRVLGLSKLSRVADIFAKRLQDPQRLADEVCAALHHGIKPAGVAVILQCSHIHFPNLETVFLDSKHQGWVKVLVSSGLGVFEDEKADAWIDFLGLLKFRGITVEKILNRDCTKQSWCPSFSLGANISSELVAPNPGMVAAVASILRSLGEDPLRKELVETPSHFVRWLMKFQNTNLEMKLNGFAYGRTDLVKAKGEACLHNNEQMHSELNLSFWSQCEHHLLPFHGLVHIGYFAPEGLNPIGKSILQSIVHFYGFKLQVQERLTRQIAETVSSILGGDIIVVVEANHTCMISRGIEKFGSNTATIAVLGRFSSDPAARAMFLESIPNSTTSGIL >OMO66019 pep supercontig:CCACVL1_1.0:contig12595:2856:8471:1 gene:CCACVL1_21318 transcript:OMO66019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSTEIASIEKSRNIVLGLSEGSSATINTQSIVAVKRMKRKFYFWEECMNLREVKALRKLNHPNIVKLKEVVRENNELFFIFEYMEHNLYHIMRERQRPFSEGEIQSFMSQMLQGLSHMHRNGYFHRDLKPENLLVTKDVLKIADFGLAREVSSMPPYTEYVSTRWYRAPEVLLQSSSYTPAIDMWAVGAILAELFTSSPIFPGESEIDQLYKICCVLGAPDWTSFPEATNISRLINISYSEILPTNLSDIIPNASSEAIDLIMQLCSWDPLRRPTADQALQHPFFNVGMWVPRPLLHDPLELRLNNMGTKPNLELNLWDFDTEPDDCFLGLTLAVKPSVSNLEVVHNVSRGMEEDILFCPRLKDHPEQSVFASNGLWGGFMTVFWSLLTPDQKGLHTPVESSLSLSFSSIQHPPIGVPQSAGFAIGSLQPNLFEHQLLAVSSPFQQGQYL >OMO66021 pep supercontig:CCACVL1_1.0:contig12595:15246:16026:-1 gene:CCACVL1_21320 transcript:OMO66021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGNISRIKSSFLPSPNIQIIPRPSPNSSSQGLPPDLHNTFEMTPAMQELLKQALDLMQPQIRTLLSHLQPHFVLFNFFQHWLPKLCSQLGIKTLCFSVFPAISGAYLTVPARLQSGQVEPSVDDLKKPPLSFPQTSLTSLKAFHPGSRFVLYFQEL >OMO66020 pep supercontig:CCACVL1_1.0:contig12595:9107:9832:-1 gene:CCACVL1_21319 transcript:OMO66020 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEGPYVDLIKTQFQKKVLLSGPLTPEPQSGVLEQKWADWLGQHPPKSVIFCSFGSETFLNKDQIKELATGLELTGLPFFLVLNFPTGVNGQAELEQALPKGFMEAIEGRGVIQTGWVQQQLILAHQSVGCYVCHSGFSSVIEALMNDCQLVLLPFKGDQFLNSNLMAGDLKAAVEVTRREEDGFFTKEDIRDAVKTVMVEVDDEPGMSIRENHNKWKQFLLNSEIQAKFISDLVQEMKVMA >OMO66022 pep supercontig:CCACVL1_1.0:contig12595:17052:20226:1 gene:CCACVL1_21321 transcript:OMO66022 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP binding protein MGGETIIHPSVTSEEESDVYQDFPLPSMSSKSPTLGSDDKFEDGKNEVDGLLKNGFGQVDPQFNSPLEFEAIKNRKRKFYREVLQSYDELKVRRKNLNEAKIKVLSYVPGAWIENVGGMNLRDYDVPETTTLLLIGPRRSGKSSLVNRISRVFEYDKFAPERAQVSYFLSFGDGTKYLQEYMIPRYSSSFCVYDTPSLNNDTSDNIDMIEHWMKEGVCHGELVFRKSEGSSLWRKLKCKTQDIPWRSCKTRTVNFVIFVVDAVTVLKSIEGGGPEEAHYMQMITRAFKCPYVSFKDDKPVVVITHGDLLSLADRARVRVHLGELLGILPTKQIFDIPESHDLVTELTIADMLRYSLEHADRNLPHKNWVMEKVRKVFVSTYIYLLAMLVIALVAAYLKHSCTRHRKKSELHIDWHAIRHLWLGD >OMO94162 pep supercontig:CCACVL1_1.0:contig07952:5460:9285:1 gene:CCACVL1_06134 transcript:OMO94162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGLSTIQQTLTPEAASVLNHSIAEASRRNHGQTTPLHVAATLLASPTGFLRQACIKSHPNSSHPLQCRALELCFSVALERLPTAQNANSGSPGLDPPISNALMAALKRAQAHQRRGCPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKATIEQSLNSTTSNSVNPAGPIGLGFRPVVAAASTVAAPSPNRNLYLNPRLQQGAAGQSGPQRSEEVKRVIDILMRNKKRNPVLVGEPEPELVVKEILRKIESKEIDGVLKNVEVVRLEKDFSLDKTQLVAKIKELSTQVGAKIGNLDCGGVILDLGDLKWLVENNQQQQQVGLSGGAQQQQVVSEAGRAAVAEMAKLLGRFGEGSGRVWLIGTATCETYLRCQVYHPSMENDWDLQAVPIAARAPLPGMFPRLGSNGILSSSVESLSPLKGFATTASQPRQLSENLDPSRKAGCCPQCIQNYEQELAKLVAAKEFEKPSSDIKSESARPALPQWLQSAKGNDGDVKTVEQMQNKDQEMILKQKTQELQKKWNDTCLRLHPSFHQPSLGSERFAHPALSMTSLYNSSLLGRQPFQPKLPLNRNLGETLQLNPSTVASQPTERTSPPASPVRTDLVLGRPKIGETTPERTHKERLRDFLGAIPSEPQTKFQDLQSDKLLNKLDADSFKKLLKGLAEKVWWQHDAASAVATTVTQCRIGNGKRRGVGSKGDIWLLFTGPDRVGKKKMALALSDQVCGAHPVVITLGSRRDDGESDVSFRGKTVLDRIAEAVRRNPFSVVMLEDIDEADMIVRGSIKRAMERGRLADSHGREISLGNVIFILTANWLPDNLKFLSNGISLDEKKLASLASGGWQLRLSLSEKTAKRRASWLHEEDRATKPRKETGSPLSFDLNEAADVDDDKADGSRNSSDLTVDHEEEHGLTNRLLSNSTSSVSHELLNSVDNAIVFKPVDFGPIRRDIAKSITKKFSSIIGDRPTIEILDEALEKITSGVWIGRSGLEEWTEKALVPSFQQLRTRLPTSEEALIVRLELDGESSNRSYGDFLPSSVKVVVDGF >OMO69203 pep supercontig:CCACVL1_1.0:contig12092:1451:5908:1 gene:CCACVL1_19602 transcript:OMO69203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MALPSHHTVANGSILVDSGQSRLAQLGYKQELKRDLSVFSNFAFSFSIISVLTGITTVYNTGLRFGGPLSFIYGWFITGVFTMFVGLSMAEICSSYPTSGGLYYWSAKLAGRNWAPFASWLTGWFNIVGQWAVTASVDFSLAQLIQVIILLSTGGKNGGGYEASKYVVIAFHGAILLIHAFINSLPISVLSFFGQLAAVWNLIGVVLLMILVPTVATERASAKFVFTHFNTDNREGISSKVYIFVLGLLMSQYTLTGYDASAHMTEETKSADKNGPKGIISSIGISIIFGWGYLLGITFAVTNIPFLLDENNDAGGYAIAEIFYLAFKNRYGSGVGGIICLGVVAIAIFFCGMSSVTSNSRMAYAFSRDGAMPLSSLWHKVNKQEVPINAVWLSAFISFCMALTSLGSLVAFQAMVSIATIGLYIAYALPIFFRVTLARKSFSPGPFNLGRYGVLVGWVAVLWVATISVLFSLPVAYPITSETLNYTPVAVCGLLLLTVSSWIISARHWFTGPITNIDK >OMO85737 pep supercontig:CCACVL1_1.0:contig09587:9262:9321:1 gene:CCACVL1_10030 transcript:OMO85737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVSIAFVLGRNSKDEIL >OMO99428 pep supercontig:CCACVL1_1.0:contig06901:20502:20930:-1 gene:CCACVL1_03808 transcript:OMO99428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVRFAALMIALLVTMSATVSESRVARKDLGLDLGGIGLGIGAGLGIGLGGGGGSGSGAGAGSGSGSGGSSSSSSSASSSSSSSSGGGGGSYAGSQAGSSAGSYAGSRDGSGAGSEAGSSAGSSAGSRAGSGRGRGRGNGN >OMO99426 pep supercontig:CCACVL1_1.0:contig06901:9806:10150:-1 gene:CCACVL1_03806 transcript:OMO99426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYWKCLALVALLVCSCAMVSESRVARKDLGIDLGGIGVGLGIGLGLGLGGSGSGSGAGAGSGSGSSSSSSSSSSSSSSSSSSGGSGGSGAGSEAGSSAGSRAGSSAGSGGRG >OMO99427 pep supercontig:CCACVL1_1.0:contig06901:11983:12480:-1 gene:CCACVL1_03807 transcript:OMO99427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLKSLALVACLLAISAIVSECRVARKDLGLDLGGVGLGVGAGVGLGLGGSGSGSGAGAGAGSGSGSAGSSSSSSSSSSSSSYSNSGSGSGAGSEAGSYAGSSAGSRAGSGSGGNQGRHGGSGSGSGHGEGYGQGSGRADGSGNGEGYGEGHGYGEGHGSGGDN >OMO99432 pep supercontig:CCACVL1_1.0:contig06901:37996:38160:-1 gene:CCACVL1_03812 transcript:OMO99432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLIGAEAFLNPSNMELEHHLSRNKSLPMSHSSNCRALCGISIYHRRTTDSMV >OMO99433 pep supercontig:CCACVL1_1.0:contig06901:38703:38942:-1 gene:CCACVL1_03813 transcript:OMO99433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSSKGPENHDQKQLPANPPPGYAFHQVSLQQNLEPTGGWVNVAPQIVGSATTIALGPYPVSMAAALAALSLLLPVH >OMO99434 pep supercontig:CCACVL1_1.0:contig06901:41828:41908:-1 gene:CCACVL1_03814 transcript:OMO99434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GATIVDQSVIIDLAPNYKPPPTPVMS >OMO99430 pep supercontig:CCACVL1_1.0:contig06901:29381:30382:-1 gene:CCACVL1_03810 transcript:OMO99430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MADNANNNNNPAAAEAAVAVAIVEENKPKQEADQKEEKKIKTSKIFNVKHISGLLSVVSFLLSLPILASVIWLLYMKSYDCEDLFKLPSLQIWISVGLIFVFLICNASLFLQTRFPMLGIIVIMVPLTMMFVVGLALLGANNMESRRIPATPLWFKTKIRDNGLWSNVKSCIYDTKVCQDLAITSMELESFELSNKKLSAIEAGCCRPPIVCEMRYVNATFWERDEKLVNEFSYNNTDCDSWKNERDVLCYDCQSCREGYLKTLKKKWLRLGVFLVVMAVLLIISHLFLFMVRMWELHLS >OMO99429 pep supercontig:CCACVL1_1.0:contig06901:26748:28435:1 gene:CCACVL1_03809 transcript:OMO99429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQNRSVYEGRSIQDPGLVRHGSLAAAGPPVAHGALESLRSSELLERKIASQAAEIERLAGDNQRLASSHIALREDLVATRQDAQKVKEHIKSIQTESDIQIRVLLEKIAKMEADIRVGDSVKKELQQAHIEAQNLVKVRQELMAQLQQASQELQKTRADVKGLPELLAELEGLKKEHHRLRVTFQYEKDSNIEQVEQMQAMEKNLIGMAREVERLHADVFSAEKRVHAPIGPVPHAGGYMNHDPSYVQHFHGGSAYFDGYGRPVMQMGLGPTEGMLQYGSSANIPAASQAIPAPVWEAPYDPSLAQR >OMO99431 pep supercontig:CCACVL1_1.0:contig06901:32899:35840:1 gene:CCACVL1_03811 transcript:OMO99431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVEKWNEQRENGTFPGPL >OMO73037 pep supercontig:CCACVL1_1.0:contig11317:1515:1991:-1 gene:CCACVL1_17489 transcript:OMO73037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTCPSQASHASPAAKKPLMAAIRDVKGRMANKHPAPAPGVFLHDFNTKVLPPYGDCQFVGYDKIGDQSLEAPASANPVPRTEFEADAEVYKPVPFTDLTENFAGNGTAALGGYKACFGTPVDGPFDAAACTCVSDDMFEILEADSAARANMDPGSKL >OMO50482 pep supercontig:CCACVL1_1.0:contig16157:19346:19471:1 gene:CCACVL1_30413 transcript:OMO50482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATDGNREAAIEAKEETDSGKENKVTLPAEGDQFKEKAAS >OMO50484 pep supercontig:CCACVL1_1.0:contig16157:38447:39127:1 gene:CCACVL1_30415 transcript:OMO50484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S15 MGKVKNREVSWRRHHGSSAQSSASTLPYKRTPPSWLKISSQDVEENICKFAKKGLKHLRLAHGLALEIPEDLYHFIKKVVAIRKHLERNRKDKDSKFRLILVESRIHRLAHYYKKTKKFPPTWKPRSLNSWNV >OMO50481 pep supercontig:CCACVL1_1.0:contig16157:10804:13966:-1 gene:CCACVL1_30412 transcript:OMO50481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxykinase, ATP-utilizing MFGESPGFSDGLNWALAGKGVIVMEKAFQNLTSSELQQKGATISESLSGLPLHVRGNLGGASAISKAQYSRLLRLVTTHLSSVSNIFVHDGAIGSSPKSDVKVRVISDSPSAVLTLSSILWKTPSRAVSHDSCPLTVYAATSISEAVGDAVGLGSQGNTGYIAADVERSALILCGKAFADIDGTKKALAALCGPVLYARGGLPLSARLLVSGDSVALFFASDNAIQSCADLLVSADVGVVLSPDGVAPLFQTNKLSDVNLYNMPSAVIIATFDSSGTIPSVSKLSPGQAAYHFLAGYQNGEFVPAYAKGPSCIDALDLAKALLSKLKEYQIPTFLVNIGKGEMSLT >OMO50485 pep supercontig:CCACVL1_1.0:contig16157:39902:40024:-1 gene:CCACVL1_30416 transcript:OMO50485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPWNLEEVPCCVSDKEKDRRSWTRVKKLLWNPGVEAEF >OMO50483 pep supercontig:CCACVL1_1.0:contig16157:20226:37554:-1 gene:CCACVL1_30414 transcript:OMO50483 gene_biotype:protein_coding transcript_biotype:protein_coding description:RecF/RecN/SMC MEEPRVKRPKISRGEDDYLPGNITEIELHNFMTFNHLVCKPGSRLNLVIGPNGSGKSSLVCAIALCLGGEPQLLGRAKHIGAFVKRGETAGYIKISLRGYTKEERITIARKIDTENKSDWSYNGKSVPKREILEVIRKFNIQVNNLTQFLPQDRVCEFAKLTPIQLLEETEKAVGDPQLPVQHSTLIEKSRELKKYQKAVETMQESLKQLVALNAELEKDVERVRQRNELLEKVDYMKKKLPWLKYDMKKAEYFKAQKMEKDAEEKLAEAAKTLNDLEAPIKKQKNEKEKLEDKCKHLSNLMNENIRKRADILNKENAAAVQVRSKYKDVEEMRKKEDSRKEKILADKKKLDDAETELQCLRSYKPPKEEIESLISQIAEKTSSAREKKRQKEMKEKHLGQLKSALRNCVNSLTDLENKNTKLLHALKNTGAERIFDAYQWLQQHRHELNKEVFGPVLLEVNVSDKLHANFLEGHVAHYIWKSFITQDSRDRDFLVKNLESFDVPILNYVGGQSDRKEPFEISNQMRELGIYSRLDQVFDAPTAVKEVLTSQCSLDRSYIGSGNTDQNADYIANLGIFDFWTPQNHYHWSVSRYDNNAISATVEPVGDSRLLLSGLDLGETETLKSRKIELENSVADAERGIKLLQSEQRQLEDESAKIEKQREDMVLTSRRMIQKQKNMENYVAQLKSTLSLEESDDLETAVAKLIDRAKRSNIERFNHAIKIKDLLVEAVAWKWSFAEKHMSFIENDAKIRELEVNLTAHKKVASKASLDLQKCKEDVEDYLQQLSAAKKHAESIAIITPELAKLFRKMPTTIEELEAAIQDNISQANSIFCLNGNNILLEYEDRRRQIETLSEKLEADNNKLQKCLEEIDALKGTWLPTLRNLVNQINETFSRNFKEMAVAGEVSLDEHDADFDQFGIFIKVKFRQAGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQPNTPQCFLLTPKLLPNLEYSEACSILNIMNGPWIQTPSKVWSKGERWSTIAGLVEESRC >OMO50486 pep supercontig:CCACVL1_1.0:contig16157:40382:44411:1 gene:CCACVL1_30417 transcript:OMO50486 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MASGDDKHKVLQGMEEVRNGQWFEDESNLSIPTCSSQKVGNSNDLHQLGESEALLVSESIGNISYKEEKVNLTSNEIDIKEEKGVYVGVEEDINTQMEIEDNGLGNIWQEMSMALEVSKNTSEDPSELSKRMSEDENVCNHSFVLRDDLGEVCRVCGVIGRGIENIIEIQFSKVKKNNAHLESRNGKVGYSTEIVGAVKFSEDPHELIVTNDIVAHPRHMKKMKPHQIEGFNFLVSNLVTDNPGGCILAHAPGSGKTFMIISFIQSFLAKYPQAKPLVVLPKGILPTWKREFETWQVEDIPMLNFYSVKADNRFQQLDVLNQWVQSKSILFLGYKQFSSIVCDGGTSQTSTACREILLKAPSILVILDEGHTPRNENTDVLQSLVKVQTARKVVLSGTLYQNHVKERIMSKAGKKLFNKAGAETAFYDLVERTLQKDGNFERKISVIDDLHEMTSKVLHYYKGDFLDELPGLVDFTVVLNLSPKQRNEVEKLKQFQRKFKISSAGSAVYLHPELNSFFENSDSVITDHHVMDNMLDKVDLKEGAKATFFLNMLNLCEAAGEKLLVFSQYLIPLRFLERLCVKLKGWHPGNQIFSIIGEASADHREQSMERFNNSPDAKVFLGSIKACGEGISLVGASRIIMLDVHLNPSVTRQAIGRAFRPGQKKKVYVYRLVAGDSPEEEDHITCLNKELIAKMWFEWSKYCSNRGFDIEPVNLNESNDVFLESHLLTKDIRALYKRTPIASIDTLTVKLADPNASKGAVGFGMLKW >OMO50487 pep supercontig:CCACVL1_1.0:contig16157:49725:53639:1 gene:CCACVL1_30418 transcript:OMO50487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMDSEMQDTEAEASVEESQSIINYEKDLIPDVECSAEFDKSENSDQESSRVAFAKMFVLEELPFNISTWTSRQKVSYLCLTAHFIDEDWKLHRKILNFFPVSNHEDEEIGRLVEWCLSRWKIKNVATVTVGNSGFNGVALLVLKNKLHRKGSLLFDDEPEVKTSLARIRDAVRWTSTYSMIRSALNFQKAFELLEDEDPKFVNALTDRAPTIDDWNKARSLLELLKMFYDASVELSGSHVTSNLIFKFLFEKEFEGKTFVVELLKGNWTELIHADASKAYHGRSDAPRTYYGLEDKHGEHGKDVQRLQGSMKMHEDHGDIDNHVLSTKKMPFDPLKMLIGPMTRARAKRFKVALMGLVQTHLDDLKTIEVQLKRFGDDLGKKLQINYKFITLLAAYSKWPD >OMO79626 pep supercontig:CCACVL1_1.0:contig10393:2118:5978:-1 gene:CCACVL1_13545 transcript:OMO79626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVELNYPVDVTKLMGSEGFGRGARVGEDYKPLLNTSIDCCTASVINKDENDSESASLSKIPGAELCRLASQVPSCQAGEFSEQHDYRGSSFHLPNTGPDVVIAQKKAGKIPRSGSGCSKRARLSQLEDNTGSTGLDDVKDITDKLGSHSTKCSFSDKAQMSRQKNSFSGKRGDRRSFKVPLKQRFDSFSMKAGLASFSMASGGNNFLGLYGLKTDVHDISKLVDDLSLNELLDGTYECPNLGREKGKKAANTTENFLHSVKKACSILPHRRVQSQNFTDIENSSQKKMSMYPPSSVLASCNNTDKEDTCSTDPSPCNQAQDSCGKPEMPSNPPDPQLCQPQDILECLALPPAKDLDSLLLDATKPSSTTRSNTDTRSGKQITRRSSLPPFPWSHTFNGHCRTNSDAVKCLSNKSTCQGRWVKIPNTSTSPGLASGCFTDLESLAYDPSLIPSGLKLGSSEGGMAPSIDSLRLCEQGSSSLATDTKASNVPQESGLRQADQFNAGQCPRLLAAAQTLYDIATKSLGQTPDGITRWPKKPSQKAMKARKTKSIEKSEEIYAAPSSVLGSDKLKRSDVDQILPSKRPKLSVVENKKDLSHINGVRKGPIAWSTPRSSRSSPGKSLRDSIVEIRHSTANVMKPPCTMHPATAALDKSCNSQHKLRKVMPVDWKRGR >OMO79629 pep supercontig:CCACVL1_1.0:contig10393:15964:23032:1 gene:CCACVL1_13549 transcript:OMO79629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, MIZ-type MTMMPPAAAAGMPGLGPGQQVSASVVNSFRVAAVAERLATHIQPGGPTQSSEGIDYAIANSEVPAKAQELPALLKKICQRRNDLFLQAAIMVLMISVKNACKMSWFSAKESRDLIALANEVGSCFGSCGYVNDSDSTIYAVMSRFYPLMKMGQILASLKAKPGYGALVIDFHIAKNTMHSPHEKIRLFVAQTDNIETSACIISPQQVNFLLNGKGVDRRTNVLMDTGPQMPTNVTSMLKYGTNLLQAVGQFSGHYIIVVAFMSMESSSPDSSMLLDYVQSGEVAPDSDSDIIEGPSRISLKCPISRTRIKTPVKGKACKHLQCFDFNNYVDINTRRPSWRCPHCNQHVCYNEIRVDQNMAKVLKEVAEDVSHVIISADGSWKAVFDNDDNEDELRDKILNCEKDGSEQPESAKAIPMVVDLTHDGNEVDAMETEIEDIKPSVANLQSESAAPTTIPELMNTVGVNQNVDSHLVDNFWSELYLGHGFGASSSRTDTPTVGTSESTPNFTVPPVFSDAITPAANRTEAYGNAADRTNLGIQNQYPAGSNLQLQAINSAASHEYGRLQHIPRHINRTPIAVQALPAAAPSPTATLQQRPRNGLNTLSTNGSPLPQANSPANPHQSWNQQERPLVPSQSVQQVAGIAASSQLPGSYRASSGLPTVNQNLQRQQALNPRLSQPRSPSPGLIRSPSPLLRTPTQQGAAQVGLGHTAGSNSIPTRFRPASQRPAKMARQSPMVAVQTQTPRPPSTYSGTVDRSRVSAGERLNMGGLASAASRADASGALASDDNWRPAGRMRGSLTGRAYSSALSQLMIQPTQSTQAARPQTNLTSPPARPQANLTSPPARPQTNLASPSSAPSVSSHLQALLANSRNGSVPVPMIPVSVAQVQNNGTTETSGINGSSNIPPGTSSGMH >OMO79628 pep supercontig:CCACVL1_1.0:contig10393:14936:15487:-1 gene:CCACVL1_13548 transcript:OMO79628 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MSASSSSNSGRRILKLKSSDEKIFDVEEEVAARSETIKGLIAENNDPGVIPIHNVDGETLERVIDWCKKIVQQRQENINPPAPGPAPAAWNRRASAPAAADAWKDEFYKIDLTAYYDLLKAANYLEVQDLLDETCERVAGFIRMKTPDEVREIFNIENDFTPEELEKIDRAREWTIRNDVFWG >OMO79627 pep supercontig:CCACVL1_1.0:contig10393:10853:14197:1 gene:CCACVL1_13547 transcript:OMO79627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENLLDDIIRRLVDAKNGRTTKQVQLTEAEIKQLCVASKEIFLSQPNLLELEAPIKICGDVHGQFSDLLRLFEYGGYPPAANYLFLGDYVDRGKQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKRRFSVRVWKTFTDCFNCLPVAALIDEKILCMHGGLSPDLKNLDQIRNIARPVDVPDQGLLCDLLWADPDKDIEGWGENDRGVSYTFGADKVSEFLQKHDLDLVCRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDDTLTCSFQILKSYEKKGKFGFANNNTLRPGTPPHKGGKG >OMO79625 pep supercontig:CCACVL1_1.0:contig10393:1106:1519:1 gene:CCACVL1_13544 transcript:OMO79625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQVPPPPIPTPSPPVRTPPPTAAIGIARPAPPLAVGIPLPAWTAMAPPALYAATAILPPPPPPYLSRGTGVFHPRPPRGTGVFHPRPLFPPELYQIHRPPVPPALLPPVRPAPPPRPAGLPSARVIPGAVLSDQE >OMO79630 pep supercontig:CCACVL1_1.0:contig10393:25556:28681:1 gene:CCACVL1_13550 transcript:OMO79630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMATSIKEGKKLFWDVSSCNTYIYGDSLYWDSRYVQKADGTFDWYQRYSSLRPFIRNHIPTSSLVLMALIKSTNRLLDSLLPKDEPKLAVPLLLLIAQHRSVVLINADSPYIKMLMPSLDDLVHLYHLDPEVYELGALSSCCHFRDDNEANTTTASSKSESKGDSDGVIVDLGPPRWAELLDTVKTMLPSKAWNNLSPDLYATFWRLTLYDLYVPRNRYESEMAKQHAALKALEEFPDNSSSAINKRKKDKERIQEALDRLTSELHKHEEMWHLFVDD >OMO51913 pep supercontig:CCACVL1_1.0:contig15680:24885:34742:1 gene:CCACVL1_29505 transcript:OMO51913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSFWLARLRSCAKKSAFSNVYRDSSHFKFQFTASQKNVPSSTIAERTRTQSFSWSSSLLPLALAVSAGSFAFQSQLSLCEADNLDSRNVRIGGKSSTEYVVKGTHKEVPQELIDELKAICQDNMTLDYDERFFHGKPQNSFHKAVNIPDVVVFPRSEEEVSQIVKSCNKHKVPIVPYGGATSIEGHTLSPSGGVCINMTLMKSVKALHIEDMDVVVEPGIGWMELNEYLEPYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVISLKVVLANGDIVKTASRARKSSAGYDLTRLMIGSEGTLGVITEVTLRLQKIPQHSVVAMCNFPTVKDAADVAIATMLSGIQVSRVELLDEVQVRAINIANGKNLPEVPSLMFEFIGTEAYSREQTQIVQRIVSEHNGSNFVFAEDPEAKKELWKIRKEALWACFAMEPNNEAMISDVCVPLSHLAELISRSKKELDASELVCTVIAHAGDGNFHTVILFDPKNEEQRREAERLNQFMVYTALSMEGTCTGEHGVGTGKMKYLEKELGLEALQTMKKIKTALDPNSIMNPGKLIPPHVCF >OMO51915 pep supercontig:CCACVL1_1.0:contig15680:52387:54451:1 gene:CCACVL1_29507 transcript:OMO51915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNFHRPEPTWTKSSESDSDDNANQAETQAIVNAYRPRAWRGPILQAYEAEITDSRILWGHCILAYMFDIWVFPVSYLQSLVRREWRSTGAIQVIGHQGAFFTVDWWRTNSVLRHILPDRVPVWLQLLDLPLEYQVPSIAQRMASLAGEVIEVDWMNIIRRNIRFMRMRKIERRIGLPIFYDTQEVHFTNNIMAFHRRVKRHTTRMTFSRMNDGDQKDSDDFDRSQQFRHHKFSPLPFEVPYAPTRSTILAEEHCEWRDLVTGRNLLPMDFGLLNPDIAEMAPDLNVPVALLPTDRTGTLQDIITVHARPVPPTLDEWLLCREQLDANNPTLLKSTAPDDINGSFSFSQVLQTTGVTQQPQPTTAFSPQPEPLHHIDQTLTPHLLLNTEPLPTSSQPLPITPPPPPPPHLETSVPTRSTQPLSTETTPSIIQPNQVDTSDNTKSPPKEANLPCLGTKRKRSSSQDGSSRDAQSKSEESASKKLLTEQFISLDVKDDASTSQIEEHDLALGLRQAVPQ >OMO51914 pep supercontig:CCACVL1_1.0:contig15680:38762:39514:1 gene:CCACVL1_29506 transcript:OMO51914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MGSFPHIVEDCMGFLQVLSDGTIFRSKTIEFNMPLLHEKSVHFKDALFDKNHDLHLRIYKPMMISNNNNKKLPIIIFIHGGGFCLGSRVWPTCHNTCLRLASGLNALVVAPDYRLAPEHRLPAAMDDVASAMKWLQGQALSSGSENRDAWFNSEEVDFEQVFVLGDSSGGNIAHHMAVRFGLGSTELGPVRVRGYVLLAPFFGGVVRTKSEEGPSEALLNLDILDRYSRLFSFLDYSKFNNKFYIVDYYF >OMP09490 pep supercontig:CCACVL1_1.0:contig03196:2397:6439:-1 gene:CCACVL1_01059 transcript:OMP09490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase MAKDLRETEETNKLTQENPEFEEEEEDDDDDIKEAWTEEENEDDDENGEELEFLCLFCDSKYGSSDALFEHCRLTHYFDFNGIKTELGLDFYGCFKLINFVRSQVADNKCWSCGVRCQSKQELQSHLHQSLNTKDVKLLIDDDKFLSPFMQEDSLLYSFGGDAVEGVDEDDDITSFDKEEFVKEFGNVCIDDDDSAKEIELNIEASTKNGNKAVVTVSNGHLSMASSSKTVTDNGMDNGESVRSCDSNSKGKHSRVYVADIAEKDIKKVNESYFESYSSFGIHREMINDKIRTDSYRQALLKNPSLLNGAVVMDVGCGTGILSLFAAQAGASRVIAIEASKKMATVATQIAKDNGLWRSETDAESNNSCTGVIEVVQSMVEDLDKCIQIQPHSVDVLVSEWMGYCLLYESMLSSVLFARDRWLKPGGAILPDTATIFVAGFGKGGTSLPFWENVYGFNMSSIGKEVVENASKFPVVDIVSHHDLVTNAAVVQSFDLATMKPDEVDFTASAELEPKWDSLASNPNNATSCYGIVLWFDTGFSSRFCKETPTNLSTSPYTPKTHWSQTILTFQEPIAVASSKFTGEGSAPIGTDACPALKLLLRISIARGTEHRCIDISLETAGIGPNGKKRSWPGQMFNLS >OMO59387 pep supercontig:CCACVL1_1.0:contig13986:217:294:-1 gene:CCACVL1_24866 transcript:OMO59387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPERPEITSVLNELKTSQWTNLTK >OMO85598 pep supercontig:CCACVL1_1.0:contig09601:15988:22734:-1 gene:CCACVL1_10087 transcript:OMO85598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLPLPEVSSPIVLKFSPSSPSLQTLFNVNRPFLYRHKQRRKTHSLFPISNTTKAVYTQGSLSISGLNTQKLVPKREKVELDTDAISILNERIRRDHGNRETARPAMDSQEADKYIKLVKEQQQRGLQKLKGNRESKEGGVFSYKVDPYTLRSGDYVVHKKVGVGRFVGVKFDVPKGSTEPIEYVFIEYADGMAKLPVKQASRMLYRYNLPNETKRPKALSKLSDTTVWERRKIKGKVAIQKMVVDLMELYLHRLKQRRPPYPKNPAMAEFAAQFPYKPTPDQKQAFIDVEKDLTEKETPMDRLICGDVGFGKTEVALRAIFCVVSAGKQAMVLAPTIVLAKQHFDVISERFSKDPGIKVGLLSRFQTKAEKEEYLSMIKKGELDIIVGTHSLLGSRVVYNNLGLLVVDEEQRFGVKQKEKIASFKTSLDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIKTHLSAFGKEKVVAAIKYELDRGGQVFYVLPRIKGLEEVMDFLEQSFPDVNIAIAHGKQYSKQLEETMEKFEQGEIKILICTNIVESGLDIQNANTIIIQDVQQFGLAQLYQLRGRVGRADKEAYAYLFYPDKSLLTDQALERLAALEECCELGQGFQLAEKDMGIRGFGTIFGEQQTGDVGNVGIDLFFEMLFESLSKVEEHRVVSVPYQSVQIDININPRLPSEYINHLENPMEIINEAEKAAENDIWSLMQFTENLRRQYGKEPYSMEILLKKFYVRRMAADLGISRIYASGKMVGMETNMSKRVFKLMTDSMTSEAHRNSLLFEDNEIKAELLLELPREQLLNWIFQCLAELHASLPALIKY >OMO85600 pep supercontig:CCACVL1_1.0:contig09601:36773:37495:-1 gene:CCACVL1_10089 transcript:OMO85600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVPCPSKGKKKQTQGRFLGVRRRPWGRYAAEIRDPNTKERHWLGTFDTAEEAALAYDRAARSMRGPRARTNFVYSDMPPGSSVTSIVSPDERNSQTQQHNNINPQLCFSQELDYSNSNQCQFSSMPQQHVWGSSIDNNMGLLMSYSSESELPPLPDSYSADNSSVSGSGTEYFMGWEAEDQSRKINSNEFEVPSTANGSFFGFDTSEYVHSPLFSRMPSVSDNVPDGFNLGGSSSYFF >OMO85596 pep supercontig:CCACVL1_1.0:contig09601:1881:4188:1 gene:CCACVL1_10085 transcript:OMO85596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein MLPDDSFDDSNHQGSDLLLDDNGFPLHLEEEEDEGSFAADFYRCGTDWSCLVRKRDTDDTPAHDRKLKQANLFQMWGGAGGGGAKKPRLQHHQPNHANIPNPQSSSTSIANRPRVCPFYKKIPGTSFTVDAFRYGCVEGCSAYFLTHFHADHYGGLTKGWSHGPIYCTPLTARLLTLCLSVNPSFINPLELDKEHAIQGVKVTLLDANHCPGAALIHFRLPDGKCYLHTGDFRASKLMQAYPLLVNHRVNLLYLDTTYCNPRYKFPSKEDVLSFVVEITNNYLKKQPRTLVVVGAYSIGKECVYLAISKALGVKVFANASRRRILQSFGWPELSSNLCTNGKDTLLHVLPISSLRFETLKDYLKTYREKYAAVLAFRPTGWTYSEKIGNQLDLIRPISRGNVTIYGVPYSEHSSFTELQEFVQFLKPGKIIPTVNVGNATNRDKMQSHFRQWLRG >OMO85597 pep supercontig:CCACVL1_1.0:contig09601:10380:14735:-1 gene:CCACVL1_10086 transcript:OMO85597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDVRGGSRPSSSMASKSPSQAVAAGIFQINTAVAAFLRLVDAIGTAKDTPDHRQKLHNTRQKILQLVKDTSAKLKALSESDHDPNVNPSKKIEDAKLARDFQTTLQEFQKVQQLASERESTYSPAAPPPSLPTTSASDDSWEPTLPQEKQPFVMQQRRQELVLLDNEIAFNEAMIEEREQGIREVEVQIGEVNDIFKDLAVLVNDQGVIIDDISSNIDSSSVATTQARVQLARASKSVKSRTSWCWWVLAIVVLALVEQLREILVILIDICREEKASRETEMAGLLYQLFASSTLLSLGIYNLICTTRNLIKSPQSYSAKPFHPPPPFSSSPRLKYLPLYLLLLCLFIAFLHQFFISFDPDPLLKGRTPVHRFTALHSAAVLFLFFILSLFLLLSDSTSLLPLPSDLFFAFASALFFLQYSVSSSAAAVQTSDLQAKCDSLSALISALASLMCLILACQPKLFVAEVGLGAALCLQGFWELQTGLSLYVDAFIPEGCHKLLDVVSGVEGSTKCDLDESKLRAVAILDLLFVVHVMFVVIIVMLTYALVAKTVGVRRLGSYEPLPTNAADSNHIQMKALAGTQA >OMO85599 pep supercontig:CCACVL1_1.0:contig09601:23034:28292:-1 gene:CCACVL1_10088 transcript:OMO85599 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MVNGLIVFTLAVLSSVSGLQVAEKKLTNGELLLLACVILVGLFALQHCGTHRVAFMFAPIVIIWLLSIFSIGLYNIIRWNPKIVRAISPYYIIKFFRETGKDGWISLGGILLSITGTEAMYADLGHFTATSIRLAFAFVIYPCLVVQYMGQAAFLSKNLESIPKSFFDSIPGLACMTVMFITTFLMALVITFVWQKSVLLSATFLLFFWFIEGVYLSAAFTKVPQGGWVPLVLSIIFMMVMYIWHYGTRRKYNFDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHKVLVFVCVKSVPVPYVSPEERFLIGRICPRPYRMYRCIVRYGYKDIQRDDGNFENQLIHSIAEFIQMEAGEPQFCSSESSSYDGRMAVISTRTIQSSSSLIVSEVEDFTISNSIQSSKSLTLQSMRSAYDDENPPARRRQVRFQLPPNSGMDPSVREELMDLIQAKEAGVAYIMGHSYVKARKSSSFLKKLVIDMGLLSKKSVWVDIEHSSVHAEGISVLEEWNVKRVPGILEGTAHFQPQNLEVSALVLANLVLEGRGQVQIQGPGGWSVGWGQPQGQGQGQGQGQGQNQGQGQGQGQGWGQGQGQGQNQGQDQGQGQDQSQGQGQGQDTNSFSSCINQLTPCMYYLNGTGKVPDSCCDPLKDMIKSRPQCLCSMISNKGSQQAEQAGINVNDAQQLPARCGQHVNLLACISSPPNSKTSADESAAVLLFPSHTIIITIAICKG >OMO61493 pep supercontig:CCACVL1_1.0:contig13463:6531:7792:-1 gene:CCACVL1_23476 transcript:OMO61493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLLMHIEGDEGDSEPPKGFDYDDAWYQSVVQAWQSRIHQSQGCLVAAQHMDWNKALGEISSTQMHEHKDMQIICSPLSDVSQHRFVQTAVKDSQIAQAIENLTDVIAAVNKNINLMRKSILMYQQNTNKILKLMADKILGRTPENEDLGERSAPDTQSDDSDETPLEEGKQHKDSENVFDEEEQNLDQLKEEEEKEEKKDEDDDDDDEMENDEEQDKDKELKDDAKEDLNQDEEEKGEDGSDRNQEEEIKQTSEEGERTSTEKAPSPAVDETNVSKASSETNSAEGGLTLATTEEVIAGLKPLASAPASPKGTGKATPPSHSTSSPTKRSSAVIKPKKKVTKKAATTTDEAPAQAT >OMO76455 pep supercontig:CCACVL1_1.0:contig10902:10937:17309:-1 gene:CCACVL1_15653 transcript:OMO76455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIH subunit p52/Tfb2 MPQVKIIAKNFMDMVASLPAIKLDMLYRNQFICEAILRSLPPLAKKYVLQMLYIDVPVTSKSLMEWLLADGSSKHKVAIDRLIQLRILEVVDRKKETTYKLNPTFQTNLRKHLVYGGILPREPMPSSVTARLPTVEELDEYAHDKWERFLLQLISPGQAETATSFSSSMMRIFQRGLIRQREKEAPRLTESGFQFLLMDTNAQLWYIIREYISNSEEQGVDQADLIAFLLELSFHTSGQAYNINTLTDDQRIMIKDLADLGLVKLQQGRKESWFIPTKLATNLSVSLTDSSSRKQGFVVVETNFRMYAYSSSKLHCEILRLFARVEYQLPNLIVSAITKESLYTAFENGITAEQIVTFLQQNAHPRVAEKIPSVPENVTDQIRLWETDLNRVEMTPAHHYDEFPSRDVFEAASDFARVHCGLLWEDAKKMRLIVKADIHMLMREHLRGQNRVWQRDTGGSSFDDFTSKAPCMQYMVMISALVLVETGIAADILLNSDWEKDLPQDPTGRFHDFKEYVKSNLDIFKWIGLIIILGQGLSILLATVLRALGPNNCSNYDSDEEFPSPARLPLISNHAQQPPYVIGDSAPFPNKNESWNTTK >OMP01305 pep supercontig:CCACVL1_1.0:contig06479:2890:2973:1 gene:CCACVL1_03122 transcript:OMP01305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PIQNSSNGPLKARNNKCSERAKNFTMD >OMP12078 pep supercontig:CCACVL1_1.0:contig00458:5364:5477:1 gene:CCACVL1_00140 transcript:OMP12078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVEERRSTTFGAPGSGSGSRRWRSERGDFQQPREKAD >OMO84289 pep supercontig:CCACVL1_1.0:contig09756:523:678:-1 gene:CCACVL1_10899 transcript:OMO84289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGTTRRVTKPLINADSMELMVACVKMPTLIFLLELFQAYRTIPAVFFILM >OMO84292 pep supercontig:CCACVL1_1.0:contig09756:7931:12174:-1 gene:CCACVL1_10902 transcript:OMO84292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate synthase component I, PabB-like protein MTTAMATAMETLAVTPRPLPSTPLRFPPTISVNFNATFSSSRSRSISLLSSTSRVRPLQCSAASSSPSLVDQSVKFREASKNGNLVPLYRCIFSDHLTPVIAYRCLVKEDDRDAPSFLFESVEPGLQSSSIGRYSVVGAQPSIEIVAKENMVTIMNHDEGRRTEEIVDDPMTVPRRIMENWEPQRIDELPEVFCGGWVGYFSYDTVRYVEKKKLPFSSAPLDDRSLPDVHLCLYDDVIVFDHVEKKAFVIHWVRLDQYSSVDEAYNDGMKRLETLVSRVHDIDPPKLPAGSIKLYTRLFGPKLEISSMSSDAYKEAVLLAKEHILAGDIFQIVLSQRFERRTFADPFEIYRALRIVNPSPYMTYLQARGCILVASSPEILTRVNKGKITNRPLAGTIRRGKTPKEDLMLEKELLADEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVTGELLDDLSSWDVLRAALPVGTVSGAPKVKAMELIDQLEVTRRGPYSGGFGGISFSGDMDIALALRTIVFPTATRYDTMYSYKDVNKRREWVAHLQAGAGIVADSVPADEQRECENKAAALARAIDLAESSFVDK >OMO84290 pep supercontig:CCACVL1_1.0:contig09756:4143:4580:-1 gene:CCACVL1_10900 transcript:OMO84290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLWRAATGQDPNPDEYRGVDFWNDPERAGWLTKQGDYIKTWRRRWFVLKQGKLLWFKDPASATRGSTPRGVISVGGCLTVKGAEDVVNKAFAFELSTRDSTMYFVADTEKEKEDWINSIGRSIVQHSRSVTDSEVVDYDSRSR >OMO84291 pep supercontig:CCACVL1_1.0:contig09756:5312:6090:-1 gene:CCACVL1_10901 transcript:OMO84291 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent FMN reductase MAREVQRGANAVEGVEATLWQVPETLSEVILQKMKAPAKAADVPEIRPEQLKDADGFLFGFPSRFGVMAAQFKAFFDATTELWADQALAGKPAGIFWSTGFHGGGQELTALTAVTQLAHHGMIFVPLGYTFGSGMFEMNEVKGGSSYGAGTYAADGSREPTELERQQAFYQGKYVAEITKKLKNKPLSA >OMO84293 pep supercontig:CCACVL1_1.0:contig09756:14401:16150:1 gene:CCACVL1_10903 transcript:OMO84293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10/acidic P0 MVIRKEKNEKKKKYDEKLCRLLDEYSQILIVAADNVGSTQMQSIRKGLRGDSIVLMGKNTMMKRSIRLHAEATNNDAIRNLLPLLVGNVGLIFTKGDLKEVREEIGKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGEKVGSSEAALLSKLGIRPFSYGLVVLSAYDSGSVFSPEVLDLTEDDLLQKFATGVAMVASLSLAISYPTIAAAPHMFVNSYKNALSLAVASDYSFPQAEKVKEFLKDPSKFAVAAVAAAAPSSGAAQQDKEEKREEAKEEQEESDEDLVAGLFD >OMO58822 pep supercontig:CCACVL1_1.0:contig14122:31191:31298:-1 gene:CCACVL1_25337 transcript:OMO58822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STFDDFSLEIGFTKAVLGIYYFALLDELLMRKHSM >OMO83785 pep supercontig:CCACVL1_1.0:contig09854:18879:25418:1 gene:CCACVL1_11194 transcript:OMO83785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIGKEGEIEDMGLSPPTMGSMQIAGSNGFGHNIEFMSQAYLRNRYSEIDIEDYTLHAKNKHHPLPIFLKFEDVEYKVRNSQAASLNPVKAVVSKVASQLNTEQSDNNKYKEILKGITGSTGPGEILALMGPSGSGKTTLLKIIGGRLTDNVKGNITYNDIPYTPALKRRIGFVTQDDILLPQLTVEETLVFSAFLRLPNDMSLQQKYAKVEMIMKELGLERCRHTRIGGGLVKGISGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSANKLIQILQRVAKAGRTVITTIHQPSSRMFHMFDKLLLISEGYPVYCGKPRESMEYFSSLRFIPEIAMNPAEFLLDLATGQVNDITLPEDLVAASQGTADSDSAVIKYLQLKYKTHLEPKEKEENHRSSKAPEHLQVAIQVKKDWTMTWWEQFMIIFKRTFRERRRDYFDKLRLVQSVGVAFLLGLLWWKSSSATEAQLRDQVGLLFYICIFWTSSSLFGAVYVFPFEKVYLVKERKADMYRLSVYYVCSTLSDMIAHVFYPTFFMLIVYFMAGFKRTVPCFFLTMFTILLTAIISQGAGELFGAAILSIKRAGMIASLVLMLFLLTGGYYVQHIPKFMQWMKYLSFMYYGFRLLLKVQYSGDQLYECKSKGGCRVLQSSPSFDTVNLDGGLQEERLDLSVEETVLEMELVPYSSEPESKASSTTLPWQDMFRSASIRKPSPAPGVSDAPPNQTHAPPQAPNSSNPDHKNSLSSDPQVRLALYIAMAHAGLAFTIFIVYGICKLLQEYLRPIQWAILCSIPLRGIEETLVDFWSEPLKLGLTETVLAVPLAVFKAFISTLVDIKDVCLRVFLKRPKSTVSRKNRNGFSKLIRWLVAFAVFVIAYERIGGLGSLAIIVLGFVISTKNVDSTLSAVASFRSNSFRRSAVSAYFTRGILKRLSTIVAIGLMIGMIVGSFAGATFFSYKIGVEGKDAVISVKAHVEESNYAERIGIKQWMEENDVPGMVDRYTAKFYETVSEQIDSLAMQYNMTEFVTGIKHFVITSASSQSEQSTALMTPSPYTEKFLNLRKRVSNREWGQIYTEVDAIFRELIITREDLVEKAKGFAVKGADVSQRVLANSASVLGGGAKIMLTIGNSIISGAAEVFNFVSQLMVFFWVLYYLMTSESGGVTEQVMSMIPISKSARTRCVEVLDNAISGVLLATAEIAVFQGCLTWLLFRLYKIHFVYMSTVLAFISPLLPIFPTWFATIPAAVQLVLESRYILAVTFSIIHIFLMDYGASEIQENIPGYSAYLTGLSIIGGMTLFPSAVEGAIMGPLITTVVIALKDLYAEFVLEEPKKKD >OMO83781 pep supercontig:CCACVL1_1.0:contig09854:3716:8600:-1 gene:CCACVL1_11189 transcript:OMO83781 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA-like protein MSSKGGGGGGGRGGEGSGVSIPDNAKKMIQSIREITGKQHSDEEIYAVLKECSMDPNETAQKLLYLDTFHEVKRKRDRKKETTVMQGRGARGSRGNYYTSSDVGGGRNASARRENGVHHTSDKGSQKGKNNAAPHLTKIPPPAISNGTPTLPNGSSSHGRVSQFPADGASSETKDGLLASKPATVTVQQTLTEPPVPNPAQPFGSLIRGQEKSTADSNPSSPVTSAAVSGVYSSASDPVLAPTSSRHVGAVGTIKREIGRQKEAAEVNSIQGNKHNPHVIDVSKAEKATSAVPSSMHGKKSPSKSKVAEQVQQSKPIEPPLLQVVTSEVAAISVKANSELIPDSNVSNGQHVTFPTHFHVSEALKNGLTFGSFDASFGQGAKHDNGSSVEVNSACPVDSSQGGDEAAGEPSSRSQVILSAVEGDNSDQSHSPPVPESDGNISSDADLKVDQSNQEMQMHPEGNPSVIPNVHGYGFGFMPASASHLVQFDGPEARANDVSRLTNFVGGNTPAPSSSSTPPVQSSIAAAPQAVHLFRQPFPPNYFPYPHYLSPFYMHPMHQYLNPTGLPQQPSTGNVYMPPGAGAPGVKFPLPPYKPGTNTGNPAHLTIPSGYGPLTSPPVGFNLSVPSVTSGSSSSKEDLAASQLKENHIYTTGPLNEGSAIWMAAAGQDLSNLQVNSLYNLSLHGQQVPFSPAQAGHGAFAGLYQSPQTMAAPSNVNTLLQQSQAMAAAVENVGPPAGAYQQPQIGQINWNTNY >OMO83780 pep supercontig:CCACVL1_1.0:contig09854:976:2989:1 gene:CCACVL1_11188 transcript:OMO83780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFDSVLGAEARKFIKRKDSDAAEAGKALEELRSALYNELRTTEGAKRQQQRFCGPVVAMSFNFVVAVGIILTNKLVMGRVGFNFPIFLTLIHYMVAWILLAFFKTMALLPVSPPSKTTPSSSLFSLGAIMAFASGLANTSLNYNSVGFYQMAKIAVTPTIVLAEFLLFRKTISFKKVLALAVVSGGVAVATVTDLEFNIFGACIAIAWIIPSAINKILWSNLQQQANWTALALMWKTTPITIFFLVALMPWLDPPRVLLFHWDLNNSTAVLISALLGFLLQWSGALALGATSATSHVVLGQFKTCVILVGGYLLFDSDPGIVSLCGALAALAGMSVYTSLNLNLSNESASKQLPTQNPPPKSKTTQDVDVTVAQDSTIPNRNLV >OMO83782 pep supercontig:CCACVL1_1.0:contig09854:10458:12467:1 gene:CCACVL1_11191 transcript:OMO83782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSKNDNPLTGDGASPGKIFIGGLAKDTTFSQFTKHFEKYGEMIDSVIMKDRYTGQPRGFGFITYADPSVVDKVIEDEHILNGKQVEIKRTIPKGSSHSKDFKTKKIFVGGIPSSMTEDEFRSFFSEYGKVIEHQIIRDHATNRSRGFGFIIFDSEEIVDDMLSKGNMIDMAGTQVEIKKAEPKKASNPPSAPAYGSNSRGRSYDDGFGGYGSSYGGFDGGFGGYRGESSLGYSGRFGNYVGGFGGGYGGVGVGGYGRGADVYGSYAGSGYGGSYDSGPGASYGGAGGIYGRGGYSSSSRYHPYAR >OMO83783 pep supercontig:CCACVL1_1.0:contig09854:14317:14761:1 gene:CCACVL1_11192 transcript:OMO83783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYLRPIVKTMGTVGYLSFKKIPIVAITVSAMGGVVKTAIIMQQIKI >OMO83784 pep supercontig:CCACVL1_1.0:contig09854:15453:18503:-1 gene:CCACVL1_11193 transcript:OMO83784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESEEILLNSLRSFGVTIPENVSSFKDLTSATLISICFEALNLLGRNDKDDGDNDVSFANSVEASVSMADKFKICTDISLDFKNLGYVGDMNYHKFLYPSEEDLYKLVRFLVERLSASPQALKFSGRKTVGLGQKINDDNLDSIPEYFTQKPNNEEVDQTLEKVEAILKDLRVDELSESSNFETISASSAVNDPLRVHDMPQNELFSSEPTAEVAESRNRESEIEETADQKDDEYISIVSKEQCLEIQYEAENLLSEEKLLKEELSANALQVQRLEEEFGFLKAAADMVFDESHPLDVFLEQLNDQVDAKKHKIADLESKWETLLNPLEEKKRSIEECLYANNPEAQEKLQRLRDYQLEVQFTLCEIKKREEEHLKLSADFKKQPIVASRRSYIQRIKEITKNSGKLDTDIERILRETRELQLESNSIQERLHRTYAVVDEIVFREAKKDPVGRQAYRLLTSIHESFEQISEKILMTDRIRREMADHEKKLAAIASRSLNIDKLQADLDAIVKENEDLEQQLGDN >OMO74952 pep supercontig:CCACVL1_1.0:contig11072:21132:34544:1 gene:CCACVL1_16392 transcript:OMO74952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MSPSMRDLQLTQVAGGKRSPPSTAVPADDDALDIEEGTRLLDSYDHGDDHSGSIQDGMRRIQVRVTGMTCAACSNSVECALKSIDGVFTASVALLQNRADVVFDPLLVKDEDIKTAIEDAGFEADILPEPSNVGTKPRGTLVGQFTIGGMTCAACVNSVEGILRDLPGVKRAVVALATSLGEVEYDPTVISKDDIVNAIEDAGFEASLVQSSQQDKIILGVAGVFNELDVQFLEGILSSLKGVRQFRFDRISGELDLLFDPEVVSSRSLVDGVEGGSNGKFKLHVMNPYARMTTKDVEETSNMFQLFTSSLFLSIPVFLIRVVCPHIPLLDAFLLWRCGPFSMGDWLKWALVSVVQFVIGKRFYIAAGRALRNGSTNMDVLVALGTSASYFYSVGALLYGALTGFWSPTYFETSAMLITFVLLGKYLECLAKGKTSDAIKKLVELAPATASLVVKDKVGNIIGEREIDALLIQPGDTLKVLPGAKLPADGVVVWGSSYVNESMVTGESAPVLKEVDSPVIGGTINLHGAFHIKATKVGSEAVLSQIISLVETAQMSKAPIQKFADYVASIFVPVVVTLALFTLLGWYVGGIAGAYPKQWLPDNSNYFVFALMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDALERAQKVKYVIFDKTGTLTQGKAKVTTAKVFSEMDRGEFLTLVASAEASSEHPLAKAIVEYARHFHFFDENSLTEDAQKNSKASLSSAWLHDVAEFSAVPGKGIQCFINGKRVLVGNRKLLTENGVSIPTHVEQFVVDLEEDARTGILAAYDDNVIGVLGVADPLKREAAVVIEGLGKMGVTPVMVTGDNWRTARAVAREVGIQDVRAEVMPAGKADVIHSFQKDGSIVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLMRNNLEDVITAIDLSRKTFSRIRLNYIFAMAYNVVAIPVAAGVFFPSLGIELPPWAAGACMALSSTHIGSGLILAESGIWWSCCICTNPESSCVGIPILGLDKSGVEMRCTIIGEAFRALLRAKTEQTESMNTTAETSSYEWMQFYEQTVDEVSTSSLGFSDATVMATTASPDSSTLSPTVSEPKGSSSSSKPIRRRSRASKKTPTTLLNANASNFRALVQQFTGCPRATLSLGTRRGPINLNFTVGSGSQQNSMMSSVSGNDIYHYYQQGHQENASHNYGGQQHQQFYQDGVPFDNVHHDAFFTTSSGRANADDDQMLNGFDMDHNISLQPHNDNSILFCQFPFLLLFKQNPRSRKGEGLTTAMNSLTRTLAKFATSQSQQALRRWVTSHSFSTSPRRYTRTKKPADSYPPEPEPTIEWPRPAEIPYQSKVANSVRLSGYIRMPVQSKAATDGKFWAGTVIAQNPSSDPPLWIPIIFEGDLAHVAASHLKEDDHVYIDGQLTADPPSETNGQANVQVIVRTVNFVDESSKNTSIASGIEASKGCLRTLGNLTIVQKEVAATGKGTITAEDSWMDLLENPKEWKDYREQKRNGLVKPKHPDFKRKVGGLSLWLNSASKSVLSKLEGLEFDVPFQKSKEVNQLKGENSWKDLVENPSKWWDNRLDKSNGKVSEKSPDFKHKETGQPLWLRYSPVWVESKLPSLKSKNWSSNS >OMO74951 pep supercontig:CCACVL1_1.0:contig11072:1903:4750:1 gene:CCACVL1_16391 transcript:OMO74951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEKPAWLEGLMGETFFGVCGAHESRRKNEKNVFCLLCCLSICPHCLPSHRSHPLLQVRRYVYHDVVRLGDLEKLIECSYIQPYTINGAKVIFLNQRPQSRSCKGSANICFTCDRILQEPFHFCSLSCKVDHMVDQGEDLSTILHRIDESDFAFSQFEGLRVDGPESMEDDQITPNSILEDPLQYKGSSCSNGSTIGNSQVSSDVVRKKKKGSGFLGGIVLSLNNKRKGAPQRAPLS >OMO71325 pep supercontig:CCACVL1_1.0:contig11667:28261:28434:-1 gene:CCACVL1_18286 transcript:OMO71325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADAALIAAIVDVTAQATTFTLLLIQNSILSDQKQQPQANPTYDTFHDIIKLPPAS >OMO71326 pep supercontig:CCACVL1_1.0:contig11667:29086:29451:1 gene:CCACVL1_18287 transcript:OMO71326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANICDINHLDADVLLPSRKRLLAGFKKHASNANGASDQPIVASFPHLCLLHHPHLQLLQVMEILEALRAVAIAAAKTAEAARVAADEKAAIAAKAVAAT >OMO71327 pep supercontig:CCACVL1_1.0:contig11667:30667:30738:1 gene:CCACVL1_18288 transcript:OMO71327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSENFGRQKQAQGLATLLSMGT >OMO72789 pep supercontig:CCACVL1_1.0:contig11372:38402:38750:-1 gene:CCACVL1_17592 transcript:OMO72789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MTVDEYETEFDRLSKFAPRLVSDDESKARRFERGLNAHIRRGLAPLHLTSYDEMVGRAKSLDIVWRETQVDMNQNSQKKRYRDSDLQAKKSFSTPKFQATKRSQSSTTFKSMFPPR >OMP11754 pep supercontig:CCACVL1_1.0:contig00917:608:1190:-1 gene:CCACVL1_00288 transcript:OMP11754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALWSLGVVEQLGHLGLGVAYYLQYTLVLVVLSGLLVLGMYHFLIQRFKIEYFRRVTAVGYSCVVFGWMTILSVKQPSSKLDLFGFLSLPISFAPFESLIFTSIIVPQASFLGHLSGIIVGYAIAWGLIHGMTNYWAISMLGWIAVVFVFSLKRSGAYDFNFLEIESVTDPSLPSVRFIGNGRTLQMGSIPVE >OMP11755 pep supercontig:CCACVL1_1.0:contig00917:7424:11692:-1 gene:CCACVL1_00289 transcript:OMP11755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein MASFPCSKVRSGFCVWPGMRQLCIRKGLVYGFMRLLSTPFKTLRGASRSLRVAEFCSVSNMSSSLQIELVPCLRDNYAYLLHDVDTGTVGVVDPSEAVPIIDALSRKNRNLTYILNTHHHHDHTGGNAELKARFGAKVIGSAVDKDRIPGIDIVLNDGDKWMFAGHEVHVIKTPGHTRGHISFYFPGSGAIFTGDTLFSLSCGKLFEGTPEQMFSSLQKILSLPDDTNIYCGHEYTLSNSKFALSIEPKNEALQAYAAQVAHLRNKGLPTIPTTLKIEKACNPFLRTSSTEIRQALGIPDTANEAEALGVIRQAKDNF >OMP11756 pep supercontig:CCACVL1_1.0:contig00917:13385:19343:-1 gene:CCACVL1_00290 transcript:OMP11756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin/calnexin MVDHAAKYSFLLFLFFSVFLRSFLFNSALSEIFFEERFEDGWKSRWVLSDWKRSEGKAGTFKHTAGKWAGDPDDKGIQTYNDAKHYAISAKIPEFSNKNRTLVVQYSIKFEQDIECGGGYIKLLSGYVNQKKFGGDTPYSFMFGPDICGSQTKKLHVILSYQGQNYPIKKDLQCETDKLTHFYTFILRPDASYSVLVDNRERDSGSMYTDWDILPPRKIKDVKAKKPADWDDREYIEDPSDVKPEGYDSVPAEIPDPKAKEPDDWDEEEDGIWKAPKIPNPAYRGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPIKYLGIEVWQVKAGSVFDNILICDDPQYAREVVEDFRANNREAEKEAFEEAEKERRAREEEEAKRAREEGEKRRKERGHRYGDRRHRRRHDPRDYLDDYHVSAFTFFLLYEIIYIFWMNSEVSAAISQILQFTSTRERKRKTEPQTRNGATSSEAALVSLSEACPVPVVV >OMO93743 pep supercontig:CCACVL1_1.0:contig08069:34957:38847:1 gene:CCACVL1_06365 transcript:OMO93743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MTRKDVESSGSKSVPIIFQSEGVFNTVITLDETNYDVWSHLMEMHIAEREKLLYIVGKAKRPLKSEERYERWYADNQKVKGWLLMSMKPEIMKRYLRVPTAREIWGALSKAFYDEGDELRVFTLNQKAFSIKQGGKSLTEYYGELVEIFQELDHQDKVVRKDPDDVIAYQKSVERLRDWRDKNPKHRKKDSRKQALVVVAEEGTYDDSIETTSTVVAAVNDCDMCPSVENAGLDVDDTSLNPSYEACQSNDNECSLDGETCPSNSLSKIPHQSELPKKQLPERVTRGIPKQTYETEISNTAKYLMSNFMCNQNLSKLNKSFVNKLSSVSIPNNVQEALTDARWKAAMNEEMKSLQKNETWDLVECPPGKRPVGCRWVYSVKHKANGTIERFKARLVAKGNQGKITTLIVYVDDMVLKGNDIEEMNSLQEQLSKEFEMKDLGFLKYFLGIEVSRSKRVIFLSQRKYTLDLLEETGEQHMGAVMRILRYLKYAPGKGIMFAKKEDWQSVSVYTNADWAGAIDDRRSTSGYFTFVRDNLVTWRSKKHNVVARVEAEFRGMALGLCEAL >OMO93746 pep supercontig:CCACVL1_1.0:contig08069:69832:72570:-1 gene:CCACVL1_06368 transcript:OMO93746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFFQLYSISLILLAFTSVLANKGCNLEAMQQLQNLQEIEGGQVKCGELKVLAIQTITQAGGRIDHAEVAYNLPSLTVYMI >OMO93744 pep supercontig:CCACVL1_1.0:contig08069:44030:59147:-1 gene:CCACVL1_06366 transcript:OMO93744 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Digestive organ expansion factor MGKQFGLKRGLKRHKTVAKFERRTTIAKKKKGFKDEVTRSPSPTLSGEPSGEESSGGAPEQINYKEPTMYDKLLTKLRSSNKTVADAYAKRQVAEDDETDGTESLSVSEEENGDDETDNDSLGMQGPEMVGTEELTEDAETEDDLETSDSDQDDDLSVHGASGLGTSSFKKHVEYNLSKEEAEDLSKKKWKYTWEVPSADISNCKWVGTGEDFLKEADMNSNYDLKQKLYKHWLDVYRKSGGNDFHSSSHRWFFSLCNSYRDILHCEKKPFYHKGVEEDSNTMDGYLMHSLNHIFKTRDLMRKNDAKISKHQESTKEEMLPGDGHLDQGFTRPKVLILLPLRSIALRVIERLIQLTPASSKVNVEHLDRFYQDFGSEETEGDEEQEEQLKNAKAQKPPKPSDHQSLFKGDTRDDFMIGIKFTRKTIKLYGDFYSSDIIVASPIELMTKFGKAAKNKELDTDYLSSIEVVIIDHADVISMQNWSFLTSVVEHLNHIPSRQLGTNIMRIRQWYLDGFARFYRQTIILGYYLNPDMNALFNHHCVNYHGKVKSVQDHKGVLPKVLSQVRQIYERFDADSIADVDDARLEYFAKKVFPKIKDSEQGGIMIFVSSYFEFVRLRNFLKSQNASFCLLGDYTDQGDISRARDWFFKGKRKIMLYTERIHFYRRYKIRGIQNLIIYSLPERKEFYPEIVNMLEGSDDMACTVLFSLYDKLRVMYCDLVIVQFCNMEMHPASLPNCYIAVDFVVMMVVEGDNCVGAFCHSPAESSSICRNGIVFSVWQRCFEVFKTALALSALNYV >OMO93745 pep supercontig:CCACVL1_1.0:contig08069:60622:64755:1 gene:CCACVL1_06367 transcript:OMO93745 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiE/COQ5 methyltransferase MVGEVFSNVASNYDLMNDLMSAGLHRLWKDRLVSKLNPFPGMKHLDVAGGTGDVAFRILERVNSIGRRALQDPLNDGFQEETQIYVCDINPNMLDVGKKRALERGLREDKSLKWVQGDAEALSFGDNSMDGYTIAFGIRNVTHIEKVLAEAYRVLKRGGRFLCLELSHVEIPVFKEFYDFYSFSIIPQVGELVAGDRESYQYLVESIRRFPPQEKFASMIADAGFQKVEYENLVGGVVAIHSGIKI >OMO93747 pep supercontig:CCACVL1_1.0:contig08069:74296:74839:-1 gene:CCACVL1_06369 transcript:OMO93747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLRYGLKRLRQERGCRSTGMLVKKFL >OMP07630 pep supercontig:CCACVL1_1.0:contig04301:8656:10539:1 gene:CCACVL1_01264 transcript:OMP07630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein Atg8 ubiquitin-like protein MAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVERAEKSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNILPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGTMEAYE >OMP07629 pep supercontig:CCACVL1_1.0:contig04301:1965:4728:1 gene:CCACVL1_01261 transcript:OMP07629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MGTVPESKGIMRTTAVEAEKLKLISEGCNLKAKGEKLVSKDIIGEVFKTHHAIHTLDKTISNLEMELAAARAAQESLLGGSPLSADVSIADTPRKRRYLMVVGINTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIIRFVIGHSATTGGILDRAIEAEDKKHGDFLRLDHVEGYLELSAKTKIYFATAVALWDADFYVKVDDDVHVNIATLGETLVRHRKKPRVYIGCMKSGPVLSQKGVRYHEPEHWKFGESGNKYFRHATGQLYAISKDLATYISINQHVLHKYANEDVSLGSWFIGLDVEHIDDRRLCCGTPPVTVGENTSSLVIDKLMIVSGRLKQATFVLLPLIGAAVESAGLQIGLERFIDGVGKVRMLCGVLLSNIGSFMFFLTREDDKLGQEADNQKSFSVVLKSIQEISYHRRE >OMO53890 pep supercontig:CCACVL1_1.0:contig15117:17705:17809:-1 gene:CCACVL1_28263 transcript:OMO53890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HYVNGQSAVEAARTVLDSRKLHYYESEPAAASAL >OMO53889 pep supercontig:CCACVL1_1.0:contig15117:15515:17212:1 gene:CCACVL1_28262 transcript:OMO53889 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MEELKPRPASSFPLTPLTFLDRAATVYGDCASIIYNNTSYTWSQTHRRCLQLASSLSSSGIIETGSIVSVLAPNIPAMYELHFAVPMAGAILNCINTRLDARTVSVILRHCQPKLLFVDTLSQSLALEAISLFPAEAEPPILVLIEDNEYDTNAENSANSSIDSRFFCTYESLVEKGDSNFDWIRPQSEWNPIVLNYTSGTTSSPKGVVNTHRGIFLVTIDSLVDWAIPKQPVYLWTLPMFHSNGWCLTWGMAAVGATNICVRKFNAPIIYTLIKRHGVTHMCGAPVVLNMLSNYPEIKPLQSPVRIHTGGAPPPAAVLSRIESLGFVVSHVYGLTETGGVVVSCAWKREWDRLPAADRARLKARQGVGTILMSDVDVVDPESGESVKRDGATLGEIVVRGPCVMLGYYKDHETTNKCLKNGWFYTGDVGVIHPDGYLEIKDRSKDVIISGGENLSSVEVESVLYSHPAINEAAVVARPDEHWGETPCAFVSLKGDLSQKPSENEIIEYCRGKLPHYMAPKTVVFKEELPKTSTGKIQKFVLRAIAKGMSDFSFSSSSSTRMSRM >OMO78721 pep supercontig:CCACVL1_1.0:contig10546:50459:51779:-1 gene:CCACVL1_14175 transcript:OMO78721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGEHGHGHGPEDFRKKVWSMSGGPYCRPKHWRRNTAIAMFGVVLICIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGTKDYKSVE >OMO78715 pep supercontig:CCACVL1_1.0:contig10546:876:1416:1 gene:CCACVL1_14167 transcript:OMO78715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKWDGEEESLDQITGEDFIDAFMSNFNPPQMTTHERPIRNTKKNKKRARSVKY >OMO78718 pep supercontig:CCACVL1_1.0:contig10546:19182:21438:-1 gene:CCACVL1_14171 transcript:OMO78718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic phosphomannomutase MAVTKPGVIALFDVDGTLTAPRKVATPKMLEFMKELRKVVTVGVVGGSDLVKISEQLGQSVITDYDYVFSENGLVAHKDGKLIGTQSLKSFLGDEKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLKEKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDHEIYESERTVGHTVTSPDDTAEQCTALFLSKP >OMO78719 pep supercontig:CCACVL1_1.0:contig10546:40313:42924:-1 gene:CCACVL1_14173 transcript:OMO78719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGQDKVFAVSLSHSHSSPNNE >OMO78720 pep supercontig:CCACVL1_1.0:contig10546:44125:48629:-1 gene:CCACVL1_14174 transcript:OMO78720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic oxidoreductase MWNLARFTASRLSRSRRLLSTAIPGPCIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRIISFEQQYDRFMESYQSLEKNTKGQPDSVVALAKWRILNRLHDRNETLYYRVLMDNIKNFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRILPVMLDVGTNNQKLLEDRLYLGLRQPRLEGEEYLSIVDEFMEAVFTRWPKAIVQFEDFQMKWAFETLERYRKRFCMFNDDIQGTAGVALAGLLGTVRAQGRSLADFPNQKIVVVGAGSAGLGVLSMSVKAVAKMTGKPETAAHNFFLLDKDGLITKERKNLDPAAAPFAKDPGQIVGLSEGASLLEVVKKVKPDVLLGLSGVGGVFNEEVLKAMRESGSSKPAIFAMSNPTMNAECTAADAFKHAGENIVFASGSPFENVILGNGKVGHVNQANNMYLFPGIGLGALVSGARHITDGMLQAAAECLASYMTDEEIKSGVLYPSIDSIRHITAEVGASVLRAAVAEELAEGHGDVGARELAHMSKEETVEYVLRNMWYPVYSPLVHEK >OMO78722 pep supercontig:CCACVL1_1.0:contig10546:53081:57265:1 gene:CCACVL1_14176 transcript:OMO78722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENASPCVALAACPSLLDLEIVGLYHYNVADASLAQHVEKGMADGLPISCVASCFSLWALLMDAGTRFTSQVYELSPSFLRKEWIMDQWEKSYYISSIAGSTCGSSLVVMFKVETGFMSPLWQLLEAGVVLLCLAMQVSVTRF >OMO78716 pep supercontig:CCACVL1_1.0:contig10546:13993:15877:1 gene:CCACVL1_14169 transcript:OMO78716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrahydrofolate dehydrogenase/cyclohydrolase MASMIFTDTSSSSTSRLLTLTRFCNGVVSRRRYIGPLHVAPLKPNLPPTLCLSCRPSSRFITAAMATEPSAKVIDGKEVAKQIREEISAEVSKLKDAIGVVPGLAVILVGDRKDSATYVRNKKKACESVGITSFEVHLPEDASEQEVLKYISDFNDDPSVHGILVQLPLPSHMNEQTILNAVTIEKDVDGFHPLNIGRLAMRGRDPLFVPCTPKGCIELLHRYGVEIKGKRAVVIGRSNIVGMPAALLLQREDATVSIVHSRTKNPEEITRQADIIISAVGQPNMVRGSWIKPGAVIIDVGINPVEDASSPRGYRLVGDVCFEEACKIAAAVTPVPGGVGPMTIAMLLSNTLSSAKRAHNFQ >OMO78717 pep supercontig:CCACVL1_1.0:contig10546:16207:18467:-1 gene:CCACVL1_14170 transcript:OMO78717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase T2, asparaginase 2 MVDKAELQNPRFFVAVHVGAGYHAPSNEKALRSAMKRACLAAASILRKGPGGGGCVDAVAAAIQVLEDDPSTNAGRGSNLTEDGNVECDASLMDAQSGAFGAVGAVPGVRNAIQIAALLVKEQIDDSSLLGRIPPMFLAGEGARQWAKSKGIALPETIEEAHQWLVTEKSKSQWKHYKAMLAGAKAKSEINISPGGDSCNASAQDSQAQTCDTLEESRIGESCLHTASEEDNIVDTVGVICVDTEGHIASGASSGGIAMKVSGRVGLAAMYGSGCWASLKGPFGTPFIVGSCVTGAGEYLMKGFAARESCVSLSLSQAGPASACMKVLRSVVHESSQNGTDKSAGILLVQADAPIIGPGIPPKLKAIEMAAAYSSLSFGIGYFGSSMERPKVSILRSTKQQNKTGIDHFEARIDLSTERLS >OMP04972 pep supercontig:CCACVL1_1.0:contig05554:501:3116:1 gene:CCACVL1_02080 transcript:OMP04972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical AIAAMMRAQEADPTNLEVLLALGVSHTNELEQAAALKYLYAWLRHHPKYGTLAPPELADSLYYADVARLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIASFKTSLKLKPNDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGMYEESIRYYVRALAMNPKADNAWQYLRISLSCASRNDMLEACDSRNLELLQKEFPL >OMP04973 pep supercontig:CCACVL1_1.0:contig05554:7628:8944:-1 gene:CCACVL1_02081 transcript:OMP04973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MLGSLDSTSRDQEEEDNPAADLQHQPQQQPSPEFPSHSVSQQTIHMRQLLVSCAELISQSDFPAANRLLPILSSNSSPYGDSTERVVHQFTKALSLRINRLHGPRSVLMTMNFSYPIAAATANAATINPNYDIDSSLQSCYLSLNQITPFIRFAHLTANQAILEAIQVGQQSVHIIDFDIMHGVQWPPLMQALAERSAKTLHPPPMLRITATGHDLNILHRTGDRLFKFAQSLGLRFQFNPLLVLNNDPASVALNLPSTLTILPDEALAVNCMLYLHRLLKDDSTDLRLFLHNIKALNPTVVTIAEREANHNHPLFLQRFVEALDYYTAIFDSLEATLPPNSAERLAVEQIWFGREIVDIVAADGENRRERHQRLETWEVMLRSSGFSNVPLSPFAYSQAKLLLRLHYPSEGYQLQILNNSFFLGWQNRPLFSVSSWR >OMP04974 pep supercontig:CCACVL1_1.0:contig05554:15198:16489:1 gene:CCACVL1_02082 transcript:OMP04974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTETSTSTTEKQCKFVSLKDLIEGTNLDSAEKEGEVDLTMDSGLNHVVVDLTMDSSPVMKEQGVKPVVVDLTMDSSPVLKEHDLNSVEQARKLLRRPRTFWTKKEEDVLVQGVHRHGKAWTHILNSNPTVFRNRTSVDLKDKWRNLHRD >OMO62402 pep supercontig:CCACVL1_1.0:contig13272:10446:19812:-1 gene:CCACVL1_22850 transcript:OMO62402 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MEKNELFEGLVGRIAPADQEEYSLGVGDRSSYITFPVIFSTLVAVSDSYAFGNAVGYSSPVANGIMEDLGLSVAEYAVFGSANTVGGMLGALFSGKIADIFGRRGAMGISDIFCITGWLAILFSKVGYSSPVANGIMEDLGLSLADYAVFGSANTVGGMLGALFSGKIADILGRRGVRISIALYGINFPV >OMO62401 pep supercontig:CCACVL1_1.0:contig13272:1243:4887:-1 gene:CCACVL1_22849 transcript:OMO62401 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MGQQQRTEVTESLLVRHGHDNIVYSEENGGVRVGDEGSLAGSGVTTILALSAFVGACSIWGLGCALGYSSPTQSSIMEDLGLSVAEFSLFGSILSIGQILGAAICGKTTDLLGRKSVPIYIAEITPKDLRGRFAAMVLIMSCCGMSFMYVVGSFVNWRILALTGIIPSILQLPILFFIPESPRWLAKVGRDKEVEAALLRLRGDQADISNEANDIKEYVETLKSFSQGGILDVFQKEYVRPLLIVLGMMTLSSLGGINAFAYYSGVIFVSAGISSMTGLVTLAATQTLLGLLGTFLIDKSGRRPLLLVSFAGLCISTSLTGLSFLLKEYNWWSQGTPILALIGLLMYMGSYVVSSGIPWLLIAELFPINVRGSAGSICNFISSITGWAVAYYFNFLIEWSSAGVFFIFSAFCCANFILAATMVPETKGRTLEEIQASITRSSQ >OMO85312 pep supercontig:CCACVL1_1.0:contig09637:7331:8671:-1 gene:CCACVL1_10276 transcript:OMO85312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFGRSNKCDEIKVEVESLISTLQRGLGNARLSMPPSYCIFKTPSILFRHRENAFLPNCFSIGPMHHGKPNFVVTDKIKMKYLKGLLSRVLAATHPEAEKMSEEAKGIEEQKILSDWINVVRSIEKQAMVCYAGLDCAEMGDEFVNMLLLDGCFIIELFRKDAEVVNKELDDPIFSMSCMFQFLHHDLILLENQIPWLVLQTLFDKTKLPSETKSLIELALHFFATMFTSNPPLKPDIFKDKDIKHILDFLRLSLILPSREIHLDKKSGWQPIRSLTKLKEAGVKFIKVAPESILDIKFRNTGVLEIPSLLIQETTETILRNLIAYEQCLPNCPPIFISYAKVLDNLIDTTSDLDILCKRDIWDNWLSPEDATQFFNKLYNDTYVKEFYYSNLYDDLNNYCKRWWPRWRAYYVQNYFSKPWAIAAQIYAVIMFVFTLWQTYIKKG >OMO85317 pep supercontig:CCACVL1_1.0:contig09637:47421:48759:1 gene:CCACVL1_10281 transcript:OMO85317 gene_biotype:protein_coding transcript_biotype:protein_coding description:dTDP-4-dehydrorhamnose reductase MEFGGNGNAKPLALGLRFLIYGRKGCIGGLLGKICEAQGIPFAYGSGRLEDHQSLVDDIAAVQPSHVFNATGPPHVDRGEFSKIQTIRSNVIGALILADVCQEKGLPLIFYSIGCMFHYDADHPIGFTENDSCGSFCSKTKGMAEEWIKNYENVCILRVGMPVFSDLSHHRNFITGYHERVVNIPNSMTVLDELLPISIEMAKRNLKGIWNFTNPGVLTHNQVLEMYRDYVDPNFTWNNFSVQQQAKCNNELDSTKLKEEFPEILPIKESVIKYIFEPNKKNINHY >OMO85318 pep supercontig:CCACVL1_1.0:contig09637:54598:60194:1 gene:CCACVL1_10282 transcript:OMO85318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geminivirus AR1/BR1 coat protein MAGSSKVSRNLNYSPRSFGPAPSMPIMSRRFSRYRTWKNRPMNRRPINTRVMKGIPYGCDGPCKVQSFDTRIDVPHTGSVTCISDVQRGIGLTHRTGRRFCVKSVYFVGKVWMGEEVSKRAHANIIHFWIVRDRRPGTTPLEFGKLFNMFDNEPSTATISADNRDRCQVLRRWQASVTGGTYAHREQVYVSRFYSGLNVRPQSRTVNRLWGRDGVVKVTKLDLNQYVIQLPNEATRSWILENGPWHIQNRPLFLKKWEPGGSGLAFDLQRFPLWITLKHVPIELYTKLGLSFIASRFGTPLNMDRATAMKQKLSIAKVCVKVNLEDTLPDKVAVELKDDNTVDTADTHTDTVNIEDIEDSAKMKASDSRGKLSGADKGKSSAAKQSANRFAILQSDDAQELISAPMVTRNVNTRQPRAASQNVKEVTKQVISKSKTTKNKTVKADAMDYCHVDLVDMCAQCITCRVNYSGHAAWLVAGDFNIISTVTESSNYNGTEIAGSDISDFTDCLSQLELVDHAYTGPLFTWWNKRDEGSISRKLDQTLINIAWLEFFPNSTVEFVPPAVSNHCAILVKLDSQNYSPPKPFRDPMIKLLRKLKRLKIVLRHFNKEKFGELSDRVHMKREEVAQLQMSVLQTGSPHHSDVLKAKELELKDLLAAEESFYKQKSRVQWVKEGDKAPGPDGFNAHFFQTTWSLVKKDGTVDSIAGVKSVLDLFYQYSGLKLNCEKSELFIAGVSTELEQEMQSFSQFKIGSLLVRYLGLPLVSKNLTDKDYSAFIEKVKQRISHWTVKYLTFAGRLQLIQSVLFSIQNFWCQSFILPSSVIKRLNQLCASFFWKGNDSNAKGARVSWDWISHPKAEGGLSLKKMLVWNQACVIKHLWSIFAQSGSLWVAWLYAYVIKQNNIMELPILQRYSWNFKKILKLRRSVAHIIQRGEWNHVSYTYQTSLIYEELRHHQPQVSWHKLVWFSYNYPRHSLITWMVILDRLPTKDRLVSWNLQMSYMHCVLCGHHNESRDHIFFGCDYSKKFWEKILRACRLYRAVGSWYSKLQWAITKLKGKSLLSLVLKLAWNAFCYVIWRERNSRIFQQKMSIMEQSFILIADSIRTRLLGLKHVAKDPINIFLCNNWSLQLDW >OMO85315 pep supercontig:CCACVL1_1.0:contig09637:15792:15923:1 gene:CCACVL1_10279 transcript:OMO85315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAFNFGDNQILQIYGFTHKSLARRKVKRVRNETANPIEVKD >OMO85310 pep supercontig:CCACVL1_1.0:contig09637:1679:2986:-1 gene:CCACVL1_10274 transcript:OMO85310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGETNYTVIDVNSLASSMENEIGNIHQLSLSPDYCIFKTPSILARHSPKAYSPNCFSFGPFHHTNPSLKVTEKIKFKYLRSLLSRWSDPKTKLKECLRSIKDIEGKARDCYAGYDGHFSEHNNNFVKLLVLDGCFIVELFRKDAKVVKKEYDDPIFSMSCMLQFLHHDLILLENQIPWIVLERLFDITKGSSDTMSLIEIALHFFGNLVSSHTPNLNANQLFANQKPIPSVTRLEEAGVEFISVKKVDSILDIKFQNGRLQIPSLLIQETTETIFRNLISYEQCLPNCKPIFTSYAKIMDNLIDTIEDMETLCKMNVFDNWLSPEDATQFFNKLYNDTYVKQFYYSKLCNEVNGHCQKWWPTWRAAYVHNYFSKPWAVAAQIYAIIMFILTLWQTCKPSN >OMO85319 pep supercontig:CCACVL1_1.0:contig09637:61428:62495:-1 gene:CCACVL1_10283 transcript:OMO85319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLKGLVSRVAHSHPETLTLSEGARQQKILTDCINSIKAIERKASDCYAGKDYAAELGDEFVKMLVLDGCFIVELFRKYAEEVKREHDDPIFSMSCMLQFLHHDLILLENQIPWFVLQTLFDITKLPSDTKSLIELALHFFATMFTFDTIPLKPAIFNDQDIKHILDLLRLSLVLPSKEINNYGRKLGWQPIWSITKLKEAGVKFEKSDKAESILDIKFRKKGVLEIPLFLIQETTETIFRNLIAYEQCLPNCPPIITSYAMLLDNLIDSPNDLDMLCNKNIIWDNWLTTGDATQFFNELYNDTYVKEFYYYELCRDLNNYCKDGWPKFLRALGDCCPDLRCYHSFSHIVANFL >OMO85311 pep supercontig:CCACVL1_1.0:contig09637:6422:6784:-1 gene:CCACVL1_10275 transcript:OMO85311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MALVTYKNLDPRVVRDQNKPKPSTDFRQHKFGARDTAKDSPPFLTEAELIKVFKRFDGNRDGRLSRQELKNAFESLGSYFPTYRAIMALLRADKNRDGYITLDEMRYLIEYALSCNYYDK >OMO85314 pep supercontig:CCACVL1_1.0:contig09637:10642:10722:1 gene:CCACVL1_10278 transcript:OMO85314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFCEITIFWGPELSESKKEGRRKM >OMO85313 pep supercontig:CCACVL1_1.0:contig09637:9964:10077:-1 gene:CCACVL1_10277 transcript:OMO85313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESNHCKTEFYFVIITDFSRIKTIQFQTIRIHKQTQ >OMO85316 pep supercontig:CCACVL1_1.0:contig09637:32779:34307:-1 gene:CCACVL1_10280 transcript:OMO85316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIGRSNENVAVNVESLVSSLELELGNARLTMPPSYCIFKTPSILFRHRKKAFLPNCFSIGPMHHGKENLVATDKIKTKYLKGLLSRVATSHDPETMLSEEAKQQKILTDCINSIAIERKASDYYAGHDYAAELGDEFVKMLVRDGCFIVELFRKDAYEDIRDPDDPIFSMSCMLQFLHHDLILLENQIPWLVLETLFDITKLPSETKSLIELALLFFRTKFSYNSTSLEPAIFKDQGIKHILDLLRLWVVLPSKVVNCTDFKSWDPIRSLTKLKEAGVQVKVVTEKSILDIEFKDGVLKIPSLQIQETTETIFRNLIAYEQCLPNCPAIFISYAKVLDNLIDIPNDLDILCSDLEYILCKKNKEKISWDNWLSPEDATQCFNNLYNDTYVKEFYYSKLCCDLNHYCKRWWPRWRASYVHNYFSKPWAIAAQIYALIILFLTLWQTYGQKGVKGGRKR >OMO62894 pep supercontig:CCACVL1_1.0:contig13124:1824:3959:-1 gene:CCACVL1_22583 transcript:OMO62894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase/squalene oxidase MWKLKLSQGEEPWLASMNNHMGRQYWEFDPNLGSPGERAQVEKARNQFTKHRFQAKQSCDLLTRFQFGRENLETIIKVKYLKMGEQVTEEIVKMTLTRALRFYSTLQAPDGFWPADYGGPLFLLPGLVIGLFVTGDLDIVLPSEHQREIRRYLYNHQNKDGGWGLHIEGESTMFGTALSYVSLRLLGERKDGGDGAIAKARKWILDHGGITFIPSWGKMWLSVLGIYEWSGNNPLLPEFWLLPYFLPIHPGYLYCPST >OMP07689 pep supercontig:CCACVL1_1.0:contig04266:84:959:1 gene:CCACVL1_01248 transcript:OMP07689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QPSGLVDPLYGISLGINPTYVNAPQPMFADMTAPYAGSSASAQEQELANTVSQPSGGLVDPLYGTSLGVNPTYASTPQSMFADTPAPYANAGESGQLQSVGVQPQMLAASWNGPVAPSYSNSTPVTDALTDAFPTSANGNPPSGEPLDAMGRDLARAGVFGPYSAAKAAADADPGVLLASVDVNRRPRDEMLLEGGGGGPGLGGGGGRSFAGESSGTGRAGLMDAAEAEGVAGARSIREGIYEFKTTDNEPYVGQSGNIDQRLQRHVENGKLNAEDVESVQRTEVWWKNCA >OMP04053 pep supercontig:CCACVL1_1.0:contig05842:671:787:1 gene:CCACVL1_02204 transcript:OMP04053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFFRCQSSSVICPADRAIAISDRVSMIMYSWSLLQRS >OMO96552 pep supercontig:CCACVL1_1.0:contig07440:7465:8168:1 gene:CCACVL1_04898 transcript:OMO96552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPASDLLPPPSSPTISSVSSSDLDTESTGSFFHDRSTTLGTLMGVSFPAITFRAPSQHRREMQPTIATSSLSSSGLKPKKRRAAFGGDRLGGRRRRKWWQLCRDGDAKPASLGEFLEVERRFGDGAFYGATAELEGVMGTPPLHNVHQEERNGRILFADGRVLPPAPQPPSVGDDEGTSTAGVLCRFPVSLTGICSGGVG >OMO96553 pep supercontig:CCACVL1_1.0:contig07440:8463:9297:1 gene:CCACVL1_04899 transcript:OMO96553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNGLNSTNSENGSKNEAPSSSEAEQQPQIRMPTLEEVRAQEVWNNCAVRSVASGIIGGGFGLFMGMLLGAVDNPLMQEQMTGRQQLVYHAKQMVRKSWSSAKTFAVMGVIFSAVECVVEKSRAKHDTTNTVVAGCVTGGTMSAKGI >OMO61363 pep supercontig:CCACVL1_1.0:contig13512:2401:2493:1 gene:CCACVL1_23579 transcript:OMO61363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAPTVATESPSPSLSDSDQAFRCEDTNVTQ >OMO67611 pep supercontig:CCACVL1_1.0:contig12411:22981:23802:-1 gene:CCACVL1_20422 transcript:OMO67611 gene_biotype:protein_coding transcript_biotype:protein_coding description:2S globulin MFREYIGAMFKDVKFSDVPINPNVDFHFILSFAIDYDDLNSPSPTNGKFNIFWDSNNLSPSHVSSIKSKHPNVKVAVSLGGYNVGSEFAYFKPSSISSWVSNAVTSLTSIIKMYGLDGIDIDYEHFQADPETFAECIGLLIKTLKNNKVISFASIAPYADDEVQRQYIALWKKYGNIIDYVNFQFYAYDKSTTIPQFLSYFNNQSSNYVGGKVLASFQSDLSRGLTPEHGFFTACNMLKSQEKLHGIFVWCADDSKANGFIHEKQSQEILAAH >OMO67609 pep supercontig:CCACVL1_1.0:contig12411:13485:14442:-1 gene:CCACVL1_20420 transcript:OMO67609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVSTINSWPPVYCVGTYNHIPRGGYHDRPYFLYDSHKDYEKCKNKCFIRVTNLTFDRWEDEKKEWKQIYPIIWVP >OMO67612 pep supercontig:CCACVL1_1.0:contig12411:27673:29973:-1 gene:CCACVL1_20423 transcript:OMO67612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFENFPNPSRSTRSGAFNFKELDHDDNTTIEQGQCSTDDDEEPEEESDEAPSGANNPPFIFIPISIEKTEEYNPDLITKTQNCLQA >OMO67610 pep supercontig:CCACVL1_1.0:contig12411:21085:22002:-1 gene:CCACVL1_20421 transcript:OMO67610 gene_biotype:protein_coding transcript_biotype:protein_coding description:2S globulin MELSKLFIALFLFQALFPCHMSIQATPPKSNLFREYIGAEFKNVRFTDVPINSDIDFHFILSFAIDYDNSDSPSPTNGNFNVFWDSDNLSPSQVSSIKTQNSNVKVALSLGGDSVGNGYAYFNPSSIDSWVSNAVASLTSIIQEYNLDGIDIDYEHFQADPDTFAECIGRLITTLKNNGVISFASIAPFDDDDVQSHYQALWKSYGDVIDYVNFQFYAYDQGTTVSQFINYFNTQSSNYNGGKVLVSFISDGSGGLSPQNGFFTACDKLRSQNKLHGIFVWSADDSMGNDFRYEKQSQALLANSH >OMO67608 pep supercontig:CCACVL1_1.0:contig12411:3993:6161:-1 gene:CCACVL1_20419 transcript:OMO67608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGHPTFPRSDSLQMSPDIMSPLNYPLTQLSVSESVDSVSEALHGGSSSSGGGYNSPSSLGSCCTRKQSFMQRSVSSHSLQKNGLFSHFAATLSDFIDSDSGPVRRVFSTGDLDQGINMGQHQYSRRSESPLSSESNAIIEGMSRACRYSPEEKKERIERYRTKRNLRNFNKKIKYACRKTLADSRPRIRGRFARNEEIERSPQVDQWNQIEEEEEEDEENWINFLDSISANLINP >OMO52616 pep supercontig:CCACVL1_1.0:contig15500:2565:2636:-1 gene:CCACVL1_29163 transcript:OMO52616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPFDGSFQKRNSSEERVLQQRQL >OMO88446 pep supercontig:CCACVL1_1.0:contig09017:8306:8371:1 gene:CCACVL1_08387 transcript:OMO88446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILSLSGLNVNFNKNMKIS >OMO93937 pep supercontig:CCACVL1_1.0:contig08028:24069:24853:-1 gene:CCACVL1_06249 transcript:OMO93937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPPRLTALLLFILLATTVPQPVNSISYSQYKTLFSLSHSLLTRVANLRSARGDAAGSNRARLIAEKLERCQGIGFWGSAWSVGWDYVWNYALSGDLDYGEIPGVVSDLNQLGSLLRELTRSNSEMQRANWMARNYGNALTVSKRLFNRLLKVFKKSGALREIVETVQKEVTEGDLLKDCLEIGNKDIKGVLHILKDLASQYYSTSDYSHRQEL >OMO93934 pep supercontig:CCACVL1_1.0:contig08028:111:1791:1 gene:CCACVL1_06246 transcript:OMO93934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGRMLTFLPQFCRGMNYLHENKPAPIIHRDLEPSNILRDDSGHLKVADFGVSKLLTVKEDKPLTCQETSCRYVAPEVFKNVDYDTKVDVFSFALIVQEMIEGHPPFSAKHDNEVPQAYATRERPPFKAPSKHYAHGLKELIEACWNDNPAKRPTFRQIITKLEAIDNSFSHKKRWKVRPLKCFQNLEAMLKKDHSSASSRSSSRSTGSL >OMO93939 pep supercontig:CCACVL1_1.0:contig08028:39103:41430:1 gene:CCACVL1_06251 transcript:OMO93939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGTHTASTVAGRQVPGVAALGGFAKGTASGGAPMARLAIYKVCWAKPNVTKASGNICPFEDMLKGLDDAIGDGVHVISISIGVDSPLQYEDDVLAIGALNAAKHNIVVVCSAGNRGPTPATLSNTAPWLTTVGASSLDRAFLAPIKLGNGLKIMGQTVSDNKLGNQMYPLIKAEDAVLRHVLQNETGGSGTRVGKGIEVKRAGAQTTNNLGEEITDDSGNAATPFQYGAGHFQPIKAADPGLIYDASFHDYLLYLCSVGQTKLLDRTFKCPEHPPSAVNLNYPSFAIPNLNGTFTIWRTVTNVGRSKSTYLFHSKPPPGVHVKASPNILHFNRLGQKKSFKITVSPADFDSTVPRSNYGFGWYTWTDGFYHVRSPMAVYLP >OMO93940 pep supercontig:CCACVL1_1.0:contig08028:42383:48442:-1 gene:CCACVL1_06252 transcript:OMO93940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSCIYEARDEKILVSLKDEKSTVRIARFLKPFVRNVEQAVGVPNTPLLHELFSHSSKNWPSKVSFKGWKHPQKEWGQWVENLAGKYGAVWNQAGICDAILSSTYEFPCNRDVILGLSEFWCPDTNTFVFPWGEVTVTLEDVLILGGFPVLGESVTMAVEGDHSKKLWEEMENQRTELHKISKAKRAIHGVWLEHFIEKGSDELEHVAFLCLWLSRFVFPSCSVGSHVFSIAVHLSQGKKIALAPAVLASVYHNLRLLKEQVVVSSESNSISVSGLFQLVQLWAFERYQKLAHNSARLLHPGEPRAARWHKVGSKLNLPGVKLVVKLAENFSWRPYAAELKNWHLWGFYKEIEQVVFSCSSVDEDLTSFGKCLLASELVGIDCTDLYSPQRVSRQFGMDQDLPGDISYNQELNNMKMPLPVQAETNPTQEMTPNAATTSELKYGSVSSKNHPESTDQAEKNEAGNQVSEAPRVEEVFETKSQGIKSDAKESHVTICQESLAEAKKMQQLAEEVIDDEFDSLPPTKSSSLGDDIDNRKRKILTTTLGGAEKSLASEFKEEPIDVDELPEIEWRKGSKRDKKMAGQSFYYSILISCLNCSQKIGNQSLSISKAVEKKPVKKAGKKEHHLWKKRESAGSGQKALNLVRIISQLPNEKEAVYGALDKWTAWETEFPLIAAAKALQILRKRSQWLRVIQVAKWMLSKGQGATMGTYDTLLLAFDMDKRVDEAESLWNMILHVHTRSISKQLFSRMISLYDHHDMQEKIIEVFADMEELRVRPDENTVKKIARAFQKLGQEDKQKLVLRRYLSKWKCIHFNGERVRVKRYESDED >OMO93938 pep supercontig:CCACVL1_1.0:contig08028:28458:34376:1 gene:CCACVL1_06250 transcript:OMO93938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MPGNKYNCSPGPGTPRTRLERLLRERELRKFNKSLNDGDTELSSNDPFSPDSENSTCFNDEESLDSISAGRNSFDGSKQRLLVVANRLPVSAVRRGEDLWHLEISVGGLVSALLGVKEFETRWIGWAGVNVPDEIGQKALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFDAYKKANQMFADVVSQHYEEGDVVWCHDYHLMFLPKCLKERNSKMKVGWFLHTPFPSSEIHRTLPSRSELLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGKLTRVAAFPIGIDSDRFIRALELPQVQDHMKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPSWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVASSIGYALNMPADEREKRHHHNFMHVTTHTSQEWAATFVSELNDTIVEAQLRTRQIPPILPIEVAVDRYAESNNRLLILGFNATLTEPVDILGRKGSQIKELELKLRPDVQEPLKKLCDDPNTTIVVLSGSDRSIMDDNFGDYNLWLAAENGMFLRFTSGDWMTTMPENLNMDWVDSVKHVFEYFTERTPRSHFELRETSLIWNYKYADVEFGRLQARDLLQHLWTGPISNASLDVVQGSRSVEVRAVGVTKGAAIDRILGEIVHNKGMKEPIDYVLCIGHFLAKDEDIYTFFEPELPAEAAAPLRPQVPTPARTSVSKLAVSKSASSKSAARFKKQRSMSAIERNTSYPVVAGGLQCSMVPERTSLHEGSSVLDLQGDNYFSCSVARKRSNSRYLLGSSDDVVRLLRELADSSS >OMO93935 pep supercontig:CCACVL1_1.0:contig08028:13942:16831:1 gene:CCACVL1_06247 transcript:OMO93935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETANHWLDEIKEIDLHNNNQLLRAKIAENERKPQNMNLMPGGSNNFEVMHSQQQAPFDSRNFFQVNALQPANHFPQQADQMALQLVSNNGSFVM >OMO93936 pep supercontig:CCACVL1_1.0:contig08028:18233:19721:-1 gene:CCACVL1_06248 transcript:OMO93936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MEKWCGFFGVILLVLNFLCFIGVKGQQVPCYFIFGDSLVDNGNNNQLNSLARADYLPYGIDFPNGPTGRFSNGKTTVDVIAELLGFDDYIPPYSTARGRQILQGVNYASAAAGIRQETGQQLGARISFSGQVSNYKNTVSQVVNLLGDEDTAANYLSKCIYSIGLGSNDYLNNYFMPALYSTSRQYTPEQYAEVLIQEYTRQLQNLYDYGARKFVLIGIGQIGCSPNELAQNSPDGRTCVERINSANRIFNNKLIGLVDQFNNANSDAKFIYINAYGIFQDITRNPAAYGFRVTNAGCCGVGRNNGQITCLPYQTPCQNRDEYLFWDAFHPGEHANVIIGRRSYRAQSSSDAYPIDISRLAQL >OMO54608 pep supercontig:CCACVL1_1.0:contig14944:13860:15517:1 gene:CCACVL1_27721 transcript:OMO54608 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box/FBD/LRR-repeat protein MRRLSSESKNQGDWDLEDMLQLSSQGDWDFEDLQRLSSASEDEREWEFEDRLSELPDDLLLRIMSRLPLLSCLPLKQERGSSVLARRWQHLSQFLSGMLNFDATNLIDQLDSEDEDSIYYEKLRKEKLTDSEATPKHKHEASPFLHKFSVKLPTIREGQESREMQNVKEYPNQNLKEVEVIGYSGATSHAELVTYLLKSAFNLEKIVFGWEFDGVPSDKKPRFRNAAKQLMRTTPHILGLEFVIL >OMO71510 pep supercontig:CCACVL1_1.0:contig11612:81280:81558:-1 gene:CCACVL1_18192 transcript:OMO71510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTQFPRFQYLVFKKPPPSFNLFPKPELPNKFTSPKVFSAPKSTLSTTEPIPITHISLLEEEPRLEISLDKLFVPTETDVSCDDPNFRTLC >OMO71509 pep supercontig:CCACVL1_1.0:contig11612:23538:23924:-1 gene:CCACVL1_18191 transcript:OMO71509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSVLLPLSLFLLSLCNVPMELLRLHNPSRSRVFMSVSFGYQAVVNDA >OMO71181 pep supercontig:CCACVL1_1.0:contig11711:4114:5108:1 gene:CCACVL1_18380 transcript:OMO71181 gene_biotype:protein_coding transcript_biotype:protein_coding description:NO-associated protein 1, chloroplastic/mitochondrial-like protein VDIVNFNGNFLSRVRDIAGANLIILVVTKVKIGIFRHFVELNRAVRIGSWSNLSLQNEARSNSSRASNLSF >OMO71184 pep supercontig:CCACVL1_1.0:contig11711:37064:37402:-1 gene:CCACVL1_18384 transcript:OMO71184 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase Q-like 5-like protein MDSDSDFDGSHISATLPHDLFPPPSRPMQRPPPPKPPTSSHKSTTKIKFSSHSNTISKPKKPPKKHSKTETKLEPKPDSKPVQQAEPPPFPSPLDNFPFQIHRPSEQSHPIST >OMO71186 pep supercontig:CCACVL1_1.0:contig11711:62583:65821:1 gene:CCACVL1_18386 transcript:OMO71186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase class 1/Sedoheputulose-1,7-bisphosphatase MDHAADAHRTDLMTITRFVLNEQSKYPESRGDFTILLNHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFIKALVSSGRTCILVSEEDEEATFVEQPKRGRYCVVFDPLDGSSNIDCGVSIGTIFGIYMVNDSHEPTLDDVLQPGKNMLAAGYCMYGSSCTLVLSTGEGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDGPTAKYVEKCKFPKDGSSSKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALDLVPNKIHERSPIFLGSYDDVEEIKALYAAEEKKE >OMO71183 pep supercontig:CCACVL1_1.0:contig11711:28614:31453:1 gene:CCACVL1_18382 transcript:OMO71183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVIQKRLYFTDINPVASRFSIPISQIKTSTSFLTESETVDLEHGKAIDGQWNAVVQHNGLNVYDMVQLWSFRVGSNLCFALVKIDVPTSSSST >OMO71182 pep supercontig:CCACVL1_1.0:contig11711:8920:17499:-1 gene:CCACVL1_18381 transcript:OMO71182 gene_biotype:protein_coding transcript_biotype:protein_coding description:permease, Auxin efflux carrier protein-like protein MAASNPTGYKLHELPNTKELRFEPHSTKFTTDVYSLFRLAIDFPLIFVALRWLAPDVYNHILATAPYRQYLNSQCLLHPSLTLNSWGQEGTGGQHTIIARQQRLLQLFLLTLSRDRTQLDILFRDRTQSDILSRDIANTPLGGSLGHHKHAAQRKI >OMO71185 pep supercontig:CCACVL1_1.0:contig11711:47890:58213:1 gene:CCACVL1_18385 transcript:OMO71185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar proton ATPase MEKFLDNLPPMDLMRSEKMTLVQLIIPVESAHRAISYLGELGLIQFRDLNAEKSPFQRTFVNQVKRCGEMSRKLRFFKDQISKAGLLSSVHPVVEPDVELEELETQLAEHEHELIEMNSNSEKLRQTYNELLEFRMVLQKAGGFLVSSNNHAVAEERELSENVYSNDNYVETASLLEQVSEMRPTDQSGLRFISGIICTSKAVRFERMLFRATRGNMLFNQAPAGEEIMDPVSSEMVDKTVFVVFFSGEQARTKILKICEAFGANCYPVPEDISKQRQITREVLSRLSELETTLDAGIRHRNKALTSIGYHLTQWMSMVRREKAVYDTLNMLNFDVTKKCLVGEGWCPVFAKAQVQEALQRATFDSNSQVGIIFHVMDAVESPPTYFRTNRFTNAYQEIVDAYGVARYQEANPAVYTVITFPFLFAVMFGDWGHGICLLLGALVLIARESRLSTQKLGSFMEMLFGGRYVLLLMSLFSIYCGLIYNEFFSVPFHIFGASAYKCRDPSCRDANTIGLIKYSDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNARFFSSSLDIRYQFVPQMIFLNSLFGYLSLLIIIKWCTGSQADLYHVMIYMFLSPTDELGENELFWGQRPLQIVLLLLALVAVPWMLFPKPFILKKLHSERFQGRTYGILGTSEFDLDVEPDSARQHHEEFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDNIVVRLIGLAVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFKPFSFALITEDDD >OMO69588 pep supercontig:CCACVL1_1.0:contig12011:28498:35662:1 gene:CCACVL1_19409 transcript:OMO69588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MGSPERYASSSSSSPSSFFSHFCSSALRAKTLNPSSYRTASGRDDLVRRLGVLDLLLLGIGASIGAGIFVVTGTVARDAGPGVTISFILAGASCVLNALCYAELASRFPAVVGGAYLYSYTAFNEITAFLVFMQLMLDYHIGAASIARSLASYVAALFELIPGLKGNIPAWIGQGGQEFFGGTLSINILAPVLLVLLTIILCWGVGESSAVNSFMTATKVVIVIFVVIVGAFEVDVDNWSPFAPNGVKEILTGATVVFFSYVGFDAVANSAEESKRPQRDLPIGILGSLLVCVVLYVGVCLVITGMVPYYLLGEEAPLADAFTSKGLKYVSILISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSLFAKVHPKRHTPIHSQIWVGIVAAVLGGIFNVHVLSHILSVGSLTGYSVVAACVVTLRWKNKTGNPASSKCVSTWCEGVICLIIVASCGFATGVLYRYDASFIYIIVAAVIALLSCAALCLRQAYSDALGFSCPGVPVLPSVCIFFNIFLFAQLHYEAWIRFIVLSIISVSIYAFYGQFHANPMIVLFQTSSSCLRVEQMRSRVIAAGRHTVVLVGDTLVSVISPFPNSFLLLDVPFFLDYFGYVVLVSDTGAPEGWCYPHRFGSVAPQRGLNEDGSQAQWFIDGKSAFVLIAFSIEKAKSEIFITGWWLCPKLYMRWPFESNSSSRLDALLEAKAKEGVQIYILLCKAVSIALKINSFYRKNLLRKGCCDILSICQLAYIYGSNHEKLVIVDYQICFIGGLEVCFGRYDTVENRVGDCPPFTWPGRDYYKPRHFVQRWNHAKVTPFEDVRVLLPQESDARISNGDQKLNGMLKKHYHLSQEDGVYGSFSSSHDSEVDSLGSDTQMSGAADDHHCMDPRSDLASNEMSYEWWGTTAMMIMMLLC >OMO69587 pep supercontig:CCACVL1_1.0:contig12011:26944:27829:1 gene:CCACVL1_19408 transcript:OMO69587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MKSVKNNLPGLILNVLLIVLSCPIMVIGWWLFMNTSVLINTGPDGCGGEVVNGVGLGIICAGALLMVVSVVGSIYYCCRMCCSSRPCLLTLSFWFYVVFMVLFIIFNLGFTIYTLADTNQGFDSQLWNETQTCMIKSDICTKFNPKYLNNTLEEHLTAIQSGCCKPSDDCGFTYSSPANWTAGKGVSDKNPDCNAWDNDPKVMCFNCDSCKAVFADKMNKMYKKFGKVNFGFIALLIIAFAAYLVFREDDNYTSSNQTTGRRAHP >OMO69580 pep supercontig:CCACVL1_1.0:contig12011:99:2326:1 gene:CCACVL1_19400 transcript:OMO69580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSASERFCMKTPPERTPAEAPPPPRTHIVT >OMO69581 pep supercontig:CCACVL1_1.0:contig12011:3356:3748:-1 gene:CCACVL1_19401 transcript:OMO69581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRVVSGLTLLFLEEKQKHMENSDTISNGGGALIMLEKSVIGTAETKEDVKEENDHVIIRTTKLEMNAKSCFRMPLHYPLFTKEDYDNMPLWRLDQLFAEYGLKNIVGGDLNYKRKFAMAAFLWPRSRK >OMO69585 pep supercontig:CCACVL1_1.0:contig12011:21152:22064:1 gene:CCACVL1_19406 transcript:OMO69585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MTKPKNVGIIFNILMIALSIPILVVGIMIEKKADRQCGSPNTDTGKALTAAAGTLISVAVVGLLLNICELTCKGCRCFWFWLYVLFMVVCIVVGLGYTIFILNVSSKGSGIKLAGKGYQEYKLGSYSKHLQKMVKDDWNSTKACLIKTDVCTKFNNKYLNDTVEKFYKEPLTAIQSGCCKPSDGCGFTYSSPANWSDEIGVSDKNPDCKAWDNDPKVKCFNCESCKGAVADNLKNAYKKLGIISIVFNVPLVIVFVAYLFLRNKNPAEDDMTFDRNY >OMO69583 pep supercontig:CCACVL1_1.0:contig12011:8352:8603:-1 gene:CCACVL1_19404 transcript:OMO69583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDHSIAEERVAFLRPIIEHTASMVNSGKRRDRSAGCDEFSKEVKVSFDGMTEHESVDLKESGSRVLTLEKKQTFSLYWTPK >OMO69586 pep supercontig:CCACVL1_1.0:contig12011:24982:25895:1 gene:CCACVL1_19407 transcript:OMO69586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MFVLSIPILVVGIWIGNKEQGECSGTDTGAGTPLIIAAVLLIIAGGLLMCVAMVGLILNIVTTTRKCCFWFCLYVFPMVVFLIFGLGTTIFILTVSSKGSSIKLDGKGYHEYKLGSYSEFLQVFIKDAHTWNSTKSCLIKSDVCTKLNDKYLNDSVEKFYKEPLTAIQSGCCKPSDDCGFTYSSPANWSDEIGVSDKNPDCKAWDNDPKVKCFNCESCKGAVADNMKNAYKKSGIVSIVFCVLLVIVFVAYLFLRNINSAEDDNRNMTFDRNFYRPT >OMO69582 pep supercontig:CCACVL1_1.0:contig12011:4108:4188:1 gene:CCACVL1_19402 transcript:OMO69582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVVMARVATALWERQGQTNFKAWA >OMO69584 pep supercontig:CCACVL1_1.0:contig12011:11341:16941:-1 gene:CCACVL1_19405 transcript:OMO69584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MSRRVRRKWAKKGKLSYSEIEDEDLGPERTGFVDWTSLPDDTVIQLFSCLNYRDRASLSSTCRTWRVLGSSQCLWSSLDFRAHKFDTAMASSLASRCVNLQKLRFRGAESADSIIHVQAKNLREISGDYCRKITDATLSVIVARHENLESLQLGPDFCERITSDAIKAIAVCCAKLKKLRLSGIRDVHADAINALAKHCPNLVDIGFLDCLNVDEAALGNIISVRFLSVAGTSNMKWGVVSNLWHKLPKLIGLDVSRTDIGPPAVSRLLSSSQSLKVLCALNCPVLEEDTSVCTIKTKGKLLLALFSDIFRGLSSLFAETTKKGRNVFLEWRCSKNKDKNLNEIMTWLEWILSHTLLRIAESNPQGLDEFWLKQGASLLLSLMQSSQEDVQERAATGLATFVVIDDENASIDCGRAEAVMRDGGIRLLLNLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGINILAGLARSMNRLVAEEAAGGLWNLSVGEEHKAAIAEAGGVKALVDLIFKWSSGGDGVLERAAGALANLAADDKCSMEVAIAGGVHALVMLARNCKFEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTRSPHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVTLAQSCSNASPGLQERAAGALWGLSVSEANSVAIGREGGVAPLIALARSDAEDVHETAAGALWNLAFNHSNALRIVEEGGVPALVHLCSSSVSKMARFMAALALAYMFDGRVDEFAPMGTSSESTSKSVSLDGARRMALKHIEAFILTFSDPQAFAAAAASSAPAALAQVTERARIQEAGHLRCSGAEIGRFVSMLRNSSSILKACAAFALLQFTIPGGRHAVHHASLMQDAGAARVLRAAAAAATAPIEAKIFARIVLRNLEHHQIEAPSI >OMO61003 pep supercontig:CCACVL1_1.0:contig13622:26685:27229:-1 gene:CCACVL1_23776 transcript:OMO61003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIYKDTVKVKAVFLGF >OMO64601 pep supercontig:CCACVL1_1.0:contig12785:7565:8656:-1 gene:CCACVL1_21646 transcript:OMO64601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMDFYSSRAVQSDLYGGELMEALEPFMKSVSSSSSSSFPSPSYSSFSSSPSPSPCLPSTSYLSFSSSQSQTQPSFYQDGCCYTNPNPNPIDQFSGFQQQPGSTIGLNHLTPAQIHQIQAQFHLQNNQPSYLYQNPQPQNSTNNLMLSFLSPKPVPMKQMGSPPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPNLRHQGSHIGGDFGEYKPLHSSVDAKLQAICESLELNQKQGSKKKTAAESKSKNKKVHVAEPEPEEKTVKVENSPSPVSPVLSDSEGSAESSPLSELTFSDFNEQPWPEVVSSSETFMLSKFPSYEIDWDAILKA >OMO64600 pep supercontig:CCACVL1_1.0:contig12785:152:4887:1 gene:CCACVL1_21645 transcript:OMO64600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FDVTFPALACSILSLDAMDISGEQHLDVKHDIIKKRLDSHGNVIEARQDGIGAPKIEKPLQRHGGRLEHNETYCGSCYGAEASDDDCCNSCEDVREAYRKKGWALSNPDLIDQCKREGFLQKIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQQSNVHVHDLLAFQKESFNISHKINRLAFGDYFPGVVNPLDGVHWTQEQPSGMYQYFIKVVPTVYTDVSGHTIQSNQFSVTEHFKGADIGRLQSLPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGILDSFIYHGQKAIKKKMELGKFN >OMO97692 pep supercontig:CCACVL1_1.0:contig07215:5244:10516:-1 gene:CCACVL1_04485 transcript:OMO97692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S15 MALHLARPKRRSLTNPSLFHLFSTSSNNPNHNDESSSNGPNSDNNSSSFSSYFSDVKASLKQQPKERPPSPFSRNPTNPSVPNSKPIPVAASLEEIRKNLSEFRRRSAAPPTTGSSPTQSQSQSQSQSQSQPSISFQELYKRNVLGKQQGESNGSPAMSPAGGKLSFDAIRQSLRQMGKQSGANNAERKAAPSLSLTDYKNTLRLKPSDGSGGSPLIGGTTVLPSSVFDKERKEKTRVADSRVNTEFVKMYSHGELGEKLRLLRPEKREEGWFSLQELNERLMKLREIEKKETESLISGVPYAELRESLVKLKMSDDEKLKKNAYQRVDILDHLGRTPNFMLLPPQDELVDKYFHPDNMSSAEKLKIELAKVRDEFKMSESDCGSARVQVAQLTIKIKHLSSVLHKKDKHSRKGLQEMVQKRKKLLKYLRRTDWDSYCLVLSKLGLRDNPDYKN >OMO97693 pep supercontig:CCACVL1_1.0:contig07215:11190:14698:1 gene:CCACVL1_04486 transcript:OMO97693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIWTTSHAEFDLPMIWPARENKASAQAVWQIPRAT >OMO97694 pep supercontig:CCACVL1_1.0:contig07215:15223:15525:1 gene:CCACVL1_04487 transcript:OMO97694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTILVAEVLLSLVQARCPPPPEPSSKTNSGSPALQLEWSVRQRRSKQALRKKGEPARASPTTPLSWSGGTSVSGGGGGADGSEESSRPPLKPLDNARSK >OMO97695 pep supercontig:CCACVL1_1.0:contig07215:16293:19483:1 gene:CCACVL1_04488 transcript:OMO97695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKITSTIVKPFGVLKGQGGQKKVVATNATSPPKRSRRKKTLAELKEEMSSQLKENKTLKNELEMFKLKFDNLKSSNEVLSRKLKIEKERATNESCKRIKLDYQTHQHATESAMASLEPNNAISDLSQQREVACHPASVGCNENDQCLPNGSSKMQDIASSETSFMLPDLNLPIDDDSGGEVLYGVS >OMO76658 pep supercontig:CCACVL1_1.0:contig10882:47006:49271:-1 gene:CCACVL1_15517 transcript:OMO76658 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent FMN reductase MAAKVYIVYYSMYGHVEKLAHEIKKGAESVEGVDVKLWQVPETLHEEILGKMGAPPKSDVPHITAQELAEADGILFGFPTRFGMMAAQFKAFMDSTGGLWRTQALAGKPAGIFYSTGSQGGGQETTPLTAITQLTHHGMLFVPIGYTFGAGMFEMESVKGGSPYGSGTFAGDGSRQPTELELGQAFHQGKYFAGITKKLKGTA >OMO76657 pep supercontig:CCACVL1_1.0:contig10882:44356:45459:1 gene:CCACVL1_15516 transcript:OMO76657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAAEESVRKRKILELKSNPNPNEYQGRKRKLGENSRSLSLREAKDARRRWEEMDGDIMVNIMQRVMRHKESESERECWDLGILLPLLKELESHACHNELYNSSHCCAHEFGPYYDKLEAVYCSSLMVSTFAYHSKCITTLRLYGVINNYRANVIGKNFPLLNHLEIPSCALSMDALPTILDGHTNLVTLNTRHSFLVARNDLKSLPHHLPSPGFDVSQVSTTVKAMAWKEICNAGIHLQCKRRFCSICSILYY >OMO76650 pep supercontig:CCACVL1_1.0:contig10882:6343:9771:-1 gene:CCACVL1_15508 transcript:OMO76650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYNSSSYSDSNYGAGEYYYHSQYDDVSHEFFSSEASEPHSSYAYNGYNYNYNSVEYDPAPYYGDYYDSDISHSTIAYSSDPYAFSEPKYVDYGLDPYGGDYATVKTRFIMSYSVLELDDGEFNMPLFKELDPTPYGGGYDPDATYGKPLPPSEAVCYPPSSADPNDIPLSNYGSIESPYGKDAAAVKPVAKPSNGGKKVTFKDQEQQVVNVGSKGKPADSSQGEEIKENDPDGAIESGNIENEKRVYQIPPGYGLEAMDLCESLFGYWPCLARAKRERECQNCQEVYGKASNDNWLGKGTADYLFGSSYPYGERWSIGVTSSIQDLLKSQGLPGGLFPDNVKSYELDRDGRLEVHLEQPCMAKFDGRVHFDRVVRANLSYGGLMGLEGLSQEELFLWLPVKGIIVNDPSSGLILFDIGVAHKQLSLSLFENPPVCKPQEVLAQKVGRKNKGFQVLR >OMO76663 pep supercontig:CCACVL1_1.0:contig10882:110592:111976:1 gene:CCACVL1_15522 transcript:OMO76663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRGGKLRLKLVENEKTRAAIFNMRLSGLKKKAKELTILCNVRLCMVILGPKLKNKPFKVVIWRDDHDEVKSMILDCKRSTFEKKIFTISDYMNLRRKKVENEIAHVRRANFKAKFPTWDDRFDNLSPKQPFPPKNLCDNFLESHFPLKTLNPFDHPQNSLDYGLFTGQHSGNYVESHHLPIKSLNPLDRHFHEKSLDYGLIMGQHSGNHIQSHDHLPLTTRNQFDHIQAFPHKNYGLFMEPPPIVSTHSQSDENLLNLSLNLNPNKNRLNPIDHKQLSMPMMNNVLDFNFQPGASSSINQKPMTMFSMMNDHLDHFNVQHSGLASSSSRNIPNTLSFSPLRSSFGSHHDHHGIQAAHHDSMPTMQDNVMFKQPKPYDAWFHPPSMQPDHQASNFDLQQFLRNSESDPRFRSEFLEFYH >OMO76653 pep supercontig:CCACVL1_1.0:contig10882:26873:28457:1 gene:CCACVL1_15512 transcript:OMO76653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSHHHQIQKDWSPKSYSPGEDSSITNNFKPIDQNFSLNCSGNNYGYPSSATLLQTLFEPDPPHFNNRSINYMSPPTAATNYGANVVVNELSSPPPPASWQKLAPFLRPAAASVPKQPPPSSGLHFSNNTPFWNASTGATAINDVKSSFLPSPQSQFLAQTFDEKPNCPTLTTKTKNEEVRDSGSVMKKGSVEPPFKRPRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVNVLSTPYMKQAPASIQQQQQQTDKLKEAEKGPKQDLRSRGLCLVPISSTFPVANETTADFWTPTFGGTFR >OMO76661 pep supercontig:CCACVL1_1.0:contig10882:82478:82950:-1 gene:CCACVL1_15520 transcript:OMO76661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSVGRRMAVSLVVLAMVVVAQGRELGLIEPDGIQDLGPCAKRCGKKCVFAIFPKAIAACLAFCTIKCTIRPPHAVLTCTSNCANSRLNSINPTDAEGVEGMVGSCYASCNQNNHV >OMO76649 pep supercontig:CCACVL1_1.0:contig10882:5214:5522:1 gene:CCACVL1_15507 transcript:OMO76649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFRHHCIVLAAEFFCFIVMFKTADGSRLMPPESGPYAAYPPDTIFENAAKGPVIRAIRKHYSYKKAQAGGDVILGGFVMALVVGVVCYIRLTRKSQKPHA >OMO76655 pep supercontig:CCACVL1_1.0:contig10882:36073:36751:-1 gene:CCACVL1_15514 transcript:OMO76655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAIERKRGDFKDSLFSSLDNH >OMO76660 pep supercontig:CCACVL1_1.0:contig10882:67744:71173:1 gene:CCACVL1_15519 transcript:OMO76660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTPKEVDTFSSTATSLEAPPPQETKPATELTKKLADIEDGVAEEKGVAELDIGSLAIDENNKINPENSNIKGTATSVEAPPPLETKPATELPKGSADIEDGVAEEKGVEEIDFGSLSIDENKKINKFLDEPENSNIKAVSSSDTPYTSASTFEELNLSPELLKGLYVEMKFEKPSKIQAISLPMIVTPPYLDLIAQAHNGSGKTTCFTLGMLSRVDPKLQAPQALCICPTRELAIQNLEVLNKMGKHTGITSQCVVPMDSRDQTTINKRPPITAQVVIGTPGTVKKWMSFKKLGVSYVKILVFDEADQMLAQDGFRDDSMRMMKAIKRISSHCQVLLFSATFNESVKNFVPEIVKGNHNQLFVKKEELSLESVKQYKVKVPDELAKIMVIKERIFEFGERVGQTIIFVRTKNSASMLHKSITELGYDCTTIHGNLGNDDRDKIVKEFKDGLAQVLISTDLLSRGFDQQQVNLVINYDLPVKYTNRTEPDCEGYLHRIGRAGRFGRKGAVFNFLCGDADEMIMSKIESHYDAKVKEVADWNSEEDFKAALKSAGLL >OMO76662 pep supercontig:CCACVL1_1.0:contig10882:88105:108471:-1 gene:CCACVL1_15521 transcript:OMO76662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEIADNYQSKNHHAKSHIAKDEAIADAKRKKQVKIALLTTMAIVLSMLLGFLGWRIYRMRKAKRRVIYCYVTRKRAQRKSPAEFAVQLTP >OMO76647 pep supercontig:CCACVL1_1.0:contig10882:1102:2229:-1 gene:CCACVL1_15505 transcript:OMO76647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTRLLRRTFSTTAPTKEQSSLRSLIDDLYKERNPKRLVEKFKKGCENRRFRSQTGVYEETVRRLAALQRFRWIEEILEEQKEYDNFSKEGFGARLIRLYGKSGMYDQASKVFDEMPNKGLLAFNALIAAGVNAKMYDKVNALFKELPKKLSIEPDLISYNTVIKAFCDMGSLDSAVSMLDEMEKKGVNPDVITFNTLLDSFFKDGRAVDGEKIWSRMVQKNVEPDTRSYNAKLMGLVTEKKMEEAVRLVEEMRSRGLKPDVFTFNHMITGYVNEGNLEEVKEWYSQIGKNGCAPEKLTYTILVPFLCEKGDLKSAVEVCKEIFGWKRTVGVALLQRVVDELVKASKIEDAKELVKLGKTNNFRRYELNMHTE >OMO76648 pep supercontig:CCACVL1_1.0:contig10882:3418:4446:1 gene:CCACVL1_15506 transcript:OMO76648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVGSCMTGLTINDQKIARGRLGRRKVEDDDDNTEFKSPNLHAERRRRQKLSDRLLTLRSLVPIITNMNKATIIEDAISYIRELQKTSDLLSEQLLEMEGSMSEEGGKPMRNESDAAEDMKNCGIKEEVDVTSIDGNRLLIKITFEKKKGCFTKLMEAMNYLGFELTDTNVTTFKGAMLFSSCIQGTYGDTLMAEQTKELLLEIIRGNKDSSDTEFAIDESLWTMN >OMO76652 pep supercontig:CCACVL1_1.0:contig10882:26176:26544:1 gene:CCACVL1_15511 transcript:OMO76652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEFQAGICGAAGTWWNPSKSMFAGCSSPCSTGIVADMGSFGWATAAVDMVDIKAARSPCEESTNNSVSDNSSIVFQGIKQADSDHSAGSSILIDSTLQMMGFGLSSNSTTTSDHWNQSLL >OMO76664 pep supercontig:CCACVL1_1.0:contig10882:121772:123079:-1 gene:CCACVL1_15523 transcript:OMO76664 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MLFPMTLLFNLLLLSHNCTAANNITLSRPLSQDQILTSAGQFFELGFFQPNNFSANHQYLGIWYKGLFPRRIVWVANREKPVTQSSAANLTIGSDGNLKLLDGNQDTLWSTNVSVPSNSSAKAVLLDNGNFQLKDSLTGANFWQSFEHPSDTLLLGGSIGYNFKTRERRELISWKSDNDPSPGNFAVGLEDFPVQTFIWKNDLPYWRSGPWDKSRFIGIWQMDSSSTSFFTVGGDLQQGQVYLYTNPINQSETDNIFITPAGTLQWVFWDLEGGQGWSVVWEAPQGPCDFYGVCGPFGVCNSSKSPICSCLRGYIPKSDEEWSRGNWTGGCVRRSKLLCETNASSIKFTNNGTDRFWKLEHMKLPDLSDYLDIPDDSCEDWCVSNCSCKAYAIVYGIGCLVWTKDIIDLQYFLDDGEALFLRLSHTEFGKIIITF >OMO76651 pep supercontig:CCACVL1_1.0:contig10882:15865:17178:-1 gene:CCACVL1_15510 transcript:OMO76651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein (NAP) MGHEAINFGMSELGNALNDELAQTNLINALMSLQNLARNQADLLEKFPPSVRKRVEILKEIQGQYDELEAKFMEERAAVLAKYQKLYEPLQAKRCDIVNGTEAEGKDDAEEILGVPDFWLTALKNNPVVGEKITEGDKGVLKYLKDVKSCRLEEQKGFKLEFYFDTNPFFKNTVLTKTYYMIDEDEPVILEKAIGTEIEWFPGKKLTKKFKDIEETENAEQIPETDEEKEGSNNAEQIPETEDKEESKSAEPITDQDKEESKNKAESTAEAEEEDCESFLIKFFNPPQVPDDDEEIDKDGVEELQNKMEQDYYIGSTIRVKIVPHAVSLFTGEAIQPIYDLEIDTVLDIYF >OMO76659 pep supercontig:CCACVL1_1.0:contig10882:56098:57198:-1 gene:CCACVL1_15518 transcript:OMO76659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MATQLPDDFKCPISLEIMSDPVILSSGHTFDRASIQRWLDSGHRTCPITKLPLPEQPCLIPNHALRSLISNYTLVTPSKSQPCPQPQTLISALTSPSSPLETQLDSLTHLARLTKRDSALRRKIAESGAVPAVLKCVNSDDPSLQEKALSLLLNLSLDDDNKVGLVAEGAINRVVKVLRVGLPDCRAIAATIITSLAVVEVNKATIGAYPDAIPALVWLLIAGKGREMKEAATALYAICSFADNRRRAIDCGAVPILMRLLDSGLERAIEVLGLLVKCKEGREEMMKVNGCVKVLVKVLRNGSSRGVQYGLFTLNCLCNYSESFSSEAINEGILEICMGLVEEENEKIRRYTSSLVQTLRGDHAIG >OMO76656 pep supercontig:CCACVL1_1.0:contig10882:39172:40588:-1 gene:CCACVL1_15515 transcript:OMO76656 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein MDRRRNRNTNISRLSELPNHVLLKIIDLLPFREERIKIYTLSKRFHRLIYLAKIVQIDDGLVPDQQSIRDGVGFIDRFFDYQNNEAIDDFTVIFSRDHGDEELLKRVGEIWVRRALLRSVKFLYLHIDTTAQTQNNFFLPRSLFESTSLEVLVLEFVREAGNIVIQVPNRVSLTTLRHLKISGIRFEDGESLERLLTGCTVLQQLSMINCDLATQEIFRISQTSVETLEIAVNSRRNYEVNLPALLKVYILLTVPAQHMDDNYGVNFLRLFEHARTVRLEADGIPQLTNGFLGGTDEPVPVQLNWVELILVPTDHMDYTTYRWISLFLHFLPKLSRLDLNLEGLLLPDEFSGSQSLPPCLASGLQEVEIYTNISGQPVRTIVDYFLMNGAVLRSFIISTPDAAVKTELTAQVGFMKALMVSGECEIKVKLAGGGVYRRRSP >OMO76654 pep supercontig:CCACVL1_1.0:contig10882:35201:35695:-1 gene:CCACVL1_15513 transcript:OMO76654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLENVNEMDFSENNFTYASIVDYRSRNV >OMO52937 pep supercontig:CCACVL1_1.0:contig15389:24849:28496:-1 gene:CCACVL1_28990 transcript:OMO52937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGTQSKRDLALELQSQFQILRPSIHARRANLTVKFQDLYGFTVEGNVDDVNVLNEVREKVRQQGRVWWALEASKGANWYLQPQVSSISEGIVLKSSLKLSNLANAITLKKLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYNDLSKAVEGKVTAATRQIDHDLPRTFPGHPWLDTPEGHASLRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTNNLSGCHVEQRVFKDLLAKKCPRIAAHLEALEFDVSLVAPEWFLCLFSKSLPSETTLRVWDVLFYEGAKVLFHVALAIFKMKEDELLLTHQVGDVINILQKTTHHLFDPDELLTVAFDKIGFMTTNTISKQRKKQEPAVMKELDQRLRRLNSLRSEDK >OMO52936 pep supercontig:CCACVL1_1.0:contig15389:6005:15504:1 gene:CCACVL1_28989 transcript:OMO52936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MESDEEGEIVPDIHVTEYVLLDQNEEPISFDVLPLQWSETESIGDLTDIFLEGKTDNGLQKIYKPVIAWKFELSFIQPEISVLSKVGRWLALQKPRKSFETTVRQVLITLHWMHFMKRNTKASAKSVWNHLQQVLSVFDFQASLKDLLCHKLVAEAMKRDTDLAKSKDVLDFVEKPHTYISLHQDVITPNKSTFIVDGDVNEDYHDDDDDDDDVWTHKDIFDPVCAICDNGGNILCCNGRCLRSFHPTKADGLDAFCDSLGFANEAQVDAIPSFLCKNCTYKQHQCYACGELGSSDSSSGQEVFPCVSATCGHFYHPKCAAKLLHPDNEAEAETIREKIAAGDSFTCPMHKCFACKRSEDAEVHDFQFAVCRRCPKAYHRKCLPKSICFEDNMYKNSLQRAWNGLLPYNRALIYCMEHKIIRELGTPSRDRLVFPGLEVKEKKDKLEMLSYREKNLASKRSEVSEDFATSRNLLKHPKLVPKFDGGIQAGASSERTEKHSSRQEFSPSKKPNISIKYRKVLTQDATPAFARPLAGERDKLSQKKVNVNEKFQFRATKADETGYKIKKTHQSIPVRKKVESTRPLIDAEIEKGVLALMEKAESSFDAEEFMKRQQRFTGANASNFKGLLKNSITGGKVEASVKAVRTALAKLEAGDTLEDAKAVCGRDVLKQIFKWKDDLAIYLGPFLHGARYTSFGRHFTKVEKLKEVVGRLHWYVQNGDTIVDFCCGSNDFSCLLKEKLEKVGKSCSYKNYDLFQPKNDFNFEQKDWMNVNPNDLPDGSKLVMGLNPPFGHKGQRAYKFIEKALKFKPKLLILIVPKETKRVDKMGPYDLIWEDDRVLSGKSFYLPGSVDIHDQQLEQWNLRAPPLYLWSHRDWTKRHKAIAQEQGHAYTYNNNAKEVGFNYLMEEKHDCYGDFSKDVNACGGIPTIFDGVPEVSDGFESEGSRGTSTYRNPMQGHFPPSNSVWKNNEWTRQQQHEDVIGMQHEGNGHTDALPKAVSDYEENDDMCIDMEISSPGNIPGDYDEGAGIQGDFGSEMEDGEFQTSSLSLH >OMO52940 pep supercontig:CCACVL1_1.0:contig15389:34892:35005:-1 gene:CCACVL1_28993 transcript:OMO52940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILVFYVILQEKEKRDGEGFDMAAVEWKDIGYNKAKGG >OMO52939 pep supercontig:CCACVL1_1.0:contig15389:30565:30669:-1 gene:CCACVL1_28992 transcript:OMO52939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSYLGFDRFPKAPSRGVFRISKASESRLDRSS >OMO52938 pep supercontig:CCACVL1_1.0:contig15389:29015:30190:1 gene:CCACVL1_28991 transcript:OMO52938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLKREKKLQQFRRSRMNWEVFFRHEGDISQPRETKPS >OMP05681 pep supercontig:CCACVL1_1.0:contig05280:255:320:1 gene:CCACVL1_01849 transcript:OMP05681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DRAEFGLCQSTLQSPPLHRWY >OMP11055 pep supercontig:CCACVL1_1.0:contig01627:706:894:-1 gene:CCACVL1_00696 transcript:OMP11055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRKAGKMATNQKFSQHSRGRKANFQQKRHHNRNLAWKRNHGNDAQGHNSRETSKDVNAELST >OMO70447 pep supercontig:CCACVL1_1.0:contig11826:42406:44271:1 gene:CCACVL1_18915 transcript:OMO70447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFEMLGSSTKLKTESKDMIDLMDDHYQEAGPPLLEEPESSKVFMDLHRYDEDHIRRIKEFQDYFDKVKTMVKPGCSQEVLKVALNSLSSLVSILTYMTSSPNLHSSL >OMO70450 pep supercontig:CCACVL1_1.0:contig11826:52103:54563:-1 gene:CCACVL1_18918 transcript:OMO70450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MEDQVVLPDESSLSLVREVIRIEEDEEDFRSCCEDDEVWKETEEVVKEQQKEELDEFSVKMFFKGISLADTGDSGSGFSGIGVVMERSAGSPVIQIQKKLDFHVEESVANYLALMDGLTEAIQNEIHRVYAFTDSALLYGQIMHEETLDNPLLMALRERILEHTHNLEEFVLKCVPSIDLLRPLQLAQVAIGVVSSPAEGNKTVENCSICCEDKPNLMMITMKCSHKFCSHCMRTYVDGKVQSSQVPIRCPQLRCKYYISTAECKSFLPLTSYESLERAQAEANVLHSDGIYCPYPNCSVLLDPRECLSTRASSSSQSDNSCLECPVCQRFICVECGVPWHSSMSCDEYQNLPLEERDAADITLHRLAQNKRWRRCQQCRRMIELTQGCYHMTCWCGHEFCYSCGAEYRDGQQTCQCAFWDEDNSEDLVTHSVQESEQWAWETFNSLPMIMDAYSDQERSQLALIQRFLAGGFSLSDHHPYQSPPRCTDSYVDAMKDLHQLPWLERFVSVISDNYYEDYIQ >OMO70445 pep supercontig:CCACVL1_1.0:contig11826:37378:40347:-1 gene:CCACVL1_18913 transcript:OMO70445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRNPSIRACFPERFSDNDESGQNSRKSSATTTTTTTSDKSQPNSIGTTRFAAGQDLPLYNYKPFVQLTRTQSSVAELVKNASKLPLPEHARTLLVPQQPTKHVQMVDKFIPEPPSDATTPSSASILPTLVPTVGNDKTCTRLTLYAPLYQAALKGDWEKAKEFLNKHPGAANVRITKGWDTALHIAVGARHIGFVEEVVKSISVADLERRNKYNNTALCVAAASGVTRIAEAMVKKNKCLPGIRGNKGVTPLYIAALFGHRDMVWYLYKVTDADDLSREDYIGLLIATITTDLFDVALCLIQHHPELATMRDSNGETALHVLARKPSAFASKSEMGTWEKFIYPFPGHKAVYKKKLLHMEANVLVKLLWEQIFSLEDSHITEILRSPSQVLFGAAEFGVVELITELIHSYPDLIWKVDEHSRSIFHTAVINRQEKIFGLIHDIGAHKDMIAAYKDKDNHCILHLAAKIAPPNRLNIVSGAALQMQRELLWFKEVEKNVHPLYREMRDIKGRTPRMLFAEEHAKLVKEGEKWMKSTASSCMLVATLITTVMFAAIFTVPGGNDNDKGIPIFLEAKSFIVFAVSDAFALFSSVTSILMFLSILTSRYAEEDFLRLLPQRLIVGLATLFFSITAMLVAFGATFCIVLSQRLAWIAVPAALFACIPVTLFAFLQFPLLVDMIQSSYGSGIFS >OMO70451 pep supercontig:CCACVL1_1.0:contig11826:58393:60191:-1 gene:CCACVL1_18919 transcript:OMO70451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MACPSLVKFLSIFLIIISIFAEQAFSVVPDECQTAVNSCTNKEKALPLKVIAIFSILIASVIGVCSPLFTKSIPALSPDRNLFVIVKCFAAGIILATGFMHVLPDSFDMLSSKCLKEHPWHKFPFTGFVAMLSAIVTLMVDSMATSIYSRKCSAGITPASATASPNEMAVVNIGQFHGHGHHHDPKPEGNADQQLMRYRVIAMVLELGIVVHSVVIGLSLGASNNTCSIKGLIAALCFHQMFEGMGLGGCILQAEYKTFKKIVMVFFFSVTTPFGIAMGMALSKTYKENSPTALITVGLLNASSAGLLIYMALVDLLSADFMGPKLQASIKLQIKSYAAVLLGAGGMSVMAKWA >OMO70452 pep supercontig:CCACVL1_1.0:contig11826:61096:62545:-1 gene:CCACVL1_18920 transcript:OMO70452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MASSSSLVKFLSIFLIIISIFAEQAISVAPDECQTEVNSCTNKKKALPLKVIAIFSILISSIIGVCSPLFTKSIPALSPDKNLFTIVKCFASGIILATGFMHVLPDSFEMLSSQCLKEHPWHKFPFTGFVAMLSALVTLMIDSMATSIYSRKSSAGITPASATASPNDMAVVNIGQFHGHGHGHHYDPKPAGTADQQLLRYRVIAMVLELGIVVHSVVIGLSLGASNNTCTIKGLVAALCFHQMFEGMGLGGCILQAEYKAFKKFVMAFFFSVTTPFGIAMGMALSRTYKDNSPTALITVGLLNASSSGLLIYMALVDLLSAEFMGPKLQGSIKLQIKSYVAALLGAGGMSVMAIWA >OMO70444 pep supercontig:CCACVL1_1.0:contig11826:30158:33683:-1 gene:CCACVL1_18912 transcript:OMO70444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MERGGGYRGRGTGGRGGGYRGGDRGRGRGRGRGRGRDGDSGGDQPSYQRVSGQGQGGFQWNQTNSQVGPDQGTGPAPTRAGHTGGGRGGRGMWLPRPHLQEGASLSNVPGSAVHNGGAGGSDGGPWRRQWGTGPAQSPGPQIREPASTRPTQPLSDPLVNLSERLASASLSPPNDKNRHVPIKRPDKCGILNVRTVGLKVNHFPVKFNAESIIRHYDVDVKPREPSKYGRPAKLPKAQLSMIRKKLFTDEDGLFPLSMTAYDGEKNIFSAVQLPEGEFNVKLSDGEDARTREFIFSIKLVNELKLCKLKDYLTRGHGHSLLPRDILQGMDIVMKENPAMHMISTGRSFHSSVSRPEGYDGVDLGLGIVASRGIQHSLKPTSQGLALCLDYSVLAFRKKMPVIQYLEEHIPGFNVNNFGRYRRDVERALRNLKVTVTHRRTKQKYAIVGLTSKNTRSITFPDANAPQELRLVEYFQEKYNKNITLLDIPCLDLSKNNRMNFVPMEFCVLAEGQIYPKECLDRDRAILLKKISLAKPQERQSNICRMVKSGDGPCGGGIIQNFGIQVNTEMTSVRGRVIGPPVLKLSAPSTGKLKTITVDNKCQWNLVGKAVVEGKAIQRWAVIDFSGTDGYKLNSFISKFRDRCGTLGMRMEEPLSRQSTRMQIFSDINALRQLLEEVTSRAKERQGSLQFILCVMSRKDDGYNYLKWISETKIGVVTQCCLSSHVHKMQDQYLANLALKINAKLGGSNVELNDRLPYFQGEGHVMFVGADVNHPGAHNKTSPSIAAVVATVNWPEANRYAARVRPQYHRKEKIQHFGEMCWELVESYARQNNVKPEKIVIFRDGVSEGQFDMVLNEELIDLKIEFQKNNYSPTITLIVAQKRHQTRFFPESARDGCDTGNIPPGTVVDTDIVHPFEFDFYLCSHYGSLGTSKPTHYHVLWDEHEFSSDHLQKLIYDMCFTFARCTKPVSLVPPVYYADLVAYRGRLYQQAMERQSPVSTPSSSSSSLASSSLLSAASYEDWFKLHADLENMMFFV >OMO70448 pep supercontig:CCACVL1_1.0:contig11826:44850:44999:1 gene:CCACVL1_18916 transcript:OMO70448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLKEAPTQGPKLEGKGDIPKLKGTPTPAPNIQFKGHKIRPFGPLATA >OMO70443 pep supercontig:CCACVL1_1.0:contig11826:19460:23028:1 gene:CCACVL1_18911 transcript:OMO70443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSSSLRELLIGEEFERGKLLKNPKEVKLENRKNNAPADESIALPIYICYDRKSLEKSKQKAEKTVNRNGSSDFSSKRVSNSDRSKLKKSSMEEEGPPKTEEPAIDEVAIRAVISILGGYTGKYIKDEGFREIIKEKCNSCLVRRKNGSENEIFVNMELGIQSIDKLVEDRGNKKELKMKTLKNSIQLLSIVASLNSKKSRNGSTCGVPNSYLSACAQLYLSIVYKLEKNDRISARHLLQVFCDSAFLARTHLLPDLWEHLFLPHLLHLKVWYHKELEFLSNFEYGEKEERMKALSLLYNEHLDKGTATFAMYYKEWLKIGAKSPAVPTVPLPSRPSYRLSRRKSSDSYASHSSINKNLYRTVFGAKTERQSLELDHRIRASLDICRLEEEENGCTDDEEDYKSFNYAHNNAKTLRRSSSQIHRTPRTEPWPETQKPDHFRLFTCQSSGPSKCLVNGKSGVRNNSIRKEENVHLPLSDLSKAIATICSSDSLSECEIAIRVMTKAWLESHADPAIEAALVKAPVIEGILEVLFASNDDEILELAISILAEFVARNEVNRQIILNSDPQLEIFIRLLRNSSLFLKAAVLLYLLRPMAKQMISNEWIPLVLRILEFGEQMQTLFTVRCSPQVAAFYFLDQLLTGFNEDRNLENSGQVVALGGLSLLTRSIEIGDFPERNNAALIISCCIRADGNCRNFLADILSKASLIELIVGNHKECNGPVVALISDLLCLKRRTQVTKFLNDLLNGWGGLNTMHILLACLQKALPEDRPLVAAILLQLDLLGDPLRCSIYREEAVDAIIEALDCEKCNDKIQEQSARVLMMLGGRFSSNGEATTENWLLEQAGFHENLGDSFHRKEITDDILHEEEEAIEDWQRRVAIALLSSGNKRFLAALSNCMAKGIPSLSRASLLTVTWMSSFVHSVKDKLFQSMACSILVPQLLESSSYNRALEERVLASFSLQQLIKDSEYAPILSSLDETLINPLCNP >OMO70449 pep supercontig:CCACVL1_1.0:contig11826:48376:49716:1 gene:CCACVL1_18917 transcript:OMO70449 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MDLSSNFKLSRLFGCHVSSLTCKCLALVTFVLFFRAILLHSFSGYDGIEWNNLDFFSSRSLLLNSDDGIRRDKFLEVPQIVWGLNNQKIAFARACLTARMLNRTLLMPSLSASLFYKEIDRLQPISFDKVFQFERFNSLCNGFVRLGRYSDLKNQTGTYDLQKGSGRKWTAERDLEQLKQIRESPVDEHEVIRIVGKNPFLWHDHWPVKDYARVFECLVLVDEISKEADKVVSKIRQIGTKLRSKTEAVGSNFDAEGSSLPHVPYIAVHMRVEIDWMIHCKKLEKKSGINQICSSKEEIMERVGSIVGSESPTVVYLAVADSLLNDSSILGGWKKGLLPFEKKKLGVDDIYKKHPYLIKSAIDYEVCSRADIFVGNSFSTFSSLIVLERTQKMIRMGITNSCDIDVRWPSYAYNIPGESNRPHKWMTNMSDRSLQAISYGSNVISC >OMO70441 pep supercontig:CCACVL1_1.0:contig11826:4100:5836:1 gene:CCACVL1_18909 transcript:OMO70441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MIIHLDKFVVLSPVVSKKSNVVQNQNPCSVSLNVRYFRSSRLVFKPKVSPASFLQSSDSGLGSRITRAAKTEAQIVLFDYLYSTRSFGFMDAEHISKNSPHFLQYLLSKIDPEKDVTRSLTRFLRFNPINEFEPFFESLGLSPFEVSSLVPQHLMFLTDDSAMLENFHVLCNYGIPRSKMGKLYKEARGIFGYDHGVLALKLQAYENLGLSKATVINLVSCCPSLLVGGVDAEFAGVLKRLKVFGIKSDEIGGYLSDKGRYDWGRMLDVLNFLDRLGYTEEQFGNLLKTNPALLFEGSGKKVYVLFGRLIKLGLRMNEVYSLFVQNPNILSVKCTKNIFKALDFLIYIAMETEDITDTVATHMELMGSCSLKSPRTVCMELNIEKEELCQIVKEDPLKWFSLAASAKSKVQSSRKAVSKDTSRYHEKARFLLRLGYLENSDEMLKALKQFRGRADQLQERFDCLVNAGFDPSVVKNLIRRAPMVLSQSKGLIEKKLDCVKNLLGYPLETIVPFPAYLGYDLERINHRLSMYVWVRERGAAKPMLSLSTILACSNSRFVKYFVNVHPEGPSKWESIKRS >OMO70442 pep supercontig:CCACVL1_1.0:contig11826:6984:10285:-1 gene:CCACVL1_18910 transcript:OMO70442 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MAYLSEPSSSLSFSSSSHLSNGSITPNIPSFSVPETGASLEVISLTKLSSSLEQLLVDNSPDFSDADIIVESVPVGVHRCILAVRSKFFYELFKKENGSSEKEGKPSYIMSELLPYGKIGLEAFQVFLSYLYTGKLKPSPMEVSTCVDNVCAHDACRPAINFAVELMYASSIFQIPELVSLFQRRLLNFVEKALVEDIIPILVVAFHCQCSQLVSQCVDRVARSDLDSVSIEKELPYEVAESIRLLRRKSPPEDEENAQVIDPLREKRIRRIHKALDSDDVELVKLLLTESDITLDDANALHYAAAYCDPKVVSEVLALRLADVNLRNSRGYSVLHIAAMRKEPSVIMSLLAKGASASELTSDGRSAVNICQRLTRPKDYHAKTEQGKETNKDRICIDVLEREMRRNPMAGDVSVTSHTMADDMHMRLLYLENRVAFARLLFPSEAKLAMDIAHAETTSEFAGLCASKGSNGNLRQVDLNETPIMQKKRLLARMEALMKTVEMGRRYFPHCSEVLDKFMEDDLPDLFYLEKGSPEEQKLKRSRFRELKDDVQKAFTKDKAEFNRTGLTSSSSSSSLRDGGPHKLRRL >OMO70446 pep supercontig:CCACVL1_1.0:contig11826:41302:41703:1 gene:CCACVL1_18914 transcript:OMO70446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVRIIFLAFLLTTLLFLANARPMDPNPTSSRRSSTSLSLVARLKLDEEPTGCWDSLIELQSCTGEIIMFFLNGETELGHSCCQAIRTISNHCWPSMIGALGFTTEETHVLEGYCDHEQNSPPSIGPNNIN >OMO56431 pep supercontig:CCACVL1_1.0:contig14523:12052:14761:-1 gene:CCACVL1_26555 transcript:OMO56431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAYHYQPYIDPEFESLIERIHPPRVCVDNDSCQDCTLVKVDSANRHGILLEMVQVLTDLDLVISKSYISSDGGWFMDVFHVTDQLGNKLTDESLILYIQQALCATRRGGIPKELQTCLKREVRPCHVSTEHTALEMTGRDHPGLMSEISAALYELGCHVTAAAAWTHNSRVACIINLEDGLKGGPIVDLKRLSQVQEKLENVVEAHHESGEKRSVRLTAPAAGRTHTERRLHQLMYADGDYEQCQGCDGSCRLWKGCPKTHVTIETCREKGYSVVNVRSRDRTKLLFDTVCVLTDMEYVVFHAAVSSQGAMADQEYFVRRQDGRSLVTESERHKLSQCLVAAIERRVSHGLRLDVCTQNKMGLLSDVTRAFRENGLSIARAEIGTHGEKAIGSFYVTDASGNDVNFRSVELVRREIGGSALVVNRTPDATSRASSSTISRTSSGEVDVERPRFSIGNLLWSRLERLSGNFGLIKS >OMO56433 pep supercontig:CCACVL1_1.0:contig14523:21477:22929:-1 gene:CCACVL1_26557 transcript:OMO56433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVANWLFCSLVERKRLRKLGLRHRLPKPWIGIVEIKLRKIKSSKLKENREESSLIEEMFRRSRIGVISGKAIFGPPLDEYWRKKLQEEAAAKENDTNST >OMO56429 pep supercontig:CCACVL1_1.0:contig14523:5986:7965:-1 gene:CCACVL1_26553 transcript:OMO56429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRNRLLSLNLTSLLSPSSSSSCRPPQSLSFLFSFNKTISHSTHKRPNPKANASASSAAKQPMSEKARFAKTVLFVPPGVDPEEVTDEMVLPGSNIVVGPYAGHSQIKEVEFVKSSSRAKDCPKDTRPEFAILGRSNVGKSSLINALVRKKEVALTSKKPGKTQLINHFLVNKSWYIVDLPGYGFARAPDSAKTDWSSFTKGYFLNRETLVAVLLLIDASVPPQRIDLDCANWLGRNNIPMTFVFTKCDKMKASKGKRPDENIRDFQELIRENYRQHPPWIMTSSVTGLGRDELLLHMSQLRNYWDQ >OMO56430 pep supercontig:CCACVL1_1.0:contig14523:8427:11431:1 gene:CCACVL1_26554 transcript:OMO56430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLARSIANSLRLDDEEDDHANVAPNRKPEADPNPNQSSPSHSHSSSDPNSPRGVKEDLSELTKTLTRQFWGVASFLAPPPSSEQAPDQTAEAKSVPPPSDDQDEVSDDQDELSDEALIAGIRSDLSEIGGKFKTGISKLSNNIAVSEFTKIASNFLQFGSEEESLEKYQSGSVVGLTEEVVAFARDIAMHPETWLDFPVPDYDDFDDFDMSDAQQEHALAVEHLAPRLAALRIELCPGYMSEGCFWKIYFVLLHPRLNKHDAELLSTPQIVEARAMLMQGLQPRTKAKTAEDHSDSGTSNVAADVPHEESLSVPSPSQSKAVSLKASGTEVAPTDVAVEIETEKHPVQSTEVLVVDKSIIEEKPTKETKHQHSTSGSSGVSIEKFEDDDGDDWLKEETSEAVGTSATTIPLGNDEDVSFSDLEDDDDVPISYKKVTSGSDSSTKDSRDWVQLSRSSTDSVKDVSCVGDKHSGSEQYSARNAETKESNDWLDIEEIIM >OMO56428 pep supercontig:CCACVL1_1.0:contig14523:2870:3373:1 gene:CCACVL1_26552 transcript:OMO56428 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MEAYSSSSSKLSQSFHSSLHSVRKPMAKPWKKPIAPLPPTPPKVYKVDPINFRDLVQKLTGAPEFMSSSNDQPQIQALAPAPALPALHDQQRLQRVAPPPISVGASPLSRAEVSAPLHLASGLDHQKMMTDNGLLFTPNSLGLNLSPSSYNWCAFPILSPGTLSSLG >OMO56432 pep supercontig:CCACVL1_1.0:contig14523:18843:20036:1 gene:CCACVL1_26556 transcript:OMO56432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQLALKGLEFSRKKKKWVVLLAAFGLTSYGFYRVYQFPAISQKRKRVSKLLGALISMAEAASECAETIGVVSKDMKHFLQSESDQIPNSLKQVSKITKSTEFSDSIVRVSQALTVGIKRGYQSEGENGNSENAKSSSTDQVMDKLFTKAGANFASVVVGSFARNMVMALYSADEESKRNPISSEKNIDVPNWVNVVCGDKCRDLIGDCIQLFVSTMVSEYLEKIKDVNTYDELFAGLTNPKHGTEVKDVLVTVCNNAVETLVRTSHQVLTSSKLHEEEDGSLSELVEARDSIDEDENDIGWVKKVSSTLAEPSNRKFVLDVTGTITFETVRSFLEVLLETLYLGMKKSVNVVHESVVESGHGVVRYVTAKSSVVATICLSLCLHILGGVWILVPA >OMO56434 pep supercontig:CCACVL1_1.0:contig14523:25233:25602:-1 gene:CCACVL1_26558 transcript:OMO56434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokine MAKLATLFILTLFLLSTLSFATRSGPVFSTDSSAKTQSEGVETEESEGVEVSCEGVNEDECLMRRTLAAHLDYIYTQKQKP >OMO72705 pep supercontig:CCACVL1_1.0:contig11417:83650:84438:-1 gene:CCACVL1_17636 transcript:OMO72705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNNPHLYEEEEDNEDLLTLSLSTGPRPQPPSLPPPPPPLDQQQQTLFLQQFLTIPQAPPPPPSPPSFHNYDHAAVSQEITITHSRPPRSRRNPFQAPRPGRTETVPPPFPWATTQRATVQTLDYLLSQNMTKISGEVHCRRCDKVFNIEYDLKTKFTEIASFISNNKFAMHDRAPSDWMNPNLPSCEFCGSCLKPVVTKKRSINWLFLLLGKMLGCCKLSELKYFCKHSKNHRTGAKDRLLYLTYVGLCKQLDPNGPFDV >OMO72706 pep supercontig:CCACVL1_1.0:contig11417:85303:86211:-1 gene:CCACVL1_17637 transcript:OMO72706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTKSLFYLRFEEVPEEHEELLKLSLMTPYSSSFDYQETKQLMPCKPSSPKRWRFNDEDEETQGGLDLSLSIRPPWLPPQPPSQAVLLPASAPPHHQEAASVNRNNPLRLEVITDTATGPVSSYHQRPRARRNPFQAPKKGKSETVAPPYPWATTRRATVHSLEDLLSHGVFMISGDLECRECQEIVKIEYNLEEKFKEIADFIVKNKSGMHHRAPDVWMSPKLENCRNCGVDLKPVFNKKKDINWLFLLLGNMLGCCKLSELKYFCKHTKNHRTGAKDRVLYLTYLGLCKQLDPNGPFDF >OMO72704 pep supercontig:CCACVL1_1.0:contig11417:78187:82722:1 gene:CCACVL1_17635 transcript:OMO72704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFWQRRPKVTSFSADQSFTDLLPSSTSPASFRGNRQLQKQRKVPKLASDSSSCSSGCTDEDQLTLELGWKSSKQSTGTPMKKLLAQEMSKENEPRRRSPSVIARLMGLDGMPPQQPGHKQHKRTESNQEKVQKGGTLYSSRRSSRKSSKEEQEFKDVFEVLDASKGESGGYSSQGTSNSKLSDAEVAFIQQKFMEAKRLSTDKKLQDSEEFNDALEVLDSNSDLLLKFLQQPDSLFTKHLHDLQGAQPESHCGRITAMKSPRTVNNDKGHLGRKAGREDQRKHRSKSPQGYQEDLLSHSYGRYAAHNPPKSPKVQSEEKNGPAIVPTRIVVLKPNLGKSQNSTRTASSPCSSYHFSSGCTAHAETLGNEIREAEVWGKKKVHQDFGFSSINSRESREMAKEITRRMKNSFSNGSMKISTSRFKGYAGDESSCDVSGSESANDSDATTVSYRDNIGWTNRHRRTSSRSSESSVSREAKKRLSERWKLTHGSQEIQMVSRGSTLGEMLAISDREVRSANSSGPICEEGCSAFGNEVGPTVWKEPLGISSRDGWKDGCLGNLSRSRSVPASSTDFGSPRMSTRHESLRRDKYVIPKEGFRWDKNKAVKANFNQREAQLSSSQRSRVKKSQFRSGSCSSNKEDSDTSPEFDITPYQVRRNLEGDNQSEHNPMVSGVSVGSAMEKGSAFENAVDVNDQSKVTLPAHPHMEVSAPASPNDAFSTSDLNNLDSEEPSNGPSKHCPVSELQPRTSSKEADQPSPVSVIEAPFTDDLSSGSECFESISADLHGLRMQLQLLKLESEAYEEGAMLISSDEEGEEVSSRLAEDKGIPRTEENQEAVYIVDVLVDSGINGTNLDTFLATWHSPECPVNPSVFEELEKKYCNINSWSRAERRLMFDRINSKLLETYQQFMDQHPWVKSTTKIIPKWNMGELKDNLRKSLVSQNKKLHLDAGEKVLGEESQWLDLKEDVDVIGREIEKLLVDELVDEVVVGQGSSKMLLV >OMO72709 pep supercontig:CCACVL1_1.0:contig11417:99490:101108:1 gene:CCACVL1_17640 transcript:OMO72709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29 MARIKVHELRSKSKTELLNQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKSALREVYQKKKFLPLDLRPKKTRAIRRRLTKHQASLKTEREKKKELYFPLRKYAIKV >OMO72703 pep supercontig:CCACVL1_1.0:contig11417:10227:13381:1 gene:CCACVL1_17634 transcript:OMO72703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREGVIVMIIQIQGEKWHNLKFKGRYRLYRLASSNIFSVYKLLWFLSQYRKRSLTISFWRAGTEPESKRKLQEKGKENSFDPFDSFPDPEIISWAEAHDTSFQQQRVLPKNNGKPISPEKENENGDKAESSEMGLRKDKELASGSNSESDHFEEPPKKLTFLIDATAIHQLPEYGGRSFPDVIETAFRDATGSDEGINPLTHDAADANDHPQPQFRVLLPEVRAGLEMALIDAVANSIYVPLSLWRLFGGVSNTLVTSATVTLSKLLLAYYIWVIKDKSLIALGNALPQPQPQP >OMO72708 pep supercontig:CCACVL1_1.0:contig11417:94740:97746:-1 gene:CCACVL1_17639 transcript:OMO72708 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MKQLHKQISARRDEEIPTPQTPPYSPKTLKHHRSLPRSIHYLFREQRLLFILVGILIGSTFFILQPTLSRLAPSEAHSSVPRSFSTDAVKTATNDVAHIQESSTSYSIPANKPFQNKVGRVPVGIGRRRMRIVVTGGAGFVGSHLVDKLIKRGDEVIVIDNFFTGRKENLRVGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKKPMTVYGDGKQTRSFQYVSDLVDGLYALMEGEHIGPFNLGNPGEFTMLELAEVVKETIDPSATIEYRPNTADDPHMRKPDISKAKELLNWEPKIFLRDGLPLMVSDFRNRILNEDEGKGAR >OMO72707 pep supercontig:CCACVL1_1.0:contig11417:89137:90389:-1 gene:CCACVL1_17638 transcript:OMO72707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMDIFCASQASTAICVSMDQIASSSSCSSASAIQLGGRAIDRHNPIIRDSKRFTRTLSSNPCTSQAPPPINPLPYHQLRKNEKRSSTSKSNDHHAIKKSSVSSVSTKSNDHKKKSSSSTKNSSLKTTDSNNNVVISRKSTSDIKDPGNSGRKSFVNAKTVDFVTPPGSSRYLLGDSSLFDGISDFDPVWALVPSESKKIQSVKQDHQIQSIVSKESKPSSSSSRSEKPSAEQVVVLRVSLHCKGCEGKVRKHLSRMEGVTSFSIDFAAKKVTIVGDVTPLGVLASVSKVKSAQLWTPAMSAPSYATPLHGSSILATKK >OMO72710 pep supercontig:CCACVL1_1.0:contig11417:102591:102761:1 gene:CCACVL1_17641 transcript:OMO72710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPQWESNPRPFDPKSNALIHCAMRSDNEDSSACFIEVGKLKLATQSLKSTAKA >OMO69718 pep supercontig:CCACVL1_1.0:contig11996:4321:6136:1 gene:CCACVL1_19308 transcript:OMO69718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRSKLKKHMCNGVRVRRVLTSSSDEYEYEPDEDESDEDESDEEVNDYEYDSGSDGWHDDW >OMO69721 pep supercontig:CCACVL1_1.0:contig11996:15045:17180:1 gene:CCACVL1_19311 transcript:OMO69721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATFSNSSSFIQSPSYSYSHRHQRQSFAFTTCRITTTTFFTPSLSILTVSTARSKLHPAIVFSSGNGGNFSGGNGGHGGGGGGGGGGHGDHDDQDRSRNRSEAILALAEVGRTFESLPKDLAGAIEAGRIPGSMVHRYFELEKSPIFGWLLNFGGFKERLLADDLFLTKVGIECGVGIFTKTAAELEKRRERIWKELDFVCADVVMAIVADFMLVWLPAPTVSLRTPLAVSAGPISKFFHSCPDNAFQVAFAGTSYSLIQRIGAIVRNGAKLFGVGSSASLVGVGVTNLLINARKAIDKSFAGEAEDVPILPTSVAYGVYMSLSSNLRYQVLAGVIEQRMLEPLLHQHKLILSAVCFAVRTGNTFLGSLMWVDFARWIGVQRSRE >OMO69717 pep supercontig:CCACVL1_1.0:contig11996:1143:3020:-1 gene:CCACVL1_19307 transcript:OMO69717 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MSETKTETEKVVCVTGASGYIGSWLVKLLLQRGYTVKATVRDPDDPKKTQHLLSLDGAKERLGLFKAELSDEGCFDSIVDGCQGVFHTASPVFIVSPDPQTEIVETAVKGTLNVLKSCAKIASVKRVVFTSSLAAVLYNGKPLTPGVVVDETWFSDSRICQHHHNIKRQQTQWYVLSKTLAEEAAWRFAKENGIDLVVMNPGLVLGPLLHPTLNSSPLLILNLAKGQHVNPSSTYTFVDVRDVANAHIQALEVPSASGRYCLVNRLVQFPEIMEILSQLYPSNLQVNQKWEEYKKPLEVEATYEISNEKAKGLGVSFIPLEVSLKDSVESFKEKGFLSI >OMO69725 pep supercontig:CCACVL1_1.0:contig11996:31070:35264:-1 gene:CCACVL1_19315 transcript:OMO69725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQPSSSSSATRKRSGVSGGTRNEWLDHDILCMVFSLLGVFDLVRCTAVCKSWNAVIKKSELMKVLYLKLQRGSNDSDSSSPQSWQLGLQEIAIKHHRLSLLRGRIDIHQWKAHSVGVDQCRMKMGLLLTGVGDKVMRLWSLKSYKCVEEYHIPDNVPLVDFDFDENKVVGLLGTRVGIWRRHGKISLFPSREGTFSKGLCMRYMDPEAVIGCEDGTARVFDMYSRTCSRIIKMHAGPITCLSLSDDQLIVSGSSLGTVSISSLSSDQRVSTLRSTNVAGCIKTLCFNPCSHYVFAGTTVGCTYCWDLRTMKSVWEARISSNVLYSLQHLQNDTSTLVVGGIDGVLRVLDQSTGEVLSSCVTGDDRLRGSSENTSVLNEKKKGIRLSEDDQIDRIPRAARPQIKCLAVGMKKVVTAHNDNIRLAARPGPVEGVDGPTQPSWVVGLGLGHPYLLKAKENRAEASMVCIRKATIDDLLAMQACNLLCLPENYQMKYYLYHILSWPQLLYVAEDYNGRIVGYVLAKMEEESNECHGHITSLAVLRTHRKLGLATKLMNAAQAAMEQVFGAEYVSLHVRKSNRAAFNLYTETLGYKIHDIEAKYYADGEDAYDMRKQLKGKQSHHHGHHHHHHHHHHHGGGCCSGEVRVTEVTQTRGDSKSESKASTKSD >OMO69719 pep supercontig:CCACVL1_1.0:contig11996:6848:7393:-1 gene:CCACVL1_19309 transcript:OMO69719 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase MSEKEKETENVVCVTGASGYIGSWLVKLLLQRGYTVKATVRDPNDPKKTQHLVSLDGAKERLGWFKAELWDEGCFDSIVQGCQGVFHTASPVFVASPDPQTEIVETAVKGTLNVLKSCAKAASVKRVVSTSSLAALLYNGKPLTRGVVVDETWFSDSRICQGHHIKVSSYFTCAHTNFISI >OMO69720 pep supercontig:CCACVL1_1.0:contig11996:8743:11737:-1 gene:CCACVL1_19310 transcript:OMO69720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1 MATCTTPSSSLMLTYAASSVHAQDLTPSILSFKSNKPTSSLYPLVLRTSRDRKSGLKWANLSPHRHSKHAVVAAVAAEADLAEATEEKEGLAEGATATVTAPPKPKKGKAALPLKRDRTRSKRFLEVQKLRENKKEYDLKTAISLLKEMSSTKFVETAEAHFRLNIDPKYNDQQLRATVNLPKGTGQTVKVAVLTQGEKFDEAKNAGADIVGGEDLIEQIKGGFMEFDKLIASPDMMVKVASLGKILGPRGLMPNPKAGTVTPNIPQAIGEFKKGKVEYRADKTGIVHIPFGKVDFSEDDLLLNFLAAVKSIETNKPKGAKGVYWKSAHICTSMGPSIRLNIREMLDYKSPSNI >OMO69722 pep supercontig:CCACVL1_1.0:contig11996:17688:21324:-1 gene:CCACVL1_19312 transcript:OMO69722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar isomerase (SIS) MCGIFAYLNYNVTRDRRFILEILFNGLRRLEYRGYDSAGISIDSSFLSLPSSDPNGRSSDYAPSPLVFRQEGNIESLVKSVYKDAASTELNLEEPFAIHAGIAHTRWATHGEPAPRNSHPQSSDAGNEFLVVHNGVITNYEVLKETLIRHGFQFESDTDTEVIPKLAKYVFDKANEEGGQPVTFSQVVLEVMRHLEGAYALIFKSRHYPNELIACKRGSPLILGVKELSGDTTNGASFLDLKCISENGHPKELFLSSDPSAVVEHTKKVLMIEDGEVVHLKDGGVSILKFENDKGKRGSAHSRPSSVQRALSVLEMEVEQINKGKYEHYMQKEIHEQPESLTTTMRGRLIRGGSCKVKTVLLGGLKDHIKTIRRSRRIVFIGCGTSYNAALAARPIIEELSGVPVTMEIASDLVDRQGPIYREDTAVFVSQSGETADTLLALEYALENGALCVGITNTVGSAIARKTHCGIHINAGCEIGVASTKAYTSQIVVMAMLALAIGDDAISSQTRREAIVDGLIDLPNKVREVLKLDQEMKDLAKLLMAEQSLLVFGRGYNFATALEGALKVKEVSLMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLHARKGRLIVMCSKGDAASVCAGGSCRVIEVPQVEDCLQPVVNIVPLQLLAYHLTVLRGHNVDQPRNLAKSVTTQ >OMO69723 pep supercontig:CCACVL1_1.0:contig11996:22091:23471:1 gene:CCACVL1_19313 transcript:OMO69723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKGNLFKGQKKQKTIPPNRHGKVPHIRKGKRVFKPTKVTKEMDADRELTKFINHCNEVKAATVANKDGGQLSIVKPPPESSSDAKEQR >OMO69724 pep supercontig:CCACVL1_1.0:contig11996:24089:28543:1 gene:CCACVL1_19314 transcript:OMO69724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Choline transporter-like protein MSGCQHGNVQGAEPPLSSSLCGFLHYCLIWTQHKHPSPPRLRRHRNLRSPPPAMGATEHVVEAETEEARREEKEKDKKEEDEEEREEGEEEEKFDLEKGQAGSVVQEKGLGRIEDQRDHLHMSMLQTLNPTNPLRIVINGGTRVATPSPSHSSLFQTPPHPHPHPPPPAPRSQPRSTPTPQLSVTTLNSRRFTNKFYLFLFMVHMVAAVGLVGFLIFKGIQGLLEASNPIKRKEERVLKFLLPQVEAASLMSITLAFAWQKALRVWPQFMVHFILWCTFFMSLSAGILLICFQKPATDGIGVCFIAFAIGNGLYACWVSQRVGFCFKILLKSLEPVSKFPDLNQPTYWMLGSGFLWMSLWILAVVGALNFYFQPLVIILLVLSLMWTTEVMRNVVNITVSRVIALYYLRGMQSNTQFCFQRALTRNLGSACLGSLFVPAIEALRIVARGLNLLEGEDEFMFSCAHCCLRVMESIFRYGNGWAYVQIAAYGKCFVRASQDTWALFEREEMVKIVDADMTSAICFLTGVCSGSICVIVVAAWTMKVYHPFTATISLLSFFIGYLMTRIAMALPHACVSCYYVCYAENPENRLFDKTIKDRINLINSGRDVVAPTPRVPHRFAR >OMO53984 pep supercontig:CCACVL1_1.0:contig15081:33495:33557:-1 gene:CCACVL1_28160 transcript:OMO53984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPPEKRKFGIRKDSALGL >OMO53983 pep supercontig:CCACVL1_1.0:contig15081:5965:7518:1 gene:CCACVL1_28158 transcript:OMO53983 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase, class-II MENLFRLVDQEQDLFQRKWTLVNGPVIVGAGPSGLATAACLREQGVPFVVLERAECIASLWQKRTYDRLKLHLPKQFCQLPKLPFPEEFPEYPTKRQFIEYLESYAKHFDINPKFNECVQSARYDETSGFWRVKTTVVSNSNSNMSTEFEYICRWLVVATGENAERVVPDIDGLADFGGQVIHACDYKSGENFKGQNVLVVGCGNSGMEVSLDLCNHNASPSMVVRSSVHVLPREVFGRSTFELAVLMLKWLPLWLVDKLLLVLAWLVLGNIEKYGLKRPLMGPLQLKNKQGKTPVLDIGALDKIRSGGINVVPGIKRFSRAQVELLNGQVLDIDSVVLATGYRSNVPSWLQESEFFSKNGFPKAPFPHAWKGNAGLYAVGFTRRGLSGASSDAMRIAQDIGKVWKQETKQQKRRTIACHRRCISQF >OMO61494 pep supercontig:CCACVL1_1.0:contig13461:5739:5813:-1 gene:CCACVL1_23470 transcript:OMO61494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPRLGLRPLLEQDTNGVAVRRA >OMO61497 pep supercontig:CCACVL1_1.0:contig13461:46620:48484:1 gene:CCACVL1_23473 transcript:OMO61497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding protein MDGSQGSSNAPPPFLVKTYEMVDDPMTNSMVCWSETGYSFIVWNPPDFSRDLLPRYFKHNNFSSFVRQLNTYGFRKIDPDQWEFANEEFIRGQKHLLKNIHRRKPIHSHSLNHQGNSSVPLTEAEKKEFEEEIKRLSYDKNRLQLQLQKHQKENQEFQFQIRLLSERFQNMEDRQRQDSKLGAAALPAHIMNLDQVEKLESSIKCWETLFYGIQESVSEEVYDFGISSSPRPSQIVVTEMQTSSGDYDIDGELCSPSSHPCSPYSTDINSSPELHVSVYNAGSPPIPSFHQIVNQKPKSAGIGINSKDSANLQQPEALQEQVEEKATDLSMSGKVNDGFWAQFLTEVPNPLSDAQEIQQDHPETPSGRISDSKVPEDRNYWWKTDSVDCLTEHMGHLSQAERM >OMO61498 pep supercontig:CCACVL1_1.0:contig13461:49035:49667:-1 gene:CCACVL1_23474 transcript:OMO61498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MEREEPNFILRVKPIGHDSSVIPRANSQTLFQLKIHTVLTDGNGNDVEADDFQYRSLLQDFEFGRRRHHRRVANLLRQVGWRNEDSLQFFLYAAFSDAKQELERLRGDQKISSRNRGIPTITFHVDKFVRWEVELPKPVPATKESIQALNKWLLEADTDEDCIICMEQLCSGTEVTQMPCLHLFHGDCIQKWLNTSHICPLCRHSMPIDG >OMO61495 pep supercontig:CCACVL1_1.0:contig13461:19340:20473:1 gene:CCACVL1_23471 transcript:OMO61495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MALSVHSNSAASGSCEVEEMDVIPKFDVVSDESDHYFLNSSKSKSDQSANTANGVHKKIMQEWRILEKNLPDSIIVRVYEKRIDLLRAAIIGAAGTPYHDGLFFFDLAFPSDYPARPPLVYYRSFGYRINPNLYANGRVCLSLVNTWPGRKGEKWNPKESTMLQVLVSIQALVLNEKPYFNEPGYGIWPGKSYWEKKSIAYNEDVFVISCKSMLLLLRKPPKNFEEFVAAHFRERGNLILLACKSYANGRFKAGYFKNDVAGTSSNGNGYSTNSFFPSEKLIASLKILYPELVAAFSRTGACLGNSVKQLIVEEKMRKKKKKKSAAMFKKQLSNQVSVMRKTRGVAKVLFGKFKKVFGLKHGGSAASSGRKQGNVKK >OMO61499 pep supercontig:CCACVL1_1.0:contig13461:51001:58763:1 gene:CCACVL1_23475 transcript:OMO61499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MVEGDLHTQTVGRTETSDTQTGNKGSGIPREPSFSRWFDDDGTIHLEHRSVNTDSTVEDSDFELPSPHRSESENGIVEVVRFSQFPEESMHLNGGSTMEDIHGRASNGKYAPFDIENESNGDLRLSNTTSDETNSTGSWKASTTNSKSSVSSADVLKTLFFILVWYTFSTFLTLYNKTLLGDDLGKFPAPLLMNTVHFTMQAVLSKAITWYWSHRYQPTVPMSWRDYFYRVVPTALSTALDVNLSNASLVFISVTFATMCKSAAPIFLLLFAFAFRLESPSLKLLGIILIISVGILLTVAKETEFEFWGFVFVMLAAVMSGFRWCMTQILLQKESYGLKNPLTFMSYVTPVMAVATALLSLFLDPWHEFRKNNYFNNSWHIVRSCLLMLFGGTLAFFMVLTEYILVSVTSAVTVTIAGVVKEAVTILVAVFYFHDEFTWLKGAGLLTIMVGVSLFNWYKYQKLQKGKSEEEETAGSPLSNPAAKYVILEEMEDQDDVP >OMO61496 pep supercontig:CCACVL1_1.0:contig13461:40283:42995:-1 gene:CCACVL1_23472 transcript:OMO61496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEAARRRISTIASHFSPSEDILSATHLLPMNCSGSLNSAIRRCDSKMYFARQGSAYQACFMRQISNEERTAPQNAAPPKSSCTALESSPSVSEAPLFSRPVMMEPNFSYLAAIQPLGLDWRLSMPDPPIFARPNKSTSDGTQEQSKKKSCSTSNGGKWSPRMDVAESGCNYVMMVEIPGVSASDIRVEVDDQNLIVTGQRSTQSWKVGAGCSNDLISTYHMKEISQGPYQVVWPLPANVNRDNISAEFL >OMP05476 pep supercontig:CCACVL1_1.0:contig05366:6978:7304:1 gene:CCACVL1_01882 transcript:OMP05476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSTPQKIKLIIFSRFTNTLTRTPKSIAGTLNGHQHFQISLVLNLNLAFDFTGSRPSSLDLQRPNSKIRDNPSPLLEERPPLAAEEGLSLSTILEARRLEKEVVMNGW >OMO80762 pep supercontig:CCACVL1_1.0:contig10276:44218:44703:1 gene:CCACVL1_12775 transcript:OMO80762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRTARAQAESLLSGGSHKKLRRLPHIFSRVLELPFRSDADVVVEESPECFKFVAETDGRIGDVVRAHTVEIHPGVTKIVIRSSSLVDLSSLDDLELDMWRFRLPETTRPELASAVYEDGELIVTVPKGGEVDNLEEGGEGNGEFRGGMGNGNNRLVLVQ >OMO80763 pep supercontig:CCACVL1_1.0:contig10276:47195:49697:-1 gene:CCACVL1_12776 transcript:OMO80763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDNNNTKETNGTTPRKKENGLVIRPVSPEASGEGLPYAPPKDWPKKGDIWSWKVGRRVAITGHFLDRYLYPPNRLYKLDQSAGKKRGLASKLSVERFIKTVCPDADISAFFASFSWKVPAKKHSLTNVARNFIVPFPEEIAEVSVSDPQSNGLTCKAGNKKCGSLSTEAESVSLVPSPCDLCCSEPQFCRDCCCILCSKTIDLKFGGYSYIKCEAMVDGCICQHVAHLNCALRSYMAGTVGGNIGLDAEYYCRRCDAKTCLVPHARMLLKTCESLDSRDDIEKILNIGVCILRGSWKTDARELLHRIESALTKLKCGTSLEDIWKVDESDSAISKGVLHDGIVELDGNGSLDSKQSSVAVLSISSDYHGEYLKIEYEIDQVLQDLRKAQEFEYKIAEERLYAQKSYLRNLYQQLDKERSELQRQQTGTEALAALNTVLNRVDQIKQEVMKLKEMEEVANGFGRAPKGILKGHFGLEIED >OMO80764 pep supercontig:CCACVL1_1.0:contig10276:51798:56076:-1 gene:CCACVL1_12777 transcript:OMO80764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSIPSPPHMGSPPHMGPSPHNDSPSDMGSPHIDIREDLFPDYYGGPGTIPSEGKDEVLDLENDMPNGNNDMPNGSNDPPVRENQDIIAFPVQPSTGGEGLPYAPVNWPNPGDIWGWRVGKRVNTTGFYNDRFLTVPKSLKRPNSQKVFASKQTLERFLLSLYPDADMDAFFNSFIWKIPAPGGAPTKVAGTESAKKESKKRTPRSSTLKKSTPESSKKTPPSSKSRKKQKTTDESDKPKRQTRQTRQHLKNSAPAPPEPEDANESLDLSFLDDEKTRAEFDSYLSSLDDILAQPLSEVPFAPPPTHDYFSVENEMAEARMKLSSLLDMDFPSLICFKDLDELTSLASKLKKDPTLTAEQLVKLKLIEEIPSFCEVFLENREVMEQADKFFRSLEVNKEKVTSLRQEYSELKEQVTYLQSEVDSNTMTVQEIDAQIAQLKSRRAELTRMIENKKREKDDMTYNQKLVANSIPKVVHEVQLANAKKPEWELKKENAVKRESEILAKFAPLKGFSL >OMO80758 pep supercontig:CCACVL1_1.0:contig10276:23272:31406:-1 gene:CCACVL1_12771 transcript:OMO80758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKGDLKIVAVSSIYNSTEPGFSLFNDRNRRRDETNCNFTKDILGQAMCTAQIGLPHLGTFAY >OMO80759 pep supercontig:CCACVL1_1.0:contig10276:31821:32153:1 gene:CCACVL1_12772 transcript:OMO80759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIESVRCECCGLKEDCTQDYINDVKAKFDGKWLCGLCSEAVRDEVSRSKKQFGVEEAVKAHMSFCGKFKSNPAVRVADGMRQMLRRRSGDLSSPSSSKKFSRSASTKLY >OMO80761 pep supercontig:CCACVL1_1.0:contig10276:37872:40674:1 gene:CCACVL1_12774 transcript:OMO80761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLFDLEETLRAKKDLTLEEEAIMEKWKSKAVGSFFEGVLAGSAIGALATVPWKLHKLLWWTMTSGAVTIFAFRRFRSSIHSSLDDILASDRTRMQRELANIIVKKYRHEPLLMQLISKNFYSEEVYDDSSSELQIRCRYRNFFSDISYDQETDNDSQNDSHKAAASSDSHKKFDSKRIDSSSKQIPVDSGVDLMEDPLDFIFGHTATTEENHHSTTSSVPSRAQRRTQKRAQHRGRLSNQEGSSGSFNE >OMO80757 pep supercontig:CCACVL1_1.0:contig10276:14647:22491:1 gene:CCACVL1_12770 transcript:OMO80757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biopterin transport-related protein BT1 MADCGNTTLPSPTDNKQQKKPTSFPRLFTGFTLKAFTDNNTEGVMSPTSILDSNKPFSAFKNPFWSELSTPKTPEPETRHKLDNSKGVGLAIVDSLKDDGFDPNLSKPVLFGSQLRIQIPSPPPPAAVFSPAESPRTPPEFGIKTRNSQMSSFSSGLSPSPVKKSIETLNSPRVFTGNLSATEMELSEDYTCVISHGPNPRTTHIFDNCIVESCCGVVGFSSFKRENGFLGDNRSSFQSDSFLSFCYTCKKNLGQGKDIYMYRGEKAFCSRECRYQEMMLEEEGIDEMEPDDVFGTCAGNGDALATEMVQETSTSTSSIGSTKKKNRYTNSGRLKCFGVDLSPDNVAVAMVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLVKPLYGFISDSVPLFGYRRRSYLVLSGLLGALSWTLMASFVDSKYSAAFCILLGSLSVAFSDVVVDSMVVERARGESQSMSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLITSAVAVLVEEQRVHGSSRVQNVLLGNPSFLESSKESIVQLWKAVRQPNVFLPTVFIFLWQATPQSDSAMFYFTTNKLGFTPEFLGRVKLVTSVASLAGVGLYNGFLKNVPLRKIFLATTIIGTALGMTQVFLVTGLNRQFGISDEWFAIGDSLILTVLGQASFMPVLVLAAKLCPEGMEATLFATLMSISNGGSVLGGLIGAGLTQVFGVTKDKFDNLAILIILCNLSSLLPLPLLGLLPRDDPDTVSKENIDLEMKSN >OMO80760 pep supercontig:CCACVL1_1.0:contig10276:36958:37548:-1 gene:CCACVL1_12773 transcript:OMO80760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPQPTVSLPSPNLTSTATTKILLKTLSSSSSLTHLKQIHAQILRSNHSHSQSLILKLLLSSSSLPYSVSIFNQFPNPLPSLSTPFLRHLSRSFRPEFAFLVYQRLRNEGLRIDRFSFPPLLKAAARVEGLAEGKELHGFGFKLGVESDPFVQTGLMGMYLACGRVLEARQVFDKMSYRDIVAWSIMIDGYGFC >OMP11038 pep supercontig:CCACVL1_1.0:contig01656:1:402:1 gene:CCACVL1_00710 transcript:OMP11038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 PKVGSRRNGRSGARKSARRIPKGVIHVQASFNNTIVTVTDVRGRVISWSSAGTCGFRGTRRGTPFAAQTAAGNAIRAVVDQGMQRAEVMIKGPGLGRDGALRAIRRSGILFRFVRDVTPMPHNGCRPPKKRRV >OMO58195 pep supercontig:CCACVL1_1.0:contig14262:9589:9786:1 gene:CCACVL1_25543 transcript:OMO58195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSPLENTGLCNEVIRRSKKKYKKRKSKESPERISSDEVDSGETSRRMGWLLIPRILLLHQRLL >OMO58194 pep supercontig:CCACVL1_1.0:contig14262:7494:7553:1 gene:CCACVL1_25542 transcript:OMO58194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VECNKAPHSSQLKHLLLQH >OMO69161 pep supercontig:CCACVL1_1.0:contig12101:4527:4811:-1 gene:CCACVL1_19637 transcript:OMO69161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRGSNQSPPGYPTSVPVSLLLGKMGSVFTQPGLRMSTHHLPSAQPMKIISTMKRVVVSVKIPAAPNIAHLQCTSSACTFHLNFKKNAANLQQKW >OMO87497 pep supercontig:CCACVL1_1.0:contig09227:2530:3021:1 gene:CCACVL1_08979 transcript:OMO87497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEFPKGKEKDKREAGGGGPEGVTRGRSRARGWADTCEERKRARQKQKEGVSGSGFGAGAPTLQFYYIPFRRGMGVGSTFGYGGSPVGPPAVEASRGCCCLRHCREPSSPPPPVLLSFPY >OMO87498 pep supercontig:CCACVL1_1.0:contig09227:14032:21709:-1 gene:CCACVL1_08980 transcript:OMO87498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin MENMMIPCTSKPPIIIPAKLGNSTELSQFPTKLTFSNSRKTHNPKLSETYLNYLSRNGRLTEAISALDSIAQSGSQVRPSTFINLLQACIDLGSLDLGRKLHARIHLVEENDPFVETKLVSMYAKCGSLADARKVFDRMNGRNLYAWSAMIGACSRELRWKEVVKLFFLMMEEGVRPDEILFTKILQACANCGDVRTGRLLHSLVIRLGMVSVARVSNSVLAVYAKCGKVRSARRFFDNMNERDRVTWNSMILAYCQKGDSDEAYRLFSGMSLEGIQPCLITWNILINSYNQLGQCDVAMGLVEEMEISGIIPDVFTWTSMISGLAQNGRRWQALCLFKEMYLAGIKPNGVTITSAVSASASMRVLNTGREIHSVALKMGVIDNVLVGNSLIDMYSKCGELEAARQVFDKIEEKDVYSWNSMIAGYCHAGYCGKAYELFMKMQESDVKPNVITWNSMISGYIQNGDEDRAMDLFQRMERDGKVRRNTASWNTLIAGFVQLGEIDKAFGVFRQMQSCSISPNSVTILSILPGCANLIASKKVKEIHGCVLRRNLDVLSISNSLIDTYAKSGNILYSRIIFDGMSARDIISWNSIIGGYVLHGYSDAALDLFNQMCMLGLKPNRGTFLSIILAHGIAGMLDEGKQIFSSIRDNYEIIPAIEHYSAMIDVYGRSGRLEEAMEFIEEMPTEPDSSIWASLLTASRIHSNIALAVLAGESLLDLEPGNMVINQLMFQIYALCGNLDASSKVRKLEKENLLRRSLGHSWIEVRNTVHRFVNGDKSKPCSNLLYSWLESIGREVNADDHHGGLFIEEEEKEETGGIHSEKLALAFALIGSSSSPQSTETHLETSTIVLLCARDSSSQKSKADVASLGDDTKPVSVGPWGGQGGSSWDDGVYATVRQLVIAHGAGIDSIQIEYDNKGNSIWSRKHGGEAGSKIDKVKLDYPDEFLTSIHGHYGSLFEGGPHLVRSLTFHSNRKTYGPYGVEQGTSFSMNRGKIVGFYGKSGWYLDAIGVHLKPFTKLNHSKTILHTQNFVANANGADKVGFQVIQGSVGESYDIVLAVRQRDAYGNPLPKELSRQPSSSSSSDDSSDVEAKTKFKVSLPTPEKVPAKILPPKVLPEGVLTYGPWGGNGGVKFDDGTYTGIRQINVSRNVGIVSLKVCYDRDGQAVWGSKHGGTGGFRTDKIIFDYPSEILTHITGTFGPLMYMGPNVIKSLTFHTNKGKHGPYGEEQGPSFTNKMDEGKIVGFHGREGLFLDAIGVFVMEGKVPPPRPHFSQAIIPSERTIAEIDNSPWSNKLVLAKQGPVEELACGVVKEPAPCGPGPWGGDGGRPWDDGVYSGIKQIFITKSAEAICSIQIEYDRNGQSVWSVKHGGHGGTTTHRIRLDYPHEVLICITGYYGSISNDEKSKFVRSLTFYTSRGKYGPFGEEMGTYFTSTTTQGKVVGFHGRSSCYLDAIGVHMQHWLGNQRTPRMSLFKIFS >OMO87509 pep supercontig:CCACVL1_1.0:contig09227:60760:63139:-1 gene:CCACVL1_08991 transcript:OMO87509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MAEVGGSASSSRWCSVPERVQLHVAMLALQFGYAGFHVVSRAALNMGISKVVYPVYRNIIALLLLLPFAYFLEKKERPAITLNFLIQFFFLALIGMTANQGFYLLGLDNTSPTFASAIQNSVPAITFLMAALLRIEKVRLDRKDGISKVTGTILCVCGASVITLFKGPVIYSPAPTLNTPLLAATPKLATLGDENGKSWTLGCLYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGVIQFLIIAAFIERDPHAWLIHTGGEVFSVLYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMTSLALGEEFYLGGILGAILIIAGLYLVLWGKSEERKFAALEKAAIQSGPEHSNPRITPSHIKTTLTQPLLPPSTENV >OMO87504 pep supercontig:CCACVL1_1.0:contig09227:49483:49572:-1 gene:CCACVL1_08986 transcript:OMO87504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKGSRMALHSCILSALPMGGPTPLMLL >OMO87506 pep supercontig:CCACVL1_1.0:contig09227:52457:55266:-1 gene:CCACVL1_08988 transcript:OMO87506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKWGGFSFGLVIGVSFILSISEVCNGGITSTFVRNKDLANDMPLDSDVFKVPPGYNAPQQVHITQGDYLGKGVIISWVTPDEPGSNLVHYWAENSKVKSSAESIVLTYKYYNYTSGYIHHCTIKDLKYDTKYYYEVGIGNSSRQFWFRTPPEVGPDLPYTFGLIGDLGQTHDSNRTLTHYELNPTKGQTILFVGDLSYADAYPNHDNTRWDTWGRFIERIAAYQPWIWTAGNHEIDFLPDIGEDIPFKPYIHRYHVPYKASGSTSPLWYSIKRASAHIIVLSSYSAFGMYTPQYKWLQAEFEKVNRTETPWLVVLMHSPFYSSYVHHYMEGETMRVMYESFFVEYKVDVVFAGHVHAYERSERISNIAYNVVNGLCTPVRDPSAPVYITIGDGGNLEGLVTEMTEPQPSYSAYREASFGHGIFDIKNRTHAYFGWHRNQDGYAVEADSLWLHNRYWSVSEESYVA >OMO87508 pep supercontig:CCACVL1_1.0:contig09227:59031:59090:1 gene:CCACVL1_08990 transcript:OMO87508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDHDRGRREPFQSVVL >OMO87500 pep supercontig:CCACVL1_1.0:contig09227:32610:33555:-1 gene:CCACVL1_08982 transcript:OMO87500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MAEEGQVIGCHTVEQWTQQLETANASKRLVVVDFTASWCGPCRFIAPILADLAKKLPHVTFLKVDVDELKTVAQDWAIEAMPTFIFLKEGTILDKVVGARKDELQQKIALHSSTALTQ >OMO87499 pep supercontig:CCACVL1_1.0:contig09227:29491:31511:1 gene:CCACVL1_08981 transcript:OMO87499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 35 MLSTFSCPFLNYVYLATHSKVFSLNSELHLATDKFPCLGLLRQPKYGHLKELHKAIKLCEHALVSSDPTVTSLGTYEQAHVFSSRQGGCAAFLANYHTNSAATVMFNNRRYNLPPWSISILPDCKNVVFNTATVGVKTSQVQMLPTNSKMFSWETYDEDISSLGESSRIVAPGLLEQINVTRDTSDYLWYTTSVDINPSELRGGQKPTLNVNSAGHALHVFVNGEFSGSAFGTRENRRFTFSGPANLRGGTNRIALLSVAVGLPVGLRGEAMNLISPHGTSSAEWTRSSLATRSRQSMTWYKVKELKGQGVEKGMNKGIFRNFGTLNDVMC >OMO87502 pep supercontig:CCACVL1_1.0:contig09227:38147:40451:-1 gene:CCACVL1_08984 transcript:OMO87502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Granulin MEQPLWATFLLSFLLFFDLSSSSDISQLFEAWCDQYGKTYSAEEEKSYRLKVFEDNYAFVTQHNEMGNSSYSLALNAFADLTHHEFRAARLGLSAAAIDFSRSTLRGPLLLREIPASLDWREQGAVTQVKDQGSCGMQICACWAFSATGAMEGINQIVTGSLVSLSEQELVDCDRSYNSGCEGGLMDYAYQFVIDNHGIDTEEDYPYQGREKSCNKDKLNTHVVTIDGYTDIPPNNENLLLQAVATQPISVGICGSERAFQLYSKGIFSGPCSTSLDHAVLIVGYDSEDGVDYWIIKNSWGTGWGINGYMHMLRNSGNSQGVCGINMLASYPTKTSPNPPSPPPAPGPTKCSLFSYCAAGETCCCARRILGICFSWKCCELESAVCCKDNRHCCPHDYPICDTERNLCLKRAGNSTTVEAHEKKQSSKKFSNWLPFVENWV >OMO87507 pep supercontig:CCACVL1_1.0:contig09227:56775:57466:1 gene:CCACVL1_08989 transcript:OMO87507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MEQDEDQYGKSIIQLSMERFVEDTKLNPVNKKEENIESSTTLVSNGCVDFKGKIADKQTSGGWKAAPFIIVNEVAERLAFFAIAVNMVAYLVREMHQSIPSAATHVTDWIGAAYVLTLVGAFLADAYLGRFLTIIIFSCIYAVVSSNTLAKVSLKFLN >OMO87501 pep supercontig:CCACVL1_1.0:contig09227:36098:36952:1 gene:CCACVL1_08983 transcript:OMO87501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPQLIPSHSSSSLTHQPSINPNAPNPSLLNLNFKPFLKSSSLSQSRRFHRLSSFRCSASSFPEKHHTNHPKSDDVVELPLFPLPLVLFPGAILPLQIFEFRYRIMMHTLLHTDLRFGVIYSDAVSGTAEVGCVGEIVKHERLVDDRFFLICKGQERFRVTNIVRTKPYLVAEVNWLEDRPSGDEDLEGLANEVESYMKDVIRLSNRLNGKPEKEAQDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTAARLKREKETLRNTLNYLSAASAVKDVFPSS >OMO87496 pep supercontig:CCACVL1_1.0:contig09227:102:2180:-1 gene:CCACVL1_08978 transcript:OMO87496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLLLTFAICRLIVTVGLTLDPTELLRLGVDGQLSVDPSDVETASLDFGLLTRAEPLAVLHPSSAADVAQLVKTAYAYGYGSSTHHGFTVSARGHGHSINGQAQTRNGVVIQMSGRHGAPDAAAAGKPPQPRVWAGERYVDVWGGELWIDVLRSSLEYGLAPKSWTDYLYLSVGGTLSNAGISGQAFNHGPQISNVHELDVVTGKGELLTCSEEQNSELFHAVLGGLGQFGIITRARISLEPAPQRVRWIRVLYSNFSAFTRDQEYLISLHGKPASQKFDYVEGFVIVDEGLINNWRSSFFSPRNPVKISSLASNGGVLYCLEITKNYHESTADTIDQDIRKLSLNN >OMO87503 pep supercontig:CCACVL1_1.0:contig09227:41863:48339:1 gene:CCACVL1_08985 transcript:OMO87503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDPRQYEHVAVDDNDIHNIVMSYLVHNCFKETMESFIASCPRMKQPSDYPEDMEKRKRIFQFALEGNALKAIELTEQLATNLLEKNKDLHFDILSLHFVELVLSKKCTEALEFARTKLTPFGGEPKFVGKLEDFLALLAYDEPETSPMFYLLSSDYRQRVAESLNRAILAHANNPSYTAMERLIQQTTVVRQCLNQEHAMAPTRLRLSCRSRPKFHVFRSAWIMASLVSLPSTSFFNRRLPNDKLFFGPLLPITTTARTNWVSTKFGFLTIKSRLTKTKESPSTNPTTLLKEPHKYFDQVIITVRSGDGGHGAILSMPNQQRPAGKSQGRNETKEKAKKKPSFKRDFDGSLILPLGGHGGDVIIYADEGKDTLLEFHRKSRYNAKRGGNVDAMGVLNSQLRNGLAAPALRVPVPVGTVVKRKRGKLLADLAQPGDEVLVARGGQGGISLLEMPQYRRKKLMSLTTNVMRDESDKVLILGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLAAITHAKPDIADYPFTTLMPNLGRIDGDPALGADRYSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRLLVHVVDASAEDPLNDYRTVREELRMYNPNYLERPSVVVLNKIDLPEARDKLPYLTEEILKIGSDRINSEVGRSSEDIQSLPTEVGEADISSSVASDEDKMDKGLEDYPRPTAVVGVSVLKGIRVNEMLKEIRAALRKCKDPN >OMO87505 pep supercontig:CCACVL1_1.0:contig09227:50193:50951:1 gene:CCACVL1_08987 transcript:OMO87505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSVMGNSSYGEKKPMKKPAQASSRKGCMRGKGGPENAMCTYKGVRQRTWGKWVAEIREPNRGARLWLGTFDTSHEAAMAYDAAARKLYGSEAKLNLPELCATGGSQYHHHHHPAANSNVAPIGNNVNQLHHHQNVNNTNSGTSSSNSTTSMMRATNDVTSVYNDVSSSVMSSFPNENMNNSQGNLVENNGKYDEGFWENMSVNLPVLDESIWAEAAMSLDFPVMDIEPGSFGSTLVDTTGWDALQSPWCM >OMP10621 pep supercontig:CCACVL1_1.0:contig02103:868:927:-1 gene:CCACVL1_00845 transcript:OMP10621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFLRAVSYRKRAIALNLIN >OMP06417 pep supercontig:CCACVL1_1.0:contig04940:801:2968:1 gene:CCACVL1_01586 transcript:OMP06417 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-quinone oxidoreductase subunit O MAFSAAAAVSHSSFSCFTSFPQPLTRRNHLGFSSVRLIKAAAAAEPEKDKATTSNTTTQPKKAEGSTNAQPKTPAAKPKKPVYSMKKGQIVRVDKEKYLNSVNYLSVGHPPYYKGLDYIYEDRGEVLDLRIFETGEYALVAWVGIPTAPAWLPTDMLIESEKLNYERL >OMP06419 pep supercontig:CCACVL1_1.0:contig04940:5351:15531:-1 gene:CCACVL1_01588 transcript:OMP06419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWWSKKSSKSKEESPQNQSPRGTTVGISPNKTDVSAAPAAAVVGGGGRKKAAAADKDNKSNNNNHMKSFGDGGGLVLNTRNSPRGSRDFGLVGGSAGGSSGFSGFDSDSGEKKGIPLPRPSVSSMQSDHGVGLGSGSASVSSVSSSGSSEDNQIANDPAQILAYRSYIDPRCQGEIRTNMGSRSPGPRGATSPTSPLHHRFSGVSIDSPTGRKEDGKSQCHKLPLPPGSPTSPSSPLPPPTLSRWRKGRLLGRGTFGHVYLGFNSQSGQMCAIKEVRVVSDDQTSKECLKQLNQEINLLNQLSHPNIVRYYGSDLSEETLSVYLEYVSGGSIHKLLQEYGAFKEPVIQNYTRQILSGLAYLHGRNTVHRDIKGANILVDPNGEIKLADFGMAKHITACGTMLSFKGSPYWMAPEVVMNTNGYNLAVDIWSLGCTILEMATSKPPWSQYEGVAAIFKIGNSKDTPEIPDHLSNEAKSFIRLCLQREPSARPTALELLDHPFIRDQATTRGANISITKDAFPYTFDGSRTPPILELQSSRNNILSFDGEYGTRGAATPSRTLRSPRENARTIASLPVSPCSRSPCSSPLRYGPAHKSCLSPPHPAYQFVGQGGYNLGDFSGNASRPNPRYTQDPWLENSQLRVPTPEKNYEWLRRGKGKKEKMPIEMPKGLPFSVDTFSPSSKRKRHHFLTHAHKDHTSGISTHFSYPIYSTHLTKSIVLQFCPQLYDSLFVGIEVGESVVVDDPDGEFKVTAFDANHCPGAVMFLFEGSFGNILHTGDCRLTPECIQNLPEKYIGKKGKESQCRLDYVFLDCTFGRFNQGLTSKHSAIRQVINCIWKHPNALTVYLTCDLLGQEEILASVFRTFGSKIYVDGSTSPDFFQSLKIIVPDILSEDPSSRFQVFGGFPKLSERATAKLAEAQANFQPEPLIIRPSAMWYACEEEHSEIDSRRKIRFNEAIKDQFGIWHVCYSMHSSREELEWALTLLAPKRVVSTTPSCRAMELNYVKKHCLNTKISSDDPLWKLLDIDVEACPQVNSPIKSVACSPIVEGLTQTYAVCETQPQPINVSSCRKMLLTLSPPSKRPPVTLFGRARLGLPDSISPHEAKLVSVRDNSSCVVIVNKMEQRSIIPEDSKDGSENRSENKVEAEDVALQCKNLVRRETCEERLKNKLDGNDSSIRIEEIRIDTYDENRWENKQEDGTTMQCEKLTRTVTHNKYSYSIGSSKGYSDSFRKLYRSMNAPVPRPLPSLVELMKACKRSRRV >OMP06418 pep supercontig:CCACVL1_1.0:contig04940:3540:4328:-1 gene:CCACVL1_01587 transcript:OMP06418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose 5-phosphate isomerase, type A MAVAWSEKASSMEALSMSPPHMSSSPAILSQDDLKKIAAYKAVEFVESGMVLGLGTGSTAKHAVDRIGELLRQGKLNNIVGIPTSIETRERAISLGIPLSDLDNHPTLDLAIDGADEVDPYLNLIKGRGGSLLREKMVEGACKKFVVIVDESKLVKYLGGSGLALPVEVVPFCWKFTANKLQKLFESSGCVAKLRNDRKGQPFVTDNGNYIVDLYVKKDIGDLQVASDAILRITGVIEHGMFLDMATTVIIAGELGITIKNK >OMO78335 pep supercontig:CCACVL1_1.0:contig10597:16964:17671:1 gene:CCACVL1_14478 transcript:OMO78335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACSRSKKAYLPDEVIIFHILSRFSVNSLHGIMRRVCNTWADAIRTPHFARTHLQHAKPGLFVQDRDNPGGARFFQFKDNGDVEITHMNPNQPYPGLMVCSCEGLSVFSRPEKGHWSSLELKYHTWDKLLYVANPVTMDVVVEVPNCITSSHNYYNSYGISSLPNNGGFKLVCCQFDPPTDQSDFYILKLGDDHDQYSWRKIGSASAGPVASSWRQMHVIPIGHYVYWLPNGYD >OMO78330 pep supercontig:CCACVL1_1.0:contig10597:214:5107:-1 gene:CCACVL1_14473 transcript:OMO78330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MENNATEHLLSSNQEDQPHPPPAASSSATFTAGSEDIPSFNSVAHFFKECFAESKKLWYLAGPAIFTSICNYSLGAITQVLSGHVDTLALAAVAVENSVIAGFSFGVMLGMGSALETFCGQAFGAGQINMLGIYMQRSWIILNATALILMFLYIFAEQLLKLIGQTEEISKAAGTFAIWMIPQLFAYAMNFPMAKFLQSQSKVMVMAMIAGSALVVHTIFSWLLMLKLGWGLVGAAVVLNSSWVFIDAAQFLYIVSGRFGETWTGFSWLAFQNLWGFVRLSLASAVMLCLEIWYFMALVLFAGYLKNAQVSVDALSICCNILGWTTMIALGINIAVSVRVSNELGAAHPRKAKLALVVAVISSFMIALTIAAVLVICRDKYPALFSTNKEVKEVVKELTPLLAFSITLNNVQPVLSDMKWLERCIVGRLNPYISVDYVRDTVSGLKLNAIVIPLSNVRVLLQFQKEEEMQSFLTNPGELMEICSSKFHL >OMO78334 pep supercontig:CCACVL1_1.0:contig10597:14514:15696:-1 gene:CCACVL1_14477 transcript:OMO78334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDGFYWNECSYKASIAEKRIKKWGAGDIDDPKTIVNLEN >OMO78331 pep supercontig:CCACVL1_1.0:contig10597:6577:7755:1 gene:CCACVL1_14474 transcript:OMO78331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKREVKKAKIVRSKTYIPDEVIIFEILSRLSVESLHGIMRRVCNTWADAIRTPEYARAHLQLSNSKPGLFIHDWPKHKPYRHNPDDGALFLQFKDNGEVEITHLKPNRPYPGLILCSCQGLSVFSHPKKRYCSLLDRTYNTWGKPLYVANPVMMDVVVQVPNCITSPNYYHTYGISSLPINAGFKLVCCQFDPQTLQSDFYILKLGDDPYSWRKIGSAFAGPVASNWHQMHVIPIGQYIYWLPNGSLLSRSCGQGMMIDIANEAVSVISFDKATGLQLNHPSSFRGFGTIDNYVAQVQHDYTGLYVSISKDIYLRNWGFYHKVDYRLFEFGLPLLVAWIDQEVILYHILTKYRRYNMETREVRPLEELEESFYNYQMCTHTKSLVSPCFF >OMO78336 pep supercontig:CCACVL1_1.0:contig10597:30057:30333:-1 gene:CCACVL1_14479 transcript:OMO78336 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein TRANSPARENT TESTA 12-like protein GIWAGMLSGTVVQTCVLYGMIYKTNWNKEASIAEERIRKWGGEDDSKDKNVET >OMO78332 pep supercontig:CCACVL1_1.0:contig10597:8953:11391:1 gene:CCACVL1_14475 transcript:OMO78332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRKLKKARIVACSRSKTYLPDEVIIFGILSRLSIESLHGIMRHVCNTWEEAIRSPQFARTHLQHSKPGLFIQDWPKHNPDDGACFFQFKENGKLEITHLNPKKPYPGLILSSCQGLSVFSRHENQYWSCRKYHTWDKFLYVANPVTMDVVVQVPNCITSSPNYYNSYE >OMO78333 pep supercontig:CCACVL1_1.0:contig10597:12423:13589:1 gene:CCACVL1_14476 transcript:OMO78333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRKLKKNARIVACSRSKTYIPDEVIIFEILSRLSIKSLHGIMRHVCNTWAKAIRSPQFARTHLQHSNLKPGLFIQDQDNLDGARFFQFKDNGEVEITYLKPKKPYPGLILSSCEGLSVFSRPEDQKWSLLDRKYHTWDKLLYVANPITMDVVVEVPNCITSSHNYYNSYGISSLPNNGGFKLVCCQFDPHTDQSDFYILKLGDDHDQYSWRKIGSAFAGPVASSWHEMHVIPIGHYIYWLPNGSMLARPCGQGMMIDIANESVSVISFDKATGLPLNKPADFFGFGNIDNHVAVVQDSFPSLYVSISKDIYLRNWELYHKVDYPNSSPSGFVACIDQEIIICPINCFQRYNMKTGEVRPLEELEQSGYDKYQMCTHTNTLVSPYI >OMP10326 pep supercontig:CCACVL1_1.0:contig02616:155:1122:1 gene:CCACVL1_00989 transcript:OMP10326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDVVSLPKVVAENLKIARGLAHDVRQRSCSWLRKNRNQSREANAQLMVEFAALTAEVRDGDRRLKSALDTLEENLRTTIRSAVIPLQADLAAVSAETELVAVSAEVSEYGPAHEVGAGCSRKERWEKVQSAAASLQAGLAAVSAELDLSITKALNDVREEMLIHISDQAAANAQLIGRIEGHASHLASLVSAENARVVDSVSSEAGALRKAIKLSQDWLSDGLRDSEGKLTRILSDYQGN >OMO50288 pep supercontig:CCACVL1_1.0:contig16227:6863:6970:-1 gene:CCACVL1_30531 transcript:OMO50288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFENMIIGIHHVPPRSSTMGDSEVSCIKETTID >OMO50289 pep supercontig:CCACVL1_1.0:contig16227:18935:25684:1 gene:CCACVL1_30532 transcript:OMO50289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCWRDWNFKEQWLFDAPAQLGGLNHAAKGLPNNTCGTIAIKLALNAANGKTIGSMAWRYMGEVEMDELLQNHLFATHAIAASGSVVFGTALTYPLDTMKSLIQVGSGSRSSKQLTSSQVINRVRFLSGYSGLYNGFEWLAWGRIFGMGARFGIYEVLTAFYKDGREDNNVYVSEALMAGMAAGAVESLTSSPFELIKLRAQVTSASRIPSVTPATKNRVGVPAITRLLRGYTPDMKALNHSVVYDVRRPSQIISLEGWGALWRGLHSGIARDSIFGGVFFSTWQFLHRAMLDWKAVGMDPPPRYDEEIGPLSPLAVSLAAGFSGSVAAAASHSFDTAKSRSQCTVLPKFIAMERKLLKWKIPGKRFEKLAGIHPADRNLLFRAIWLRMARSGIASFMIGLIIEEGKTENGEINAVENGGFVAAMKRRDGIWKTF >OMO50287 pep supercontig:CCACVL1_1.0:contig16227:3606:4523:-1 gene:CCACVL1_30530 transcript:OMO50287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MEATKEIEEIVDDVEEKFSGFKKFDILPITVFHDHYFFPYLYPINEIFRRKSLEKIMKQELKTLEQSLPNWIFVRGYDSKGRGVDLIRAAIAGLENTPYRYGFFFFDILIPYDYPSKPPQLFYHSWVQEECVPSTSSRSRHELKEKKKAAVIFKQTCETMLSIMEKPPQDFGDFVAGYFRKWSNRILLCCKEEMQKHNSVMDLLFFLKLLKAFEANGSYCRHHYDHKIKSLIMNMDKDTERERVDETFLEKLTTMLFKYMG >OMO88295 pep supercontig:CCACVL1_1.0:contig09046:12388:13761:-1 gene:CCACVL1_08477 transcript:OMO88295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESNGSVLKFEVEKFTGTNSFQLWQSTVIDVLVQQGLGDALEVDKPSAMNDDRWRDIQRKAMKVGTSLRAHLNEFNRLVTRLASVDEVMKEVDKAVLLINSLTDRYDPVTRALMVGRKTLSLQDVTYAIFEYDRLKETEKKDEENGALTVERGRTNGRDYKNDARSKGRFNERGRSSSRPRVDMSSKECYYCHEMGHIKVYCKKLKEDLADFMKSKEKNKGGVNIAAADSCEEYSDEDVVLMVQEEKKDTRDMWVFDSACSEHICTKKEWFSKLQKCDKSVYMANNGEERIEGIGSVKLRLHDGSVKMLGNVRYVPKFTRNLISLGKLDSLGYGYSCRGGGLKIIKGSMIVMKGVKNSKNLYELIGSTIRGDGSVSSHQIEKYDVDLSIKKKVTFADLVKGWSN >OMO98660 pep supercontig:CCACVL1_1.0:contig07061:15856:16805:1 gene:CCACVL1_04119 transcript:OMO98660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAHRDICLLKLNIRKTTSDLKSQLHAKEITNACFVQGFLIFYFDALLKAAKSEAQKVGEWDALREDIIALVKGDIDIKDRLLELKEIQRRYQIVTSHS >OMO98658 pep supercontig:CCACVL1_1.0:contig07061:4751:5771:-1 gene:CCACVL1_04117 transcript:OMO98658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYNAARIVEIAVPVPGDASILNVKTSMGFAYAPENDGLMWKIGSIPGGKVKVSLLVHDGNDSLGDNEDGEEENIGGKVENVVGEEENIGGGDDEDHVS >OMO98659 pep supercontig:CCACVL1_1.0:contig07061:12168:12421:-1 gene:CCACVL1_04118 transcript:OMO98659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTAAEIILMTLSFPARVTQSILDRPLTEFKSITDYCNIGGGFNHCPYRHCCPYR >OMO55426 pep supercontig:CCACVL1_1.0:contig14704:43925:44391:1 gene:CCACVL1_27249 transcript:OMO55426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLKEANPSIPINIGANEVVVGDENKLRWQRGI >OMO57149 pep supercontig:CCACVL1_1.0:contig14436:28473:29625:-1 gene:CCACVL1_25960 transcript:OMO57149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRTRPMTALLLFTGLNAVLVTTITPVYDFVCFLPYWERRRERRRLEREALANRSQSS >OMO57146 pep supercontig:CCACVL1_1.0:contig14436:11218:14169:1 gene:CCACVL1_25956 transcript:OMO57146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class V/Cysteine desulfurase MELEQPMFRETPKSRKLKPKTDHTKNMMKYSSETESSTSSSSDIDSPHVSSKLCHAGSGSFRTLEMGVPKVDSAEKKLSWLRSQIIGGDAEFDSVFGTRKLTYADHTASGRSLHYIENFILTNVLPFYGNTHTCDSYVGGRTTKMVHEACNYIKRCLGGGQDDAILFCGSGTTAAIKRLQEVMGITVPSILRDCVINCLTNEKRWVVFVGPYEHHSNLLSWRQSLAEVVQIGLDENGLIDIEALRLKLESYKYANRPLLGSFSACSNVTGIQTDTREIATLLHEYGGFVCFDFAASGPYVEIDMRSGEMDGYDAIFLSPHKFLGGPGSPGILLMSKALYQLGSSPPSTCGGGTVNYVNGFNEKDTLYVDDIEERENGGTPQIIQMIRAALAFWVKEYIGYQVIEKQEKSYIQRALDRLLPNQNICVLGNTSKDKERQAILSFLVYSTTNSSIDETEEKLENGLFMWGETGKKRDKPLHGPFVATLLNDLFGIQARGGCACAGPYGHSLLHIDETRSLAFREAIEKGYVGAKPGWTRVSFPYYMSNEEFEFILAALEFVAIYGQRFLPLYHFDLRTGSWIIRKKALKDLIGKENSHGNHVLPLATAFQAIRIDRDKSYQYNDAENGDASIIGKYASYLKSAKRIAAHLPKFPSERRFSENIDLDLLPFRV >OMO57148 pep supercontig:CCACVL1_1.0:contig14436:27045:27914:1 gene:CCACVL1_25959 transcript:OMO57148 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative coat protein p42 MADTSKFQNAKKVTNEEGFINETRDRLVAVGVPRAIFDPAVYIPHGCTPAYLAKILRPLKSIEGAAKLERVLQIGIMKSYFSTIPEMKPAEFYEFLEFLRTKDGQTALSHDAKLDRMEKRGSCSITAVEVGWRELFDAQRKDYNSEVGKIRTYYEDRIAQLEHQLRQTRSTMAVALEAAKTQFYPAGFYECISDSDLNRGCFNAYLAECWRLNKIAVPLSEQAQNLAVEAFGDGVRKRHILNFLEIGNGKQQLGMYIDNKVASLIEAGDLQAAKRFLDLLVFVGVQQTA >OMO57147 pep supercontig:CCACVL1_1.0:contig14436:15189:20971:-1 gene:CCACVL1_25957 transcript:OMO57147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHLSKPINFIEAPTRFCYSSKTILIRFPYSFSRNKFCNNAFKPKLSFNKRKILTITASKASNSSSSSDSVAASTSVQAEEDAESTELFEKLRDAERRRINELEELERKADLQLERQLVMASNWSRALLTMRGKLKGTEWDPENSHRIDFSDFMGLLNSNNVQFMEYSNYGQTVSVILPYYKDKKIDGTRENSKNEIIFRRHVVDRMPIDCWNDVWKKLHQQIVNVDVLNVDTVPAEVYSTVVVAVIWSMRLALSIALYLWIDNMMRPIYAKLIPCDLGAPRKTIKKPLKRNALGSLGKSRAKFISAEERTGVTFDDFAGQDYIKRELQEIVRILKNEEEFQNKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEGGAEREHGLLQILTEMDGFKESTSQVLVIGATNRADILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEEEKNVLLEEIAALAEDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSREIPEELKLRLAYREASVAVLACYFPDPYRPFIETNIKSIRSRPNMRYGKNSGKVFRRKSDYINSLVRACAPRVIEEEMFGVDNMCWISAKSTLEASRLAEFLILQTGMTAFGTAYYRNHKDLVPNLALKLEALRDEYMRFSVEKCASVLREFHSAVETITDILLEKGKIKAGEIWDIYNRAPRISQPSVSPIDEYGALIYAGRWGIHGISLPGRVTFAPGNAGFATFGAPRPMEASWKGFC >OMO57145 pep supercontig:CCACVL1_1.0:contig14436:1460:2644:1 gene:CCACVL1_25955 transcript:OMO57145 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MGKYSLPLGFRFHPTDVELVKYYLKRKILGKKFIFEAIAELDIYKHAPWDLPDMSLLKTGDLKWFFFCPIEKKYGKGARFNRATVYGYWKTTGKDRTVVYNEEVVGMIKTLIFHRGKASNGDRTDWVMHEYRLEEKELASKGVSQDTYVLCAVFKKDGVGPRNGAQYGAPFKEEEWSDDDDDENLFGTGSLSVFSKLAFGGASSSYAPESQCAEPSVLSSGLHTLPSPSVVNTDAATSLNPGIDSNAHHVPAVTSDAELALTFADAPQVPTITSDGTCMDAPQVPAVTSNANDVLTCVDVTQIPMVVDAMAVPALMEAPQIAHLPQVQESNDDIISMLDLFTEEDSEFFTYFSGEMTGAANNLSLESQVSEDNEIAWMLESFKEDDNQNNLWYP >OMO72838 pep supercontig:CCACVL1_1.0:contig11353:1406:8647:1 gene:CCACVL1_17565 transcript:OMO72838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSCYRRLTVGPTIPVQPPKLKPTVPCIPITPPRFDMNQRPVGIGLRLRECWRVEAVGPIGRSEGGDGAKKAGAGAGPGPCSYSVGDQRVGDRTVEIGREERNRAVEVAVAAGVTVVLGVGNRVLYKLALVPLKHYPFFLAQLATFGYVLVYFSVLYLRYHAGIVTDEMLSMPKAPFLAVGLLEALAAATGMAAGAILSGASIPILSQTFLVWQILLSVIFLGRRYRLNQLLGCFLVAVGVIITVASGSGTGNSLKEAGIFWSLLMIVSFLLQAADTVLKEVIFLDATRRLKGGSVDLFVVNSYGSAFQALFICLLLPFLSKLWGIPFSQLPTYLKDGAACFLNIGGTLSSGCDGAPLLPLLFIIVNMGFNISLLHLLKISSAVVSSLASTFSVPISVYVFTLPLPYLGVASSLPTGFVAGAIVLVMGLLIYAWSPSGSTTALPSPSS >OMO72840 pep supercontig:CCACVL1_1.0:contig11353:28262:30642:-1 gene:CCACVL1_17567 transcript:OMO72840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGELWAIFGPGVAGAVFGAGWWFWVDAVVCSSVNVSFLHYLPGIFASLAALMFNCVRKEDIDYSPYEEGEWRLKLWLFLAYVVSFVSLAASVGLLIQDSLVKTGPSVWTGTAGVLQCVFVLVSGLIYWTAHSE >OMO72842 pep supercontig:CCACVL1_1.0:contig11353:34672:34809:1 gene:CCACVL1_17569 transcript:OMO72842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPRERDALDNKAFIVAGSLMNLNTFIEVACTQTIFRVGLAPSKL >OMO72839 pep supercontig:CCACVL1_1.0:contig11353:11091:12218:-1 gene:CCACVL1_17566 transcript:OMO72839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MGPTPTLAQLFISALLLSTQLLSLADAGSIGVCYGLNGNNLPPPPEVISLYKTSKIGSLRLYQPYPEVLQALKGSGLSVTMGPRNEDIASFAASQDAANSWVNTNIVPYKADVNFKYINIGNEVIPGDIGPNVPAAMNNIRNALITAGLPDVKVTTVLPMNALGASYPPSAGAFTADVTATMTAVAGILAQQDAPLMINVYPYFPYSSDPSHISPEYVFFNATSAVVTDGSFQYFNIFDAMVDAFNAALEKINFGNVKLLVAETGWPTMGNLPYTSPANAQTYNSKVRDHVTQKGTPRRSSYIMDLFFFAMFNENLKAGEVEQNFGFFFPNKQPVYQFW >OMO72841 pep supercontig:CCACVL1_1.0:contig11353:31189:33671:1 gene:CCACVL1_17568 transcript:OMO72841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKPSKAVIVLQAASPLYTAVRPSLHAVSPIQHQTQSIFSKWLCASARYMRNASIQLKTDEDVARFSFSKPPLGKFVSTDRKGTSKSKIKMSKRAKLNELRFYRLKAKKKMNSPNPEIRIRYKLEKAKRKEAWLIEKLRKFEVPKAPAGVYDPEILTEEEKHYLKRTGEKKKNYVQVGRRGVFGGVVLNMHLHWKNHETVKVTCKPCRPGQVHEYAEELARLSKGIVIDIKPNNTIIFYRGKNYVQPTIMSPPDTLSKSKALEKYKYKQSLDHTSEFIEKLEKELQEYLDHKSRYNKAKEGATQGFVDNSDLASSCTTSGRNAG >OMO86824 pep supercontig:CCACVL1_1.0:contig09406:76070:87875:-1 gene:CCACVL1_09443 transcript:OMO86824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAIVMKSKPKRKPQHKEQLKASSSRNIEKRHNDEFTSWIK >OMO86822 pep supercontig:CCACVL1_1.0:contig09406:19023:27814:-1 gene:CCACVL1_09440 transcript:OMO86822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQLNPPPGFVGVKSLSTQRDPLTKFLGGNSTIAQVNPAKGLLGARKVNPMQIEFSKGSGGGTWKSSLLRQNSKLVLDHDSDFTQSPYVSDDVYESEDDHDMTTPSELPAHRTGGKVKLTIYLASDRLRLVDAENWEDAYKSSGEEMLNAIKCPNEERRFDEYCKVAMVKLYTKFSERNSGNALKREQVHTTGNRSFTEVEEVLKKSHPNKPANQNEEPQVPENWSDKYISEEHDYFLGNTAQVLSHEVEPAGHDYMFIEKEPRDKDHHIPAKGILKFIFEHGELPLSTLSLDLEDLWRAILARWSTSTHTMITSWGEFTFTLEDVSVLLRLPMLGDCDPYNIELTNDDKRLRELLLTTYLRYVLPGCPDQGISVTPSFCHKMCLWERFPVCAPLHAIHTEGENYRAWPRYGCIGKKSVLSNMDRAASFTPMPYLEVLGGFEDPDAYSNQPASLTNFQQWVCRRELSAMIEGDHYKTAIFDASVERYLSHMVAVQFGSDQGVPPLPGRVGNVIACFASYTRGKMLSFPRIVFLPRTRVCYYSNGWTTYYQNSIAEWREYSKHNSQLKSALTVKKVMANDIFLRIMKKKNVVADLNKRLRLEGENYVVWSHKIQHLLDEQSVFETHEPEFLIRRLRTAGVALTNEQQDLTGHSSHLKLENERLKAAKPATDGVANVAESNPRKASGPKHKMDG >OMO86823 pep supercontig:CCACVL1_1.0:contig09406:68843:70371:-1 gene:CCACVL1_09441 transcript:OMO86823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQLNPPPVFVGEKSFSTQRDSLTKFSGGNSTITQVNPAKGLLVTRKVIPMQTESSKASCGGKRKSSLLRQNSELVIDHDSDSTQSPYESDDVYESEDDHDMIAPSELTAHRRKKARGKYSEMRLDLKTQGVKVKLNSCLASDRLRPVDAENSEETYKSSREEMLNAIKITSFNHFEMKFDPETGLLPRADNIWFLEHSRGKNDGNVEWSDNLSKEIGDKMTSLIGDPSQEVPETLDEIYLVAMEGRSGYYKGFGYNKQGLIEGT >OMO67802 pep supercontig:CCACVL1_1.0:contig12385:5917:15784:-1 gene:CCACVL1_20305 transcript:OMO67802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDSFPAWGVMVESRSNGNEFGFSKDGRPKLISLIPAWGVMVNNDGRPKLISLIPAWGVMVE >OMO71612 pep supercontig:CCACVL1_1.0:contig11589:1592:2227:-1 gene:CCACVL1_18130 transcript:OMO71612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFFMNSLKPPFLQDRIRRSMQHFETEHGKPNKENDQEEETNSADRLNEINQEAGDSQSVEMGVEGLNHINQEEEADHQDNDSFEILNQTPPESKSESKCLRRSNKNKKVAEDVSGNLSLEILNQTPLESKKKCQFRKG >OMO71613 pep supercontig:CCACVL1_1.0:contig11589:52603:52782:-1 gene:CCACVL1_18131 transcript:OMO71613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTRRSYLQVAATEEVLVILKIIKQRKEFSPAIVTDQLLGLDVDSVLEVINYFPSPV >OMO94063 pep supercontig:CCACVL1_1.0:contig07988:8999:9397:-1 gene:CCACVL1_06203 transcript:OMO94063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismatase-like protein MLGEWCDGDLIFDGAFRSELIPEIVPQMTEADKVVEKNTYSAFQNTGLREMLVERGLEEVIITGVMTNLCCETTARDAFVKGFRVFFSTDATATSEVELHEATLKNITCGFAYLVDCKRLQRRLLGDELYSN >OMO94062 pep supercontig:CCACVL1_1.0:contig07988:5958:6314:1 gene:CCACVL1_06202 transcript:OMO94062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQREEYKRRADNLRQSVKDMLAEVVEELARLELIDMIRKLGMAHLFTDEMQQTLQVVASSRNGKKNGEAAAAAAEELYPTALCFRLMRLHGYKVSQGMSNTYISVFNFPFTISNPF >OMO94926 pep supercontig:CCACVL1_1.0:contig07779:12085:15581:-1 gene:CCACVL1_05690 transcript:OMO94926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAEIISSIIVDPILSRIEEKVVSLIHEEFVAIHGVKEEVEKLSSNLTAIQAVLEDAEQRRLDGPGNKSLRDWLAKLRNAAYDGQDIVETFATETTLWNKKHKVGKFPTPLRLISKASYKSSVAHKIKEFSAKLDLIAKERHNFHLHVSSEGGSGRAQNFLVPTTYFVDTSDVFGRESDRDRLMHLLLSNEFDNGSDISVVPIVGMGGLGKTTLAQLVFNDKRVKEHFEFRMWACVTVEFDLRRILKDMIEYHTEMKYSNDLSTNILISRFLEFVAGKDFLLVLDDVWTENYQEWEQLQSILKQGGKASRVLLTTRSAKVCDTVGGTIPPHRLECLPEDECFSLFKKIAFKGSNALDGTLRKELEEIGGKIVGKCQGLPLAVKAMAGLLRGNIDANKWNQILGDSIWELDKEGKSNRPQILPALKLSYYHLPSYLKQCYAYCSIFPKAYVFDRKELVKFWMAEAFIQSGGQKSMEETGIEYFDELSMRSFFQVLNIRDKERYRMHDLIHDLAVSVSSPMCYQVKDNQSFTFCVESRHISSWLGPHVKAPTLQIIENSKKLRTLILHELPSSIEKLKLLRYLDLSRTELKVLPDSICNLCNLQTLKLLGCAWLFQLPDGLSKLENLHYLELDEMFWSKCRLLPPKIGNLISLQNLHAFPVHRVTGGHGIEELKNMANLTGTLHISNLENAVNAADSKLKEKQSLQKLVLEWSDDKGIINQQVEDRAARNIEDLQPPSNLEELALHRFKGSKFPLWMTADGLLQKLVTLTLIHCNKSTTLTVGQLPCLRNLRIKGMEELEEWPEDDQCLSLIKLQISNCPKLTTLPDWMPRLITLKIKKCGLLKALPRAPGIMSLILIDNHVLENWQEGMCIAEDSQGNRHPWHSFMGLLELKVKNCPNIQALPRYFDPQKLEISGCELITALQVPEISKHLQTLALDNCSSERLVRAIPSYNFLYSLSISKISNLISFPKFLNLPRLKSLYISNCQDLTSLSEEGSLKTLSSLWFLSVRSCSKLESLPDEGLPDGLQCLVIGSCPILKSLGSKNTLKSLPSLKDLYLEECPLLQLFPEDGLPSSLLHLEIHECPLLIEECRKKNVGTEWPKITHVRDQEIDDDDLIKQPSDPTLPNRKRRRYWTPWL >OMP06249 pep supercontig:CCACVL1_1.0:contig05008:1830:2719:1 gene:CCACVL1_01655 transcript:OMP06249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dolichol-phosphate mannosyltransferase subunit 3 MVGVGLMRFPTCPQEAVLLQQDIAEAKEFLKQRGIDVVSE >OMP10665 pep supercontig:CCACVL1_1.0:contig02060:50:2514:1 gene:CCACVL1_00829 transcript:OMP10665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 3 GTP-binding protein MAELDHCSSTQLIDGDGEFNVAGLENFMRTTKLSNCGLSYAVVAIMGPQSSGKSTLMNHLFHTNFREMDAFRGRSQTTKGIWIAHCVGIEPFTVAMDLEGTDGRERGEDDTAFEKQSALFALAIADIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLEYLEPILREDIQKIWNSVRKPEAHKDTPLSEFFN >OMO99415 pep supercontig:CCACVL1_1.0:contig06903:5290:12331:-1 gene:CCACVL1_03818 transcript:OMO99415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, RsuA/RluB/C/D/E/F MKRRREEEEAEIEEKKEETTKTKMEIVWQTPAHPAQKEDYIFHNGKRHVRPYHFEFVSHVNKRWEGKTIVDLFAHEFRGRSRDYYVNAVKCGRIQVDGENIPVSYIVKRCQKISHFLHRHEPPVMAYDVTILQNEPDVLTVCKPASVPVHPCGQYRKNTVLGILEAEHGLAPLYTGLFFFLDINMSAIHRLDRLVSGLLIIAKTPAKANSFRQHIEGGVVKKQYVAKVVGVFPDGEKVVDANIDHNPREGRSTAVVGISNGDTTLKGKSACTKFTRISTNGTHSIVLCEPITGRTHQIRVHLQCTGHPIANDMLYLSDDVVDRSTLGTTADRAAALSGSPRATISENNKEEYKYHSEDFSIDPMCTHCPNLAPDGYDGHEEGLWLHCVRYSGPGWAYECPYPDWASLD >OMO99416 pep supercontig:CCACVL1_1.0:contig06903:15100:17604:-1 gene:CCACVL1_03819 transcript:OMO99416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole biosynthesis, glutamate-1-semialdehyde aminotransferase MAASINGVGVGVGLGLSCSKAKAIPSSRSSCFRVKMTVSVEDKKKNYTLQKSEEAFNAAKNLMPGGVNSPVRAFKSVGGQPIVFDSVKGAHMWDIDGNEYIDYVGSWGPAIIGHADDKVLAALAETMKKGTSFGAPCLLENVLAEMVISAVPSIEMVRFVNSGTEACMGVLRLARAFTGREKIIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPKAATYETLTAPYNDISAVENIFNSNKGELAAIILEPVVGNAGFIPPTPDFLEAIRRLTKENGALLIFDEVMTGFRLAYGGAQEYFGITPDLTTLGKIIGGGLPVGAYGGRREIMEMVAPAGPMYQAGTLSGNPLAMTAGIETLKRLKEPGTYEYLDKITGELVQGILDAGKKTGHAICGGYISGMFGFFFTEGPVYNFEDAKKSDTAKFGRFYRGMLEEGVYLAPSQFEAGFTSLAHSSEDIQKTIAAAERVLSKI >OMO99421 pep supercontig:CCACVL1_1.0:contig06903:75593:81113:-1 gene:CCACVL1_03824 transcript:OMO99421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGFISDTKLAPITVQLSPATSQVGGSASKEPVTSIEKAKFPAVGGSVKRLVRSIRKRMKRRRTYQKRKSIGQFDLWIGEVEFGFGQGSVQPFPRGFREI >OMO99418 pep supercontig:CCACVL1_1.0:contig06903:34798:42147:-1 gene:CCACVL1_03821 transcript:OMO99418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRVKQEIMETSTARRRKRNGVVERQRPASVIELSSSSSDSDSSDGSDDPDENDNASSAVAVGEVPQVRASKKRKVNGADFVLPLGFLNPLPPDDSIPAPLACDMGAAEVSPPPGLSEQPASKSLSSGVLCKQFWKAGDYDGIPSTDWDLSSGGMDHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVCNGATYVNIDMFKSEKNESTMLLIEDNGGGMDPDKMRQCMSLGYSAKSKIANTIGQYGNGFKTSTMRLGADVIVFSRCRGNDGKRPTQSIGLLSYTFLTSTGKEDIVVPMLDYEWQQGEWRKIIRSTVSDWERNVETIVQWSPFSSEADLLRQFNLMEDHGTRIIIYNLWEDDQGLLELDFHADLHDIQLRGVNRDEKNIQMAKEFPNSRHFLTYRHSLRSYASILYLRLPHNFRIILRGNDIEHHNIVNDMMLSERITYRPNPTAEGAPKDLNLSAVVTIGFVKDAKYHIDVQGFNVYHKNRLIKPFWRVWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTTVLARLEARLVQMQKNYWSTNCHKIGYAPRRNKKNIDQSLGEDTSPDYNTHKSTRSSKYISSSKRISSGSDKFASPSKWKTRGKERREFSEAADGGCGNGDLFSKGGNREKDPTKSGKRLSSSDPSHSSEEDVSDDVCEVVPQKQANSRSQTDSRSQEFVVQSWSKVNFTGVHNGQPSLSPTNLHAMEQLKQENHELKERLEKYEEERQSELQQERNLRKSLENELNDARKKIEQLNLEQESLISIFSEERDRRDKEEENLRTKLKDASNTIQELLDKVKLLEKMKSPNLRPRR >OMO99419 pep supercontig:CCACVL1_1.0:contig06903:42687:45792:-1 gene:CCACVL1_03822 transcript:OMO99419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGATRPWSLLVPPPSALSMMNEAKINVEKTGSECESDSLTVTITVDSINRAAQPSTPLIINKKPKFGEQEHN >OMO99420 pep supercontig:CCACVL1_1.0:contig06903:56187:65938:1 gene:CCACVL1_03823 transcript:OMO99420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTYCVPVKYRSPFPYLVPRSGSYPDPGNQTGSCYRKSSAFGALVVDKLDLGKFPLVPRRTVRNGSGARLSTPSSPSARLHQPTLLFID >OMO99417 pep supercontig:CCACVL1_1.0:contig06903:19894:21611:-1 gene:CCACVL1_03820 transcript:OMO99417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRFLLVLSSFSVAVLFLFLVALFSGQGKSLGASFGLKLLNLRLRIKQGNDTLTTPHRKLLLGAAMEEPNRIWGEKCSKADIVINQGPTAPLPTGIPTYTVEIMNVCVSGCDISGIHLSCGWFSSARLINPKIFKRLRYNDCIVNDGKPLVNGGTLSFQYANTFLYPLSVSRVACP >OMO75049 pep supercontig:CCACVL1_1.0:contig11067:19140:33965:-1 gene:CCACVL1_16349 transcript:OMO75049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEPLVWYCQPNAAWAKEVDGAFGAYTPCAIDSVVTCISHLVLLGLCCYRIWLIMKNSKVQRFCLRSKCYNYMLGLLAGYCTLEPLLRLLMGISMFNLDGETGLAPYEATSSIIESTTWCSVLIMIVLETKIYIREFRWYVRFAVVYVLVGDAVLLNVILQVKDLYSSYAVYLSISMVICQVLFGILLLVYVPELNPYPGYDLIKNESLDEEYEPLPGGEHICPERQANICSRIFFGWITPLMQQGYRRPITERDVWKLDTWDQTETLTQKFHRCWLKETERSKPWLLRALNSSLGGRFWLAGVFKIGNDLCQYAGPLLLNRLLQSMERGDPAWIGYIYAFLMFIGVLCVVLLEGQYMQNVWRVGFRLRSTLVAAIFYKSLRLTHEARKNFPSGKITNMITTDANALQQICQQLHVLWSAPFRIIISMALLYQQLGVASLLGSLMLVLMVPLQTIVISKMRKMTKEGLLWTDRRVGLMNEILAAMDTVKFYAWEKSFQSRVHSIRDDELAWLRRAQLLSAFNTFMLNSIPVVVTVVSFGAFTLLGGDLTPSRAFTSLSLFAILRVPLNTLPNLLSQVVNANVSLQRLEELFLAEERVLAPNPPLQRGLPAISIKDGNFSWDSKADKPTLSNINLDVPVGSLVAVVGGTGEGKTSLISAMLGELPPVGNSSVVIRGTVAYVPQVSWIFNATVRDNILFGSSFQPERYGKTIDVTALRHDLDILPVFNRCIKEELEGKTRILVTNQLHFLPHVDYIFLVSDGIINEEGTFEEISKNGRLFQKLMENAGKMEKQGEREEDGKNFDLEDLKRTSNEMVEINEPVKIANSARKRKGKKAVLVKQEERETGAVSWKVLTRYKDALGGLWVVMILLTFYFSTEVLRVSSSMWLSFWTDQSTSTSYKPGYYIAIYALLGFSQVTVTLTNSFWLIISSLRAARKLHDMMLHSILKAPMLFFQTNPTGRVINRFSRDLGDIDRNVANLMNMFMNQLWQLLSTFALIGIVSIFSLWAMMPLLILFYAAYLYYQSTSREVKRLDSITRSPIYAQFGEALNGLSSIRAYKAYDRIASVNGKSMDNNIRFTLANTSSNRWLTIRLETLGGLMIWLTATVAVLEIGRAENPAAFASTMGLLLSYTLNITSLLSGVLRQASRAENSLNAVERVGTYINLPSEAPDVIDSHRPQPGWPSSGSIQFEEVVLRYRPELPPVLCGLSFSTSPSEKLGIVGRTGAGKSSMLNALFRIVELEKGRILIDGCDIARFGLTDLRKVLSIIPQSPVLFSGTVRLNLDPFNEHNDPDLWEALERAHLKDVIRRNSFGLDAEVLEHNTPEELLANEESTFSKMVQSTGPANAEYLRSLVFGGEKNRSSKEHAAWLDGQIRWQASSRWAAAAQFALAVSLTASQNDLQKFDIGDTSNILKKTEDAVITLQGVLEGKHDEIIDNMLQQHQVPRDRWWSALYRIIEGLAAMSRVAQHSRLQQLEFDFDDRSLDWDDTEH >OMO75048 pep supercontig:CCACVL1_1.0:contig11067:2121:18332:-1 gene:CCACVL1_16348 transcript:OMO75048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARATRLLCMCMYHCCDNGSLLRIKITALLASGHARLLEAEWWWFLMAFEALVWYCQPVANAAWAKEADAAFGAYTPCAIDSVVISISHLVLLGLCCCRIWLIKKNSKVQRFCLRSKRYNYLLAFLAGYCTIEPLVRLFMGISIFNLNEETGLAPYEATSLIIEATAWCSVLIMIGLEAKVYIQEFRWYVRFGVVYVLVGDAVLLNLILGVKDLYSSYALYLSIRLVFCQVLFGILLLFYVPKLNPLPGYILIKSETLDDEVYEPLPGGQQICPERQANIFSKICFGWVTTLLLQGYRRPITERDVWELDKWDQTETLIQKFHGCWFKENQRSKPWLLRALNGSLGGRFWLGGVLKIVHDLSQFVGPLLLNHLLQSMQRGDPTWIGYIYAFLMFISVLCVILLEAHYVQNIWRVGFRLRSTLVAAIFHKSLRLTREARKNFSSGKITNMITTDANALQQICQQLHLLWSAPFRIIIAMVLLYQQLGVASLLGSLMLILVIPLQTILISKMQKMTKEGLEWTDRRVSLMNEILAAMETVKFYAWEKSFQSRVDSIRNDELSWLQRAQLLSAFNNFILNIIPVTVTVASFGMFTLLGGDLTPARAFTSISLFALLGIPLYILPNLLSQFASAKVSLQRLEELFLAEERVLAPNPPLQPGLPAISIKDGNFSWDAKAEKLTLSDINLDVPVGSLVAIVGGTGEGKTSLISAMLGELPPHANSSVVIRGTVAYVPQVSWIFNASVRDNILFGYSFQTERYRKTIDVTALRHDLDILPVFHKCIKEELQGKTRILVTNQLHFLPHVDRILLVFDGKIKEEGTLEEISKKGKLFQKLMENAGKLEKQREGKEDGKIFEEDLKPASDGMVEINELLKNANPVKTKKERKALLVKQEEREIGLVSWKVLMRYKDALGGLWVVVILFAFYFSTEVLRISSSSWLRFWTDQSTSESYKRGYYIFIYAFLGFSQVTVTLMNSFWLITSSFRAARRLHDMMLNSILRAPMLFFQTNPSGRVINRFSRDVGDIDRNVANSMNMFMSQVWQLLSTFALIGIVSMFSLWAIMPLLILFYAVYLYYQSTSREVKRLDSITRSPVYAQFGEVLNGLSSIRAYQAYNRVAVVSGKSMDNNIRFTLAYMSLNRWLAIRLETLGGLMIWLTATITVWQNGRVENQSAFASTMGLLLSYTLNISSLLSGVLRQASRAENSLNAVERVGTYINLPLEAPDVIGSHCPPPGWPSSGSIQFEDVFLLYRPELPPVLSGLSFTASACEKLGIVGRTGAGKSSVLNALFRIVELEKGRILIDGCNIARFGLTDLRKVLSIIPQSPVLFSGTVRLNLDPFNEHNDPDLWEALERAHLKDVIGRNSFGLDAEVVEQNTPQELLANEESTFSKMVQSTGPANAEYLRSLVFG >OMO75053 pep supercontig:CCACVL1_1.0:contig11067:61649:62653:1 gene:CCACVL1_16353 transcript:OMO75053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Temperature sensing protein MKEEEVNRCQIQEWYPKFKSVSIRTLIHELPESFVQYLLDDSGPFVLPVSISNEDALPNRIHNPEEEEDYQVSEGSGDEAEPSLPPSFPELELKVKESIEALGGAVFPKLNWSAPKDSAWISTSGTLRCATFSEIALLFRSSDSLIHDLCHPYDSCSDKTMSRPPTFFLALRKWYPSLRPEMEFRCFVRGQQLVGVSQREVTTFYPILCEKKNDLEVLIEEFFNDNVRLKFESEDYTFDVYVAKDERVKVLDFNPWGAFTLPLLFTWEELEQKPREGVELDFRIVDSQCAVRPGLKTAVPYDYLDTSAGSGWDQFLRNADEELQRQTRSSEAGA >OMO75050 pep supercontig:CCACVL1_1.0:contig11067:35500:35664:-1 gene:CCACVL1_16350 transcript:OMO75050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARELSLLCSYATTMEAFYESRLRHYSPVDIGESWKPNAGSIGDINLNIQPD >OMO75051 pep supercontig:CCACVL1_1.0:contig11067:36318:50284:-1 gene:CCACVL1_16351 transcript:OMO75051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKPLVWFCRPVANGVWTRVVANAFGSYTPCATDSLVITISHLVLLGLCVYRIWLIKKDFKAQRFRLRSKYYNYMLGLLALYSTAEPLFRLIMGISVLNLDGEHGLAPFEIVSLIVEAFTWCCVLVMIGVENKVYIREFRWFVRGGLIYIIVGDAVMLNLVLSAREFYNSSALYLYISEVVIQALFGVLLLVYVPDLDPYPGYTPMPTEFVDDAGYEELPGGEQICPERHVNIFSKIFFSWVSPLMKQGYRKPITEKDVWKLDTWDRTETLNNKFQQCWAEESQRPKPWLLRALNRSLGGRFWWGGFWKIGNDLSQFVGPLVLDQLLQSMQQGDPAWIGYVYAFSIFVGVALGVLCEAQYFQNVMRVGFRLRSTLVAAVFRKSLRLTHEGRKKFPSGKITNLMTTDAESLQQICQSLHTLWSAPFRIIFAMVLLYRELGVASLLGALLLVLMFPVQTVVISRMQKLSKEGLQRTDKRIGLMNELLAAMDTVKCYAWENSFQSKVQGVRDDELSWFRKASLLGALNSFILNSIPVVVTVVSFGLFTLLGGDLTPARAFTSLSLFSVLRFPLFMLPNIITQVVNANVSLKRLEDLFSTEERVLLPNPPLDPKLPAIEIKDGFFSWDPKLEKPTLSNINLDIPVGSLVAVVGSTGEGKTSLISAMLGELPAMSDASVVVRGTVAYVPQVSWIFNATVRDNILFGSPFDSARYEKAIDVTALQHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVARQVFDKCMKGELRGKTRVLVTNQLHFLSQVDRIILVHEGMVKEEGTFEDLSNNGVLFQKLMENAGKMEEYAEENENVNTVDHKTVANGVANDMSKNAGQTTKRKEGKSVLIKQEERETGVVSWNVLARYKNALGGFWVVMVLFTCYFLTETLRISSSTWLSSWTDQSTTKAYGAGFYNLIYALLSLCQVTVTLVNSYWLVISSLYAAKRLHDAMLGSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAPFMNMFLSQVSQLLSTFVLIGIVSTMSLWAIMPLLVLFYAAYLYYQSTAREVKRLDSITRSPVYAQFGEALNGLSTIRAYKAYDRMAEINGKSMDNNIRFTLVNMSSNRWLAIRLETLGGLMIWFTATFAVMQNGRAADQQAFASTMGLLLSYALNITSLLTGVLRLASLAENSLNAVERVGTYIELPSEAPLVIENNRPPPGWPSSGSIKFEDVVLRYRPELPAVLHGLSFTISPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDGCDIAKFGLLDLRKVLGIIPQAPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDAIRRTSLGLDAEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRILLLDSGRVLEYDTPEELLSNEESAFSKMVQSTGAANAQYLRSLVLGGEGENRSVRGEYRQLDRQRKWLASSRWAAAAQFALAVSLTSSQNDLTRLEIEDESSILKKTRDSVITLQEVLEGKHDKAIEESLDQHKMSKDGWWSSLYKMVEGLAMMSRLARNRLQQSDYGVEDRSIDWDQIEM >OMO75052 pep supercontig:CCACVL1_1.0:contig11067:54537:58451:-1 gene:CCACVL1_16352 transcript:OMO75052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATIFSGKALTPQLSSFPFPFSFLYIPSSNPRFQAIIGPSERRPLYPKPTLAISGKGTGDTPLKLG >OMO75054 pep supercontig:CCACVL1_1.0:contig11067:63395:68835:-1 gene:CCACVL1_16354 transcript:OMO75054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DNKDARHVKTYEVALKEKDFVEGPWSQNNLDNGADLLIPVPPPLCGVLIIGEETIVYCSAHAFKAIPIRPSITKAYGRVDADGSRYLLGDHAGLLHLLVITHEKEKVTGLKIELLGETSIASTISYLDNAFVYIGSSYGDSQLIKLNLQPDAKGSYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQASVELQGIKGMWSLRSSTDDPFDTFLVVSFISETRILAMNLEDELEETEIEGFNSQVQTLFCHDAVYNQLVQVTSSSVRLVSSVSRELRNEWFAPKGYSINVATANATQVLLATGGGHLVYLEIGDGTVTEIKHAQLEYEISCLDINPIGENPNFSQLAAVGMWTDISVKIFSLPDLNLITKEQLGGEIIPRSVLLCSFEGISYLLCALGDGHLLNFQLNMSSGELTDRKKVSLGTQPITLRTFSSKNATHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELTIGTIDDIQKLHIRSIPLGEHARRICHQEQSRTFAICSLKNQSSADESEMHFIRLLDDQTFEFISTYPLDTFEYGCSILSCSFQDDPNVYYCVGTAYVLPEENEPTKGRILVFIVEEGKLQLIAEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLREDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMSAVEILDDDIYLGAENNFNLFTVRKNSEGATDEERGRLEVVGEYHLGEFVNRFRHGSLVMRLPDSDVGQIPTIIFGTVNGVIGVIASLPQEQYMFLEKLQTSLRKVIKGVGGLSHEHWRSFNNEKKTVEAKNFLDGDLIESFLDLSRGKMEEISKSLGVSVEELSKRVEELTRLH >OMO69942 pep supercontig:CCACVL1_1.0:contig11928:11641:12279:1 gene:CCACVL1_19189 transcript:OMO69942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSPTPSSAAKAIANNKNFPLEILLKVPAAAAEAIASDEDLLREILLRLPAKHLIRSKCVSKQWLSLISSSEFCRSHVRRHNTSLKAAALFFECGPYHVQPAGFHMVPLNNQCSKALPLDFASEVRIKQSCNGLFLCRSFHNADDCFIYFVSNPTTKKLRKISFSLENRLYAVNLAYDPLKAVHYKVIAIRRSVGVAREFEICIYSSQTNG >OMO62779 pep supercontig:CCACVL1_1.0:contig13160:7474:8840:-1 gene:CCACVL1_22639 transcript:OMO62779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYALGNLCPKTVEEAIDMAPSIKEFVKVVIAYQKKLNSSTSVPKPLKLNQ >OMO62778 pep supercontig:CCACVL1_1.0:contig13160:2070:3368:-1 gene:CCACVL1_22638 transcript:OMO62778 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MSKNITHGFHCLKGKSNHDMEDYVVSDFTKKDDRELGLFAIFDGHLGHDVAKYLQTHLFNNILKEHDFWTKTEEAIKRAYHSTDAEILEKARLLGRGGSTAVTAILINGQRLVVANVGDSRAVICKNGVAKQLSVDHEPSKEKSMIEKRGGFVSNLPGDVPRVDGQLAVARAFGDKSLKIHLSSDPDVTDEMIDDDAEFIILASDGIWKVLSNQEAVDTIKNIKDAQMAAKVLIEAAVSRKSKDDISCIVVRFH >OMP10686 pep supercontig:CCACVL1_1.0:contig02041:1041:1148:1 gene:CCACVL1_00820 transcript:OMP10686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGSLQILAWLIIAEIMVYFFTSTAQCTQLLIDRRIM >OMO88321 pep supercontig:CCACVL1_1.0:contig09036:1384:1989:1 gene:CCACVL1_08465 transcript:OMO88321 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRA-YDG domain-containing protein MRAARVLEQLGKWVNTTKEIGHVSGVKVGDEFHWRCELSIAGLHRDFQKGIVYMKLINGQSLATSIVDSGRYDNVEGNTPNTFTYCGQGENPNFGRKPKDQKLVGGNLALKNSFVSKMPVRVIRKVYNFDDSKRDSESMAAGSEGNVCGYKFVYDGLYQVTKYWPERKGASGAKVYRFLLKKIDEKEPEHDLGGMKQIRGW >OMO88322 pep supercontig:CCACVL1_1.0:contig09036:6313:13667:1 gene:CCACVL1_08466 transcript:OMO88322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MAPQKSLMIMMICLLISLSASATSIATPKLSLAQKLAPKVCKSSIKKDLCIKTLSRPEALLAKNWTQLTSVVMKFGASEARTALNTIIEMAKKPCPPAKLKALKECKQVFRDFFDHFDLASSQIFYDPPMASYTIFRLFDGRNDCINAMKAANLQAPQIATANASQKSSKISPSFIGTKNSSF >OMO69037 pep supercontig:CCACVL1_1.0:contig12133:18598:18951:-1 gene:CCACVL1_19680 transcript:OMO69037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGIGDYLAATEEQDIRRCKNRG >OMO50278 pep supercontig:CCACVL1_1.0:contig16236:33281:33481:-1 gene:CCACVL1_30539 transcript:OMO50278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRPVALNGSMPRSKLDLTTTEDMPVKVPNQSVAWRTVQKKLDFAAEDAKPSMIITLIVQGTSKPM >OMP03508 pep supercontig:CCACVL1_1.0:contig06055:11949:15002:-1 gene:CCACVL1_02391 transcript:OMP03508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCELLNRELGLGIGIIARSVVTFLDQTVCRAMGCFIGCFRVRDDRSRPHIVASSSKSIERPVSRNQLSSLFLDEEKGEGSSNDLESPQIDKGLKDEAKFLKSCGTIPETPAEIRKASRKFKQSPPCGGDSEPSKFHSWLSTGSADKFHSNNQSDQPPTPIKLYEALGRPSDSPEKTPTSFISNSAKTGGFSMGSTEGSEGMSVDKTVQIDIVSASAYGKNKSVRFECESDGSSCKSENGGPNSRKLELPGYQSAAKASPYPTPLKLSDEMQTPGTVFPSNMETLAHGKVRIRSQYVYPALNPAENTSKLNALEEEPFSFKEMLSELKESPKQPENATPKLEVGVKETSLVNEPAMEGSLSSWLKPKVHDIDDRYNKIPRGGRTPVDRPIIGMVAAHWNEDGSSQISPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSDETLISQRKHVDQTPMVLEETDESDTAISQLRPASNSKPVVSF >OMP03507 pep supercontig:CCACVL1_1.0:contig06055:7388:8547:-1 gene:CCACVL1_02390 transcript:OMP03507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKVYGPAYASPKRVILCLIEKGVEFETVNVDLLKGENRDPEFLKMQPFGSLPVTQDGDLTLFESRATMRYFAEKYKSQGTDLLGKTLEEKALVDNWIDVEATSFNPPIYAMTFQILFAPALGLPKDEKAIQESEEKLAKVLDIYEERLSKSKYLAGDFFSLADLSHIPFTQYLVGPMGKEHMVKSRKHVSAWWDDISSRPSWAKTLQICPPPM >OMP03506 pep supercontig:CCACVL1_1.0:contig06055:6086:6569:1 gene:CCACVL1_02389 transcript:OMP03506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCNKAYAPALYDSEPSASKEYV >OMP01213 pep supercontig:CCACVL1_1.0:contig06506:978:1037:1 gene:CCACVL1_03145 transcript:OMP01213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LMQWRMGQRIGAHGFTQQS >OMP07631 pep supercontig:CCACVL1_1.0:contig04299:920:991:1 gene:CCACVL1_01260 transcript:OMP07631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIARLVEQRTENPRDQFKSGS >OMO73033 pep supercontig:CCACVL1_1.0:contig11318:1862:2599:1 gene:CCACVL1_17490 transcript:OMO73033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPSINGAKADSAESSSNNSKARPARRAMRWVQNMMNNNPPPQNQQITPNFCRRCSKQFDSSRAFGGHQNAHRKDMTEQEIRAYKDHLESREERKKQKQKTKMFSKTGPVLNPTPLTIIRPPPMVQAHPMPQVAGAGGFAGDGQQGPVYVLDTSAFGPFGYGQGAMPTPFEAVANKQSMEEPLDLKLFGYEGRDLGPNNNKAVEETASSWHYSASTSSTSAKPSVDDNKNKLSDKVELDLTLRL >OMO73034 pep supercontig:CCACVL1_1.0:contig11318:4201:4953:1 gene:CCACVL1_17491 transcript:OMO73034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAALASLKGKTVAQSEEEPETVEPNPNPHKCSRCTRNFNSATALFGHQTAHRIFSDPRRISPVMAAVLHNPLPGDKSDIVIAKMMEKANLQQQLLPSAGGRNQSPPRFHPYRRIHQEPPPVQNPEINPIICNPVAAASEGEISFVQKLLRQKEPVNYIEPRYVHRHPFERDELVAPPLVAAPQRGVTMDLVGLGEWAPLRAGFGENDHHLELRLGTSVGVDTSLALQIGPRRRRSTAPRLDLKLKLGS >OMP07171 pep supercontig:CCACVL1_1.0:contig04621:448:513:1 gene:CCACVL1_01361 transcript:OMP07171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLERPEITSVHECAKIFTMD >OMO97080 pep supercontig:CCACVL1_1.0:contig07292:10762:17507:1 gene:CCACVL1_04661 transcript:OMO97080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ia MVLHDNNKSETEEERKKKKEEKARLKEEKKQKAAEKAEKTKLKEQEWNSFKKNAKKNAKREADSENLEDFIDPETPLGEKKRLSTQMAKQYSPTAVEKSWYAWWEESGFFQPEAGSSKPPFVIVLPPPNVTGALHIGHALTSAIQDTIIRWRRMSGYNALWVPGMDHAGMATQVVVEKKLMRERKLTRHDIGREEFVNEVWKWKNKYGGTILRQQRRMGASLDWSRECFTMDEKRSKAVTEAFCRLYEQGLIYRDLRLVNWDCVLRTAISDIEVDYRDIKERTLLKVPGYKEPVEFGVLTSFAYPLEGELGEIVVATTRVETMLGDTAIAIHPDDTRYSHLHGKFAIHPFNGRKLPIICDAILVDPSFGTGAVKIMPAHDPNDFEAGRRHNLEFINIFTDDGKINSYGGAEFSGMKRFEARKAVIEALQKKNLYRGAQNNEMRLGLSSRSNDVVEPLIKPQWYVNCSSMAKQALDAAIDDQNKKLEFIPKQYIAEWKRWLENIHDWCISRQLWWGHCIPAWYVTLESDEMKDLGAYNDHLIVARDEEQALAEAKKKYCGKKFEMSQDPDVLDTWFSSALFPLSVLGWPDDTDDLKAFYPTSVLETGHDILFIWVARMVMLGIALGGDVPFSKVYLHPMVRDAHGRKMAKCFGNFIDPLEVINGISLEGLHKRLGEGNLDPNGIATAKAGEKKDFPNGIAECGADALRFALLSYTAQSDRINLDIQRVVGYRQWCNKLWNAVRFAMSKLPNDYTPPPTINPGTMPFSCRWILAVLNKAISNTVSSLNAYEFSDAATSVYSWWQYQFCDVFIEAIKPYLAGDNPAFSSERKCAQDALWVCLENGLRLLHPFMPFVTEELWQRLPGVESHTKKESIMICEYPSPIESWTNEKVEIEMDLVESTVRSLRSLRAQHLAKQKNERLPAFAFCQSKEVAEIIKSCELEILTLATLSSLK >OMO97079 pep supercontig:CCACVL1_1.0:contig07292:6108:6251:-1 gene:CCACVL1_04660 transcript:OMO97079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLICDQSHEQSDPTDSSLEIVRQAHKSRPQSHSLKLPTMNISIFY >OMO54291 pep supercontig:CCACVL1_1.0:contig15016:4189:6642:1 gene:CCACVL1_27901 transcript:OMO54291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNLLSRIKPLHVSKRTPPSPFRFPPHLKNLIFETIQILQTNPQWPDSLETRFYDEETRVSEIAHYVFDQIRDVELGIKFFDWVSKQEPQHFPLNGLAHSSFLKLLARFKLFSEIETRLESMNLEEIKPTHEALSFIIRVFADSGFVDKAVELFYAVTKIYNSVPSVFACNSLLNSLVKLKKVEMARKVFDEMVERDDGIDNYSVCIMVKGLCNIGRVDEGKKIVEDRWGAGCVPNVVFYNTLIDGYSTKGDVERANELFKELKMKGVLPTLKTYGAMINGFCKKGDFKAIDRLLTEMKERGWGVSTHVYNNIIDARFKHGYEFKVMETLKQMIDSGCDPDIVTYNTLISGLCKHGKVQEAGQLLEQAISKGLIPNKFSYTPLIQGYCRVGQYFGALDLLIKMTERGHKPDLVAFGAFVHGLVAMGEVDVALTLRHRMVERGVLPDAGIYNVLINGLCKKGRLSAGKLLLAEMLDQNISPDAFVYATLVDGLIRNGDIHEAKTLFEDMIEKGMDPDIVGYNAMIKGFCKLGRMKEALACLTRMVEVHVTPDEFTYSTIVDGYVKQHDMDGALRIFGHMVKRKCKPNVVTYTSLINGFCRIGDFKRAENAFMEMQSCGLEPNVVTYTTIIGSFCKEGKLAKAVFYFELMLSNKCIPNDVTFHYVVNGFRNSPSATLDNQSLVKKSLFLESFKMMISDGFTQIAAAYNSILLCLCQNGMTRIALQLKDKMMNKGFHPDPVSFAAFLHGICLEGKSKQWRNMISNDLNEKELQTALKYSQLLNHYVPYGVTSEASLILQNLIIDCSSENHKEDLKVSVK >OMO54300 pep supercontig:CCACVL1_1.0:contig15016:63302:63721:-1 gene:CCACVL1_27910 transcript:OMO54300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQRSAVSFRRQGSSGIVWDDKLLGELSQIKPEQQEGDTKQQLEQEEQGQGQQQVEDNNNKQDKIDAIKDVKPTINIAPINTIERSRSNGERRGFRTGKVSPAIDPPSPKISACGFCSAFRKQDKNHTKKPGKRRSK >OMO54298 pep supercontig:CCACVL1_1.0:contig15016:48437:51652:-1 gene:CCACVL1_27908 transcript:OMO54298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MHHFCSLIYFFCILMCPKFAVHAQGFESLLQLPRSGSPRTKTRAKRVLSVGDFGAKGDGHHDDTKAFEHAWKIACSFPVRTRILIPAGHTYLVRPVELGGPCKSRVTLMISGTIVAPKDPDVWDGLNPRKWLYFHQVNHLRVDGGGTVNGMGQEWWARSCKRNKTMALTFHKCKNLKVHNLIVVNSQQMHIAFTNCLRVTVSNLKVIAPATSPNTDGIHISASRGVEVKDSIVRTGDDCVSIVSNSSRIQIRNIFCGPGHGISIGSLGKSKSWAQVHNVFVDGAFISNTENGVRIKTWQGGSGYAANMKFQNVLMENVSYPIIIDQYYCDSNLPCANQTLNVKVDNISFVHIKGTSATEEAIKFACSDSFPCEGLYLEDIRLESYTGGITKSFCWEAYGSSSGLVRPPPCLICTDSFIKQKVGLAVAF >OMO54303 pep supercontig:CCACVL1_1.0:contig15016:89976:103937:-1 gene:CCACVL1_27913 transcript:OMO54303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIEEYCAAKGGKTRVCDVEVAGNVVAPPSDVAKLNVGAAFHFWEVRRPF >OMO54290 pep supercontig:CCACVL1_1.0:contig15016:921:2165:1 gene:CCACVL1_27900 transcript:OMO54290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLRPVRRLCHAASAVSAIASKAGNSEAVSSELPNIKRLQETALSKLSLKETALSKLKSERDPEKLFLLFKENAHNKLVIENRFAFEDTVSRLAGAGRFDYIEHLLEHQKSLPQGRREGFIVRIIMLYGKAGMIKHAVDTFYNMHLYGCNRTVKSFNATLKVLIQTRDLSAIESFIADCPEKFSVELDTYTINILVKGFCELDLLNRAYLIMVEIEKLGIKPDVVTYTTLISAFYQKNRYEIGNGLWNLMVYKGCKPNLATFNVRIQYLVHRGLAWRAFHLMHLMQKIGIVPDEVTYNLVIKGLCQTGYLEMAKGVYSSLRARSVDKPNVKIYQTMVHYLCKGGEYDMAYTMCKECMRQNRFLNICTIHSLLKGLMGTGQVEKARMMMKLVRSRVPRFSSTQLKYLQSAFCLD >OMO54296 pep supercontig:CCACVL1_1.0:contig15016:42064:42132:1 gene:CCACVL1_27906 transcript:OMO54296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPVKAESFPSRRHSWRFKDK >OMO54297 pep supercontig:CCACVL1_1.0:contig15016:45240:47355:1 gene:CCACVL1_27907 transcript:OMO54297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formylmethionine deformylase MEVLHRFSFRLLPATRSLNLTKLSPLYLSTRIPIYGPAFPNQTLQFTSRRPLSSSSVAKAGWFLGLGDKKKTSLPDIVKAGDPVLHEPAKEVNPDEIGSERIQKIIDDMIRAMRLAPGVGLAAPQIGVPLRIIVLEDTAEYISYAPKEEIKAQDRRPFDLLVIVNPKLKKKSSRSALFFEGCLSVDGFRAVVERHLDVEVTGFGRDGQPIKVDASGWQARILQHECDHLEGTLYVDKMVPRTFRTVENLDLPLAEGCPKLGAR >OMO54301 pep supercontig:CCACVL1_1.0:contig15016:70111:72418:1 gene:CCACVL1_27911 transcript:OMO54301 gene_biotype:protein_coding transcript_biotype:protein_coding description:GINS complex, subunit Psf1 MHGRKAYQLVKDFASGEKGHLKSFNNELFDRVIEECNEHHNALQSLIRKMQEEGLEVQTAKNADHYGALIHHLSLIRNKRCLMAYVYNRAEIVRELAWNVGLLHELPHEIQEKLNETEEQYFKDHSKSLKLYMSQMNLDVNVDMVPPKDPYIKVRVLEDLGSGIILSDKTANFARHSMHFLKRTDAEQYISRDKDVSY >OMO54299 pep supercontig:CCACVL1_1.0:contig15016:53361:55704:1 gene:CCACVL1_27909 transcript:OMO54299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase (putative), gypsy type MDPKQPKELIEEAIGQYDERCKRKFGDCSNYRTRFLPSAANDVGLVQYKCMCQAGLCSGAHGFQVFNCSKDDRAYNIHCWGRQAFFYKLMFDYGFRLPAHPFIKQFLDFYGVAASQFVPNTWKIVISYLVISIYKGWKPSMSTIRCLFQVQKRGLGWYTLQARQGRKNKLKGPESNRKWKSDFVGIEVSQGTDWSVPVQWRSVRVEDKNEYKSLVLSEEEQEQILYMQNHNHTVEDLCHRTRLYLCLLAPAPDFLEDFRFNKGPKKYSLEPVGPLVFGSVPMIINGRGDDGLDLMIPKSEGNYYVYNLIDGERVLEPNIEPPMFSPEDFSSETAVGKNLVLLATLAQTFGKVKTPPPAIDGSNGDGISFLQLFLLFSGVSASFAEEEMDPAAKKASAAAKAFMEAKFQEKNQSKKRPAPTKPPPTTPAQKKANTRTDVVVVVHPPVQVVSTSKGAPRGSTSCTGDSSKSTPRRDDRPITRKHAAVPTGQKAVDFLADLMERSLKPSVKEDVELVKRLSNEERAAGLLACVNQVCVFAHQLLEPYRPSLLRKDKKLVDLLDESTPTTCAKEARELRENVIHYKRGQDETARDLDTLRMQHSALQKSFDDLDAQHQSILESLKSESSLRQKEKGDFEVYKIQKETEVNDLKVLLDETKLMCDALATEGVNFANSKVAEVLAKIKERHPKLDLSEC >OMO54295 pep supercontig:CCACVL1_1.0:contig15016:40910:41176:-1 gene:CCACVL1_27905 transcript:OMO54295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSSIPHPYHTSTIPEPNVHNFKDNRAMRSNVIEKKPVAAAPNKLEKKPSMDIDASAEAFIQKFRHQLLLQRLESIENYEQMLARGL >OMO54293 pep supercontig:CCACVL1_1.0:contig15016:27771:29336:-1 gene:CCACVL1_27903 transcript:OMO54293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPSLSRTRPLCSLFRSFYHCPKPFVFFSSLSQEQPISPPLLNLVNEISRVLSDHRNPHHDLDNSLTTFSSNISSELVEQVLKRCKNLGFSAHRFFLWAGKIPGFEHSSQSYHILVDILGSSKQFAILWDFLVEIRDSKQYEINPEIFYVVFRAYCRANLPGDAIRAFDRMNEFGIRPSVNDVDQLLFVLCKRKHVKHAQGFFDRVKHEFELSAKSYSILVRGWGDVGEACQARKVFDEMVQRGCSIDVLAYNSLLEALCKGGKVDEAYEMFTKMGSSGIEPDACSYSVFIRAYCEANNIHAAFRVLDRMRRYNLVPNLFTYNCIIKKLCKNDKVDDAYQLLTEMIEKGISPDTWSYNAILAYHCEHFEVNRALGLISRMERYECLPDRHTYNMALKMLINIGRFDRVTELWETMADNGFYPSASTYAVMIHGLCKKKGKLEEACKYFELMIDEGIPPYFSTVELLRNRLLGLGFLDNIEILANKMAQSTCCSIQELANAMRGKTAFRRRRSEETELESE >OMO54304 pep supercontig:CCACVL1_1.0:contig15016:123976:132419:-1 gene:CCACVL1_27914 transcript:OMO54304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVAAGNLPDDVMRDILLRIPIFLYNPFLNQHQTLSTKPYKVPYQKDKVIGFGYDSVKNDYKVVFWYELEYNYARGYNQLAQVYSLGMNCWRNLEIPDDDITPIFGKDDNKYAVFLNGGIHWLGYRRRRRCRNPNLKGVISFNVNSEEFQWFPLPEYVDLHSVMKIYNVYKDLLCVVYYVARLDGYYYDIWVMSKYGVQESWTRLHTLKRACPDYDNREFGGILMNGELLWENFKYGDDVHSCLTVEYPDDGKSMNIDQRIGSRFFIHKVSLVSLIIRRIELHPDSTAGQKEHAFVNDVEVAGNTVAPPSDVAKLNVGAAFHFGNRLGAILTMDAVVGNLPDDVMREILLRIPVKSILRFKSSSGRQLFIRLLTHDPFNVYLDLELPFTPYSLKGYRIIGSCNGLVCFYDGTQFFIYNPLLNQHKYKTLPLPNNVSGSGQYGYDKVIGFCYDSLSDDYKVVFWYNKEDNKQLVEAQVYTLGMNCWTKVETPDQYITVEIPYGKNSQAFLNGVIHWLGYGFSGEKLVITFDVSSEVFQWFPLPCYVGGAHINVYRDMLCVFDYVATSVNSNYDIFVMSKYGVQDSWRKLQLRVIEPELPPQPGNSLYRYLIGIMMNGEFVWENYIYTSVGTWEQLAMDTVAGNLPDDVLGDILLRIPVKSIIRFRLVTHQDPFNVYLELQHRTLPRPNNVSARYGDSMVIGFGYDSVSSDYKVVFWYSKEDNDQQLVEAQVYTLGLNYWRKVETPDHYITVDNYGTYLKSQAFLNGVIHWLGYGFNQKKLVISFNVSSEVFQWFPLPDYVHNCAVKVYRDMLCVGPAIGMVNSNYDIWVMSKYGVKESWTKLQFVLEQELPQQQPEVKA >OMO54294 pep supercontig:CCACVL1_1.0:contig15016:36544:37900:1 gene:CCACVL1_27904 transcript:OMO54294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETMENPLHLKSLNHISLVCGSIEESISFYQEILGFIPIRRPGSFDFDGAWLFGYGIGIHLLQSENPENMPKKKKINPKDNHISFQCESMGAVEKKLKEMGLEYVRAMVEEGGIYVEQLFFHDPDGFMIEICNCDNLPVIPLAGEMVRSCSRLNLQMVQHQQIQQVVQQ >OMO54292 pep supercontig:CCACVL1_1.0:contig15016:8044:13091:-1 gene:CCACVL1_27902 transcript:OMO54292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVISVKPLFVILSTLSFLLAILLLSPSTPSLQSELSTISRNSGNSDIWSVKRIFEWRPCNWWLQSRLTPLPEKNNGYIRVDCYGGLNQMRRDFCDGVGIARLLNATLVLPKFEVAAYWNESSGFADIFDVDYFIKQMNGFVNVVRELPPEISSKEPVRVDCSKRKGQFDYIESVLPSLLKHHYISITPAMSQRRDRYPQNAKAALCQACYSALRLTKSLEHKATELLEAIPKPFLSLHLRFEPDMVAYSQCQYSGLSPTSMKAIEAARGDDRKPWTGEAARIWRKRGKCPLTPNETAFILQALSIPTNTNIYLAAGDGLMEIEGLTSIYTNVVTKSALLSGEDFESMHGNTKAALDYYVSINSDSYMATYFGNMDKMVSAMRAFKGLYKTLFLSRRAFAEFTSEGLEGERLMQALWKAHKDDFVMGRGSALPDCFLISKEAYLVHGDIGTATFYSPPYIPTKCDGNREEQFPPGNLFVAVSEGLWDNGAACGRRYRLRCLSGPRRPCKRKTIDVKVVDFCPITPCPSTILLSTDAFAAIAHQRGRKINIEYIQI >OMO54302 pep supercontig:CCACVL1_1.0:contig15016:73114:76565:-1 gene:CCACVL1_27912 transcript:OMO54302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MDPLQAVAAATQIISSMVGAVGALEQASRNLDEAPKRIRSLEEFVCDLENLTQRIRQKHANKLHNAQLDYQLQSLHALIERLRPNIRKARTVVSKSKIKNLGKVFWNSMAGDPLGKLTVLIKDDLNWWLDTQMLAQNVEKVLESTAQDTPVRLKIKTDQGYPTSSKCNFVKELLEQEDTHRVILIVGLSGIGKSCLARQVASDPPKKFVGGAVELGFGQWCSRAACNGSKVEYQKRLARKISKFLVQIGFWKKIKEENSGDLDYVCYLLQEALYGKSILVLLDDVWEQDIVQRFAKLYDNNCKYLVTTRNEAVHEITEAEKVELSKDDIREISKGILLYHSLLSEEELPSIAESLLERCGHHPLTVAVMGKALRKEVRAEKWEKAITNLSTFATCAPGPVSYVNEKDAEDTLTIFGSFEFSLEAMPVDSKRLFIALASLSWAEPVPEACIEAIWSFIGQESLFSLIVCKLVEGSLLMKVDMDPIYQVHDMVSLYLDSKTTDSIEMLLHGSKPEETAFMCPWLLIFGKENVKKIVEDRMKLFFDILDEKQVVITLESSIEAIMASKSISELEASRASFSGILGPKIADIVSTNSQSMIAVSAEAIIIIFSKTDYCNYFPSLETDSTVDKLASMLEDCEDPLIQTNILTILAKIAEFGSPEIVDKVLQSIPFNQFADLLSPNAKEWHDSMFTILMSLTKAGKSKAVERMFAFQIDKNLINLIESGSELVQHHAIVTLKAFYELAGPSLNSSLRPANLDLLPWQVRLRLERFVMPDRNIPLSPKPQTFEDLIHKMLDNDNKQVLKAMQDLVPIIEKAGDPGFRQMIVQSPLIRRLSELLQHGHTEQHSIRSESAFLLMKLAYSGGEPCINKFLEFDVIPELVKMMQCNTAELQDSAYTALHQMLFGSGGVLVLNNIFKMGLIEKVPYALESKSAKTREVLVHFIFDIVELGSKACLEKLLSLQVVEKLTKLEKSGGGSGEIVIGFLKGVDKCKHLTVAERKVMKQQVVRKIRASLKGHKFETRILAAVDAFLSGGSRGASGSGSGRNRK >OMO54645 pep supercontig:CCACVL1_1.0:contig14923:19237:20689:1 gene:CCACVL1_27699 transcript:OMO54645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MGKFLDVLQYVLLIDCIPLTGGVVHEKGLLSQDLPSWLTKITQRIYEESGLFPSAINHVLINEYLPNQGIMPHQDGPAYYPVVAILSLGSPVVMNFTPHSRLQSCGNYLHGIEDSEVHQLDQVINETEALSPSSSGQGEVMIGDNSRIVNRTTNRISLTCRLVLKVHKNLFKF >OMO54644 pep supercontig:CCACVL1_1.0:contig14923:13166:18112:-1 gene:CCACVL1_27698 transcript:OMO54644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate carboxyltransferase MIAARQISRLKSTAAPKLMTTVIGGVATASSSPAKALNKEPLLLREFLNHKSKLLGINHNDRLAGYFSTYHYSSNIHHRPTNKVLGNLPGYVKIVEVGPRDGLQNEKETVPASVKVQLIKILVSSGLSVVEATSFVSPKWVPQLADAKDVMDAIRNIQGVRFPVLTPNLKGFEAAVAAGAKEVAIFASASESFSKSNINCSIEDSLTRYRDVASAAKELSIPVRGYISCVVGCPVEGVVPPSKVAYVAKQLLDMGCFEISLGDTIGVGTPGTVIPMLEDLIHVVPIDKLAVHFHDTYGQALSNILTSLQMGISTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGIETNVDLEKLMLAGDFICKHLGRPSSSKTAIALSSKRVESKL >OMO54643 pep supercontig:CCACVL1_1.0:contig14923:4931:10189:1 gene:CCACVL1_27697 transcript:OMO54643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRPITLHHVMGKAVMIVGYFV >OMP11808 pep supercontig:CCACVL1_1.0:contig00830:4543:6992:-1 gene:CCACVL1_00250 transcript:OMP11808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMDSILGRKLKPCLTSFSFFIGRD >OMO86902 pep supercontig:CCACVL1_1.0:contig09394:21968:22060:1 gene:CCACVL1_09401 transcript:OMO86902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNCNAQTWKLFAPVHTRGRCELHNLHLA >OMO86903 pep supercontig:CCACVL1_1.0:contig09394:22531:22629:-1 gene:CCACVL1_09402 transcript:OMO86903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRLQKESQTTDSSRGGNVNGGKEITAQFSQ >OMO86905 pep supercontig:CCACVL1_1.0:contig09394:37985:39433:-1 gene:CCACVL1_09405 transcript:OMO86905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site MQQRSHVPKFGNWESEENVPYTAYFDKARQGRTGGKIVNPNDPQENPDLHYDYAAPTRAPPAARAKSELDEPVGNKPARRAHERGRSREEGDLKQFAESPAHHENRRAAGDSTPSRYGRGVSSGEAPKRPTRSSVGSDYSLEKSPLHHQARVTGRGSMASPAWEGKTSYDSSHGTPGRSRLRPNTRGDESPDKGAAVPKFGDWDENNPASADGYTHIFNKVREERNNGGRVPGMPAEQSPYHAGRRKPANSSAKSCCLPWCRK >OMO86898 pep supercontig:CCACVL1_1.0:contig09394:741:1615:1 gene:CCACVL1_09397 transcript:OMO86898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KDDMGLGNNAQYGAPFKEEDWSDSEDNNLVGTGPISILSRLAFGGANDSHVPESLSAASSIQSNPFSLYPLPTSIVSISHNTVIGNNVLPSEGGSDTNAAFACMDAPNVMVVDDEAVHALMEAVHLAQFPQVQESNDSISSLLGIFTKDDATFITCNNGGNGNDFTFEVPQVSEDDGISLMEICTEDDAEVITYIDGQMTEDEAAFIEVPQVSEDDDISLMLASFVGDDTAFIEVPQDSRDDDIQLMLASFIEEEDWNNVWYPF >OMO86900 pep supercontig:CCACVL1_1.0:contig09394:18038:18346:1 gene:CCACVL1_09399 transcript:OMO86900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKIAASNKATLVLVLFLMATLLTQGARDTPLPLPVNDQVSTLGYKQTLFPLFFPFFPFFKLPFFPFPLHDAPTAQAEAAQLNANAKQTEGDGTAAIDQSP >OMO86899 pep supercontig:CCACVL1_1.0:contig09394:4776:6087:-1 gene:CCACVL1_09398 transcript:OMO86899 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Adenylate kinase isoenzyme MAPDGNKRQRPNILVTGTPGTGKTTTCSALVEATQLRHINIGDLVREKNLHDGWDDNLQCHIINEDLVCDELEDVMEQGGNIVDYHGCDFFPERWFDLVVVLQTDNSVLYDRLSKRGYEGSKLSNNIECEIFQVLLEEAKESYREDIVKALKSDNIDDITRNVATITDWIRSWPQTS >OMO86901 pep supercontig:CCACVL1_1.0:contig09394:19743:21107:-1 gene:CCACVL1_09400 transcript:OMO86901 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RING finger protein MSTLELRSGSSHRGYRRKKNLLDLNVPPSEIREQEGTSQQAGPEQLTSQPVRLEPRVTIDVEDIDDDVESIEPREFDEAVKNNSKRTHGRHARSAINNQNKRRRGPSKPTVINCDEYINLESSPQSIVRAVLLVLHSTDVF >OMO86904 pep supercontig:CCACVL1_1.0:contig09394:27316:29112:-1 gene:CCACVL1_09403 transcript:OMO86904 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDC45 family MVRELRVESFYTRLRESVRASSLSPLLIFPSTSDVDSLCALKSIFHILESDSVRYSCYPVSSFQEIQKYSDLSCSSEEPVTILLINWGCHRDLQKDLNLGPAARVFVVDSHRPIHLHNLSDQNNQVVVLYTNEDERMADLAYDFEVMELANASYCLASTELDSEEDEESESESEDEEDEEEEGGSRDGSRKRRRMSSEGEEEPASQRFKKLKREYYRMGTFHGKPSGCLMYDLSHSLRKNTNELLWLACVSLTDQFVHERLTDERYEAGVMELQQHINSLGNLDAVTSVTLKDGTKVRAPDSSRISYEEEPRLMLLREWNLFDSMLCSSYIAPKLKTWSDNGMKKLKLLLARMGFALVDCQQKFQYMNHEVKQKMKDQFEQILPEYGLNDFYYKSFLRLHGYTSRVSAADMVYGVTALLESFVLSDGSCASKQFGVAYDALSLSNLEKLKAGMQQAIKIQRAILRQGSAAITKSGCIRSGRKFRWVKLDDSVDTKLLGHPQALTKFCYFLMDALKEKGARMKPLLCACASQEPAKVLIVGVCGKPRLGALQGNSFGIAFRNAAEETGAEFFHELFESSWIVLDAAAVNSFMVRLTEKL >OMO86906 pep supercontig:CCACVL1_1.0:contig09394:42286:42441:-1 gene:CCACVL1_09406 transcript:OMO86906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SAAINSNGVKLKTITVSQQSLKTSIFTTKFLSSNLQFQYGGDDEESRLAWK >OMO53223 pep supercontig:CCACVL1_1.0:contig15273:2948:5301:1 gene:CCACVL1_28797 transcript:OMO53223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGLIMDMKNTMEIMARMIKGCKEAWTSLKERMESQFTKKMPFDPLKMPLDPMTRARAKKFKDALTGLVRAHLEDLKTIEDVWSKNIPSILCLLQSILRIFQSIPSYAPYLKSMNLKPFIVCSIAGLIEGLKPANEGRLLSEDCRHTSIEVWTREQGISDESTRKPSSDAPKAYHGRNDAPRTYYGLEDKHGEHGKDDQGLQGSVDKLKGEDGIAIHQEDAI >OMP06589 pep supercontig:CCACVL1_1.0:contig04874:3056:4944:1 gene:CCACVL1_01493 transcript:OMP06589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNNTGVPPKVKFLPPEAVRNLNAGASTVVTTARPYLESHSKERVDPPTAIDPPYAPRTKPRARENETVPADCSLRCTQREGDRETARVDYSARPSGSAFLPRYRETARPSESAFLQGDRETAYVDCSARSSGSGEKETARQYCFARPSRSRTYHHPIVPAMERIWRGTFEILDHIDITLQGLQFCEEFSAYPASTVSHKAYMFTKQIANVIQFRLCELNSLCHEIFKTDPPSATDIALYFYATDNKTSKVNYSTLLKLMNKNKLMLKSRMGSAELLVFSSKFLPTEFKCESH >OMO83343 pep supercontig:CCACVL1_1.0:contig09901:85553:90529:1 gene:CCACVL1_11424 transcript:OMO83343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGKRILQEAVRDKQFCLEWKNGGNLSKQLEKRPLGLLNQSKGFHVGGRAYDTQGIRARTRQSSSTSIKSSFSEFELGSIRY >OMO83340 pep supercontig:CCACVL1_1.0:contig09901:53232:55934:1 gene:CCACVL1_11421 transcript:OMO83340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSCFNPRSKDIRIDIDNGSRTNSRHSADSSGSGARSFTFRELATATRNFRETNLLGEGGFGRVYKGRLETGEIVAVKQLNHDGLQGYQEFIVEVLMLSLLHHGNLVTLIGYCTAGDQRLLVYEYMAMGSLENHLFDLEPDQEPLDWNKRIKIAVGAARGLEYLHCKANPPVIYRDLKSANILLDNDFNPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGRKAIDSNKKAGEQNLVAWSRPFLKDQKKFGLLVDPLIRGRYPRRCLNYAIAITAMCLNEEANFRPLIGDIVVALEYLASQSQNWSPDSRNVQAHSASQVSPASPIHAEKNSLRRSISRSSSTLA >OMO83345 pep supercontig:CCACVL1_1.0:contig09901:156498:157743:1 gene:CCACVL1_11426 transcript:OMO83345 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Xaa-Pro aminopeptidase P-like protein MVLTLRGALERVTGRHVFRGNTAIVRARLSGRSVTIAIKLRILTLALEVQMHPSNHQNKREIDIHFLLYRLAIDAYLYLLILAHFISFFQPMTTPDPCRLWPALALQINSSSISNWTDGRYFLQADKQLSSSWILMCAGNHGVPSTREWLNDVLAPGGRVGIDPVSNLSAS >OMO83341 pep supercontig:CCACVL1_1.0:contig09901:77810:77887:1 gene:CCACVL1_11422 transcript:OMO83341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNVFRAAQFEAFSDGVLAVYTRR >OMO83344 pep supercontig:CCACVL1_1.0:contig09901:91096:94679:1 gene:CCACVL1_11425 transcript:OMO83344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFGTHPVDHNSQCTTKPSSPEETEKFKESLQNGLAHKNGNGNGGKENGISSTSAREEKSSRELQETASSSMPASGKILSTTLKIFTLSELKAATRNFRPDTVLGEGGFGRVFKGWVDDKTYAPSKVGVGMAVAVKKSNPDSSQGLQEWQAEVKFLGKFSHPNLVKLLGYCWEDNQFLLVYEYMQKGSLENHLFRSGAEPLTWETRLKIAIGAAQGLAHLHNSEKSVIYRDFKASNILLDGYYNAKLSDFGLAKLGPINGNSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLEMLTGLRALDTNRPSGEHNLVEWAKHSLTEKRKLKKIMDPRLEEKYPLKAALQAGELILMCLESDPKNRPSMEEVLETLEKISAINEKPNKESKASTSQRKGKRQEEQQGHWNNHRSPRHAAAGTGTRNNQRSSTARSYR >OMO83339 pep supercontig:CCACVL1_1.0:contig09901:49293:50167:1 gene:CCACVL1_11420 transcript:OMO83339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSRTHLASPIPIIRPSDETNSKVAFVA >OMO83342 pep supercontig:CCACVL1_1.0:contig09901:78539:78736:-1 gene:CCACVL1_11423 transcript:OMO83342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMMEVMLRLLEMVELLLESSTETDSEEDEGHRPEEELKEAFRVFGKDQNGFVSTAELCHVMAK >OMO83338 pep supercontig:CCACVL1_1.0:contig09901:8160:8342:-1 gene:CCACVL1_11419 transcript:OMO83338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIEEELDRGSQLYSLLMPVMSQFVPGLDKGKGIYFLFIKSEAKSLRPQEDSWSWLALS >OMO72088 pep supercontig:CCACVL1_1.0:contig11509:2134:6853:-1 gene:CCACVL1_17959 transcript:OMO72088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSKDGFGMTPKATPIRDELHINEDMDLHDSAKLEQRRQADLRRNLRSGLTGLPQPKNEYQIAVQAPPEDNEEPEEKIEEDMSDRLARERAEEEARQQALLKKRSKVLQRELPRPPAASLELIRDSLMRADGDKSTFVPPTSIEQAGEMIRKELLSLLEHDNAKYPLDEKANKGKKKGAKRPANGSIPNIEDFEEDEMKEADSLIEEEAEFLCVAMGHENESLDDFVEAHNTCLNDLMYFTARNAYGLSSLAGNMEKVAALETEFDNVKKKLDNDKSKAEGMEKKFNVLTQGYERRAATFWHRIDSTFKQMDTAGTELGCFQALQKQEQLAASHRINGLWEEVPKQKELEQTLQRCYGNLIAELEQTGMVSKDVKYADKQPIVPWGPRFTKSSVQDSRINLAISAVFTAWIAIKCDAEYKPLQFLAFAFAFVYRIFEKLKAFEPPVSPTVTEEGEFEDNGRGLRMGKRLLRSLALVFGCIAVSSLELIITTASAVSLYIMASYYR >OMO72087 pep supercontig:CCACVL1_1.0:contig11509:117:1138:-1 gene:CCACVL1_17958 transcript:OMO72087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLE >OMO84589 pep supercontig:CCACVL1_1.0:contig09735:12929:14625:1 gene:CCACVL1_10753 transcript:OMO84589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGYWVGAIKRRTPIRGTKAVEQPEVKSRHMLCDLESRT >OMO84592 pep supercontig:CCACVL1_1.0:contig09735:26167:26829:-1 gene:CCACVL1_10756 transcript:OMO84592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEGKAADCAKKLAVSSMGKSRKGCMKGKGGPENATCTYRGVRQRTWGKWVAEIREPNRGNRLWLGTFNTSFEAAVAYDEAARKLYGQSAKLNLPQPNDHQFLPSDVSSNFPSNFVNSCKESSNGLFLGECSSGSSSGNSVQSDEERLVRREINTEGFKGSISSNDDDEEQFYWPEFSLENDHFLKMNDIEVLMGQEFNKVNWDIDGNEIPGIQSQWFF >OMO84590 pep supercontig:CCACVL1_1.0:contig09735:15576:17910:-1 gene:CCACVL1_10754 transcript:OMO84590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MENSSVPFFSWVIFGLLLTLGSNLAPQAEAARAFFVFGDSLVDNGNNNYLATTARADAWPYGIDYPTHRATGRFSNGLNIPDLISEKIGSEPTLPYLSPELKGDKLLIGANFASAGIGILNDTGVQFINIIRIYKQLDYFQQYQQRVSQLIGPEQTQRLVNEALVLMTLGGNDFVNNYYLVPYSARSRQFALPDYVVYIISEYRKILLRLYDLGARRVLVTGTGPLGCVPAELAQHSRAGECAVELQRAAALFNPQLVQMLEGLNNQLGSHVFIAANAYEMHMDWVTNPQAFGFVTSKVACCGQGPYNGIGLCTVASNLCPNRDVYAFWDPFHPSERANRLIVQNIMTGSSKYMSPMNLSTIMELDSRV >OMO84591 pep supercontig:CCACVL1_1.0:contig09735:24324:25547:1 gene:CCACVL1_10755 transcript:OMO84591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaE, reaction centre subunit IV MATCNMAYAASGFLLKPSLAPKTSSSSTPRNSVAFSSKHRSNISRRLVVRAAEDAPPPPPPAEAEASTTTTTTAAPEEGEAKPAPKAAKPPPIGPKRGTKVKILRRESYWYNSFGSVVAVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEIQEVD >OMO84593 pep supercontig:CCACVL1_1.0:contig09735:30380:50609:1 gene:CCACVL1_10757 transcript:OMO84593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAGSSSPVESLVSIAGSVSTPSVKKRVRIFRDELPTILANSEMYPDFTSLLVDIIFKTFPIYDDGVSRKAVNDVIVKALGEVAFMKSFAAALVQAMEKQIKFQSHVGCYSLLKWSCLLLSRSQFSTVSRNALCRVAAAQASLLHIVMQRSFRERRACIRTFLHLFSQSPDVYNIYIEEIKDARIPYKDAPELLCLLLEFSSAVPSKFEQSKPIFLDIYVKAVLNAREKPTKELNESFRPLFARMSHEDLQSTVIPSSVKMLKRNPEIALESVGILLSLVDLDLSKYAMEILSVVLPQARHAEDARRVGALTIVRCLSQKSSNPDTFESMFNVVKAVLGGSEGRLAFPYQRIGMMNTLQELSNAPEGKYLNNLSRTICSFLLTCYKDEGNEEVKLAILSAIASWASRSADALQPDLLSFFASGLKEKEALRRGHLRCLRVICKNPDALLQISSLLGPLVQLVKTGFTKVVQRLDGIYALNIVGRIAAADIKAEETVAKEKIWSLVAQNEPSLVAISMASKLSNEDCISCVELLEVLLVEHSRRVLETFSPKLLLQLLLFLMCHSSWDVRKRTYDATRKVVAIAPQLSEVLLLEFKDFLSLVGEKISALKTSDADYSPDTQVPILPSVEVLVKALAVTSSAALVTTPSASTQVIFCSHHPCIVGTAKRDAVWRRLHKRFRTLGVDVIGIISANVATICKGLMGPLGLMSANPLEQQAAIYSLSTLMSIAPEDTYSEFEKHLISLPDRHSHDMLSKNDIQIFGTPEGILSTEQGVYVAESVASKNTKHAEDNINSNHSGRREVPSRSASGAGKKDGGKPTKKADKGKTAKEEAREQLLREEASIREKVQKIQKSLSLMLNALGEMAVANPVFAHSQLPSLAKFVDPLLRSPIVSDVAYDTSVKLSRCLVHPLCNWALDIATALRLILTDGVRLWELIPSADEEAEERPSLGLFERVINGLSVSCKSGPLPVDSFIFVFPIMERILLSSKRTGLHDDVLRILYLHMDPLLPLPRLRMLSALYHVLGVVPAYQASIGPALNELCLGLQPDEVASALYGVYAKDVHVRMACLNAVKCIPAVSSRVLPENVEVATNIWIALHDPEKSIAEAAEDLWDRYGYDFGTDYSGIFKALSHINYNVRLAAAEALAAALDENPDTIQESLSTLFSLYIRDSGFSEENVDAGWLCRQGVALALHSAADVLRTKDLPVVMTFLISRALADPNADVRGRMINAGIMIIDRHGRDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHAVVEKLLEVLNTPSEAVQRAVSTCLSPLMQSKQDDAAALISRLLDQLMKSEKYGERRGAAFGLAGVVKGFGLSSLKKCGIVAALRAAFADRNSAKSREGALLAFECLCEYLGRLFEPYVIQMLPLLLVSFSDQVIAVREAAECAARAMMSQLTAQGVKLVLPSLLKGLDDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQTTFVNSIDAPSLALLVPIVHRGLRERSAETKKKAAQIAGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLFDTLKSEGSNVERSGAAQGLSEVLAALGTEYFENVLPDIIRNCSHQKASVRDGYLTLFKYFPRSLGVQFQNYLQQVLPAILDGLADENESVRDAALGAGHVLVEHYATTSLPLLLPAVEDGIFNDSWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGSSTEAHGRAIIEVLGRDKRNEVLAALYMVRTDVSLTVRQAALHVWKTIVANTPKTLKEIMPVLMSTLISSLASASSERRQVAGRSLGELVRKLGERVLPLIIPILSKGLKDPDASKRQGVCIGLSEVMASAGKSQLLSFMDELIPTIRTALCDSVPEVRESAGLAFSTLYKSAGMQAIDEIVPTLLHALEDDETSDTALDGLKQILSVRTTAVLPHILPKLVHLPLSAFNAHALGALAEVAGPGLNYHLGTILPALLSAMGGEDVDVQPLAKEAAETVVLVIDEEGIESLISELLRGVGDSEASMRRSSSYLIGYFFKNSKLYLVDEAPNMISTLIVLLSDSDSATVAVAWEALSRVVNSVPKEVLPSYIKLVRDAVSTARDKERRRKKGGPVVIPGFCLPKALQPLLPIFLQGLISGSAELREQAALGLGELIEVTSEQSLREFVIPITGPLIRIIGDRFPWQVKSAILSTLCIMIRKGGIALKPFLPQLQTTFIKCLQDNTRTVRSSAALALGKLSALSTRVDPLVSDLLSSLQATDSGVREAILTALKGVVKHAGKSVSPATRTRVYSLLKDLVHHDDDQVRIFASSILGIISQYMEESQLSDLLQELLDLSSSSNWADRHGSVLTFSALLRHNPSAVFTSQESASILLRLKSSLKDEKFPLREASTKALGRLLLCQVQNDPSNSTALVDILSSVLSAFQDDSSEVRRRALSAIKAASKANPSAITTHLSLIGPLLAERLKDSSTPVRLAAERCALHAFQLTKATENVQAAQKYITGLDARRIAKFPEHSDDSEVSDDESANI >OMO84588 pep supercontig:CCACVL1_1.0:contig09735:5352:7580:-1 gene:CCACVL1_10752 transcript:OMO84588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVENEEDAEESLQDAEETNLGSKVIVSTVSYVELNSVEEDAEHCNHAEIEQEQDNNEEPEEQGSDEIEEGNSIEQKFDNTEIEQGQGSTEDDEEPEEVFCIGSRSIGSWNVEDIRLATW >OMO73650 pep supercontig:CCACVL1_1.0:contig11197:245:5555:-1 gene:CCACVL1_17193 transcript:OMO73650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPIDDMDGIISFIGAKKENLGSLVRRSYEG >OMO85613 pep supercontig:CCACVL1_1.0:contig09599:3271:5362:1 gene:CCACVL1_10080 transcript:OMO85613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSDCTSPADELSARNGGASHVSSSVPFSKNLGFQAAGQVIPFARGSGPAMNSDLPAGASLKRKAAQPPPALSQVPIRKRRSVKPFIGSYTPNTAQGANSVAPLPLMLSQAPPVPSLAQYALAISTAKLTANPPLRPLAPKAPAPQLLSKATPAHLPPRRTTPVFPKPRMSSLPQRITWQDTERLQLSGYNCLLCKRNLSYTPAPERPVSQPAIPPPVAVLACGHCFHDVCLNQMTAKDVVDNPPCIACAISEN >OMO99175 pep supercontig:CCACVL1_1.0:contig06941:3944:19230:1 gene:CCACVL1_03897 transcript:OMO99175 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, epsilon subunit, mitochondrial MARTGMTYITYSNTCANLVRNCLQEHYKTEALSREKVHFANSKLTDARPEKPSRRNAE >OMO97542 pep supercontig:CCACVL1_1.0:contig07230:26412:27008:1 gene:CCACVL1_04521 transcript:OMO97542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEMLTASEFCCKRVKQTSWQRWHDGPMGQGFNQPSQQELNTNAVEMNGVLVEIGLEKCYLACDLALFHCSA >OMO97541 pep supercontig:CCACVL1_1.0:contig07230:9792:9875:-1 gene:CCACVL1_04520 transcript:OMO97541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSNNKKETQKQMGRKKRNESMSKI >OMP10434 pep supercontig:CCACVL1_1.0:contig02521:18:762:-1 gene:CCACVL1_00970 transcript:OMP10434 gene_biotype:protein_coding transcript_biotype:protein_coding description:testis-specific Y-encoded-like protein 1 MSCGPTLYQTCPTFPLLRCELVAPCGRCSRSGIRSRRSRRRPRGRGGSRQSSCTACRPGDVRGDQFLDGVAVFDDAVLDLRIGAFVQPDVQRRHAALDVDVADDDLFAAVFGALVFDHRGGVLLQFLDELLIKARQREGHVGIFQRIGHAAHAVVSFHQLILALDELARGFLRRREVVLDDLEDVWVRRQREHQHHQALDAGRDDELVRRVLLVVEEIPIEQVLALLLQAERGVDLGARLARHQIPQE >OMO81138 pep supercontig:CCACVL1_1.0:contig10235:28489:30142:1 gene:CCACVL1_12590 transcript:OMO81138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MVSLMLNLSLCVDSFLSVQGFTGSYGINYGRLANNIPSPDEVVTLLRAAKIKNVRIYDADPSVLKAFSGTGLEIVVGLPNGNLRDVSSSADHAMDWVKQNVQAYLPDTHICGIAIGNEVLGGTDEFSPYLVDAVKNIYNAVNKLQLSHIIQITTSHSQAVFDKSFPPSSCVFKESTVQYMKPLLEFFSQIGSPFCLNAYPFIAHMYDPEHIDINYALFLPNEGADDPKTKLHYDNLLDAQIDAAYAALEDAGFGKMEVIVTETGWASHGDENEAGATTSNARTYNYNLRKRLAKMKGTPLRPKNVVKAYIFAIFNENEKPGPTSERNFGLFKPDGSISYDIGFHGLKSSSADSSLSSLKV >OMO81136 pep supercontig:CCACVL1_1.0:contig10235:10340:12716:1 gene:CCACVL1_12588 transcript:OMO81136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG DNA binding protein MSSSVEKEKKEMEVAKEDVACLELPAPSGWKKKFMPKKGTKKNEIIFIAPTGEEFNNRKQLEQYLKAHPGGPAISEFDWGTGETPRRSARISEKVKVTPTPESEPPKKRGRKSSASKKDNKESETAPEGAEETEDAHMEEAEKSGKENVEGETGKVAIKEDENEKENENKDKTQDADGKTESTSQEVKHGEDANISTNIEEGKEAAEAVSEKLKAPQDGVEADASGVDRKEKEGLEGAASERKVEQPVAEAEKGLGSGEHDKPDAGITEETKKEVEGLEKENHDKSTTESEGTIKGKESANCNEGQNTSGVNETNKKTEEAVQNGSNGSNTGEVKP >OMO81137 pep supercontig:CCACVL1_1.0:contig10235:13413:15142:-1 gene:CCACVL1_12589 transcript:OMO81137 gene_biotype:protein_coding transcript_biotype:protein_coding description:thylakoid lumenal 16.5 kDa protein, chloroplastic isoform 1 MAAAFLSTANSFLSPTLTPSTSSSCCSSSSSSSISLIYLNNQNARRRQTLCKAFNETQQPTPALTKRGFSLCLITSLVLAGNNGNSFNANAAILEADDDVELLEKVKQDRKKRLERQGVINSSAKEKGYLQELVYKLSKIGQAIENNDLSTAGSVLGGSTDTDWVKNANIAFNKLSSSPEEKDMVETFNSSLASLISSVTKNDIESSKVAFVVSASAFEKWTTLTGLFGQLKGL >OMO81135 pep supercontig:CCACVL1_1.0:contig10235:5432:5509:-1 gene:CCACVL1_12587 transcript:OMO81135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDIYGMNTVLIIDRAPGVLQEDD >OMO53651 pep supercontig:CCACVL1_1.0:contig15172:7712:8926:-1 gene:CCACVL1_28472 transcript:OMO53651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACEGNFPNEIYMGILVRLPVKSLIRFKVVCKSWKSTISSAYFIDRHSDGSATNPSKLAIVLDEYSEFESKCSIFFHTLDFSATCSGEIIIMEHPIKNCKAADPLSWCRGFLLLRVECNTTKMLLWNPSTRECKEVPNPPIPYYHYKSIFASALGYDFTIKTNKIVLICETPRGRHSNIFCVYNVKKNTWTSVIEDDENHKYQAYYERAPTTLVNGAPHWWVSYDGVSHDDDCYFEIEYFDFSKNQFGVIPQPDDHDNDYRAVETTELSNMEGFLCMGCFLLDNVTLWAMEVYGVKESWSKMMIFNDIVSPICFAKTDNNVSLVQSLVRKYIVQERKDRYDIYNGTERGIELKLLAGRDVTRKSAFAFSESLISLETELMVEEELQEPKIEFGKLIIGHSVWW >OMO53652 pep supercontig:CCACVL1_1.0:contig15172:9696:11300:1 gene:CCACVL1_28473 transcript:OMO53652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMFNQMVDMHPLPPPTQFNQLLGAIVRMKHHPTAISTAISLITQMELLGIHPYIYTLNILVNCFCRLHRVDLGFSVFGIILKLGLQPTIVTFSSLINGLFIRGEVARAVRLFDDMVRQGYHPNLVAYSTIVNGLCKIGDTNRAIRLLKFMEERGCAPNIVTYSTIIDRLCKDKHSTEALELFSEMKSKGIQPTVVTYTSLIHAMCTTTTCQWEGVKSLWKEMVANNCEPNIVTHNILVDTLCKEGRASEATEIVEEMIQKGIEPDIVTYNIMMDGYCLVGDIDEARKVLNSLVDDGGLSLTGRFEVALEHLIEMSSLGLIPNLVTYSGLLNGLCKHGRNHEALRVFCELRNNGIEPNIVLYNILIDGLCQVGQLKVAKGAFLALAMKGLDPNVYTYTIMIKGLCKEGLLDEAYELFKKMEEDGCWSNDFSYNAMIRGFLQNNDISRAGKLVLEMASKGFSADASTVAMVVDQLCTNLTEKLVRSF >OMO53653 pep supercontig:CCACVL1_1.0:contig15172:11699:12829:-1 gene:CCACVL1_28474 transcript:OMO53653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAHQSQLPEEIYMEILKRLSVKSLIRFKAVCKSWKSLISTPYFFDRHFDRSVANSNKLGIILTKAYKYRVPHCLISFHTLNFSPTSSGETCIEHTLIRCKEVKPLGWYRGLLLLGVDCSYNKLLLWNPSTNESKEIPDPPNRRLEYECISASALGYDFNVKSHKIVLIYKSKSGKCENHISVYTLKTNSWTSVDLDTDHKVASYNIFPITLANGAPHWVIIHGDVYDEDCHNIHYEIEYFDFDVNKFEVVPQPGDYDISVLKAPPKLYDTGGGHLCIGNETYEDDRIKLEIWVMKKDCWSKLWMCFEDKKVPLPICFAKNINVSLKLGDGGCAIYNGKEKGSRLECTRYASGLRKRAFTFYQSLVCLEPEEVQER >OMO76491 pep supercontig:CCACVL1_1.0:contig10896:12376:14199:-1 gene:CCACVL1_15630 transcript:OMO76491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosinase MASSPFLSSSTPPTSTVPNSSTIIQTSFFPKTTQLSINKKLRKPYHSAPNKVAVSCKATNNGNQQNPTPSKKNAESALNRFDRRDVLIGLGGLYGATNLAGGDPFALAAPIEAPDLTLCGEATVTDTYHVYCCPPTSEKIIDFTPPSFKKLRFRPAAHLVTDDYLVKFKTALQKMKDLPDDDPRSFKSQAEVHCAYCNGAYDQVGFPDQNLQVHFSWLFQPFHRFYLYFYERILGTLIDDPEFAIPFWNWDTPDGMPIPAIYNDPSSPLYDSKRNVAHLPPVPADLDFNGTDRGLTAEEQIQSNLKSMYRQMVSNSKTASLFHGEAYRAGDAPSPGMGSIENIPHTPIHRWVGDPREDNGEDMGNFYSAGRDPLFYAHHGNVDRMWALWKQLPGKKRTDFTDTDWLDSSFVFYDENKNLIRCKVRDCLETKTLGYAYQPVDIPWLKSKPNPRKYKKKKGSRGVAKAAGIKSDVIKSVFPIVLNKIVRVEVPRPRTKRSKYEKEDEEEVLVIQNIKFKNDEAVKFDVYINDEDDETPTGPQDSEFAGSFSSVPHRQKSSAEITTGLKLGLTDLLEDLDVEGDDSIIVTLVPRTGKVTIGGLKIDFVSS >OMO76490 pep supercontig:CCACVL1_1.0:contig10896:3437:5335:-1 gene:CCACVL1_15629 transcript:OMO76490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosinase MASSPFLSSPNPTKTLPTSTSSLQTSFFPKTTQLSINRKLKKPFHSAPVSCKATKNGSQQNPTPSKNNGDSSLNKFDRRDVLIGLSGLYGATSLAGGDPLALAAPISAPDLTLCGDATITGSTEKVFCCPPTSERIIDFKPPRFNKIRYRPPAHQVSPQYLAKFERAMNLMQGNIPGGLSEDDPRSFKQQADVHCAYCNGAYPQATGSTKTIQVHNGWLFFPFHRLYLYFYERILGSLIGDEEFAMPYWMWDNPAGMPIPAIYNNNVNSPLYDSRRNPDHLPPKLADLNYNGTETTLSDKDLIFSNFNVMNKQVIGQRFPSLFLGQPYRAGDDNPKGAGSIEAGCHTAIHRWVGDRRDRQKNGEDMGNFYSAGKDPLFYAHHCNVDRMWNIWKNFPGPKRCDFTDPDWLNASFLFYDENKNLVRCKVSDCLDSKALGYDYQIADTPWLHTRPTPHGAKGPGGPPGRGPPGRRPGPPGRGPGGRARAAEIQSQVLRNAFPLVLDENVAKTTGVVRIEVARPKLSRSKYEKEQEEEVLRIEDIEFNSDEPIKFDVFINDEDDEVPTGPQDSQFAGTFTNIPHMGGMQMKSNLVLGISEVLEDLDVEGDETIVVTLAPREGKVTIGNIKIDYITE >OMO68490 pep supercontig:CCACVL1_1.0:contig12218:6511:7551:1 gene:CCACVL1_19933 transcript:OMO68490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MAKAPLLGATANALGPNFVPYTLLYNLRFDYLNNSVIQDITSKDSKQFHVNVLGCRNITFQRFTVSAPELSTNTDGIHIGRSDLVRILDSRIETGDDCVSLGDGSKNVYIERVMCGPGHGISVGSLGFFQGEEDVIGVFVRNCTLTNTPNGVRIKTWPASHPGRALDMHFEDIIMNNVSFPIIIDQEYCPWNQCNLKAPSNVQLNTISFKNIRGTSASREVVRLVCSKGFPCQGVELADINLIYQGLDGPGISDCANVAPILSGTLNPIPCTATFTRIA >OMO68489 pep supercontig:CCACVL1_1.0:contig12218:3:3006:1 gene:CCACVL1_19932 transcript:OMO68489 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MKFTIKGIQYKLQRLTSGEVSLITDKQAARAPVSNKALYTLLVCTTFPQCFVIDRQPSSVSYLPQDLQALLQQNAKIFDVPTGLPLSRAHDHQIPLKDESQVVKIRPYRYLAVQKDILEKMVAEMKATGIIKDSTSSFASPVVLVKKKDGSWRFCVDYRQLNNLTLKDRFPIPLVEELLDELTGSQWFSKLDLRSGYHQIRMADKDVHKTAFRTHHGHFEFMVMPFGLTNAPSTFQSLMNSIFQPYLRRFIKGYGSIAQPLTALLKKNNFTWTADATVAFTKLKQAMVSAPVLAMPNFEETFVLESDASGTGIGAVLSQGGRPIAYFSRALSPRHQLLSVYEKEMMAILATPGAQLCALSAVHTDLTARIKQSWAQDPTVTKLMQKAKINPSSTAKYTWHQDQSIGNDLRGMLDSLYVRECTTCQQNKSENVASPGLMQPLPIPTKVWIDISMDFISGLPKSHGKDVIMVVVDRLSKYAHFIPLSHPYTAVIVAQAYMDNVYKLHGMPETVVSDRDQVFLSSFWKELFILLGAKLHMSTSYHPQTDGQTEVTNRSLETYLRCFSGEHPSDWVKWLPTAEFWYNTHFHSSAKATPYEILYGQPPPVHMPYIPGESRVDVVDRSLKAREDTIQVLKFHLHRSQHRMKQLADKKRTDRNFEVGDLVYLKLQPYRQISVLRRPNMKLAARFFGPYPVVKKIGEVAYELALPCGSKIHPVFHVSQIKKHIGKAPAHAQLPVLDSHGIIAREPTAILERRMVKRRGRAVTEALVLWSNSFPEDATWECLFDLQKKFPDFDS >OMO90532 pep supercontig:CCACVL1_1.0:contig08467:1581:6429:-1 gene:CCACVL1_07348 transcript:OMO90532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFDFRRQGGSSKRFRTSSKTTSMENSPTMLGSKGLIKKHEFVRLIIQCLYSFGYNRSASCLESESGICYKSVDFEMLESQILRGDWDDCIDTVNKMKDLEDDTRSSVLFLLFKQCFLEFLSCGDDSSALSILRKQVPMLHMSKEKVHKLAYNILSLKKMELGKLDEDVISELRRRLVMELGKQLPPPIVLPERRLEHLVETSVTAQIDSCIYHNSQDVVSVYEDHCCGRDQIPTETVQILTDHSNEVWFVQFSNYGEYLASSSSDCTAIIWKVMENGKLKKEHTLRSHQNPVSFVAWSPDDTKLLTCGNMEVLKLWDVETGTCKHTFGDHGFTVSSCAWFPDSKRLVCGSSDPEKGICMWDCDGNEMKAWRGMRMPKVLDLAVTSDGENLISIFSDKEIRILNLWTNAEQVILEEHSITSLSVSMDSKYFIVNLNSQEIHLRDVAGKWEKPLKYTGHKQNKYVIRSCFGGFNSMFIASGSENSEVYIWNRRSSKPIEVLSGHSMTVNSVSWNPKRPQMLASASDDHIIRIWGPSHSDKTQPETLS >OMP05332 pep supercontig:CCACVL1_1.0:contig05433:6017:6361:-1 gene:CCACVL1_01949 transcript:OMP05332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCFVLDAAIQLGVFDIIAKAGHGAHLSSSEIASQINAKNSEAPSLLDRVLRLLACYGFVTCVTRKQQLDDGDEDCNKVERLYGLALPGRAFVHDEDRGCLAGFPITKNKIQAW >OMP05331 pep supercontig:CCACVL1_1.0:contig05433:4880:5044:1 gene:CCACVL1_01948 transcript:OMP05331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKEGPSTGVRMKGDKAPEGYHVAPRAETPPNMRARNAGRTSCEHSNAAGTWH >OMO95200 pep supercontig:CCACVL1_1.0:contig07720:79303:82901:-1 gene:CCACVL1_05504 transcript:OMO95200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MAQEEFKVPLLKKKYYENCPGCKVDQMKELQHGIPIRQLVSIWIVVLCTALPISSLFPFLYFMIRDFHIAEREEDIGYYAGYVGSSFMLGRAFTSVLWGLVADRYGRKPVIIMGTISVVIFNTLFGLSVNFWMAIITRFLLGSLNGLLGPIKAYAVEIFRDEYQALGLSTVSTSWGIGLIIGPALGGFLAQPADKYPNIFSQDSLFGRFPYFLPCLAISIFAFGVSIATCWLPETLHKHNDNDEPCDDSCDALESATQEYSKKEIKEENKPSLLKNWPLMSSIIVYCVFSLHDMAYTEIFSLWAVSPKKLGGLSYSTEDVGEVLAVSGFSLLVFQLSLYPYAERILGPVMVSRIASIFTIPLLQSYSFIALLSGFTLTLVINCASVLKNVFSVSIITGLFILQNRAVDQEQRGAANGIAMTAMSLFKAAGPAGGGALFSWAEKRQDASFLPGTQMVFFVLNIVEALGLLMTFKPFLAS >OMO95199 pep supercontig:CCACVL1_1.0:contig07720:72133:75121:-1 gene:CCACVL1_05503 transcript:OMO95199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEEFKVPLLKKKYHENCPGCKVDQMKELQQGIPIRSASFFTLSIPLLHETEEDIGCYAGYVGVGEFYIDEFCNF >OMO95195 pep supercontig:CCACVL1_1.0:contig07720:16373:18123:-1 gene:CCACVL1_05499 transcript:OMO95195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLENAHSVPIYVRVRRAFDSSAEN >OMO95196 pep supercontig:CCACVL1_1.0:contig07720:51458:54003:-1 gene:CCACVL1_05500 transcript:OMO95196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGDQYGLPDLRRFLARRTHFPATQQPSEPYFVHRNVAPLAPYEAFMVTNNGLPMVPTGALLRFGGGHDNHHFSAAASASAFAGNGIGSSGSGSGSAIFGVEMENGGWSFGNFDGGNSRWPRQETLTLLEIRSRLDSKFKEANQKGPLWDEVSRIMAEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKNYRFFRQLEALYGETSNQSSLSGTNLAQKASLVSQTPNIQENRDHKLRSESLSFSNASEFETSSSENNNDQEDLSAIAFMMKHSMEEKQKRVINIESGSSSRDVNKIKGWKTKVKDLVESQMKKIIDSQDVWMERMLKTIEDKEQERMLKEEEWRRQEAARFEKEHEFWAKERAWVEARDASLMKVLKKFPSSAEEETHSQNTRKWAEHEVSSLIQLRKSLEPNRYSEERLWEEIEAKMVSLGYERDANECKEKWNTMQMYFNMTNAESYKKQKEDFRRRNSNSYFQQLDSYYGQGNSSNNNNNNENDNDSKQRGSPSSNSCVGTSHHQQLRDINSFHIALNQGDDQQHLWDRYRLKLSKEKNRQI >OMO95201 pep supercontig:CCACVL1_1.0:contig07720:84137:84208:-1 gene:CCACVL1_05505 transcript:OMO95201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRDEHPEAYHLQEKFLAKVGTTE >OMO95197 pep supercontig:CCACVL1_1.0:contig07720:55906:58831:1 gene:CCACVL1_05501 transcript:OMO95197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIMNEGMAMEGMWGMVLSFPKMGMWDLQSQLNPPLFPKPQIQIPKHSSRFLLFAQNGNNELPKEKGKEEEKAKGQGQQPNGSINGNDRRSMFNFRLGDLLDPDPDNIVAVGLTGLLTWASAQVLWQLFLISGAILLAALKYSFIAALLIFILITLL >OMO95194 pep supercontig:CCACVL1_1.0:contig07720:941:1902:-1 gene:CCACVL1_05498 transcript:OMO95194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAAEKIIPPISNQQASASKSEFQRQLGDYLVEGHRSDLPRKIHVELIENDLFESRKIWNQWKGTNQQTQFEKEYRFIASLLEVEVDQDLIRSASHFLNPSYRCFVFNQVHMVPTTEEYASLFDGQ >OMO95198 pep supercontig:CCACVL1_1.0:contig07720:60005:68347:-1 gene:CCACVL1_05502 transcript:OMO95198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGMSLSKAAGPVGGGALFSWAEKRQDASFLPAFEQILRLSSFSSISAEKYKTELLERKAETQRGAAAMVKSVKPNKAAPSADFLPLEGGDLRKLPDDKPQQKKATVLYIGRIPHGFYEKEMEAYFQQFGAIKRLRIARNKKTGKSKHYGFIEFENPEVAEVVADCMHNYLLFEHLLQVHLVPPEHVHPKIWKGFNYKYKPLDFVQIERKRQNKVRTLEEHKELVEKIIKRDQKRRKRIEAAGIDYECPEIVGSIQPAPKKIKFDED >OMO86321 pep supercontig:CCACVL1_1.0:contig09505:13783:25340:-1 gene:CCACVL1_09642 transcript:OMO86321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calmodulin binding protein-like protein MLPSKRPAASDEDDERLGVMVSEPKRRATLKNAVRRSMMGLGGLSMNRIVLKLEPTLRLWVREAVESAIRSSFNPSSSRPSLNQIEPSRGRSLQLRFVDKLASKIFTGSKIEAEDGNPLRIVLFETTSNRIVSVGPLSSVKVEIVVLNGDFGADEREDWTENEFNASVLREREGKRPLVAGDLNVTLVDGVGTVDNVIFTDNSSWIRSRRFRLGAKVVQRISGDQVTIREARSGAFVVKDHRGELYKKHYPPHLRDEVWRLERIAKDGAFHTRLASNNISTVKDFLRLHVTDPSALRNILGGGISNRVWETIIEHARSCVVDDEEWYTYYGADQRVGLLLNSIYEVIAATFDGQSYQPVETLAFSQKLLVGDAKRQAYKNVRGLVLTDRRAIMGLSMPLTNLLPEPVSIPNLLQPQLDYSVPNQDQPETPLGLNQSSISYSYGVEDKNQSPVPLPQDAGLAIQAFNPMLRNSFRMGGMGIFPFNGESSLSFLPDAHFATEDNSEGQMNNWTSTWGQDSGFILSTDSDFESPLSILSSSPNFDVHSQRMGEGRDVCHRAGWLKIKAVIKWSLLLSPQSQTPPLGGALQSPTGHRKSITITTSQMAATADAEPTSSDGPLSVFDNRLGALREKHNRILKMEESVAQGKSLNKVQEEVLRSKPVVAAVIKGLEMLRQPLSSAVSEEIQLALQGRTISPDETTSEAQQDKSEVSEQVPNEPDRAIEDLLNLLYFGSLFDVKSRRDLTSTMLTRTHERGCCLTYDKSTDDATDLLSDKDLDLISFMSGLLTSRPADSSFSHKDALHRCLHHAKLWLSNSDQPIDPTADVSYAGLRERLIKIMALYTSFQVPGVPISVPVQVENSVGQSQQQLTADAAATSSDGPLHSFFKNRIRTLCKKHNRIIQMEEFVAQGKSLNKEQKEVLPSKPTVAALINELEMLQQRFSSSLSKEISLALQRQTISPDETTSEAQQDKSEASEQVPNETDRAIEDLLNLLYFGSLFDVKSERDLTSTMLTRTHERGCCLTYDKSTDDATDLLSDKDLDLISFVSGLLTSRPADSSFSHKDALHRCLHHAKLWLSNSDQPIDPTAGVSYAGLRERLNKIMALYTSFQVPGHGVPISVPVQVEDSVGQSQQQNNLAKVKVDLDLYIFALIVASSEVDAAETMIRAILFLSQFMRFDTSLMATGETSTPSLVFLVLERDGFSIWSGPHGKEIFFSEEHSKVSCTNVEFIRFSEDGSRFMVVVSDSSIIVYDSTTWKELRSFPINALHSELSPLGTYLQTFEASEAYTTEHGNVVLWEIETGKAVTRQFQTEMPNGTCSDEVVACRLAPNVRIDFFDGTDFSKGIIYQLDIHGVVRFELSKKFGSHVAAYVSECEEEDWRDSVQIYACKKLQNQLLPRHNIDHHYTPKQMKWNNGSTHFLLVIHPVDERITQLPWELSYLTINIMHGVKVPLDVVGPIFDVQWSYSGNEFAVLYGFSSDRCATVFDKEGKRLNDVKDAFYSTIQWSPKGNFLCLAGFGSTVSNGMLFWDYKEESVNNIVETDTGGCSVYTSGWSACGRFFMIADRSCQCYKIYDYTGKLIRDVKNRVGLLQVDWKPKSPNKDDEIATRAKNEQGTAQPQSAKLAGASVTATGSVVPCFVFIGSDVPCFVFVEFQWFCLELDD >OMO86320 pep supercontig:CCACVL1_1.0:contig09505:1141:10143:1 gene:CCACVL1_09641 transcript:OMO86320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPSIVIRRLQIDSNILFGQGTISEVVTQVIMVDLAGNFLSTKNGEMRRGKTGGAQEDLLPLIDDSCVLGFSGIDVFLHTWVSISPTLDETRWVD >OMO86322 pep supercontig:CCACVL1_1.0:contig09505:29637:35012:1 gene:CCACVL1_09643 transcript:OMO86322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ppx/GppA phosphatase MAENLFAAIDMGTNAFKLVIVQAHFPGKFLPLLTAKESIVLGRDSSSSTISSHSQQLSIKSLNKFNEIIQTHQVPALHTRCVATSAVREARNKSQFIDSVAESTGFNVEVLSGEQEARFAYMGALQFLPVYDDLVLNVDIGGGSTEFAIGSRGKVEFCSSLKLGHVTLTQQNFGDDEVERVLNMREFIRNLVKESGLIEKVKDFGFQVAVGSSGTIRAIERAVFKGYQLGYVDNEVFFRECKRDWRFNRKELKSVVESLCKGGEGEKARRDALFKRRSQSIVAGGILLEEIFDMLGIDNMLVSGYGLREGVIADTLANAYGDGYDLNSNARFRSLLQLAAGFNGKKKTTSAAKCASMARVIFEGLRKCKERDNNEVGLTVPLDDKDLDYIEAACLLHNIGLFAGKKGYHKQSYHIIMNGNHLHGYSAKEVKLIALLTRHHRKKLPKFNGSSFNEFGDEEKQKFGVLCAIVRLCVLLHQNGYVSYKEMEISHSHEGFELLKGTYIQAGREIMIKSVTSAIPIYTMQVFKMPKKLCEDINAAVARFWWGQQQDEGRFHWIKWDNLADPKEKGGIGFRDMKIMNLACLSKQIWRLHQNPDALWAQILKGIYFPSTNIWEAKKGARASWVWASLLEGRDLIKENSQWLIGDGSKVNIWDDRWILKQGKVELKSDVQRQDLRNLPQKVQHILNKEDGIWDLSALQQIITEDTVEAIHRMPICENGGEDRMVWRASKNGEYSVKSGYFMLKNAEDRQSGSKASSSHQISDELWKKIWAWKVPRKVKNFCWRLCRGALAVQLFLWKRKIAGCVVWV >OMP06867 pep supercontig:CCACVL1_1.0:contig04775:2402:5364:-1 gene:CCACVL1_01420 transcript:OMP06867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSETRLDYGFSGYQVPVFPRASRSARVRVPSRKKCGNNQKHAFEILASVAGELLQESRYFVPPDCKEDQHGTPEITVVKDQEDERQLLNCSHSDQETYDDKTSLQRQIHASNKFSNVQDQSNLKSSSTLKNLNLSKNVCLADQSAKVDDETASSSATVGISSPESGEFFEGQVEDARAEFSIIQSVDTLPVTGGLEMLETDHKSPAFFCSESKLDASLFKDWITLGPSRYSNTVETVSRDDDDEHFIGCTQAGTALKAFRLPSDLADQRVNNLSASRHWRVSPNLNGRTSFKNDGRRRSSFHTGRTNYTRQRSQRISPFKKRKFFNQCSFTAPGRVFQFEDKFSSADKRSNGDKCNAAVEVASSVASPHLPPGSRDRNVKLRIKSFKVPELFVEIPTTATVGSLKRTVMEAVTTVLGDGLHVGIFLQGKKVRDDSKTLFQTGISQDHKHHHLGFTLEPKHTQIKPSQCSGEQGLTRHGTSLTLDPGTSSAFLVPPGTDCASGAESDLQVVSSLSNISADVANPQPLVSIPAISVEALAVVPFHHRPVHREFVQRRIRRPFSVSEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAAHAYWSQQQ >OMP06868 pep supercontig:CCACVL1_1.0:contig04775:7749:11093:-1 gene:CCACVL1_01421 transcript:OMP06868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein MAPNDPKQSGGFFASIANSLSNFGSAMTKSVNGLVGYEGLEVVNPEGGTEDAEEEASKGRWKQEDRDSYWKMMQKYIGSDVTSMVTLPVIIFEPMSMLQKMAELMEYSYLLDMADQCEDPYMRMVYSTSWAISVYYGYQRTWKPFNPILGETYEMVNHGGITFIAEQVSHHPPMSAAHAENEHFTYDISSKVKTKFLGNSIDIYPLGRTRVTLKRDGAVLELVPPLTKVNNLIFGRTWVDSPGEMIMTNLTTGDKAVLYFQPCGWFGAGRYEIDGYVYNAAEEPKILMTGKWNESMSYQPCDSEGEPLPGTELKESWRVAEAPKNDKFQYTHFAHKINSFDTAPKKLLASDSRLRPDRYALEQGDLSKAGYEKSSLEERQRAEKKNREAKGHKFTPKWFDFTNDVATTPWGELEVYQYNGKYTEHRAAMDSSSSSEDIDVKSIEFNPWQYEDLAAN >OMP06869 pep supercontig:CCACVL1_1.0:contig04775:14957:17210:1 gene:CCACVL1_01422 transcript:OMP06869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S54, rhomboid MATADPSPHIPTRANSRRSGNVIHPVDVETPPVRTPSPIVYREVKHFKKWVPWLIPAFVVANTVMFIITMYENDCPKKSVSCIAKFLGRFSFQPFKENPLLGPSSATLQKMGALDVKKVVDGHQGWRLITCNWLHGGVFHLLANMLSLLVIGIRLEREFGFILVGLLYVIAGFGGSLMSALFIQSNISVGASGALFGLLGAMLSELITNWTMYANKVAAFVTLVVIIAINLAVGILPHVDNFAHIGGFLTGFLLGFVFLIRPQFGWVSQRYAPPGYPASTRSKFKTYQCVLWVVSLILVIVGLTLGLVMLLRGVDANDHCSWCHYLSCVPTSSWSCNTQPAYCSVTKLNTSPLYALTHINLS >OMP06866 pep supercontig:CCACVL1_1.0:contig04775:419:1386:-1 gene:CCACVL1_01419 transcript:OMP06866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQPTNTKGKSSAPNEGRAILVILPYKIQQTESAESDDCLACPISSNLASLTDTW >OMO65975 pep supercontig:CCACVL1_1.0:contig12598:17536:20142:1 gene:CCACVL1_21325 transcript:OMO65975 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MTIKNKYPLPRIDDLFDQLRGAGVFSKIDLRSSYHQLKIRPGDIEKTAFRTRYGHYEFLVMFFALTNAPAAFMDLMNRIFHQYLDKFVIVFIDDILVYSANEHEHAQHLHIVLQTLREHRLYAKLSKCEFWLTQVAFLGHIISKDGICVDPAKIEAILNWESPRTVTEIRSFLGLAGYYRRFVEGFSLIAAPLTRLTRKGVPFEWDDKCEKSFQELKQRLVSAPILTLPTSGRGYVVYSNASQEDLGCVLMQDDKVIAYAYRQLKKHEENYPTHDLELAVVVFALKIWRHYLYGAQRQVFTDHKSLKYLMTQKELNLRQRRWLELIKDYDLVIDYHPGKTNVVTDALSRKSSTTMARIKSYLPQLVGVCSMGANLIGGYQGTLLARFEVRPTLVDQIKELQEVDEKLSAELEKLYLGVPSEYSLRDDGVLQKFGRVCAPDNEELKRAVLEEAHSSAYALHPGITKMYRTIRESYWWPGMKKDIAEFVSRCLVCQQVKAEHQKPAGTLQPLPIPEWKWEHITMDFIVGLPRIRRGHDAIWVIVDRLTKSAHFLLVRITFSTERLARLYVAEIVRLHGVPVSIVLDRDPRFTSRFWPKLQHALGTRLKFSTAFHPQTDGQFERIIQTLEDMLRACVLEFHGSWADHVALAEFAYNNSYQASIGMAPYEALYGRKCRTPVCWDEVGERKLLNIELIDDMVEKVKMIRNRLKIAQDRQKSYADHRRRDLEFEVGDAVFLKVSPWKGVIRFCKGGKLAPRYIGPFEIVERIGPVAYRLNLPSELGRIHDVFHVSMLRKYVLDPSHVLQALPVELDEKLNSEVQPVGILDRQMTNLRNKQVPIVKVLWRSQTVEEMTWEPEEAMRKQYPHLFGY >OMO65974 pep supercontig:CCACVL1_1.0:contig12598:1094:9866:-1 gene:CCACVL1_21324 transcript:OMO65974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPNQRNRLKPSELGLDCGFVVQLIPSVITDGSNRGGEGDGIEAVGEDGDEGRVWRRGSEGDEDEGTEGEAVIVFLILKETSAILAYVVARPFKTTNGERRLLK >OMP05277 pep supercontig:CCACVL1_1.0:contig05459:7999:12676:-1 gene:CCACVL1_01975 transcript:OMP05277 gene_biotype:protein_coding transcript_biotype:protein_coding description:RIO kinase MKLDVDVLRYLSKDDFRVLTAVEMGMRNVYDGYRLTYLGYDFLAIKTIVNRGVFTAVGRQIGVGKESDIFEVASEDGTVMAMKLHRLGRTSFRAVKTKRDYLRHRSSYNWLYLSRLAALKEYAFMKALEEHGFPVPHAVDCNRHCVVMSLIQGYPLVQVKQLQNPETVFETIIGLIVRLAEHGLIHCDFNEFNIMIDDEEKVTMIDFPQMVSVSHRNAQMYFDRDIECVFKFFGKRFNLSFEKQSDDIDGSEIEADESGRPSFSSITKTAGFLDKELAASGFTRKDQDDIEKFIEGDDAEDEASDDENESELCQTNIKCVDSLHLDQEEPTSSCKEEGQVDENQQSLETGQSGDPESQNSSNEEGDDQSADENDAELMKSLAKQRRRAMAAACKGRRNIASRNINKDKGGKSSHNAKIQKQLSSW >OMP05276 pep supercontig:CCACVL1_1.0:contig05459:1717:7112:1 gene:CCACVL1_01974 transcript:OMP05276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGRVRFFCGQSGGEEEEAGTPLSLRDHDVAKEGSQYSLSVNYGVLPSLGPQRSNRKVKLRRFIISPFDRRYRSWDTFLVFLVFYTAWVSPFEFGFLTRPESPLSITDNVVNACFAIDIILTFFVAYIDKTSYLLVDEPGKIAWRYGRSWLIFDVISIIPAEVVRAILPSSVPSYGLLTLLRLWRLRRVSQMFSRLEKDTNYSYFWVRCLKLLCVTLFAGHFAGCIYYYMASHYHNPERTWMNLYTDNWKQLSLTDRYIVSFYWSMVTLTTCGYGDLHPTNTEEMMFVIFYLLFNVGLQAYLLGNMTNLIVHEASRTRKFRDGMNAAANFAHRNQLPVRLQDQMLAHLSLRHRTDLEGVQQQEIIDALPKAIRSSISHFLFYSLLDQVYLFRGVSNDLLFQLVTEMKPEYYPPNEDVILRNEGPTDMYILATGSVELIVQRNGSEQIIGEANTGDVVGEIGVLCYRPQLFTVRTKRLSQLLRLSRNEFLNIVQANVGDGTIIMNNLLQHLKESTDPLMEEILHETEHMLARGRMVLPLSLCFAAVRGDDLLLQQLLRRGSDPNEQDNNGRTALHIAASIGSHHCVVVLLEFGANPNIRDNEGNVPLWDALMGKHESVIKLLVDNGAILSSGDVGQFACYAVEQNNLELLKAIVKYGGDVRQPKSNGTCAIHAAICEGNVEIVKFLLEEGADIDAKDAHGWTARDMADQQGHEEILALLQNAQEVKKLSSVITIPMTRVSSHGKALAKYSSEPTMFSYTSEVVPPVPDMENRHRRKADSFHNSLFGIISAASCGTYASEFSLLISHPQLLFISLPSYQRDRGSPLAAGYPAFRDINNYSPRVTISCPQRNQVSSKLIPLPKSIEELLDIGAQKFGLPLTRVLTEEGAEIDDIELIRDGYRLILVNAEYASTQIFEGYSQSATPKI >OMP05278 pep supercontig:CCACVL1_1.0:contig05459:14026:14502:-1 gene:CCACVL1_01976 transcript:OMP05278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MGRTTTVLVRGSNQLVIDEAERSLHDALCVVRCLVNKRFLIAGGGAPEIELSRQLGAWSKVLHGMEGYCVRSFAEALEVIPYTLAENAGLNPIAIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVNVR >OMO88637 pep supercontig:CCACVL1_1.0:contig08978:18640:19385:1 gene:CCACVL1_08291 transcript:OMO88637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKKEDNTIVASSSQQLECCMCGDSGLVHELFQCQVCQFRSQHRYCSNLYPKAESYKVCNWCLNQKEDSNSKDQKSQNSCNSSASCKGNSEGDSSKNNNIKKKRSDQNIVIKKSGSVSPGSSRGSQIHQQIRNHSNNLKLQVEIKKPKSPPQKSPSTPRKRIITNARLEEKLRRTKSEEISNSGLITRHVFRNKVRRYKLLDEVSS >OMO88636 pep supercontig:CCACVL1_1.0:contig08978:5363:14633:1 gene:CCACVL1_08290 transcript:OMO88636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEWPFHDGNVHNLIRSKHIDIRHDVDHSLQMHSSLVRRLSLEQELEGHQGCVNAVAWNSSGSLLISGSDDARINIWSYSRRKLLHSIETGHSANIFCTKFVPETSDELVVSGAGDAEVRLFNLSRLSGKGLDDCAITPSALYQCHTRRVKKLAVEVGNPNVIWSASEDGTLRQHDFREGTSCPPAGSSHQECRNILLDLRCGAKKSLADPPRHTLALKSCDISSTRPHLLLVGGNDAFARLYDRRMLPPLTSCRKRMSPPTCVNYFCPMHLSDRGRSSLHLTHVTFSPNGEEVLLSYSAEHVYLFDVNHASGSLMQYTSVDASKMMTFSPVVNGVEHEAPVSSVIQNGPHRRINTAAWLQDEKCRMMLEIAKRSLAKGTDIFQAIEACNEILDGHGSDIGPTLRHECFCTRAALLLKRKWKNDAHMTIRDCHSARRIDSASFRAHYYMAEALEQLGKHKEALDFAIAAHTLSPSDTMSLAAEKVESIRKHIAAAEAEKNNKASDGTTRPEPRTGRVLSLSDILYRSEANSDASQDGPRSEREDSDYDEELELDFETSISGDEGRDVDSNILHGSLNLRIHRRGDSTRENGANGSYGSPSSSSQNDRAAYQPEAVIDMKRRYIGHCNVGTDIKQASFLGQRGEYVASGSDDGRWFIWEKQTGRLIKMLLGDEAVVNCVQCHPFDCFVATSGIDNTIKASRPETANVLEAMENNQRKLCRNREPILPFELLERFRMHEFSEGSLHPFECAQS >OMO75583 pep supercontig:CCACVL1_1.0:contig11025:18438:19698:1 gene:CCACVL1_16126 transcript:OMO75583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQFPMRAQSVGSSAFYAERVTEEPQPQTNHKNAQTTVTLVYQTNIIGYWRTVTILWCKNLMNYSLSVIINSMEGDSQYSCKIDLKPWHFWSKKGYKSFDVDGNPVDVYWDLRSAKFTTGPQPGSDYYVALVADEEVVLLLGDYKKKAYKRTKSRPALVEPLLFYKKENVFAKKSFATRAKFDERRKEHEIVVESSTNGLKDPEMWISMDGLVLVHIKNLQWKFRGNQTVVVDKQPVQVLWDVHDWLFASPGTGHGLFIFKPIAAESESDIEGSGHGADSDTSTGSRYDL >OMO75584 pep supercontig:CCACVL1_1.0:contig11025:20529:23265:-1 gene:CCACVL1_16127 transcript:OMO75584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein, Got1/SFT2-like protein MKNTAQSWFLGGPSSSGVDQGKSPSLLADWNAYAAAQDSDSSALGFDLEAAVRNTSDKVSGTFNVFSKGVRDLPGSFKSATSNVPSGKSLMYFSLLLAAGVFFIFIAFTMFLPVIVLVPQKFAICFTIGCALIVGSFFALKGPRNQLVHMSSKERLPFTLGFVGSMVGTIYVSMWLHSYVLSVLFSVLQVVSLSYYAVSYFPGGSSGLKFLSASLASSLLRCFRR >OMO75585 pep supercontig:CCACVL1_1.0:contig11025:40809:49327:1 gene:CCACVL1_16128 transcript:OMO75585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLNHLEPPILSHAINAVLAKIADAEHIASTVPTIIAPFLVPASKLKLEGGYLTTNSSKLPIYGIQVGPETDVSRAIAAKTQKPPPPLQIHYEPLACFLQLARAANLPTFIVVGQRSQGPFNPASSDDIEISPLSMASKLPDEPPCNTQETDEETVAFRKKRSRRVSFADREITSVHIFCRDEDNETPPESTPNSDAERGKELLSIFSDLGDRYSDESNGGDDDDAEDDDVLSARRSFLRPIESPSPGGSSTVGSATSNDEDNFFGPVSANFIRPGRLSDSAASDDHHDITMDSTAFSMHFRSIVRSESGDLTTSTGVRLASEEKTPCQVTMPSDPENLMVLTKVKKIKSPSPVPINKFSGGRDSNHMSLVGESLHRYDYGRLSPTLEALLAEGSKELDAIPTSESTSPKLSRHVLAVSHDDENDCMQNLGYRNSELCNIIKHDTSGKSVCIAQNKLVETIGDSTTTLIDRIIMRDCSPNPNDGAVAEHLDYHPIHALNKLNKGNKTISEVMNGTSMLNCELLAVTNGTPLSQSSKVVQADSFEHFENENQPPTRDGLKEKPSHDKMHTCDVGHASNQPHASNQSPLSRSIDSLSAKRQQIPLDTTNSPRRKLFVTPSPKQLGSVLSKGSTKQGGSAQSILKSNSKLKILEPLPLASAFIDGMVEPELRLPESLSSKASPSNTVMGELSESLQGQQANVPTVNLEVQLSGIDLKEGKVDCNGLGTVKSISTLTQDGGTTGIGNDKEYSEKSTQMATITSPSKFTHSGKKLMHHLLKSVDPVDETQAASAFNSSPTFEIGKYKRDTGTADKVVSPVVNRLNQKLLSPAEYQGSLSAEKGIHSGSVLVKINSLVDSSIAKRVDDRQSNGRDLQNTLETLRNFHDGVALKLPAGSPEKNIMTETEPNKSSDSVIVEQLKVSSAYASPDAHKRSKSGRSPRRSSSKKKQAQSFSKKKQAQSPSLEEPSWSSFQEEVHGDNIPLTVVKDVASLDCSSTVQMIYDHLQRSAQNPTPIRDIQSSSKRKRTSENVALAYTHHADKFSIQRSPKFHKVEENNAMEHMLEHSSGSDEGNARIDGGRAVMNWSDISLKLSADTNQLLTPTFDNLNIKLINMLEDRLVHKQKVNMCELLCSEIKSRLCSTYDKSSNIRCKRVAETRPLLFRVVYEKAKLQLMHVKRERLMKQVELLRTRVQESQMLKFNCVKRPTVSAERDAQLDDNSHSVKFAGNIKGASDKVTTMKYEVESLEKKIKNLTKSFHIHCKLKGEPGSPETIELVNDHLKKKACCRYIRQDMQSWEVDDLRNMNGHHNVLLNYHGFINQRLLLNTSPNSSIIVANKLNDTNISKNFPNMDACSAFAFVFNHESTKKYVGPKSLAQETQV >OMO98581 pep supercontig:CCACVL1_1.0:contig07085:11675:17803:1 gene:CCACVL1_04180 transcript:OMO98581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKQAAHMARHHCVLILVGVKAVYHFNQSVVGTARSLSFKQISRKMGVLLWLFPVIFAATLLSSGSFALTQDGLTLLEVKSTLNDTKNYLGNWLPTDDSPCGWTGISCYPNDQRVRSINLPYMQLGGTISPSIGKLSGLQRLAMHQNSLHGLIPNEIANCSELRALYLRANYLQGGIPSNIGNLSFLTILDLSSNSLKGAIPSSIGRLTRLHYLNLSTNFFSGEIPDIGVLSTFENKSFIGNLDLCGRQVHKPCRTSLGFPAVLPHAESDEVSGKFMFPTKRSSHYIKGVLIGAMSTMALVLVVLLAFLWICLLSKKERAAKRYTEVKKQVQQQDISAKLITFHGDLPYPSCEIIEKLESLDEEDVVGSGGFGTVYRMVMNDCGTFAVKRIDRSREGSDQVFERELEILGSIKHINLVNLRGYCRLPASKLLIYDYLAMGSLDDFLHEHKEEERRLNWSARLKVALGSARGLAYLHHDCCPKIVHRDIKSSNILLDENLEPHVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLELVTGKRPTDPKFVKRGLNVVGWMNTLLSENRLEDVVDKRCSDSELETVEAILEIAAKCTDANPEDRPTMSQVLQLLEQEVMSPCPSDFYESHSDHC >OMP00660 pep supercontig:CCACVL1_1.0:contig06644:1269:1364:-1 gene:CCACVL1_03321 transcript:OMP00660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKTIQNNTKGPRKARNNNCSE >OMP12264 pep supercontig:CCACVL1_1.0:contig00209:111:200:1 gene:CCACVL1_00060 transcript:OMP12264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSQRKRRPTDGEKRIEEREGRRERIKRRC >OMO96494 pep supercontig:CCACVL1_1.0:contig07462:26749:27879:-1 gene:CCACVL1_04931 transcript:OMO96494 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MMTLMMFGAVQLGVAAACVVILVPMGMAGWHLSRNKMLFFSGALFITLAVCVHLTPYFPAVSDFVTSVSSVVVFDHRISCINMVNDITWDLKRNASFHGDIKKNSSLSLEFYEKHWDWTKSPKIEACDFQKLSNYDASNLLNGSWVVVAGDSQARLFTLSLLNLILGSESDRMQSIRGDLFKRHSDYSILLDEIGMKLDFVWAPYVVNLTNLMMNYKTKKSYPDVMVMGAGLWHMLHVTNASDYEFALRVLKSSVVSLLPFAPELGMNGPVTGSVSIKSPHMFWLGMPVLINGMLNTGEKRAKMSDAMWHAYDRALGDSKILRQLGGPLVLLDIQTLTWNCGPQCTDDGMHYDGAIYEAAAQIMLNALLIESHQRL >OMO96493 pep supercontig:CCACVL1_1.0:contig07462:21741:25284:1 gene:CCACVL1_04930 transcript:OMO96493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKEKKKLIPIMNILEARVERPSANKKMTHGDSAIMTNNCSFQKAFRYVTATTTKAFSPSPPTPTSYTNLNSHFPLAFLFVSVVAAASNLLPVASRTRHLLCSIGSKGLILITNVAGAGDIAAVKIKGSKTGWLPMGRNWGQNWHINSDLTKQPLSFEVTSSDGLTVTSYNVAPKDWKFGQTFEGKQFES >OMO96492 pep supercontig:CCACVL1_1.0:contig07462:13579:14149:-1 gene:CCACVL1_04929 transcript:OMO96492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRQADSEFGGFAFLVLFLIAIVSCWMAYLSFSVAFKRNSDTISVSSSERLRSDEEEKEDGSGCCRGIEHLELWGDAVKWGSEFKVNSSEECCKACKEMCNGDDGPYLCDSWVFCGNREACGSRFGECWLKKQNDALNPDWRDW >OMO78319 pep supercontig:CCACVL1_1.0:contig10601:1095:1448:-1 gene:CCACVL1_14490 transcript:OMO78319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSGKPQVEGGGLESEGAKKWVIAGIPLRAPLKPLYTNPSMEEKDKGIIISDEEISDECSSTTPTAEDARIPTILSCPPAPRKRKPSLKCNYGSVREFFTPPDLETVFIRHVERAN >OMO78320 pep supercontig:CCACVL1_1.0:contig10601:4891:9196:-1 gene:CCACVL1_14491 transcript:OMO78320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MGIKALDYESLNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLLFPADAIARAKHYLSLTSGGLGAYSDSRGIPGIRKEVAEFIERRDGYPSDPELIFLTDGASKGVMQTLNCIIRGEGDGILVPVPQYPLYSATISLLGGSLVPYYLEETANWGLDINDLRQSVAQARMKGITVRAMVIINPGNPTGQCLSEANLREILTFCYRENLVLLGDEVYQQNVYQDERPFISSRKVLMDMGPPISKEVQLISFHTVSKGYWGECGQRGGYFEMTNIPPQTVDEIYKIASIALSPNVPAQIFMGLMVNPLKPGDISYEQFVRESQGILQSLRRRARIMTDGFNSCKNVVCNFTEGAMYSFPQIRLPPKAIEAAKKAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMSSFKKFNDEFMEQYEDNWSHSRM >OMO78318 pep supercontig:CCACVL1_1.0:contig10601:330:419:1 gene:CCACVL1_14489 transcript:OMO78318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKHFVGICLSQGRVKPSSVQHLRLPYDPI >OMO55808 pep supercontig:CCACVL1_1.0:contig14586:18202:20945:1 gene:CCACVL1_26988 transcript:OMO55808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMITSSSISDQALRNDACVSVFAMSIDPWPYVFVIIALLSVAVVYGFRTYNLITKMLVKT >OMO55806 pep supercontig:CCACVL1_1.0:contig14586:7148:10609:-1 gene:CCACVL1_26986 transcript:OMO55806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICQSLFINFKDSVLIKVSIPQTHSPHQQQQEGESSSSSPRGKKKLKAPLSFYLEDYCSNQEMPECNIDHRSNSDDEDEIRLVGP >OMO55807 pep supercontig:CCACVL1_1.0:contig14586:13111:14146:1 gene:CCACVL1_26987 transcript:OMO55807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNLVLRHPIAALGAEYAGTIAIWCGIGYLSAEALSRTIMGAAKLFRAAKPAENICNNPEIDASFRAYARELEARQKSEMEASLRAYARELEARLKK >OMO55805 pep supercontig:CCACVL1_1.0:contig14586:3705:5794:-1 gene:CCACVL1_26985 transcript:OMO55805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGKNLQVNQRRCWKEFTGKSLRPNFGETYHSRLGVGKINGGMGGVGNGGMGGVGNGRSWKEFTDLELEEINGGMGGVGNGRSWEEFIDLEKINGGVGKNLNLELEKSTAEWEELGMGGVGNGRCWKEFTGKPLIRSTAEWEESGSWKEFTGKTLIRSTAAGWEDGRMRMGGWEEGRMWKELRPFGETYHSWKNQWVYEAPALKFTALRFTETLELGLRRLVPGGECSTFDQLALRAPLTQGIIRRRNSKGFRA >OMP00395 pep supercontig:CCACVL1_1.0:contig06678:14206:14289:-1 gene:CCACVL1_03356 transcript:OMP00395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNQITITLTNFFGLAFGTLILIMSS >OMP00394 pep supercontig:CCACVL1_1.0:contig06678:663:779:-1 gene:CCACVL1_03355 transcript:OMP00394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPATAANPMARLELSIPVKGDEGGKREATHLPGEERD >OMO79135 pep supercontig:CCACVL1_1.0:contig10483:22066:22161:-1 gene:CCACVL1_13889 transcript:OMO79135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICARVTGKGGGGLWRWQKLLGIFRCMQET >OMO79136 pep supercontig:CCACVL1_1.0:contig10483:29110:30547:1 gene:CCACVL1_13890 transcript:OMO79136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPNNKKNHLKHAKAKSKAVDKVSNSSKSVSVTFEPSMVNGPPGFSFKSGSSSKPLTLYPKRLENLIGVSNGPLIISKDPLASNSAAEANSSKMVVVVQPNCEQESTMSKTSDPIALPPPNPPSKGNLEPAIVDSDMTLINDVDTPKMAANEVVDTSCSIMQD >OMO79134 pep supercontig:CCACVL1_1.0:contig10483:5515:5711:-1 gene:CCACVL1_13888 transcript:OMO79134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GHNILMATMLNGAAIMDDALLLIAANESCPQPQTSEHLAAVEIPQNKVDLIKRMWPSTSMNQNLF >OMP10837 pep supercontig:CCACVL1_1.0:contig01875:411:494:1 gene:CCACVL1_00776 transcript:OMP10837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLPIQPGRHEWNSDHNIIDKSKMYSYK >OMP10838 pep supercontig:CCACVL1_1.0:contig01875:1323:1523:-1 gene:CCACVL1_00777 transcript:OMP10838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRSEFGPLRFNTDHSSMTRTFYENQRSEQKEVSIIDSNPLSKSTQRNKGVLMAGTGRIWYFTIK >OMO93678 pep supercontig:CCACVL1_1.0:contig08082:2654:4868:-1 gene:CCACVL1_06390 transcript:OMO93678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSIGHFERKTDLDLPSSGFCRTTGVGNWGRKWDKDGMGY >OMP06756 pep supercontig:CCACVL1_1.0:contig04808:24:967:-1 gene:CCACVL1_01442 transcript:OMP06756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKSLSGTSVASPVVAGVVCLLVSIIPENKRKEILNPASMKQALVEGAAKLAGPNIYEQGAGRVDL >OMP01517 pep supercontig:CCACVL1_1.0:contig06424:2204:2275:-1 gene:CCACVL1_03074 transcript:OMP01517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHWPANMAVRAGATQDEGEASY >OMP11947 pep supercontig:CCACVL1_1.0:contig00670:1221:1322:1 gene:CCACVL1_00202 transcript:OMP11947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHITLWKLDQKQIWEGKPADEKKDVAKKKKGF >OMO90663 pep supercontig:CCACVL1_1.0:contig08449:8537:8632:1 gene:CCACVL1_07322 transcript:OMO90663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QYVADIANRSSPKAKANQPDFDSKISSFPSL >OMO90664 pep supercontig:CCACVL1_1.0:contig08449:9720:9779:-1 gene:CCACVL1_07323 transcript:OMO90664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSSFHFDPGQSRIAIVQAQ >OMO98274 pep supercontig:CCACVL1_1.0:contig07139:16103:23469:-1 gene:CCACVL1_04265 transcript:OMO98274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein MHPFCCVSTVSDHSPVKPLPDHVITMPPPPPATTVTTTSSSAPTSRSNSARSGAQVQCHSNQNHHRSSSVDLNRISQRNGIPSGRDVTTAAGVAAPPQVDVKINDIVGNGISGVLYKWVNYGKGWRPRWFVLQDGVLSYYKLHGPDKIVVSQETEKGSKIIGEESHRLISRHRNSISHHSATRRKPFGEVHLKVSSIRESRSDDKRFSIFTGTKRLHLRAETRDDRVLWMEALQAIKDMFPRMSNSELMAPMDNVVVSTEKLRQRLMQEGVNEVAIQDSEQIMRSEFAALQNQLVLLKQKQWLLIDTLRQLETEKVDLENTVVDESQNKLNDPGASSMIKQDKSSEGSVTDTDDDNERGDAAEEETDEDDHTFFDTRDFLSSSSFKSNGSEFRTSSFSSDDEGLNAAYSEDDIDPSMKSVGSNFPYIKRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYEWGKRGNKLMRILNVAAFAVSGYSSTEGRICKPFNPLLGETYEADFPDKGLRFFSEKVSHHPMIVACHCEGTGWKFWGDSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIEGNQEYSCKLKFKEQSIIDRNPHQVHGIVQDRNGKTVATLFGKWDESMYYVNGDCSAKGKGQDSLSEHHLLWKRSKPPKYPTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRYLENGEFEMANSEKLRLEQRQRQARKMQERGWKPRWFAKDKGSEAYRYMGGYWEAREQGKWDSCPDIFGQIPSDQLLD >OMO98275 pep supercontig:CCACVL1_1.0:contig07139:23786:23872:1 gene:CCACVL1_04266 transcript:OMO98275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGDKFGGVTREREKESGELEITDFGLR >OMO98273 pep supercontig:CCACVL1_1.0:contig07139:14841:14945:1 gene:CCACVL1_04264 transcript:OMO98273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEQLGLGRKGSIFICQKSEYKSPLRHHVKKI >OMP06728 pep supercontig:CCACVL1_1.0:contig04823:550:648:1 gene:CCACVL1_01453 transcript:OMP06728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GQGVKARPLGPNNFHVETTNDDRSRSPTAYLRH >OMO64628 pep supercontig:CCACVL1_1.0:contig12767:9542:9727:-1 gene:CCACVL1_21630 transcript:OMO64628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QRHFLTHRSELLTVGLSSSFAFPIERGTFLFPTMNHLKHRKDHPFPFLSFFLKPAITGTEP >OMO68616 pep supercontig:CCACVL1_1.0:contig12203:19:2799:1 gene:CCACVL1_19885 transcript:OMO68616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRIVDHGHPRGGGKVLNENGNRLALRDIGNLDTLQLIEEKPPLKINRPITRGFRAKLLANAQPAGANKNSVVATVGDGLPVNGKGCNVKKVAKAKKLSEKPDTATIINISSDEQEEKAKKKGGRKTSDEPKPEGAVLISSNDDGNVNPVSEGSSNVRCSRKNVETLTSILTARSKAACGVRTNELKDQNLNIDEGDVNNELAVVEYVDDLYKFYKSTENDARVQDYFCSQQHITIGMRKILVDWLIQAHNSFQLMPETLYLTINILDRYLSRKAVSRDKLQLVGLGSLLIACKYEEIWPPQVADLVSISDYAFVEKQILAMEKAILENLEWYLTVPTPYVFLIRYIKASVSLSSDLENMVFFLAELGIEHFDTVVLYSPSVLAAAAVYAARCTLNRTPFWTATLKHHTGYSEEQLMSCAKLLVAFHQNAAKGKLKGIYSKFVSSSRGSVALLTPAKALLAST >OMO68617 pep supercontig:CCACVL1_1.0:contig12203:3272:10589:-1 gene:CCACVL1_19886 transcript:OMO68617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIYLVSVTKLGCLTVHDFETLYCHSNESLPGLNEDESKHLLHLSLQQQLDVVRWNLANQDEVACTSVKSNEVMIFDVGLISSKPVEVLRTRRTLSVLGSEVSKGISDIAFTATDDSRLIASDTNGVVNIWDRRKSVLPCLELITGSRSTLNTIQPNVENQTIFGASKDGTIYMWDLRGGRTSAAFQCHNEAGHLPLLSLKLATMLAKIGSLKAQSDIVPNEIHSINLDPSCPYQLAFHLDDGWSGVLDIYNLRVTHVHCPPPAWLNGTSISADLLYLRKPSWLPTSSIYVVGSSFDSGIHILDFYPDTSSPSHVDYKEDLQSLSEMNYQRKQNIFLPLSEGVTACATHPLNGTIIAGTKF >OMO67990 pep supercontig:CCACVL1_1.0:contig12325:3044:3232:1 gene:CCACVL1_20148 transcript:OMO67990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGLNSSSLFPAHKRDTRFRFQFRHHPKTNEKSSHPYLAKWICMFNGSHFPVRNDDVDKQECP >OMO59176 pep supercontig:CCACVL1_1.0:contig14040:8281:21661:1 gene:CCACVL1_25024 transcript:OMO59176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALKGLDFQLAAWHPANSASLAQVRDGRFIRGFLSISEKKGMESSVESKGSQG >OMO59175 pep supercontig:CCACVL1_1.0:contig14040:2367:2624:1 gene:CCACVL1_25023 transcript:OMO59175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type TVPGYDVAGVVVKVGSQVTLFKEGDEVYGNINEKGLDHPKRYGTLAKEKLLAPKPKNLSFVQAASIPIAIGTANYEGLEKTALCV >OMO54591 pep supercontig:CCACVL1_1.0:contig14949:3778:4057:1 gene:CCACVL1_27724 transcript:OMO54591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QAQSKMQDKPSISNNCMFAFASIGGVIDHKINNEGGPYVFRLCGANNHLIGRLLPSSGARPKFAQLYIYDTSNEVQNWLGTMRSNITTNESHD >OMO54592 pep supercontig:CCACVL1_1.0:contig14949:20175:20755:1 gene:CCACVL1_27725 transcript:OMO54592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEYALCEMGRMKFDAGLAIAGFDL >OMO54593 pep supercontig:CCACVL1_1.0:contig14949:21191:21686:1 gene:CCACVL1_27726 transcript:OMO54593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPIFTLCDPASCLNGEPLDDLGAGQPILSNRALGLR >OMP02569 pep supercontig:CCACVL1_1.0:contig06224:12619:14705:1 gene:CCACVL1_02758 transcript:OMP02569 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine--tRNA ligase 2, chloroplastic/mitochondrial-like protein MQDLRLVQNWLMFTVLHPYQFVELLKVQILVEKNTNMDLKHALELAADVQPIKVDATTIEDVNKGISREVIRSTLAECSNLPCLAAKTAQKMDDAVFETNEEKAPWETFLSVKNKVHPGIEIDDFIEISADLVQPLEDFFNVYL >OMP02567 pep supercontig:CCACVL1_1.0:contig06224:2564:2911:-1 gene:CCACVL1_02756 transcript:OMP02567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFAWDLDFKKIGSEPTLRRSRREVKKTPLWGLWKDEEDQTPMEDSKKGIDSDNLYLLADICVGFHKRTPTRPRSRLETKKNPLWGSKRKSGKILMFSSVAGQWHLQICKVNLS >OMP02568 pep supercontig:CCACVL1_1.0:contig06224:6762:6890:-1 gene:CCACVL1_02757 transcript:OMP02568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSQEVHFRQTPKQFAANRDAARKKQQSKTPNTRLGTASKQN >OMP06825 pep supercontig:CCACVL1_1.0:contig04794:1240:1668:-1 gene:CCACVL1_01436 transcript:OMP06825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MQNAQKVLNLFGGTREKCVGCNKTVYPIEKVTVNGTPYHKSCFKCTHGGCTISPSNYIAHEGKLYCKHHHIQLFKEKGNYSQLENDREKAAMTENLASMEIAAES >OMP07839 pep supercontig:CCACVL1_1.0:contig04168:5999:8400:1 gene:CCACVL1_01208 transcript:OMP07839 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAELPETSRRSSNVSSGDISIEISGDDSNATNSSGCSTDVPVDFDIEIVGEDDSSSEASGVTDRSSAGQNMHSETSTSAIDTGSKLPKSRGRRHGSKLALYAARFLDEKVPFRKKIKFLNQVANVKHDGTVQFDVPIDMKPHALDFGSPVVYDEVPEKEHINSADVPELPPPLQIVMLIVGTRGDVQPFVAIGKRLQ >OMP07838 pep supercontig:CCACVL1_1.0:contig04168:545:2028:1 gene:CCACVL1_01207 transcript:OMP07838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRAFASEIYSRYDFPPGFVFGASTSAYQREEDLSI >OMO58917 pep supercontig:CCACVL1_1.0:contig14087:98387:98812:1 gene:CCACVL1_25252 transcript:OMO58917 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH dehydrogenase MVLQPVPSSGEGIVASYTRNFPSNIFIVVPSGDAMVHTEDVFIHPPSSLSSSSEENGVLEVEGGYVVQEAEDGMNGFLDNFEGHSANDYEEVVPSMAVLLLNEF >OMO58910 pep supercontig:CCACVL1_1.0:contig14087:33928:34104:1 gene:CCACVL1_25245 transcript:OMO58910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKARRCGLKQKCNKMQGLQPRMGFFSFEVEWWYPKDIPCRLHYYMNIMPLLLAAMQE >OMO58915 pep supercontig:CCACVL1_1.0:contig14087:78110:80473:1 gene:CCACVL1_25250 transcript:OMO58915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSLDMSLEDIIRNKGRSEGHSRDSRRNPRGSASSSGSGPGPDRRGPTRNPLRSNPYPVAPMQMHMPVQPAWPGQLVPSGGSEMGSKLLVSNLDYGVSNEDIKVLFSEVGNLKRCSINYDKSGRSKGTAEVVFYNHMDAVAAIKRYNNVQLDGKPMTIELVGASLVMSAPVPAPPAKSGFVRKPNVVPPRSDQQRNGGWQRVHGGSGGGPNGAGAGAGRGSVKGRGQGGGRGQKLSAEDLDAELDNYHLEATQIK >OMO58923 pep supercontig:CCACVL1_1.0:contig14087:133347:134919:-1 gene:CCACVL1_25258 transcript:OMO58923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MANAASGMAVHDDCKLKFLELKAKRTYRFIVFKIEEKQKQVIVEKLGEPTQSYEDFTASLPADECRYAVYDFDFVTAENCQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIRSRAN >OMO58918 pep supercontig:CCACVL1_1.0:contig14087:99863:109010:1 gene:CCACVL1_25253 transcript:OMO58918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENTNFFLQGLRVVRTEDIFIHLPSTSSLSTLQPVSSSDEGIVASYAGNFPSNIFTGLSDDFPETITNGGYTDAFPKPHFVVPVYKATVRGSHRNGFVVKYHLESLLSTGQPSPVVVQPVSSSGEDIVASYASNFPFDIFIGRQSTDYLTVHCILLVYAAQYEGGLAEGYVLTYILNRVVPSTDVVVRTVDPLFQPPPSSSSSSKKEDEIVLKVVGGYVVQEPHEDGMNGFVNNFQGRNVNEEEDWVPLVAVLPNGVVPSADVVVRTVDPLFQLPPSSSSSSSSKKKEEIVLKVVGLSDDFPETITNGGYLNAFPKPYFVVLVYKATVRGNHRNGFMVKYHLESLLPTEQPSSVVVQPVSSSGEDIVASYAGNFPSVIFIGHQSTDYPAVHCILPVYAAQYEGSLAEDYVLTYILNRVVPSADAVVRTVYSLFQPPLSSSSSSKKKEEIVLKVVGGYVVQEPQEDGMNGFVNNFQGLNVNEEEDWVPPVLVLPIGLYENFTGLVSSFVQGGENIYIIIKRSYKPVAPLNQTDHVPPNDQPVYFKNPLLVCISALSLSQANQSSDQHVSRPNAVTPQNTRVGSCKRCFPDLVSVSQPTSNQATTLTRRSNNQPVCNLPAINSQDAKANRERKHQLSSLAEFNPPPSTMPSQSPAQDYHANRQKRFLSMNAKRATARRMLDFSTAGGPTISLGAYAKLLDINSYSPSPPASHAMSSRQHLGSMAYVSTSHLFEDTNPSNGFSHINGQSQLPVRIDEYVDESYIDGRWRRVQPPSPPKRPPVDVKDTPTAVVFKVDVPGFKKEELAAIVQGGNIIRLIGQKNDDVSAAAAAAGVATNKMHRREREDLGKFSRSFRLLRYTVNPNGGRAKLEDGVLTVILPKEVGKGDVTVIPVSD >OMO58919 pep supercontig:CCACVL1_1.0:contig14087:112040:121918:-1 gene:CCACVL1_25254 transcript:OMO58919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTFSPGRSPGSSRLQLGAASGVSRLRSSSLKKPPEPLRRAVADCLSSSSSSSSSSPAAVTGGVSSYHHGSPSLVLSEAFRTLRDYLAAPSTTDLAYIVILEHTIAERERSPAVVGRCVALLKRYLLRYKPSEETLLQIDRFCLNIIAECDISPNRRLSPWSRSLNQQSGSSSTSTSSTSASPSLPVSSFSSVALVKSLNYVRSLVAQHIPKRSFQPAAFAGATLASRQSLPTLSSLLSRSFNSQLCPVNGAESSEKKDASTISVSNLSNIEEADGIENPEYIAHDVLKWRWLRDHPSSLIFSESDRSVNVQDMRTHNFLEVGAAALLVGDMEAKMKGQPWKYFGTADMPYLDQLLQPSSVTTIANCASARSHLRAITALKRSKGGPHQIWDDSPASTFRPRARPLFQYRHYSEQQPLRLNPAEVCEVIAAVCSETSSTNVNTMTVSSRLSNNSGKPSMDVAVSVLIKLVIDMYVLDSGTAAPLTLSMLEEMLSSPRAGCRVRAFDLILNLAVHAHLLEPMIIDDNSAIEEEYSQELLLNSEDPLAAQGMRKLDSGKKMGASSAIDKFESWILNILYEILLLLVQTEEKEESVWASALSCFLYFVCDRGKIRRNRLKGLDVRVVKALIETSRINSWAEIVHCKLICILTNMFYEVPDESTADVQSTASFLVDQVDLIGGVEFIFTEYSLSTSREERKHLYLVLFDYVVHQINETCISTGVSEYSDDEIQPIAALLALADAPEAFYISVKLGVEGIGELLRRSISAALSRYPNSERLNTLLENITEKLDATVSSFTHLDREFLQLKQITKSYKLMDSMEDSSLRNGVVMKAKLAWAILHSLLHSDRLSYRQNGYIWLGDLLIAEISESRNGSIWSNVKSLQNKISYAGVHDSSDPSDVPLSIWLVCGLLKSKKSYIRWGFLFILERLLMRCKFLLDESEMQRPDNSDVDPDRRDTRLEKANAVIDIMSSALSLVAQINETDRMNILKMCDILFSQLCLKVPSSTVMPQTKVFTRTDQIRKTCNADRVSQQASCRWDEQMEETDSKSSHSISSLPLRETASMAAFLLQGQAIVPMQLVARVPAALFYWPLIQLAGAATDNIGQGIAVGSKGRGNLPGATSDIRATLLLLLIGKCTADPTAFQEVGGEEFFRELLDDTDSRVAYYSSAFLLKA >OMO58925 pep supercontig:CCACVL1_1.0:contig14087:145023:151205:1 gene:CCACVL1_25260 transcript:OMO58925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDHVWQPLCSIPTTLICLTSKSRTRTPLSHITVTSLLASPPPNTAFKVGNPMVGLEILQVCNKKENTERF >OMO58927 pep supercontig:CCACVL1_1.0:contig14087:173538:175992:-1 gene:CCACVL1_25262 transcript:OMO58927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNALLTPVSSQLFNIGNNEVQQMPYLDVLLQMESKGIFNNDNQLRDGAFAIPYQSHFNSDNLQDYHQSGSSNYDNNFQQSISLSSKIALPQFEPINIQNLQNGRKRRPVEANDQMPFHGTKNKRKKVSSFEQQQQPYSIPEAVTDFNECRLHMPVRRTQKLSDKITALQKLVSPYGKTDTASVLQEASLYIKLLQEQIQNLFKMLSSSYSSLRAIHPQQEIGKKQQDLRSKGLCLVPISFTQKVTKDDQIDSNNSISRRTIIPGKF >OMO58926 pep supercontig:CCACVL1_1.0:contig14087:159430:162351:1 gene:CCACVL1_25261 transcript:OMO58926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESSLDANINTREIRAVPEEDEGNYANWFDVECAIKDILGHEPNLDMSQMVVFMKDDDHVSNKVLRFYNLAKFWPYKDQYEESKIQVAEKLIKQLDQESIPLIESYTRKQVDRFMSRWENSKVFRTLGRSMQLKAKS >OMO58924 pep supercontig:CCACVL1_1.0:contig14087:142868:144082:-1 gene:CCACVL1_25259 transcript:OMO58924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRTKNSQTLGFDHLPLEILFEIIKRLLISSIGRLRCTCKFWNNFFKDSNVVAWHLRNSIQNPGFIYSKGKKKYYLGGEADHANIEEIKPNFAAVSSSHQCPYSYTGSAGGLLAYYSNSPSENRFHICNPITREFVKIPRQNHEFPFQLAWGFAYSSSTKEYMIVRFGYGGVKACITQFGYSTSHPSTIIHKNFYFGGMKPNPIFLGATYSLGSNSWKELSHVPFPPWGLHVDLNGTLFWFIWNCFYEGKEMVMSFNIDTQKFKALPGPPVEIMATSAPMFMNISGKTLGFVDGDLVIGFKIWVLVDQENGVWVNKDKILTMYDGWKAACWRNLWKVHLKSRFVNRHFFCIQHICNLTHIEYGHVVNYSLFIPPSDEDGLSDHLFAIPHAGSLVSPKEIARIK >OMO58913 pep supercontig:CCACVL1_1.0:contig14087:52320:57124:1 gene:CCACVL1_25248 transcript:OMO58913 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MLMGFASMPPKKKNVAATGRSSDVTESSESGDSRSENEPLRTWTNRRGNADNNQPDVISLMQQLVGVVDRQQRFQENRAGYRSGLTDFVKLAPPFKGSSIDPLDAEKWIKEIEKAFAAQAVSDEQKIPFASYQLKGVASDWWQSVENLLEKPILWDVFKREYYKKYFPNSVRLQMQGEFYSLKQGSRTVSGYEMEFSRLMRFAPDSFKNDDVAKAQRFLFRLNPRLQHEVKSFELTTYSDVVNKAKLLEEGHELIATSENKKRPWTGNSNFRGHNVGGGGKKQQTVQTNNRVQQSSGGNCWRCHGNHDPKDCRWLSGACLICGEMGHRAASCSRARPTIGFCYNCGQKGHKSFECPQPKKGASVGQTSTPAAAKNGNQKPKVQGRVYSLTQQDAQASNTVVTGMVLVSSVYALTLFDTGASHLFVSPAFVEKLGVIVEPLDFEFVIDTPTGGDVLVNQVCKSCIVVIEGVSLPADLVVLDMHGFDVILGMGWLDKYYAILDCHRKRIDFRIPDFEEFSFVGSPAKSPPRIVSMLQARRLLKSGCLGFLVSVQNNLDGELPSLNSIPIVQDFSDVFPEDLHGLPPDREVEFSIDLIPGTTPISKTPYRMAPTELKELKEQLQELLDNGFIRPSVSPWGAPVLFVKKNDGSMRLCIDYRELNKVTVRNRYPLPHIDDLFDQLKGAQVFSKIDLRSGYHQLKIKVEDVPKSAFRTRYGHYEFLVMPFGLTNAPAAFMDLMNRVFKDYLDKFVVVFIDDILVYSKSMEEHGEHLRLVLQILREKKLYAKFKKCEFWLDSVAFLGHVVSKDGISVDPEKVKAIVEWSRPTNATEVRSFLGLAGYYRRFVEGFSSIAMPMTKLTRKGAKFEWTKECEKSFKELKERLTSASVLTVPDGSGGFTIYSDASKKGLGCVLMQNGKVVAYASRQLKPYERNYPTHDLELAAVVFALKIWRHYLYGEKCEIFTDHKSLKYIFTQKEINMRQRRWLELLKDYDLTISYHPGKANVVADALSRKNHGNLAALLTSQRSILDDLRRMEIGVRKHGIEGMLASLRIQPMLIERIKEAQLVDSALQKVRANIETGAPSDFRTHDDGSLRFGDRLCVPNDVEIKKVVLDEAHYSGYTVHPGGTKMYRDLKETYWWNNMKREIGELAQCIVCQQVKVEHQRPAGQLQPLPIPEWKWEHITMDFVSGLPRSPRGHESVWVIVDRLTKSAHFIALKVGYSLEKLAALYVREIVRLHGVPVSIVSDRDSRFVAEFWGSLHKALGTKLNFSTAFHPQTDGQSERTIQILEDMLRACAIDMKGAWDDHLPLVEFAYNNSYQASIQMAPYEALYGRKCRSPVCWDEVGERKLLGPEIVQQIVDKIQLIRERLRTAQSRQKSYADIRRRTLEFDVGDHVFLKVSPTKGVMRFGVRGKLSPRADLTYDEQPIKIVDRKEQVLRRRTIPYVKMQWHNHSEREATWELESEIKEKYPELFETNGT >OMO58916 pep supercontig:CCACVL1_1.0:contig14087:82388:85870:1 gene:CCACVL1_25251 transcript:OMO58916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAEIGISVASKIAEYLVAPAMRNLRYAFCFGKIVEDFRKQKEKLAMMQERLQYDVIEAKRQTQDIERDVEEWLTKANAVLDDDVKYLEKEIEANKRCCFTWCPDWSWRFRLSKMVAKKILSIVELQETSKFERVGHFAALAAMGLCPSKDFVPSLSSRLALDAVLEALKEGGVSMVGVYGMGGVGKTTLVKVVGNEAKESKLFDLVVMAVVSRTPDVKHIQGQIADLLSLELKEETLEGRAERLKMRLIKVEKILIILDDVWKELDLAAIGIPYGEYHTGCKIVLTTRRQQVCTCMGTQKMVRLDVLTEHEAWDLFQMNACLNNCTSSAIVEVAVEVAKECRGLPIAIVTLGRALRGGNLNEWKAACRKLKRSRLLDIENVEEEKNAYMCLKLSYDHLRRKETKICFLMCSLFPEDYIIPVEKLVEYAWGINLYKSVHSIEEVRSEVFAAIDNLKASSLLLDFHPSLLLDLKGFIMMHDMVRDVALWISSKEESFFMIHSFSWLKEWPRNTSFEPCTAISLLRSSIESVPEGLVCPNLEILLLSGHDDLRISKAFFDGMKVLKVFALNGGFVEPEAFQSLTNLRTLQLKYCNFKDISSLGNLKKLEILKISGSDIKVLPNGVGELNNLRLLDVSGCAKALRVPPNVIRNLSQLEELYYCHCCAHKGWETKTFPVTNDVIEEANSKSNNASLLELCSLSRLTILSLQVQVCSACFPLDFVLPKLQRFEISINTWHLSGYHTTSKRIRIVAFPLDAFKELFCITEDLYLESVEGAQNLVPSFDGGGLNELSSLQILSCPDMEFLIDQTQPLVPAISLSNLLELSIKEMISLRQLCNGQPPNGFLQKLENLTVGRCNNIISAVPFVQSLKKVTIEECSQLQEVFEIEASHVTLASGLTELKLELLPELRRIWREPTNHVSLQSLKVVEVRKCRKLPYLFSPSLAQSLVQIETLEIHCCYGLEKIINDTESDEISSNTLSKLESVKITDCPRLEYIFPISLAQGLSKLKVLHIADSPRMKQVFGLAKESDRDDDIIPMLPSLQDLNVFKCPQLTSFTLRAQIQSLYVSEVGSYNTELREAVSPRPWQKILKLECLTVGNCEVVFHLQSDSDFLVTNLERVVLIDIPSL >OMO58911 pep supercontig:CCACVL1_1.0:contig14087:37154:37294:1 gene:CCACVL1_25246 transcript:OMO58911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQPTAQVDEDCTQAHPIGVDTGVGGSVTHNARVQGNPHAYGTCS >OMO58912 pep supercontig:CCACVL1_1.0:contig14087:45552:47822:1 gene:CCACVL1_25247 transcript:OMO58912 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MEGYSNDAIPSSANYSANSPNVNEVVNCVNEGAAESVPPPSDPSMSKPPVAPSSKRSRNLTSSVWEHFTQIGGGENRSSCNYCKHELKAGGRSGTTSMRNHLASCPKENSQDIVECLKKQKQLSLTTNNDGKVRFGNFTFDQEVSRKELASSIIMHEYPLSMVDHIGFRKFVSSLQPLFKMVSRNTIKDDVLRIYGSEKERMQIVFDKLKSRIAITTDLWTSNQKKGYMSITAHYIDELWVLQSRVLSFIYMPTPHTMDVLASNLMDALTSWNIETKVSTITVDNCYSNDVVKDGLSIIENSIERIRSSVAYWSASPSRVEKFEDMARQMKIPFSRKLCLDCKTCWNSTFLMLKTTILYKDVFPRLQLRDKNYSHLPSDYDWSMAEIIAEKLEVFYNATKLFSGRNYPTSNCFFVQIGLLRTSLVKWCNDDDLFIKSMATKMFEKFENNSRHSRTSSSSSSKVTVSNVPTSQQEDFSKLVAFLNESSTNSSTVNSELDHYLEEATLPWSQDFDILNWWKTLGIRYPTLQKIAKDILAIPVSTVASESAFSTSGRVVSPHRSRLHPNTLEALMCSQNWLWNSNENEGSPPNLDASSYCLCITEEDVGESN >OMO58920 pep supercontig:CCACVL1_1.0:contig14087:123567:124388:-1 gene:CCACVL1_25255 transcript:OMO58920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MEVCTLEKRGNLFILTLTGEDEHRLNPTRIDGIRSALNRIRSDSTSHTGSVLITTAQGNFFSNGYDLAWAGSSPEKIRLMSSKLRELVADLISFPMPTIAAVTGHACAAGFILALSHDYVVMRKDRGFLYMSEMDIGLKIPAWFFAVISSKIGDAMVRREVLMEAKKLTAKEAVKRGILHEAYDSAEETVKGAVELGEKLVKRRWDGEVYSENRKGLYKEILEKLGADETTDDLKNVAIASSKL >OMO58914 pep supercontig:CCACVL1_1.0:contig14087:60623:72895:-1 gene:CCACVL1_25249 transcript:OMO58914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MKIVLENLGCSTAQPSDTAVPPSGPNPTPLAVKTTPPNLAGNTDTGNNIASTVDFPSQQSVPPKSQKNIEKNQSAFKSGNRSWHAHSGGSNNLVIRFSDDDSGSDSEGCNQQKTIEHKCSSSRDGTQSQRPLFSSAPKLNKLGQTPRNITKVPPKKPLSRTFISSMTKINGGANSRVAGPSSIHQESRVRYFNPHNKGLANQDLVHKQGVGLNNSKLQDLRQQIAQRESELKLKFARLSKETVSASTMNLDNGAGRKLIPTPEYAGLTDPKEPDKKRLKVIESNSAHMNPDAQQDAHPVKSNLVSKDQELGTNILQSRDKIDHTKKVVPVSKAKSSIKWQRKDDKLVDIPSEDTSKLVKGVDMHRDLHQSKRTSRQVDPIVLSNKTASLTNISPSSFPNNLSNMELNPPTKVNLHNPPISSLCKATRELNLSKGSDYREVTSGDMTLQPYFSRKCQASQNTPNSWNCLGNINISGDSVDMNSLDAIEEKLDKELEEAQQHRHMCEIEERNALKAYRKAQRALIEANSRCRDLYRQREICSAQFRSLIVDDSSLLCSSRQHEHAVIGLGTSNNERELENMDIAPMSSHRSQPEYDGFDQTGNINVATRNISHQHENGQNLGSEPCSEPDASTSEPFHHNGNNVANTVRSPCSPIISADEDEETSPMDHDSVQPSLQYQQKKRKSDVIQKNADNDSNNEDSLLLEATLRSELFARLGIKTSSKNVDSCYNGDPVIERGAENDVESERTQLSNGSLTQSEAEKKHQFDVSGPEKLNEVISEALVQNESQHLEKENISKFLCAADPDNNGFSIGCLYSATPIKCSLSSILKSAIGHMRVMSPVTKDRENHIYSEEGAYVDFDEFEWSRPIANSLEEVVMGLSWKEKGSYMCNIAVDPFWPLCMYELRGKCNNDECPFQHVKDFPKRNTFENAHDDSDSADCQLGLTSCPQQYNGPTKLSKSHDFSISPTYVVSLDILKADPHAHQSVVTWKNQHCWWKCFSICITLSSFLQKDLSADEPFLDGDDGRIEVHGSWNKQSSYFQSRNGIANKLNQALGTNAQSLEMALLIINQEVNKLEGTKKALSLLSRALEADPASETLWIVYLLIYYSHMNFVGKDDDMFSYAVKNNEGSYELWLMYINSRKQLDDRLAAYDAALSTLCRGASSLVKDDMHTSACILDLFLQMMDCLCMSGNVEKAIQTVYRLLPATTNSDGPHSPMFTDILTCLTISDKCVLWVSCIYLVIYRKLPDALLQQFEREKDLLPVEWPSVHLGNDEKKRVVQLLETVISWLDSYMNVESFKSEDDIRSAQLFGLNHIRCMVALDSSECSQNLLEKYIKLYPSCLELVLISARQRENDSGNLGFIGFEEALRNWPKEAPGIQCIWNQYVQHAQQEGNPDFVRELMTRWYHLVWKVGHPESENLNGIDGENSFVSLELCSKPKPGLSASNTTQMDVMFGYLNLSLYKILQNDHVEARIAIDQALRAATTFGFNHCVKEHALFLLNDEIQKECRIPISSQLKNLNIYLDAAQSLSVSEPLSRHFISKIEKSRVQQLVRSILSPVSSDSSLVNSVLEVWYGPSLLPQTLTDPKSLVDFVEAILGIAPSNYELVFSVCKMLKKGNSSINITPSLLFWGSSTLVNAIFHAVPIPPEYIWVEAADILANIPGREAMLERFYKKALTIVYNGRMVDFTFASLYIVEMIPGDLGKVLAKICESIFSFKVFLYKFEGVEMADALLSAVASTILDNISSLLRQEFGNKEKQWTSEPIKIWLLQLKNTAYDLEDILDDFAANSLRGSLVSTLTCLPKHVLFRSKMAHKLKNVREKLDRIAGDRSKFHLTNTVEEREVIDRETSSLVAESEVVGRDNEVEEVINMVMSNSAVQDGVSVYAICGMGGLGKTTLAQLVYNNERVEKAFDLRIWRHLQEKLSGKRFLLVLDDVWNEDHEQWKRLKGAFKHGAKGSIVMVTTRGKNVAQMMATAANSIHHLGCLSDDDSWSLFKQRAFRMGKSEDYPQLEALGKEIVMKCGGVPLAINALGSLMSSKERESEWLAIKESNMWDLADEGSRVFEVLRLSYRHLKPHLRQCFAFCSLFPKDSILERDRLIQLWMANGFVPSSNGRVNLRDVGCEIFNELARRSFFQEIKEDLEGDVTCKMHDLIHDLAESILGCECCVLEPSQQVELPVPKTVRHVFARVDPLKVHNLHNVKFLRSLIRRAKGDRGIVEEEFYLKIATKQKHLRAIEVSLPSSGMKFSFSNFKQIRYLNFAGSHIRTLPESISSLCNLQTLNLRDCYHLCTLPKGLKHLKSLIYLDIRGCYSLKCMPARLGQLSCLRVLSKFIVGKDRGCYIDELKDLFLEGELCIEELDNVKSSVDSRSANLNMKQNLRSLTLDWQRYRDDNSYLHENDEDVLSGLQPHSSLKKLGIINYYGPRFSNWLMDVPNLVKISLENCIRCECLPPLGKLSFLKVLVICGMDALKSIDSSFYGDGETSFPSLEKLVLWRMLCLEEWRIVNDGRETFPLLTSLSISNCPKLVEIPPVFESLKSLEISGDSSISSLASVMHLTSLTSLYVKDLKILPEGLLQHHKHLEKLSLSSFRRLKSLSDVVDNLSALKRLEIGWCYELESLPAGLENLSSLESLELFGCDSLVSLPENGLRGLSSLSALSIQSCAKLESLSEGVRYLTSLRDLTIVNCRELKSLPESIQHLTLLSSLWIDKCENMISLPQELQSLTALKQLRIVDCPNFMNS >OMO58921 pep supercontig:CCACVL1_1.0:contig14087:128979:129779:-1 gene:CCACVL1_25256 transcript:OMO58921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MCTLEKLGNLFILIITGEDQHRLNPARIDAIRSALDRVRADPGSHSSSALITTAQGKFFSNGFDLDWAHSSPDRFGLMSSKLRALIIELLCFPMPTIAAVTGHASAAGFLLALAYDYVLMRKDRGFLYMSETDIGLKIPSSAMALIKSKIGDPMTRRDVILKAAKITGQEAVERKIVHGGYDSAEETLKGAISLGEELAKRGWDGQVYSQNRMSLFKDVLDEYDGKNTNKVLSKI >OMO58922 pep supercontig:CCACVL1_1.0:contig14087:130194:132165:-1 gene:CCACVL1_25257 transcript:OMO58922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MSLSRSRSPPRGKRYRSVERASYRDAPYSRDRRNYRQDYLCNKCKRPGHFARECPNMTVCNNCGLPGHIAAECNSTTMCWNCKEPGHLASQCPNDPVCHMCGKMGHLARDCLNPGLPAHDARLCNNCYKPGHIAADCTNDKACNNCRKTGHLARECPNEPVCNICNISGHVARQCTKSSLSPDMGGSFRDIICRNCGLPGHISRECVSIVICNNCGGKGHLHYECPSARMYDRGGLRRY >OMO88450 pep supercontig:CCACVL1_1.0:contig09011:13859:14020:1 gene:CCACVL1_08386 transcript:OMO88450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVMSSEIDYQSPIGTQPPSTQSNPTKGQGLGWGPLQFLPWSLHFLHPGLPHAH >OMO53112 pep supercontig:CCACVL1_1.0:contig15325:8027:8101:1 gene:CCACVL1_28873 transcript:OMO53112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFGCVKTAPSQIKGLRPIVKEL >OMP12289 pep supercontig:CCACVL1_1.0:contig00178:551:634:1 gene:CCACVL1_00052 transcript:OMP12289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGLLDGEVQGRFTKKRKPEARSGPS >OMO50323 pep supercontig:CCACVL1_1.0:contig16215:5745:12193:-1 gene:CCACVL1_30507 transcript:OMO50323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTGSGSALKFEIEKFNGTNSFQMWQSTITDLLVQQGLRDALEADKPSAMDDNSWKDIQRKVVSTIRLSLATEIKYDVLDVKTPKEFMDKLESIYMSKSITNMLCLKRELFGLKMKEGTSLRAHLNEFNRLVTQLASVDEVMKEVDKAVLLINSLTDRYDPVTRALMVGRKTLSLQDVTFAIFEYDRLKETEKKDKESGALWSVEELMEEIIRMMQDQRAMKKEYQIRGLSEEYSDEDVVLMVQEEKKDTRDMWVFDSACSEHICTKKEWFSKLEKCDKPVYMANNGEEKIEGIGSVKLRLHDGSVKMLGNVRYVPNFTRNLISLGKLDSLGYGYSCQGGGLKITKGSMIVMKGVKNSKNLYELIESTIRGDGSVTCPTLAPTLLRKHGDGYDKVDLDPKAWTDSIAAVGKRNRSHLYGFSALEGRRVLLKRSSSQRPTTPTTPNHQNEGLALMITQALTEILPQIVAQIVPQIVPQVLPQILPGMLASMGSSPNANVVSPSSQHDSEATESKDGNDDENGDDGYGKRGKDVQGLQGSMKMHGDHGDIDNHVRSTKKMSFDPLKMLISPMTRVRAKRFKDALMGLVRTDLEDLKTIQVQLKSFDDDLSKKTPINYKFITLLAIDSRWPD >OMO69791 pep supercontig:CCACVL1_1.0:contig11986:14520:21239:-1 gene:CCACVL1_19266 transcript:OMO69791 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein Sae2/CtIP MEGHLEQSPRLGLAIDSDDVKYISGLSTILVATIQEAKDRISQIEYIFCSQLYPNFQLKSKGLQKIYTEAKKAAEDAWTNKEAEFTLQIEKLQLEKKQVLEENQSLMLDKEKLLKEQDQKIRQLLLEQEDLKENLLLKCKEVDEGMELQNKLMQLVQENASVVADKGKELKGHEEKTNILLTDLNTLQKKVEALQQELGEKTQQVAHWKKLSKDVLKKIESQALDSMHNEEQLIQCNKEKKLLMANFGKLKENYDELHLVLGQKTKEVEEGRKLQEQLLAQIDFKSSEILKNNQQLAEHGKQKELLLAQVKGLEEKVNSLQVKLRESDAETKISNIPTVAFDTRRMKDVIGLGVDLEDRRGAKPVETSITHTPTSSFLTPKRPSTVKSNAIAGTKRSVSGWRDTRSHQSEAGADPHDDFLDTPLENIRGNLKKAMKEEAEGLPDPEHMNVDSSDDDETQDVSVEKRPQKQETPLQIAEKGSFKYVEPVRKKAEREKLRGFECKQCKKFYDAVLNHGGEDNEDDKKNFRCEHHDGVSRHRYKFNRHSRHIFSLQSPVDLLVELIEHPILVSASHSFKSIQERRISASMDTDLATLSNNPKYVYLFQREYATVDPALVHYVGTDEATTCVGLVIRNRRNGMTSVAHIDSPKFVDIGIIQMLSLVVDQNSPIDLDVHLVGGFEDVSPNHAKARRTSEENPRLDGYSFPLCTKIVETMQKRCENFHIQTLCVLGHNTKKDSEGNAYPIFNGFLVEPSTGSLIPASFDRTARCPDEIVRRLRVSASYDDHSWHGKLLDTYDTKTDRFVIAPCSWNRRLVQMALSLQSLSDSEILATCSTSHSAEGPDFVDNERRMWNYLIEHPDWKKTFPKRQPRVFERTAEGGWKSADFHDKDSGCRS >OMO69793 pep supercontig:CCACVL1_1.0:contig11986:29545:31128:1 gene:CCACVL1_19268 transcript:OMO69793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFLRDPDDPLKTTDQTRRLGLIVCRGTAVMLVSPTDGTDEIANPFIQPDGA >OMO69794 pep supercontig:CCACVL1_1.0:contig11986:35016:35943:1 gene:CCACVL1_19269 transcript:OMO69794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDAASKEEGGGGALGNGQWVMDGLSHNHSFSGRERTLELN >OMO69789 pep supercontig:CCACVL1_1.0:contig11986:2040:4336:1 gene:CCACVL1_19264 transcript:OMO69789 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative palmitoyltransferase ZDHHC4-like protein SNAKHLLLAGRNLQSKVNSEGCCALSGAGASRDYEGSGSHGNGVSDDKRILGTPTCKKQAAGIAKKLSSSILRIRRFRDYITPESDTPPICGTSASLQSSECRNLYWAVHRFLISLFYLA >OMO69792 pep supercontig:CCACVL1_1.0:contig11986:28280:28821:-1 gene:CCACVL1_19267 transcript:OMO69792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L31e MADRGSKGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIMGTIDVRVDVKLNKHVWSRGIRSVPRRARVRIARKRKDAKEDLYSLVTVSDIPPQGFNGLGTKIIDEQD >OMO69790 pep supercontig:CCACVL1_1.0:contig11986:4974:12791:1 gene:CCACVL1_19265 transcript:OMO69790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRFKPFMQKEPAGLEGRSLDVANLKLHVRNAIAEGGFSCVYLAKDALHASKQYALKHIICNDQESLDLVLKEISVMKSLQGHPNIVTLYAHTILDLGRTKEALLVMEFCDKSLVNVLETRGAAAYFEEKQVLTIFRDVCNAVFAMHCQLPPIAHRDLKVENLLLGSDGLWKLCDFGSTSTNHKRFEKPEEMGIEEDNIRKHTTPAYRAPEMWDLFRRELINEKVDIWALGCLLFRICYFKNAFDGESKLQILNGNYRIPDLPKYSSSVIDLIKDMLQSSPDDRPDITQVWFRVNEQLPVGLQKSLPDRPPEMPSIEAGVPRCANRSTQMPRRSPPPTPSGEPARSASQPGSRGVSGQLGAFWSTQHAKDSLVAEDKFLPKFDEDATRYISAKHDRSHPENHPLPKNTSPVTGEKNVQSNSIHRSVPDILHKSDDGPSKDFEINFFEGKDRPTTFQDEAFNSFVAEFDTNKICSGNINKTTAKEEELEAEIERLKEQLKQVNSEKVEMTSKFEKLSAICRSQRQEIQELKQALAGRVPSPSKSTSRNQNLPGSPHDGILVQREKIEGTLPEFPQEKYANWTNSSPEAKPWQAFSDDGKSQQQQPLSKDPVQSVRTRNSHSNKHSGQATSGMDTWGFGTDSFTAAPAASSQRLKPISEGSSSQRFGTDDDLPPSHQNRIPRTARIAGNGRATISSVPYSRNHGETDMESQIHQLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLSRVNADDVIRRIREWRQAGMPHSSMHNTGQAVHDPMPSPTASASRKKQKTAQSVPSQSINGPSLPLHPQTVVPSNQPSSSAARRGSISGSKKHKPGLPCVPSVKSMQYPSTSPVGRSQAVNRVSSGSAFVSEPAEGATFDPLIGKKVRTRWPDDNNFYEAVITDYNLVEGRHALVYDTGTANETWEWVNLSEISPEDIQWESEDPGIPHRGVCGGSGHGMSRSVGRDGMPRAGRGRGLPKGPSRKDFVPVQNGSGKKAVDDIQILHTDTLIKEVERVFGANLPDALEIEKAKRVLKEHEQALLDAIAKLADIFDGESGKFFFYFNSLSFIARLQS >OMO61286 pep supercontig:CCACVL1_1.0:contig13542:18613:19740:1 gene:CCACVL1_23621 transcript:OMO61286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MVEPGLLYHAKLPSKFWTLAFQTDVYLINMLSTHVLNNHSPFQLLFKPYLNYSKLRVFGYLCYPWLRPYSKNKLDFVGYSDHQSAYKCFDFTANKFYTSRHVVFVENEFSFPSSSIASDLRHKDSSFLSVSPNLIQLQTSPTSSMQQAPQLLAPNVSAQPLVSSSLDFPTVNSSPVFLAIIDSLDFPVASTDMAPSLPTASHSAPSDTAADCGNSSMIGSAKNIVLASSTVGTAKGHVIVHKHVANAHPMKTRSKDIIFKPKSVQLTTKHPLSVPLEPTSVSQALKEESWRKAMSEELNALLRNGTWELAPPTSSQNVIGCKWVFKLKRNPDVSISRHKAKSVAKGFNQRPGVDFSETFSPVVKPTTTSSLFGCT >OMO61284 pep supercontig:CCACVL1_1.0:contig13542:1639:2166:-1 gene:CCACVL1_23619 transcript:OMO61284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMGFKGDNVLYRILINEICKIRQPALALELHRKMEKNNYEGTLNTYIMIIAAFCKREMVDEAVSLFSEMASKGISPDVVAYGSLIKKLCCSGRLRAAATYFNEMVSRGLAVDVVVYGSLINGFARVGMWKEAEKILDMMVGEKISPDVATLKIFIDLFMQRGDDKKRPMRYLI >OMO61287 pep supercontig:CCACVL1_1.0:contig13542:26136:28504:-1 gene:CCACVL1_23622 transcript:OMO61287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MGFLELFVVAVKPVLKVLLVTGVGLFLALDQINLLGPEARNYLNKIVFYVLSPSLLVSNLAETITYKSLATLWFMPVNVLLTYMIGSALGWILIKITKTPKHLQGIVIGSCCAGNLGNLPLIVVPAVCEESNSPFADSPTCSTDAEVYASLSLAVGSLLTWSYAFSIVRAYAVSTGKNSSQSSGEVSEPSLESCKEALLPSSYGPYGEISEDTSVRVELPPMITSYERTKIPFWEKIVQCGKSITSKIDLKKIFAPSTIASIIGIIFGIVSPIRKLLVGDNAPLHVIDTSAALIGQAAIPCETLIMGSNLLKGIRRSEMNLLVIIGILAVRSVLLPLSGIGVTKAAYHFGMVGSSSLYQFVLMFQYAVPPAINIGTMTQLFQSGQGETSVILLCTYAVASISLTLWSTLFMSLVA >OMO61285 pep supercontig:CCACVL1_1.0:contig13542:12558:14714:-1 gene:CCACVL1_23620 transcript:OMO61285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MAAAKITSVKALADLPGLSSIPTTYSIQSTPNIVNEEAVSDATESIPTIDFSLLTSTNPEERYKAIQELGKACEDWGFFVVTNHGVVESTMKGMIEACRAFFELTEEEKKEYEGKSVVDPIRFGTSTAPGDKVLFWRDYLKVLLHPSFNSPNKPPTFREFALEYGKGARYVAREIVRGICDSLGLEEDYIDKAFNLDNGVQRMVANFYPPCPQPELAMGLPPHSDHALLTLLIQNGIGGLQVEHEGKWININPIPNTFLANIGDFIQILSNGKYKSILHRAVVNSRDTRISIAIPHGPAIDAVVSPASKLIDPVCNPPIYRAVKYKEYLELNQSNTLDGKSCLEHIRIR >OMO80078 pep supercontig:CCACVL1_1.0:contig10349:66987:68185:-1 gene:CCACVL1_13187 transcript:OMO80078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGILSKDGVVLVGEKKVTSKLLQTSTATEKMYKIDDHVACAVAGIMSDANILINTARVQAQRYTYAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFAIFRLSELLTPKSKDIRAEARVESTLIGPHGLTTVV >OMO80079 pep supercontig:CCACVL1_1.0:contig10349:69011:70641:1 gene:CCACVL1_13188 transcript:OMO80079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITKPRRFETGKFAEHNGGIKIERKGEKGFDLKHPICFCFQFLLSGFRTSKISLMLLFCSGGGRRMIYGDAPLRDRWVSDPRV >OMO80080 pep supercontig:CCACVL1_1.0:contig10349:84299:89555:1 gene:CCACVL1_13190 transcript:OMO80080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIFNKLRNLDAYPKVNEDFYNRTLSGGIITLVSSLTILFLFFSEFRLYLHTVTETKLLVDTSRGEHLHINFDVTFPAIPCTLLSLDAMDISGEQHFNIKHDIIKKRINAHGDVIESRQDGIGAPKIEKPLQRHGGRLEHNETYCGSCFGAEQSDDDCCNSCEEVREAYRRKGWAMTNADLIDQCKREGFFQRIKDEDGEGCNIHGSLQVNKVAGNFHFAPGKSFHQTTIFLDDLLAFQKDSYNISHKINRLAFGEYFPGVVNPLDGAQWTHETQNGVYQYFIKVVPTIYKDIRGRTVHSNQYSVTQHFKNLEFIHPNSHPGVFFIYDFSPIKVTFKEEHISFLHFVTNICAVIGGIFTVAGIVDSFVYHGQRKIKKKMEIGKFR >OMO80075 pep supercontig:CCACVL1_1.0:contig10349:55186:55968:1 gene:CCACVL1_13184 transcript:OMO80075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTLKLQQLFSTASKTLVLSKQQISSSSSCFSTIPNLTDIYLNTEPKRLATLTHEEVSKINLLIPRLCLSNHLTTAIQLTAAALLTNPPPNPKSISFSILAHSLTLQPDLKLPMSLLTRLTHIPQAHPHLTPICKILIASYLNNGRPKDGFKVYKWMLRPGSPCTIDKATYGILVGGLCSSGLVLEGLMVLRNMLESKVPLLPGDGLRKIVIRSLLREARVKEALAFQQLLPSSNEFGCVEGLRKAVDLLDHLIGNWTD >OMO80074 pep supercontig:CCACVL1_1.0:contig10349:49870:54403:1 gene:CCACVL1_13183 transcript:OMO80074 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase I specific transcription initiation factor RRN3 MGLELPKYPVMEEEEVVNLELDIARRVREALSSVPSLEGSRDSYNQLVGVILHSKQLGRDELALLETTLKGLAAAVSLIDFTHHESLVSAIFSMSMWNYAPHVEDALAELIVSLAVSNGKYLDLSLGMLVNNFTPPPYFLDKLGLPFGLDRKNQVLSRVHAAFKKISEYVPLAPLRLINLVIQRMPTIYHKDRAVLIYVENMLKLESGEIGEVVGNSVLMALVDRLIDLDLEIGWDAILRDDFNKGIFEMELEDEDEIVESAEQQSGEVLSSFGNSIAELLDNLLVLTFEHLESCERAGRLAKVFETLLQSFQITVMSAYKSKFTQLCPLSSVILLMRMTAVAYLASYLSRAKFLSVFFISTMLKRLVDWCLEYCETHDGDINPNAHRVFYSGCQAIMYVLCFRMKVFVDVPRLNLLLMPPLEQILKHKLNPLKVCLPSVVEEFLRQSKTASLFTVSETFIFDGLLESELSRAFGGLERLDTFFPFDPCLLKRCDRSFDEQGDDLDEFDTAMSKMSITPKNGYCYKFGGRDSTRMPSRIRPSTSPESL >OMO80077 pep supercontig:CCACVL1_1.0:contig10349:63687:66473:-1 gene:CCACVL1_13186 transcript:OMO80077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGKGSTLVHLLVVVLSLVAFGFAIAAERRRSVGTVVKDEISNATYCVYNSDVATGYGVGAFLFLLSGESLLMGVTKCMCFGRPLTPGNDRAWSIIYFVSSWLTFLVAEACLIAGATRNAYHTKYRGMIYAQNFSCETLRKGVFIAGAVFVIATMVLNVYYYIYFTKATTKTPAHKANRASSNVGMTGYA >OMO80066 pep supercontig:CCACVL1_1.0:contig10349:1922:2143:-1 gene:CCACVL1_13175 transcript:OMO80066 gene_biotype:protein_coding transcript_biotype:protein_coding description:myomegalin-like protein MKKVLLIISLVVAALLLFPSSIAGSMGVKPGPGGPGTGTRPSAAAPCRPRTNLKNCIPKPPKKCNHPFQKDCP >OMO80071 pep supercontig:CCACVL1_1.0:contig10349:31779:34144:1 gene:CCACVL1_13180 transcript:OMO80071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MQATGGLVAGSHTRDELLFIPGQGESSSEIIIRGQYRQSQKCHICDDELGVTVDGEPFVACNECAFPICRPCYEYERREGNKVCPLCKTRFKRLKGCARVEGDEEEDDIDDLENEFSLVMHHANANAIISCVSNPPHHHLQDDDQHALVPSFMASNGKRITSLLSPVQLRALDPSKDLAAYGYGSVAWKQRQDKFQVMNSDDINGCKDIYSADLDLPLMDIEARQPLSRKLPISSSQINPYRMIIIIRLIVLGFFFHYRVMHPVNNAYALWLVSVICEIWFACSWILDQFPKWLPVERETYLDRLSLRYEKQGQPSQLSHIDVFVSTVNPLKEPPLVTANTILSILAVDYPVDKVTCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFAQKIDYLNDKVSPSFVRERRSMKREYETFKVGINALVAKAKKVPQEGWTMQDGTPWPGNNPHGHPGMIQVFLGQTGGYDTDGNELPSLVYVSREKRPRFNHHKKAGAMNALVNF >OMO80073 pep supercontig:CCACVL1_1.0:contig10349:46428:48757:1 gene:CCACVL1_13182 transcript:OMO80073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQPPTEKRSSAVSDVGAWAMNVISSVGIIMANKQLMSSSGYAFSFATTLTGFHFAVTALVGLVSNATGLSASKHVPLWELLWFSIVANMSITGMNFSLMLNSVGFYQISKLSMIPVVCVMEWILHNKQYSREVKWSVLVVVIGVGVCTVTDVKVNAKGFICACVAVLSTSLQQITIGSLQKKYSIGSFELLSKTAPIQALSLLILGPFVDFFLSGKFITNYKMTSGAIMFILLSCCLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLTLGWLLFDSALTFKNIMGMILAVVGMVIYSWAVELEKQSNSKALHTAKNSLTEEEIRLLKERVENIPVKDVELGESKV >OMO80069 pep supercontig:CCACVL1_1.0:contig10349:12737:16139:-1 gene:CCACVL1_13178 transcript:OMO80069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEQNRFPQQERRKRWGGCLGAFSCFGTQKGGKRIVPASRIPEANASATQPNGPQSVGLTNQATTLAPSLLAPPSSPASFTNSALPSTAQSPSCFLSLSANSPEGPSSTMFATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPYARFLTSSADLKGADKNNYIAANDLHSTYSLYPGSPASSLISPISRTSGDCLSSSFPEREFVPQWDPSISPQNGKHSRTGSGRLFGHDVTGSTIASQDTNFFCPATFAQFYLDQNPVPHAGGRLSVSKDSDVYPSSCSGHQNRQNKSPKQDVEELEAYRASFGFSADEIITTTQYVEITDVTEDSFTMTPFPTDKSAFEESTELASIGKGPNSEKIQEGEKKHKSKANLVNGIVHHDAQGSCNGYEDHKSRRQVGNVSGSSTPANHTPTDEDSIFLKKSSSRSRKYHLGLSSSDAEIDYRRGRSLREAKGDFAWHD >OMO80068 pep supercontig:CCACVL1_1.0:contig10349:5453:11800:-1 gene:CCACVL1_13177 transcript:OMO80068 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative isoprenylcysteine alpha-carbonyl methylesterase ICMEL2-like protein MSPLVHHHRPSDGSESHLHSDVRRFISNSVGSIPGTSSNHHLHLRKPDRMERRPLSSEEIDRHAAAGTCLVSRLTFTLLRCLGVAYWLITRLISIGCYPLLFMPGFRQARAWLCQIIPGGGSGSKLHLDVSCLINRFLGSIFGTSSNHQHQHQHHHHQHHLHRKPARMERRPSFSEEIGHAAAETYLVTRLSFTLLRYLGVGYRWITRLLALYCYALLLMPGFIQVAYCYFFSSQVRRSIVYGDQPRNRLDLYLPRNGKGPKPVVVFVTGGAWIIGYKAWGSLLGLQLAERDIMVACVDYRNFPQGTISDMVNDVSQGISFVCNIIGEYGGDRNRIYLAGQSAGAHISACVLLEQAIKESRGESISWSVSQIKAYFGLSGGYNLFSLVDHFHNRGLYRSIFLSIMEGEESFEQFSPEVRIKDPSSKDAASLLPPVKLYHGTADYSIPSDASVNFVDALKGVGADAELILYDGKSHTDLFLQDPLRGGKDELFDHLVSVIHAGDKEALAKDALAAPRRRLVPEILLRLARQMSPF >OMO80072 pep supercontig:CCACVL1_1.0:contig10349:40298:44444:1 gene:CCACVL1_13181 transcript:OMO80072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETEYYDVLGVSPSATEAEIKKAYYIKARQVHPDKNPNDPLAAQNFQASLPSYMFTNQNDDSLSLLSKQQQSQQTQAFFALYEVLGEAYQVLSDPAQRQAYDAYGKAGISTEAIIDPAAIFAMLFGSELFEEYIGQLAMASMASVDMFTDGEQFDTKKLQEKMRIIQKEREEKLAEILKDRLHQYVQGNKEDFVNHAKAEVSRLSNAAFGGDMLNTIGYIYVRQAAKELGKKAIYLGVPFVAEWFRNKGHFIKSQVTAATGAIALLQLQEDMKKQLSAEGNYTEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVLQDNNVKKEELRARAKGLKTLGKIFQRAKSANGSESEPGLSSTVHKLNGSEPSSDGSSFNATPKLVNQEESSHSTFALQSPYVEAPNFPDAQFTYNFPRPTAPPGAQRHP >OMO80067 pep supercontig:CCACVL1_1.0:contig10349:3968:4156:-1 gene:CCACVL1_13176 transcript:OMO80067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLLSPSSIAARSISYKGGGSGTGNRPPAAVPCRPGSKIANCIPKPPKKCKNPFEQRDCP >OMO80076 pep supercontig:CCACVL1_1.0:contig10349:56632:61408:-1 gene:CCACVL1_13185 transcript:OMO80076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLSRLRCITVDVTGTLIAYKGELGDYYCMAAKAVGRPCPDYKRVHEGFKLAYTEMAKKYPCFGFAAKMPNIVWWKTCVRDSFVRAGYDYDEETFEKIFRRIYSSFGSSAPYTVFPDSQPFLRWVREKGLKVGIVSNAEYRYRDVILPALGLNQGSEWDFGVFSGLEGVEKPDPMIYKIALERAGNIAPEETLHIGDSMRKDYTPAKSVGMNALLLDRFKTPDAEEWRKSGAIVLPDLKFLTMSFMNNNVPVSDHRRYYFLVICCSAETAKWVGNVTNDILCPVISLSATANAPPGPSLQFRTHQQINPTKTTTLFPSQSTSWTESLRANIRSNQFHQAVLTYVKMTSAGVPPDHFAFPAVLKAVTALKDLALGRQIHAHVFKFGYAYGISSVTVANTLVNFYGKCGDIWDVYKLFDRIPQRDTVSWNSFISALCRIEDWEAALEAFRLMLLDNVEPSSFTLVSMANACSNLTRLDGLRLGKQLHAYSLRIGDTKTFTNNALMAMYSKLGHLNDAKVVFEMFEERDLVSWNTLLSSLSQNDMYLEALVLLHRMVHEGLKPDGVTIASVLPACSHLELLESGKQLHAYALRHDILIENSFVGSALVDMYCNCREVESGRRVFDCVMDWKTALWNAMITGYAQNENDEDALILFIEMEAVAGLCPNATTMASIVPACVRSEAFPHKQAIHGYVVKRGLESDHYVQNALMDMYARMGKIHISKTIFDNMEIRDIVSWNTMITAYVICGHHDNALLLLHEMQRVEKEKNYKDDKRIPLKPNSVTLMTILPGCATLAALAKGKEIHAYAIKNMIASEVGVGSALVDMYAKCGCLDLATNVFDMIPIRNVITWNVIIMAYGMHGKGAEALDLFNCMVAEESKVMGVKPNEVTFIAMFAACSHSGMVSEGLNLFYRMKDEYRIEPTPDHYACIVDMLGRAGQVEEAYKLINTMPSEFDKAGAWSSLLGSCRIHPNVEIGEIAARNLFRLEPDVASHYVLLSNIYSSAQLWDKAMDIRKKMKEMGVRKEPGCSWIEFGDEVHKFIAGDASHPQSGRLHGFLETLSEKMKKEGYVPDTSCVLHDVDEEEKETLLCGHSEKLAIAFGILNNPPGTTIRVAKNLRVCNDCHEATKYISRITDREIILRDVRRFHHFKNGICSCGDYW >OMO80070 pep supercontig:CCACVL1_1.0:contig10349:23962:29248:-1 gene:CCACVL1_13179 transcript:OMO80070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSCALDEYDHAQDHPYFSRKRLKVSDPNPNIFTGLSAHFASSNHSDEQPAMEMSCQSNGNGSVIPQSCNGDGAPCQENSYSSYAPSSSSSSSSFASGWMYVNEHGQMCGPYIQQQLYEGLSTGFLPDELPVYPVVNGTLMNPVPLKYFKQFPDHVATGFVYLTSNTASHYLKASHTNFQHTLAQSHPNCNDIDASNHLMPNSLASSFLLQSGEDACWLYEDDENRKHGPHSLLQLHSWHRYGYLADSVMIYHAENRFQPTKLLSVLNAWKGSQFYASGNEQALSVNLIPEISEEISSELHSGIMKAARRVVLDEIISNIILEFIAAKKSQRHIMVESLNQYAKTSPDGKMIENFPEIKTNCTDKLRTAGSDNVSDQPCMQEFKESLGSIKSVGSFENFRGSCTVVCKMLFEYCMQVMWNAVFYDSIAEYTSVWRREKLWFTQPNVMVSATDFRENREKAEKILSGTEPIACGVDCPPGFELTRDAAGNPEEKSCIPSSVGQEVLPKLNSSLCNNGFKAILEGVENELHLSMKAFMAKYIGNLVKREARRVIGLKNDDKLKENLDEVEVGKSVMSINDELKELQKLQDTVGPSCVVDISDICGEKKDGSSGMSDLSGNPKNPLHSWKTFGDAIDEEKADEPPAPGLEGNAGTLVPSRINKFRPSRSDEHIPKIGEYVAMAMCRQKLHDDVLREWNSSFIGSSLSQFLISWRALKKQRLCDGNEKRAFSADRENLASSSTIHEKLRDGSKKSHSSGSSELSLATDKNTYCRKKKLIRKKIEPLQSTIANGSQNQPVERPRKKEASRNLLDQSDPEPTAATSKEVGINKRVSQASTVSRSSKAIAKCSLPNGHSLPKSASARKTTKVSPAVQKNLARESATEVRKERASTFQDGDVEKTEGRSNHIVVYKGGVTNESRKKTLKAPKVSRVKRKQSNDHEPPSLPSKLQKLENCDGKHSSSRGIADQKAHPIRSKTANSCPISDGCARSSINGWEWHKWSLNASPAERARVRGTQCIDMKFSRYEVNNMTQGSNSKGISARTNRVKLRNLVAAAEGADLLKATQLKARKKHLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELVRARISDIRERYYEKTGIGSSYLFRLDDGYVVDATKCGGIARFINHSCEPNCYTKVISVEGQKKIFIYAKRHITAGEELTYNYKFPLEEKKIPCNCGSKKCRGSLN >OMO65224 pep supercontig:CCACVL1_1.0:contig12684:24143:34426:-1 gene:CCACVL1_21577 transcript:OMO65224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRLGNGKRPVLYFASASIPAQGDEADCRAF >OMO65223 pep supercontig:CCACVL1_1.0:contig12684:13773:16093:-1 gene:CCACVL1_21576 transcript:OMO65223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALGLELPEDGIKPCEEVDLEVG >OMO56629 pep supercontig:CCACVL1_1.0:contig14495:27231:31119:-1 gene:CCACVL1_26408 transcript:OMO56629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVVQAQQVPVAVTSPPPPQQQQVVNGVATNGTSVTTSLYVGDLDPSVTESQLYDLFSQLGTVVSVRVCKDLSTRRSLGYGYVNYSNTHEAARALDVLNFTPLNGKPIRIMYSNRDPTVRKSGAGNIFIKNLDKTIDNKALHDTFSTFGNILSCKIATDHSGQSKGYGFVQFDNEESAKNAIEKLNGMLLNDKQVFVGPFLRKQERETAADKTKFNNVYVKNLSESTTEEDLKNVFGEYGPITSAVIMRDADGKSKCFGFVNFENPDDAPQAVDALNGKKFDDKEWYVGKAQKKSEREMELKGRYEQTLKETADKFEGLNLYVKNLDDSINDDKLRELFSEFGTITSCKVMRDPSGISRGSGFIAFSTAEEASRALAEMNGKMVVSKPLYVALAQRKEERRARLQAQFSQMRPGGMAPAVGPRMPMYPPGAPGLGQQLFYGQGPPAIIPPQPGFGYQQQLVPGMRPNFFMPMVQPGQQNQRPGGRRSGASPMQQTQQPLPFMQPQMLPKGRVYRYPPGRNMPDVPMSGVPGGMLPVPYDMGGMPFRDAAFSQPMTTGALATALANAPPEQQRTLLGENLYPLVDQLEHENAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMEVLRNVGPQANSAADRMASLSLNDNLVS >OMO56628 pep supercontig:CCACVL1_1.0:contig14495:9001:11664:-1 gene:CCACVL1_26406 transcript:OMO56628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPDQPRDDESEGCSSQKTSIDGDDDKGKRVGSVSNSSSSAVVVEDGSEEEDGERVRGTVAFKKRSSKIFGFSVPYEEESMDSDPAPVTRQFFPLDQDPEMGASSGGSGPGGFPRAHWVGVKFCQSEPVAAAKSVEVSQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGLFDTEIEAARAYDRAAIKCNGKDAVTNFDPSIYENELNSETSSNNNNAGDHNLDLSLGNSTSKQNNLEFGSDRQNAMVNQHSLPPEVDWRYRGFRPSKLNLQKEGFRSDDPNHRLRTDGYSEAETMQLLSQTHIQSPVSIKSNNNEMPRYGQLRRPGENHMFHILPPHINQQAYQIQFPSSSNGGRIGSELSLSSSEQQQWQSSPHQLFANAAASSGFPSQINRPNSHNHNWLQKNGFHSLMRPS >OMO72948 pep supercontig:CCACVL1_1.0:contig11328:24017:24085:-1 gene:CCACVL1_17500 transcript:OMO72948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGWPIDKALRLPLGSIKLNI >OMO70580 pep supercontig:CCACVL1_1.0:contig11810:5488:12872:1 gene:CCACVL1_18785 transcript:OMO70580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor Spt20 MGVSFKVSKTGTRFKPKPCLQSEDSVDDVSENSKETSRPRKLQSDALEGGERAGGVSRSIVSDEGLRIPADHEISFTLNLYLDGYSIGKPQEKEALHQSGVQDAPKLHPYDRSSETLFSAIESGRLPGDILDDIPCKYVDGTLVCEVRDYRKSALQEGSGIPSVDGSPIINKVRLKMSLENVVKDIPLSSDNSWTYGDLMEAESRILKALQPQLCLDPTPKLDRLCTNPVPMKLNLASCSLRRKRLRQAPEVTVTSTNKIHGKKVCIDRVPESSNGRFGEAGTVSGSLMPQQVQENLTSQNIGPHSMLALRPRSFVQDSSVPALPMTSQSPRYQMGVVNARSMQDHGSSSVVNASAASPAGQDMTISYADGINSGASLLGKRENPDGPMSPLSGLNKRTRINAVGPDGIPQQQIGPHMDGHHGPDMSWKNMLLPQQAMARGIQYANAGMQKYPQQVFEGVLNQEAGAMPFSAGQQALRYGAKEEPFDPDKLDGSDLNRETETNHLDQQQTRLQSRLPHGYVRPGFPQTPWNSIGQHVEKDARKEEQFQKRKSVQSPRLSAGALPQSPLSSKSGEFSSGSVGPHFGAIATNAALGASQKDKAAVNSVPALGGTPSLTSSANDSVQRQHQAQVAAKRRSNSLPKTPAINAVGSPASVSNISVSLNAGSPSVGTPPLADQSILERFSKIEIVTMRYQLNSKKNKVDECLRKPSTHSPQLVSTCLNNLNSNEEIKDELNPLSKSILGGSINTYKTRILGFMQADRVVQGNVVSLVPRIRTRMIMSEKPTDGTVAMLYGDIDDSDILSVEDHTHHLPMLPNTHLADLLAAQFSSLMQREGYAPLDDITQPKPTRALMPSSSQPNSTVTFPNSSDMQQHAVQQFPEAVPGQATNEMAKPGGSNNISINTSAAVLGNTRMLPPGNPQALQMSQGLLSGVARPPQLDPHTPLQPQAQAQPQPQQAQQQQPQQQQPQHQQSQHALLQQQHQQFQRSSMMPAPNTLSHLNAIGQNSNMQLGNQMVNKNPTLHLQMFQQQQQQQQQQQQQQQQQQQQQPQQQSQMPRKMMGLGTGVGMGNMGNNMVRLGSLGNAMGIGSTRGIGGGISAPMAPISGMGNMGQNPMNLTPASNISNVISQQLRSSQTQAAILSRIRMGGLANPRPSIAGISGARQIHPSSASLSMLGQNLNQANMNPMQRTALGPMGPPKMMHGMNQLYMNQQQQLQLQQQQQQQLQHQQQQQQLQQMQHQQQQQQQQLQQQQQQETTSPLQAVISPSQVGSPSTMGMPQLNQPQQQQSQQQTSPQQMNQRTPMSPQLSSGAIHALSAGNPEACPASPQLSSQTLGSVGSIANSPMELGVNKSNSGGNT >OMO70582 pep supercontig:CCACVL1_1.0:contig11810:22441:25106:-1 gene:CCACVL1_18787 transcript:OMO70582 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-dependent dehydrogenase MGAEPVNVNEFEELARQALPKMFYDHYSSGAEDQHTLKENVKAFQKIMIRPRILVDVSRIDLSTTVLGYKISAPIMIAPTARHKLAHPQGEVATARAAASCNTIMILSYMSSCTIEEVASSCNAVRFFQIYMYKRRDITAKLVQRAERCGYKAIVLTVDTPRLGRREADIKNKMIAPQLKNFEGLISTEFSSDDGSNVEAFVRNTFDASLSWKDIGWLRSITKLPVLIKGVVTHEDALKAIEVGVDGIVVSNHGGRQLDYAPATISVLEEVVDAVKGKVPVLFDGGIRRGTDIFKALALGAQAVLIGRPAVYGLAAKGEDGVKRVIEMLKNELENTMAFSGCPTLKDISRNHVRTQHGILHSML >OMO70579 pep supercontig:CCACVL1_1.0:contig11810:763:4331:1 gene:CCACVL1_18784 transcript:OMO70579 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein MVYLPFSQGDDSKGSFEEIIERILSRSRETKVGKYDESSDVVEQHRLQSLQKALVVQWLCFTPPSTIDGFEDVTAKLHSRALMHSNVLFREFALISMWRVPAMPIGAHELLSLLAEPLKRLSETHRDLEDYVSENLKEFQDWNEYYSCDATFRNWLKIELENAEVSPDELSAEETQRAIAAAKETLDLSLSLLLREENPWMIFMEEHVNESMEPLFLELHATAMLRLPSGESMCPDATVCAALMSALYSSVTEEVVLERQLKVNVSISSRDSYSIEVVLRCLAVEGDGIGSHILNDGGLLGAVVAAGFKGELARFQAGVTMEISRLDAWFSSNDGSLEGPATYIARGLCRRCCFPEIILRCMQVSVSLVESNNTPDSHDQLVELVSSSETGFIHLFSQQQLQEFLLFEREYSICKMELQEQQQLSS >OMO70581 pep supercontig:CCACVL1_1.0:contig11810:13926:16602:-1 gene:CCACVL1_18786 transcript:OMO70581 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-dependent dehydrogenase MAAEPVNVNEFEELARLALPKMYYDYYRGGAEDQRTLKENMEAFQRITIRPRVLIDVSRIDLSTTVLGYKISAPIMIAPTAMHKLAHPEGEVATARAAAAHNTIMVLSYMSTCTIEEVASSCNAVRFFQIYMYKRRDITANLVQRAERCGYKAIVLTVDTPRLGRREADIKNKMIAPQLKNFEASYQLKFHLCHRKHAIFIQYVELLQDDGSNLEAFAKDTFDASLSWKDIGWLRSITNLPVLIKGVVTHEDAIKAIEVGVDGIVVSNHGARQLDYAPATISVLEEVVDAVKGKVPVLFDGGIRRGTDVFKALALGAQAVLIGRPAVYGLAAKGEYGVKTVIEMLKNELELTMALSGCPALKDISRNHVRTQHERRHSML >OMO85835 pep supercontig:CCACVL1_1.0:contig09570:31579:36545:1 gene:CCACVL1_09972 transcript:OMO85835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase MWRRVASLSSSLISSSNSSFLGQANGAVGSKERTPFITFVLGGPGSGKGTQCIKIVETFGFKHLSAGDLLRREIACNTADGAMILDTIKEGKIVPSEVTVKLIQKEIESSDNHKILIDGFPRSEENRIAFEKIVGAEPNIVLFFDCPEEEMVKRVLSRNQGRVDDNIDTIRKRLKVFEALNLPVINYYSQRGKLYTINAVGTVDEIFEQVRPVFNSFESDPKMVALLSPSFHSTKLTFHCSSKSKKNKKREQLNRKQLQKSKRIAFPYPESSPTPLLINHKPFTQTRLQALDAVVQDLEASVKKGINIDTEIFASLLETCYQLNSIDHGIKVHSLIPKTMLRRNTGISSKLLRLYASSGHIESAHQVFDEMYKRNESAFPWNSLISGYAELGQYEDALALYFQMEEEGVGPDRFTFPRALKACAGIGMIQIGEAVHRDVVRKGFGNDGFVLNALCDMYAKCGDIVKARRVFDSIVYKDMVSWNSMLTSYIRHGLLFEALEVFRGMIQEGFDPDPIAMSTVLSGFSSLKIAAQIHGWVLRRGIEWNLSVVNALILVYSKHEKFDQAGWLFHRMPDRDIVSWNSIISGHCKHPQALKYFEQMESSGTSPDTITFVAILSACAHLGLVKDGEQLFSLMRKKYAINPTMEHYACMVNLYGRAGLIDEAYNLIVERMEFDAGPTVWGALLYACSVHGNVDIGEIAARNLFELEPDNEHNFELLMKIYSNAGRLEDVERVRTMMLDRGL >OMO85838 pep supercontig:CCACVL1_1.0:contig09570:45748:46365:-1 gene:CCACVL1_09975 transcript:OMO85838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MGALQFSQSLAFSFAILFLTFNIIPTVVSATTTKSSSVTTKTYKKYINKACQSATYPKDCYASLSKYASTIQSDPVKLYKVSLYITIKAARSTSSSISSLWLLKGLSPTDRAIVRDCAENIGNAVDQLKDSLTKMANLEATDREAQMENIRTWVSAALTDEGTCTDEFDGQKVSDAVNKKIKKPVFKLAKLTSNCLALIDPIIKY >OMO85841 pep supercontig:CCACVL1_1.0:contig09570:67643:68433:-1 gene:CCACVL1_09979 transcript:OMO85841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGFERFRVVERGMNGPIL >OMO85842 pep supercontig:CCACVL1_1.0:contig09570:69051:70467:1 gene:CCACVL1_09980 transcript:OMO85842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCWNLRASLYPSGRAGCEGPGKCFGFYPESEFEDPTKPEIKRCNLSNVILQLKALGVDDLFGFDFLEKPSR >OMO85836 pep supercontig:CCACVL1_1.0:contig09570:39169:39765:1 gene:CCACVL1_09973 transcript:OMO85836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MAAKTSLLFLSLSLLYIASHAGRSPVAASSRATNFIKSKCSATTYPALCFQSLAAFAPSIQQSPRQLAQTALSVSLARAQSAQGFVAKMKKFKGLKKREYEAIKDCIEEMGDSVDRLSKSVQELKRMGQAKGQDFIWHLSNVDTWVSAALTDENTCLDGFAGRALDGKIKSSIRARILNVAQVTSNALSLVNQFASKQ >OMO85832 pep supercontig:CCACVL1_1.0:contig09570:1138:2931:-1 gene:CCACVL1_09969 transcript:OMO85832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRDVSSCNTYNYGDSLYWDSCYVQEAGGAFDWYQRSLRPFIRNYIPTSSLALMTLERQESENRSDFDAKRSSLEAEISDLEEKMATGSDSEMLSHGLDDSLDKSVEKLNSAKELAARLRAVVSVKRGLDDVPSQSELVQKDFNKLGNSMLPIVITCKSRDLSARRI >OMO85833 pep supercontig:CCACVL1_1.0:contig09570:4774:10942:-1 gene:CCACVL1_09970 transcript:OMO85833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M3A/M3B MWNVIGRRSSKSHLKRLFKPFINHRHFRTRAVDRKDESPTGLYGFDYLKSPKGFRRFVDDAIERSGELVDYISGMPSPAETIRAMDEISDTVCTVVDSAEFCRQTHPVREFVEEANKASMRINEYLHFLNTNHTLYNVVKKAEQDGHLLTEEARRAAQYLRIDFEKGGIHLPSGKLDSVNQLNLNTLQLCREYSENIIIDPGHVDVFPASRIPIPIQHLLKPIYNDQQEKGFRIITEPHTLSSVLQWTKDDQVRKMTYLKGNSVPHANHEVLDKLITSRHELAQIMGCKSYAEFVMNLNMASSPEVLVSFLLEMSNMVKPKADEEFGIIRNLKRDICGQTSVDLEPWDEAYYTAMLRSSAYSLNSSVVASYFSLPQCIEGLKLLVESLFGAKFDSVPMAPGESWHPDVLKMCLHHPEEGDLGYLYLDLYSRKGKYPGCATFAIKGGRKISDTEYQVPVMALVFNFSKSRDSSTVRLNHSELETLFHEFGHALHALLSRTDYQHFSGTRVALDFAETPSNLFEYYAQDYRVLRKFARHYSTGEVIPENLVKSLNGAREMFAATELQRQIIFALVDQTLFGEQSAVPRNTSSIVSDLKRQHCSGKHVEGTHMQLRFSHFITYGAGYYSYLYAKCFAATIWKKLCQEDPLSPATGAALRTKLLQHGGAKAPADILTDLVGDGIIKYHNGGIVPDTTSYLEEVKLLD >OMO85839 pep supercontig:CCACVL1_1.0:contig09570:56251:58958:-1 gene:CCACVL1_09977 transcript:OMO85839 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MATNKCKATLFITLALMLVGIMVDRVASQDYGDALSKCILFFEGQRSGKLPSNQRMNWRKDSALKDGSDVAMDLVGGYFDAGDNVKFNFPMAFSTTMLGWSVIEFGQFMGPELKNALDSIRWGTDYLLKSTNYPGKVTAAVGDPNADHDCWERPEDMDTPRTSYVVNQTHPGSEVSGETAAALAAASLAFQSTDKKYSAVLINRAKQVFDFADKFRGTYKDSVGAGVCPFYCDYNGYMDELLWAAAWLFKVTNDQTYWNYLEKNIPHLPKRVTRLVDGVLHDGGESFAEFGWDDKYAGINVLVSGMVMNTNKSDPYVPLADKFVCTLLPESPSKSVAYSPGGLMFKTGGSNLQHSTALSFLLLPYAQYLKKFKKGTVNCDNVNVPASRLEEMAKGQMDYILGKNPLGMSYMVGFSQKFPQRIHHRGSSLPSMAKQPQQIKCKDGTPFFQTKNPNPNLLIGAIVGGPDEKDQFPDDRTNAPESEPTTYINAPFVGILAYFKANPKPSI >OMO85843 pep supercontig:CCACVL1_1.0:contig09570:71592:74435:1 gene:CCACVL1_09981 transcript:OMO85843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVFNPLFLIGQSRTAKKRFTSPEGDHLTSINVYRASNDFMEMRKLLVGNKKHENILRKWCRENFINSRSLRPARDIHRYAVPEQICNEYTNSICILSGSGICNFPLFCAEKINLPDRFDIYSAGLILIQVGDPLKVKVNKLTSIKTQLPILENLPPIVTNKKVRSGVSTVYQRGYHIGLKGQYSG >OMO85837 pep supercontig:CCACVL1_1.0:contig09570:42725:43354:-1 gene:CCACVL1_09974 transcript:OMO85837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MEAKSSSSLHSFQVFMISLSILLLIMSRSIPTISATPSKTNLTQIYKNFIKSSCNSTRYPKDCNKALSPYASAIKADWVKLCNTSLSLTLNAASNTSSSIDSVSKMKGLSPSEAGIIRECAENVGDAIDELTESLKAMAGGLQGGADRKSQMNNIRTWVSAALTDEYTCTDDFQGQKVRKAVRDTIRKSVLNLAKMTSNCLALFNFLYR >OMO85840 pep supercontig:CCACVL1_1.0:contig09570:59827:62469:-1 gene:CCACVL1_09978 transcript:OMO85840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MAAIATEWVEGVANSHDYGDALTKSILFFEGQRSGKLPPTQRMNWRKDSALRDGFQIGVDLVGGYYDAGDNVKFNFPMAFSITMLAWSVLEFGQTMGSDLQHAMEAIQWGTDYFLKATSIPGFVFAQIGDPYGDHNCWERPEDMDTPRTPYAISKQFPGSEVSAEIAAALAASSLVFRPTNRAYSARLLKRARMVFEFADKYRGSYNDSLGPWVCPFYCDYSGYEDELVWGAVWLFRATKAPYYWNYIQANVQNLEKSGSVLEFGWDSKHAGINVLVPKLMNTQTSNPNPFVTNADNFVCSILPESPTVSVSYSPGGLLFKTGGSNMQHATTLSFLLLAYSRFLRKANRVIHCGNVVATPARLVQVAKSQVDYILGSNPLKMSYMVGYGNKFPQRIHHRGASLPSISQHPQQIDCSEGRTYFASSNPDPNLLTGAVVGGPDIKDSYADSRADFEHSEPTTYINAPLVGLLAYFKSH >OMO85834 pep supercontig:CCACVL1_1.0:contig09570:13313:20711:1 gene:CCACVL1_09971 transcript:OMO85834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSANNNQDPAPTETATTSSPSSPSGATKLRKIPKQRSPREGAEKSDGSRSSSDDADEDDEDESADEEYDDFGDYDCDKNVLFERNSRGNNESPPIIQASTLGLNHIRTRSNPLPSPLRFSSSAGTPSMLGKVGSKSGNAANNGKQSGGIEATYHPYLNPIDQGKKVAWNQSKSLRTASPLNSGLEEMQSPRFQAIMRVTSGRKKKAPDVKSFSHELNSKGVRPFPLLKSRALGHMEEVLVAIRMKFDRLKEEVNSDLGVFAGDLVGMLEKTSDSHPEWKESFEDLLLVARHCAKMPACEFWVKCEGIVQNLDDKRQELPMGIIKQAHTRLLFILTRCTRLVQFHKESGYEEDHILGLHQLSDLGVYPEQICEFAQQDIGGQLIGGKEESEKQRKKHRQEKSSLMKKQDQVDQHLSHANESAEAGTAKSVDSTTSSFRMSSWKKLPCAAEKNRKGHETNSNGKDSTAQSQVKDETPRNETLETPSGHPPSSRAKKTSWPFWGDHQSVTYENSMICRICEVEIPVVHVEEHSRICTIADRCDLKGLTVNERLERVAETLEKVIESWTPKSTPKSTDTPRESFEATRVSTSSTHEDIDDLSPKHCIHSRRCSEDMLDCVPDDDNAFVVEDLNVLHDISCETNLNLTTPDVGAKSSSGGSLTPRSPLLTPRTSQIELLLSGRKRITELENPQQINKLLDIARSVANANDCDYNTLEYMLERLDELKYAIQDRKADALVVETFGRRIEKLLQEKYVHLCGQIEDERTDPYHMADEDSSVDDDIVRSLRASPINPCAKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVESILAERNILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLFSLLRSLGCLDEDMARVYIAEVVLALEYLHSLNVIHRDLKPDNLLIGQDGHIKLTDFGLSKVGLINSTDDLSGPSLGSSGFLDDDEFKEQTSLKREQRQKHSVVGTPDYLAPEILLGMGHGATADWWSVGVIFFELLVGIPPFNAETPQLIFDNIMNRNIPWPKASEEMSFEAYDLIDKLLAENPVQRLGATGAKEVKQHPFFKNINWDTLARQKAMFIPSTDEAHDTSYFMSRYIWNPEDDLVHEGSDFDDMTDTCSSGSLSNLQDEDGDECGSLTDFGAPTLAVKYSFSNFSFKNLSQLASMNYDLVVKSAKESAQGPKPSVP >OMO98731 pep supercontig:CCACVL1_1.0:contig07041:27443:31814:1 gene:CCACVL1_04080 transcript:OMO98731 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MSKTRSSSIEGLEFNPQPERTLFQKNKKKTQSRLQEEESSNSSFQPSSPRSAVSTSSEDMAEEEQPKTLRELAAPNVNAKRLAIRYPDTNGNFEIKSRFIQILPKFHGLPGEDPHRHLTDFQIACASTSIQGILEDQFMLRTFPFTLMDRAKDWLYLLPTDSITSWTSLKKLFLEKYFPAHKASSIRKEICGIKQHHGETMHEYWERFKTLCASCPNHQINEQLLIQYFYEGLLPFDRSSIDSASGGAFIDKTPAEAWSLVENMAANTQQFGSREDYSREGPTRRINEVSTYSTSLEQQLQETNQQIALLTDLFHANFSSVPRVCATLEDNKQGVNVVGLQGAYQRKPEPYWRPEQSSQQYGNQDEPNNKGAAPIKDSNKDDAATQPKGKGVCDTNKPKTDSNLIPFQSRLKKSKKEDDDQDILEIFRKIEVNIPLLDAIQQIPNDPVTSRFLHPVAKHFLIPSAELLSSCFEFLYKDGQPSTPHKFGCLLQSCCPLPSPAAPSFFSATSTSISGLKMADLGFALQKKVVELGVSFPTATHGLKTDI >OMO78680 pep supercontig:CCACVL1_1.0:contig10549:875:946:-1 gene:CCACVL1_14208 transcript:OMO78680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CPYFTKFSLTVETVHRADNGTSEN >OMO64922 pep supercontig:CCACVL1_1.0:contig12719:65772:67037:-1 gene:CCACVL1_21603 transcript:OMO64922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDPLLKVVDKDLDSAPTIADDVEDENITAVKVTNEWTNFRDDRCCHLSPSGSFSDNLLPPDTPPARARDVESGAICSVETEPIAAVVFDSDSWALYCSQLWC >OMO64920 pep supercontig:CCACVL1_1.0:contig12719:25791:30452:1 gene:CCACVL1_21601 transcript:OMO64920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWNHPDISLEEMMKLIKGFVDILILASGYQSSGLLAHWDSHNISRAFQWALFFENVFRRLSSLDVYQESIEELDGALLEMTSHPSFPKGLAHLSSASLRRARDFMVEHLLHNLPLRDSHLREFLTAIVDMDITDLSQKEHDCLNVYLNKLTLQNRLFNIKSSPDAASTVETEESDSDNFTKLAVHELLRRQSVVSCISTIEEGVDFLSNAVRQSSLAESDCSLFREETKHERLPALVRSAESSVDVVTWNCWKSRALSYFLDKRTIRLVSGASLIFSGTNTQWRKVFGQLNFSEKSSNSNLDEAIELLLLGCISSRWNCVLEHLMSVSYDSVTVSKQYPIIANSVFGISQSFHKKGEIKSQEGAILDYVMGLLDGQLHLLWKPSPALTAVSLPFWPPLLRLYLSELEAHFKGNPSTMRCCSCIQEKNEHKDCV >OMO64921 pep supercontig:CCACVL1_1.0:contig12719:36986:38316:1 gene:CCACVL1_21602 transcript:OMO64921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase (Class B) MAAKALLLLALVSLFLGLAAADWSILNQKYWRNNNNAKAADTLKSYCESWRMNVELHNIREFQVVPQECVDYIKKYMTGSQYTADSERAIEEVKLYLTSCCSLQNDGKDAWIFDVDDTLLSTVPYFKKHGFGGQKLNLTSLEAWMEECKAPALEPTLKLFNELKDKGIKIFLVSSRRETLRSATVDNLISAGYHGWSSLILRGLDDEYLQMQQFKSEARKKLVDQGYRIWGIIGDQWSSLKGPPKPNRAFKLPNSIYYL >OMO81086 pep supercontig:CCACVL1_1.0:contig10241:369:6933:1 gene:CCACVL1_12611 transcript:OMO81086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNAREKVHMRWSKNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECLIYFRAADVPRQSSMVFYEKSGLQNLYDIVRYCQSKRQCRQIAFLQHFAEPLQECKGMCDNCASPSNVEEVDASSHAKLMLSLVHDMQENNQRVTMLQMVDKMKNKQKELDFELKREELEQLVVQLILDRVLKEEFQHTAYATNAYITVGSSANQVLQGRKVVKLEVDGRSKVKGGYTKSIKHGVASNLEFKLDELRKELASHDGGIFPHSVLSGQNIKLISAKKPSSTQELETIIGKLKTEKYGDRILEEVKKYGNGEQINEDVSKNEEQGSERRPRKKLKTKKEVVVLSDGSGNDES >OMO81087 pep supercontig:CCACVL1_1.0:contig10241:7586:9604:-1 gene:CCACVL1_12612 transcript:OMO81087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal biogenesis, methyltransferase, EMG1/NEP1 MVRPHGIKGKKRKTREEKYDREEVEEAKDTEAEVKESAKRVSIEKPTEEAQDKQEGEEDGVPDLVGIPIPRSDQNTKKPGVIFVLEKASLEVGKVGKSYQLLNSDDHANFLRKNNKNPADYRPDICHQALLAILDSPVNKAGRLQAVYIRTEKGVLIEVKPHVRLPRTFKRFSGIMLQLLQQHKITAVGKREKLLELIKNPVTNYLPVNSRKIGFSYSSEKLIKMRKYVDSVGDDEDLVFVLGAMAHGKIETDYIDDFIAISGYPLSAAMCIGRITEALADKWNIL >OMO69408 pep supercontig:CCACVL1_1.0:contig12042:3541:3873:1 gene:CCACVL1_19521 transcript:OMO69408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGLIMDMKNTMEILARMFKSCKKAWISLKERMESQITKKMPFDPLKMLLGLMTRERAKKFKDALTSFVRTHLEGLKAIEDQLKSFEIVKDKNIPNDSKLLTLLAIVEH >OMO69409 pep supercontig:CCACVL1_1.0:contig12042:28075:33748:1 gene:CCACVL1_19522 transcript:OMO69409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta subunit-like PLP-dependent enzymes superfamily MLCSAKLTSPSLRSSLPPFNHSISLLKPKSCCSQSQPMQTQTQTHDGANALSFDFLTKKSYAPPSWATHLNPTPSHVFSLAHLPTPIHKWNLPNLPQNTEVYLKRDDLSGMQLSGNKVRKLEFLMAEAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDSYLILRTSKVLVDQDPGLTGNLLVERFVGANIQLVSKEEYARIGSEALTNVLKEKLLKEGRRPYVIPVGGSNSLGTWGYIEAIREIEEQLQISSNGVKLDDIVVACGSGGTIAGLSLGSWLGALKAKVHAFCVCDDPDYFYDYVQGLIDGLQAGVNSRDIVSILNAAVMVGHFGYRSFQAKGLGYAMNTSEELKFVKEIATATGVVLDPVYRGKPCLSLKSCACEHDVAIIYEVLYLGQKSRPCPVSVLVSGKAAYGMMKNMGENPKKWEGRKVLFIHTGGLLGLFDKVDQMAPLVGNWQRMDVNESVPRKDGIGKMF >OMP05223 pep supercontig:CCACVL1_1.0:contig05480:1001:1402:-1 gene:CCACVL1_01998 transcript:OMP05223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 MGSNLSLVKDGYIGEFEYVDDHRGGKIVVQLNGRLNKCGVISPCFDLGVKEIEVWTARLLPSRE >OMO55038 pep supercontig:CCACVL1_1.0:contig14805:7904:11658:1 gene:CCACVL1_27431 transcript:OMO55038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MGLESFGFRVYRVSGWAGSGFGLGSLGFRVSDHTGLGLQVTRVTGHSDFGSSGLPIIRVSGHLGCGSFGSWVGQVQVVHFFLSNQIGRVGRILRDFGVAVRFAMPISHQLGPKISTIFVIANPENVEYILKTRFENYPKGKAFSMILGDLLGKGIFNVDGDLWMFQKKMASLELANISIKAYAFEIITKEIKHRLLPLLSSFSGQNGSIFDLQDAFRRFSFDNVFKFSFGLDPGCLESSLPISQFADAIDLASKLSAERAMAPLPLLWKIKRIFQIGSEKKLKEAIKVVDALAMEVIMQKRKLGFSTHEDLLSRFMACIKDDSYLRDIVISFIIAGRDTMASSLTTFFWLLANHPQVISKILNESNQFMESTMDQEFASYDQIRQMHYLHAAGTRVTYHPYAMGRMDNIWGSDCIEFKPERWLKEDGTFCPQCPFKYPVFQAGVRVCLGKELALVGMKMVALSVLRRFDFELVAHIVPPKFDPGLTAMVKGGLQVVVRERRDNIAAA >OMP10695 pep supercontig:CCACVL1_1.0:contig02017:1416:1514:-1 gene:CCACVL1_00812 transcript:OMP10695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSSHGLTLLEEPPAALGPLLQADRLGIESLRL >OMO51123 pep supercontig:CCACVL1_1.0:contig15968:64778:75215:1 gene:CCACVL1_29993 transcript:OMO51123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MSPAAVGIRSPDTFSSKAKPFSTPQNPNTSPDNFTAVPRTNHSQMHSSSSSSFSSSFGNAGDFDCGFGFASWSGYHPKSASARPRPRFFKVRKQLNGKVRTAQTEAVSDFNPFRQSAHDSGRVSSGGNLSSSGSMESLNRANNDTDNNNTSHYFSFVFGGYNNYVRDSSGNVEVQNGNQEPLRKSANENVDFEGNMRGGMEKLSSEKYGKFAFVFGANGSDAGLKSNLVKGDSNDFGATLNECGGEMKVETGRQGSKDSTLEFAFGATKRELTTKLDSENGEYSRDNFNANKSKTWNGNCDDGFFVFGASSKGSSSEECKYGINSSCENFGVSASNGWSKDVSDNNKNIGSSSSANSIFILQDDLKKLDISSCDTTEDSDKKVNSETVFVFGSSEKASSPLKKPPENGPSAAAERTFDDSFNEVHVNGAVSCNSCNEDNVGISCSNPFTFQEAIDKTSDIEKPFQGHVKDGAELNGTNAWSSLNLHSKGNSGALEETSEVGAERNEESCSTSTSDRPAISFSDFKTTHWDCSSFKANLFPEGKKKMEFGVKSSLIKEKMLKKMKGKSRKSSLHKHCSKQHHVPKQRSSQENQDSQCYSPMDFSPYQETTAAEQSSKETSQASEKAPPVAYNFGYSVLDSSSTMPEADCLASAQEGSSSDNGNQKCSEPNVESFGYDHEKNIGYGPSDEYVCESETASATFKSDWSFSCSAGGVGEAGLSGTQDDNHTTQSSFNSGLEDDGKFSFSATSTSGQVSSSFRKRQIRRKSRVKIGNTSFIITPSPNAEGGSSSVQFSPSQPAQCQQKDKFTDHSKEENERFKQGFNSSTVAIHEACEMWRLRGNQAYRNNNLSKAEEFYTHGINSVPSNETSRSSIKPLVLCYSNRAATRISLGRMREALADCLAAAALDPNFLKVYLRAANCHLLLGETENAVRYFTKCLGAGADVCLDRRITIDAADGLQRAQRVNELTNRSAELLEQKSTNAASSALDTISEALSISSYSENLLQMKAEALSMLRKYEEAIELCEQSLYVAEKNFAKSEADHQLASIDDSGCYSIAVIWRWHLMAKSYFYMGKLEKALDLLQKLKQIESMKDKHASKLLEMSISLAVTIQELLRLKNAGNEAVHSGRYADAVEHYSMALSSNVESRPFAAICFCNRAAAHQALGQIADAIADCSLAMALDENYIKAVSRRATLHEMIRDYGQAASDLQRLISILEKQSNTKSQSGSLDRTSGNTKELRQAQRQLSSTLEEAKRGIPLDLYLILGVKPSDSASDVKKAYRKAALRHHPDKAGQFLARSESGDEGRLWKEIAEDVHKDADRLFKMIGEAYAVLSDTAKRSEYDLEEEIRKAPKESKSSPYERPWDEYGYSYERSTNRRYWRANWKANKDFYSRW >OMO51125 pep supercontig:CCACVL1_1.0:contig15968:82605:91947:-1 gene:CCACVL1_29995 transcript:OMO51125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMKLTLETQFWHFVAHGKLGDLLIRETPAVLGLVLVLAGFRGEVCTSRVPGDSSDTRREKLSI >OMO51121 pep supercontig:CCACVL1_1.0:contig15968:16559:18905:-1 gene:CCACVL1_29991 transcript:OMO51121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERESVEVSHEKDKDRTRNETAESTNSEVSVEDASKTVPNSAINDVQVQAVAWKGGGIDDETLETVESSGEVNMEASVTADDVVRAGGFGARDDLSSVLPVASDWTDFEASIRDARGYEEPQGKVHRPGLGWTEASEKE >OMO51122 pep supercontig:CCACVL1_1.0:contig15968:60439:60534:-1 gene:CCACVL1_29992 transcript:OMO51122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCVRRYGDRVGRKTINRGPGSERKANKRE >OMO51120 pep supercontig:CCACVL1_1.0:contig15968:13943:16076:1 gene:CCACVL1_29990 transcript:OMO51120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVFSCIPDGTNLCFNNSFDTPTISPRSASSSIPLSLKTLTLDGYFSFENIEYAAKDFGNIYHYLPIAVLHPKSVSDISSTIKHIFDMGSPAKLTVAARGHGHSLQGQAQAHQGVVINMESLKRPSMYVGTGELPYVDVSGGELWINILHETLKYGLSPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQINNVYQLEVVTGRGEVLTCSEKQNADLFYGVLGGLGQFGIITRARISLRPAEKMVKWIRVLYSEFSTFSNDQEHLISSENSFDYIEGFVIINRTGLLNNWRSSFNPKDPIQASQFSSDGKILYCLEMAKYFNPEDTDIVNQNIEKLLSELNYISSTLFLSEVSYVEFLDRVHLSEIKLRSKGLWEVPHPWLNLLIPKSRILYFAQEVFGKIVKDNSNGPILIYPVNKARWNNRTSMITPEEDIIYLVAFLSSALPSSTGTDGLEHIITQNQRILDFCAKAQLGVKQYLPHYSNQDEWQAHFGPQWDTFVQRKSAYDPLAILAPGQRIFQKAISIS >OMO51124 pep supercontig:CCACVL1_1.0:contig15968:76402:79984:-1 gene:CCACVL1_29994 transcript:OMO51124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYDLTPRIAPNLDRHLVFPLLEFLQERQLHPDEQILKAKIELLNKTNMVDYAMDIHKSLYHTEDVPQDMIERRAEVVARLKSLEDAAAPLVSFLQNPNAVQELRADKHYNLQMLNERYQIGPDQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKLAAEILMQNWDIALEELNRLKEIIDSKSFSSPLNQVQSRIWLMHWSLFIFFNHDNGRTQIIDLFNQDKYLNAIQTSAPHLLRYLATAFIVNKRRRPQFKEFIKVVQQEQYSYKDPITEFLACVYVNYDFDGAQKKMRECEEVILNDPFLGKRVEEGSFSTVPLRDEFLENARLFIFETYCRIHQRIDMGVLAEKLNLNYEDAERWIVNLIRNSKLDAKIDSKTGTVIMEPNYPNIHEQLIDHTKALQGRTYKLVSQLLEHTQTQAAR >OMO87158 pep supercontig:CCACVL1_1.0:contig09303:67:3619:-1 gene:CCACVL1_09229 transcript:OMO87158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAAAKLGSMRESKLRKRKQKAESRRKRRQPYERRNFVHRRKESFVQGNIVMEDEQGNIVIEEAEVNGMSPIELNSDNSNEVQLESAETERSIECGEAGTSVGGSENGLDKSDVDVYETTPEWEDVIGLNGFLIGKDPKKLSREDFENLQFKSSDEAYDFYRAYAHVIGFSARKGSSRVDKDSREVVMKEFSCNRAGARLAKWMKRCTDKRRQPKPLSRCNCPAHMRVTLDQNSGLWQVTLFKDGHNHKLAKESQKFMVRSNREVCSGAAEFARALKKGGSRPCEIMNYLAQEAGGYQNVGFTKKDLCNKLYRDSRNNVKELGDVKSALCYLEKRANRGGLCVGLQQFLRCMSDKRPISIVTDGAQAMANALKVVMLEANHRLCVWHIHRNAKRHLPTADAIDKFKRCMLAWWKADDFERGWKELVEMNGMRNNSWVVQMYRIKEYWAQAFITGKFFAGMKTSSRCEGYNSYLKRFIRREGTMVEFMQSIENAVKTMCEIETEEDYYSRQTKPLSMSEMKALEDYAGHVFTRKSFGRFRKELRREATYYSASAPAVMSTRIKVYRILKYLEPNNEREVSYDPENGSIKCDCMLLETDGIPCRHAIHVMKVENLRSIPKRCIKKRWTKNAKDLSDEEEAFASVDEKAIEALRRSSLQMMLSTICYMGSKSKKAFLEAREKISRLIESMGVESKNAKREDAPSTEDGPEGLTQEQAEPEGHPDQKKRREYKCSFCRKVGHKKPSCPMLEIRTAQPVELGMMRSSDSDSEYNTSDDELCRNGRSWADCRDYMDEEAVLSDPEEEVEGSRSPSDTDNSVAQGVAEADHSLHAAATVRVQ >OMP05826 pep supercontig:CCACVL1_1.0:contig05199:2763:4842:1 gene:CCACVL1_01820 transcript:OMP05826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHAKYTSLTKTETKTTIRKTVAFCVFLLVGFTTLLLVNPSPWLKNFFQTSSNRPYFPSLFFLFLPINSSQSNCYPVPVSQLQGNPCQNISQETEKSKDWSLSSEGKSGNYPFQISPESERSPSLDANVTNSLGNSSQQTGKESKGSNMTLDGSNDIKILGKLRKGNLMEIMSQCRMFDGKWVRDDSYPLYVSGSCPHIGESFNCFLNGRPDREYEKYRWQPDGCNLPRLNGKHMLELLRGKRVVFVGDSVGRNMWESLVCILRNSVEGKRKASSTSRHDLQTMGPYSLLFRKYNCSIEYIKSHFLVQEWQILHDTNGSKKETLRLDMIDKLSDEYKNADVLIFNTGHWWTHDKTSKGKGYYQEGSTIYDELNVDEAYRKALTTWATWIDSNIDPMKTLVFFRGFSATHFWGGRWDLGGKCHGETEPITNDKFLKKYPLKMQIFESVLNGMTTPILYLNVSRMTGFRKDGHPSIYRRRNLTVEERIPPTKIQDCSHWCLPGVPDAWNELLYAQLLVKDSERQQQLLHHEEQQRRS >OMO89703 pep supercontig:CCACVL1_1.0:contig08645:2417:4805:1 gene:CCACVL1_07685 transcript:OMO89703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFIIERYGYLTGGIVRSLVFRMWHSRKPDPKALLSIRSAPTDGLDRMYNW >OMP08736 pep supercontig:CCACVL1_1.0:contig03625:361:606:1 gene:CCACVL1_01097 transcript:OMP08736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNDFRLRRKSPLSTVNEDTGSSFSGGVETSYMKKEREVPMAMRGRKEGIGKRCSTVLIFRRGDGGTEKRREVMAEGRRR >OMO50407 pep supercontig:CCACVL1_1.0:contig16193:56766:61079:-1 gene:CCACVL1_30459 transcript:OMO50407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTKPLQRSYYAMVHQVMSIMLQDRPFDAQLASSTISNPWTTDSVSDILRAVPRFFFQSPRSFGRQKGFRHRAPLKQRNLKQEYIKNSQNVLVLGPAAYRDPKRVALGLDKAMEFYFWVENSFGFAHDETSCKEMAIVFAKGNHLKGLWNFLKDMSRRGTGGLVTTSTLTCLIKVLGEEGLVNEALACFYRMKQFHCKPDVFAYNMIIHALCRVGNFNKARFLLEQMELPGFRCPPDVYTYTILISSYCKFSMQTGCRKAIRRRLYEANHFFREMLFKGFVPDVVTYNCLIDGCCKTYRIERALELFDDMKKRDCVPNRITYNSFIRYYCAVNEIDKGRVLQAKDFLLELIDGGSTPREYTYGVKKRRDKNGIPTHVARTKTLPETVSGGGGVGVGVGVGRNSTPCVPKARNEKKKSEASSSSNYTTTGNDLILSAVTIINMSGAESETDAGCPAGVHHGAGGGSCCGGGGGGCGGGGGGCGGGGC >OMO50406 pep supercontig:CCACVL1_1.0:contig16193:9842:15131:-1 gene:CCACVL1_30458 transcript:OMO50406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Natural resistance-associated macrophage protein MASLMSGTGTGTGGSNRIAAINVEGSTPQSFPSNNSKHFDGFHDDPNHDQRPGWRKFLSFVGPGFLVSLAYLDPGNLETDLQAGANHGYELLWVVLIGLVFALIIQSLAANLGVSTGKHLSELCKAEYPKLVKYFLWLLAEIAVIAADIPEVIGTAFALNILFHIPVWVGVLCTGLSTLLLLGLQRYGVRKLEMLIAVLVFVMAACFFGEMSYVKPPASGVIKGMFVPKLSGKGATADAIALLGALVMPAKPLWMIHFVQAACRFFLIESGFALFVAFLINVAVVSVSGTVCLADSLSGDDQDRCSDLTLNSASFLLQNVLGKSSSTLYAIALLASGQSSTITGTYAGQFIMQGFLDLKMKKWTRNLMTRCIAITPSLVVSIIGGSQGAGRLIIIASMILSFELPFALIPLLKFSSSSTKMGPYKNSIYIIVISWILGLGIIGINVYYLSTSLVDWLIHNDLPKVGNVFIGIIVFPLMAAYILSVIYLTFRKDTVVTYIQPEKNEQARIESGLSNADGGGFQADSIPYRQDLADIPLSE >OMO50405 pep supercontig:CCACVL1_1.0:contig16193:3464:8823:1 gene:CCACVL1_30457 transcript:OMO50405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASPSDGRGRWKRRKRERRAKHQQEENDVVPEDDEDEENNNNDDLDNHRENSGDDAVGAVTDPSLAGPSESEVLSDGGVRISEFPAVVRRTVNRPHGSVMAIVAAERAGLVGDSKGHQQVAVAVLENISHGQLQAVSTEAPLVEPEKFVITPPPIMEGRGVVKRFGSRVHVLPMHSEWFSPATVHRLERQVVPHFFSGKSPEHTPEKYMECRNHIVAKYMDDPEKRITVSDCQGLVDGISIEDLTRIVRFLDHWGIINYCAAAPSHEPWNGGSYLREDQNGEVHVPSAALKSIDSLIKFDKPKCRLKAADVYSSLSSHDDDFSDLDNRIRERLSDNNCSSCSQPIPTSYYQSQKEVDALMCFDCFNEGRFVSGHSSIDFVRVDSAKDYDDLDGESWSDQETLLLLEAMEIYNENWNEIAEHVGTKSKAQCILHFLRLPMDNGLLENLEVPSMPKSTIFSNGDGHPRLRSNMNGSLPGPSLQDADSESRLPFANSGNPVMAMVAFLASAVGPRVAAACAHASLAALAEDVHKEGSGHGNRMNTESVHSREDGFHNSIHQKEENAAAHGALGQNEAEVHPLSTEKVKAAAKAGLAAAAMKSKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETLLMKECEQVEKARQRFAAERARIVSARFGPAGVTSQMNHLPGVASPMVNNNIGSNRPQVMSASPSQPSISGYGSNQPVHPHMPFMPRQPMFPMGPRMPLTAMQASTSAPPNVMFNSPGNAQPPLNHPLMRSVSGASSGLG >OMO50408 pep supercontig:CCACVL1_1.0:contig16193:62568:68063:-1 gene:CCACVL1_30460 transcript:OMO50408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLQSSQPWVEKYRPRQVKDVAHQDEVVRVLTNTLETSNCPHMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGSGQRQGGYPYPCPPFKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFRPLSEEIMSSRIMHICNEEGLNLDSEALSTLSSISQGDLRRAITYLQGAARLFGSTISSSDLISVSGVIPQEVVQALYDACKSENFDLANKEVNNVIAEGYPVSQMLSQLFDVVVEADDITDEQKARICKSLAEADKCLVDGADEYHDEYVRVRLVMIMSGGAPKFKSPWQLSVDDSFKKPGAIPFKWEIRPGVPKVQQQQKQKEQSPQKQKQLKPKPKQPPPPLPPPASPFINQRSLPSPPPGPPAPQLQQKLKPPPAGSYFYLSPEPRSHSFRSAPRTRSERWRLDQPARVRPECVSPGCFPSPLLRRKGSKRRTQKPEPDYVSDLETVSRWSVSSRRSLSPFYSSPASSFTSFRSSPRPVADTEWAGFGLF >OMO49425 pep supercontig:CCACVL1_1.0:contig16508:1933:5780:-1 gene:CCACVL1_31006 transcript:OMO49425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASDQKTPRHQNPPQPPPQQAKELVDGQPLYVSSNCLPVKALKYEPAGHAFHFAALKLLGCEEDDTAEVDDQNVSNDKERVYMPSSDSYSSKGKKKSGGDKQQDHYALLGLSHLRYLATEDQIRKSYREAALRHHPDKLAALLLAEETEAAKQAKKDEIENHFKSIQEAYEVLTDPVKRRIYDSTDEFDDEIPTECAPQEFFKVFGPAFLRNGRWSVTQPIPTLGDENTPLKDVDNFYNFWYSFKSWREFPHADEYDLEQAESRDHKRWMERQNAKLTEKARKEEYARVRTLVDNAYKRDPRILRRKEEEKAEKQRKKEAKVRAKQLQEEEAARAAEEEKRRKEEEGKRAAEAALQHKKMKEKEKKLLRKERTRLRTLSAPALSQHLLDLSEDDVESLCMSLGIEQLRSVCDKMENKEILEQAKIIREARGYNDNLEEKKLDEKNSSQPNGTVESNGSVLLNSFEKKEKPWTKEEIELLRKGMQKYPKGTSRRWEVVSEYIGTGRSVEEILKATKTVLLQKPDSAKAFDSFLEKRKPAQSIASPLSTREEVDGVSKPSGAESSAVKTGSPEDPGKIANNPVEVSANGISSSSEQDVWSAVQERALVQALKTFPKETSQRWERVAAAVPGKTVNQCKKKFALLKENFRSKKSTT >OMO49426 pep supercontig:CCACVL1_1.0:contig16508:5908:8456:1 gene:CCACVL1_31007 transcript:OMO49426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYEQSDSGKNSTNNEGPAMGKSESSQGQPFSSKEVLKKLRRYGISGVLSYGLLNTAYYLTTFLLVWFYVAPVPVRMGYMAAVERFLKVMAMVWAGSQVTKLIRAGGALALAPFVDRGLSWFTAKFKFESQGK >OMP11815 pep supercontig:CCACVL1_1.0:contig00803:1384:5641:-1 gene:CCACVL1_00246 transcript:OMP11815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanyl-tRNA synthetase MAVVSSAHSAIFSKASLFHHINGFKRFTFCLPFSSSAAASLSTKKKWRQPVASSVLELGGTKIAKDDVVRDDPTNNVPDTIFSKLGMQLHRRNNHPLGILKNGIYEYFDTNYPNMFDKFDDLCPIVSVKQNFDDVLVPADHVSRSYNDTYYVDSQTVLRCHTSAHQAELLRGGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGVRVFTPESWEGSGLDPTSFAADDLKKSLEGLAHHLFGAVEMRWIDAYFPFTNPSYELEIYFKEKWMEVLGCGVMEQEILKSNGRSDSVAWAFGLGLERLAMVLFDIPDIRLFWSNDERFTSQFSKGQMGVKFKPFSKYPPCYKDMSFWISESFTENNLCEVIRGIAGDLVEEVTLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINDLQWNVREQVQNKLNVVLR >OMO54688 pep supercontig:CCACVL1_1.0:contig14913:9983:15823:-1 gene:CCACVL1_27662 transcript:OMO54688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPDSSDIVETLPENPESTPSPEKDDSDNDDNGTKGTVVTTTVGGDADSSNKRSSGSTDEDLVLDVSGKSVEFSILGDSKESVDGLYLYKNVFNLIPKSVGTYGRLRNLKFFSNRINLFPAEVGSLAGLECLQVKMSSPEFNGMALSKLKGLKELELSRVPPRASVLTLLSEIAGLKCLTKLSVCYFSIRYLPPEIGCLKNLEYLDLSFNKIKSLPIEISYLKDLISLKVANNKLLEVPACLSSLQRLENLDLSNNRLTSLGSLELSLMRNLQTLNLQYNKLISCSQIPSWVHCNLEGNGKGTSSDDFTSSSVEMDVYESAAQDCDGSVSYNGSYKTSSGNLTVPLSSNRCFATRKSSKRWKRRHYLQQRARQERLNSSRKWKGDGHAEVLTIKASRDAPGNNDVLTSDTGAEVESEVVGVDDDKTLLLSEAKDEKFSSVGHEDDTQTSKKWVLLREGAVEHDEGSSSEICKSNFKSKRHSERDLSNPKPCKSRKPTDNCFTLSQKYSTTSFCGIEDHLPDGFYDAGRDRPFMQLSSYEQIFHLDSREVILVDRERDEELDAITLSAQALVFHLKHLNGLAEDRDWVPVDNLQIASLLALFVSDHFGGSDRSGIVERTRKAVSGSNYKKPFICTCSTGNGDNASAANKTLDTVEDIVFSDLCERSLRSIKSRRNSIVVPIGTLQFGVCRHRALLMKYLCDRMEPPVPCELVRGYLDFMPHAWNVVLLKRGDSWVRMVVDACHPHDIREETGPEYFCRYIPLSRAKASFTTEGSSGFSCSFPSMTISDEIEVASSSLIRCKIGSMEAAAKVRTLEVFGASFDEVKTFEYSCLGEVRMLGSLKHPCIVEMLGHQISSKWVPMGDGKSEGRILRSAILMEYIKGGCLKTHIEKLAQTGEKHVPVDLALCIARDVASALVKLHSEHIIHRDIKSENILIDLNEKRVDGSPIVKLCDFDRAVPLRSFLHKCCIAHVGIPPPDVCVGTPRWMAPEVLRAMHKPNQYGLEVDIWSFGCLLYELLTLQVPYIGLSEMHIHELLQMGKRPRLTDEMEALESLRESTMTQSGTEFDGTEGEKETLRFLIDVFRRCTEENPSDRPTAEELYDMLLEHTNDFRNSS >OMO54687 pep supercontig:CCACVL1_1.0:contig14913:5263:8458:1 gene:CCACVL1_27661 transcript:OMO54687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YVLICWPGQQLGFARVLRQQQHCAEVGLGFGAVIEWS >OMO54689 pep supercontig:CCACVL1_1.0:contig14913:26777:29558:1 gene:CCACVL1_27663 transcript:OMO54689 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair Rad51/transcription factor NusA, alpha-helical MIATLKAEEASQLQLVEREDIDDEEDLFEAIDKLTSAGINAGDVKKLQDAGIFTCNGLMMHTKKHLTGIKGLSEAKVDKICEAAEKIVNYGYITGSDALLKRKSVIRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPTSMRGGNGKVAYIDTEGTFRPDRIIPIAERFGMDPGAVLDNIVYARAYTYEHQYNLLLGLAAKMSEEPFRLLIVDSVIALFRVDFTGRGELAERQQKLAQMLSRLTKIAEEFNVAVYMTNQVIADPGGGMFISDPKKPAGGHVLAHAATIRLNFRKGKGEQRVCKVFDAPNLPEAEAISLPR >OMO54690 pep supercontig:CCACVL1_1.0:contig14913:30302:32683:-1 gene:CCACVL1_27664 transcript:OMO54690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFDKSVAKSPDALNAPDHSEAVSALKNGFLANHFGSIHPGSVTINLGTSGVMAYSLERQNPLLPRLFAVVDDIFCLFQGHIENVAILKQQYGLNKTANEGIIVIEAYRTLRDRGPYPPDQVVRDIQGKFAFIIYDSSSKATFIAADADASVPFFWGTDAEGHLVLSDDLETVKKGCGKSFAPFPKGCFFTSSGGLRSFEHPLNELKPMPRVDSSGQVCGSTFSVDVEAKKESSGMKKVGSAANWSSHY >OMO68904 pep supercontig:CCACVL1_1.0:contig12158:33934:34918:1 gene:CCACVL1_19769 transcript:OMO68904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MGLHMGLFLGLNNLLFSWGNSYLPVSTSSLLLSSQLVFNLILSVIIVKQKITFMNLNCVILLTLSSVLLALGSSHDRPHDLTRTKYFIGFIATIGAGLLFALYLPIMEMIYKKVYCYAMVMEMQLVMEISATALASVGMALDKGFSEMKREGKEVFDKGEVVYWATVMANVVTWQLCFMGTAGMVFLTCSLTGGICMTALLGMNVLGGVLVFGEDFGGSKAVSTVMCGWGFCSYVYGMYVKMKKQLEHDEDHHKGIISNGILHARAVEMAAPPPTRPHLHLHIGV >OMO68902 pep supercontig:CCACVL1_1.0:contig12158:18687:19577:-1 gene:CCACVL1_19767 transcript:OMO68902 gene_biotype:protein_coding transcript_biotype:protein_coding description:DREPP plasma membrane polypeptide MGYWKSKVLPKIKKVFEKNGTKKAAAEACKAFDDSKEEISKEFEDKKTELQPKIAEIYEASPAEIKGIIKEPKEAGLKKHSAAVQKFLEELAKIECPGSKVVVEASTKYGAALVPGPVLFIFEKVSTCVVIEEAPPAATEAAAPQEETTTKEKEIVVEEEKKEEVAVEEKAQVAEPAAEPVTEPCKPEEAPAPAAAPAAEPEAPKA >OMO68909 pep supercontig:CCACVL1_1.0:contig12158:75417:78248:1 gene:CCACVL1_19774 transcript:OMO68909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDSFQDISKSSFIKVGDSGEHSSESEPESRLVRRPRNPAVRVTGNIDHPNVSSLDERHPLLRETGVLYAAIGRSVNFRKLHDERPSSGRKKFTKVASSVSKYIFIPGSNSSKSSKRRTSKKYSRSINAARLQASKAGLGPVSGYKMFLPTKADRLYMLSHNGRRAFFYKVMFECGFRILGHPFIDQVLDSYGIATSQLNPNSWFLLVGEKLLSRVLLVGIFGKPECFGVRVKDEEAWPIETQWRKVKTTEINDKHFTLTSAEEKQVEHLEKHSYKPRVLVDPARLVLCGLSPEPYKMEGIKKQKCSAGVCTPLTIFTEMERNGVIVEVDTWVRPGSQAMGHGAGKGPMALKLMQEAYEKEQADRPSPSSEAHSYDHGDYEMNPARLAAAQKRLQDELAAVAAAKSASAANVTSLTVGSTLPSIAEESNEADDLRVVASHPSLFSSGIFSGGGFGEWRELERQGQASPLFIELLGRYFAHGLSFGTLHDVAATMLGAEANFSKYEKEIRTTVLEIGDFIDKHKSGASIAQVNQLKADLLESRAKLIAAEGKLQTTELSLKDANKRAKKKDDLLKKLNRTHLKSVNKLQTKVNNLNAELDACYGATARQVLRARASAIYMIRKTKGIDDMSDTKFEDEELPDGDPLSKEFDPKPFEAPLEDPDSSDEVGAIMGKESEGGKEVTNETEGVEQRESPLKKKRKANEE >OMO68903 pep supercontig:CCACVL1_1.0:contig12158:22943:27538:-1 gene:CCACVL1_19768 transcript:OMO68903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance, DNA-dependent ATPase MAESYYEPEAVAMAKSESSTMFIDFSHVMLYNDTLQKAIAEEYLRFEPYLKNACKRFVMEVPKDVDFDDNPNKDINVAFYNIPFTKRLRELSTAEIGKLVSVTGVVTRTSEVRPELLQGTFKCLECGELVKNVEQQFKYTEPTTCVNALCTNRTKWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEQARAGDTVIFTGTVVVIPDILALASPGERSECRREAQRRNPTAGHEGVRGLRALGVRDLSYRLAFIANSVQVSDGRKNIDIRNRKDGDEDDQQFTSEELDEIQMMKQTPDSFNKLVDSIAPTVFGHQDIKRAILLMLLGGVHKFTHEGINLRGDINVCIVGDPSCAKSQFLKYTSGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDVRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYHIAHHIVRVHQKREEALNPTFTTAQLKRYITYAKTLKPKLTSEARKVLVDSYVALRRGDTTPGSRVAYRMTVRQLEALIRLSEAIARSQLETQVKEGHVRLAVRLLKTSIISVESSEIDLSEFQEVNNDGGDDRNDNLGQDDAQPRNVAAETAGAGGNISAVVKEEYFLRVTQALIMRLRQHEETVQQDETGLAGMSQGDLIQWYVDQQNEINNYSSIAEVEIEIKRLRSLIERLIRREGYLIVIDDGRQQEGDGAAQSATDSRILAVAPNYSMD >OMO68905 pep supercontig:CCACVL1_1.0:contig12158:39141:39263:-1 gene:CCACVL1_19770 transcript:OMO68905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFVPLYRRRGPPPAPESNKVFSLPSRAESMVGLNKGFS >OMO68906 pep supercontig:CCACVL1_1.0:contig12158:44456:44557:1 gene:CCACVL1_19771 transcript:OMO68906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRPQALPPIAQAPPPPPLATPCWRATNDPRA >OMO68910 pep supercontig:CCACVL1_1.0:contig12158:88626:91498:-1 gene:CCACVL1_19775 transcript:OMO68910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKERSGGEKNSKMLVGVVCNFAAELKLLLTALLILCTVATLLQFFPSRFTVSASDLRFCISRLAAAAPPPNITATTLVQPSLLNNNTKTEPKRSPESDEVLPGGIIRRAFNPYGAAAYNFITMGAYRGGPNTFAIVGIASKPLHVYSRPTYQCQWLPSNNEGNNITSSSAYKILPDWGYGRVYTVVVVNCTFSQPINLDNSGGTLLLHASTSGGGDTKFNLTDTIPALTEAPGAFNLSLYTSRPKYDYLYCGSSLYGKLSPQRLREWIAYHVRLFGERSHFVIHDAGGVHEEVLEVLKPWMELGYVTLQDIREQERFDGYYHNQFMVVNDCLHRYKFMAKWIFFFDVDEYIYVPPKSTIRSVLDSLSDYTQFTIEQMPMNGKLCHTVDAPKRNRKWGFEKLVYRDVKRGIRRDRKYAIQPRNVFATGVHMSQNLAGKTTHKTEGRIKYFHYHGTIAERREPCRYLINDTAIDFEKTPYVLDTTLREAAGAVKKFELKMIGTRLQNTRQ >OMO68907 pep supercontig:CCACVL1_1.0:contig12158:50424:53726:-1 gene:CCACVL1_19772 transcript:OMO68907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNVLSWNNGFEIWSSKPIVNSTAVRFADDKTPMLLIGPEDGEGNGAKEVSIDRLIYFNPTNIKNSAKLNDQGSYCKDNCNCLGATDTIMFQEIDKYKLPPTAKDTTKEEYVFTLGMTVSATSEGSESVGDQEVLKSTPRKMRQCFPLNK >OMO68908 pep supercontig:CCACVL1_1.0:contig12158:67464:69621:1 gene:CCACVL1_19773 transcript:OMO68908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLQASQVPFASTCSSSYSAPKTLSTCCPSLAVNHKLNKVCGSLSLQRRRKRRNAVVVVGVGKEEAQQQLSIDEDDEAEASSSQATQQLEDEQVDEEDLQCVRQIQRVLELLRKNRDMIFSEVKLTVMIEDPREVERRRLLGIEDPDAPTRDDLVEVLEQVNEGKIPEDRVALRMLAEEMSNWPNLEVEVPKKKRGKSLYAKATDTGVDPKEAARRLNIDWDAAAEIEEADDDSDDTEVPPAVVCFLVLILYTLTNFLYRSICYQFCIPSVSIFKRLALCNQAGLIEALMLK >OMO63147 pep supercontig:CCACVL1_1.0:contig13020:34329:46852:1 gene:CCACVL1_22456 transcript:OMO63147 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC transcription factor NAM-2-like protein MAQISARTNLFQEEKKPKGILSARKLMKNNPIHIVLRKDIGSLLQLLKPPILWDSEPHLSFYDGNYPHGTKTGWKIHEYQILPCFKIWGLEEEGRVFKKLQILQDLPEGNTSSKPEERSASLFRPAALPSIFRRFYEKQKKGRQEREYDGFRWLPVVGKGDSGVGCGGGC >OMO63146 pep supercontig:CCACVL1_1.0:contig13020:15233:19780:-1 gene:CCACVL1_22455 transcript:OMO63146 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MAAAAADNTDHDVLMLSLITENNIGESKRHGNRLLLGLLDKYRTFEDLGNLKKIFLTSREEWFSRKPGKQCCLLPYQFWMVCEDLPQPVLNSNGDAIGFKRTLEFFDGYPTNADSTKIKWCIQEYSTAEDGEDDWLLCQISTAEPEGMNPVPEAELHSIPYIRTKPMENAGMHFFPSDQVLVLDYLACKKTPSQVRKPYVKVIPEAALVTEMCHPHHAFPRVCCV >OMO63149 pep supercontig:CCACVL1_1.0:contig13020:66825:67763:1 gene:CCACVL1_22458 transcript:OMO63149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALNQERSFLVSVVLTDQPWKTMMQEMKKSRTSNEFQIDRLSDLPDCLIHNILVLLDIKTAIQTCVLSKRWNNLWTSLPQLHFDSRSFNKLTPFKKFVLNVLSRCKDNGLFSLRFFQASMDRSFIHRVLRYAASHGVQEVTLQHHLNGLHIAHFLCSCKSLKTLELQACKLSESNFFEPLSKCVNLENLKIFACNVECGKTIKLSAPRLVNLTLAGTVKNCLPGQKNQVVLCAPRLSSFFYVGGYPLALSMNGCPILQRMGICLDAPSNKTQDNVEAYYSDTKNLLQEVCCYAKIIQIQLDSWEVRMQSFF >OMO63145 pep supercontig:CCACVL1_1.0:contig13020:8349:12940:1 gene:CCACVL1_22454 transcript:OMO63145 gene_biotype:protein_coding transcript_biotype:protein_coding description:homeobox protein knotted-1-like 7-like protein MAVGYNNVDVNAAVGNAPGFKSRIEDVKGNFVKRRKDGKLPGDTITVPKNWWQQQSEWPYPTAALAESSKVIVAQDYSGVSTREKRE >OMO63148 pep supercontig:CCACVL1_1.0:contig13020:47845:48347:-1 gene:CCACVL1_22457 transcript:OMO63148 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MRCLQEVEPVLNSNGDGIGFKRTLEFFDGYPTNADSTKIGWCIQEYSTAQDGRDDWLLCQISTAEAEGMNNPVPEAELHSIPYLNKKPMDTLGMYFYPTDQELVLSYLASKNNPSQVETPNVNLIPEAAVYQLTPDQLSG >OMO51143 pep supercontig:CCACVL1_1.0:contig15955:10060:12222:1 gene:CCACVL1_29983 transcript:OMO51143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDEITSFIPENFEGLQN >OMO51144 pep supercontig:CCACVL1_1.0:contig15955:23576:24927:-1 gene:CCACVL1_29984 transcript:OMO51144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESCNSRHFSWLMKSCFPNPNHKSLIITPQPQHLHHNPPAVSLTTLSSLPDDLLLECLSRVPSSSLPSLSLVCRRWFYLLLSPSFLLLRRQLHLLHPSVFAFSATDSGVFAATLSFSSPQRSPSSSWELSLCLPMNTASLHTIPRLVSIGPRVYIIGRNSMLRYNAWTHHVIPKSPFLFPRKKFASAVLFNKIYVAGGGGSKATSAVEEYDPETDTWRVVAHSQRRRYGCIGAAVDGIFYVIGGLKIGGACGNGNGGVEAHVYACSMDLYDVEARVWLRSRAVPGGGCVVAACAVAGFIYVLASHAVELSFWRFDARRKCGNGGGGGEGFGEWCRMISPPMPTQIRLDGTVRFCCVGVGNKVILVQVVGCIDDLLRRSGRSQRGLKEGLVLVYDSVGGEWSRAADLPEVIRRAACEKQTK >OMO67866 pep supercontig:CCACVL1_1.0:contig12360:8705:8794:1 gene:CCACVL1_20248 transcript:OMO67866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERMNKEQETGKSKRGGNIIKNIFIEDDA >OMO67868 pep supercontig:CCACVL1_1.0:contig12360:32698:33931:1 gene:CCACVL1_20250 transcript:OMO67868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNFLLFSHSLPPIISSLMSSNSSLISISHVFEFVIVLPLPPTNNLFVDVLWLLPNLDLVVLPPSNNLFVDALFPYDDLETSIRMNLTYVSSVEPTQAILISMSI >OMO67865 pep supercontig:CCACVL1_1.0:contig12360:3252:4463:1 gene:CCACVL1_20247 transcript:OMO67865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKEAKTIAIQQEKRQAKQDNSFKKSWQQAYNNEIQELGEKLSLDRSAPFHEQSIEGRKPASTRRQG >OMO67867 pep supercontig:CCACVL1_1.0:contig12360:23830:24530:-1 gene:CCACVL1_20249 transcript:OMO67867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MTTTGWSESINAYIKRFIGSRTTLKDFVKQVDIAIDDIEQNQSSDTMLDTYRSSSLRTLSPLENQAHNALTPYSFKMFQEEFAMATQYSIPQEHERTFFVQHYKEASSQKHKVNWDGKFATCSCKQFEFSGILCRHVLSVFLHKDCYEIPQMYLPMKWHRQAMQMDNTPHVEEQVLEDRDLLLDDNTMTSQDDCINCPPI >OMO67869 pep supercontig:CCACVL1_1.0:contig12360:38629:38910:1 gene:CCACVL1_20251 transcript:OMO67869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKDRVCYFLESLNSSARTKSLRSRIQDVGTAMIAAEWRNNHESFSKCKSLPSNNDENSAFSGGKAHKDGQELKWGSGTSTQSLLFPISLIS >OMO86930 pep supercontig:CCACVL1_1.0:contig09384:16712:23303:-1 gene:CCACVL1_09379 transcript:OMO86930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEAATRGEKEEASLSFRPDFFFSKQRRYCLGPYLAAIAKLHPH >OMO86929 pep supercontig:CCACVL1_1.0:contig09384:7750:7974:1 gene:CCACVL1_09378 transcript:OMO86929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGRTRAELNLGICSRARARVSHYSARLGSITPLG >OMO86928 pep supercontig:CCACVL1_1.0:contig09384:1368:3580:1 gene:CCACVL1_09377 transcript:OMO86928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDANEENENVVNVEVEKDNAREKRAAEEGIKMEQPHSTRYIWKLASNMVVTLGKPLFLLHLSLDPQLQKRFLNFVDVPPPNTRLVVSDALWKCLQEWGIKGKVCTITVDNASYNDVAVRNLQDSLSFQRKLPLDGKLFHVRCCAHILNLLVQNGISEIQGIIANVWESVKYISASTQHLNTFNDIAKQLQLPNKKLILDCCTWWNATCSMLSCALEFKQVFSRFAQRDSNYKFLPSDDDWLRVEEVCSFLTLFNEVTNIISGFEYPTSNLFLPELWVIKELIEEKCFSQVPWIEAMAEKMALKFDKYWGDCNLLISIAVVLDLRNKMVLINIAYQAARQTGILLENLENLYKEYLDAYTTATDVELRQNDVSQTDGGSSAGLVVSGRNALTGRSKFERFIQSQNGFADDVKSELETYLEEGVYWCKENSNEFDALEWWKENNLKFRILSKMACEIMSILLTTVASESTFSVGSRVIDTYRSSLGTDIVQMLLCGSDWLRNFYGMKRKKKGQEEVKEILLG >OMO63781 pep supercontig:CCACVL1_1.0:contig12894:19303:20220:1 gene:CCACVL1_22280 transcript:OMO63781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCRQVFDEIPEKDVVSWTVLITGYKNVKKYNDALVAFEQMQYAGVFPNRVTMVNALDACASVGAIEMGVWIHDFTRREGWELDLILGTTLIDMYGKCGRIEEGFGVFYSMKEKNNYTWNAVINGLALANKGEQAVWWFNRMEQEGFKVDDVTLVGVLLACSYSGMVDTGTQIFSFLLEGRYGFLPSIKHYACIIDLLARAGRLNDAFRYIQIMPFEPTSSIWGSLLAGSRSHGNLEWSEFAAKKLVELEPANDAHYVVLLNLYAYMGRWDDAEKVRALMREGGLRKEVGYSFVELEPQQATGL >OMO63784 pep supercontig:CCACVL1_1.0:contig12894:28275:29125:-1 gene:CCACVL1_22283 transcript:OMO63784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLHGVAMSTCTARVLTCLHEKEAEFELVPVDLFAGEHKQPAFLAKNPFGQIPVLEDGDLTLFESRAISAYVAEKFKETGYDLIRHQNPKEAAQVKVWMEVESQQYHPAISPIVYQFFVAPLHGKTPDEAIINENLEKLGKVLDIYEEKLSGSKYLAGDFYSLADLFHLSYTYYFMKTPRANLINDRPHVKAWWEDISSRPAFVEVASGMNFGEEKKD >OMO63776 pep supercontig:CCACVL1_1.0:contig12894:1385:2659:-1 gene:CCACVL1_22274 transcript:OMO63776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSCLYAYFMLLLVVFQSTRPIKGNIFALPPPQCRRVLATSSDVLQFTLNLLYLEATLYLYGSTGRGIDAVAPGLVQGPAPVGAMMANVDRTSRQLMEEIGLENVGIIRAILDTKLVEPIQMPLVNLSLEALNDFVEQAFNDDFIPPFNTYASAVNFLPVSAVLVTSLIRQYYVGILPHLLNHDLQVVKFGAVRQQLYMFANAIIPPYQLNVTTLVDRLGQLGNRLGMCGDKDEGLIVALQLGAENRTTTNVVPADVNSLAFSRTEREILRICYGTGNATIPGGLFPRGVNGKIGDLIRNLRLS >OMO63777 pep supercontig:CCACVL1_1.0:contig12894:4049:7121:-1 gene:CCACVL1_22275 transcript:OMO63777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrahydrofolate dehydrogenase/cyclohydrolase MKPQNLSGDNSLIVGESEGTVRERLKQVCRAAMDAMTALPHRSNTLTQMASPSDHKATIIDGKAVAQTIRSEIADEVRQLSQKYGKVPGLAVVIVGNRKDSQSYVGMKRKACAEVGIKSIDVDLPEDVPESELISKVHELNANPDVHGILVQLPLPKHINEEKVLTEISLEKDVDGFHPLNIGKLAMKGREPLFQPCTPKGCLELLSRSGISIKGKNAVVVGRSNIVGLPVSLLLLKADATVSIVHSRTPDPERLVREADIIIAAAGQPMMIKGSWIKPGAAVIDVGTNAVDDPSKKSGYRLVGDVDFQEACKVAGWITPVPGGVGPMTVAMLLRNTLDGATRVD >OMO63780 pep supercontig:CCACVL1_1.0:contig12894:17161:18423:-1 gene:CCACVL1_22278 transcript:OMO63780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dephospho-CoA kinase MRIVGLTGGIASGKSTVSSMFKYNQIPVVDADVIARDVLKKGTGGYKKVVAAFGPEILQDDGHVDRLRLGQIVFSDASKRQLLNRLLAPYISSGIFLEILKLWIKGHKVIVLDIPLLFEAKMDKWTKPIVVVWVDPETQLPRLMERDNSTEEDARNRINAQMSLDLKKTQADIVIDNTGSLQDLQERFGEVLLEVKKPLTWTEFWLSRNGALSALLGVVIGVLAGRKVFSISSL >OMO63787 pep supercontig:CCACVL1_1.0:contig12894:37496:40537:-1 gene:CCACVL1_22286 transcript:OMO63787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHNLADEEDFNDDYGGNSVSLGDVVVKDKEEGLQLQSRLELLKGKCDSILLNNQERSSLYLEEDVEVPDSPDEGGCFSSRKICTRDSSEELISDGEENVMPSKFSVASGAKRFDHSSGVGEQDRENSWSMVTKEAEALIHLDKNVSSFPSSSMCSKAGKSGKGAKSKMRPKFSFRFQSHKGVSLPAISNSENDVSTNAGEVPERLKANDHRIIDHLIPEVLEDFHGLEEDQLEIVPVDVEPLGHGIIEHSMAELLDDLHDNTSLLRRNSKMHSRARGKRVPAALRRSICSLGDRTIESEELHEPFSGESSSNDEADYQNLELAIPEMKRQTISDKFQEVLGATSLCDEGVFVARPKGVGLFGKLQQVMQREKETDAHFLKKLLNGASLKFHSSLFPYSSDEPSCITVKILSRYLDAKLTVCYCSFVQTIEGVWQPDIPKTLENDERKGTVIFNQRICSNVDLENGNLICIHRPWKEVAVTGHGEKIILSTYFSEITV >OMO63783 pep supercontig:CCACVL1_1.0:contig12894:25901:26350:-1 gene:CCACVL1_22282 transcript:OMO63783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYVAEKFKGSNGCDLLRTQNLKEAALVKTWMEVESQQFSPAISPIFYQLYIEPMRGGSPNQAIIDENSEKLGKVLDVYEKKLSSSKYLAGDFYSLADLHHLPYIYYLMKTPSAKLINERPHVKAWWEDISSRPAFTKVVPGLTLGEK >OMO63790 pep supercontig:CCACVL1_1.0:contig12894:57131:57409:-1 gene:CCACVL1_22289 transcript:OMO63790 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate ABC transporter, inner membrane subunit CysT MMQSSPSASLVHSSQVESSSSKFPVTLSRYETNFDGALAEGLLGGLAGTPVSSIIPLLGLKSDLRFLYFFLARRRASSLKSVPVGYPQWCSP >OMO63789 pep supercontig:CCACVL1_1.0:contig12894:46969:47166:1 gene:CCACVL1_22288 transcript:OMO63789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNVAEPVDEGHTGDQLDDGINNCSLQKLRRYDSLDLESGQVPAGHHTPAATKVYYYHIYAYIN >OMO63782 pep supercontig:CCACVL1_1.0:contig12894:20753:23858:-1 gene:CCACVL1_22281 transcript:OMO63782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPKLHGSPLSTATQRALACLYEKDVEFEFVPVNMREGQHKSENFLALNPFGQVPAYQDEDLKLFESRAITRFVAQEYSDKGTQLLLQGSNKSTAVLSVWLEVEAQQFDGASSKLAWELVYKSLFGMTTDAAVVEENQAKLAKVLDVYEARLTQSKYLASDCFTLADLHHIPNLQCLLASPVKKLIDERPHVCAWVADITSRPSWAKPFGQVPAFQDGDLKLFESRAITRYIAHEYSDKGTQLLLQGSNKSMAILSVWMEAEAHQFDPASSKLAWEFVIKPFLGFTTDPAVVEENQGKLAKVLDVYEARLSQSKYLASDCFTLADLHHVPNVQCLLRTSAKKLIDSRPHVCAWAADITTRLSWSKVLALLKL >OMO63786 pep supercontig:CCACVL1_1.0:contig12894:36000:36869:-1 gene:CCACVL1_22285 transcript:OMO63786 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MEQMRPLEITVISAKGLKKVKHLSKMDVYVVVKISGDSSTEQKTPLHKDGGTSPKWNHAMHFTINETLARTNSLVITFKLICCRTFRGDKDIGEAQVPVKELLDSAGNSNATKYVTYPVKKPSGKPKGELNFLFRFGEKTPSCGVTSYPVHGTAMSYQMYPPPPNNVAVPAYPPVGYNPLAVGFQHPVQEGYKPAAAPPPPQPPLGYPPLGPGSFPPPAAGYPPVAPGYGYPPMVQPERKNESGDGIALGAAALVGGLLGGILLGDLVSDAAASYEAGCDAYENAASYI >OMO63779 pep supercontig:CCACVL1_1.0:contig12894:13003:14129:-1 gene:CCACVL1_22277 transcript:OMO63779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKASDQGAAAGAGALKYQTWVLKVFIHCEGCKKKVKKVLQSIDGVYETTIDSQQHKVTVTGSVDSETLLKKLTKSGKYVELWPETKPEKKEKNKSGKQKNNEKVKDGEEAAGDHDSKKNPAEKLDNATKNGGGGKVPAGDEAGDQQGSESEEPAAASAESGGSNGNGGKKKKKKGQKAKAGPSGDAPPSAADPAALALADLQDQAPPTASMNLSLPIPNHPLYPYAPMYDHYGPPLFGVSYNTNYPSSSSSYYAPAMHANAYGPPPPPSDPIDKFNEDHDDYYDDDESGCSIM >OMO63778 pep supercontig:CCACVL1_1.0:contig12894:8448:8918:1 gene:CCACVL1_22276 transcript:OMO63778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MKGVVISILVMVAMVQFMAKPGVEATVNCQQVTSALAPCLTYLTTGAGAPPPLCCTGLGNLQKMAQSVADKQAACNCAKDAASRVPNIKEDAAASLPAKCNIQVNFPISKNTNCQDIH >OMO63785 pep supercontig:CCACVL1_1.0:contig12894:30820:33752:-1 gene:CCACVL1_22284 transcript:OMO63785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFLTCIVIINTVGSAPGILLDPSMSMATLSAYPTSNPNPNFLPPSEQASQEPLPQIQHNNLSPDSDSDPTPSSNPSFHTPIPNPPEAVPSATPPPSLLHLSFNQDHGCFAAGIDHGFRIYNCDPFREIFRRDFDRGGGIGVVEMLFRCNILALVGGGPDPQYPPNKVMIWDDHQSRCIGELSFRSEVRSVRLRRDRIIVVLEQKIFVYNFADLKLLHQIETIANPKGLCAVSQGAGSLVLVCPGLQKGQVRVEHYASKRTKFIMAHDSRIACFALTQDGQLLATASTKGTLVRIFNTVDGSLLQEVRRGADRAEIYSLAFSSNAQWLAVSSDKGTVHVFSLKINGGSPSPDRSRSTSDPVTSPHSSLSFIKGVLPKYFSSEWSVAQFRLVEGSQYIVAFGHQKNTVVILGIDGSFYRCQFDPVNGGEMTQLEYHNFLKPEAAF >OMO63788 pep supercontig:CCACVL1_1.0:contig12894:41285:44338:1 gene:CCACVL1_22287 transcript:OMO63788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRGVKRASNRRSRESGKMKGTLGRLFQVQQLHHHQSSSATSSSHFARNLLDSQPIDPSTSASALDFDDAAASAAADDKDFILSQDFFCTPDYITPDNQNLTNGFDCNKENMPCPNSPEKPNTLRSKRPRQDGSILVNPLSPTLCGSQSQEIEELGKDNFDVDEVNLQKTAIAGVQKTKSYVSQSAVALRCRVMPPPCIKNPYLTDSSEIDTDPYGNQRSKCAGLFPAIIGGDGLSRYHTDFHEIERIGTGNFSHVFKVLKRIDGCFYAVKHSTRQLHQDMERRKALMEVQALAALGSNENIVGYYSSWFENEKLYIQMELCDYSLSRHTSSKLFREGEVLRALFQIAKALQFIHERGIAHLDVKPDNIYVKNGVYKLGDFGCATLLNKDLPIEEGDARYMPQEILNENYDHLDKVDIFSLGVTIYELVRGSPLPESGAQLLKEGKLPLLPGHSLQFQNLLKVMVDQEPVRRPSAKELVENPIFEKVSNNAKA >OMO55704 pep supercontig:CCACVL1_1.0:contig14601:21945:30503:-1 gene:CCACVL1_27074 transcript:OMO55704 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MLPKTDAVVTEAIHAIAESFNAQLQELRSSQLELKTALENKLDSTLTDIHHQISQLNIANSQKSPSTIDTYKGVLSAKPTPSSFPPPSTSVFQPKTPKYFLTHFDGSNVHAWIFQAEQYFSYYSIAPDQRIPIAGFFMTGEALTWVQWMHRHSLLSDWDSFTRELEIRFGPSIFMNPQTALFKLKQTSTVANYQREFELLTNRVAGFSDEHLLNLFVSGLRQDIQREVIIQNPYSLTMALALAKLYEAKIAETRPQYRAPTTPPTPPLPSRNSQLPSTVPTSKASPLSAGRFPIRRLTATEMQARRSKGLCFNCDEQFKPGHRCKTTPFLLLQSHEESFEPVPPLNDTPMDLYPPSVELSALPLPPPPTHSPATDTSDFQVSLYALYGHSSQSCMKLQCQIRGLPFTVLIDSGSTHNLIQPKVARHLGLLIEPAPPLSVRVGNGDVLHCQGMVSALQIDLQGVNFALDLYLLDVHGAEVILGVQWKMGYYFGSSSSSGRPSHPQQLHRWAQTDAISSANWLTLQATTSEDLEQSLPLDSVPPALAALLQRFSKVFETPKGLPPKRQHDHHIHLILGSKSVNVKPYRYPHSQKKDMEILIIEMLQEGVIRPSTSPFSSPVLLVRKKDGSWRFCVDYRALNAITIRDRFPISTIDELLDKLHGASVFTKIDLRAGYHQIRIAEPDISKTAFRTSDGHFEFQVIPFDLTNAPSTFQSAMNDLFRPFLRRFVLVFFDDILVYNKSLEEHLTHLQQVLNLLLEQQFYAKLSKCSFAQSSIDYLEHIISDQGVQVDPSKIEAVMAWPQPSNVKSLRGFLGLTGYYRKFVAHYATIAAPLTDLLKSKAFHWTQSASDVFEKLKQALTSTPCLALPDFSKPFEVTTDASNVAVGAVLSQDSHPLAYFSKKLNPKLQNSSTYVREMYAITEAFKKWRQYLLGRPFIIYTDQQSLRGLMNQTIQTPEQQKWLVKLLGFQYSIQYKPGTQNKVVDALSRSFPVEANCLAISGLVFSFLDDLRQYFISDTRGKLFFQQCQDSPSEFTIVNGLIMRDGRIVIPDSHPLQQTLLHEFHSTLTGGHAGISRTLVRLSASFWWNNMRKSVKEFVSTCKVCQEVKYLTSKPQGLLEPLPIPSQAWQDIAMDFITHLPISHGKVTIWVIVDRFSKYAHFLALPAGVTAPHLAAIFAQEIVKLHGIPRSIVSDRDPLFVSKFWNELFKLQGTQLPMSSAYHPQTDGQSEVLNRCLETYLRAFVSENPKQWTRILHWAEWSYNSSFHSAACMTPFQALYGFPPPSIPSYLPGSTTVAQLDDSLIDRQQLLKQLKANLARASNRMKIQADRKRVEKQFTEGDLVLVKLQPYRQQSVVSRTSQKLSKKYFGPYKILQKIGPVAYKLELPEGSRVHPVFHVSLLRAFKGDLPATPSPLPTECVNGQPTLEPELILKSRQVKQAKKVLTQVLVKWKQLPESDSTWEWAEDISSSFPNFNLENKVVIQEGSNVMSSSSNGSSLGPRSKRVGMKPSRDHTNLQGPIPDELGQLSILEIFQLSSNNLSGIIPPSIYNLSSIYRFSVADNQLQGYLPPDIGLTLPNLEELYDNQLTGTISEVVGKLQKLGVLYLSGNRLSGLLPSSLGNLTELTRFLMDNNRFEGIIPPSLGNCQKLLVLNVSNNNLSGPIPREIMTISSLSIALSMSNNSLSGSIPAEVGKLNNLVKLDLADNRLSGEIPSSLGGCVSLHRLYLEGNAFEGTIPLALESLRGIEEIDLSRHNLSGQIPNFLSKLSFLKHLNLSHNDFEGEVSEAGIFGNASAFSVIGNNKLCGGVQNLYLPSCTRKGQGSHIGLKVVLLVTSAVIVVILLCSYASCYLLRNSRSRSGALSKQGDSRSFMDECNALRSVQHRNLLKIITACSSINHQGNDFKCLVFEYIPNGNLDQRLHPGADDQFLSINPCNDIHSLSVQPKGSIGYPEYGIGGQTSIPGDIYSYGILLLEMFTGKSPTNDMFNEDLSLHNFVEVALPQYAMDVVDLSMFSKEDNSKEEITAETLVEEFVVPVMKIGLSCSATLPAERMIMIAVVNKLNDIKDRFMKLISNSKRRIRKHY >OMO55701 pep supercontig:CCACVL1_1.0:contig14601:12854:16782:1 gene:CCACVL1_27071 transcript:OMO55701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRARCTIRADDIPRACHRTDGSLRHVNMDGYRPSYNVGPGMNLPVVRRDDRANSGSGGEVVLHCMKWGLIPSFTKKTDKPDYFKMFNARSESVFEKASFRRLLPKSRCLVAVEGFYEWKKDGSKKQPFYIHFKDGRPLVFAALYDCWENSEGEKIYTFTILTTSSSSALKWLHDRMPVILGDKGSADTWLNDSKIDSLLKPYENSDLVWYPVTPAIGKLSFQGPECVKEVPLNTQEKNSISKFFSTREVKKEEESNTQKSSCDESVKTNLQKNLKEEPKSADDEEIPSSNEEGADDSKFSVPVPTSKDVGKCQIKRDYEEFSADTKPAKEEIEVSPAKKKGNIKGGSDKQPTLFSYFGRK >OMO55702 pep supercontig:CCACVL1_1.0:contig14601:18304:18981:-1 gene:CCACVL1_27072 transcript:OMO55702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSQTLLLRASIYRPPQNPKSPILTSKPRFSSLSLPIKPTNFNQKHPKFAPLASLSPSPTVPCRLTQTPSESPLGDSTRTIKTLFSIALSATIIFTKMVQSFAIKTISQAPNALSAAGPLFFASLKDRPSGYLNTPLTVVAAGLAKWLDIYSGVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPIFDTLDVSPLLAFAVLGVLGSILSSSRAM >OMO55703 pep supercontig:CCACVL1_1.0:contig14601:20784:21268:1 gene:CCACVL1_27073 transcript:OMO55703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFASVFTRPDHSKNKVIILSGPTITLHCTTGRDPRQKPRRFVLFPSRIVLPLLLHLRCSRLRPRHHPTSTDEFGNIDMPMEPDLFISIP >OMO92656 pep supercontig:CCACVL1_1.0:contig08188:181:949:1 gene:CCACVL1_06791 transcript:OMO92656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRSRQSGGSRITDDQINDLVTKLQQLLPEIHNRHSDKVSAARVLQETCNYIRSLHREVDDLSERLSELLATSDNAQAAIIRSLLMQ >OMP10488 pep supercontig:CCACVL1_1.0:contig02414:697:822:-1 gene:CCACVL1_00942 transcript:OMP10488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTEPVNAEGSIEMKTNPNDLSGRMAEQTRDHFISIYSDS >OMO97451 pep supercontig:CCACVL1_1.0:contig07239:61947:66569:1 gene:CCACVL1_04548 transcript:OMO97451 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Esterase PIR7B MGNLCTCFARKTVKKRPVTKRLQNQQTGPNSSNRWARVRSSRKDKLDDTLLQEQALAAAILFRQHQQQNGGGSLPFDRSASLRYPNSYSGSKKAGQLPRSSSSRARSLTDPLLQPQQLVNQDIKHDDLETNHFVLVHGGGFGAWCWYKTIALLEEGGFKATAVDLTGCGIHSFDTNGITSLSQYVKPLTDFLEKLPEGEKVILVGHDFGGACVSYVMELFPFKIAKAVFVAAAMLTNGQSTLDIFSQQASSNELMRQAQIFLYANGNDHPPTAIDMDKSLLRDLLFNQSPSKDVALASVSMRPIPFAPVLEKLSLSDMKYGSVRRFYIETPEDNAIPIALQESMINSSPLEKVFRLKGADHSPFFSKPQALHKLFVEISKIPLA >OMO97458 pep supercontig:CCACVL1_1.0:contig07239:104172:104570:1 gene:CCACVL1_04555 transcript:OMO97458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQFQKKNGELGLALVVLVMILMVGLEAKCCRAAILVKSNATYECKSNGRLEDCRIEEDLELELDNFDFLTTWNVFRILQDGKDNHITGNTNNANQHLCQGLKGEPYDQCIAKLNGKKVPEHCSTYNKGCK >OMO97463 pep supercontig:CCACVL1_1.0:contig07239:142527:149839:-1 gene:CCACVL1_04560 transcript:OMO97463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAQKWKSSTSEATGGTGSLEGVTAGSGHFATIGANMELEFLMDSEIGRMLADQNNYHPTSGTGQPNQLPVNCGRLNPYKSCLDSRHNMPSPEENEEDREGERLMNGTSSVADGDGLGGELPALEERWSGARF >OMO97455 pep supercontig:CCACVL1_1.0:contig07239:78736:84169:-1 gene:CCACVL1_04552 transcript:OMO97455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKHLDWTSKSFSSSGSERVPLLYKENRKQANDQQSPNDPVSDLEHGAVEAANVGFCRVFALAKPDSGKIIVGTIALLISSITSLLIPKYGGMIIDIVSRDTTTPEQQSDALEAVKNTVIYIVLIVVVGSLCTAIRAWLFSSASERVVARLRKDLFGHLMQQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNLTTALIGVVFMFTSSWKLTLLALAVVPVISVAARRFGRYLKELSYATQAAAAVASSIAEESFGAIRTVRSFAQEVYAISNYSEKVDVTLNLGLRQAKIVGIFSGGLNAASTLSVMVVVLYGAYLTIIGSMTAGSLTSFILYSLTVGSSVSSLSGLYTTAMKAAGASRRVFQLLDRVSSMPKSGDKCPEGNPDGDVELNDVWFAYPSRPNHMVLKGITLKLTPGSKVALVGPSGGGKTTIANLIERFYDPLKGKILLNGVPLVEISHEFLHKKVSIVSQEPVLFNCSIGENIAYGFNGKASSAEIESVARMANAHDFIEKFPEKYETIVGERGLRLSGGQKQRVAIARALLMNPKVLLLDEATSALDAESEYLVQDAMDSLMRGRTVLVIAHRLSTVKSANSVAVISDGQIAESGTHEELLREDGIYTALVRRQLQGSNTN >OMO97460 pep supercontig:CCACVL1_1.0:contig07239:119482:122124:1 gene:CCACVL1_04557 transcript:OMO97460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMVNFSMIIPITLFFAFLTKSVQPQNVPTDNITLNCGATSDTNSSDGRFWTGDHKGSKFGPFESTRNPSATYEADNQGGGSVPTVPYMTARVSTSEFNYSFPVTPGQKFLRLYFHPASYGGFHESKAFFSVKTGSFTLLKNFSASLVAQSLKVDSFVREFCLNVEEKHDQVLNLSFTPTSNDTYAFINGIEIVSMPTNLYYTPPDNGNDAPTFIGQTNPYSVDNRTALQTVYRLNVGGKTISPVEDSGNLFRLWSDDIGYMSTNSYLTENTTAPIQYTIRTPNYVAPVEVYQTARTMGPNLTYNKQHNLTWVLPVDSGFMYMVRLHFCEMQDLIDKVSKRRFTVFINSQVAEPAADVIRWTEHSNVPTYKDYVLRQENSKPNLTIDLHPTANNAIYFDVILNGIEVFKLSHFDGNLAGLNPQVSSVSQPAEPPNGKSKEKQRMVITGVGCALGLLVLLLVLASMVVWRYRKSHNKGKSRKPSLLPEELCRHFSLDEIKDATRNFDDDLVIGKGGFGKVYKGFLDEGETIVAIKRLNPESGQGLKEFLTEIEMLSQLRHVHLVSLIGYCNEKTEMILVYDFMSNGTLSDHLYGTSSNYDPLTWKQRLEICKGAAIVLNYLHTEVKHTVIHRDVKTNNILLDDKFTAKVSDFGLSKTGPKDDMLNTNVKGTFGYLDPEYARGHTLTDKSDVYSFGVVLFEVLCARKALDRNLPEGQVSLVHWARKCIADGSLYEVIDPVLKRKIAPECFNVFVEIAESCIAEAGANRPSMNDVMEKLRFAIELQEAADYEKKKMDPEYEPSYQDIVFPVARDMDFDDESEISDSEFSTIDSSIFNRSSVLDSYTTGMTSTIGSTSSSFLIHGSTSSSDLFSSNNNTKSTVH >OMO97464 pep supercontig:CCACVL1_1.0:contig07239:152604:158824:1 gene:CCACVL1_04561 transcript:OMO97464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEASAATGGNSHLTVKALNPAQAASCDRNTGKSCAPPPNSGRKVPPNCAPVSYNRDCHRFNNDGSPLRLSLAMMAPSPPPSFISNGIVNYNFLLRIRILRLTACRQHLSFSPSSL >OMO97465 pep supercontig:CCACVL1_1.0:contig07239:159144:159266:1 gene:CCACVL1_04562 transcript:OMO97465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYVSLVKDGRDAFEGSPLVRIDCKGMHASDYKKIGAKFM >OMO97452 pep supercontig:CCACVL1_1.0:contig07239:69665:70933:1 gene:CCACVL1_04549 transcript:OMO97452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSAGGGQSSLGYLFGNGESGNNAQGTPNQRRAAHNEPSQKPTSSSTPIDKQTPAGIHGHLTNNYFRVDGQNCGNFITDRPSTKVHAAPGGGSSLGYLFGGGDGN >OMO97457 pep supercontig:CCACVL1_1.0:contig07239:96224:97329:-1 gene:CCACVL1_04554 transcript:OMO97457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVEKAAGSDPKKQAKKFDDHDVVEGTRKLEERRTQPLPARG >OMO97449 pep supercontig:CCACVL1_1.0:contig07239:33818:37957:-1 gene:CCACVL1_04546 transcript:OMO97449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKFMYSLSEENPDLQKQIGCMNGLFQLFDRHHFIGSRRISSSNHKRLPPGKNGKQGPEPKVDSEKIKENNLKKTVKEKQRFSFESPRTSFSSSSCSSSFSSADCSKTSQVDRSSLSQTINPDIPRQELSSYRSNASLQSSQQSLDIRNVVKDSIYREAHGLSIKTATKVEAGRHQTLKYIDSPRPSPKPAKTRSTSFDGSSRVLPKFQEAPRMSNEHKDGSLSFAPRDAPRFSYDGIGRGSQDALKIKLKDLPRLSLDSSRESSIKGSINSMKSNFLPGELQRSSMNSNDTKNQQQEPGSYKGPSSVVARLMGLEASPNLMLNGNQRGQLETCQNLKDDPLSSSSRTEENKQNWISGSPRNLKKEPSSPRLTNVDSKKPVATRCPIEPAPWRQLNGNKGQASASKCQEVPTKVPNSSLTVYGEIEKRLAELEFKKSGKDLRALKQILEAMQKSKQKVEIRKEDQSPNFISHTDAANLRKLQSSTAVSVITTKGTCSPTSLRSPITIIKPAKSMENASNSTSAVVATGSLRRLRLSNPEDTRNEKVDKRSYKDLTPRPSPSRDPSNRLHSRDKSTAKNVRFNQNSIGPSPTARENPKLAMSSETRSLKLQQKKDELEKQPHLTVQTSDQRGSRRQSGRLQAEAGLPQRKPRHKSHNLQRNYDQLSDMSNDIRDFSHQGDASSMQSDSNMSTASCNDVEVTSTDRSFKIDGVFHHGKKQNNPPARFAEGDATAEHPKTSMEQPSPVSVLDATFYGDESPSPVKKKSNAFKDDESLIPGEADWSTIGLNHLSSCRETSLRSKAVYKKAENIQHLVQKLMHFDSIHEINEISPVYQSPNSDHKYIFEILLASGLLTELDSSSMVHQLHQLHQSGHLINPKLFPALEQTKKSMRLLNEKHVGRKINQLEPIEKNHRQLIFDAVNEVLVRKLVKHCSYEQSFSPVMLEDKRPRGQQLVRDLCSEIDNLQTATKISLDDDDDSLRSILWGDLTLGSVDWTEGHSEIPCVVLDVERLIFKDLICELISGEAANLQGQPGRHCRRLVLNVQ >OMO97456 pep supercontig:CCACVL1_1.0:contig07239:91205:91567:1 gene:CCACVL1_04553 transcript:OMO97456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHNLLAILSCVMLMLFHISCCRADALTEKILSNASYGCSGGGRLRDDSRIGEDMEVEMLMESHISRMLIGGNGKPTIDFTKAAQQTLNCGAGTQYGPCVNGKKVPNNCSTFNRVCQKK >OMO97450 pep supercontig:CCACVL1_1.0:contig07239:47697:61347:-1 gene:CCACVL1_04547 transcript:OMO97450 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor MRSHEKDMMKEAAENLEVDLVKANDENQSLHKNGGVSDGSDSVSFVGTLETSKDAAHIFAEVKSAMKMEIKFKDGMEQQQLDSSENSGLSQTDQLPEEVVLSHTIQISTLTLSDEGNSEGKVDSIDIKEIKDVSLCVIRSPVRHLKNKLLVLDLNGLLAGIVSRPPKNYKPDARIAGRAIFRRPFCDDFLKFCFERFEVGIWSSRLGKYVGRFVDYLMGDMKTKLLFCWDLSQCTETKFIAPGHRYKPVVFKELRKIWEKHDPDLPWEKGYYNESNTLLIDDSPYKSLLNPPHTAIFPYSFNFDRNDNSLGAEGDLRVYLERLASAENVQKFIEQNPFGLMKRGMVEEAAEYVEAGLAKKNDGKRSSYEIGGVSTSFDSFSTPRIEVQDNPVETGKDASQIFAEVKSVMKRDTKFRKRMVQQQLDSSENSGQSQTDQLPEEAVLSYTNQMNTILTPSLSDKGHSEGKVDSYDMRTKYVSLVVLRPPVSHLKNKLLVLDLNGLLADILFNPPKNYNPDAHIAGRSIFRRPFCDDFLRFCFERFEVGIWSSRQSKNVERLSDYLMGDMKSKLLFCWNSSQCTTTQFNLPGHKYRPLVFKELRKLWEKCDSDLPWEKGYYNESNTLLIDDSPHKALLNPPYTAIFPYSFKFDRIDNSLGAGGDLRVYLERLAAAENVQKFIEQNPFGQMAITERSNDWSFYRQVINTLAHTALQNNSRLPV >OMO97448 pep supercontig:CCACVL1_1.0:contig07239:30779:31927:1 gene:CCACVL1_04545 transcript:OMO97448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHGGLENSKSQNQSKSSKFRSKSDAFALAIAKVAAAQVCESVGFQSFQHSALETLSDIIVRYIFSVGKTANFNANLAGRVEANIFDVVQGLEELGSGLGFAGASDVEHCLLNSGIVRDIVNFVSDADEIPLAYEVPQFPVVKELNRAGSFLDKGEEPPSEHIPSWLPAFPDPETYADRLSVGNETTSALNGDKNELTRIESKVGRSLLNLQQRFARDGDEAGSSRDGGDAIRAREAAESNPYLAAPLHFGEKEVEVSPVVLPAKLSREGALKIPVAENCLVGNHVSVLKTFTPAIEAMKSGLRDSDNGHKEVLHNQRPTVHFKIGFGKKYSGLAPDSNSQSKGFEKIASWFGNDNEKDDKKRRAEKILKESMENSQDLAQL >OMO97461 pep supercontig:CCACVL1_1.0:contig07239:126971:129559:1 gene:CCACVL1_04558 transcript:OMO97461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTTAKDIFLNCGSSSNSIGLDGHDWTGDSGNTPFLSGQYSNGSSVVLSSLPTSIDPIPFMTARIFQSSFTYSFRVSPGQKFIRLHFYPGNYHQFQRSKAFFTVTSGPFTLLSNFSPSYSVDSLGLQTLVKEFCLNIEGNHQVLNITFSPSSTPLGAYAFINGIEIVSMPTNLYFTGSNDRRIHGSALGNRFPVENSTALEMIHRLNIGGGSISPENDSGSLYREWFDDKDYFRGPGHGLVNAISIRIKYLKVAPYIAPAKVYQTSRSAIKSKSLAWNFTVDSGFIYLLRLHLCDIQQEATKRGRRKFLIRIGDGKGKNIEEDVISWSGGRGIPVYRDYVVKVVQNEGNFGKTDLVISLGNNSNLKSLNSNPILNGLEVFKLNDSQGNLAGLNPVLKTARIPSPGDRSEVVEFTIGGAAVLLILLSGNGGFGRVYKGYIDGVDTPIAIKALKPTSTQGSNEFEAEIKMLSDLRHLHLVSLIGYCDEGIKIIVYDYMPKGTLRDHLYSKENPPLSWKQRLEICIGVAKGLNYLHAENPKIIHRDIKPSNILLDENWVAKVSDFGLSRLGPTSLSRSHVTTRVKGTFGYLDPDYFETSHLSVKSDVYSFGVVLFEVLCGRQAVDLSLDDEQQSLAEWVQQRIKAGKLNRIIDPNLKGEIAPECLKVYASIALKCLNCDKHKRPTISSVLKRLERALDLQNHCTDSVSDCESLSSNDMEIVSRPCNNNSKAVHSCPTFWNKTVSHKELLRILSDKAGLKWSKRPPALSLCGLQALYCSVPAYGALSRDGHMSNSSDYGTPGRVMVPILFDDDDTFKL >OMO97462 pep supercontig:CCACVL1_1.0:contig07239:135960:136289:-1 gene:CCACVL1_04559 transcript:OMO97462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEANNMKKICLCLAILMLVSMNSCRAISVETNSSNSIIAVDEELEFLMDSHSSRILQGGGTVTGNTGNGGQSAVNCGRYQPYDSCTPNPNRPIVPQNCGTYNRVCNR >OMO97459 pep supercontig:CCACVL1_1.0:contig07239:108215:108565:1 gene:CCACVL1_04556 transcript:OMO97459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILVVMMLMLGLKAKCCRGAVIVKSNASYECTSNYGRLDDCRIAQDLELELDNLDMLMMINSNVFRILQGSGDGVYYTNDAKQVLCPKDKGKPKGRCLPENNGNQVPDNRGIFHR >OMO97454 pep supercontig:CCACVL1_1.0:contig07239:76657:77571:-1 gene:CCACVL1_04551 transcript:OMO97454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIB MAMMVFCSDCKRETEAILDTRQGDTICTECSLVLESHHIDESSEWRIFADSDDNGKYDRERVGSKQNLLLDQAILQTFASNPNPKKGGGGGPFVGSLNSLNQSNSDKGLTEGFKMIENMADNLGVVRTIKDGACEIFKNVEDNKSCRGRNLKATVAATLYIACKELKLSRTLKEISRVADGVAMKEISRAVEVIKKRMEVETGGVQPAELVRRFCSKLNMNYQAIKAVEEAVEAVENFDIRRNPKSILAAIIYMIDQVSGSARSFKEIAIVAEVAEGTVKGSYKDVAPLASKLIPKWYPQQVLV >OMO97453 pep supercontig:CCACVL1_1.0:contig07239:72965:76030:1 gene:CCACVL1_04550 transcript:OMO97453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIVPTSEEDPALAVVRFTAELAWADAGADVAEPQVTRLCVEAQECMVMGRWLDLASLMLTSADLVFSKVSDKDLECIFTVICNLVSKLESSDEELEVAKLISTKVTQQPNDKPAMRLKILFNLYNLLGAPASRFFVYMQALNLALNGKVTDHVTPSFKKIDSFLKEWNIGIKDQRNLFLTIANIFKESKSSTKDSFKFLTKYLATFSGEDSLAMSEAKEEAVRTVIEFVKAPDMFQCDLLDMPAVGQLEKDAKYALVYQLLKIFLTQRLDAYLEFQAANSTLLKSLVHEDCITKMRLMSLVDLGCNESGQIPYALIKDTLRIEDDEVELWVVKAITAKLIDCKMDQMNQVVIVSRCTERIFGQQQWLSLRSKLATWRGNISSLINTIQANKAVEEGSQAMQGMAIR >OMO97447 pep supercontig:CCACVL1_1.0:contig07239:19851:20987:1 gene:CCACVL1_04544 transcript:OMO97447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MIASSKEADSPNRQEGNNTNDTKVSKNPSSSTSTPWLRLKDPRIVRVSRAFGGKDRHSKVCTIRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAAKHEIDELPPLPIPPGNFALNHQIILSTTSQEVAGASQSNKDQGLKASSVNWEDPAGGLSRSSFWNSDAFWRAKSKEVARDTTNEKDNSWNKRSDEEEKQEGNNTEGGATQQVSSDHSMLQRAAMLNNAMPFGSYYHFEPSNFPILGSHGFASSSSHTEDLHNFNVVPLPSTLSLSSGSQILVCPPPPPAGATQPLFPPHATANSLDNIDPRQHVNHFQMLSSGAQNLLPNSLNSPVAYSISQSMRPFPLSMSMTPPPRLPHSHNSSGSHQPDKQ >OMP11597 pep supercontig:CCACVL1_1.0:contig01122:2828:8307:1 gene:CCACVL1_00412 transcript:OMP11597 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTITYQKYTLNIWDVGGQRTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGASLLILANKQDIKGALTPAEIAKVLNLEAMDKTRHWKIVGCSAYTGEGLLEGFDCSFQKKMRIRGLFSIRSGKLLNFSLLQTMNLAPFFRTGKHVENAHAWTYYSCFSTGDDFKMVPKKMMLAKDLACLVEESSHQDERKAKSRMELKRSLELRVKKRVKEQFLSGKFQNLMSKVIANPDTLQDAFDCIRLNSNVDISVKDDSICFKSLAEELLVGSFDVKANTFSVATRGKRKEVLVLPNLKLRTVQEAIRIVLEVVYRPHFSKISHGCRSGRGHSTALRYISKEIGAPSWWFTLILNKKVDVNILAKLISKLKDKVEDNQLYAIIQSMFDADVLNFEFGGFQKGHGLPQEGVLSPILMNIYLDLFDQEFYRLSMRYEALNTGVYKNEDMSHSKLRDWFRRHLKENDLKDRVNDDSSPRVHCCRFMDEVFFAISGSKDVALSFKSEIVEFFKNALGLDVDDEQREIQACDGSKGIQFLGVSVRRSMREGPAIRAVHKLKEKVKLVALQKQDAWDAGTVRIGKKWLGHGLKKVKESEIEHLADSNSTLSKISCFRKAGMETDHWYKVLIKVWMQDVKAKAAENEDSILSKYVVEPALPKELKESYYEFLNRANEYVSSETAATLALLPNSSSNTGFVAVTEIIAPADAIKKRLLRYGLTTSAGYPHVASLLILQDNFQIIDWFSGIVCRWLRWYHECDNFNEIKLLISSIVRKSCIRTLAAKYRINESEIEKRFDSELCRIPSVEEVEPEMTYETSDPNTFENDEALMYGISSSGLCLLSLERMVSQSRPCNCFVMGCSVAAPSVYTLHAMERQKFPGWKTGFSSCIHPSLNKRRIVLCKKHLKDLYLGHISLQSVDFGAWRYDLLSLPSHSLNMILQHYEDLQFGFLDLPYANQALMVAECSESCSAVPKVVNHDQCLRMIDAHVFRVIDRYKYKELRVKRIHFHFSFLLDLEFHQIRAGNLGGMKNKSICSTLVLWGILWRFFILFTLFFFLLHIFKFMYTKFH >OMP11598 pep supercontig:CCACVL1_1.0:contig01122:9264:11965:-1 gene:CCACVL1_00413 transcript:OMP11598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MEVPTKVHTVANKSNDTIVCYAPTMITTNGVWQGDNPLDYSLPLFILQLTLVVVTTRIFVLVLKPLRQPRVISEILGGVILGPSVLGRSAVFANTVFPLRSVMVLETMANVGLLYFLFLVGVEMDLSVIRRTGKRAMAIAISGMILPFLVGAFFTFMLHNKVEGNHNHGTYILFLGVALSVTAFPVLARILAELKLINTDIGRLAMSSALINDMCAWILLALAIALAENDNASLASLWVVLSSAGFVVLCIFVVRPIISWMIRRTPEGESFSEFSICLILTGVMIAGFITDAIGTHSVFGAFVFGLIIPNGPLGVTLIEKLEDFVSGLLLPLFFAISGLKTNIDSINGGRTWGLLALIILLSCAGKVAGTLLVTTFYKMPLIEGFALGLLMNTKGLVEMIVLNVGKDQKVLDDESFAIMVIIAIIMTGTISPIVANIYKPSRRYVAYKRRTIQKSKPDGELRVLVCVHTPRNVPTIINLLEASNPTKKSPICIYVLHLVELTGRASAMLIVHNTRKSGHPALNRTQAQSDHIINAFENFEQHTGCVSVQPLTAISPYSSMHEDICSLAEDKRVALIIIPFHKQQTVDGGMEATNPAFRTVNQNLLSNAPCSVGILVDRGLSGSTRLAAHEVSHHVVVLYFGGPDDREALAYGWRMSEHPGTTLTVMRFTPGEDTADLARSASTQSDPQMLTPVDTGSNKENQLDNDYINDFRMKTANDESVIYIENVVNNGEETVAAIRTLDNSHDLFIVGRDQGSISPLTAGLTDWSECPELGAIGDLLASSDFASTASVLVIQQYVGLMPNESAIPDSPGQPDYDEPTIRQTPPRGPPVFDT >OMO55302 pep supercontig:CCACVL1_1.0:contig14731:6636:6791:1 gene:CCACVL1_27319 transcript:OMO55302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIPQNPIQRGAGQMKQLSKRANEDKIATVYFIKFKVNASIRNSVKEGLSYK >OMO72344 pep supercontig:CCACVL1_1.0:contig11463:380:1337:-1 gene:CCACVL1_17850 transcript:OMO72344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTAVSLQSILIVAVTNMMELETPISKRLIVPLLE >OMO79991 pep supercontig:CCACVL1_1.0:contig10356:578:1489:1 gene:CCACVL1_13244 transcript:OMO79991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLDARQDMAIVDVPKLGKEAAAKAIKEWDQPKSKITHLIFHTTSGAEMPGADYQLTKLLGLRPSVKRFMMYQQGCFAGGTVIRMAKDLAENNRGARVLVVCSEITAVTFRGPSETNPDGLVGQALFGDGAAAMIIGADPIPEVEKPLFELVTAGQSILPDSDGAISGQLREAGLTLHLVREVPNLIAKNIEDSLIEAFEPLGISDWNSIFWIAHPGGPAILDRIEAKLALNPERLRATRHVLSEYGNLSSASVLFILDEMRKSSREKGFNTTGEGLDWGVLFGFGPGLTVETVVLHSITA >OMP01714 pep supercontig:CCACVL1_1.0:contig06379:9217:9715:1 gene:CCACVL1_03019 transcript:OMP01714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQWCKPPSCWFILNTDGSRCHADNHATAKGCALLVVEMDVAVVVHFLRNSMLSSHPCYTLVRDCLEIIEGGWIIEIRHVYREGNRCADCLAMLAHDAANGLSICPEPPDELLTLISICPELAF >OMO77060 pep supercontig:CCACVL1_1.0:contig10832:19573:30414:1 gene:CCACVL1_15216 transcript:OMO77060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MRTGGCTVQQTLTTEAASVLKHSLSLARRRGHAQLTPLHVAATLLSSRGSILRRACLKSQPRQSQISQSHHHPLQCRALELCFNVALNRLPTTPAPLLLHAQPSLSNALIAALKRAQAHQRRGCIEQQQQQQPLLAIKVELEQLIISILDDPSVSRVMREAGFSSTAVKNNIDDTSVFHSNINGGGGVFSSPCSPHSHPDYNSCQRDYFSTTTLWQQNQHPNPFLYSPPQNRVVSTSKNYKIPDSSNSFKEDIKLVFELLLRKKVRKNTVIVGDCVSTTQGLVSELTSRIERGDVPEEIKNVHLVNFYFAPLSLKFMKRQDVDKHVADLKRKVDSIAAAIIYTGDLRWTTEEEEEEENSNGDYSPVDNLVSEIARLMSEYRSSNKRVWLVATATYQTYMRCQMRQPPLEALWALQPVSLPSAGLALTLHASSVHDSRMSLCDGVERKANANKEEVDKLSWCPECSLNYEKDVELLFNSPSAAHQKPLPCWLQPHATAQKDELVELRRKWKRVCQSLHQNQKNLRSSIYNYSSQLRLSSWWPNCQTSSNISFTTDSGSNSVVPKFRRQNSCTIEFNFGNYGTRKQPSAEPEPNLDSLKNNNKSESDEEDKEEMITLALGNSNSGKLPSPNQDEVCKLLQANVPWQSDLIPPIAEALTDFSSKKDTWFLIQGNDFIGKRRLARSIAQCVLGYPDFLLHLNMNINMMTSSESDQVTSSLLRNNQNQKLVVLVENVDLADTHFLKLLSDRFQSKSNSNSNSNSRQGPEAKAIFILTKSINSSTSNIHLQNINQADSTVIQMKLNIIVKGKGSSLIRTPNSENNKRKASWDNTVSVSSLIQKSPRIDDEIISGNTKKKDFSSFNTLVDLNVKADEELDDDDDDIETGSKPGDLSPISSDLTRETIVDPNIPIGFLEFIPNRYVFHQKQDMKDYFTSKIKESMEEALGGGENVIRFSVEDRCGFALGDCIECGFALGVKALGLKLWDCNRFCEDLVNFTAINTLHCALNITEFNRVSTCVNAKEVWEKLRVTHEGTSQVKESKISLLQYEYETFKMIPDETVNSLIDRFSSITNRLSHLGKAIPKSERVKKLLRALPKSWNPLITAIRESRNLNETTFDEICRSLLTHEVELKSCDDDEKRKAAEKKRGLALKVSTLEEEIESLSIDDSDKESDEKTALFTRRYKKLIGRRNRLYKKNFKKEKLKGEVSKQTPVICYGCRKPRHIKPEFPLNNNENQKKEKKKKKKAMMVGTWSNSDTSSSETSDAEEDIKVNLCLMAKENENELVTDSVVSFEELQFEFDSLYYDFEKLAARYKALKKMNSGLMNDLDCLKKELTSMQESKNTVEEALKHATEEKEKLEHELSELKKVDIKVTKSSGSNYSSGNRNQRNFRPKVMECYICGRRGHQSPDCYQKDWNLPKIKKIWVPKGTYVVTNHQRRIAIWGWRVIFNSRSCKVIDINTNKLIFIGKRHGNVYIVYLDDLQLNKDVCLMVNDATASWLWHKRLGHASLSTIAKLISKDLVSGLPKLNFNSNKICEACQFGKQVRSSFKSKNVVSTTRALELLHLDLFGPIDVTSMGGRKPNISHFGKFGCKCFILNNGKHPIGKFGARSDEAIFLGYALNSKAYRVFNKTSLVVEESIHIVFDETDSALRKVMQDDDDAGINETNKKEIVTTDDTNEKATEESNEDPPIETLQRQEHQHEDLPKAWRYGKSHPPDLNIGNPSEVPPPANHPIVGTKWVFRNKLDENGRVVRNKARLVAKEYNQEEGIDYDETFSPVARLEAIRLLLAFEEVYAEQPPGFEDSNHPDYVYRLHKALYGLKQAPRAWYERLYKFLLDKGFTRGSIDTTLFFKKKKSDFLIVQIYVDDIIFGATNESLCKYFAKEMQGEFEMSMMGELNFFLGLQIKQCSEGIFISQSKYTKEMLKKFCMEDCKPMKTPMATGTKLDSDEKGKVVDQKLYRGMIGSLLYLTASRPDILFSVCLCARFQSGPKESRLVAVKRIFRYLQGTIGLGLWYPKGSLLDLSGYSDADFAGSKTDRKSTSGTCQFLGEMLVSWSNKKQNSVALSTTEAEYIATGSCCAQLIWIMHQVRDFGITMMEVPLYCNNTSAINITKNSV >OMO77059 pep supercontig:CCACVL1_1.0:contig10832:10821:15109:-1 gene:CCACVL1_15215 transcript:OMO77059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MSEKKSESSGSKAVPIVVQSEGVFNVGILLSESNYDVWSQLMEMHIAEREKLSYIREKTEPPAEYLMMGTRSGLDNVYEQIRGQILSKESIPTLEDCYALIRREAVRRETLKIEHGNLEAAVMGIQIGGIIIEHPRKKNSKKTPAATVVETKADDDVVEMNSALAGAIDRGGKNFISAHVSNSTWIIDSGATNHMTFDSRQVIDLKQSSQKFGSIANGDEAPIVGEGSLTLTDSLNLDSVLVVPSLDYNLLSVSQITSGNGVDDQEEHESRIESEEIGDVVPSVTEDKTLIDIPHQSSAEDVPIPAPEPPRKQLPERLTRAIPNSVQEALDDPKWRAAMNEEIWHSGTIQSEIGGKRSPRAWFGRFAKSMIAFGYQQSNSDHTLFIKKGQGKITALIVYVDDMVVTGNDPDERKALQEYFSREFEMKDLGCLKYFLGIEVSRSKKGIFLSQRKYALDLLHETGMSACQPADTPVEEGLKLCVEADQVPVDKGRYQRLVGRLMYLAQTRPDLAYALSIVSQFMHNPSEQHMKGVMRILRYVKSSPGKGILFTKNEDWQGINVYTDADWAGSLDDRRSTSGYFTFVGGNLVTWRSKKQNVVARSSADAEFRGIALGLCEALWLRLLLQDLGYPPRQPIRLYCDNKAAWDIAHNPVQHDRTKHVEVDKFFIKEKLDEGIVDLPKIRSKDQLADILTKAVSGHVFSKFLGKLGMHDIYAPT >OMP04498 pep supercontig:CCACVL1_1.0:contig05727:1284:1418:-1 gene:CCACVL1_02158 transcript:OMP04498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGLSSFFRRDNLNRKARGDLGLFVDLKLSPTTFIYFTIPAIIK >OMO53305 pep supercontig:CCACVL1_1.0:contig15234:2066:3391:1 gene:CCACVL1_28734 transcript:OMO53305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7e MYTARKKIHKDKDAEPTEFEESVAQAFFDLENTNQDLKSELKDLYINSAVQIDVSGSRKALVIHVPYRLRKAFRKVHTKLVRELEKKFSGKDVILIATRRILRPPKKGSAAQRPRNRTLTAVHEAMLEDIVLPAEIVGKRIRYRIDGSKIMKVFLDPKERNNTEYKLEAFSAVYRKLAGKDVVFEYPVTEA >OMO53306 pep supercontig:CCACVL1_1.0:contig15234:4445:6688:-1 gene:CCACVL1_28735 transcript:OMO53306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LWRTVLLTPFKLVTVFLHEASHAIACKLTCGHLEGIQVHADEGGTTQTRGGIYWLILPAGYLGSSFWGMVLILASTNLLTARIAAGCFVAALLIVLCVAKNWTLRGLCIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEVCPCPCNGVGWGVIWGFISFLFLCGAMYLGLPRNP >OMO53307 pep supercontig:CCACVL1_1.0:contig15234:8888:25212:-1 gene:CCACVL1_28736 transcript:OMO53307 gene_biotype:protein_coding transcript_biotype:protein_coding description:cysteine-rich receptor-like protein kinase 6-like protein MWLISSTPHDIIEEGLGDSIYRVRSQKKSHTNNNSSGQVILTKPTTRQTFPFILLLLHSSFLTPISRTTVTCLLPLRLNLDLDPRYSCGSAQSLMGFFAKFCACIQNRLKAARTGSGGEDDSDDGLETQGLFFDLPALQIATNFFSDLNLLGHGGFGPVYKPSQEVQAKRRQQWPSSGVILCRM >OMO79349 pep supercontig:CCACVL1_1.0:contig10434:3700:4038:-1 gene:CCACVL1_13734 transcript:OMO79349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSKAFASITFLLVLFTLVSSQTPPPPSEDILSSGICDDLIMSSAALDITISAQSTTCCTALRSVSANANVRIVELLAAGINARIQLGAITIGQRGNNTVVQKMIISCNI >OMO51277 pep supercontig:CCACVL1_1.0:contig15895:5150:5281:-1 gene:CCACVL1_29889 transcript:OMO51277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSRIVSNDSMTYLVKLFDNRKEFSTEKAIKHIGIHEIWGND >OMO51276 pep supercontig:CCACVL1_1.0:contig15895:1909:4130:1 gene:CCACVL1_29888 transcript:OMO51276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MEPPPPLPAAAPPLSTTATTLSAPAATYPDSIDSSPRSRNTDSWDDPAPPPPTLHSKLRLMCSYGGTIVPRPHDKVLCYIGGDTRMVVVDRHTSLPSLHHRLSLSLLHGRPFTLKYQLPSEDLDSLISVTTDEDLENMIDEYDRTCSQTTPASKSSRIRLFLFPLNPEGTQATSHSIGPIINNSTKSDEWFLNALNGAGLLNRGFSDSATNVNCLLGLDDERQGNVVEVGLKDEGSGSQKNMNSKSQDVHSVPDSPMLETTSSFGSTSSSPSLANLPPIRVHAEDQKENKLVGIEEQFAHFTVTGGGGGGLKQQDEGFSVLSSPPSMPVSIAVSGGGVGLSTGMVPGEYTNRGFSDDERSDHGVPVGYRKPPPPQSQPHGLPLQSQQKFSSGNDLASPDSVSSDSSFTNPLSRQKPVIYQDPIVQFPSGANRVPSNPGDPKLNISDPNANARIQMQQPVQESSYILQQSQFDPQQQQTQTQQQQFLHAGAAHYIHHHPTAVPISAYYPVYPSQQQHHHPHHTQIDQQYPVYYVSARQPQAYNLPVQQSSISEAATAIPSSRPQTPPNPTMVPSAAYNPMRNAPMAKPEVAAATGVYRTTTGNPQLVQVPNSQHQQPYVGYSQINHPSQSVAPTSTAAATYAYEFTDPAHAQIYYTQPLAPTMPSQYQTMTAAAAGVLPDASAQLPTDNIKPQIRTSQPI >OMO51665 pep supercontig:CCACVL1_1.0:contig15758:43164:44522:-1 gene:CCACVL1_29654 transcript:OMO51665 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative small multi-drug export protein MAASAFVSAAPTSPLLLASSSRKTHLKIFPSQLHSPITRRQQFLSFSKFQNQQHFPLHLTKTRASSNGFLDTVHPSREEEILGCFEEDKFKPVKFLFWVVLWASASLALFAASGDANAAVSAASDSIRASGFGLKVANALRGSGWPDELVVFTLATLPVLELRGAIPVGYWMQLKPTLLTILSILGNMVPVPFIILYLKRFATFLAGKNQSASALLNMLFEKAKEKAGPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASILDMPFWSAVSANFFGVVLAGLLVNLLVNLGLKYAIITGIVLFFISTFMWSILRNIKKSLKSPN >OMO51663 pep supercontig:CCACVL1_1.0:contig15758:13362:15807:1 gene:CCACVL1_29651 transcript:OMO51663 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MAPEYCVTGGTGFIAAYLVKALLDKGYLVRTTVRDPGDEGKVGFLRELKGAKERLKIMKADLMEEGSFDEAIHGVDGVFHTASPVLVPYDNNIQATLIDPCIKGTLNVLASCSKSSSVKRVVVTSSCSSIRYRFDVQNKQLSSLNESHWSDTEYCKRYNLFYAYAKTIGEQEAWRVAKENGIDLVVVNPSFVVGPLLAPQPTSTLLMILAIVKGLKGEYPNTTVGFVHIDDVIAAHILAMEESKASGRLICSSSVAHWSQVIEMLRAKYPSYPYENKCSSQEGDNNEHIMDTSKIQELGFPAFKSLAEMFDDCIKSFQDKGFL >OMO51664 pep supercontig:CCACVL1_1.0:contig15758:31756:33461:1 gene:CCACVL1_29653 transcript:OMO51664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGEICGSSNMNVLLQSKPLSCSSEVMESLWIPSTTSSSLQDHPKPIISYKNVNGVDTTELPFFQPFDKAENGDDDFDGSFQPAGKKRRLTATQVQFLERSFEVENKLEPERKVQLAKELGLQPRQVAIWFQNRRARYKNKQLEKDYDSLKASYDKLKADYDNLLKEKDNLKNEVSALKEKLLEREEGIENLESLDHAINSSNAESEKLDDSAGLSPENVSHVPLPVCKQEEAASSAKSDIFDSDSPHYTDGNYSSLIEPADSSNVFEPDQSEFSQDEEDNLSNSLLHPPFFPKFEVDDCYYEAHPSSCNFALPVEDQSFWSSLY >OMO51666 pep supercontig:CCACVL1_1.0:contig15758:53410:54273:1 gene:CCACVL1_29655 transcript:OMO51666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKRDKPYFSCHNPASSFKRRRPLPPHPPPQTEDEDKPAAKPTPPPALVVMGLPTNCSVLDLKSRFEIYGSISRIRINRDAVGYIAYRSKESAEAAIAASLDPSFGITVDSKKVQVLWATDPLAQWREGVGSGSNKANESASSSKLLRPEVPLSRHGRGNKLASAIIVNPRSSDDGSSILEVPFKGREIVAYDDIL >OMO51667 pep supercontig:CCACVL1_1.0:contig15758:55005:58456:-1 gene:CCACVL1_29656 transcript:OMO51667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFTLSSHTFVSPHWFLTHFSRGFPSCFCAVNQRKKANLASLKLNLVRSFEFGEAKKRVYRWSCWCKKGGDKDPDLALEAEILEFMRNSEKPEAFPSKKDLVDAGRMDLVEGIKRQGGWLTMGWDLDDDKDNEFQENGAKYWDSEIECGAESIESEVESRGSSSSTINSCSPSSSGRSQEVVAEDDSGIEGILSRLERERNINFGFGIREKGHNNTSSQSNDSEEESLVQSSMDMTVGDPGRRKLVSFNHSTGFACDNGVKSSQNSSISGIGFRNSTWREWSLQRAGFTGKEFEGISFRFSGLFYNLGEEVLTITDKSSELDRRKESHASEKDIDHNEIHSRLEHLKSELSSVLHSLRSNVDEVLTHKGDESSTDNLHKLSDAWEFQETEIMNAQDKLRSIRARLAVLEGKMALAIIDAQKTAEEKQKRINDARRALQLLRPACIVWPNSGSEVLLAGSFDGWATKRKMEKSSTGVFSVSLKLYPGRYEIKFIVDGEWKIDPLRPIVNNNGFANNLLIIT >OMO98245 pep supercontig:CCACVL1_1.0:contig07145:21536:21700:1 gene:CCACVL1_04282 transcript:OMO98245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIRNTIFRRNCRNAAKRPEQYRNHRKIRRYETFSLPEPGLMISGAYRPKTPTS >OMO98243 pep supercontig:CCACVL1_1.0:contig07145:6342:9125:-1 gene:CCACVL1_04279 transcript:OMO98243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MAKQVEEKGSSADGRDDFTQDGSVDLKGRPVLRSNTGRWKACSFIVGYEVFERMAYYGIASNLVLYLTNKLHEGTVESSNNVNNWVGTVWMTPILGAYIADAYLGRYWTFVIASAIYLTGMSLLTLVVSLPNLRPPSCGHGITDCNRRASGLQKGIFYGALYIIALGTGGTKPNISTMGADQFDDFEPKERIQKLSFFNWWMFSIFFGTLFSNTFLIYIQDNVGWSLGYGLPTIGLLVSVLVFLVGTPYYRHKLPLGSPFTRIFQVIFAAVRKWNVPVPTDPKDLHELTLEDYSKSKKFRIDYTPSLRFLDKAAVKTGPNSPWMLCPVTQVEETKQMVKMIPILIATFIPSTMVSQVGTLFIKQGTTLDRRMGPHFEIPPACLTVFVTIFMLISIVIYDRYFVPAVRRYTKNPRGITLLQRMGIGLVLHIIIMIIASVTERKRLSVVREHHKLGKTDVLPLTIFILLPQYGLMGVADSLVEVAKLEFFYDQAPEGMKSLGTSYFTSSIGIGHFLGSFILTTVSDMTKRNGHRGWILDNLNISHLDNYFAFLAALGFFNFIFFLVVAKFFVYNANVIESDKELQETMETSFNEASSKGEALTTGVGY >OMO98242 pep supercontig:CCACVL1_1.0:contig07145:1763:5893:1 gene:CCACVL1_04278 transcript:OMO98242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLPKQSSNRAMNPWVLHLQKLGLELKCPLCLNLFKRPVLLPCDHLFCDSCIVRSDEFGSECPFCKAQCANRDLRPLAFMENIVGIYRSLDAAFSANLSQCVVEDAVGKNEIVHRCSVLGHGKDKGRLEYESAAGQIDLLRPISQKQGSGSEQMEMNQVDQTSLGSPPSSGDAKGSDNDYSYQTPGSYPAKGLGKRNFDDRTCQEKQDDSVVGGDGHLWDSKRQKRLNYDRPLDMGVKTPLQSQNLLTTNSICGFCQSSSISEATGAMLHYSKGKPVTGDASFSSNVMHVHSSCIEWAPQVYFEDECVKNLKQELARGAKLKCSKCGQKGAALGCYLKSCRRSYHYPCAKEIQKCRWDLDNFLLLCPAHASAKFPNEKSGNSQSSGNGQPKFNPFWGQPDEKKYWVFCGSALSSEEKFLLVKFAKSIGVTVSKFWKSDVTHVIASTDEKGACTRTLEVLMAISNGKWVLKMDWIKACMQAMHPVHEEPYEISLDNHGCCDGPKTGRLRALDCVSKLFDGLSFYFVGDFVAGYKEDLQNLVVAAGGAVTRRMDELAEQIIDPAVQTKIMVVYNLDAPKGSELAEEVTILWQRLNEAQDLATKVGGHVIGHTWLLESIAAYKLQPSVS >OMO98244 pep supercontig:CCACVL1_1.0:contig07145:19250:20899:1 gene:CCACVL1_04281 transcript:OMO98244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLACFGSSKNRKARKNRHKVRPRFQRNASCNAQSTVSLEQSISNLEKPISPVKEVRDDKAEEQLGSASSSNTRKKVTFDTNVKTYEHDLVNESIDFELRNDEEEGEKEGKVKEEKLAKPREFETSFGSENSSIASTSSSYPPNHRYQNCRESDDEEDELDYAEESDLDDDDEDDDCEKFDDGVVESRDRINGIGGVIEEVDGLVEEDVKPIGVIRGVRDSRGNAHPVLNPVENLTQWKALKSKGAKPFKLQKENVSLEEEEPPRLSFSSDPSFKELSFSFKSKTDHEPKKLNREVSVDASLSNWLSSTETTPVKKGSICDASTPERSMLQGSNSVMSPEDRPILGALTVEEIKKFSASSSPRRSPSRSPDEMAIIGTVGTYWSHVSNTKDSGSPTSFKGIPNTTSKYREDKKVNWHSTPFETRLERALNRGGAA >OMO54458 pep supercontig:CCACVL1_1.0:contig14993:52947:56306:1 gene:CCACVL1_27781 transcript:OMO54458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MPKLKLKEGSRPPWVGLAAAIWVEISAGNGSTFPLYSSALKSVLGFSQQQITILGVANDFGENVGLLAGIACNKFPPWAVLLVGVFACFLGYGVIWLAVTQTVVGLPYWVLWIALVVAANSNAWFGTAVLVTNMRNFPLSRGTVAGLLKGYVGISAAVYTVLYSSVLEQSSSNLLLFLTLGLPVIGLAMMYFIRPCTPPSGEDSSVHAHFIFAQAASVLLAVYLVTITVIFDMVSVSDAVSYILLAIVAILLLSPLGIPVKMTLFRANAQTITPLAGSTEHLAQGEGDSAQAEPLLSPSSSASNLGSFFENEYPSDVETLLAVGEGAVKKKRRPRRGEDFKFREAAIKADFWLLWIVYFLGIGSGVSFLNNLAQIGVAFGVANTTILLSTFSFCNFVGRLGSGALSEHFVRSRAIPRTVWMTCTLIIMVLAFVLFALAVDGTLYVSTALLGVCCGFQYNLMVPTVSELFGLKHFGIIYNFMLLGNPIGALLLSGLLAGYVYDAEAAKQGSSICLGPDCFRLTFWVFASFCGLGTLLSIFLTIRIRPVYQMLYASGSFRLPQASDH >OMO54461 pep supercontig:CCACVL1_1.0:contig14993:72974:74461:-1 gene:CCACVL1_27784 transcript:OMO54461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MDSNYSAIPKGSSYVELQIQNEPLDLRSQKKLLLSDDGNWVNDPKAFHDFDDLNDDIDVDIGSDIDDYTLVSKENNKGSGISGAVFNLTTSIIGAGIMALPATMKVLGVVMGIVLIILMGILSEISVEMLVRFAVSCKAKSYGEVVQIAMGRTARILSEICIIVNNAGVLVVYLIIMGDVMSGSVRHLGVFDQWLGHGFWDHRKLLILIVMVIFLAPLCVLNRIDSLSMTSAASVALAVVFVVVCFAVALIKLIEGKIEAPRMSPDFGSKTAILDLLVVIPIMSNAYVCHFNVQPIYNELEGRSPQKMNRVGRITTVLCVVVYASTAVSGYLLFGKDTEADVLTNFDKDLGIRFSTALNYIVRVGYILHLVLVFPVIHFSLRQTVDTLVFAGSAPLTESRKRSLALTVILLVLIYFGSTMIPNIWTAFKFTGATTAVSLGFTFPALIALRISQQEESLSLSRIEKFLSWFMLILAVIVSIAGIIGNIYTIQSKSE >OMO54455 pep supercontig:CCACVL1_1.0:contig14993:25522:28316:1 gene:CCACVL1_27778 transcript:OMO54455 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription cofactor MDTVDWRSQLSLDNRRKIMTLLFQKLEKTIPISGQRGLIELKKLAIKLEHKIFADSTSKPDYLKRISDNVRGIQIKMKKTGNNSEPPRAGSQSMQQQNQIHSQGQTIHIPQESNQSQQLLPQNVQNNMASSSFQSRMPPVSSLTQNPIPNVGGQNISGISQNLMGQGMPSHIYSIQQRPMQGVQQVASTLQQGQVPRPDMHHSMQHPPQPEYNQRQSHEKNQMNSQLQSINLQGNAGQGNALCSMQNGAPRPLHQNPASASQSQQANISSLSSQSHDGASVRKQNMNTLQSIVASTSQQANTSSLSSQSGVSVRKQNMNPLQSNPYELKQQYLKQLQEWQMLQSQSQQRQMQSQQYHQQVKQQILQSQSQQPQMQSQQYHQQVKQEFPTQEFQMHQMPQTQQHHQMNNVNDMKIRPGMGVKPEVFPQHLPAGQRQFRPGSQFQVASPKHSSPKVDQQSLITSISKPRTPLQSANSPFAVPSPSTPLAPSPMPVESEKPVPGTSTLSDAANIGYQQGTGVHAVSGPVTISTPGPGISASPLLADDTHDTASTPAVPSKSNITEQPPLERLINLVQSMSPKALHSAVSDIASVVIMADSIAESPPGNRSRVAIGADLGSMTRCQLRARKFISKDGMNGTRMRRYINAMPLE >OMO54456 pep supercontig:CCACVL1_1.0:contig14993:35111:43245:1 gene:CCACVL1_27779 transcript:OMO54456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription cofactor METVDWRTQLPPESRSRIVNKIMDTLKRHLPFSGQEGLNELRKIAVRFEEKIFTAASSQSDYLRRISLKMLTMETKSQNTMPNPGSNSKPPDPGSQGMQNQVHSQGQSIPIPLQSNQPQARQQMLPHNVPNNMASAGVQTSAGLQSGMPPVSGLTQNSIPNVGQNSNMQNMSGISQNSMGQGMTSNIYANQQRQMQGRQQVLPQQQQQQQQQQQQQLYHQQLQQQIMKQKIQPGNLQPSLMPSHMQQQQQNLLPTNQLQSGQQSGMQTSSVMQPSAMQSTPLPGLQQNQQSSLQQSTQSMLQQHQQSVLRQQQQPQQAAGPGIHQQQTMMPQQHQQPPPSQQQQQQQQQQQQQQPHMMGQQTNAANIQQNQLIGQQSSIGDMQQQRLLGQPNNLPNMQQQQQQQLMAQQSNISNMHQQQLGPQGNISGLQQQQQQQQLMGTQSGNSSMQTNQQLHMLPQSKVPLQQTQQNAPNMLPTQGQTSQQQQMMSQMQSQSSQLQQQLGLQQQPNQLQRDMAQRLQASGQASSSLLQSQNLIDQQKQLYQSQRAVPETSSTSLDSTAQTGQANGGDWQEEVYQKIKAMRDHYLPEINEMHQKISAKLEQQDSLSQPQQKSDQLEKLKIFKSMLDRIIGFLSVSKANVLPTYKDKLSSYEKQIVNFISSNRPRKPSTMQQGQLPPPHMHSMQQPQPQINQTQSHDNQMNPQLQSVNLQGSVPTMQNNMTGLQHNSLSSLPGVSTAQQTMLNSLQPGSNLDSGQGNALGSMQQVATGPLQQNPVSTSQQANISSLTSQSNLNVLQQNMNPLQSNSNMLQQQHLKQQQEQQMQLKQQYQQRQMHQQQQLMQKQQLLQQQQQQQQQQLHQQAKQQLPTQMQTHQMPQLHQVNDVNDMKMRQGMGVKPGVFQQHLPAGQRQSYPHQQLKPGSQYPISSPQLLQTASPQMPQHSSPQVDQQSLLTSISKTGTPLQSANSPFVVPSPSTPLAPSPMPGESEKPVPGSSSLSNAANVGHQQGTGVQAVSQSLAIGTPGISASPLLAEFTGADGTHANALTTVSSKSNITEQPLERLMKAVKSMSPVALGASVSDIGSVVSMTDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITQDGMSGTKKMRRYTSAMPLNVVSSAGSMNDSFKQLTGSETSELESTATSSVKRPRIEANHALLEEIREINQRLIDTVVDISDEDVDPTAAAANVDGSEGTIVKCSFSAVALSSNLKSQYMSAQMSPIQPLRLLVPTNYPNCSPILLDKFPVEVSKEYEDLSVKAKSRFSISLRTLSQPMSLGEIARTWDICARAVISEHAQQSGGGSFSSKYGTWENCLSAA >OMO54453 pep supercontig:CCACVL1_1.0:contig14993:12908:17734:1 gene:CCACVL1_27776 transcript:OMO54453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNNNWSNSPNPNPSPTQPTMDTVDWRSQLSLDSRKMIIAHLIKKLEKSFSFSEEKDLIGLKQIAIRFECKTFAVSTSRSDYLRRISEKMHAILEGKFKKAAQDKGNNSEPPRAGSQSMQQQNQIHSQGQIIPILTESNQSQVCQQLLPRNVQNNMASSGFQSGMPRPLSNVGGQNISGISQNSMGQGMPSHIYSMQQRPMQGVQQVRPQQQQQMIYSNNTNRPMKVASMLQQGQVPRPDMHSLQQSQQSHGNQMNSQLQSMNLQGSVPKMQSNAGQGNALCSMQHVATRPLHQNPMSASQQANISSLSSQSHNGASVRKQNMNTLQSIVASSTSQQANTSSLSSQSGVNVQQQNMNPLQSNLYELRQQYLKEKQEWQMLQSQSQQRQMQSQQYHQQVKQEFPTQEFQTHHMPQTQQMQQTHQMPQRHQIPHMQQMPQTQQHQQMNNVNDMKIRQGIGVKPGGQSYTHQQFRPGSQFQVASPKHSSPKVDQQSRLTSISKTRSPLQSANSPFVAPSPSTPLAPSPMPLESEKPVPSTSTLSDAANAGHQRGTGIHAVSGPVKISTPGISASPLLADDTHDTGSTPAVPSKSNITEQPPLERLINLVQSMSPRALHSAVSDIAQVVIMADSIAEAPPGNGSRAAIGADLGSMTSFSLIMSWDSKGKKLNSTNSEAATSGEATTGAAASDQRQPWQLQLDFKSRQKVVNRIMDSLKSYLPFSCSQGESDQLRRHSVDFEEKVYNVATSESDYLTRIAVKMLRVEEFMSFFSQLRQLDHDSRQKVVSKIMELVKRYKPIYSRPEGLDELRRISARLEEKMYNIATSLSDYVRKIVLQMLFVEVELAVMHYCSEKKEDHGIGSSQTAVKPVMGNSRTLPRQDQMKYYQFLKE >OMO54462 pep supercontig:CCACVL1_1.0:contig14993:76635:79461:1 gene:CCACVL1_27785 transcript:OMO54462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATISFPAITPHVKSRHQCFRHENYGHKIYRIRCNEERNPGIEVAAQNNLRLGLDGSGAIDRTTVVETIKDDFERSYFVTGIRKDVCMDPMVGPLVSHTCKLLVFQNGIEPAGRVWLRASNMGFASAGDLIRDSNGVWIRGFAMNIGITNSLSAELWGLLEGLCLAKSLNLSNVIVHMDVSVMVNFLNQGITRTHLNSVLESLVLTEPPPWLLHLIEHDIDGIAIRRL >OMO54457 pep supercontig:CCACVL1_1.0:contig14993:48943:49422:1 gene:CCACVL1_27780 transcript:OMO54457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSSRNKSNAFASSTSSFASRSSTFFARATSPTRVNLYSRSSSPQSSPSVRFSINDRPISPGRSISFNKNNNAVSAGARPPPEDPNVLVFPYDSPGFFPVRPPQGTVVEKSSAQQSPSSGNVVVSLE >OMO54454 pep supercontig:CCACVL1_1.0:contig14993:23207:24562:1 gene:CCACVL1_27777 transcript:OMO54454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHINCSIKPLQSGGATTHTGTGSGEEKATMAAAS >OMO54459 pep supercontig:CCACVL1_1.0:contig14993:57409:58014:-1 gene:CCACVL1_27782 transcript:OMO54459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif, type 2 MDWYSWLSKTGLEPSLVYEYGLAFSHNELEEEDIAYFNHEFLQSMGISIAKHRLEILKLARKEKGGINPRPVSRLLLAIKRTKKCLAKYIKTLVNRQESSALVVVNPRNRSGYAHKWKGAMLKRNKKLMMATHGRLLLTNGTPLLVSGPARVESFSSPPMVFDYYQKEEKVVDDGDHEDGYWSTAVEEIRWDTMFQDLKPT >OMO54460 pep supercontig:CCACVL1_1.0:contig14993:67765:70032:1 gene:CCACVL1_27783 transcript:OMO54460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRRIGFLRSFSTHSLPVLRPKQRFHPSEIFKCNQTILRLAKLGRVKEARQVFDSTPQKDSVTWNSMISGYIENGCLKEANSLFHSFEGKNVRSWTIMLNGFFKYGFIDEARMVFESMPERNIVSWNSLVSGYVQNGDLRKAREMFNKMPERNVSSWNSMITGYCRCGMMKEAREMFHRMEDELRNSVTWMVLVSGYVEVKEYREAWGVFLMMLRSVTRPDQALLVVGLSAASGLNDLDLVLCLRTVGIKLGLEDDVVVGTAVLNSYTRNESLDEAIKFFELMPRKNEYTWTTMITAFSHYSKLNDAVALYERCGEKSVAIRTTMMSVYAQQGNIYEARRIFDEIVNPDVITWNAMISGYAQNGLLEEAKDLFLRMPVRNPISWAAMISGFVQNGSNKEALDLFVELLKTGSIPNHWGFTSVLLACANDEDIEAGRQIHSLTIKSGAQNNSFVGNSLISMYAKCKQMEDVSQVFNAMRIRDTVSWNSVISGLLENCMLDEAINILKNMPNQDVVSWTAIISAYVRDGQGEDALKIFLDMLAVGIKPNYLTFTSLLSTCGNLAASKLGKQLHALIIKYGLSSFVCVCNSLISMYSKCGSVDGLHVFEDMPGRDIVTWNAVLTGCAQNGLGKEAVEIFEEMEATGVPPDEISFLGVLGACSHAGLVDQGRAYFISMTQDHGIKPSVYHYTCMVDLLGGAGLLSEAEALIQNMPVEADSAIWDALFTACKIHGNMKIGQRVAGRLLKMGHKDLLLRS >OMO57622 pep supercontig:CCACVL1_1.0:contig14348:33474:42462:-1 gene:CCACVL1_25728 transcript:OMO57622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGVMSRRVVPVCGNLCFFCPSLRARSRQPVKRYKKLLADIFPRNQEADDRKIGKLCEYASRNPLRIPKITNQLELRCYKDLRNENSGNVKVVLCIYRKLLSSCKQQMPLFSSSLLGIIRTLLEQSRQDEMRILGCNVLVEFINSQKEGTYMFNLEGLIPKLCQLAQEDGDDDRALRLRSSGLQVLASMVCFMGEHSHISMDFDSIISVTLENYMDIQMTPVNASKVEENGSSIPEPSSVSNLVINSDFDPTVDISKSPSYWSRVILRNIARLSKEATTVRRVLEPLFHNFDAENHWSEEKGVASSVLMSLQLFLEETGEKSHLLLAMLVKHMEHKNVSKQPHIQVNIVNIITQLAQNAKPQSSVAIVSAISDLIKHLRKCLQNSAESSSSGNDIDKHNTDLQLGLEKCISQLAIKVGDVGPILDMMAVVLENISTNSIIAKTTMSAVYRTAEIISSIPNISYHKKAFPDALFHQLLLAMAHPDHETRVGANSIFSIVLMPSVLSPWSDQNKITSQAVSSDSPFCVFEKTRSQSFSQDECKDQAELIEGRVSENGNQASDVAVKSSTMFQSHGHSYSFKHAKGDGKMLTSLRLSSHQVSLLLSSIWVQANSAENTPANFEAMAHTYNIAVLFTRSKTSSHMALVRSFQLAFSLRSISLDQEGGLPPSRRRSLFTLASHMLIFSARAGNLPELIPVVKASLTDKTVDPYLKLVEDVRLQAVRVKSDMDSIVYGSKEDDVAASQSLLGIELDDLHMKETIISHFMTKFDKLSEDELSSIKKQLLEGFSPDDAYPLGAPLFMETPRPCSPCLQIGFQAFEEIMPLAAMTDEETFPEANGSQSDRKTSLSINTLDVLSVNELLDSVLETARQVASFSVSPTPIPYDQMKSQCEALIMGKQQKMSVLHSFKHQQEAKATLEEGEKEILYLPSVKVEISEDTKLISREQSHVRGQLALCSQEYGQHSFRLPPSSPYDKFLKAAGC >OMO73220 pep supercontig:CCACVL1_1.0:contig11282:7720:11275:-1 gene:CCACVL1_17391 transcript:OMO73220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVDIALIARGGRASVDRGFQRVKT >OMO73219 pep supercontig:CCACVL1_1.0:contig11282:6101:6350:1 gene:CCACVL1_17390 transcript:OMO73219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARFSVEDVKRSSTGASSETSIDDRN >OMO73221 pep supercontig:CCACVL1_1.0:contig11282:16692:18589:-1 gene:CCACVL1_17392 transcript:OMO73221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MALAVPDKGGSIKVVIPHDCMHRFSSDKVVEGKVYKILHFEVLDRKKKYKSIPAEFTIYFNSSTKLIELTEGINKYPRYFFRFADMIEIRERSEKDPVFTNVIGMFVGYGEPIAISVDSGMRMSDKVDVNLRLLSDEILRVSFWVSHISHLNLAEFAAMPQKPIFVVAETKFLSSLSATKVYVNPDIPEAEEIRHRFKNYLAPEHTSQSLASTNAKDAVILELLYLTLEKAQGQKFRVEAEVTEVDTTNGRYYESCPDCHLKLPPAKGGGYSCIQHGPVTPKLV >OMO60034 pep supercontig:CCACVL1_1.0:contig13809:16624:17359:-1 gene:CCACVL1_24447 transcript:OMO60034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIKLSYILLLLFTFFLAAHVIQGSRTQVMLPVKHGVSHSSPLMHLQQGSNEEAKMNRNSRRLMIGSTAPTCTYNECRGCKYKCRAEQVPVEGNDPINSAYHYKCVCHRS >OMO60035 pep supercontig:CCACVL1_1.0:contig13809:22413:23894:1 gene:CCACVL1_24448 transcript:OMO60035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKADIDKDNKPEELVRNGAHISGGGTSMEEKKASDADDEGDISRSDDEADSYFSREEVSLQIHIPEEEMGWLHWSVVGKLKQPANIVTVQESLAAGEENLIVVAVSERKSDYNFDEVLFFEKGTSGNGKITTDPSIELVHEKSMALESEEFNRHVGFQIEKEELVLLSMELNLVEKENDLQLAVLEEDGNLESGHLSGLNGLDVTNLEEDGPRAFDVANGSEDEELCSVYNSLNEAMDEPSPLLTEFKVNKVKGRRGKKGSRLAEEHLVRNKGFEKQKKKRGRKGIMKMIYKDKFREELNSDVLISDEEINHRNMVLSKEAEETFKISAMLGIEFSDSREQIVQRLMEMEAEEQQ >OMO60033 pep supercontig:CCACVL1_1.0:contig13809:12757:12873:-1 gene:CCACVL1_24446 transcript:OMO60033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNHTVRRLLGTPPRMVRRLLGTPPRMVRRLLGMPPRM >OMO88206 pep supercontig:CCACVL1_1.0:contig09082:36650:37165:-1 gene:CCACVL1_08527 transcript:OMO88206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENNGKQAVGLGLNHSLQDTFKKFRKEKYLLSLILHNAEGIEPVSKYPANASRRNYRACVWIDPESRYETDEVVGGIRKVAFDEEIRIQFNNDYGIPLETNFLSLEIVRVRSRCDPGPSRGSVVVGRLRVPLPKVPLVKISRRFGLVRLVNGEIVGEGHIGISMKVIPFH >OMO88204 pep supercontig:CCACVL1_1.0:contig09082:13631:15082:-1 gene:CCACVL1_08525 transcript:OMO88204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MPSSPLILVSKSTVFPDKASNLGNLKLSVSDLPMLSCYYIQKGCLFTRPPLPIDALIPLLKQSLSRTLSFFSPLAGRFFTDPDGYIYITCNDAGVEFNHSKCSTWFIRDVIGPLHVPELVKELFSFDKTVSYQGHFRPIMAVQVTELGDGIFIGCSVNHSVADGTSFWNFFNTFAEICRKISNNQKVEKIARQPDFSRDSALISPAVLKVPEGGPKVTFNENEPLRERIFSFSREAILELKAKVNSKKEELLINGDFNAVEIFGKQSNDKYYNDNGKDLTEIFEKWLLKTAAIPNTVEISSFQSLCALLWRAVTRARKLPSSKTTTFRMAVNCRHRLNPKLDPLYFGNAIQSIPTYASAGDVTSRDLRWCAEQLNESVKAHNDDTVRRFIHNWEKDPRCFPLGNADGASMTMGSSPRFPMYDNDFGWGRPVAVRSGGANKFDGKISAFPGREGTGSVDLEVVLAPETMAGIESDLEFMQYVTN >OMO88203 pep supercontig:CCACVL1_1.0:contig09082:3135:4559:-1 gene:CCACVL1_08524 transcript:OMO88203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MPSSSVPSITLVSKSTVFPDKASNLGNLKLSVSDLPMLSCHYIQKGCLFTRPPLPIDSLILLLKQSLSKTLSFFPPLAGRLFTDQDGYVYITCNDGGVEFHHATCPSLFIRDVIAPLDDVPDFVKNFFCFDKRVSYKGHFGPIMAVQVTELGDGIFIGCSVNHAVTDGTSFWNFFNTFAEICRKINNNKSFERIARQPDFSRDSVLVSPAVLKVPEGGPKVTFNENEPLRERIFSFSRKDILELKAKVNDNKKELYINGNVNAVEILGKQSNDKNWMLKTAPVANTAEISSFQSLSALLWRAVTRARKLPSSKTTTFRMAVNCRHRLNPKLDPLYFGNAIQSIPTYASAGDVTSHDLRWCAEKLNESVRAHNDETVRRFVENWEKDPRCFPLGNADGASMTMGSSPRFPMYDNDFGWGRPFAVRSGGANKFDGKISAFPGREGNGSVDLEVVLAPETMAGIESDLEFMQYVTTY >OMO88205 pep supercontig:CCACVL1_1.0:contig09082:34000:34920:-1 gene:CCACVL1_08526 transcript:OMO88205 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSTPSRPPPPRLLDLDLTVVSAKHLKNVNWRNGDLKPYAVFWVDPDRRLSTRSDDAGSTRPVWNERFTLPLAVSINDAVLTLEIFHSKPSETPKPLVATLRVELKDLPDLDDSSKIRTFSLLRPSGRPQGKIRVKFGIRERPLPQPHDYHYAPPSSYYYTGNPPPSRYSVSPYVSLPPPPPPSASPPPPPPTYSSISDAYPPYYSSHYYSSPPPPMPPRPFFERSSGYGTPSAPVDYSPYDHKPRVSPRMGLGAGLAVGAVAGTLGGLSLEEGLKYEEEKIADRVDHEVSSRERDDYSDYHHRPDY >OMO91370 pep supercontig:CCACVL1_1.0:contig08354:21034:22742:1 gene:CCACVL1_07137 transcript:OMO91370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQEQCLRRSLSRRRSFRGAVDRDDRGWTMLHIGARKGDLNQVKQLLNEGVDVNVAAWGPKSKGVTALHLAAEGGHLEVMDELLERGANIDARTKGACGWTPLHAAAKERKKEAVKFLIENGAFLPDDMNDCRFNPPLHYCPGLEWAYEEMKRIKRENSTAGEMSYSSES >OMO91366 pep supercontig:CCACVL1_1.0:contig08354:2186:2272:1 gene:CCACVL1_07133 transcript:OMO91366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNFAVAGDRTRVTRVTGGNTHHYTTTS >OMO91369 pep supercontig:CCACVL1_1.0:contig08354:14176:14304:-1 gene:CCACVL1_07136 transcript:OMO91369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEATWECEAYMKVECAEFNLEDKVASDEHDNVTPRPKRVQQ >OMO91367 pep supercontig:CCACVL1_1.0:contig08354:2927:3007:-1 gene:CCACVL1_07134 transcript:OMO91367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHFAIDARLRTPAGHGSVGFMSKF >OMO91368 pep supercontig:CCACVL1_1.0:contig08354:4660:12206:1 gene:CCACVL1_07135 transcript:OMO91368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRTGSPVYGRQWSGGSSNSGSSSPAHPQSRLQPGAAGGMSTIKRTQNVAAKAAAQRLAQVMASQTPDDDEEDDDLGFRFGGPPVPTSFSNNSLNHNTLPSISVTRPHRSPSPALGRNFVDHAPSVRSTSAGRPAMSMRSTTPTTLMPPSRNSVRTPVTIPPIDPPNRSRDKRFTADVVQIKPKDPGDQREASALRDELDMLQEENENLLDKLRSAEESREEAEARARELEKQVASLGEGVSLEAKLLSRKEAALRQREAALKAAKQTKDGRDEEIAALRSELENLKDGAATAVEQLREAESEAKALRSMTQRMILTQEEMEEVVLKRCWLARYWGLAVQHGICADIAVSKHEYWSALAPLPFEVVVSAGQKAKEEAWDRGGGDPDRSKLARDLNDLTGEGNIESMLSVEMGLRELASLKVEDAVVHALGRHRRLSLLHQSISDSKSPGDPKLIDAFELSEEEGEDVLFKQAWLTYFWRRAKVHGVEEDIAEERLQFWINRSGQSPTSHDAVDVERGLFELRKLGIEQQLWEASRKEIDQPSAGSLSNHKDFEDSP >OMO91371 pep supercontig:CCACVL1_1.0:contig08354:24305:25429:-1 gene:CCACVL1_07138 transcript:OMO91371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch-type beta propeller MGSKTPWKRQRLVAAAQQQDKDRRQDQALIPGLPDHVAELCLSRLHPSLLFSICRSWRRLIYSPLIPPFPSLYTLFSSLDNEIQLLSFDPMSFKWEPVPPPPAGSLRLLVHHPSFISRNLPVQSISAAGNLILLAGTSPNFNPALSRPLIFSPLSRSWRLGPPLATPRRWCAAGACGSAIYVASGIGSHFSTDVARSLERLDLEMEDDDEVSIGARWKKMRQLKDGRFSTDAIDAVGWRGKLCVVNVAKQGALYDVENDVWEDMPEGMVAGWRGPVAAMDEEVLYGVDKAKGVLSRYVRENDEWEEIMESERLRGAHQMAAAAGRLCVICGDGEILVINVVVAPPRSWVVERPSGLEPLAVHVLPRMSRPENMI >OMP11802 pep supercontig:CCACVL1_1.0:contig00842:442:513:-1 gene:CCACVL1_00253 transcript:OMP11802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTDASQVNEAMELATSFASMKLK >OMP06713 pep supercontig:CCACVL1_1.0:contig04832:1895:1954:-1 gene:CCACVL1_01459 transcript:OMP06713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLSLIQKSLTSLSKKSY >OMO86247 pep supercontig:CCACVL1_1.0:contig09513:159:10201:-1 gene:CCACVL1_09693 transcript:OMO86247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSRVLSLRNASLLGFRYSVNPPCCEHKFSSKTKAFLLNFNQTSQFHSYPSRLLGFQRVINNTQKLNCLPSSGFAQSRAVSRPLRAHVEARVASRVRDLSTSVETRVNDKNFERIYVQNGINVKPLVVERIDKDENIVGGEVPVTEDVNDVKNTEEVLKEARSKISVESDIEKEAWKLLRDAVVTYCGSPVGTVAANDPNDKQPLNYDQVFIRDFVPSALAFLLKGEGEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSVPLDENKFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKLTGDYALQERVDVQTGIKLILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLTVNEGSKNLVRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSMDATNKFNIYPEQIPSWLMDWVPEEGGYLIGNLQPAHMDFRFFTLGNLWSVVSSLGTPKQNEAILNLIEAKWGDIVGHMPLKICYPAVENEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACLKMGRLDLAQKAVALAEKRLSVDHWPEYYDTRSGKFIGKQSRLYQTWTIAGFLTSKMMLENPEMASLLFWEEDFELLEICVCALSKSGRKKCSRGAAKSQILVPTLNIQGLNPNAFTLSNVLKVCKAMQCLACGASVHGVAIKHGLEGSLYVDNALMDMYATCCVSMEDACSVFRDMKEKNMVTWTTLITGYTHRGDGYGGLQVFREMLLEGAELTPHSFSIAVRACATIGSDISGKQLHAAVVKSGLGSNLPTTKTPSHKETQGQPEHLKIRSGVLRAAATNDIDLAYSQLVSNRDPEFYRYLQDHGKDLLNFEEEEEEEEEEEVEDDDVDDDADVDVEDAETQSGDEVHEHDMPEEEEEQQEEKPSKNVITTAMVDSWCNSIREDGKLSAVRSLMRAFRTACHYGDDTGNDPSAKFSVMSSSVFNKIMLFTLSEMDRVLRQLMKLPASGGKRETINELTSTKQWKSYNHLLKSYLGNALHVLNQMTDTKMISFTLRRLQYSSVFLAAFPSLLRKYIKVALHFWGTGSGALPVVSFLFLRDLCVRLGSDCLDDCFRGIYKAYVLNCHFVNAVKLQHIQFLANCVIELIRVDLPTAYQHAFVFIRQLAMLLRDALNMKTKESFRKVYEWKFINCLELWTGAVCAYSSDADFKPLAYPLTQIISGVARLVPTARYFPLRLRCIRMLNRIASSTGTFIPVSMLLLDMLEMKELNRPPTGGVGKAVDLRTTLKVSKPILKTRSFQEACVISVVEELAEHLAQWSYSVAFFELSFIPAVRLRSFCKSTKVERFRKEMRQLIRQIEANVEFTNKRRASVTFLPNDHAATTFLEDEKKMGTSPLSKYVATLRQRAQQRNDSMMESSVLVGEKSAVFGSKLDQIPASDDEEDIGDEEGATAFSSSWLPGGDS >OMO86248 pep supercontig:CCACVL1_1.0:contig09513:16450:17916:1 gene:CCACVL1_09694 transcript:OMO86248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbP, oxygen evolving complex MATILDSLLPPSRPNIPTRPSTPFPSSPSSLSTRHTQTIKGLAIPRRNAMALILSSYIFSEVGSHDIAFAQSSVAFREYIDTFDGYSFKYPQNWIQVRGAGADIFFRDPFVLDENLSVELSSPSSSRYKSVEDLGPPQEAGKKVLKQYLTEFMSTRLGVRRESNILSTSSRVADDGKLYYQVEVNIKSYANTNELAVMPQDRVPRLEWNRRYFSVLGVENNRLYELRLQTPENVFVEEENDLRQVMDSFRVNKVVG >OMO86249 pep supercontig:CCACVL1_1.0:contig09513:18395:20727:-1 gene:CCACVL1_09695 transcript:OMO86249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor, mu subunit MLQCIFLLSDSGEVMLEKQLTGHRVDRSICDWFWDHAISQGDSFKSQPVIASPTHYLFQVVREGITFLACTQVEMPPLMAIEFLCRVADVLSDYLGGLNEDVIKDNFVIVYELLDEMIDNGFPLTTEPNILREMIAPPNIVSKVLSVVTGTSSNVSDTLPGATGSCVPWRAADTKYANNEVYVDLVEQMDAVINRDGVLVKCEIYGEVQVNSHLSGLPDLTLSFANPSLLDDVRFHPCVRFRPWESNQILSFVPPDGEFKLMSYRVKKLKSTPIYVKPQLTSDAGTCRVNVLVGIRNDPGKTIDSITVQFQLPPCILSADLTSNHGTVNILSNKICSWSIGRIPKDKAPSLSGTLVLETESERLHVFPTFRVGFRIMGVALSGLQIDKLDLRTVPNRLYKGFRALTRAGEFEVRS >OMO61299 pep supercontig:CCACVL1_1.0:contig13535:59461:63637:1 gene:CCACVL1_23615 transcript:OMO61299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MESNSLKNNNNSKMMSSRKDDLFHVIHKVPQGDTPYVKAKHAQLVEKDPEAAIVLFWKAINAGDRVDSALKDMAVVMKQLNRSEEAIEAIKSFRGRCSKQAQESLDNVLIDLYKKCGKVDEQIDLLKRKLRLIYQGEIFNGKPTKTARSHGKKFQVSVKQETSRLLGNLGWAYMQKLNYLTAEVVYRKAQMIDPDANKANNLGLCLVKQGRYDDAAGVLRDVLHGRIPGSEDIRARNRAQELLMELKAVQPPSPELSHILGLDDDFVNGLEMLMNEWAPVRSKRLPIFEQISSFRDQLAC >OMO61298 pep supercontig:CCACVL1_1.0:contig13535:54246:56346:-1 gene:CCACVL1_23614 transcript:OMO61298 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA repair protein MFRQLPIFSALQHHKLSHKFAFSNPVYNSWLGIFANLPIGVHMIDMLLGGRIFRVGQLTELVGPSSSSKTEGRGVDMRLLIIDSISLLIMPVLGSTSSQGRALMVSAGYLLKNLADQHNLAVLVTNHTVGGEGGTLKPAPGEIGKAFHIHGFSCLAIAQAVSATLPF >OMO91234 pep supercontig:CCACVL1_1.0:contig08366:23610:23708:-1 gene:CCACVL1_07186 transcript:OMO91234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIFIVYRRARQYPETTKTENAMNFKAINFF >OMO91232 pep supercontig:CCACVL1_1.0:contig08366:279:728:1 gene:CCACVL1_07184 transcript:OMO91232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETIVLYPPPGLGVVSMVELGKLVLHHRRCGNHQFTITILLTTGFRDTPTIISYIDSVSQAYPSISFLDNSQKCSRAAIGFQFIRLNAPKVLHSLEEILKSYKISAFVIDIFCTSALSTGKDLKIPHFTSIHHVLLPLQPSFSSQKLD >OMO91233 pep supercontig:CCACVL1_1.0:contig08366:5644:11594:1 gene:CCACVL1_07185 transcript:OMO91233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MDPSSYGHHHHHHHHHHGNHPRYVPLPPPPPPPPLHHPLPPYQQSPTNLYPSRHHLPPPQPPQLPPPQPPPPPPLQHQQQLQQPYHPLPAPPPPLPRQQLPNHHAYDPPLPQYTYSPNFNSNPNPSNVSLQFHDSPQRRVPEFDPRPDYWPDNRVSRPHPVSPPPISNLEREVHYHQFDRRPASPGIDRFRHELEESSRIRALELNQREREELDRVHTDRWVSDRSSRDFGIVSMGFESNSNNSGFDHEVSETVRWGSHLHDQLIDNGNNDIQERDEMRGFTRKMDYLHDSEVEMFSDRASSREDSHEFNRTPRKQIQKKSALLRIQKAKPTHRSREDEKSHYSGEGKPGNSRGKDLVLHSDQAKEEKEREGSPVELDVSFKSNSLVAKVTQTTPSTNITKPRTWHRTNNSSASPSPSSGNKPSLSANPSQKQTPKKAASSQGTSYIRKGNSLVRKPVSVPAPPQGSRSLRSTVYRLNSGVVDEVKKGTRPNSRADSVDLKRGGGSTTFERPTTPPLPSVTKISNRTPNSSGECTETSLPEPSITDCCETTKNRASSMEITDVPNSSEDVLKTSETLNGDGSANNSEDCIEQNESNLAPSNAKSVTYVKPKSNQLVATSDHGCASTLNADKNQTFSASSDGYYKKSKNQLIRTALESNSNQTVTISGSNSAGQVADKLITSRTLVKRRSNKVVVKTHKPSKFSLVWTLRSARLSHNDANSPHRPKVLPHLFPWKRTTYCRSFKLNSVSSCSSSLSTIGWKMLLLRKRNTVYTRSINGFSIRKSKVLSVGGSSLKWSKSIESHSRKANEEATLAVAEAERKKRERNGTVSATGKRSYSCHKVVHDTELRPGERIFRIGSVRYKMDSSRRSLQRISDDESSCSAVHQSGSSSKKSYVPRRLVIGNDEYVRIGNGNQLVRNPKKRTRVLASEKVRWSLHTARLRLVKKRKYCQFFTRFGKCNKDDGKCPYIHDPSKIAVCTKFLKGLCTNSNCKLTHKVIPERMPDCSYFLQGLCTNENCPYRHVHVTPNASTCEGFLRGYCADGNECRKKHSYVCPNLEATGSCPQGSKCKLHHPKMQSKGKKRKRSMEYKNARGRYFGIDILEPKKLRHQAVDDDDRFFGGEFSDYIHLDVSDDEAGEICRLMNDEIAFGDNDSSELQLDDLDELIKPIRIMSR >OMO70750 pep supercontig:CCACVL1_1.0:contig11787:3000:7627:1 gene:CCACVL1_18670 transcript:OMO70750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLQFTLLLLKIFVTLSSMMSVDGSSVPWPPREMPTKTPTATMQPEPALSLTKYPPSSGRTRSGKLTANPSSKQLHHLTSMDHTPTKALHPHHALKPSSIAAAPSTLSLGAAGKNWVQGPASSPPASFDRDHHHAMKKFSSSAPEPSYSSNPPFYNEQAPTVSPSRFFPPIWVAPAPAPSPTDLSSEFHIPTPQPAISPIDSSMEKAKPPAPSVVMALPPPPPNKDCTSVACTEPLTYTPPGSPCGCVWPIQVKLQLGVPIYTFFPLVSELAQEIAASVRLNRSQVRIMGANAASEELDKSTVLINLIPWEVKFEYTTASLIYKKFWNRQVFIKASLFGPYDVVYVRYPGLPPSPPAASTGVSAVDDGPFSGHNNHGQAAKPLGVDVPRKNREGLKRSMIAVIILSSFSAFIVCLGIIWLILLKYGYCVKKPDQKPQTIAPTSEKPSGGAKATMQGSTSSARSMSISSTGLTYTGLAKHFSLNDIEKATSNFDASRVIGEGGFGVVYRGMLDDGAAVAVKVLKREDQRGGQEFLAEVEMLSRLHHRNLVKLIGICTENTIRCLVYELVPNGSLESHLHGVDKETAPLDWGARMKIALGSARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALEEGNKYISTHVMGTFGIAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFDEKKEIESSVDIKESFPTVVDSKFYSLSTELVGTSDTYHPVHDYGYGHESNVALPVSDLLSIPKGLEERETWSRRHSCSGPLRTGRRSDFWHRLRSLSRGSRSEHGFPIKFWPGS >OMO70751 pep supercontig:CCACVL1_1.0:contig11787:9160:13417:-1 gene:CCACVL1_18671 transcript:OMO70751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFVGAVKLFLCLVLCLLTLSSLQAGAKDFYEFRYPFIKRASSFSSSASFSSSNADEVSYDYIIVGGGTAGCPLAATLSQNFTVLLLERGGVPFSNANVSFLSNFHIALADTSPSSASQPFVSTDGVLNARARVLGGGTCINAGFYTRANSDFIRRVGWDARLVNESYPWVEKQIVHQPKLAQWQTAFKDSLLDVGISPYNGFTYDHIYGTKVGGTIFDRFGRRHTAAELLASANPKTLSVLVYATVQKVLFDKTGKRPRAMGVIFKDENGNQHQAFLTNNKRSEVILSCGAIATPQLLMLSGVGPKAELNKLNISMVMHNEFVGKGMADNPMNSVFVPTKQPVEQSLIQTVGITKMGVYIEASSGFGQSPDSIRCHHGISSAEIGQLSTIPPKQRTPEAIQAFLRRKKELPHEAFNGGFILEKIARPLSTGHLSLVNTNIDDNPSVTFNYFGHPHDLKRCVDGIRTVAKVIQSNPFTNFTKCDKPTVEKLLNMSVKANINFIPKHTNDTKSLEQFCRDTVITIWHYHGGCHVGKVVNPDLRVLGINRLRIVDGSTFNESPGTNPQGTVLMMGRYMGIKILRRRLGRAAGV >OMO70752 pep supercontig:CCACVL1_1.0:contig11787:25696:33269:-1 gene:CCACVL1_18672 transcript:OMO70752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 3 GTP-binding protein MAKNESCCSTQLIDGDGSFNDAGIEHFIKEVKLAECGLSYAVVSIMGPQSSGKSTLLNNLFNTNFREMDAFKGRSQTTKGIWMAKCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPEAHKETPLSEFFNVEVVALSSFEEKEDQFREQVANLRQRFFHSIAPGGLAGDRRGVVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKYAAFTASETWSVLEEAVQSGPVSGFGKKLNSVLSTFLSEYDAEATYFDEGVRSAKRKQLEDKLLQLVQPAYQTMLGHLRSETLEKFKEAFEKALSAGEGFSVAAHNCTKSFMATFDERAADAVVELANWDSSKVRDKLHRDIDAHVASVRAAKLAELTSSYEAKLNEALSAPIEALLDGANNETWPAIRKLLQRETASAVSGLSSALSGFDMDEQTKDKMLTGLQDYARGVVETKTREEAARVLIRMKDRFSTLFSHDSDSMPRVWTGKEDIRAITKTARSASLKLLSVMAAIRLDDAPDNIETTLTSALVDTKSNAAAVTDRSITTYDPLASSTWEQVPSSKTLITPVQCKSLWRQFRAETEYSVTQAISAQEANKRNNNWLPPPWAIVALLILGFNEFMTLLRNPLYLFVIFVGFLVIKALWVQLDIAGEFRNGALPGLLSLSTKILPTVMNLMRKLAEEGQVPVNNNPQRNAAMASKSYQNGSSSSDTSSIASSGLTSSGTGTVYNSPTKED >OMP00716 pep supercontig:CCACVL1_1.0:contig06635:107:613:-1 gene:CCACVL1_03310 transcript:OMP00716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDLIHAGTVVGKLEGERDITLGFVDLLRDDFIEKDRSRGIYFTQDWVSIPGVLPVASGGIHVWHMPALTEIFGDDS >OMO93756 pep supercontig:CCACVL1_1.0:contig08067:9559:10313:-1 gene:CCACVL1_06364 transcript:OMO93756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein 60S MGVYTFVCKSSAGEWTAKQFEGELEGSAPSTYELQRKLVQAAAASDSSGGVSSSFSLITPTSAVFQVIIGGGGGGGFIGGGAAAAPSGGAAPAAEAPAAEEKKEEKEESDDDMGFSLFD >OMO93755 pep supercontig:CCACVL1_1.0:contig08067:1909:6864:-1 gene:CCACVL1_06363 transcript:OMO93755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDIVFTIFFLTLLFLFLLFIFLAIKIFTGKSINNCDYPPVKGSVFNLLFHFKDLYDYQTQVAKKQPTYRLLLSIEHSEIICTTDTRNLEHILKTKFEKYSKGKFNQDILQDLLGQGIFSVDGDKWRQQRKLASFEFSTRVLRDFSCSVFRRNAGKLVRDISQFAVLRQPFDMQDILMKCTLDSIVKVGFGIDLNCMDGSNEESNSFMKAFDDSNELIYWRYVEPFWKLKRALNIGSEYALKKNIKIIDNFVHNVIKTKRNFLALERDLNIKEDMLSRFLVESEKNPETMNDKYLIDIILNFIFAGKDTTANTLSWFFYMLCKNPLIQEKVAQEVIEITCSQGNLDSNVDDFVATITDATLEQMHYLHAAISETLRLYPAVPIDGRCAEVDDILPDGYKLKKGDGVYYLAYAMGRMQNIWGEDAENFRPERWLNNGVFQPESPFKFISFHGSRFNPFGMHRGAGLEARARRQVETMSDDGNDAAPPRYFLELMELIKSNDQKASDRIAFMEKSNAIMEERIMQLTRALNGSNGKNAGIPTTGNGGDLSEASNGGQGGAEGTPKETPPPPPSFNLTPIPEVNDTEYVTKEQLEKIFQKRNVVSSEFDMKLPYSQRVEMKPYPKDYVSPKFKHFDGKSGDAEEHVMRFLEMLGVAGLDDDLKLREFSKSLIGKAYTWYVSPTPGFVESWGQMCTLFGEKFLSTQEKITLIDLGREYQSRGEDLMDYIQRYRKRVLDVHEQHDENILVKVCIRGMRDEYRVHLENLPLHTFAALVEAARRTNNTILRQRETHRKISPGVHALGVKEERPLKHPKRDFRRREDHRVQNNPFLNHQNRGYSDC >OMO93404 pep supercontig:CCACVL1_1.0:contig08100:36492:39074:-1 gene:CCACVL1_06495 transcript:OMO93404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MFTMASATNSAQSLVTNSRFSSLYLSTTKVLPTCLSFKTRSPASSRATCLAFSPLPDKTKALVPLGLSAKPLTFTGWNQITMRRRSQVQFPVVSAAAGDADGQEIEGYAKPSKSFAEKFPWLVTGFFFFMWYFLNVIFNILNKKVYNYFPYPYFVSVIHLVVGVAYCLVSWGIGLPKRAPIDKELLLLLTPVAFCHALGHVMSNVSFAAVAVSFTHTIKALEPFFNAAASQFVLGHQIPLSLWLSLAPVVIGVSMASLTELSFNWTGFISAMISNIAFTYRSIYSKKAMTGMDSTNVYAYISIIALFFCLPPAIFIEGPKLMQYGFKDAIAKVGLTKFLSDLFWIGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTGIGTAIAIAGVAIYSLIKANMEEQKRVRSLTSSL >OMO93419 pep supercontig:CCACVL1_1.0:contig08100:139702:146926:1 gene:CCACVL1_06511 transcript:OMO93419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLAMFFTFSLFLSLSVSKTLKRDAKALNEIKASLGWRVVYAWVGDDPCGDGNLPPWSGVTCSLQGDYRVVTELEVYAVSIVGPFPVAVTNLLDLTRLDLHNNKLTGPIPPQIGRLKRLKILNLRWNKLQDFIPPEIGELKSLTHLYLSFNSFKGEIPKELANLPELQYLHLHQNRFSGRIPAELGTLQNLRHLDVGNNHLVGTIKQLIRVDGCFPSLRNLYLNNNYLTGGIPAELENLSNLEILYLSYNKLSGVIPPAVANLPRLTSLYLDHNQFAGIIPDALYKHPFLKEMYIEGNSFKEGMDPIDGFKDFTFEKEGFDHGVADTNCGVLNVLKEVPSIENVNVIIQGATSIATTDENFVCVTLDFWPPEKCNYNQCPWGKAGIFNLDLDSFILANAIKAFNPLRIRVGGSLQDQIMYKVGNYLNNCPNLEKVDDGLFGFSQGCLTMERWDQLHDLFKRTGAKITFGLNALIGKKESMTEKGLWVGDWDSQNARDLINYTVSKGYQIDSYEFGNELCGSGVAARISAEQYGKDVIQLKELVKELYPDPNTQPKVVGPGGFYDEEWFITFLQVTGQNVLDAVSHHIYNLGSGDDPNLIHMIQDPFYLSQIAQTFRDLSKNIDKFAPWSSPWVSEAGGAYNSGGKDVSRTFADGFWYLDQLGMTSIFNHKVYCRQAFVGGNYAMLNTTTFIPNPDYYSALLWHRLMGSRVLRVNQDVSPYLRVYSHCAKQKPGISILFINLSGEKSFDVTLTNDENFYDVNGEFKGFEKREEYHLTPKDGNIQSDVVLLNGNPLELRNLEIPEMNPQLVDASTPISVAAHSIVYVTIRDFHAPACA >OMO93411 pep supercontig:CCACVL1_1.0:contig08100:66558:68167:1 gene:CCACVL1_06502 transcript:OMO93411 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLE1-like protein MGAVKLEIRCPRNVNGIGIEPDPDWSFDALLSELDSLEKKLINVSSSVPLPSTKTKPREIYGEKGVKKRSPNALVMKISDSEFEDSESEAVEVNDGAFVKAAQFNYDEFYLSGSDDDSESDNEWCLQARTDEAGLHQVGVKEEIRNRISALETDLMNETEKCCSAHAKVEKYREARLEVERKIDVQYQRRIAQGLDNYLTAIQRDHDLKSQIEERRITRDAAPEEAKRLGQEMAKAEAEAKPKLIRAAESGLKQERERLEKLKEWDIEIRNQLSLRSSSNVDFGSIERHIGRLIRQIRGTRDNVSRKASELIKIFNNPQYCPQAISIGYFANKVVSHCESPSFDDAAFACGYVIVLVTSQFPQAMDLVVAQLQRACIYIVPKHISYSNSSAFESREAYWKAIGYREEEDGKIESSKDYLKRLECYMKLYGALVQTEVPGCPNFHGLEQGWAWLARFLNALPANIYTAVALNGFLQMAGFALFTKYKSQFIKLLNIV >OMO93420 pep supercontig:CCACVL1_1.0:contig08100:148151:149427:1 gene:CCACVL1_06512 transcript:OMO93420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSWNNDSNYETEQVVAVAAAAYAINLMDEESSIHDQTKTSVGLEPSLRRDKSRKEDETFPISKPRILSKQFTGEDSRKGSESVESKVTITDVPDEKAIRRAPSFKKPVTFADHIGSSSSTRPISPPKPDLLSSQPEIAAAKPDLPTIKPERASAKPDLPTTRPVSTAPKPDYFPKKPGTAAARPEQPPSVTPVAPVMEAKRQTGARPGIGQSEAEAWEKAEMAKIKEKYVKLNSTILDWEEKKKKKARSKLEKAEQSELEKKKARALTKFRNEMEYIKEVADGARAQAQSRQRDDELKVKEKANRIRETGKVPRTCFCC >OMO93397 pep supercontig:CCACVL1_1.0:contig08100:1949:2995:-1 gene:CCACVL1_06487 transcript:OMO93397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTEFATELVPGLPEELGLECLTRLPYTAHRHASRVCHRWRDLLQSQEFYYHRQKFGYTHKVACLVQAFSGGNMDGGPKKPGESPSYGIAVFDSVSRSWDRIALVPTYPNGLPLFCQLASCEGKLVLIGGWDPVSYDPVTDVFIYDFMTQQWRKGKDMPAKRSFFAIGACSGRVYVAGGHDENKNASKAAWVYDLRNDEWTQLGDLSQERDECEGVVIGEEEFWVVSGYGTESQGQFDGSADVYEFKSGQWRRVEDVWQPGRCPRSIVGIGKDGKLLNWAELDPAVRVGACGVTLGSRVLVTGSEYQGAPHGFFMVEMKEGQTGKLEKISVPEEFSGFVQSGCCVEI >OMO93405 pep supercontig:CCACVL1_1.0:contig08100:41476:42319:1 gene:CCACVL1_06496 transcript:OMO93405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin modification-related protein EAF7 MHRHFVLYGLMEFLRRSLDRQFSPDEVLQLLDRFYNLEVLKPDDEEMDILNHEEDFSLPQSYFVKEES >OMO93415 pep supercontig:CCACVL1_1.0:contig08100:109846:113101:-1 gene:CCACVL1_06506 transcript:OMO93415 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEDKEGADPGGTEFTSDSSWSLPSGPVDSVSDGVNYFFDRESSILSEFGWNLHPVDDHPHHDIERFAELDRAESCSRPLDLAGNFSASQSCAASAAAAGSGGGGGGGGSSSGTASNPGLSADVSTSNNPSVSSSSSEDPPEKSTGSGGKPPEIPSKARKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPAIVITTYEGQHCHHSVGFPRGGLISHEAAAFAAGQFTPTVSQFYYPGVQLNREILPSITQSQQVPISAADSARSLPEPTPQLPTDEGLLGDMVPPGMRNR >OMO93406 pep supercontig:CCACVL1_1.0:contig08100:43379:45384:-1 gene:CCACVL1_06497 transcript:OMO93406 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 protein MSNTEKERETHVYMAKLSEQAERYEEMVESMKQVAKLDCELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNEENVKLIKGYRQKVEEELSKICTDILSIIDKHLIPSSTSGEATVFYYKMKGDYYRYVAEFKTDQDRKEAAEQSLKGYEAASATASTDLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEDGVKAEEGKPAADAEVKDEKQ >OMO93407 pep supercontig:CCACVL1_1.0:contig08100:45905:47467:1 gene:CCACVL1_06498 transcript:OMO93407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKRLQALLKPLSNHQLRRIAAESTHNQLTHRPNLHNPITPINQSTLLKVCTILFQQQNSPDSRLHSSLSSSNASINPEFFLQVCNNFPYSWRPVYRFFLYTQKLPQFTHNSLTFNKMLDVIGKARNIDLFWETCQEMGFEPEVEAVETMMETLFKTNKYEEAMKVFQMMRVNRMDDLGVSTYRLVIKWMCKRGKIEQANGMFDEMSQRGIEADNLTMGSIIYGLLARGRIREAYRIAEGIKNPDISIYHGLIKGLLRQRKTSEATQVFREMIKRGCEPIMHTYIMLLQGHLGKRGRKGHDPLVNFDTIFVGGLVKAGKSLEATKYVERTLKGGMEVPRFDYNKFLHYYSNEEGVAMFEDVGKKLREVGLFDLADILERYGQKMATRDRRRDRWGPTEEQSHDKNLQKEKVVV >OMO93421 pep supercontig:CCACVL1_1.0:contig08100:150073:150864:-1 gene:CCACVL1_06513 transcript:OMO93421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSFASNAIASIGLKGSREASRACSECSDDEVCSNGSGEEGLECPICWESFNIVENVPYVLWCGHTLCQNCILGLQSAILSLPTQKIKIPLFISCPWCHLLSLRLVYKGNLKFPRKNFFLLWMIESLNGDRYGVPRRTLPGYNEPVGSPRSNLSVGNQATNGAVRRGSYAHRAEQHRYQDNGGRNNVERHHFSLHKSLDFFIHFTSKFPLVIIFLLIVFFIIPGSAVILLLYLLVTVVFAIPSFLVLYFAYPMLERLVREITS >OMO93408 pep supercontig:CCACVL1_1.0:contig08100:48248:51754:-1 gene:CCACVL1_06499 transcript:OMO93408 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MRSPWLNKLSLILGPRPPISWLLLCFVSVLALIAVFGSSSSNTFDSVISTPVPEIYTNYRRLKEQAAVDYFELRTLSLGASRQRELELCVKERENYVPCYNVTANLLVGFKDGEEFDRHCDVSRLGKRCLVRPPKDYKIPLRWPAGRDVIWSGNVKITKDQFLSSGSMTKRMMLLEENQIAFHSEDGLIFDGVKDYSRQIAEMMGLGSDSEFFQAGVRTVLDIGCGFGSFGAHLLSLRLMALCIAAYEATGSQVQLALERGLPAMIGNFISRQLPYPSLSFDMVHCAQCGILWDQKEGMFLLEVDRLLKPGGYFVLTSATNKPHGSALGAKKRDMLTPLEHFTEKICWSLIAQQDETFIWQKTADSHCYSSRMQNDVPLCKEGYDAPYYQALMPCIAGTSSKRWIPIQNRSSSSHLSSAELEVHGKYCVSPEDFFDDLQFWKSTLKNYWSLLTPLIFSDHPKRPGDEDPLPPFNMLRNVMDMNAHYGGLNAAFMEERKSVWVMNVVPVRARNTLPLILDRGFAGVLHDWCEPFPTYPRTYDLLHANGLLSLLTSERCSLMDVFVEMDRILRPEGWVILADKVGAIELARAQAAQIRWDARVIDLQNGSDQRLLVCQKPFVRK >OMO93400 pep supercontig:CCACVL1_1.0:contig08100:19886:21361:-1 gene:CCACVL1_06490 transcript:OMO93400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLICLVLFLAMTGHSSATYCICKDGVGDQALQKTLDYACGAGADCTAILQNGGCYNPNTVKDHCNYAVNSYFQRKGQTAQSCDFSGTATTSPTPPSNIASTCNFPSSATSTTPSTTPTTGTGTPSTTPTTGTTPSIFNGGTGTSLGPTGTTTGITDPSDAVAIFRSTNFFFSFIITLCIVGLSWV >OMO93413 pep supercontig:CCACVL1_1.0:contig08100:95580:97290:1 gene:CCACVL1_06504 transcript:OMO93413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKRPFDDEELQELPFKNPRQLDYSNKLTQFVDTLPRSNTPQKPHLSVELDDGFHKYQWDKGFETDDLNNVTHPVEKDFETSAPLSLVTSASSEEDTGTGAAAAVSPVSPEYADFDYPRRPFTPVEDAYSLLLDRSPRRQIPLGPNHQAYIPSLGRHLKKDTVAHGNNVSDTTDNDNEVKLMGTCVIPMPDSDLSANNSDKVGAGRTDCSCLDRGSLRCVQQHVMEAREKLQKSLGHEKFVKLGFYDMGEDVAYKWSEEDEEFFREVVYSNPASLGKKFWKNLSVVFPSRSKRELVSYYFNVFILQRRAVQNRSSILDIDSDDDEWHASQPTYQVQASGEDEDSAIESLADEEDLANREGKYPEDDDEDDDDDDDDDSGDSDGDSDVGDHNNGTTVGDYGVNHLLEGHIPKAFDESRFDPVFEQTGKVSGIGEDFNLQDDSCMSFEFQPNMIDSHSLVDTKANLHAAGVKTDPSKCLRSKLDGSSDLASHMYLLDSCDTKFWDARYPTSPTKGIDLQPTCNIIEEIFGQDTWDNNMRND >OMO93402 pep supercontig:CCACVL1_1.0:contig08100:29887:31708:1 gene:CCACVL1_06493 transcript:OMO93402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MACMLSSSSSALPTRSLDSIPTRSLRSNELTSLSWASSFPRFNISINSSVLPPTPSLNKGSFVQAAYTRRSRGEAAKQPNRKSWKQRTDMYMRPFLLNVFFSKKFIHAKVMHRGTSKVISVATTNARDLRTTLPSLTDHNACRIIGKLIAERSKEADVYAMAYEPRKGERIEGKLGIVLDTIKENGVIFV >OMO93418 pep supercontig:CCACVL1_1.0:contig08100:132636:135153:-1 gene:CCACVL1_06510 transcript:OMO93418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLFFLFSLISQTQSKPLLTVTPTTLSKSGDQVHIQWSGVESPSKLDWVGLYSPPDSSHDNFIGYKFLSSSPTWESGSGSISLPLTNLRSNYSFRIFRWLESEVNPDVHDEDHNPLPGTNHLLAESESVGFESGHGPEQIHLAWTGREGEMRVMFVAEDGEERHVRYGEEDGEWEGGVAVARAGRYEREDLCHAPANASIGWRDPGWIFDAVMSGLKAGVKYYYQVGSDSKGWSTTRSFVSWDTSSNETIAFLYGDMGTATPYITFSRTQDESISTMKWISRDLEAIGNKPAFISHIGDISYARGYSWLWDEFFNLIDPVASKVPYHVCIGNHEYNWPTQPWKPDWAETIFRTDGGGECGVPYSHRFNMPGNSSEPTGTHAPATRNLYYSFDMGPVHFVYMSTETNFLPGSGQYNFLKHDLESVDRAKTPFVVVQGHRPMYTTSYESRDAPLRQRMLEHLEPLFVKNKVSLALWGHVHRYERYCPLNNFTCGSMGIEGESWEALPVHVVIGMAGQDWQPTWEPRPDHPDDPVYPQPKRSLYRTGEFGYTRLVATKEKLILSFVGNHDGEVHDMVEILASGQVLNGGDHENGRVGAVLQDEEREYSFSHYVWSGSILVLGGFVGYVLGFVSRARKRAASGSGWTPVKTEET >OMO93416 pep supercontig:CCACVL1_1.0:contig08100:123856:128478:-1 gene:CCACVL1_06508 transcript:OMO93416 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 35 MASLPFSATCSHSTFIDFNYKARNSKLFFLKNPRFTFSKRNFFVKSVASDQRQQELKESQLTEQASLDTFVPDSASIASSIKYHAEFTPSFSPDHFELPKAFYATAESVRDSLIINWNATYEYYDKINVKQAYYLSMEFLQGRALLNAIGNLELTGAYAEALKKLGHNLEDVAREEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQHITKDGQEEVAENWLEMGNPWEIVRNDVSYPVKFYGEVVSDSNGNKQWVGGEDIVAVAYDVPIPGYKTKTTINLRLWSTKVSPKEFDLQAYNAGDHARAYAATKNAEKICYILYPGDESLEGKTLRLKQQYTLCSASLQDIIARFERRSGESLNWENFPDKVAVQMNDTHPTLCIPELIRILMDVKGLSWEQAWNITRRTVAYTNHTVLPEALEKWSLELMQKLLPRHVEIIRMIDEELIQTIIDEYGAEDLDLLEQKLKEMRILDNIELPESVAELFVKSEETSVVDSTEKEEDDIDADEETEPTDEEEEEQLEEEEIDGKKSTKVTFMDPKLPQTVRMANLCVAGGSAVNGVAAIHSEIVKNEVFNEFYKLWPEKFQNKTNGVTPRRWIRFCNPDLSKIITKWTGSEDWVVNTEKLDILRKFADNEDLQSEWREAKRRNKVKVASFLREKTGYIVNPDAMFDVQVKRIHEYKRQLLNIMGIVYRYKKMKEMSHEERKTRFVPRVCIFGGKAFATYVQAKRIVKFITDVGSTVNHDPEIGDLLKVVFVPDYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAEAHEIAGLRKERAEGKFEPDPRFEEVKAYVRSGVFGPYNYEELMGSLEGNEGYGRADYFLVGKDFPSYIECQEKVDEAYRNQKRWTKMSILNTAGSYKFSSDRTIHEYAKDIWRIDPVVLP >OMO93399 pep supercontig:CCACVL1_1.0:contig08100:15988:18227:1 gene:CCACVL1_06489 transcript:OMO93399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MLAVTASPVPKLFPTCKRRRCTILAADDKFPSFLPKQVEQIKDKFARNLATRIERLPVNVSFSESSIMSSCIKPLNLQNKQSPLVLLHGFDSSCLEWRYTYPLLEEAGLETWAVDILGWGFSDLERRPSCNVESKREHFYQLWKSYIKRPMTLVGPSLGAAVAIDFAVKYPEAVENLILIDASVYAEGTGNLAKLPRAAAYAGVAILKSVPLRVYTNFLVFKVMSFSTSLDWMNIGRLHCLYPWWEDATVNFMISGGYNVSRQIDQVNQKTLIIWGEDDQIISNKLAVRLHCELPNAIIRQIPDCGHLPHVEKPDAVARLIFEFMQESSQKEAQVVPI >OMO93412 pep supercontig:CCACVL1_1.0:contig08100:90983:92425:1 gene:CCACVL1_06503 transcript:OMO93412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPEIQVAVVDISVSRINAWNSDALPIYEPGLDDVVKQCRGKNLFFSTDVEKHVAEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIEDLFKPDRVLIGGRETKEGTKAIETLRDVYAHWVPVDRIICTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKNRFVNRIVSSMFNTVSGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKARLSIYDPQVNEEQIQRDLSMKKFDWDHPVHLQPMSPSSIKQVSVVWDAYAATKDAHGICILTEWDEFKTLDYQKIYDNMQKPAFVFDGRNIVDVAKLRDIGFIVYSIGKPLDQWLKDMPAVA >OMO93417 pep supercontig:CCACVL1_1.0:contig08100:130521:131926:1 gene:CCACVL1_06509 transcript:OMO93417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFMRPKPPQKADSDTEETPNTITPERRTSSEPVLLTPEINEEDNHGRRKQASASTRPSPARGRDRYKNDFKDSGGLQNQTMEELENYAVYKAEETTSTVNNCLRIAEDIRQDATKTLDTLHKQGEQIERTHNMAVEIDKDLSKGEKLLNSLGGMFSKPWKPKKTHAIQGPVITSDKTSKKDEKNKEQREKLGLSPAPKGAGSKTPQSEPTNAIQKVELEKAKQDDALDDLSGILGDLKGMACEMGSELDRQNKALDHLDKDIDELNNRVKGANQRARHLLAK >OMO93403 pep supercontig:CCACVL1_1.0:contig08100:32309:35422:1 gene:CCACVL1_06494 transcript:OMO93403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIAILTRTRILPRLNQAKFISTFPYLSQEPQLAESSHSTETTPLPPNPASGSPMYHENWRNPNAAPDSTSIAQSLIPMGFLNQTPANRIQYLSQSLDLPALMDRFADFMTQQKWSDVKQLFEFWVRSLDKNGKPNKPDVNIYNLYLRANLMCGSSDGMRGASAGELLDLVAKMDEFAIVPNTASFNLVLKAMSQAKETEAAKKLIDRMLQGGAESLPDDESYALVIQMLFEAQQMDSALKYVDMALKSGSVLSMRIFTDCVHSCINQGQLDTLVTVIEKCKATAQNKALYPNWNLCNTLLEVAMQADNSKLAFYALEFMAKWIARGEITTPPFLLSVDEGLIVSALATAGRTYSSNLLDASWAILKRSLRQKKPPSPESFLGKIYAHASLGNLQKAFATLHEFESAHGNSINEAEDLFSPFTSLHPLVVACSKKGFESLDSVYYQLENLSRADPPYKSVAALNCIILGCGNIWDIERAYQTFDAISSSFELTPDIHSYNALMYAFGKLKKTFEATRVFEHMLSLGVKPNAKSYSLLVDAHLINRDQKAALSVIDKMVTAGFAPSKIVLKKVRRRCIREMDYEADDQVESLAKKFQIRMGSENRRGILFDLDFVNEYVPAP >OMO93401 pep supercontig:CCACVL1_1.0:contig08100:27766:29034:-1 gene:CCACVL1_06492 transcript:OMO93401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTTFQASYSLLLKPQTKLEAAGRRRSRARIRRRANGNSLGIFATQGPSKPQRSPPGVDTRIHWENEDEGWIGGKSKSQQTKEKMDEEEAQKNLLGVPAESDLEEIKAAYRRLSKEYHPDTTSLPLKAASEKFMKLREIYNVLSNEESRRFYDWTLAQEAASRKAEKLRMRLEDPYQQDIKNYQSKPDMVDRLGGRNMQLSDQAMTALTFDVFIILFAICCIVYVVVFKEPNY >OMO93398 pep supercontig:CCACVL1_1.0:contig08100:6942:14182:-1 gene:CCACVL1_06488 transcript:OMO93398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MDQQQNRAAATRPPQPLPTPPARPPPAQTSDFLVGFLVVVGFIVIASSALFPTGPSYKYTLSILHQVPEGHVGVYWKGGALMKEITEPGFHLKLPLITHYEPVQVTLQTDQVRDIPCGTKGGVMINFGKIEVVNRLRKEYVYETLLNYGVHYDNTWIYDKIHHEINQFCSSHTLQQVYIDVFDQIDEKMKDALQGDCTRYAPGIEILSVRVTKPIIPESIRRNYEQMEEERTKVLISIERQKVVEKEAETKKKMAVSEAEKFATVSKIQMEQKLMEKDSARRQQEIENRMYTDRQKSLADAEFYRELKEAEANKLKLTPQYLELSFINAITQNTKIFFGDKVPNMILDQRLLGNFMQNVSRQGFPGIASLISLSTDWIVCGGLKMYLVESKGGAIACMLLALLFLGTWPAVLTLLERQGRLPQHTYLDYTLTNLLAAVIIAFTFGEIGKSTPEQPNFIAQLSQDNWPSVMFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTLNYFLDDKINRAEILFPGVGCFLIAVCLGSAVHSSNAADNKAKLEKLRKEAGFSSTVKETTGYEGLKDLENANGSTEKAKAGTADFLVELENRRAIKVFGKSTFIGLAITFFAGICFSLFSPAFNLATNDQWHTLKEGVPKLSVYTAFFYFSVSCFILALILNIIFLYRPVLDLPRSSFKAYLNDWNGRGWAFLAGFLCGFGNGLQFMGGQAAGYAAADAVQFSWHHQGTENDFAAVKFIVWRFEQLMA >OMO93410 pep supercontig:CCACVL1_1.0:contig08100:61866:61967:1 gene:CCACVL1_06501 transcript:OMO93410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALNAAIIALNGNNFTGSNSARKIPTSPNAQL >OMO93409 pep supercontig:CCACVL1_1.0:contig08100:54527:58995:-1 gene:CCACVL1_06500 transcript:OMO93409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEEEPPLAIQIDQTVGEPSQSSSEQPPYDNVSVGVTVITGYLGAGKSTLVNYILNAQHGKRIAVILNEFGEEIGVERAMINEGESGALVEEWVELANGCVCCTVKHSLVQALEQLVQMKKRLDHILLETTGLANPAPLASVLWLDDQLESSVKLDSIVTVVDAKNLRFQLNTHRDSTKFPEAFLQIAFADVVILNKVDLVSSEGSEGAVEDLEKEIRSINSLANIVRSVRCQVDLSLILNRQAYDATHASHLEALLEESKSIPSKDLHDSGVRTLCINQTEAVDLNKVRLWIEEILWEKKYGMDVYRCKGVLSIQNSDNLHTLQAVREIYEIVPARQWRKEEKQMNRIVFIESLYPRRQLAAKRENFSQVALPNWLLLLFNSQQSGMALVVICGQPCSGKSTAAKCLAEALNESECKQTVRIIDETSFHLDRNQSYANMPAEKNLRGVLRSEVDRSVSKDNIIIVDSLNSIKGYRYELWCLARAAGVRYCVLYCDVEEAQCRKWNEERREKGEAAYNDVIFEDLARRFETPDRRNRWDSPLYELWPHKDGVEKSSVAIADAVSYLTKKVDSKSRDVKILQPTIATQNTRFSEANSLYEMDRATQEVINAIVEAQSQAIGGPLVGISIGQGLPTIDISRSVGLPELRRLRRTFIKLTGQTSLSGRPPPSDAESTKRMFIDYLNRELGSTA >OMO93414 pep supercontig:CCACVL1_1.0:contig08100:100729:102357:1 gene:CCACVL1_06505 transcript:OMO93414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MEQIQEKKFVCKFCHKRFPCGKSLGGHIRTHMNSHNNNNNENSTEAEEGATEITINKLGNARNIKRPAEPDAAAQSSYVLRENPKKTKRFSDSGNPSLLKEMVCKECGKGFQSLKALCGHMACHSEKDRNFQKFEDHSGNSEKQKLIMDSQSDTETSAPSRRRRSRRIRYKAIGIYSNNSVSMANGSSSVSEIEQEQEEVAMCLMMLSRDSGCKKGGGLNSVADSSDNNSVVLEAKSSSIDVRITIKNDMKCVSNGGEFLKMQKPRDSSCKLKSSESGPSSSENSDSGYFRNGPKKVESDVSVDGFLRNVEFKKLKVESGSGFESFDAKFGKNLSKFKCMKAEFSKDITSEVGDNRADHRALTKYDLRRSAKAADYCSPEFFCNSPKGNKYECLTCNKTFDSHRALGGHRASHTKVNDCSESIHESGEDSLANDSFPAPMADSKVTKSSHHSKTAARGGSSGNAEKKLGSRKNKGHECPFCFRVFKSGQALGGHKRSHFVGGSEDRTVVIKQDPTEMHGLIDLNLPAPVEEDAMGNSGFIPW >OMO51768 pep supercontig:CCACVL1_1.0:contig15721:5791:8616:1 gene:CCACVL1_29604 transcript:OMO51768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPSLMDSLFQRTLEDIIKGLRHQLIGEQAFISKALEEIRKEIKSTDLSTKSTALLKLSYLSSLHFHDMSFASFHALEVLSSPRFSHKKIAYHAISLSFHDSTPVLLLITNHLRKDLSSTNEFEILSAVVGVFCELASKDPRSYLPLAPEFYKILVDSKNNWVLIKVLKIFAKLAPLEPRLAKRVVEPICDHMRRTGAKSLLFECVRTVVTSLSDYDSAVRLAVGKVREFLVDEDPNLKYLGLQALSIVASKHLWAVSENKEIVIKSLSDADPNIKIESLRLVMAMVSEDNVPEISRVLVNYAIKSDPEFCNEILGSILSTCSRNVYEIIVDFDWYVSLLGEMSRIPHCQKGEEIENQLIDIGMRVKDVRPELARVSRDLLIDPALLGNPFLHRVLSASAWASGEYVEFSRNPLELMEALLQPRTSLLPPSIRAIYIQSAFKVLAFCLRTYLMLRGTAASSTCPDNLPSGVSASMSYESFDDLSVENGGDVTVTHGQPSTSASITDESIGNLFNLVELTLGPLLGSHDVEVEERARNVVGFVDMTKLGLLNASAQEEKDIEGKGVEASKTIKLMCDAFTKELGPVSISAQGKVPLPDGLELKENLGDLEMICGDIEQPSSNSVSFGSSYEEKVDVSFSNLQIKEDSEQSNESTSLLAEHRKRHGLYYLSTGQSETISNDYPPANDPMSQGNINDNADDLVKLAEDSLIPKKKLNHVKPRPVVVKLDEMDEKPFATKKCDPKDDSLSGAVWDILLGSEDMVPTSSRSNLSDKPSNKIKGKEKQRTEPHLESKENLVEDGNSSSRRRKHRSHGKERRHKSPRKKNAEEGEDSVQKVKEKRSHRHGRHKSRQRADEPLNVTPQTPVIPDFLL >OMO92583 pep supercontig:CCACVL1_1.0:contig08195:584:643:-1 gene:CCACVL1_06814 transcript:OMO92583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHSTSALDVSTEERLFRP >OMO92584 pep supercontig:CCACVL1_1.0:contig08195:6054:6131:-1 gene:CCACVL1_06815 transcript:OMO92584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVEALSDRGKEAGGWFDEAEEVF >OMO98980 pep supercontig:CCACVL1_1.0:contig06975:10624:14610:-1 gene:CCACVL1_03979 transcript:OMO98980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase (Class B) MASSLVLFLANILPLFSDGSPQAANHLLLHHNHQLPQIHLLRPQSGAGGSHVPGLSCLSWRLGVETNNIIGWKTIPQECEGYVGHYMLGHQYRLDSKVVTDEALLHAQSLNLPNDGKNVWVFDVDETSLSNLPYYAQHGFGVEPYNSTLFNKWVMEGEAPALPESLTLYSKLLSVGIKPVFITGRSEDERNVTTTNLLKAGYHSWEKLVLKQSSDSGKTAVEYKSDARKNLEENGYRIIGNIGDQWSDLLGTNTGNRTFKLPDPMYYIS >OMO98982 pep supercontig:CCACVL1_1.0:contig06975:21512:23927:-1 gene:CCACVL1_03981 transcript:OMO98982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINQRRHLCRVITISRRYYATKYVAKITSTSPSGRTLSAEVSAPTLPADPRGYPIPRHHLICKITQIITKSRSDPFYDLSQYLCSLSPTLTTNEVSLILKSIKCPSLALKFFQFCPSLSPNFRHDAFTYSRLFLIFSKSFLSDRFDTVRSLLNDMLKSGIRGNISTVNILIGFFGNTEDLDTCKGLVKKWDLQMNAYTYKCLVQAYLRSRDSEKAFSAYEEMKRKGYKLDIFAFNMLLHALAKDEKVDQAYGVFQDMKQKHCQPDEYTYTIMIRLNGKLGRCDESLKLFDEMIAKGCSLNLMAYNTMIQALANGRMVDKVIYLFSKMVERDCRPNEFTYSVILNVLVAEGRLNKLDEVVEVSKKYMNKSIYAYLVRTLSKLGHASEAHRLFCNMWSFHDKGDKDAYMSMLESLCSAGKTTEALDLLSKIHEKGITTDTIMYNTVCSALGRLKQISHLYDLYKKMKRDGPSPDIFTYNMLISSFGRAGKVDEAVDIFEELEKSDCKPDIVSYNSLINCLGKNGNLDEAHMRFKEMQEEGLSPDVVTYSTLIECFGKTDKVEMACTLFDEMLAQGCYPNIVTYNILLDCLEKSGRTAEAVDLYAKLKQQGLTPDSITYAVLQRLQSGARKKFKVRRQNPIT >OMO98979 pep supercontig:CCACVL1_1.0:contig06975:6369:6830:1 gene:CCACVL1_03978 transcript:OMO98979 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MEKESMAKEDIIRESLVDSFNLPIYASSAKEMKEIIERNGCFSIERLETTHPLSEAIVKLDPRVFAAHIRAGLSGIISKHFGNKIIDELYDRLDKKAEENSYLLNNPSYTPNQLFIVLRR >OMO98987 pep supercontig:CCACVL1_1.0:contig06975:60635:61780:1 gene:CCACVL1_03986 transcript:OMO98987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKHFIPVFTIALFLSFSFSIAHFPQVVRRPHPFAFRPPVNRNNLCLCPCSSPGAFKSFDAHGAGASQGFGTSTSSDFKGSGSVSSSSAAASKDAAGATVSGSTSSDSKGSGATSASTSKDSKGSGTVSAGATVSGSASSDSKGSGAASASTSKDAKGSGTVSGSTSSDSKASGSGSGSVSSSSASKDSKASSKSSSGNASGENASKGSGNASKSAASTSSGTVSSSSAAKGSKAISGSFEKSGTVSSEAAASKNSGSGAKSESSGSKGSSDASKGAASSSQSSSSGSFSKSAQADASKSISGSSSSSGSFSKSASASKDGTSQGSDPSASSTGSGSSDYKHSGSFRSSGSFKGSSSYASHGSGSGSWSSSSSSNSNTKE >OMO98981 pep supercontig:CCACVL1_1.0:contig06975:15902:18911:-1 gene:CCACVL1_03980 transcript:OMO98981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase (Class B) MALLLVLFLANTILPIFSEGSPQAADPPLIHHQIHLLRPQSGAGGSHVPGLSCLSWRLGVETNNIIGWRTIPQECEGYVGHYMLGHQYRLDSKAVTNEALLFAQTLKLPKDGKNVWVFDVDETSLSNLPYYAHHGFGVEPFNATLFNKWVMEGKAPALPESLKLYSKLLSLGIKAVFITGRSEDQRSATATNLKKAGYRTWEKLILKQPSESGTTAVVYKSNARKKLEENGYRIIGNIGDQWSDLLGTNTGNRTFKLPDPMYYIS >OMO98984 pep supercontig:CCACVL1_1.0:contig06975:33226:39803:-1 gene:CCACVL1_03983 transcript:OMO98984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGVGIVEKALNSLGKGFDLTSDFRLKYCKGKDRIILLNQTEKTEVSIPGFGSFKDVPVDIRCDKGDRIRYQSDILDFNQMAEFFNQKSSIPGKIPSGHFNAMFGFQSGSWAKDAANTKFLGIDGYFIFLFNLHIDRCPLLLSDEVVNAVPTAWDPCALARFIEKYGTHVIVGLGIGGHDVPEAFNIIDLQPTPFNSYCLPSVSTKNGITVMNSKRGGFPAESSSHYEWLLTVPMMPDAILFDFIPITSLLKPGVPGKGFLSHAINLYLRYKPPIADLQYFLDFQAHKIWAPIHSDLPLTLAPSPHRASSSPSLNFNLMGPKLYVNTSQVTVGMRPVTGMRLYLEGMKCNRLAIHLQHLSTTPKTFEDKMDEVQYWQASEDIADVRFFEAIHRKKFSHICTAPVKYNPKWTAGKDVVFIVTGAQLHVKKHDSKNVLHLRLLFSKVSDSFLVQSSWAHANSGMSQRSSGLISAISQSLTGNPVKEKTEVVVVDSAIFPTGPPVPVQTPKLLKFVETSQLCRGPQDSPGYWLVTGARLQLERGKISLHVKFSLVNIC >OMO98988 pep supercontig:CCACVL1_1.0:contig06975:64099:71981:1 gene:CCACVL1_03987 transcript:OMO98988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNQSPHTVESSLPTAESRGKRLKIATPEKKDEKNVAGQRRVSSRLQAAKQKADKEILVKKRVELLDLDEDGGSSKKKVVNGDFREKRKLKDDQTRTQNLPETTELKMVAMAAKIDSLKSIPSNVVAKSAHIKVKETIRLFNKHYLHFVQEEEKRCGTGGVKVDKKDPKAMIAHKGDVGDVKNKSKRPDLKAISKASIDVGHQFYSRAEMCVVGFHNHWLNGIDFVGQNHKKGEFKNYILPLAVAIVLSGQYEDDLDNAEDVIYTGQGGHDLTGNKRQIRDQVLERGNLALKNCVEQGVPVRVIRGHQCPSSYTGKVYTYDGLYKVVQYWKQKGISGFTVFKYRLRRLEGQPTLTTSQVQYVNGRGPKSPSEIQGLVCEDISGGQEDVPIPATNLVDDPPVAPTGKLNFQISLSLFLCFSDYKYCKKMTVAENIKIPTNVAGCNCKGVCCDPKTCACAKLNGSDFPYVRRDGGRLIEAKHVVFECGPRCGCGPSCVNRASQRGLRYRLEVFRTPKKGWGVRSWDFIPAGAPVCEYIGVIRRTEDLDDASENYYILDIDCLQTMRGLGQRNRRQQDASLPMIPNMDSIDEQKSESVPEFCIDAGSSGNVARYINHSCDPNLFIQCVLSAHHDFRLARLILFAADNIPPMQELSYDYCYPIDGVYGPDGKGIGHTKMVKAENSTQSKSMEEEHKSKKEAHVLILPFPGLLGHMNPMLQLARRLVSKGIKATLLTTIFLSKSVTLSDPTGSIHLETISDGFDQGGFAQAGSDEIYLSTFKSAGSQSLASLIKKLGEIGQPLDAIIYDAFLPWTLDVAKQFGLLTAVFFTQSCAVNSIYYHMSKGLLKLPVEEPNASLPGLPVLQVSELPSLLYHYGSFPALFDMVVAQFSNIDEADWVLVNSFHHLEKEVIDWMSGIWRLGTIGPTIPSMFLDKRLENNKDYGISLFKPDTSTCMNWLNSKPNGAVVYVSFGSLAMLGAEQMAEIAWGLKSSNCYFLWVVRESEEPKLPENFKGEIGDKGLIVDWCNQLEVLEHESLGCFFTHCGHNSVIEAMCLGVPLLAMPQIHDQATNAKYVEDVWGIGIRAFPDEKGIVGREIIKECINEVMEGEKGKEIKNNAIKWKNLAREAVDKGGSSDQKIDEFVAQLLCA >OMO98986 pep supercontig:CCACVL1_1.0:contig06975:54619:59293:-1 gene:CCACVL1_03985 transcript:OMO98986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, ZZ-type MGRSRTVNRSNEEDLNQSSKSKRKRTASGVVENVELPSTGVGQVANEAKGPALYHCNYCNKDISGMVRIKCAVCPDFDLCVECFSVGAEVTPHKANHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGFGNWTEVSEHVGTKSRSQCIDHYNAIYMNSPCFPFPDLSHVMGKSREELLAMAKENGQVKKEFTTHDEVTLKHESTVAAKIKYEAPRKEDPAPQSSSSLTGEGRSHLDSSSGNTFQGAGKITSNVAPIKDCIKVEEPQADRSIGEKKLRVSTDEEPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTKAEHELKLRVLHIYSKRLDERKRRKDFILERNLLYPDPFERNLSPEEREIYQRYKVFMRFHSKEEHEELLKSVIEEHRIVKRIQDLQEARASGCRTAAEANKFIEQKRKKEAEENAQRLKESAQAGTSGKGLFHSSPRGIIRGSTGLQPFGKESPTVIGQATLSLSNLDEWDITGFIGADLLSDTEKQLCCEIRLLPSHYLSMLQTLSVEIMKGNISKKSDAHNLFKVEPSKVDRVYDMLVKKGIAQA >OMO98983 pep supercontig:CCACVL1_1.0:contig06975:26085:27564:-1 gene:CCACVL1_03982 transcript:OMO98983 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MATTVVSNSVAKRSGDEIPTAVNSSKPTPVIGGHGSNSYSNNSSYQGTVNEAEIDAFNLPIYACPPGEFIKGVEENGFFNVEVIELMNPAPWLKGPIDIPVFVKHVRAAMEGMFRSHFSSEAIDELFEQLVVKLSEISDQMESCYRDGLQLLAILKRK >OMO98985 pep supercontig:CCACVL1_1.0:contig06975:45840:49248:-1 gene:CCACVL1_03984 transcript:OMO98985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MVSRSYSNLLELASGEPPSLGRMSRRIPRIMTVPGIISDIDDDPSESVCSDPSSSSVQRDRIIIVANQLPIRAQRRSDNSKNGGDGSNSSSKGWIFSWDENSLYLQMKDGLGDDDIEVIYVGCLKEEIHPNEQDEVSQILLETFKCVPTFLPPDLFSRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWIHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLTYESKRGYIGLEYYGRTVSIKILPVGIHMGQLQSVLSLPETEAKVAELLKQYCGQGRTMLLGVDDMDIFKGISLKLLAMEQLLLQHPECQGKVVLVQIANPARGRGKDVKEVQEETYSTVKRINETFGMPGYDPVVLVDEPLKFYERIAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNERLDKVLGLEPSTPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVADAMDCALAMAEAEKQLRHEKHYRYVSTHHVGYWAHSFLQDLERTCREHVRRRCWGIGFGLSFRVVALDPNFRKLSMEHIVSAYKRTTTRAILLDYDGTLMPQASIDKSPSSKSIDILNSLCRDKNNMVFIVSAKSRKTLTEWFSPCEKLGIAAEHGCFLRLKRDAEWETCVPAVDLSWKQIAEPVMKQYMETTDGSYIEDKETALVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNVVEVKPQGVSKGLVAKHLLSAMQERGMLPDFVLCIGDDRSDEDMFEVIISSIAGPSIDPRAEVFACTVGKKPSKAKYYLDDTVEIARLMQGLASVSDQMLSALA >OMO82616 pep supercontig:CCACVL1_1.0:contig09999:11576:12446:-1 gene:CCACVL1_11859 transcript:OMO82616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQKIETGHQDTVHDVAMDYYGKRIATASSDSTIKIVGLSNNAHQHLSTLSGHQGPVWQVAWAHPKFGSLLASCSYDGRVIIWKEGNQNKWSQAYVFDDHKSSVNSIAWAPHELGLCLACGPSARADGGWDTSRIDQAHPVGGWDNTVKVWKLYNGTWKMDCFPALQMHTDLVRDVAWAPNLGSPKSTIASASQDGKVIIWTVAKEGDQWEGKVLHDFSTPVWRVSWSLTGNILTVADGNNNVTLWKEAVDGEWQQVTVVEP >OMO82617 pep supercontig:CCACVL1_1.0:contig09999:19500:19907:-1 gene:CCACVL1_11860 transcript:OMO82617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIEHWAVLENLGTNQFDKLPDVAKKNSQSMKMGFTQSTRADRRADHHALVTIEIEQERNNHNRPREKLPPVPFNMEELNALIDQWHANGTLKVNPNAKKPTKEEKHDSHFHHYVQHPSNHELPIAKEDRTPKDS >OMO82619 pep supercontig:CCACVL1_1.0:contig09999:28546:28692:1 gene:CCACVL1_11862 transcript:OMO82619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLASLNEARISLIYLQRKKLLKEIALLELQQQKLEHQKLPDLKQEL >OMO82615 pep supercontig:CCACVL1_1.0:contig09999:1895:10293:1 gene:CCACVL1_11858 transcript:OMO82615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MGGDKAISLEEIKNETVDLEKIPIEEVFEQLKCTREGLSAEEGANRLQIFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGDGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKNPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTSIGNFCICSIAVGMLVEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFVKDVDKEHVVLLAARASRTENQDAIDAAIVGMLADPKEARAGIREMHFLPFNPVDKRTALTYIDSNGNWHRASKGAPEQILALCNAKEDLKKRVHSIIDKFAERGLRSLAVARQTVPEKTKESPGSPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIAALPVEELIEKADGFAGVFPAYKESNSKERSELFLGIVASKPTQSYRQTPMKPRPFVDRSKSIPSLPNKPKKISSRIPKS >OMO82618 pep supercontig:CCACVL1_1.0:contig09999:22440:22565:-1 gene:CCACVL1_11861 transcript:OMO82618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKWLCHAPPSLQKYQVRSGSPNLWSRACGHSTGDRLLPG >OMO93045 pep supercontig:CCACVL1_1.0:contig08140:130:240:-1 gene:CCACVL1_06658 transcript:OMO93045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHEPLGSFSYGFIMVGHRKQLLDGHGKPSKKKAL >OMP06564 pep supercontig:CCACVL1_1.0:contig04887:1535:3097:-1 gene:CCACVL1_01510 transcript:OMP06564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNRFLCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSNKEVIRKKVYICPEKTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARFTSVPSTMNPAAFRNDLMINNNNGMQQQFPSSGFSGSDLVVDNLNGNGTQKPTRLQLWLDQANSQLNPMGISSNNANGFLAQTKTNTSLGDLGIAPMNMNMNMLGSLSQAQWLNKYPEASFPSVSLSAALPRGPKEEEENKANINLSESITSLYNSNINARNSLQQGQAGVAAAHMSATALLQKAAQMGSTRSNPAAFNNSSSFGSPSTMSSSNNTNNEVYKLFKQANNNNQSAADSINELVSSLSSSTQATKNVDNLLMQANGKQGNKHNMTKSNEVEGSLTRDFLGVGAGESSRPFLQQELAKFASMGSVQPWN >OMP11402 pep supercontig:CCACVL1_1.0:contig01319:8019:8186:-1 gene:CCACVL1_00538 transcript:OMP11402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein THHKADNQTPKNPLAPILLLISTLPVLRHGAALPPLSHIAAQCGLLLLLHSPLRR >OMP11401 pep supercontig:CCACVL1_1.0:contig01319:4284:5338:1 gene:CCACVL1_00537 transcript:OMP11401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLASAREGRTYGPRLSRNRAEYMNAGLYLFSTVVLICGFAAMFSREPRSGLVLMLIALAIIIAVNIHDLLAHLAGIDYRFSLMGFDTQLALVEFAVPVVQALGSALFFVGILFLFLQAEKSYGYYKMEKHALGMLIAGPVLWVLGSIHNLCQIYERADGHVQILQQSVQIPFLIGSVLFMVGAILNSYEKAEITHHGLQLVGRTWVWLGICGSLMFFIGGLTNVVKVFKMLQINGLRLEKLRGGAQDRLIEGREGHIPLIIDETRKKMILDEAKEAAAAATAAASTPYKDVLLGRT >OMO88209 pep supercontig:CCACVL1_1.0:contig09081:16829:18802:1 gene:CCACVL1_08522 transcript:OMO88209 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MAASEHTVLQFNAPSTKSLSAKVHPLVIFNICDCYVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHTESSDQVALDIEYHHNMLASHQKVNPKEVIVGWYSTGLGVTGGSALIHDFYSREVPNPVHLTVDTGFRNGEGNIKAYISVNLALGDRQLAAQFQEIPLDLRMVEAERVGFEILKTTAVDKLPNDLEGMEVTMERLLTLIDDVYKHVDDVVEGRMAADNSIGRFIADTVASLPKLSPPAFDKLVNDSMQDQLLVLYLSSMARTQLGLAEKLNTAAQIL >OMO88210 pep supercontig:CCACVL1_1.0:contig09081:23811:24474:1 gene:CCACVL1_08523 transcript:OMO88210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGFSGFCIKGNLRNNNGSGTKCGRWNPTTEQVKVLTDLFRSGLRTPSTDQIQKISTQLSFYGKIESKNVFYWFQNHKARERQKRRKVSMDENDFISCTRDNKISASKRFFEVNNLHHEIKEYNNINHQPHERVIETLQLFPLNSFDDQNDLHNQQQEKLRFHAGDCREPIMDHPPLDLRLSFH >OMO88208 pep supercontig:CCACVL1_1.0:contig09081:3387:4432:1 gene:CCACVL1_08520 transcript:OMO88208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLDNGQWNPPDNSYKNAFVYDEMRHKNARVPWQREVMA >OMO63386 pep supercontig:CCACVL1_1.0:contig12982:1647:1811:1 gene:CCACVL1_22403 transcript:OMO63386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALPTHLLYFRAFSENVKLSVPTKTQESALVPTEAHCQTKERSEDLQRGNDDKKE >OMO63387 pep supercontig:CCACVL1_1.0:contig12982:6860:9392:1 gene:CCACVL1_22404 transcript:OMO63387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSHQRAVVAVILIVEAELKWEERKKGYKKDIRY >OMO63389 pep supercontig:CCACVL1_1.0:contig12982:44674:48070:-1 gene:CCACVL1_22406 transcript:OMO63389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADFRGGLADKMKDGDSEFRLTDTVDALDVEESNKKIVDDSPLKTFL >OMO63388 pep supercontig:CCACVL1_1.0:contig12982:12328:20286:-1 gene:CCACVL1_22405 transcript:OMO63388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPSSVDVILDFLRRNRFTRAEAALRSELGNRPDLNGFLQKLTLDEIDSGKVLEEENGKKTVGESHGSGSRNDAEVSKELIVKEIECGAGRNGSESKWRNAASTGERNKPNEGKVTSDKSFAFSKSSEDNAINLQPWNFNPSNGPDLYKIDGFVSSTSFPELEIPDQSRYRKTEAPDTDKANVKSGEEIVYSGEMKTAWLGNTSKTNVDSKYDKIRTSEAKELDQQFKTSSSYFKENFSDNNTWSRNEEPASSSSEVWKDCSVKTVFPFPKGDVSISYDAASGSDKREGKKKADAIKEQVDEVGRALFFGKSQGNSEQKGISGLNFSLAADNPKEEFPRLPPVKLKSEEKSLNINWEEKYERDGPGAQLTAADNTFLIGSFLDVPIGQEINSSGGKRPAGGSWLSVSQGIAEDASDLVSGFATVGDGLSESVDYPNEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGTGHGSVPDPQERGQTKDEDDDQSFAEEDSYFSGEQYFQAKNVEPVAASDDPVGLSITEMYGRTHENDLIAQYDGQLMDEEELNLMRAEPVWQGFVTQTNELIMLGDGKVLNEHGRSRLDDICLDDDQHGSVRSIGVGINSDAADIGSEVRESLVGGSSEGDLEYFHDNDVAVGGSRQSHHETDRKYIDKSIRDKRKTAKNDSNKYVIGNDKGSLPQVKNLADGGFSFPPPLREGQLVQAGSSKSLWSSNNAAGDEHDECLNALVGSDDMLATWRRKSSDSSTVKSSRDENNANAVRSANSSPSTLSNYGYGEREQTKKEEDEKTSGVREEDPGASLEDEEAAAVQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKHHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDVWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIEQDMLAKGRDTYKYFTKNHMLYERNQETNRLEYLIPKKTSLRHRLPMGDQGFIDFVSHLLEVNPKKRPSAAEALKHPWLSYPYEPISA >OMO55223 pep supercontig:CCACVL1_1.0:contig14764:9093:10947:1 gene:CCACVL1_27347 transcript:OMO55223 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Protein Z MVRWLSFLKDQIYRGSHDLRHRDPETPGEAREAFDFISTMIVQAKLNKLGPYAPEEEPNIPKVQLAMWGRTLEQLFDCLGSRSIKDLNSQSSQMTALASSADESSGFGRVSRSGMIQNSHLLMVAWGRQGLKFKPSFQEIVAGFYNATANEVDYVN >OMO71011 pep supercontig:CCACVL1_1.0:contig11752:5448:5843:1 gene:CCACVL1_18512 transcript:OMO71011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGFSSFKTLSKTLVRSFSTKPSHHNHHQESHKFLEPSSFLGSWKSPDDPKVAEKKLAQLRRDYAKQVKEVRKEYIHEMDILRLEKLRKEETRQEAIRVANEERKRLKAEAAKVRAQERLVAEQEFRQTL >OMO81506 pep supercontig:CCACVL1_1.0:contig10156:7774:9460:1 gene:CCACVL1_12382 transcript:OMO81506 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 26S proteasome complex subunit sem1 protein MATEQPKPATEDVKIDLFEDDDEFEEFEINEEWEEKEGKEVTQQWEDDWDDDDVNDDFSLQLRRELENNTEKN >OMO81507 pep supercontig:CCACVL1_1.0:contig10156:11497:17673:-1 gene:CCACVL1_12383 transcript:OMO81507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENNDGFVRADQIDLKSLDEQLERHLNRVWTTDKSKNKRDDDGDRVGAVTVDDSAVVGKLKLAPSSINKPPTFVKKERLEWEIDPSKLIIKAVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRTEAEIASLRAAFSQEVAVWHKLEHPNVTKFIGATMGSSGLQLQTENGQIGMPSSVCCVVVEYLPGGALKSYLIKNRRRKLAFKVVHQLALDLARGLSYLHSEKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVTMLEAIDTSKGGGMIPVDQQQGCLCFRSFSACFTWKEEHDETELDNYVGRWNMLAMIILVVIHVCIVGRAFRRGYEVDGSLVQISSNNNNNNGIKKMSDEEVKKLPCFDYKAAEKGSSPIDCVVCLENFRKGDKCKLLPNCSHSFHSQCIDSWLLKTPICPICRTCAHPSKVGMNNSKEESGVSTIHEDGLELV >OMO81508 pep supercontig:CCACVL1_1.0:contig10156:38421:40899:-1 gene:CCACVL1_12384 transcript:OMO81508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILEESVVKPKISPPNSYGAVVLGGTFDRLHDGHRLFLKSSAELAKDRIVVGVCDGPMLTNKQFSDLIQPIEERMRNVESYIKSIKPELVVQVVPITDPYGPSIVDEKLDAIVVSKETLPGGISVNKKRADKGLSQLKIEVVDLVSEGSSQGKLSSTSLRKIEAEKANKQQSG >OMO81504 pep supercontig:CCACVL1_1.0:contig10156:1496:1564:-1 gene:CCACVL1_12380 transcript:OMO81504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNGKLFPKPLPNIAPSTQY >OMO81505 pep supercontig:CCACVL1_1.0:contig10156:3256:5283:1 gene:CCACVL1_12381 transcript:OMO81505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MIHRFNQVERRILSFPAVHPCEAVSPATLLGSLITLSQSISNYQSKFFATQRRNSREAIRQIGILLVFFEEIRDRGLTLPESAVLCFSELHLTFQKIRFLLEDCSREGTRLWILMKSEFVATQFRVLIRTVATVLDVLPLDLIDICGEVKELVELVAKQARKAKIDIDPDDARAMRRVLSVLNRFEKGIEPEFETLKWVLDYLEIKSWSNCNKEINFLEEEISSQCVDGEEREVPFLSSLLGFMSYSRAVVFDTLDYRNADQTDVRCNMEILSCLNPEDFRCPISLELMVDPVTVSTGQTYDRSSITKWFKAGNMICPKTGEKLANTELVPNTNLRKLIHQFCADNGVSLAKMGKQSHDITRTILPGSPAAAKAMKFLSKFLARKLIFGPSEQKNKAAYEIRLLAKANIFNRSCLVEAGTIPPLLNLLYTSIQDNSIAALLKLSKHASGKKAIVESGGLRSILSVLKRGMSLESRQIAAATIFYLASVKGYRKLIGEMPETIPALIDLIKEGTVCGKKNAMVALFGLLLYPGNRQRVIDAGTVPLLMEILASSDKHDLVADTLAVLAALAESFEGTLAILQTSCLPLITRFLKSSSSQAGKEHCVSALLSLCSNGGEEAIAVLAKDQSVMGSLYSLTTDGTSHASKKARSLIKILHKFQESGCQAFNQEHPVHVW >OMO81509 pep supercontig:CCACVL1_1.0:contig10156:48142:49452:-1 gene:CCACVL1_12385 transcript:OMO81509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEESAGNRSVGKSGSNYSATHMAGARNEPKNYTTIIIKPNTGKAKALSDITNLKTSTDSKLASKGISTVEPESILTSSAYFRFMVGSSANLETTKKQKPKISSKTTKKHSTDHLPPPTTSATETSTYAPHTSPLSNSHLPHIFLPTSGGDFNEIASTAEKSNFTDSNCHQCQKMQDVLHACNLMDLGFVGPKYTWYESP >OMO81510 pep supercontig:CCACVL1_1.0:contig10156:49777:55508:1 gene:CCACVL1_12386 transcript:OMO81510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTKGLVRSTSTQTLAYFPLEDCLVGLSTFTVLPRRGHRDFSISGLSHISSMDSSSVSSSRTSSKWLGGETAMKNVGRQAENSPPSRVGEGNIGFERTWRLKIS >OMO62697 pep supercontig:CCACVL1_1.0:contig13207:13739:15985:1 gene:CCACVL1_22688 transcript:OMO62697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MDLESASQVKQGLLAGGWSRVKALAKNFKTKVFDFFSNVKKLGQDDPRRVVHSLKVGLALTLVSLFYYYQPLYDSFGVSAMWAVMTVVVVFEFSVGATLGKGVNRGIATLLAGVLAVGAHKLAILSGRIGEPIVLGFFVFIQAAVSTFARFYPKMKARYDYGLLIFILTFSLISVSGYRDDDLLELAHKRLSTVLIGGSTCVVISILLFPVWAGQDLHNLTASNIEKLAYFLEGFGDEYFKMAEQEGEPKEDKSFLQGYKSVLNSKSNEDALANFARWEPGHGRFQFRHPWKQYQKVGALTRHCAYRIESLSGYLNADIQGKPEIRTRIQETCTKMSLESGKALKELGLAIKTMTKPFGADTHIENSKSAAKNLNSLLKSGLWDDEMDLLEIVPVATVASLLIDVVNCTEEIAESVRELASMANFQGIKTSSVSPEKPKPDQSNSHEAMNCSSVIITIGDGESSSHDQEPQNSSPTMNNTSSSRPSPRTAEV >OMO62696 pep supercontig:CCACVL1_1.0:contig13207:9754:9816:-1 gene:CCACVL1_22687 transcript:OMO62696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHVNRPGPTWHSPETFLFDV >OMO62698 pep supercontig:CCACVL1_1.0:contig13207:31298:33044:1 gene:CCACVL1_22689 transcript:OMO62698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MGLASETQKTEGWESIKALLGKMRTKVTEFPGKIKKLGQDDPRRIVHSLKVGLAITLVSLFYYFDPLYVGFGSSAMWAVLTVVVVFEFSVGATLGKGLNRGLATFLAGSLGFGAHHLATLPGEKFQPILLGFFVFLLATTVSFIRFFPRMKARYDYGLVIFILTFCLISVSGYRDEEVLELAHKRVSTILIGGFTALFVCIFICPVWAGDDLHNLAANNIEKLANFLEEFGDEYFKESKDRESNKASLQGYKSVLNSKQNEESLVNFARWEPRHGRFRFRHPWKQYQKIGSLTRHCAYRVEALNGYLNSDVKASLETRGKIKEACMKLSCESGKALKELASALRTMTQPPSQCPHIAKSKNAANSLKSLLKTGLCKDIDLQEIVAVATVASLLLDVLSCTERISESVHEIASLARFKSLEDDKVADGAKINQKGQVNNKPCSNSIEQPNHHVITID >OMP00556 pep supercontig:CCACVL1_1.0:contig06660:266:1253:-1 gene:CCACVL1_03335 transcript:OMP00556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSIAAYPASGSLTAHTLSIRLLPYGDFGRFVAKVYLKACLPLIFRWPLMPLNVAEISPMPGLVSWFALSVHHDGSNGLRRILARFSLNTDGAVKSMGSASAGGLIRDSGGAWRGGFLVNIGATSVLQAELIGVKEGLRLARSLNVSRLSVGVDSSLAVLLLSSDSCSTFHVLGNLLQDCRGLLREFDHVLVRHVLRGGNKCADYLASLAQSSSHGLTLLEEPPAALGPLLQADRLGIESLRL >OMO52809 pep supercontig:CCACVL1_1.0:contig15430:26614:26700:-1 gene:CCACVL1_29080 transcript:OMO52809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERVNVNGRATSSSMASNVGFYSTSML >OMO52806 pep supercontig:CCACVL1_1.0:contig15430:11521:17747:1 gene:CCACVL1_29077 transcript:OMO52806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MEYENININLESTNNTALQQEPLAIHDDDEVEEVEVGSSSSRKRRRTCSKFDPLKLRELVIAAIIIHNLHLSFMEYKGITALLSYCLDGHGLSMVSRNTAKADMLKLHATEKGRVKSSLEDAPVQDGLKEVDEAITKVRESIKYVKGSKVRKQKFLECVNLVSLNSKRGLRQDAPTRWNSTFLMLQSAIYFRKTLSHLEISDSNYRHCHNKDEWDRSEKLCTFLGDSYDVNCVFSGTKYPTSNLYFPAIYSVRVSLQAHLNGTDEFMKNMAARMFTKFEKYWSDFSIIVAIACVLDPHYKLSYVEWVYKKLYGAYSDEFKKEFDLQEALYEVDMKKSQLELYLKEKKEDRRRELNVINFWRANLLVPGLMFQNNERYGHYKSECLANLDGKDREMSNFAEKEEEESILMVCHVKEETQSNMWYLDSGCSNHMSGDKEAFSKLDESFRSTVKLGDNSKVSIMGKGKSCFSAQLVDEAWLWHFRYGHLNFGGLKTLQQKNMVNGLPQITIPFEEKSEAFACFKSFKVLVEKEASCPIKVLRTDRGGEYTSDEFAIFCDTHGIKRQLTTAYTPKHNGVCERKNRAILNMVRTFLRRSGVPKTFLLEAVNWSIHILNRSPTLAVQNITSEEAWYKMRPNVYHFRIFGCIAFAHIPDTKRKKLDDKVQNSSPIFQLDVKSAFLHGDLNEQVFIDQPPGYVKFAEQHKVYKLKKAFYGLKQAPRACTPTKVGLKLVRDPEGTNVDKTLSKQIVESLMYLTAIRPNIMHAIKGNVFMFGSGAISWSFKKQSIVTLSTTEAEFVAATPCAYQAIWLRNILEELHFKQGRAIAIYCDNNSAIKLSKNHVLHGRSKHIDVKFHFLRDLTKDEVIDLFYCKSEDQITNIMTKPIEVCCISEIKGVAWSLHFGGAGQVN >OMO52808 pep supercontig:CCACVL1_1.0:contig15430:24765:25139:1 gene:CCACVL1_29079 transcript:OMO52808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDPSASTATSDCKSNALHFFPFNLGKSTCDFTAEPCRISIQDCLIQFPFSIFESGYCQILEAYLSLQRDQRGAACADVDTEEDRSRGSRGGGGDSCGHFEENRGGDAVGIEKLQDKAEATPR >OMO52805 pep supercontig:CCACVL1_1.0:contig15430:10433:10555:1 gene:CCACVL1_29076 transcript:OMO52805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPHSTLKLRLRLRLREFFDPVFIFLFVISSLRLFYSRL >OMO52807 pep supercontig:CCACVL1_1.0:contig15430:20348:23117:1 gene:CCACVL1_29078 transcript:OMO52807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFSISSEEFPDFADGNLLESIDFDDLFVGINDGDMLPDLEMDPELLAELPAVSGGEESEMNTSSSVEKIDEDKISGSGNSGLGSSSSKGEEIVSRREEPSAAVVKTSSKDSDKGRKSSAQGKNNINNNNQGKRKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILELMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAASWTHRRQMYGAATPAGGGKRDVNPWLGPTMGFPHMSPPMHHHHQQPAHHHFRPLHVWGHPTMDQSVMHLWPKHLPHTPSPPPPAPPTWGPPPPPPPTDPSYWHHHQRVPNGLTPGTPCFPQPMASTRFAAPPVPGIPPPHALYKPDPGIGVPAGQSGPHPLIDFQPSKESIDAAIGDVLSKPWLPLPLGLKPPSTDSVLGELQRQGIPKVPPSCA >OMO51285 pep supercontig:CCACVL1_1.0:contig15891:803:1168:1 gene:CCACVL1_29880 transcript:OMO51285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEQKNPQLVRLLKLQHLLLLLLGFRSIDPAGAGATQTNNTDVVELEKRHDVVRERRKRKVFEVIVGGLDKDATEDDIRKVFSQIGEVVEVRLMMTLFVVARLAGIYPATDSSDLVALLA >OMO51286 pep supercontig:CCACVL1_1.0:contig15891:1810:1974:-1 gene:CCACVL1_29881 transcript:OMO51286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKAAPSTMANESPILTSFNQGSKIEDFFGDSSSIVRYSDSQTETHDSSSTKHRS >OMO99669 pep supercontig:CCACVL1_1.0:contig06837:14289:20045:1 gene:CCACVL1_03686 transcript:OMO99669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPPSASHVVVAFDATKDYNEHELKVAIHEMLARGDILRGGDTLLMLGVLHRVTHPMGYQSKPCPELFGTSMRVIEEEISKKLDTYVNMLHQSADECEEQGVSIEVKITAGYPIKNVILQELQIFNAAWLVLDRHFRRDSKLHLKQIPCKVAFVQDSLNVEVLRNHAISTTDAIEHKDFHSMSKPVPLSNLNSSENTDQTPSKSYSWSMSYSDNSKSSHWMPSFTPKSQDYSHLTEPGSPSKQERSDADIDKLPNALNIVQKQQRSGLRNKFSGAPLLCAACGIRTELYIQDSMRYTYSEIQRATGDFSKENLLGEGGYGHVYKGTLRDGQLIAAKVHKQDSTQGFDEFNSEVSVLSFARHKNIVMLLGFCCKEDLNILVYEYICNKSLDWHLFDNTENVLDWHQRRAIAIGTAKGLRFLHEECRGGPIIHRDVRPSNILLTHDLVPMLGDFGLARWKITDETLQTKILGTLGYLAPEYAENGFVSVRIDVYAFGIVLLQLISGRKVIDWESEGQNLSLRQWAEPLIEKLALHELIDPRLVDFDTYQLYLMAKTAYLCVQRSPEMRPSMGEVLRLLEGETDHFHHLKEKCVPRYTKG >OMO74763 pep supercontig:CCACVL1_1.0:contig11093:16224:19830:-1 gene:CCACVL1_16476 transcript:OMO74763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab3-GAP regulatory subunit MSKRSHHYLTDLGCIACEDLTEFGAGKEGWLAPDASSPLLCALDAHSLAIANRYLILILGWSDPYESRIKIRPELSPIESEHITAIEWLVFEDIKVIAAGTSRGFLLVYSLRGDLIHRQMVYPGRIVKLRVHGSKKDLTQDISSEEFCVVIPGVIARFDGSDIQSMLQQWFQETHSRFWDQKAKKDSEDVENSYGRLPYQLWNVNKYGSCVDAAITGIMPPPLMELQSSQRYYCAVTIGDDAVISAYRLSEDRNRSLVGAILSKVVPATFSTIASFSKMIWRSEQTPNRKSEEKPQSFARASPLTCLKDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDANCFFMEMLVNRDAIGSSSSYHEPGKSDYCLCLAIHAPRKGIVEVWQMRTGLRLLTIKCAKGCRLLQPTYRFGSSSTDSPYVPLEVFLLNGDSGQLSVLNRFLN >OMP11335 pep supercontig:CCACVL1_1.0:contig01379:2827:2976:1 gene:CCACVL1_00573 transcript:OMP11335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREADRDLPDCDARKKVGAQSVRSRYPSQIWRESESVNKWKQVRGYVGR >OMO59997 pep supercontig:CCACVL1_1.0:contig13824:46878:49141:-1 gene:CCACVL1_24482 transcript:OMO59997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARFKCTLKVAKESSYAPEDRLLRAILGIQVKS >OMO59996 pep supercontig:CCACVL1_1.0:contig13824:32888:44411:1 gene:CCACVL1_24481 transcript:OMO59996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGALGPHENFMDDIGDYLAAAEEQGEGKSNSMGSVLAYAFAQDIRLLATGNRNLRLSVVTAKFQEINEAYKGELVNT >OMO59998 pep supercontig:CCACVL1_1.0:contig13824:49972:50550:1 gene:CCACVL1_24483 transcript:OMO59998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEITQFLLAAQSADAKVRTEAESSLRQFQEQNLPVFLLSLSVELANNEKPVESRRLAGIVLKNSLDAKDTGRKEQLVQQWMAIDISVKSQIKDLLLRTLGSTVPEARHTSAQVIAKIASIEIPKKLWPELIGSLLNNMTQQDRPPAVKQATLETLGYVFQGMNLAEHSPE >OMO59995 pep supercontig:CCACVL1_1.0:contig13824:5249:6676:-1 gene:CCACVL1_24480 transcript:OMO59995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRKGVMGVLRSMWSEVIVPEIRELVVNTYSLRFASDVQKKKALEEGPWSIMGTCLVLKEWKQGIGLDENDFSEVEVWGKENEKIGDSSNRSEGYWRKGKKPTGSQYQFSTTPENVVGTEEDRCLDSIGGNKSQKHIEGRGTCLQYSTFTNANGGPEEDRFLNSQTKDIDEQEQAEEGMASPTATMGKQLNFALDSSLPLNDNSETLVEEIIRVRKGKKKVKEGFCQTIEGSPYIVEFPSDEEGGLMTVGGKISGEKTYEIRVVNLSEEFGSLNLKRGASAFNREEEEYRLEKRHCLEWHNVDEMKKEKKLQMRERVWCC >OMP01525 pep supercontig:CCACVL1_1.0:contig06421:4921:21167:1 gene:CCACVL1_03070 transcript:OMP01525 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MDDNVDSPSNEAATASLVVGSLVWVEDSDLAWIDGEVLEVKGEDIKVLCTSGKTVVVKASNAYPKDPEAPPCGVDDMTKLAYLHEPGVLQNLRSRYDMNEIYTYTGNILIAVNPFRKLPHLYDTYMMAQYKGAAFGELSPHPFAVADAAYRLMINEGISQSILVSGESGAGKTESTKLLMRYLAYMGGRAAAEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDLQRYKLGNPRMFHYLNQSNCFELDGVDDSKEYIATRRAMEIVGISSDEQDAIFRVVAAILHLGNIEFAKGKEIDSSVPKDEKSWFHLRTAAELLMCDEKLLEDSLCKRVIVTRDETITKWLDPESAALSRDALAKIVYSRLFDWIVDKINCSIGQDPDSKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYTTFKNHKRFSKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASKNSFVSGLFPPLAEESSKSSKFSSIGSRFKQQLQSLLETLSSTEPHYIRCVKPNNLLKPSIFENKNILQQLRCGGVMEAIRISCAGYPTRKPFDEFLDRFGLLAPDVLGGSSDEVAACKKLLEEVGLQGYQIGKTKVFLRAGQMAELDTRRSEVLGRSASIIQRKVRSYLARRSFIVLRQSALQIQSVSRGQLARRVYEGMRREAASLKIQKDLRMHLARRGYKELCCSAVSIQTGMRGMAARNELRFRRQTKAAIIIQSQCRKYLARLHYLKLKQAAITTQCAWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRVDLEEAKTQENAKLQSALQDIQLQFKETKELLVKEREAAKKAAEVVPVIQEVPVVDHTMLEKLTSENEKLKAMVTSLEMKIDETEKKFEETNKLSEERLKQALEAESKIVQLKTVMHRLEEKISDMESENQVLRQQTLLSSPSRKISDHPPIPPIPNLENGHHMDEGNKSNEPQSVTPVKKLGTESDGKLRRSNIERQHENVDALINCVTKDIGFSQGKPIAAFTIYKCLLHWKSFEAERTNVFDRLIQMIGSAIENEENNGHMAYWLSNTSALLFLLQKSLKAAGSSGTTPSRKPPPATSLFGRMTMGFRSSPSSNNLAAAAALAVVRQVEAKYPALLFKQQLAAYVEKIYGIIRDNLKKELSSLLSLCIQAPRTSKGSVLRSGRSFGKDSPSTHWQSIIDSLNTLLSTLKENFVPSVLIQKIFTQTFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWCCQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVSPGVISSMRVLMTEDSNDAVGSSFLLDDNSSIPFSVDDLSNSLQEKDFLEVKPAEELLENQAFQFLHE >OMO66552 pep supercontig:CCACVL1_1.0:contig12540:19112:20836:-1 gene:CCACVL1_21090 transcript:OMO66552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MERFISLVLLSLFLFFNNDGFFLEVDAAKRGTGTATRTPGGNLKFKLFHRHSPQFGKQGVNGTSLGLPRSKMERFRQLVFGDKGRLHTISKKLAAADPERRRASEVKDFVELPMRSAADFHIPQYFVSFRVGSPSKKFLLIADTAGILTWIQCRYKENTDSNVHEGRIFLPEKSRTFKPIPCSSEFCNKYISYSYPMRPCNSPMALCSYDYSYEDGTRTIGIFGNDTVKIGLSGGKKIEMTDVLIGCSERTEGHRFEREKNINGVLGLGNNFHSFAYRGAKKFGNKFSYCLVDHTSPRNLVNYLVFGGIDGSPLPNMQYTQLVFSFHNPFYGLNVSGISVNGTMLDIPSEIWDVNINSDGGTILDSGTSLTYMPKPVFDAVMEAFKAPLSKFKKVEIEWGGLGPEYCFNDTGFEEWLMPKLVIHFADGAKLEPPVKSYVNEVDDNIRCLAFFTGDHGPMVIGNIMQQNHLWEFDLWEPRLGFAPSTCKLENKKKSKKTNTEA >OMO66554 pep supercontig:CCACVL1_1.0:contig12540:29365:31813:-1 gene:CCACVL1_21092 transcript:OMO66554 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDSSAAAAKRSPPPTTIQFPVSVNLNSSSSSQDDEQFHHKRTVTGEMDFFAAKNNINDNKLGDHHDDEDGGGDDDDADADIKLHSSIDRTTALELNVNTGLNLLTTNTSSDQSTVDDGISSNNMDDKRAKNELAVLQAELERMNAENQRLREMLSQVTSNYNAVQMHLVSLMQQQRDAKAEKAEEHDQIMEGKLEQKKPNGGVIVPRQFMNLGLAGSGAADTDEPSLSSSEGRSHDRSGSPNNNAEVGSKEFRLRKRGNSEEEGRGSGGREDSPDQGYKVPRFNSPKNVDQADQATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTSSAARMLLSGSMSSADGLMNSNFLTRTLLPCSSSMATISASAPFPTVTLDLTQTPNPLQLPRPPPGQFQVPFPNQPHNNLANASPASLLPQIFGQALYNQSKFSGLQMSQDLDQPSHHQLGGQQLQQGQQNSLADTVTAATAAIAADPNFTAALAAAITSIIGSSQSHPTNVPNNNATNLTSATNSSGNAAATTSNSNSNGNNKISNSSFAAN >OMO66553 pep supercontig:CCACVL1_1.0:contig12540:21478:25457:-1 gene:CCACVL1_21091 transcript:OMO66553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MGIKFKDIRVTLLLLLLLSPAVFSVSNDGLFRIGLKKKKLDQINRVAGQIDSKEGKLIRAPLRKYYLQGNLGNSDDCEIIALKNYMDAQYYGEIGVGTPSQTFTVIFDTGSSNLWVPSSKCYFSVACYFHSKYKSSQSTTYHKNGTSAAIQYGTGSISGFFSQDSVKVGDLVVKNQDFIEATKEPGITFLAAKFDGILGLGFQEISVGGAVPVWYNMVDQGLVQEPVFSFWLNRKIEGEEGGEIVFGGVDPNHYKGEHTYVPVTQKGYWQFDMGDVLVGGDTTGFCSGGCAAIADSGTSLLAGPTTIITQINRAIGASGVVSQECKELVSQYGKTILEMLVYETQPQKVCSKIGLCTFDGTRGVSARIESVVDDGMGKSSDGVHDAACSACEMAVVWMQNKLRKNETEDQILDYVNDLCERLPSPMGESVVDCSILSSLPIVSFTIGGKVFDLAPEEYVLKIGEGAVAQCVSGFTALDVAPPRGPLWILGDVFMGRYHTVFNYGDMTVGFAEAA >OMO66556 pep supercontig:CCACVL1_1.0:contig12540:40980:43840:-1 gene:CCACVL1_21094 transcript:OMO66556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dienelactone hydrolase MLAAAARSSSSSRILLRSQLPKPSPFTLSRALSPSASRFSIRLMADSAFKKVQIHRDDTVFDAYVVGKEDAPGIVVLQEWWGVDFEIKNHALKISQLEPGFKALIPDLYRGKVGLDVAEAQHLMDGLDWQGAVKDISASVNWLKTNGSKKVGVTGYCMGGALSIASSVLIPEVDAVVSFYGVCSSELADPAQAKAPVQAHFGELDNFVGFSDVTAAKALEEKLKASGVPYEVHIYPGNGHAFMNRSPDGIKRRKDMGMPDEDEAAVQLAWSRFQSWMTRFLSP >OMO66555 pep supercontig:CCACVL1_1.0:contig12540:33181:33282:1 gene:CCACVL1_21093 transcript:OMO66555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLWTPRDGKDWAQPKPKLTVFFSSSPRCKKK >OMO94486 pep supercontig:CCACVL1_1.0:contig07864:5495:7341:1 gene:CCACVL1_05977 transcript:OMO94486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MQSVVESVGENVWEVEEGDMVVPVFHPNCRECRDCKSPKGNHCLAFGNARLIRDMSRDKTSRFKDMNGEVLHHFLYVSSFTQYTVVDVANVVKISPQIPSDKACLLSCGVSTGVGAAWKVADIEENSTVAIFGLGAVGLAVVEGARLRGASKIIGVDLNPEKEEIGKQFGVTDFINPSTCGDKKVSEGIANVFGYADTGLASSESNLFFEFRSVLSNPDRPIEPVQPGPGGLSSPER >OMO79550 pep supercontig:CCACVL1_1.0:contig10402:1927:6723:1 gene:CCACVL1_13594 transcript:OMO79550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWWGKSSAKEDKKKASKESFIDAINRKLKITSDDKSTSRSGGSRRRRCDIVSERGSLSRVPSRSPSPSTQVSRCQSFVERPNAQPLPLPVVHNAGVARTNSGINASTRPGFDRGSRPSLILPLPKPGQVSNKLDPVDAEGDFAIASVTSDSSIDSDDPSDSRLLSPLTSDYENGQRTAGNSPSGIKHMDQLPVVNQKNSKEILKPSNISFNNQYQSTSPKRGTLSNHMQNLQIPQRGAFFSAPDSSMSSPSRSPMRAFGLEQVWNSGPCTGKPFSDIAFLGSGQCSSPGSGHNSGHNSVGGDMSGQLFWPQSRCSPECSPIPSPRLTSPGPSSRIHSGAVTPLHPRAAGAAIESPKSRPDDGKQQSHRLPLPPITISNTCPFSPAYSAATSPSLPRSPGRAENPTSPGTRWKKGRLLGRGTFGHVYLGFNSESGEMCAMKEVTLFSDDAKSKESAQQLGQVDDKLYIYLEYVSGGSIYKLLQEYGQFGESAIRNYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGSSCPLSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAMFKIGNSKELPAIPDSLSDEGKDFIRQCLQRNPLHRPTAARLLEHPFVKNAAPLERPIFSADTSDPSPAVTNAMRILGIGIGRNDSEGTASLPCRGLKTGSGSSDAHTPRNVSCPVSPIGSPLLHPRSPQHMSGRMSPSPISSPHTASGSSTPLTGGTGAIPFHHPKQPMTYLQEGIGTIPRSQNSFYGNANKTYQETKTDLFRGISQASNVFQEMISSDNGAFGKLYGRPGHGDHRELYDGQPVLADHVSQQLLRDQVKLKPSLDLNPSSSMLGRNGGM >OMO54554 pep supercontig:CCACVL1_1.0:contig14955:45497:45841:-1 gene:CCACVL1_27746 transcript:OMO54554 gene_biotype:protein_coding transcript_biotype:protein_coding description:fatty acyl-CoA reductase 2-like protein MAKHISFTKKGDIKVYHIVTSISNPIVLHDCVGLFYQHFKKQPILDQSGLPIYVSKFKTFTSMEAFVAHLWREVTSMATSTSSNSNLLFERIKFIDRAKYMANLYAPYNLANYW >OMO54553 pep supercontig:CCACVL1_1.0:contig14955:13769:42100:1 gene:CCACVL1_27745 transcript:OMO54553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MHNFCIDYFEEGVPYIEARVNGYLSNYLRPKEAEKLNHLLPYEPFRYLSNPLLPQLAIQVNIFDCGGIALALCCSHKIIDGSTISAFLRTWATFCRGSNGEILKPDLQEASSQLFPPVDFIPPKVSSSIKSLWFKEGRYKTRTFAFDAEAISNLMFKAKSKNLEHPSRSETLTAFIWKYAMLASRSASGIIKSSLITQAVNLRRILKPKLPDYSIGNLIWFTPSTYDSTKKEIELHELAYLLRQAVENFDTNFLESLQGDEGFKVISEQVNQIAEFALNENVEFYGFSSWLNFGVHEIDFGWGKPNGFRVPEVVTCALNNFTFLKAIGEHKAVEAWVTLDERSMAMLEQDPEFLAFASLNRHYDKNPTLPQLAVQLNMFDCGGIALAMCCFHKIIDASTLSSFLKNWSAFCRGSSYEISHPNLIEASSQLFPACVPSNYSSLINGLWFSGRRKTRRFLFDENAICSLKSKVKSENLEHPTRFQALSAFIWKYAMLASKSASGIFKPSVLANTVNIRPRMEPKLPDYSVGNVFWLTFTPYNSTEKDIELQHLAYLLKEAVGNFNSNFLNPLLGDKGFQVISEQLKMLAEFASQGNGEFFVWKLEERAKLHKPYLACLPWSLKAVTERSSSLGNCLASQTDFTDRSDQHRRCSMSYIYDEHGGFYDHVPTLTGVPSPDDIISPEPYNFKFDRLGCRVLAIMVSPWIERGTDLLDRENRESCRGEREKEEEAQPPDMASDQTLLPNRWSHRLVGYEGLEVVNPEGRTEDAEEEASKGRWKQEVIESRRGKVMLRRSYKFSMQRSMIWYNYLSKHEGWSDSRKKC >OMO54552 pep supercontig:CCACVL1_1.0:contig14955:4576:9325:-1 gene:CCACVL1_27744 transcript:OMO54552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Male sterility, NAD-binding protein MPERVKSALQVELNETHEKQVDLKEKPVEKNNGIGIENFLHGKVMFIADATGFFAKVLIEKILRTMPGISKIYLMIRAKDKEDAKQRLKNEIVDVELFKCLKQKYGEHYEAFMCSKLVPVVGNVGTSNLGMEEDLASEIAKEVQIIVNSAGDIVFDQRAREFGWRNTYGFTKALGEMLIDKMRVEIPIVIIRPSGILSTYKEPFPGWIEGIKLVDPLILYYGKGMLTGFLPNPNNVVDLVPADMSVNATLAAMAKHVSMAKKAADIKIYHVCSSTSNPLVLEDLFTLIYKHFKSSPSIDSNGRPIQVSKMNFFDSMQDFSGQLWKQFANLPNQVSTTSTVSNTKLFKIIKVKEQAEYLGAIYLPYNFQNYWFDDSNVQGLIKSMSEEEKKKFGCDVKSIEWKDYIVNVHIPGLRRHILKEKGFSKM >OMO86970 pep supercontig:CCACVL1_1.0:contig09373:18425:22158:1 gene:CCACVL1_09364 transcript:OMO86970 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like protein MASPADLPHHQLHRSLTNFLHTATASIFPILPSPKPTPFLPPSKISTPFLFADSPLHLTPSPFESTQPDSISSKSAIKGVSSAESSSGFPSTVRIAGLTSGGKGGGPAFVGQVFSMCDLSGTGLMAVSTHFDIPFISKRTPEWLKKIFANITKSERNGPVFRFFMDLGDAVTYVKHLNIPSGVVGACRLDLAYEHFKEKPHLFQFVPNEKQVKAANKLLKAIPQSGDRRKVDGVPVFGAQNLDIAIATTDGIKWYTPYFFDKNMLDNILEESVDQHFHALIQTRHMQRRRDVIDDNLAAEVIEEMGDSMWEPPEVQEVLDEIGHPAIPLSVISKAAEIQLLYAVDKVLLGNRWLRKATGIQPKFPYMVDSFERRSAASFRRASELAACLSTPDTDSNTSELNLVDDVKSNNGQRRQDFRFPFGDWFTHPRLKQEEKAKQRSDTRKESPSQECKNQNFQANPFLPKITMVGISTGDGQVSRSSLKKSMEDLTKELEKTEQGNTTGGDNNELKVEDRDPLFVANVGDYYSGLAKTGSARWVRGGNN >OMO86967 pep supercontig:CCACVL1_1.0:contig09373:4516:8300:-1 gene:CCACVL1_09361 transcript:OMO86967 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-binding split barrel MAVRFPAAANFCSSSALLHYRPSCCSDEVTSCHFTSHRLFRRGGFDVAWNRFPRLNSGSLSRRVLIKNKVRATAEHLGSASDPIKPNGKRHYHPFEEIGEATSKKSDDATLTAAERTRTIIEVNSKATLMFTGVVGDEVHENIMWPDLPYVTDEHGNVYFQVKSDEDILQSLTVEDNFVQVLIGFDTTEIMKEIELSGPSDIDFGFEEIEDEDSDVEDEDEDDDDDDDAEGDYDEDWVAALEDEDDQDDSDGTLGDWAKLETMRSSHPMYFAKRLTEVVSDDPIDWMEQPTDGLAIQGLLRPAFIEERSEIQKHMSTNQSRSSDTNQVEKVVKDKVEDLGTINGHRNELVSSGDGSTSQESEKNEISINGSLFYKLEMIKIQLVTAHGHQTVVELEDFKQAQPDAIAHSSAKIISRLKAGGEKITQALRSLCWRCKGIQVEEVAIISVDSLGFDLRVCSGTKIETLRFTFNARATSEYGAERQLNDLLFPRTHQKPTKTKTGSSK >OMO86968 pep supercontig:CCACVL1_1.0:contig09373:8922:10826:1 gene:CCACVL1_09362 transcript:OMO86968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCRYALPLITKRLESVEITRSRWNTVVCGAKGPRPRYPRVWKTRNRIGTVSKSLKLVNSVKELSNVKEEVYGALDSFIAWDLEFPLITVKKALKILQDEQEWKRIIQVIKWMLSKGQGRTMGTYFTLLNALAEDGRLDEAEELWQKIFSDNLEATPRLFFDKMISIYYHREMNEKMFEVFADMEELGVKPGISVVKMVGKVFQKLGMLDKYDKLNKKYPPPKWEYRYIKGKRVKVQVKQLQEFDEITEGVTEDKESNKSLNVLHEESDASSNPLATETNISS >OMO86966 pep supercontig:CCACVL1_1.0:contig09373:3083:4090:1 gene:CCACVL1_09360 transcript:OMO86966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine cyclodeaminase/mu-crystallin MASVPNLSSMGAPVFISSDSLHSILSHNSIIQHFHSSLPAVSLAINTPIRQSYAVSPSSSLLLMPSWSSSPSLPYIGVKLVTHFPQNSALNLPGVHANYVLFSSATGQPLASMDGTVLTLYRTASVSGLASKLLARNDSKVLVMIGAGALAPHLIRAHLAGNPSLQKVIIWNRTIKKAADLAENLQGSNEFNGVSFESNGSLDEIVELGDIVSCATNAESPLVKGEKLKAGAHLDLVGSFTHTMKECDDEAIKRGRVFVDNEAALIEAGELVGAFERGVIGKQDIGGNLAELIQGEKIGRKNSQEITVFKSVGSAVVDILAAQLVYETYLKKNKC >OMO86969 pep supercontig:CCACVL1_1.0:contig09373:11840:17045:-1 gene:CCACVL1_09363 transcript:OMO86969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEENSALFPIFILTIMALPLVPYTILKLCRAASTKTKVIHCQCAECSRSGKYRKSIFKRISNFSTCSNLTLVLLWIVMIFLVYYIKSMSQEIKVFEPFSILGLDSGASDSEIRKAYRRLSIQYHPDKNPDPEAHKYFVEYIAKAYQALTDPISRENYEKYGHPDGRQGFQMGIALPQFLLDIDGASGGILLLWIVGICILLPLVIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMEVFTKAAEYMEIPVRRTDDEPLQKLFMSVRSELNLDLKNIKQEQAKFWKQHPAIVKTELLIQAQLTRESASLSPALLGDFRRMLELAPRLLEELLKMAVVPRTALGHGWLRPAIGVVELSQCIIQAVPLSARKTSGGFAEGIAPFLQLPHFSEAVIKKIARKKVRTFQDLRDMTVEDRAELLTQAAGFSSAEVQDVEMVLEMMPSLTIEVTCETEGEEGIQEGDIVTVQAWITLKRDNGLIGALPHAPNFPFHKEENFWFLLADAVSNNVWFSQKVSFMDEATSITAASKTIQEMMEVSGASVKETNEEVKKAVEKVRGGSRLVMGKFPAPTEGNYNLTCYCLCDSWIGCDKKTNLKVKILKRTRAGTRGGHVTEEGPIVEDGVEEDEENEEEEYDEDYESEYSEDEEDEKDTKKKGPAANGKVHKKGGSSSEGSGSDEE >OMO86971 pep supercontig:CCACVL1_1.0:contig09373:23066:23149:-1 gene:CCACVL1_09365 transcript:OMO86971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDRGKRDSEQSTMASHHVFSSLRVK >OMO99700 pep supercontig:CCACVL1_1.0:contig06831:5673:6128:1 gene:CCACVL1_03675 transcript:OMO99700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MAQPQTLQELNFNSEMSPLYIDYSTLDMTCKLPSAVIHKMPTFQGEFHENPHEHLNSFFINCAAITGMPQEEVRLRIFPLTLEGKARNWFISLPSKSINSWKEMETVFLNKYFPEAKRADVRKRITACQQLDDEKWHQYWERYNEICASCP >OMO99703 pep supercontig:CCACVL1_1.0:contig06831:16521:19204:1 gene:CCACVL1_03678 transcript:OMO99703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPPLRQLLWVSTFQSGKPDIYSGQTLPDSDSAALFLARPAPFAPFHTEPSSRANCTVATPFFALDLCLYSRKLANEVAYKKYCSWLNKSGKHMITFDWLNWQGKILRKKIITKRQIDIVTCHAWLSVAKISLQTSFPSEYTCLTLLQTDSGKKVPETILVQEEDPYSQNIVFVGCLGIGFACFLIASVACASSRRVHESMITGLACSCNIPEISTYVACTARL >OMO99702 pep supercontig:CCACVL1_1.0:contig06831:9800:13052:-1 gene:CCACVL1_03677 transcript:OMO99702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MPNTRSSGTKDLEFNSEPERTLFALRKENLFREQGGDSSTRSSQASSPHSTTSSSSLESQFLRENMAEENNNRTLRELAAPNVTTQRLAIQYPTNEENFEIKSGFIQLLPKFHGMPGEDLHRHLTDFQIVCSSMKMQGISEEQFKLRTFPFTLMDRAKDWLYYMPSGSITSWTSLKKLFLEKFFPVHKASSIRKEISGIKQSQGETMYEFWERFKRLCSSCPNHQIPQQLLIQYFYEGLLPFDRSSIDSASGGAFIDKTPTNAWTLIENTQQFGTRESCAQRDGNIRRINEVSTHSSTLEQRIEEANHQIALLTDLVKSNLSSAYQARPNNYPRQSSHYSEGMQQIKETMEIIKKQLGQLASDVSELKAQGQNKIPSQTKLPPKENVNAITLRSGKELEEPYPTKSTMDEGTSKKEEELHVLVKEVKIEDDEPIILEFVKDNGEAKETSVIKPKLDSKKEPFPTKSRKSMKVDEDQDILDIFERSRSTSHFLMQFNKYQAKIAIGSKNEEPNPIPARHPSRETGHPTGQPQRPAAKASARGRRWPGAQALCLSTQPDGLGTQVPRAMRGQRHAPILMPSPPTLTTDHPSQTL >OMO99701 pep supercontig:CCACVL1_1.0:contig06831:8334:9107:-1 gene:CCACVL1_03676 transcript:OMO99701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWTRSVKVIPYTINTMVKGKYIVVDEEYLCRLLDLNNEGSMFYFTYVNGEQKTATESTWKRDGALRRFDIPLGMDNQGNRELRVKYMEPRDRLVCYLLHHNVIPRSSNKHKLRIEDFYVVDKLCHGLGHCNGIPMVWLLLSRMWEVVHSSHEDKAFVFPLLISKILVNEGVEVDGEYCFNNEDNVSDVNCLSHLDLRRRVISNEVHWYNVQRRTYAQGLEQSQGTRQPSLEVDEENFQELPMRELTTYGSRDEAT >OMP11738 pep supercontig:CCACVL1_1.0:contig00935:2415:12034:-1 gene:CCACVL1_00301 transcript:OMP11738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MASQAANLWVLLGLGLAGILLMTKRLKKTIKADFGAFIQKLELLPPPQPAPPKAPHPLTGLTFAVSDVFDIEGFVTGFGHPDWVRTHEPSSRTSPVVSTLVEGGATCVGKTVVDELAFSIHGENKHYSTPTNPAVPARIPGGSSSGAAVSVAANFVDFSLGIDTIGGVRVPAAFCGVIGFRPSYGIVAHTGIIPVSSSLDTVGLFAKDPNILRRVGLVLLQLPFSVQRNPRQILLADDYFHLMKIPTERVSKVVINSTEKLFGRQVLKHENLENYFTSKVPSLKEFSSQKVNGDLKISSIRLLANVAQFLQRYEFKQTHQEWINSEKPVLDSAISAQINEALAMTDKEIEICKSIKNEMRLAINSLLKDDGILVIPTTAYPPPKLGSKELFAEDYNRSMFSLLSIASLSGCCQVTLPIRYHDNCPVSVSFIARHGGDRFLLDTVQTMYSSLQEHADTVAKSKLSRNAVNQEQSAEVAKEKGNQAYKEKQWQKAVGFYTEAIRLSGNNATYYSNRAAAYLELRSFLQAEADCTKAINLDKKNVKAYLRRGTAREMLGYYKEAIDDFRYALVLEPTNKRAALSAEKLRKVFQ >OMP11739 pep supercontig:CCACVL1_1.0:contig00935:13360:13836:-1 gene:CCACVL1_00303 transcript:OMP11739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MNSSYVNLLILFLVLAPNQHVEAEGFPSEFKTWHVYVVNELSNNKSLFLHCKSKDDDLGIQNLSPAANFTWSFQKNMLRTTLFWCYMSKEGNAHAALKVFWQDVLLFRKCLWKSCIWSAKDDGIYLKDFVKNHDEFRLQWEPGLWYTNNINIKLYTQG >OMO83114 pep supercontig:CCACVL1_1.0:contig09939:15332:16678:-1 gene:CCACVL1_11550 transcript:OMO83114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIHSQFQGTMKDPKKNPGFVVDEKAMAKDFYIKLSYEVTDVSMDDGSTVVQKTDLESDNSSSVDDFCLIANQPKSVASKNSGTPTSRNNKEPTGRHRSRLLTLARGLFNPNKPGGAATGGCPKYEVNLPNATKPRVGPKYDALAKALMDNRYPTLGLEYHDEWTIDLKKLSIGVCFAAGTFGKVFLGRYDGQDVAIKLLERPAGNNRPEVVRALQWQFRQEVLFLAGLKHPNVVKLVGACRKRMVWCIVTEYAKGGSVRKYLETRQQNGLHSMPLRLIFRRALDIAKGMAYIHSFGIIHRDLKSENLLIGSDNSIKIADFGMARIEAKYEAMTPYTGTLRWMAPEMISNKPYTQKVDVYSFGIILWELVTGLLPYQGMEGLQAASLVVKSGARPPIPEDCLPSLSGIMTSCWHTNPDARPAFTKIIEMLQVGETEMKNTIRRARYR >OMO83115 pep supercontig:CCACVL1_1.0:contig09939:25269:30099:1 gene:CCACVL1_11551 transcript:OMO83115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTPPKSLQTNLAESDIQTCRYKKIQPTDEANPKDPTQLSMSLRMRKMREDL >OMO51563 pep supercontig:CCACVL1_1.0:contig15789:1422:1490:1 gene:CCACVL1_29717 transcript:OMO51563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSNPEISSVTDSPSHSPPMD >OMO60021 pep supercontig:CCACVL1_1.0:contig13813:72:722:-1 gene:CCACVL1_24459 transcript:OMO60021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPSLLILVLAYSALLISPAVSLTCSSQKFTKNQVYPNCLDLPSLSSYLHFSYDASNTTLSVAFIATPSKSGGWIAWAINPKATGMAGSQSLVAYKNSSTGVAVVHTYDVSSYGSIVPKELSFETWDRSAESGSDGSLIIFAKIKVPADLATKGTVNQVWQVGPSVGEDGTLGKHDFAAANLQSKGTLDLKNGQSSSSSGGDSRLKKKNVSFPSF >OMO61160 pep supercontig:CCACVL1_1.0:contig13576:5341:18298:1 gene:CCACVL1_23721 transcript:OMO61160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFATIAIKRLKTNILTALSARPYAKKIAQILDPQGIYFGHRIISRDDNPLAASKAKTLDLVLAQESKILILDDNFGPRRSPLLALTELRPATVGDENQTGNSLRLPLLSVVLSAASGGLKPCKSTLAGCFEAPPLIRVADRQINSTLRWPSLQTCGVIAVAFVARALVIFAVKRVKAVALYVGISG >OMO81362 pep supercontig:CCACVL1_1.0:contig10193:8893:21376:1 gene:CCACVL1_12451 transcript:OMO81362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNRRDQIEKNAPLLDLDLALAPDQMSCLDNYMETRTFFINLPHIHHSIVVASR >OMP05792 pep supercontig:CCACVL1_1.0:contig05209:8883:10319:1 gene:CCACVL1_01825 transcript:OMP05792 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEKAVVLYPTPPIGHLRAMVELGKAILSHQPSLSVHVLIATPPYQADATAPYIAAVSNSVRSITFHRLPEITLPPSSNADNHEELTFEVLRLSNPYVHDALVSISKTYKIQALVMDFFISVAFKVAKELKILPYYLNTCSAGFLASFLYIPTLHKQTTKSFKDMDGFVHVPGVPPIPPKDMIKPLLDRTKKAYECFYECSIAMSKSAGIITNTFEALEPRVITAIGNGLCVPDGPTPPIHCIGPLIASVDEKKTGDASGGRVAEYCLTWLDSQPSKSVVFLCFGSLGLFSKQQLGEIAMGLERSGQRFLWVVRNPPSGNLGVAIKEQGEPDLNALLPEGFLERTKDRGLVVKSWAPQVAVLNHDSVGGFVTHCGWNSVLEAVTAGVPMVAWPLYAEQRLNRVLLVEEMKIALPMVESEKGFVNSDEVEKRVRDLMESKDGKLIREQITAMKEAAKAAWSERGSSRLTLAKLVGSWMHE >OMP05794 pep supercontig:CCACVL1_1.0:contig05209:26146:35951:-1 gene:CCACVL1_01827 transcript:OMP05794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanyl-tRNA synthetase, class IIc, beta subunit, archae/euk cytosolic MPTVSVGRDRLFAALGKTYTREEFEDLCFRFGIELDDVTTEKAIILKEKHLEEEQPSADDEVIYKIEVPANRYDLLCLEGLAQALRIFNGQDEIPRYKVANISKESMLKMRIQKETSPIRPFVVCAVLRGITFDEASYNSFIDLQDKLHQNICRKRTLVAIGTHDLDTLQGPFTYEALPPPEINFVPLKQVKNFRADELMEFYKSDLKLKKFLHIIEKSNVFPVIYDHNRTVLSLPPIINGAHSAITLKTKNVFIECTATDLSKANIVLNTMVTTFSAYCERKFEVEPVEVIDADGKSSIYPDLSEYKMEVPLSYITGTIGVPLEVDEVTSLLNRMQLQANRGGLGDTINVSVPPTRSDILHACDVMEDVAIAYGYNNITKRKLSSLKPLPLNELSDLIRYEIAMNGFTEVLTWILCSKKEIFEMLNRKDDKSSAVIIGNPRSSDFEVVRTSLMPGMLKTVGHNKDHPKPIKIFEVGDVVLLDEKKDVGASNRRLLAALYCGANSGFELIHSLVDRVMEVMGTPFVPVGDNTGYYIQLSDEPEFLTGRQAKIIYKGRRIGIFGIVHPEVLNNFDIPDPCSFLEIGIENFCRAFSITADSSH >OMP05793 pep supercontig:CCACVL1_1.0:contig05209:17316:19129:-1 gene:CCACVL1_01826 transcript:OMP05793 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MEHQSASPSPPPRSPPPPLKTYRLTASSVSYTKLTTSTTPITDYFLFKPCTSTPPTYILRDVSFTANPSQILAIVGPSGAGKSTLLDILAARTSPTNGTLLLNSTPINPSSFRKLSAYVPQHDACLPLLTVAETFAFAARLLVPKAADIDTIVTSLLSELRLSHLANTKLAHGLSGGERRRVSIGLSLLHDPAVLLLDEPTSGLDSTSAFNVMQILKSIATSRHRTIVLSIHQPSFKILSTIDRILLLSKGTVVHHGTLCSLQVFLQSNGFTVPSQLNALEYAMEILNQLHESKPITPISLPSSPEDSVTTPENDQAVDIRYRSSRLHEICSLYNRFWKIIYRTRQLLLTNALEALIVGLVLGTIYINIGFDKEVLMANSFVLFLSSLAPNYIAGTSLVTILLGAFFLFSGYFISKDSLPKYWLFMHFLSMYKYALDALLINEYSCLVSRCFLWYNETRTCMLTGGDVLQKKGLHVKQRWTNIYILVGFFVFYRVLCLLVLIRRISRSKK >OMP05791 pep supercontig:CCACVL1_1.0:contig05209:5264:5437:1 gene:CCACVL1_01824 transcript:OMP05791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQWCGARRVMTGLLTSTSPIVVAATGAPIGVIGSPVVLESKRTKLRNVSRMFSFVSL >OMO85265 pep supercontig:CCACVL1_1.0:contig09645:4070:7051:1 gene:CCACVL1_10306 transcript:OMO85265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine amidotransferase type 1 MGSGKRFAVLLCAEDSDYVKKRYGGYYGVFVEMLAEEGETWDVFRVANGEFPDDDEIGDYDGFVITGSCNDAHGNDVWICKLIALLKKLDSLKKKVLGICFGHQVLSRALGGKTGRAISGWDIGVTTIHLSSSSAKLFSSLKIPATLSVIECHRDEVREPPPKAEVIAWSEKTGVEMFRYGDHMMGIQGHPEYTKDILLHLIDRLTQRSFIMDSYADELKANLGKAEPDKDAWKKLCTSFLKGRL >OMO85268 pep supercontig:CCACVL1_1.0:contig09645:11894:19062:1 gene:CCACVL1_10309 transcript:OMO85268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAAAPTPSSSAAPLGSSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLLQTKRKPDGSEEEYGEFLHLPGKRFYDFSEIRREIQAETDREAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKQPSCLILAVTPANSDLANSDALQIAGNADPDGYRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYIGVVNRSQEDILMNRSIKDALVAEEKFFRSRPVYNGLADRCGVPQLAKKLNQILVQHIKAILPGLKSRISSTLVSVAKEHASYGEITESKAGQGALLLNILSKYCEAFSSMVEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPRSALFVPEVPFEVLVRRQIARLLDPSLQCARFIYDELIKISHRCMVNELQRFPVLRKHMDQVIGNFLRDGLEPSETMIGHIIEMEMDYINTSHPNFVGGSKAVELANQQIKNSRVVLPMSRSKDGIEPDKAPASERSVKSRAILARQVNGIVADQGVRPVADVEKVPSSGSTSSSTWGISSIFGGSDNRLSVKESSTTKQYGEPVNNLEQSFSMIHLREPPAVLRPSEDRSEAEAIEIAITKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYRENLFEEMLQEPDEIASKRKRTRETLRVLQQAFRTLDELPLDAETVERGYSLGSDTTGLPKIHGLPTSSMYSTSSGSLDSYSASPKNPKSRKSSHSGELQSQLYANADSNGSGRNYMPGLYPTVDL >OMO85266 pep supercontig:CCACVL1_1.0:contig09645:8014:8383:-1 gene:CCACVL1_10307 transcript:OMO85266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISKVLEPIVNVSNFNKFEGIGLHWPIMNIS >OMO85267 pep supercontig:CCACVL1_1.0:contig09645:8758:10165:-1 gene:CCACVL1_10308 transcript:OMO85267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19-like CHCH family protein MPRRSSGRSASRPAPRPAAARSPPPQPVQHAPPPAPAQSSGGGSILGGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTIQHETVVTEGAAAPAPAATTNSLSGSDACGIHYKAFQDCLNGYGNDISKCQFYMDMLSECRKNSGSMMSS >OMP07461 pep supercontig:CCACVL1_1.0:contig04416:220:315:1 gene:CCACVL1_01298 transcript:OMP07461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFEPVTFCTQNKRATKLRYIPFNWSTMSL >OMP03432 pep supercontig:CCACVL1_1.0:contig06076:33776:37891:-1 gene:CCACVL1_02424 transcript:OMP03432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MADISTNVSTIEKLNATNYNTWSMRIRFYLLGQDLWEIVGGHNTTPLVPTTDEEEAKKWKIKAGKAMYVLSVTIEDSLLHHIKDARTPREAWDTLTTLLAKKNDAKLQQLENELLSISQQNISVSEYFSKVKLLCNEISKLDPENAITETRMRRIIIHGLRPEYHGIVTATRGWEKEPTLTELENILVNQETLDKQISKVSIKDDEKALFIKKGVANEGESSRSRQNWRRGQRGRRPQRGGAQPVRDKDDNEEKRHRRQNDKCYSCGKVGHFARDCRFKQAQGNAATTSRGGIDSEEDWDFQVSFAVEEPEELATACTVEQEQETALSTVSKINFNNDWLVDSGCSNHMTGDKEKLSNTSTYAGKRMVVTANNSKLPITHIGKTVIVPKSGQHQVQLDNVFHVSGMKKNLLSVAQLTDPGNYVVFGPRDVKVYPSFTPTCPPIMEGKWMEYVYVMSAQTAYVDKTRRNETADLWHARLGHVSYFKLKAMMKKSMLKGLPQLDVKEDTVCAGCQYGRAHQLPYEESKFKAKMPLQLVHSDVFGKMKQPSVSGYQYMITFIDDYSRYVWVDFMKEKSEALTKFKEFKERVEKEVGRKIQCLRTDNGGEYTSKEFSNFLRECRIRRQLTCPNTPQQNGVAERKNMHLAEICRSMLHARNVPPRFWAECMKTVAHVVNRLPQARLDFISPFQKLWNMKPTVSHFRVFGCICYVFVPDHLRSKFDKKAIRCIFVGYDDQRKGWRCCDPTTGRCYVSRNVVFDEASSWWSPQAVLMPDSKEIEEKLQERIVEQTETAPRQEENEDLAAERSPSRVDDEDKPFEEKEVEEEIVQPVPRRSLRQRKPNPKYANAAVVEETGLAEPSTYEEASKKVEWRKAMEEEIQALNQNETWELVPKPKNVEPISCKWVYKVKTRPDGAIERYKARLVARGFSQQYGVDYDETFSPVAKITTIRVLLALAASKSWKLWPMDVKNAFLHGELDREIYMEQPKGFESMDHPTYVCKLKKALYGLKQAPRAWYGKIAEFLIQSGYSVAAADSNLFVRVKNGKLAIVLVYVDDLIITRDDEKEIQQIKGNLRVRFQMKELGELNHFLGLEVQRTNEGIFFCQHKYAKDLLHKFGMADSKPISKPMEANRKLCSEEGKELENPTIYSRFMEKPRKPHFDAVKRILRYVKGTVDFGLLYEKGVPCQVVGHCDANYGGDHDTRRSTTGYMFSLGSAIISWCSKRQPTVSLSTTEAEYRAAAMAAQESTWLVQLLKDLHQSVDPPVQLHCDNQSAIRLAENPVFHARTKHVEIHYHFVREKVLQEEIELKYIKTDDQVADVFTKGINGSKFEEFRKRLGMTAKPTVGESSR >OMP03428 pep supercontig:CCACVL1_1.0:contig06076:10098:11132:-1 gene:CCACVL1_02420 transcript:OMP03428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRFKLRISRMFRSSFGSCRTRNISDVIEKTVFSPQNSNGGSFHMIEPLSSSLSSSTSTSKLSPKARPFPSICKPRCPDSSTHQTSIINHDCIMITPRETLPRRKVSSRYPPFLPTAAEIDGGGGRKCPPASPISPLNYFLFSDCKDFGFYETKKKSLTRTKKKKKKNGAKRVVVNGGKSSSSQESGNCNFNNSWWCSSEDETETLFSSRTLSSTDSSESHRWRRRFHRKRNNNNKNVPSRRRRANKNNDKSSDMGVLPLEGIRTNNNNYKVKDSFAVVKSSSDPYNDFRTSMVEMIVERQIFAAQDLEQLLQCFLSLNSHHHHNIIVEVFTEIWETLFSNWS >OMP03429 pep supercontig:CCACVL1_1.0:contig06076:15267:17105:-1 gene:CCACVL1_02421 transcript:OMP03429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MSGRKSTNLAAEQPETEANEIESPQHRAAGADDFSHGKTGLNKYTLFCALLASTNSILLGYDIGVMSGAVLFIKENLKITSVQVEILVGSLNVLSLVGSLASGKTSDYIGRRYTIVLAASTFLIGAILMGFAPSFPFLLAGRIVAGIGVGYSLMISPVYTAEISPAMKRGFLTSLPEVFIVSGILLGYVVNYSLSNLPQNINWRLMLGFAAIPAFAIGLGVVAMPESPRWLVMQGRIDEAKRVLIKVSCSSEEADFRLDEITKAANSEVGQKGVWRELLLNPSRPIRRILVAAIGINFFMQASGNDAVIYYCPEVFKVAGIHNKRQLFGVNVIMGVAKAFFVLISALYLDKFGRRPLLLLGSTGMAISLSVLGMGSKFLEHSDSKPLWAIIVCIVAVCAFVSFFSIGLGPITWVYSSEIFPLRLRAQGTGLAISVNRLVSGVVAMSFLTMSKKITFGGMFFVLSGIMVVGTLFFYFFLPETKNKSLEEAWALFEVKDRTNKSDDAERGMPMREI >OMP03431 pep supercontig:CCACVL1_1.0:contig06076:24178:26392:-1 gene:CCACVL1_02423 transcript:OMP03431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKSSQWDKPVTSVDGGDDHKKVFTSHFVLMSLSDFETIVAVVYLGELSDGCQNKIEVSRPLRFDDDEKTYDFRFHCEEECKSTQQSIAEVGLPALTNVLL >OMP03427 pep supercontig:CCACVL1_1.0:contig06076:1085:1807:-1 gene:CCACVL1_02419 transcript:OMP03427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRNPFSNTKKFFQKTLQSFKSFFSHGVDYHKLPKTSPYNNPYSFTTITGNGGGGGQIDMNAQTSYQQQQQQDLENFYSDFTNRWDSSDKSKAKKRNNKKKVTISTAPTQEIKEGNNGNFVQITKATKSQVKSKIREGDEGETTKVEKNRDGNLYSKSKREAKSLAVAQKLKEMEMMDMSNVDHVLDIEEVLHYYSRLTCPAYLDIVDKFFMDLYTEFLGPPASPKSVNSRPKFRSVRA >OMP03430 pep supercontig:CCACVL1_1.0:contig06076:18372:21071:-1 gene:CCACVL1_02422 transcript:OMP03430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANEIESPQGRADDADDFSHIGKTGSNSVLFLASANSILLGYGFARQKEDQICYR >OMO69024 pep supercontig:CCACVL1_1.0:contig12136:3494:4105:-1 gene:CCACVL1_19688 transcript:OMO69024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYAQALLHRIDDYDFTADVTVDHFHRFHDEKIRIGPAQIQVHSHVYSFAKRLIKTYPNMYNKLRHGSSFIGSALDLLYFALHEMEDTPLSSVTEALILKWSGPIKAAHQLGMQVDFASAHLRTVTEAYLGSLALAAAPPLDASLLKSKIEKKEQAIAALQQDIQELQRQLNVTQTGPTPAMRQKHLQVHSQFHGKNVGLDLL >OMO69025 pep supercontig:CCACVL1_1.0:contig12136:5441:7171:1 gene:CCACVL1_19689 transcript:OMO69025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSIRPFMITLWFRRFMFLPLLGDYCSSTSAGVPGPLSGPAGAHHIVKWRHIAKSCMGVTYREVLTRGPKPMSMGDLVGSMVWLVTSNRGQTVGYLPDSKYTPAGSHFSSSRNFHDSQVFTKYEEYFLGEEGRQHLITSDMEYASKMTHESDILLVLQVSTEPSANRSMLGRRLLPQWLPKFSILEAPKKLHFKLFEEDEDEVLRGIPCRSLWSGGQLSVCCGDTLLTCHPAEEVPLLGTLIEVRYLHQQTRSVDQFPRRDAAEGNILLRRKAFLLGGAAETQKTSPCCNTSLFKTSKAGRLGELGPPLYLT >OMO69026 pep supercontig:CCACVL1_1.0:contig12136:14394:19336:-1 gene:CCACVL1_19690 transcript:OMO69026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFTVLWSRDLSHNQIGGSIPSNLPLTLRNLYISGNQFNGSIPPTLSTLTQLTELLLDDNHLGIYQEIIEWSIASILCELVISYYFVSNNVLKNLASLACEYLDVKGGNNHVFGWVSTPLMVDGAFMVSHVIGDIVAGDIVEPKVVKNYTTTEKEMLAIVFALEKFRPYILGSKMIIYSDHATLRYLMSKKESKDMLMLFQEFDIEIKDKKGAENVVADHLSRIEGKEEETAINEALPFEELFSVSQLPWYADIINFLVTRHLPSDLSKGEQLKIISDAKYYIWDDPYLWRYDSDQVIRRCIPDNETQSVLMFCHNYECGGHFGHKKTARKILDCGFYWPTIFKDSYEFCKTCPQYKKYYILLAVDYVSKWVEAVAVEKDDARIVVKFIKNNILHRFGIPRAPISDRVKLILEKIVNVQRKDWRMRLGDALWAYRIAYKTPLGMSPYRIVFDKPSHLPVEIEHKAWWAVKQCNLNFDKAEIQKMLQLQELEEIRNEAL >OMO61249 pep supercontig:CCACVL1_1.0:contig13554:2209:3605:1 gene:CCACVL1_23641 transcript:OMO61249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MGIGLAIAKQAASEGARISLLARSLDNLKEAKQSIEKAYEEVNVSIFAADVRDYDAVQRAIKEAGPIDVLVVNHAVSAIQELENQELDTVKFIIDVNLMGSFNVIKAALPLMKDRKDKGPACSIALMSSFAGLVGTYGSAAYSATKFGLRGLAEALQQELISDNIHISIIFPPTVDTPGFEQARKNMPEITKMMAGSDMMKAEEIGRITINGIKSGSFSIPCSFAGQMVAIATAGLSPQRSFFMASIEVVFAGFCRFLALLAQFNMYGIIEKWHPQKKQ >OMO61252 pep supercontig:CCACVL1_1.0:contig13554:12379:13441:1 gene:CCACVL1_23645 transcript:OMO61252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MASSSVPIGGDKFPPQHQSTQPGKEYIMVPLPQAINPEYKPTNKLYGKVALVTGGDSGIGRAVCYLFALEGATMAFTYVKDQEDKDKDDTLQMLRQAKTPDAKEALAIDVDIRFEENCKRVVDVVVENFGKIDILVNNAAEQYFYTSIEEISETDLERTFRTNIFSYFFMCRHAIKHMKEGSSIINTTSVLGFTGSPWLLSYSATKGAIVAFTKGLANQLLKRGIRVNGVAPGPVWTPLQVASLPVDWVTSLGSEAPMDRAAQPWEIAPSYLFLASDQSSSYFTGQFLHPNGI >OMO61254 pep supercontig:CCACVL1_1.0:contig13554:21997:22722:1 gene:CCACVL1_23647 transcript:OMO61254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTPSFNSNEEVFEVESRG >OMO61250 pep supercontig:CCACVL1_1.0:contig13554:4704:7631:1 gene:CCACVL1_23642 transcript:OMO61250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MVFFTLLYLPLLLLLLYFIVRPHPVTIPIKNRHVFITGGSIGIGLAIAKQAASEGARISLLARSLDKLKEAKQSIEKAYDNVDVSIFAADVRDYDAVQVAIKKAGPIDVLVVNHGVYNIQELENQESDTVKFMIDVNLMGSINVIKAALPLIKDRKDKGPASIAFMSSMAGQKGTYGLAAYSATKFGLRGLAEALQQELISDNIHISIIFAPAVDTPGLEEARKKMPEISKIMHGSDMMKAEEIGKITINGIKSGKFSIPCNLLGQMVAVATAGVSPQRSFFMASIEVVFAGFFRFLALLFQFKMYGIIQKWHPQKRK >OMO61251 pep supercontig:CCACVL1_1.0:contig13554:8555:10693:1 gene:CCACVL1_23643 transcript:OMO61251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MASTILKRATTSVMRSRMESFFIGASRSYASVAVGIDIVSAAPDVSLQKARSWDEGVSSNFSTTPLKDIFKGKKVVIFGLPGAYTGVCSQQHVPSYKKSIDKFKAKGIDSVVCVAVNDPYVMNAWADKLEAKDAIEFYGDFDGSFHKSLELGKDLSAALLGPRSERWSAYVVDGKVKALNVEKAPSDFKVSGAEVILEQI >OMO61253 pep supercontig:CCACVL1_1.0:contig13554:14941:16146:1 gene:CCACVL1_23646 transcript:OMO61253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MASSGQKQQSQPGKEHAMDPRPQFFNPEYKPSNKLQGKVALVTGGDSGIGRAVCYYFALEGASVAFTYVKGHEEKDKDETLQMLIEATKSRDAKKPLAIAADVGFDEDCKKVIEATIQEFGKLDILVNNAAEQHFTNSVEEITEPRIERVFRTNIFSHFFMCRHAVKHMKEGSAIINTTSVEAYSGNPQMLDYCTTKGAIVAFTRGLALQLAERGIRVNGVAPGPVWTPLPHSTFPEEMIKQFGNDTPMGRAAQPHEIAPCYVFLASPQCSSYFAGQFLHPNGGMVINT >OMO55700 pep supercontig:CCACVL1_1.0:contig14602:4051:15019:-1 gene:CCACVL1_27075 transcript:OMO55700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSKVMILIHCFVLQIA >OMO81393 pep supercontig:CCACVL1_1.0:contig10183:55157:55379:-1 gene:CCACVL1_12433 transcript:OMO81393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMELRIFEKSNLKEKKKRAAGVGDRRREETECRCRRSEKEETKYGFLQSTSK >OMO81392 pep supercontig:CCACVL1_1.0:contig10183:47069:47197:-1 gene:CCACVL1_12432 transcript:OMO81392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTTLTRCRKPTCNHPLGPEIDAYPKAKNMNIVNVKFKPAN >OMO81388 pep supercontig:CCACVL1_1.0:contig10183:95:760:-1 gene:CCACVL1_12428 transcript:OMO81388 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing protein MAETAVSFLLGKLGPFLVEQARTLRGVEAKAQWIKDELERINAFLRVADENAETNPQLKVWVKQVRDIAYDIEDALDVFELRMARTQGDGFYGFIRKISCTIKNAKQSSAATRNIWHERRADALWVKEAELVGIDKHRKQLIQWLVEESNPKFQVISVVGMGGLGKSTLAKKVFDADQVKKHFQIHVW >OMO81390 pep supercontig:CCACVL1_1.0:contig10183:24350:35682:-1 gene:CCACVL1_12430 transcript:OMO81390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ia MEDVCEGKDFSFPSQEETILSYWSKIDAFKVQLERTKNLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQSMTGHHVTRRFGWDCHGLPVENEIDRKLGIKRRDEILEMGIDKYNEECRSIVTRYVEEWEKIVSRTGRWIDFKNDYKTMDLKFMESVWWVFSQLHQKGLIYKGFKVMPYSTGCKTPLSNFEAGENYKLVPDPEIMVAFPIVGDPDNAAFVAWTTTPWTLPSNLALCVNADFVYLKVRNKYSGKIYVVAESRLSELPTEKPKSNAANGPSGDSKKSKTKGSSGEKTKDSAADSFEVLDKFDGASLVGKKYEPLFNYFSEFSDTAFRVVADNYVTDDSGTGIVHCAPAFGEDDYRVCIGNQIINKGENLIVAVDDDGCFTGKITDFSGRYVKDADKDIIEAVKAKGRLVKLGTFTHSYPFCWRSDTPLIYRAVPSWFVRVEQLKEQLLENNKQTYWVPDFVKDKRFHNWLENARDWAISRSRFWGTPIPVWISEDGEEVVVMDSVETLERLSGAKIFDLHRHNIDHITIPSSRGPEFGVLRRIDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKKLKNYPSPMEVINDYGADALRLYLINSPVVRAETLRFKKEGVYGVVKDVFLPWYNAYRFLVQNAKRLEIENSAPFVPMDLSSLQRSSNSNVLDQWINSATHSLVHFVREEMDGYRLYTVVPYLLKFLDDLTNIYVRFNRKRLKGRTGKEDCRTALSTLYNVLLTSCKVMAPFTPFFTEVLYQNMRKVCDGAEESIHFCSFPQEEGKRGDRIEESVARMMKIIDFARNIRERNNKPLKAPLREMVVVHPDEEFLGDIAGKLKEYVLEELNIRSLVTCKDPLKYASLRAEPDFSILGKRLGKSMAEVATKVKAMSQEDIFAFQEAGEVTVVSKSGTHCLKLTDIKVIREFKRPDGMKDGEIDAAGDGDVLVILDLRADESLFEAGAAREIVNRVQKLRKKAGLEPTDMVEVYFESLDEDKSVIQQVLNSQELYIKDAIGSPLISSNMMPVHTVVLCEENFEGISNMSFKISLARPALFFESKAILALYAGNVKFAQGLQTYLLSRDRSLLKSEFEAGHGKIKVDCIENQPPVEVTEGEHVFLSVGDYYLTVKGGC >OMO81391 pep supercontig:CCACVL1_1.0:contig10183:43107:43268:1 gene:CCACVL1_12431 transcript:OMO81391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSFNFRKKTVIAARYRHYLLLRPHFATITCRYGCDRNPQLIAEAVGAATTK >OMO81389 pep supercontig:CCACVL1_1.0:contig10183:4466:14458:1 gene:CCACVL1_12429 transcript:OMO81389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEYLDHRLVIEIRVKNCATRGGTQRVRGIGRAFWGIDMLKDEKREERNHRHSRQPTKCTCYVKNTLSRPTDYSGPKPSRHATPDIITISRRHDPSPSRLHKSSPPPDPIIVLP >OMP11610 pep supercontig:CCACVL1_1.0:contig01105:42:428:-1 gene:CCACVL1_00403 transcript:OMP11610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAPESIFPQANFASTSDIPEFSELVWENGQIHFRGLSSKITNKRSSTRSPAGYNFCQSNFSFKDIVQGEGEMSTANDNRSKDIGVADSTFGS >OMO53619 pep supercontig:CCACVL1_1.0:contig15180:66420:66722:1 gene:CCACVL1_28508 transcript:OMO53619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSIDHLRKVASEGFPLIDEFRRRPARKAYNRPSPPRDQNQYVYHGPQAITVVQEPETGSYHQIQTPNNSERWYVCQVSPIATISSNDAASYYGGMLIK >OMO53608 pep supercontig:CCACVL1_1.0:contig15180:1760:4446:1 gene:CCACVL1_28497 transcript:OMO53608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment MIGRRVLQTRALTAGRRWLCPYSSSTPSPIPAEQSKRQFSSYVKATTALTSNNPVNLNIQFVKNRIKLFSSNAVADLPTDGIVEVPLAQTGEGIAECELLKWFVQEGDEVEEFQPLCEVQSDKATIEITSRYKGRVACILHDPSSIVKVGETLLKMAVGDTQVPPITTNVLEKLIPVDAKPNRDTTTGGVLSTPAVRNLAKEHGIDINAVQGSGKDGRVLKEDVLKYAVQKGIVRDPAVTLTANLGEKSCSYASPNVVENNEDTIVQLRGFQRTMVKTMSMAARVPHFHYVEEINCDTLAELKASFQTNNSEPGIKFTFLPILIKSLSMALSKYPMVNSCFNEESLEVILRGSHNIGIAMATPNGLVVPNIKNVQSLSILEITKELSRLQQLALDNKLNPADISGGTITLSNIGAIGGKFGAPILNLPEVAIIAIGRIQKLPQFADDGNVYPTSVMTVNIGADHRVLDGATVARFCNEWKQFIEKPELLMLHMK >OMO53616 pep supercontig:CCACVL1_1.0:contig15180:46784:47857:1 gene:CCACVL1_28505 transcript:OMO53616 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Adenosine deaminase MADFSEKDSTKSPSDTQPRKQSTGDETPLLPVPTATTTESDRGRNRGRYWKIAVICVFLMLESVTVGVADHQEKLSSKTFKIFLSWSLGGSIVSIIYDLYELFFRRGFHRVIVLSVIFSFISAVPTGYQLRDNKIEVSKDFYVLTFAMIMCLASVLTEICDAIEMYIQIQLRKLQSGLDEITLLLPVTEMVTEKESKKGRYWKMGVVCCFLMLESVTVGVADHDEKLSPKAFKIFLSWSLGVSIVSILYDLYELLKKGFRRVIVLSISFSFISAVVTGYELGDKKIEVSKDVYVLTFAMIMCLASVLNEICDAIEIYLRKCHCRQNLNRIDDAPRKGDIEEGLKDEFTEGGIEGNHV >OMO53618 pep supercontig:CCACVL1_1.0:contig15180:55683:55823:1 gene:CCACVL1_28507 transcript:OMO53618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQQNHHHHRDRPRRSTSFKLRGLRLRSLKPTTSELPVVSLELPR >OMO53612 pep supercontig:CCACVL1_1.0:contig15180:28924:32250:-1 gene:CCACVL1_28501 transcript:OMO53612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-SMC condensin II complex, subunit H2-like protein MTSHQHHNGGDSGGGEFGKVHLVQPERDLGANWEVDLAKKLEDYLLKICSGEITGSQSDEGHSSVNFAEAALLLQGSVQVYSRKVEYLYNLVLHALEYLSQKSQQDQPEGSSVQAEQSASHSRSDEDNDQFWGLEDIPVEAKISLDSSANKETLLNHFVKPPANLVVLEGDCLDTSGDGGELESYLLATNDLYQDFILLDPCDAVAVDTYLKGDGVGKEEHGTHRGSSRRKSFLSPTGRSGGTARKSSQGKNKDFNVNQSPRADCSFDVNDCNMGPDPAVADDFGNEDHGFDMDDRYSEPRDLDDSDDDDNDPWKPLNPHEPGNLKVRPFRKVKAFRRTAVNSTKTIPITTLFPLARLHGTISPELTEMWERRQNAFERQKESNSPPLFEKLRLSLIGREHGAADAFANSEEDDEDNGYHGDDTDFGGPDFDMPGNMSMDEDLPFHHEKHEDGAADFGTNDMYDHEDPLSQASLEDLCRSHLDALLASIAENEKQTELAARVSSWKQNIEHNLEEQESHPPFDIHEYGERILDKLSLEADSRNAMPFTNVVQDQEKHDVARTFSALLQLVNNGDVALDKSGLPGESVCYTAVNPFHVRLLKHEKRRVETQLRTSKKRVKSPLRKESTKDERNKSSPEKSPTINSDSDYSHRSTKLSSQANCKFSVKLGKFGGVRCTPESKRRRRSRIIEPVDLHSAG >OMO53617 pep supercontig:CCACVL1_1.0:contig15180:49807:49896:1 gene:CCACVL1_28506 transcript:OMO53617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVNILKLVFVALVLLFMLNGNSNVYGQ >OMO53613 pep supercontig:CCACVL1_1.0:contig15180:34814:35851:-1 gene:CCACVL1_28502 transcript:OMO53613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MGKVAVVSVCSVFLVAMVVAVAVGVSRRNGGKGAGEAEPTGISTSTKAVKAICQPTDYRETCEKSLANANSTDPKELIKVGFQAAMDEIKAVVANSTTLKDLAKDPMARQALDQCKELMDYAIDDLKRSFTQLGEFDISKIGEYAMNLKIWLGGSLTYQQTCLDGFVNTTGEAGAKMREFLKTSQELTSNGLAMVDQFSEVLNKLNIPNMPHIDTTPNERRLLQADGSPVWVAQEQQAQIAQATANGPQQPNVVVALDGSAKYKSINEALKEVPKNNPTLFKVYIKAGIYKEQVNIAKHMTNVMFIGDGPTKTTITGSLNYVDGVGTYRTATVGKYSFCILIIFK >OMO53615 pep supercontig:CCACVL1_1.0:contig15180:38408:43864:-1 gene:CCACVL1_28504 transcript:OMO53615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoglycoside phosphotransferase MANRTGDLVEAVQEAHKLDVKALFGYASAHVAGFPLSPSKFTLSQFGHGQSNPTYLMEVETGGAVKRYVLRKKPPGKLLQSAHAVEREFQVLKALGENTNVPVPRVFCLCNDPTVIGTAFYIMEYLEGRIFVDPNLPGVAPERRRAIYQATAKVLAALHSANVDAIGLGKYGRRDNYCKRQIERWFKQYLASTSEGKPERNPKMFELVDWLRKNIPAEDSSGATGGLVHGDFRIDNVVFHPTEDRVIGVLDWELSTLGNQMCDVAYSCLPYTVQFGPEQLFDGLELIGIPEGIPSQAEFLAEYCFEARKAWPVSEWKFYIAFSMFRGASIYTGVYNRWLMGNASGGKRAEHTGIQANGLIDRALAFIAKKTVLPERPPSVARGVRQYGFGNEGQGLPEGSGRFVPSKKVLDLRNRLIKFMEDHIYPLEKEFSKLAQSDQRWTVHPEEERLKELAKKEGLWNLWIPFDSAARAKELIFNGSEKAQFDNKNDLLLGAGLSNLEYGYLCEIMGRSVWAPQVFNCGAPDTGNMEVLLRYGTKEQLHEWLVPLLEGKIRSGFAMTEPQVASSDATNIECSIKRQGDSYVINGTKWWTSGAMDPRCRILILMGKTDFTAPKHKQQSMILVDVQTPGVCIKRPLTVFGFDDAPHGHAEISFENVRVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMQLMAQRALQRKTFGKLIAQHGSFLSDIAKCRVELEQTRLLVLEAADQLDRLGNKKARGTIAMAKVAAPSMALKVLDMAMQVHGAAGLSSDTVLAHLWATARTLRIADGPDEVHLGTIAKLELQRARL >OMO53610 pep supercontig:CCACVL1_1.0:contig15180:20066:20745:-1 gene:CCACVL1_28499 transcript:OMO53610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKSPIFPMPEPQHFSDYGFDPQIDYFQVLEEARKHKRETSRSIDSLHFKLQKPISKDDHSKKSSKAKKKKRWWKNALLFFKWKKWAPPHHGSFHRDNLDLDLDLEPDVHRARARAFRASISGPVYITESRSGSSTPYRTTSRPSSGPLAGTITPSRKGDLAIPYLSLRELNTEQNHRVSTSAMPIYLVT >OMO53614 pep supercontig:CCACVL1_1.0:contig15180:37610:37834:1 gene:CCACVL1_28503 transcript:OMO53614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPARQGQWVRLSGLPVPSRELSHVVRVKAWASWLLEWSAPREAGFTEQRKPPAFDSGRIMGRCILDPNRLVG >OMO53609 pep supercontig:CCACVL1_1.0:contig15180:5018:12299:1 gene:CCACVL1_28498 transcript:OMO53609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKFLFIFSIILAPCSASAADEATMPKFAFSWLEDKDEFQAGDVATIKIKVLGNFDSKGNASLDQSAFKPAITVNGKTGNSSYISGVFLDTFGDPSTWQIIFIPILAGLFNLIITDDPFKVMDSSLHFTVEPGPMYPSASVASWLGFLNEFEAGSRAPLLVLPKDAFGNNISSTDKELNSCNISMTALHENGSIASMLNVTHMAWNEFGYIIVEFIAVIAGNFLLHIEGGNQTLNGSPLPFKVNPGPLDVQNCVAKWNFEFNAWQIFSIMEMFIYQQDQYGNLVPGSYEFDVDVVEKETNLSIPIGDLLVKEVEPGIQLFSFRMTEPGNFLLTISDMKHKQSISNMPYDYTVFVGYCDGAKSLVNGTGLNSSIAGKMVEFSVYLFDSFQYPSAVEFDRLQVKIERENDSYPVLPTIYPAIIIDDGESHDGDISESDFAPAPAPYNGLDNTPPGIWKGQATAFNVMYTPTKSGIYKIHVFCGNIILNGGDPLTKEVKAGEVNISLSGVVRLSPKALKLVRNEIVVQLMDSLYNPVLSEQSKLNLEIVSVNKTGFSSWMFVDNNDGSYTGHYLAMEVGTYEMCVSFDSIQFSPSPFSINVYGREYFPKAYDDKVSLWEDESIAFDVLENDYFAGGNASIVQFSKPVHGSLLQHGRLFRYTPYRDYFGNDSFLYTISDINGDVATASVNISVLTIPPQFISFPSQLQAIEDVISPKLSGYNGFELKYSDPMENISVILSAKSGIIFLSPTPMQFWQPIWSELYVTKWDDEGKNLTIEGCLEVINFALQSIQYLGNGNFSGEDTLRVSARNRNGVNDLDVPVQVEPINDPPHVNLPEFIILKNTRDKSLLVNKETDEFQFSIGDADILNFPGGESGFLLTFSVEVSDGSLLAALPAALIDSTELKLKSTYQWQPLQTYVTISKHFMVRAVGIRFRASLNDCNTVMQQLVYHGGDHDAVLTLKLSDLGHYGCYSDCSEKISKPLSAEATVNLIRRRPMTPFQVRTLGSAVVIEFILVLSLGLMLLFFTCKCAILLVKEKRRQNPRNYELSRMQSFQKETNLLKIGTKIVAVGRNYAAHAKELGNAVPKEPVLFLKPTSSYLENGGTIEVPHPLNSLDHEVELAVVIGQKARDVPENSAMNYVGGYALALDMTAREIQSVAKSVDSKTFLQLSMSMVPDPDNLELWLKVDDEIRQKGSTKDMIFKIPYLISHISSIMTLFEGDVILTGTPQGVGPVKVGQKITAGITGLVDIHFDVGKRQKPGSS >OMO53611 pep supercontig:CCACVL1_1.0:contig15180:24534:25295:1 gene:CCACVL1_28500 transcript:OMO53611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLHLYARWYLLRARRRNSRNRRRGSRRTQLVFYVDPNSNAVPAAARGLDARVLKSLPSSELCDTCQHEEGQAGSSASRSGRKLSIEVPGRNMEGFQGESSGCESGPSQSYKSPMSRMLSFKRILSRDRRGSGTSSCPSPIIVAAEVDIERGGDETRQQTQG >OMO57967 pep supercontig:CCACVL1_1.0:contig14290:26652:33811:-1 gene:CCACVL1_25633 transcript:OMO57967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPNPVPTCPLAPIVLEEGRVKIEAMK >OMO57970 pep supercontig:CCACVL1_1.0:contig14290:87308:91617:1 gene:CCACVL1_25636 transcript:OMO57970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRGAHHRRAHSDTAFRFDDFLLFDPSDLDLSSLDLPASSSNPAPPPVIPVPADSSDDSSSNGPARSSHNNPRHISSHLRSLSVDSDFFDGLGLTGPAISGGTGDEKFGGKTGVGEKRVHHRHSNSMDGSFEVESLMAVDGVKKAMAPDRLAELALIDPKRAKRILANRQSAARSKERKIRYTNELERKVQTLQTEATNLSAQVTMLQRDTTGLTTENKELKLRLQAMEQQAQLRDALNEALREEVQRLKIQAGQMSAMNGHPFNRGLPPQFMPQQPGPHHFGGHQTSQQQQQQQLQMPQSSTNNQTLNGQPQPHFMDFNQRT >OMO57964 pep supercontig:CCACVL1_1.0:contig14290:11300:17078:1 gene:CCACVL1_25630 transcript:OMO57964 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSRLSLQGDAGNAVVVNDHDWLGDSDGDLSWFHLIGRLFSKRKANAEEVVFDQCQYWVRIFDMPILMMNTRIGVSIGELMGPVLEVDDTCGRFLRIRVVLTLVDALRKNTTVSTSQGELTVRFKYEKLPAFCRVCGILTHLDNDCLVGVTILRTQGYIEKQYDDSIRAELPDVKPRQSGFLARSRQGLPNQGVGFRLGTRQRMGVTKSGTIQPRGFRSHVDSQILRQRAAVARGVDRVEGGIVRSKEGLPVHKIRKKEVVPNPTLNTGMRDTLVTGEEPGIQDNNQPEFFPSQQREFAQTGDDFIPLQDDSISPTFVFGATSPPQGRRHRKWKKTARSTYSYSFDVLGPCSNHKIGQKRTKGVPNYDFFNIGNQKRAKEQDKGSANHEEDEFGEAIYRDLEPNLSKANNSDASDGQNVGQNFNSAGMEDTPSLPGTLTILNWNCRGLGGRRTVHVLRELVHCNNFPVLFLLETKCNKYEMEWLRVCLGYDSYFTVESVGRAGGLPLLWQNSSFVSILSFSLSHIDAMIDANSDERWRYTGFYGSPVVTRRHESWALLKLLSTKFQLPWICSGDFNEILSTDEKIGGQLRSQRQMQGFRDAVEFCIEDVISSSWNRGEGLDLKHRIPCCGQDLQCWDREVFGCVHTNIIKKRKELEALYSEYQSSSDSSPFYAALDELNELYDREESMWCQRAKDSNGTLQQDSTIVKRTIFKYYRELFTSNSPSVSDIHQVLALVNSRVTVEMNDLLMREFTSEEVRVAAFGMAPNKASGPDGMSPLFYQKYWHIVGEKVSEMALLFLNHGVALLEINHTNIVLIPKIDNPCQVKDYRPISLYNVVFKIVSKTLTNRLKEILPNIIGPYQSAFVPGRMIFDSSMIAFETVHYMKNKRRGIEKHMALKLDLSKAYDRVEWKFLEGIMRQLGFADRWISLVMHCVETVSYSVLVNGEETDKIAPTRGIRQGDPLSPYLFLLCMEGFSCLLQDAERLGHIRGVVVSRVAPRVSHLFFADDNLLFSRASLADCDAILDVLRQFELASGQQINTDKSAILFSKNTLEDLRSMIMNYLGVQRILDRDKYLGMPILIGRAKRIELEVIRDRLIKRVQGWQGKLLSIAGKAVLIQAVAQAIPTYLMSCFKFPKTFLHELNMVMARFWWGDTKLKWRIHWKSWDDLCISKLDGGLGFRDFEAFNLALLAKQCWRLLYNEDSLCFRVFRAKYFRGGSFMNATLGHNPSFVWRSLLAEGQWDVDLLQELFVQEDVDRILSIPLYQRPLRDTLIWNNAYNGQYSVRSGYFIARFLLGGLPFLLRIDLLNGVSFWGALVLPKVKFFLWRLVSNILPSKSQLQVRGVQIDSVCDVCGNDESSVYHIFFDCPFSYRVWGQSCPWIQGYLSEWSSRDDFWLLLLEKASQLGSLELVASLLWLIWNNRNKALYDGVCKCPSVVCVLAVNLVSDFEAANRRLERLQCSHVEQPWRPPSPGTFKLNSDAAYCCRRKEAGLGVVIRDSTGQVLYTAVTRVAWVLDSLFAEVYAILFGLLVAKTFGLGHVDAESDSLQAILELNRLTPSLWEGGSLIQQIKDLGSCFDSCSFRHVKRSANKFAHNLAHLECDVGENFVWCGVPLHVCNPNL >OMO57965 pep supercontig:CCACVL1_1.0:contig14290:17729:18313:-1 gene:CCACVL1_25631 transcript:OMO57965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIFLNNLMGHIKYNIDGGTFGGWPRVERKTRDAVGKADPNFPFVGQVAVAIYVRELSRHYALIVEIGAFENMLRELGDHCRAKKVEKATIHMGIESDCETIVSLLNSQDKKSKPPKQIEKRASDVKAALETFPNVKVVHIYRKANRIANYLATTTDEIDDIILKMCAMLVQEQGDYPVYRLPPSGNFIYGHYP >OMO57966 pep supercontig:CCACVL1_1.0:contig14290:23403:23594:1 gene:CCACVL1_25632 transcript:OMO57966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIEEGWVREVRHVSRERNRCADFLANLVNSNQLGTSVFWEPPAGLAWLVEQDIDGPPIVRS >OMO57969 pep supercontig:CCACVL1_1.0:contig14290:76116:76241:-1 gene:CCACVL1_25635 transcript:OMO57969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPFLLRKTIAWGDANDAQIGRRDVESYRAAMKLIRGLDS >OMO57971 pep supercontig:CCACVL1_1.0:contig14290:93726:95833:1 gene:CCACVL1_25637 transcript:OMO57971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEGIPGDLGWPVIGESLSFLSDFSSPAGIFSFMNKRQQRYGKVFKSFVLGRYTVFMTGREASKILLTGKDGMVSLNLFYTGQQVLGTTSLLQTNGEAHKRLRRLIAEPLSIDGLKKYFNFINSQAIETLDQWAERRRISVLEEASSFTLKVIGNMIMSLEPSGEEQEKFRANFKIISSSFASLPFKIPGTAFYNGIKARDSMHEMIDSIIARRRSGEVIQQDFLESLIIKHSKGTEADASANEDKLTDKQMKDNILTLLVAGHDTTTAALTWLMKFLGENPQVLEKLREEHMGIKANRKDGTDLTWSELNNMPYTAKVISETLRRATVLPWFSRKAAQDFEIDGYNIKKGWSLNLDVVSIHHDPETFPDPYKFDPSRFDAPLKPFSFLGFGNGPRMCPGINLAKVEISIFIHHLVCRYNWRPLEKNDSVQPTLVRMPKNKYPIMVEPL >OMO57968 pep supercontig:CCACVL1_1.0:contig14290:63697:64633:1 gene:CCACVL1_25634 transcript:OMO57968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKRTVLRQCLVRTVNPFIFSHVDLILPDGIVFGEVDFIYVNEDLLILELGFRIFTGVARDGGVVGVFDGDETNVVGGEETGLGLEAGEEDDGYL >OMO57972 pep supercontig:CCACVL1_1.0:contig14290:96743:99206:-1 gene:CCACVL1_25638 transcript:OMO57972 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent decarboxylase MAGALEIDSVSPNVNGKLEILTDDLIPTAVVPEPLPKVVTAAGGGPSESEEDDEGLEKPPKKSREIVLGRNVHTTCLAVTEPEANDEFTGDKDAYMAGVLARYRKTLLERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWEIEKNEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMDCEKVDTLISGEIDCSDLRVKLLANKDKPAILNVNIGTTVKGAVDDLDLVIQTLEECGFSHDRFYIHCDGALFGLMMPFVKRAPKVSFKKPIGSVSVSGHKFVGCPMPCGVQLTRMAHINVLSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYKGFQKEVQKCLRNAHYLKDRLRAAGISAMLNELSSTVVFERPRDEEFVRRWQLACEGNIAHVVVMPNVTIEKLDVFLNELVEKRSTWYQGDIQPPCIAADVGKDNCVCALHK >OMP08416 pep supercontig:CCACVL1_1.0:contig03784:1764:1856:1 gene:CCACVL1_01112 transcript:OMP08416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQVVQVYSNISIEVRALVGLLQVFLRA >OMO84621 pep supercontig:CCACVL1_1.0:contig09731:25:380:-1 gene:CCACVL1_10740 transcript:OMO84621 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MATTSDESKKITLRTADNQEFEVEEAIAMKLVTVKTFFEENPEACEEAMPLPNVSAKCLSDIIEYCKEHLKKGRG >OMO84622 pep supercontig:CCACVL1_1.0:contig09731:14419:14913:1 gene:CCACVL1_10741 transcript:OMO84622 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MATTSDESKKITLRTADNQGFEVEEAIAMKFVTVKTFFEENPEACEEAMPLPNVSAKCLSDIIEYCKEHLKKGDEAAETSFDEEFVKARDNETLKEMILGANYLNIKDLLDMLNQAVADRIKNKSVEYVRRFFGIDNDFPPDEEARLRAENAWAFEGVDPDDDA >OMO96411 pep supercontig:CCACVL1_1.0:contig07476:66:8146:-1 gene:CCACVL1_04967 transcript:OMO96411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MFVCCGTALLTCHSFEKEVPLLESATGHSNQSLILHPQKRSATWLTRCNLANVSICREGDSVTEKCCWALQPKSCSSSTKDVSSVVIEELNMYGVKTTLMFVITTLVSKASRAFNGHSASSKLLVVGTLTLSSGGLVAYSDSQVDVSKTSAEVKENDCKKKRVVVLGTGWAGISFLKDLDVSAYDVKVVSPRNYFAFTPLLPSVTCGTVEARSIVEPVRNIIKKRNREIQFCEADCIKIDAANKKVLCRSVINNLVGNEEFSLEYDDLVIAVGAQVNTFNTPGVLENCHFLKDVEDAQKIRRSVSDCFEKAVIPGLSEEEQRINLHFVIVGGGPTGVEFAAELHDYVKEDLVNLYPTVKDLVKITVIQSGDHILNTFDERISSFAEQKFGRDGIEVQTGCRVISVSDKEITMKLKSTGEICSVPHGLVVWSTGVGTRPVVKDFMEQIGQGKRRILATNEWLKVKGCEDVYALGDCATIDQRKIMEDIDTIFKAADKDNSGTLTIKEFQDVIDDVLERYPQVEHYLKSKHLRDVTDLLKDPEGNDRSEVDIEGFKSALSHVDSQTKNLPATAQVAAQQGAYLSKCFNRRQQCEANPEGPRRFRGSGRHQFRPFEYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSVYASGSGLLAFYEFRPFGRVLTDASQPDRKKKKVVVVGTGWAATSFLKNLKSESYEVRVVSPRNYLSSRLCYPVSPMDSRSPQHC >OMO51646 pep supercontig:CCACVL1_1.0:contig15760:10216:13770:1 gene:CCACVL1_29662 transcript:OMO51646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATADAAATSSDGPVLSLINKRLRALRKKHNRILQMEESVAQGKSLNKEQEEVLRSKPAVTALIDELEKLRQPLSSAVSEEIQLALQGQTISPDETPSEAQQDKSEVSEQVPNEPDRAIEDLLNLLYFGSLFDVKSQSDFTSTMLTRTHERGCCLTYDYVTDDATDLLSEKDLDLISFVSGLLTSRPADSSFSHKNALHRCLHHAKLWLSNSDQPIDPNADVSYAGLRERLNKIMALDYFTTTPEMKAPVEVAAAAGTYTSFQVPISVPVQVEGSVGQYQQQEEDASNYQEPEAGDNQSHVVEELQKDELEIENHVEDTTVEEEQGKLQVDVEHNSRDAETREQQYAHRRPYQNQRGGRGSGGGRRGYSNGRGGRGSGRGGGSYQNGRSQYYDQPGNYYPRNYYNNRGRGGRGGGHAYNNHGSTVQGGHASADVGVAS >OMO51653 pep supercontig:CCACVL1_1.0:contig15760:44866:46755:1 gene:CCACVL1_29669 transcript:OMO51653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPISSLLLATIFFSSFCQLLVTLATQTHNSCSYLSETLSKSPCPPFTSTPPFPFSLSPGCGHPSFHIKCSSPYSTISINNVSFALLKFELNSSSLTLSPLQPSTQLSSNCSSFHFLSVSNSNHSIDLSGSPFRISDGSCSRLSTLRSCSFPNLNCSHCHWECGLIKNPLKLLHGCGSTRSPPEQGCQADLLGYLDNFIFKMGFEVEWDEAQDSYFSSCNDCKSKNGVCGFNSSDPNKQFLCFQSKTTISPPWIHVDHPHRIAILTSIFTLTCIFLVISVLIIIFRSKRLKSGSIEEDPTTLFLHRHRSASLLPPVFTYEELESSTNKFDPKRKIGDGGFGSVYLGQLHDNRIVAVKYLHKTNQSAKALSTKFFCNEILILSSINHPNLVKLHGYCSDPRGLLLVYDYVPNGTLAEHLHGNCKTSLSWQVRLEIALQTALAMEYLHFSVVPPIVHRDITSSNIFVEKDMRIKVGDFGLSRLLSFPENSSSKSDFVWTGPQGTPGYLDPDYHRSFRLTEKSDVYSFGVVLLELISGQKAVDQRREKREMALADMVVSKIQMGLLHQVVDPVLLIDGEAMDGVDAVAELAFRCVAEDKDDRPDAREIVEELKRIRSRTRVLRVSYSNGSSA >OMO51652 pep supercontig:CCACVL1_1.0:contig15760:38201:39272:-1 gene:CCACVL1_29668 transcript:OMO51652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFHNAKAVRLRSHHDKYLLAEEDEESVTQDRNGSSKNARWFVEFVPGHQNIIRLKSSYNKYLTASNQPFLLGMTGRKVLQTLPRRLDSSVEWEPIREGSQVKLKTRYGNFMRANGGLPPWRNSVTHDIPHRTSTQDWVLWDVDIVEIQVRSPGSGQQPPVIPHSDSLDFGSSSPSSLAAKSGHFTRQESSDSYVGSPPKSEGRTIYYHVADDSGEVDDEAVEGYSFSFKGNGVEELTRKLKEETGLVDIVVCTRSPLNGKLYPLRLQLPPNNADMHVVVVPSASKGETSL >OMO51645 pep supercontig:CCACVL1_1.0:contig15760:1140:9126:1 gene:CCACVL1_29661 transcript:OMO51645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLGASLMAISAFYIHKRSVDHVIDRLVEIRRECRPRSRVFSDGGSLEHKSNLSKSFEEQMELLRSNRMSSSMPNVALRNEWFEEDAKFDQAVRERVQTCSASSLDKLNFIPSGLPPLQTSRRGENQTFSHGGSTARLANYGRLMTPRSPGGNAFESAGDSDEEGTEPANEDDILFGDENIDGPNDLLIDVGTKVQNSISVLFGSDGLNSVLDKSNKATGSEAKNSVDLHGNGKLVDSASVCILENDPVFDKTSSALRSTLHETTSVEEEEVRKMVRECLELRDKYVYREEIAPWTKEPVTDPSTPKARSDPFRFEPVEKTAHNFKMEDGVIRVYASESDTVELFPVASSTTFFTDMHHLLKVMSIGNVRSACHHRLRFLEEKFRLHLLVNADREFLAQKGAPHRDFYNIRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGKYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKQVLSDLEASKYQMAEYRISIYGRKQSEWDQLASWFINNEIYSENAVWLIQVPRLYNVYKQMGIVKSFQSILDNVFIPLFEVTIDPNSHPQLHVFLMMVVGFDLVDDESKPERRPTKHMPKPSEWTNEFNPAYSYYAYYFYANLYTLNKLRESKGLPTIKLRPHCGEAGDIDHLAAAFLLCNNISHGIKLRNSPVLQYLYYLAQVGLALSPLSNNSLFLDYHRNPFPAFFQRGLNVSLSSDDPLQIHLTKEALVEEYSVAAQVWKLSACDLCEIARNSVYQSGFSHLAKSHWLGSKYFLRGPEGNDIHKTNVPNMRIAFRHETWKEEMQYVYSGKARIPGEIDPAM >OMO51650 pep supercontig:CCACVL1_1.0:contig15760:28678:31979:-1 gene:CCACVL1_29666 transcript:OMO51650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFAKAKAVKLRSHLEKYLIADDDQETVRQSRNGAGKRARWFVELVPDKPNVIRLRSCHGKYLTATDLPFLLGMTGKKVLQTVPENMDWKLQWEPIRDGFQVKLKTWCGKFLRANGGTPPWRNSVTHDEPHTGATQRWILWDVEAVQVPESESLVEYLSSVSSFSSISGDVLEALSDLGSGPQSPISVVSSVKSPRFSIISTGSPKLLLAAKQNRTTFRPNRLPETKKQTLQAKAGRRSRVYGAAWNKKKRRRKELKGHLRLEVEEISRFESSSNIVHRLLLMIVAALFLS >OMO51655 pep supercontig:CCACVL1_1.0:contig15760:75050:75409:-1 gene:CCACVL1_29671 transcript:OMO51655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGEEARKAAMAPVEEGLALLEEAFGKCSKGKPFFGGDQIGFLDIAFGSTLGWLKVVEKLNDIEIFSEAKTPALLKWADNFCSDAAVKDVMPETEKLLEFGKIITAKMRAAAAAPPPK >OMO51656 pep supercontig:CCACVL1_1.0:contig15760:77663:78031:-1 gene:CCACVL1_29672 transcript:OMO51656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVGIAQGDDAKKAAIAQIEEGLALLEEAFAKCSKGKAFFGGDQIGFLDIAFGCFLGWLRVTEKMSETKLLSEAKTPALLSWAHKFCSHPAVKDVMPDTEKLAEFSKTILARMRGAAPPKS >OMO51651 pep supercontig:CCACVL1_1.0:contig15760:34315:36156:1 gene:CCACVL1_29667 transcript:OMO51651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATATSPPPQLLPSKMSINTQNASSFDRYSRLIQSLKQCTDISTLKQIHAQALRSTSPHHPKNLFLYSQILRLYSFLDFNYALQLFNQLETQHNSFMWNTLIRACAHNINNKEQAIRLYQEMLEQAVVFPDKHTFPFVLKGCAYLFALSEGKQVHAHALKHGFGSDVYVNNSLIHFYASCGCLDVAEKVFVKMSERSLVSWNVLIECFVQFGQLNDALHLFREMGNRFDPDGYTLQSVISACAGLGSLSLGAWAHAYLLKKCDFDLSSDVLINNSLVDMYCKCGSLELAEQVFERMPKRDITSWNHMILGLATHGQAEAAIGCFDKMIRTEWFKPNSITFVGVLSACNHKGMVSKAREYFDMMINEYEIQPVLEHYGCLVNLLARAGFIDEALDLVSTMPMRPDAVIWRSLLDACCKKNGSVELSEELARQVLESEGHIDSGVYVLLSRVYASASRWDDVGLVRKLMTDKGVTKEPGCSSIEIDGVAHELFAGDTSHPQTTEIYQMLKVIDEKLASVGYLPDHSQAVMVDELDDTRQHSLRLHSERLAIALGLLKLKPGMPIRIFKNLRVCNDCHEVTKLISRIFNVEIVVRDRARFHHFKDGSCSCLDYW >OMO51657 pep supercontig:CCACVL1_1.0:contig15760:79935:81037:-1 gene:CCACVL1_29673 transcript:OMO51657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSDVKVLGSWPSPFVLRARIALHLKSVNYEYVEENLESKSELLLKSNPVHKKVPVLLHGGNSVSESLIIVQYIDEVWSSSAPSILPSDPYERAISRFWAAYVDDKWYNALRATLLAEGEEAKKAALAQAEEGLALFEEEYGKLSKGKPFFGGDEIGYLDIAIGCFLVWINVIEKFSEMKLIDEAKTPRLFQWADGFSSHVAVKDVLPDVEALAQFGLQLRAKFLKTPH >OMO51649 pep supercontig:CCACVL1_1.0:contig15760:26272:27558:-1 gene:CCACVL1_29665 transcript:OMO51649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNYSNKHRTGINLFLNAKAVRLRSHHNKYLLAEEDEESVTQDRNGSSKNARWTVEFVPGSDNLIRLKSCYNKYLTASDQHFLLGMTGHKVTQTLPQRLDSSVEWEPIKERSHVKLRTRYGNFLRANGGLPPWRNSVTHDIPQRTATQDWILWDVDVVEIQEKSPGNAEAESVEYLEATSSPSSASTKSGHFSEQESSDHSYVGSSPKSEGRTIYYHIADHESREVHGETEEGYSFSFKGSGVHELTEKLKEQTGLEDIVVCTRSPLNGKLFPLRLQLPPNNADMHVVVVPH >OMO51654 pep supercontig:CCACVL1_1.0:contig15760:48834:53427:-1 gene:CCACVL1_29670 transcript:OMO51654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MGGLKLDKWGYEVKTSSDSCTSAINAYYHQVLTYGRDRKVILEALVHDKDCVLANVLAAHYLCSSDYMEAAKSRLEQATSYEKAVFDAVNCLISENRDDDLAVELHSKLLKDFPKDLVSLKRAQVLCFYMGRPDLSLGLVEQVLPYNQQEDYIYGMLAFPLLELGRMADAENAAKRGFEINKQDCWAQHALCHVLQYECHYQEAVQFMEECSSSWSSCSSFMLTHNWWHVALCYLEGHSPITKVREVYDHCIWKEVERPDAVCLEVYLNALGLLLRVHVRGELDFFEDRLKTLAARLTDEANWFVEWHLDLLILWALAFTEELSKAENLLDGLKSRLSRMSKKKQQVMQRAMLLAEAIYEYGRGNEKQALEILGPDFDAYKYKVIGASDEQIDVFNEVWYSMLLNSGEATKAIESIEKQIQKREGVPFLWRLLEKGYNLSGRPEAATIGEKARALEAAYFN >OMO51647 pep supercontig:CCACVL1_1.0:contig15760:15979:16591:1 gene:CCACVL1_29663 transcript:OMO51647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MGAAASSSSKIVEMQSKSQWRAQLEASKQSNKLLVIDFTATWCGPCKRMEPILEEYANIYADVQFIKIDVDMLADVAREFKVDAMPTFVFVKKGKEVDRIVGARKDELQRRIEKHMI >OMO51648 pep supercontig:CCACVL1_1.0:contig15760:17174:19577:-1 gene:CCACVL1_29664 transcript:OMO51648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYNILRVGRNATEEDLKKSYKRLAMKWHPDKNPVNKKEAEAKFKQISEAYDVLSDPQKRQIYDLYGEEGIKSAELSSPGGFAGGGGGVGGGAYRFNPRNADDIFAEFFGGGPEKRFHGGDGGVASQGNKKAAPVESKLLCSLEELYKGGRRKMRISRTVPDEFGKPKTLEEILKIDIKPGWKKGTKITFPEKGNHEPGVIPADLIFVVDEKPHAMFKRDGNDLVVHQKITLLEALTGISFSLTTLDGRFLPVPVADIVKPGYEVVIPNEGMPISKEPSKKGNLKIKFEIIFPSRLSAEQKSDLRRALGGGD >OMO89337 pep supercontig:CCACVL1_1.0:contig08771:84938:88893:1 gene:CCACVL1_07906 transcript:OMO89337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron hydrogenase, small subunit-like protein MSEKFSPTLRIGDLSDFIAPSQACVVSLKGLKATPNKPESQVKISNVKSQQTEPVKISLKDCLACSGCITSAETVMLEKQSLDEFLSNINKQKAVIVSLSPQSRASFAGHFGIPPLQVFKKLTTFLKSLGVKAVFDISCSRDLTLIETCNEFITRYKQSQATDEEKSKSSLPMLSSSCPGWICYAEKQLGSYILPYISSVKSPQQSMGAIIKHHICQTMGFRPEEVYHVTVMPCYDKKLEAAREDFLFQAGSDGGSQEDAGVCIPEVDSVLTSGEVLELIQLKEVDFKALEESPLDRLLTNVDDGRHLYGVAGGSGGYAETVFRYAAKVLFGREISGPLDFRIIRNSDFRELALEVEGRTVLKFALCYGFQNLQNIVRKVKMQKCDYQYVEIMACPSGCLNGGGQIKPKPGQSPKELIKSLEAIYMENVLDSDPFKNPLVERLYDEWLEQPNSEKAKRHMHTGYHPVVKSISAQLNNW >OMO89334 pep supercontig:CCACVL1_1.0:contig08771:56292:59966:1 gene:CCACVL1_07903 transcript:OMO89334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Component of oligomeric golgi complex MATVGLAPGLSRKLKKVLECRTDSPDVVASLNTLSSFYDENTPQARRNLRSTIEKRSLQINVDFLRASQAAQLALDRVEEEVNSLAECCDKIAKALNSCSASTGDIINTTERLKQELEVTTQKQEIVSCFLRDYQLSAEEINALRDEELNENFFKALSHVQEIHANCKILLRTHHQRAGLELMDMMAMYQEGAYERLCRWVQAECRKLGDTDNPEVSELLKTAVRCLKERPVLFKYCAEEVANMRHNALFRRFISALTRGGPGGMPRPIEVHAHDPLRYVGDMLGWLHQALASERELVLALLDPDAVVDTGSTANRFSKNVVNGSGKIEADLTFVLDRIFEGVCRPFKVRVEQVLQSQPSLIISYKLSNTLEFYSYTISDLLGRETALCNTLWALKDAAQKTFFEILKSRGEKLLRYPPLVAVDLSPPPAVREGVSVLLEIIETYNSMMVPADKKPAFDPVISALLDPIIQMCEQAAEAHKSKAAGHLSRRSRMSSDSSQLSKSAVDAILSNNNSVAFSQNSETPSKIFLINCLCAIQQPLLGHEVAAEYAKKLGTMIDNHVHVVVEKEVDTVLRKCGLSTKMHHFHNSLKKDTADGAPLAEIEDTTQASLSECLKAFFGFILASESSLPEFEQMQVPKLRSDACIQVARSLADAYELIYNAIMDPENNYPEPKSLARHPPDQIRTILGI >OMO89336 pep supercontig:CCACVL1_1.0:contig08771:73763:74080:1 gene:CCACVL1_07905 transcript:OMO89336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKEGEASQQSASPPTNLMELVQQRYQKMKEHAETYPYVWASYTLVYGTLALWTAYRWRKLRKTEDKVRALQERLRKLVENEESANSATSVRKAPTSDDKTPN >OMO89335 pep supercontig:CCACVL1_1.0:contig08771:67951:71426:1 gene:CCACVL1_07904 transcript:OMO89335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MAGVNGGGSEREVWKAHAAMALVQLFNGGYHVITKVALNVGVNQLVFCVYRDLLALSLLAPVAYLRETRIRPPMTKRLLLSFFFLGLTGIFGNQLLFLLGLSYTNPTYAAAIQPSIPVFTFILAVIMGTERVNLLKTEGQAKVGGTLICVSGAILMVLFRGPALLGQEDADFAIQHDISARGQPEPSGWLMSSFVNYGLENWHVGVLCLIGNCICMAAFLAIQAPVLAKYPANISVTALSYFFGAILMVGTAIFATNESTDWSLTRSELLAVLYAGVVASALNYGMLTWSNKILGPSLVALYNPLQPAASAFLSRIFLGSPIYLGSVVGGLLIIAGLYVVTWASYREKHSGFGIVRSAEPLIHKDASSSNKNPYQRPSIFSGSPLLSPKSSD >OMO89338 pep supercontig:CCACVL1_1.0:contig08771:88901:88978:-1 gene:CCACVL1_07907 transcript:OMO89338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGRDYAHKQRQQKEVTTQYRTRC >OMP08748 pep supercontig:CCACVL1_1.0:contig03618:1634:1831:1 gene:CCACVL1_01094 transcript:OMP08748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLVGFALICRRSQSPLTLASTLHSYFNVGANNGGNSIENTTTAATMAAYHLLLVPCLFECQL >OMO59790 pep supercontig:CCACVL1_1.0:contig13881:37064:37135:1 gene:CCACVL1_24606 transcript:OMO59790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEYQKEYQKVSYQKINKVSYQK >OMO59788 pep supercontig:CCACVL1_1.0:contig13881:12272:12976:-1 gene:CCACVL1_24604 transcript:OMO59788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPKRICTFLLRFIAFSTTLSAAIIMASSRERTTVLTISFEAKYSDSPALKYFVIANAIATVYGFLLLFLPSESLLWRLVVALDVSLVVFTKCKVITMLLTSSISAALAIAYVGKKGNPNAGWLPICDQVTKYCNQVKGALIVGFISVIIYMLLHLYSIHRVLNPLLVEKS >OMO59789 pep supercontig:CCACVL1_1.0:contig13881:17044:17142:1 gene:CCACVL1_24605 transcript:OMO59789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSLMYPCRTGARHGGMLDTVGRQLLWRFQF >OMO67987 pep supercontig:CCACVL1_1.0:contig12328:2567:7202:-1 gene:CCACVL1_20150 transcript:OMO67987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGQALEHMGPETNQVERQACDMGAQPESGVPPSSHMDAADLGPEANDLGTQCNQVGAQGESGPAMRARQHAIACHFLLKVLRFGEEAAASSSFPLGFAEMEIDIGKFDQEETSSPGETANIMVNDPDPAKKHFEALRNKRKAETEAASNGSNKRSNLGVPYSNVVSGSSGPRIRNGQHEAPKITGRVDASKTLRTRPTRGNQALQEDRDGAVKLASKAEQEIVRLKEEMVEGKKILKTCQEHLEAEKVDRAKDKKAWDVERREMITTIEGLKV >OMP06366 pep supercontig:CCACVL1_1.0:contig04957:52:1477:1 gene:CCACVL1_01607 transcript:OMP06366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSSDLESQLAKVEENLVLILESINKDKEEVIPKGKRLDLEARLDFVEKLARKIKAVGFNILTDENQNQYNSSFNKVISKKLEEKDDQIKLTKEEVDKRFEEFAMKFEKQIEEQQKKREELKKQMGEFEKKQKQVDQYLATSRLRPITTAELGNTKVVTPTTATSTTNLGNIKVETTTQLGDIEVESRQSRVDDGDARPIKRVNRGSSALLAAVAKSSKIRAQSQPLIQSQSAPLSFMIDQEDYNNVSGHESKLKYTSSTRTWMINGASKIYCG >OMO72191 pep supercontig:CCACVL1_1.0:contig11492:3677:6757:1 gene:CCACVL1_17894 transcript:OMO72191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKIKALGLNYPTSSQTEVEQGFAFAIAKYTKHNFGAETTINVWHPSVSPNQLSLASLWIANGPVETLNILQAGWDVQPILYSSNYTRLFTFWTADAYNETGCYDYLCPGFVQVSQEVSLGLVLKDISTYNGTQKEIHIGLIKDDSDDWWFKFNNIFVGYWPKSLFDYMYGGANFVTWGGEVYTQDKEPSPAMGSGHLPRDGHYGISAYFKQTQIWDKQQLVNPDAKSVKMRPERRIQKKMMKGKPSMETDQSPPLLPKNIRCPPGSVLIKRTTKEDLIMAKKMKALGMNHPTSSRFRSTSIEPKDFAFAGAEYTKHNYGAKATINVWNPSVSPNQYSVASLWVANGPHETLNVLMAGWAVQPQSYSNNYTRLFTYWTADGYHKTGCRDYLCPGFVQVSHEVSLGLVLKDISTYNGTQKEIQIGLIK >OMO83305 pep supercontig:CCACVL1_1.0:contig09907:5492:6023:1 gene:CCACVL1_11444 transcript:OMO83305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKANQLDGTVTKVIIIVGAVFIDWIASIGYEPDSSTALAKEQNPKNLKLLSCFAHPNWQVGDSCLIPIMSPESRSCSSSLSVSKETVHTHWPLHCKKIRKADETIEHGVDATTLIPIETPGDHEFVAKQYVVEKVSDVDDA >OMP10915 pep supercontig:CCACVL1_1.0:contig01774:2530:2589:1 gene:CCACVL1_00749 transcript:OMP10915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKLLTCARIQTRSIPSRRT >OMO61198 pep supercontig:CCACVL1_1.0:contig13562:20158:20280:1 gene:CCACVL1_23696 transcript:OMO61198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPPLSTLYYGGAAPPSMAGPFSFEYTTICIFSHYSQTY >OMO61197 pep supercontig:CCACVL1_1.0:contig13562:13758:16617:1 gene:CCACVL1_23695 transcript:OMO61197 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family MRERHRQDLESLTLTTQPIKTFKLFILAVFLYFKRSVLYLLAKGGWLMLLSTLIAALGILLVTIEGPHEKHVEEVSKYVRFGLWWVALGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRVDLKSAPYDTIQLKRGPSWLDKSCEEFGPPLFLSSHGSRVPLSSILPQVQMEAILWGLGTALGELPPYFISRAASISGSKVDAMEEFDASSSEDSGIIATHLNQIKRWLLTHSQHLNFFTILVLASVPNPLFDLAGIMCGQFGIPFWKFFLATLIGKAIIKTHIQTVFIISVCNNQLLDWIENELIWVLSLIPGFDSYLPTLTAKLHAVKDKYLSAPRPVPNIKEKWEISFASIWNTVVWLMLMNFFVKIVNATAQRYLKKQQEELEKISASTHSD >OMO69549 pep supercontig:CCACVL1_1.0:contig12015:445:6253:1 gene:CCACVL1_19432 transcript:OMO69549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIQLRKACSHPYLFPGIEPEPFEEGEHLVQASGKLMVLDQLLKKLYDSGHRVLLFAQMTNTLDILQDFLELRKYSYERLDGSIRAEERFAAIRSFSKRSAEGSLNPEFDQNAAFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQTNHVLSINLVTEHSVEEVIKRRADRKLQLSHNVVGDHVMDQEGKEMEGAEMGDLRSVIFGLHMFDPTDINNKESDDIKTSELSAMVEKVIAMRHEESLGKNNSKFEMNAGDLFDGHDVIMRENSSVGIDPGLDEASYLSWVEKFKAVSQSGDTPIMELENKRNFPEDRHLKVEAAKKKAEDKKKTKWEAHGYHSLAVQDPCPVDGDMLSDSGSVLFVHGDCTEPSKVCPSEPAIIFSCIDNSGNWGHGGMFAALAKLSSSIPESYERASEFQDLHLGDLHLIRINDGCEENNTPLWVALAVVQSYNPRRKVPRSDISMPDLERCLSKASHTAAQNSASIHMPRIGYQDGSDRSQWYTVERLLRKYASLYGVKIYVAEFRNSDFLSTGMALSHSSHHHSGKQLFLYQTPLRSVLRFAGVQERSWGDEAEKSSNKTKKAKSMARVINSMPWSSELESSLSSLSPSLSKTTVSQTLRLIKAPSKALQFFNWVEKMGFPHTAQSFFMMLEILGKERNLNAARNLLLSIEKRTNGSVKLEDRFFNSLIRSYGKAGLFQESIQVFQTMKSIGVSPSVVSFNNLLQILLRRGRTSMAKNVFDEMLSTYGVNPDVYTFNILIRGFCLNSMVDEGFRLFKEMEQFKCDPDIVTYNTIVDGLCRVGKVGIARNVVKGMSKKSLDLNPGVVTYTTLVRGYCMKQEVDEALFVFQEMISQGLKPNKITYNTLIKGLCEVHRYDKIKDIFEGMGEDGRFIPDTCTFNTLINAHCNAGNLEEALNSFKRVSELNVLPDSATYSVIIRTLCQRGDFDKAEEFFDELAEKEILLSDAGCTPCVAAYNPMFEYLCGNGKTKKAEKVFRQLMKRGRQDPSAYKTLILGHCREGTFKAGYELLILMLRRAFKPDFEIYDSLIGGLLQTGEPLLAHLTLEKMLRSSHRPKTSSVHCILAELLKKKFSQEAASLVTLMLDRKIRQNIHLSTETVKLLFARRLQDKAFQIIRLLYDSGYVVDMKELVGFLCQSGKFLEACKILQFSLEEDKSADVEMCSTVIEGLCNSKKLSEAFGLYYELVERDKHQQLRCLENLKIALESGGKLSEAEFVSKRMSNQIYNDASPQHTTRM >OMO69552 pep supercontig:CCACVL1_1.0:contig12015:19183:25149:1 gene:CCACVL1_19435 transcript:OMO69552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGSTGEADRKRRHFSSISPTAVAAKKHPSFPVSEEKKLDAKVLQFQNQKLLQKLEAQKVEYSCLENKFFQLKEKQKPYDSTLNAVNKSWEALLTDLESRCIQTRESSKQDVGRAPIMDDGAPSPTEDVFLSRLMEKGATESSSSNNCPEQMEEDREQTASEKTRNILHNIVVSVNDLWCLKDGLYAAALKLHSDDGSGGQKASSELKSEVKNLRLAIGDIHLKHRSLARELQIHRDIDAKNKAELKRLKGELESAVAELQESNCKLTSLKTESDATKGAFFPVLNLSSKHVVGDKVKDKQRDLQEMESTLKELLEQASSRLTELNGLHEERIKILQQLSNLQNTLKSVKCISSSQAYLLVRDQLEKSKSEVFHYQDLFEKLQVEKDNLAWREKELSVKMDIADVFRRSLAVSDSRASHLGAEIQRKIDERKRIEAKLEEASKEPGRKEIIAEFKSLLSSFPEEMSSMQSQLGKYKEAAVDIHSLRANVQSLSSILDRKAKECENLSVRSADQVSEMHKLQAMVQDLKDSDEELKLILEMYRRESTDSREVLEARDSEYKAWAHVQSLKSSLDEQNLELRVKTANEAEAMSQQRLAAAEAEIADLRQKLEASKRDKAKLSDALKSKNEENEAYLSEIESIGQAYDDMQTQNQQLLLQITERDDYNIKLVLEGVKAKQLQDALLLEKHTIEKEIQQANATLEFYDMKAARIEDQLRFCSDQAQKLAEERFQNSVLLENTQKRLSDARKASNQAMESLQDSQSNIEKSRVALVELQIEIEREKFKKKRIEEESEVVRRKVVRLQAETEGSSIVERLQHELKEYKEILKCSICLDRPKEVVITKCYHLFCNPCVHKIITESRHRKCPVCSATFGANDVKPVYI >OMO69551 pep supercontig:CCACVL1_1.0:contig12015:14138:17437:1 gene:CCACVL1_19434 transcript:OMO69551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAESCRNGESTPLVAQSDDPGNDGLIPQLFTSLPALNEAASYLAQTTTYLTGCFSNYSVEPTARDPRHSTVHEEELVAFPSAQTDESVIRDPPSFTGSRSTLSESSSPAATASVNHEVTRDSAGDLTETSGAIVQSNQSGQNGISIFQGLIERARRTVRGSADDIGWLKREPDMPSVEDGTERFTEILDDIRHGLHKLPNSMVYLFVPGLFSNHGPLYFVSTKTSFSKMGLTCHIAKIHSEASVEKNAREIKDYIEEIYWGSKKRVLLLGHSKGGVDAAAALSIYWSDLKDKVAGLALAQSPYGGSPIASDILRQGQLGDYVNLRKLMEIIICKVIKGDMQALEDLTYERRKEFLKKHHLPRELPVVSFHTEAGISPAVLATLSHVAHAELPLTAPLSDGQPTRLPVVMPLGAAMAACAQLLQVRYGEKSDGLVTCCDAEVPGSVVVRPKRKLDHAWMVYSSLKDDPSEADAAQVCEALLTLLMEVGQRKRHELSMKDE >OMO69550 pep supercontig:CCACVL1_1.0:contig12015:7405:12982:1 gene:CCACVL1_19433 transcript:OMO69550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKHQLKRAPTPGKATVLAIGKAFPRQLLHQECLVEGYIRDTKCEDASIKEKLERLCKTTTVKTRYTVMSKEILDKYPELATEGSSTIKQRLEIANPAVVEMAVEASQACIKEWGRAATDITHIIYVSSSEIRLPGGDLYLASQLGLKNDVNRVMLYFLGCYGGVTGLRVAKDIAENNPGSRVLLTTSETTILGFRPPNKARPYDLVGAALFGDGAAAVIIGTDPITCSESPFMELNYAVQQFLPGTQNVIDGHLSEEGINFKLGRDLPQKIEDNIEEFCRKLVSKANLTEFNDLFWAVHPGGPAILNRLENTLKLNNGKLECSRRALMDYGNVSSNTIFYVMEYMKEELKRQGSEEWGLALAFGPGITFEGQKMISVKVDPSSYYRTTAKRGRPPKCNLIRKKPSALDKMTLNQIVSHSKEKAKCKKLKRATVDELYENDDVKHAVMERAKEIQANLSSTFPSFIKCMLPSHVAGGFWLGLAKEFCFKHLPEEDKMLVLEDEEGKKYETKYLVDKVGLSGGWRGFSIAHNLVEGDVCVFHLVKPTKFKVYIVRKKGSEEVDVALGLLKLEASIQEMDCGKSSLSLVAISFLCQLYNFIKNLVQNPPYYGFSMFAETIDKSLENMVCIETTAKSLEEVHPLEIFPENDEKNDPTHSGSNLEPIACHSENDSEELGSEVLDGIRLCDSIIDFKDVTSFEDFNIIVNGLIINSELSKHLQVKYYDLCCSQKSYLHDHLLEGLNCRLVCGIISETINIADAIRAAKLTTPQRNFETWNSTLKSFQGLGMNVGFLSARLEQLMNLSLKSKRYQEARDEQANVQEEKMKLEAKLQEVTEALTRLDGEIRSLEKENADRVEILFREAANAPW >OMO79817 pep supercontig:CCACVL1_1.0:contig10375:120940:122487:1 gene:CCACVL1_13414 transcript:OMO79817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNQQSFWQFSDQLRVQNSNLANLSLNDSIWSNNYVSKRPDERRNFDIRVGGDLNSVSNNLKPKPSDFNSFSNDGWKTGLNGNNNNSNINIGYGAIGPMGGSQNMNVGVNGGFNKGIYSKPGNNNINFNVNLKGNKNKNEDDHGSKSGKRNSNKKNNNNNNNDNNNNNNNNGDNTKDGKSDKRFKTLPPSEALPRNETVGGYIFVCNNDTMQENLKRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGIFEAASFGGSNIDPTAWEDKKCPGESRFPAQVRVLTRKICEPLEEDSFRPILHHYDGPKFRLELNVPEALSLLDIFAEQDA >OMO79802 pep supercontig:CCACVL1_1.0:contig10375:16859:21398:1 gene:CCACVL1_13399 transcript:OMO79802 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase MDFDCNLKHIKESIVLAKEAGAVIRLGPELEITGYGCEDHFLELDTVSHAWECLKEILLSDLTDGILCSIGMPVIKGSERYNCQVFCFNRKIVMIRPKIFLANDGNYRELRWFTTWKQKDQLEEFLVPPEISEALSQKSVPFGYGYIQFLDTAVAAEICEELFTPIPPHTELALNGVEVFLNASGSHHQLRKLDIRLRAFIGATHTRGGVYMYSNHQGCDGGRLYYDGCCCVVVNGEVVAQGSQFSLKDVEVVVAQADLDAVASLRGSISSFQEQASCKTRVSSVAVPYKICQSFDLKILLSSPQKIMYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIANGDEQVKADAIRIGNYTDGQFPTDSKEFAKRIFYTVYMGSENSSEATKMRAKVLAEEIGSWHLDVCIDGVVSSLLSLFQTLTGKRPRYKVDGGSNIENLGLQNIQARIRMVLAFMLASLLPWVHNKKGFYLVLGSSNVDEALRGYLTKYDCSAADINPIGSISKQDLRIFLRWAANHLGYSSLAEIEAAPPTAELEPIRSNYTQLDEVDMGMTYEELSVYGRLRKISRCGPVTMFKNLCYKWGARLTPSEVAEKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNARWPFQFRKIDELVEKLDGDRVAFKESGDLKNSDGMGVVAAASSDPKYGL >OMO79818 pep supercontig:CCACVL1_1.0:contig10375:125310:126434:-1 gene:CCACVL1_13416 transcript:OMO79818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGCSASKRNKATVDVYRPPPSSFALFDINAIDEPWVKAEQAQEEKQEKPTHVPAVILEKLSTFETDAPHSWDEVSKALEDLKPAMNTNNIKKLEAPTSSPKPAANQVNKQQQVRKSLTFHTLEEFEAKQSSKPAPNELRKTESMKKETTTTTTKTAITKPTAELNKPEPRPVSDSSAGFKLKENIFILRDRQEREKEGRMANYDKLMSKRDPLSEFPEICPPGGADSVVIYTTSLRGVRRTFEDCSKVRGIFEVLRVVFDERDVSLHGEFLNELRNLLGEEASVPRVFVKGRYVGGVEEVSELNELGKLGKILNVARVEKGVGRQACGGCGGARFVPCMDCGGSCKVVVSGDKKERCGKCNENGLVHCPACLVI >OMO79820 pep supercontig:CCACVL1_1.0:contig10375:129686:130976:1 gene:CCACVL1_13418 transcript:OMO79820 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MADRVGAQKGNLMMLSGSFYISKERSSRPQGDDSHFICEEKQTIGLADGVGGWNSKGIDAGIYARELMNNALMAILTQPDRQVDPMTVLSEAYSKTKALGSSTACIITLKDDNMLHAVNMGDSGFMLIRGGVVIYKSPIQQHSFNFPYQLGYSSGNADRPSQAEVIKLGVEPGDVIIAGTDGLFDNLSEDQILEAAARGIEQGLDPEDVAWPVAQRAYNVSMDGQAVTPFMQASTLAGKRHTGGKQDDITVIVSRILDM >OMO79812 pep supercontig:CCACVL1_1.0:contig10375:74231:86968:-1 gene:CCACVL1_13409 transcript:OMO79812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MEARGLRAVTAPPLHTYEPLRRTALNRIFIVVYISAIIALLYRHAQTLVNYTTTSLLSFSLNLLLLIADLLLAFMWIGAQAFRVRPIRRKEFPENLKKVIKEEDYPGLDVLICTADPCKEPPMNVVSTALSLMAYIDYPAEKISVYVSDDGGSALTLFAFMEAAKFASYWLPFCREQNIMERSPKVYFASNNNISWSPEFEKIKRMYEDMKEKVEHVVDKGEISDEYIIDNPHSQAFKKWTKGFTPTDHPAVIQVATMRTIPNGDISPTKIEKIKTMYENMKVKVENVVDRGEISEEYITNDEEREAFNKWTDGFTSIDHPAVIQVILDKSKDKDITGKFMPNLIYVSRQKSKTSPHHFKAGALNVLLRVSAVMSNAPIILTQDCDMHSNDPITPLRVICYMLDPAIRSEVAYIQFPQRFYGLSKNDIYALEYKRVFQIHPMGFDGLKGPYNVGSGTFFNRRALFGSPSTLLPPEIPELNPSRISDKPIKSQAILALAHNVASCYYENNTQWGCKIGFRYGSLVEDFYTGYQLHCEGWRSLFCHPERAAFLGGMPVTLIDLLVQSKRWCLGLFDVGFCKYNPLVFGYQRGNYTPALPFHTVERLPHTALNRLFAAVYACAILALLYHHAQTLLHSTTLVSFLITFSLLVSDLVLAFMWATSQAFRMNPVRREQFPENLKKKVKEQDFPKLDVFICTADPYKEPPMGVVNTALSLMAYDYPTEKISVYVSDDGGSALTLFAFMEASKFASYWLPFCRENNIMDRSPSVYFSSTGPNWTPEAQNIKEMYENMKVRVENVVNRGEVSETYITNDEEREAFKKWTCAFTPRDHPTVIQVLLDSSKDTDITGHFLPNLIYVSRQKIKTSPHHFKAGALNVLLRVSTIMTNAPIILTQDCDMYSNDPQTPLKVLCYVFDSVIEPNLGFVQFPQRFTGVNKDDTYGCEYKHLAVINPMGIDGLRGPYYCGTGTFFRRRALFGDPSTCFTAEILELNPDHTVNKPIKSPDMLALAHHVASCSFENDTEWGSKMGFRYGSLVEDFFTGFRLHCDGWRSVFCNPERAAFLGNAPINLSDVLNQCKRWAVGLLEMGFSEYSPITFGTRSIGLMGLGYSHYSFWPLYAIPVTIYSFLPQLALLNGVSVFPKISEPWFFLYLFLFLGAYGQDFIEYVLEGASFRRWWNAQRMWMIRKVSSFSFGTVEYLLKSIGLSAPGFNVTSKVVDDEQNKRYGQGIFEFGVPSPLFVPLTMAAIINLFSLAWVTISAFSGNYDEGLFLQMLLAGCIVLNYLPIYEAIALRSDKGKMPTKITIIATFLAGALYTATLHCFKVMKY >OMO79810 pep supercontig:CCACVL1_1.0:contig10375:68040:69833:-1 gene:CCACVL1_13407 transcript:OMO79810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSTTSVSAPQESSHRDELLMQQCLLFSDTLKDLKSLRNQLYSAAEYFELAYCKEDQKQIVEETLKDYAIKALVNTVDHLGSVAYKVNNFLDEKMGEFRGMDLRLSCMEQRARTCQDFVSLGGLSQQSLILEAPKHHKRYIFPVEDTLNDIAQTRLKSYPTSIHGRLDFHRSKNIGINPAIATETHVESVRDEFFVLRSPQPLPRQGSLLFTSKSMNKKPENRSTSPRRFPLLRSGSVMQRSTSPTPSHTNAKKRYPSEPRRTYSLCSVGEREKGKDMEQYSSKSKRLFKAMLSLRKSKKDITVYKFLDEN >OMO79803 pep supercontig:CCACVL1_1.0:contig10375:22179:25357:-1 gene:CCACVL1_13400 transcript:OMO79803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MAASWRARGSLVVLAIVFFGCLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDNERLIGEAAKNQAAVNPERTIFDVKRLIGRKFDDKEVQKDIKLFPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMILTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRAIGKLRREAERAKRALSSQHQVRVEIESLFDGIDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLEKHQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNDKGRLSQEEIERMVREAEEFAEEDKKVKEKIDARNGLETYVYNMKNQINDKDKLADKLEADEKEKIETAVKEALEWLDDNQSAEKEDYEEKLKEVEAVCNPIITAVYQRSGGAPGGGATEEEDSHDEL >OMO79808 pep supercontig:CCACVL1_1.0:contig10375:52750:54195:1 gene:CCACVL1_13405 transcript:OMO79808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGNNTSGRGISANNTSSSGNSEKPEWLQQYNLLGKIGEGTYGLVFLARIKSPTNRGKCIAIKKFKQSKDGDGVSPTAIREIMLLREISHENVVKLVNVHINHADMSLYLAFDYAEYDLYEIIRHHRDKVNHAINQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGDEQGVVKIADFGLARIYQAPLKPLSENGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGAEAKSTPNPFQLDQLDKIFKILGHPTLEKWPTLANLPHWQSDMQHIQAHKYDNAGLHSVVHLSPKSPAFDLLSKMLEYDPRKRITAAQALEHEYFRIEPMPGRNALVPSQPGEKIVNYPTRPVDQNTDFEGTTSIQPSQPVSGNAVAGNMGGAHAGRNGSVNRPMPPPPMQRMPQGMMAYNFPAQAGVGGGMNPGGISMQRNMAAAQAHQQQQLRRKDPGGMTGYPPQQKSRRL >OMO79819 pep supercontig:CCACVL1_1.0:contig10375:127860:128483:1 gene:CCACVL1_13417 transcript:OMO79819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSLKGVTGECSNSIRVLTDAGEIIDIKGPKLAREVVNDFPGYAVCKRGQASLPLPEDESLVNGGFYYLLPLEKVQKSDGVNEQVKKEEGAVKKIEVDLVNQIEPPKMSSVDFVENLSSGSSSLEVLPSQKNGVWKVKLVINSQQLGDILSEQVNTEALIEKMRMAASSATLTPRRSKSSWVVGWKPALSNVFKAPIENKAPIAV >OMO79809 pep supercontig:CCACVL1_1.0:contig10375:54804:59484:-1 gene:CCACVL1_13406 transcript:OMO79809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MCEPEEDNACPFPPKQQQQQQPQQQQTQGAPNPGNENPKEENDNRVLPSPFLGLLPLDNPDSYCVIKERMTQALRYFKESTEQHVLAQVWAPVKTGGRYVLTTSGQPFVLDPHSSGLNQYRMVSLMYMFSVDGESNGQLGLPGRVFRQKLPEWTPNVQYYSSREYSRLDHALHYNVQGTLALPVFEPSGQLCVGVLELIMTSQKINYAPEVDKVCKALEAVNLKSSDILDHPSNQICNESRQNALAEILEILTVVCETHKIPLAQTWVPCRHRSVLAYGGGLKKSCTSFDGSCMGQVCMSTTDVAFYVVDAHMWGFREACLEHHLQKGQGVAGRAFLSQNSCFCADITRFCKTDYPLVHYARMFGLTGCFAICLRSSYTGDDDYVLEFFLPPAITDSKEQQTLLGAILATMKQHFHSLKVSSGVELEEDEGSIEIIEASSDERLDSRLESIPIPPSVKSPPGPNTSPNRELQLDSSKQQVNVNIEPATDGGNAVASGSKTPVCLPQKKDVKKSERKRGKTEKSISLDVLQQYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLTKLKRVIESVQGADGGYGLTSISTSPLPVAVGSISWPASLNGSNQQNSPNSKPSEPQGEKTDSLTCTIPGNNGQALVEDHLLGGRTLSQEELLPQQNRLSPEANRCKTGSVSREESAGTPTSHGSCQGSPAIESAATKDPLGTIHEQCFKQRSSPELPLGQLNISATFSMPEALVAVEPQEPFGGMLVEDAGSSKDLRNLCPAADLGADERFPESSWTPPPCDLALKQTMGTFTQITPVVTTRQEMRSVTIKATYREDIIRFRISLSSGIDELKEEVAKRLKLEVGTFDIKYLDDDNEWVLIACDADLQECLEVSRSSGSNIIRLSVHDTMANLGSSCESTGEL >OMO79807 pep supercontig:CCACVL1_1.0:contig10375:51542:51634:1 gene:CCACVL1_13404 transcript:OMO79807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIMFIQSQKSFIVGILTSKTASFLHLND >OMO79811 pep supercontig:CCACVL1_1.0:contig10375:72458:73557:1 gene:CCACVL1_13408 transcript:OMO79811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6 MASATSSLTKSPFCPHRLAQFSPLPILSFTHSLKPIPTKSKSLTSIRRDNSTTLTVKAQTLDLSGSLGGFGSDEDQTSDFSGTFFEGGFGSVEDPPSQSLSGMIALEDKEEPQCPPGLRQYESMVVLRPDMSEDERLALTQKYEEILVAGGGMYIEVFNRGVIPLSYSIKKKNKAGETNTYLDGIFLLFTFFTKPESIEALEVALNTDDDVIRSSTFKIRKRKYN >OMO79806 pep supercontig:CCACVL1_1.0:contig10375:44886:51214:1 gene:CCACVL1_13403 transcript:OMO79806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKRKASSSPATEASPSNSKVKRATVSISDKEEEPEPRFLGEPVSEEEARRRWPKRYEGNKKLKGVAARNGKDEDEQIIQAQRHYTKAEVDGVAYDLYDDAHVYAEQGQKYYICRIVEMFQALDGRLYFTAQWYYRAGDTVMKTLGDLIINAKRVFFSEIKDDNPLDCLVERLSIAKISLNVDLEAKKKTIPFKCDYYCDTFYHLNYSSFTNLPTDKATNDSEASSTISSDNTSVDGVKASSKEASQVTLLDLYSGCGAMSTGLCLGANMAGLKLVTRWAVDLNKHACESLRWNHPETEVMNESADDFLALLKEWKRLCDSFSVSKPDMLEKQAFNPSRTEDGGNDCEEGDTEGKVFEVEEILAIRYSHPVTGKRGLHFKVHWKNYGSDDDTWEPRAGLGDCQECLKDFVTRGFQSKILPLPGDVDVICGGPPCQGISGFNRFRNAKNPLQDEKNRQLKVFMEIVEYLKPKYVLMENVVDIVRFAEGYLGRYSLAQLIHLNYQVRMGIMAAGAYGLPQFRMRVFLWGARPTEKLPKYPLPTHDVVVRGVTPHEFEMNTVAYNEGEQVELEKKLFLEDAISDLPPVGNIEDRNEMDYVKHPQTEFQRFIRLRKQDMPGSLLKEMLDEHKLYDHRPLKLNNDDYERVCHIPKQKGACFRDLEGVLVRDNKVEWDPTIKRVYLKSGKPLVPDYAMTFVNGTSSKPFARLWWDETVPTVVTRAEPHNQAIMHPEQDRVLTVRENARLQGFPDYYKLFGPIKERYIQVGNAVAVPVARALGYALGLTYQGQAAGDEPVTKLPERFPNIIAKNSSESSS >OMO79805 pep supercontig:CCACVL1_1.0:contig10375:32617:35289:1 gene:CCACVL1_13402 transcript:OMO79805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MPGLVSVKSPPNAPPLRITVPEQPPHNPPRTPKKPPSPSPSRSKPSPARSAKKPPPDSPNPDDSSLDNPDLGPFLLKLARDTIASGEGPSKALDYAIRASKSFERCAVEGEPSLDLAMSLHVLAAIYCSLGRFDEAVPVLERAIQVPDVSRGPDHALAAFSGHMQLGDTYSMLGQVEKSIGCYEEGLQIQIQALGETDARVGETCRYLAEAHVQAMQFDKAEELCKKTLEIHRAHSEPASLEEAADRRLMALICEAKGDYEAALEHLVLASMAMIANGQENEVAAIDVSIGNIYMSLCRFDEAVFSYQKALTVFKSSKGDNHPSVASVFVRLADLYHRTGKLRESKSYCENALRIFAKPVPGTTAEEIAGGLTEISAIYESVDEPEEALKLLQKAMKLLEDKPGQQSTIAGIEARMGVMFYILVRYEEARNSFDSAVVKLRAIGERKSAFFGVVLNQMGLACVQLFKIDEAAELFEEARAILEQECGPCHQDTLGVYSNLAATYDAMGRVEDAIEILEYVLKLREEKLGIANPDFEDEKSRLAELLKEAGRSRNRKAKSLENLIDPNSRRTKKEGTKKWPGLGFRI >OMO79800 pep supercontig:CCACVL1_1.0:contig10375:8194:9656:1 gene:CCACVL1_13397 transcript:OMO79800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRRALNPAQRNAKNRRDRQRRLEHNLEFERLRMVEANFKALAPLLVGLQDQLMSETDGAVRRLSLTPWQFKEGEPSRAIVEETQEILLSLTGKKEPPHESSHTQKQYSDEFIEEFIEKLGEKEKSRVDFPDFKGLTEELEKSGRLTLPPSLVPIHETLEKSYGDITAESNQSIPLIRDSYILFCSVIKEMNQLQLEHVDLNKLMIWRDAINSGLSIGFKGDFAIDQLKKIARAYFGFKAQTDKELKNLEDRISELKAELNVLEKKHNRIVEEKRNSEMRRLCQRDQEYFQGKPLSTGLFPK >OMO79801 pep supercontig:CCACVL1_1.0:contig10375:10705:16246:-1 gene:CCACVL1_13398 transcript:OMO79801 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MTEVASSVVHEVLGRRAEDVDEPIIDYIINVLADEDFDFGEDGDGAFDAIGELLVAAECVSDFSECRQVCSKLSEKFGKHGLVKPKPTVRSLAMPLRMDDGMEEEAPKKKPEPVDGPLLSERDKMKIERRKRKEERQREAQYQMHLAEMEAARAGMPVVCVNHDNSGGAAVRDIHMENFTVSVGGRDLIVDGSITLSFGRHYGLVGRNGTGKTTFLRYMAMHAIDGIPANCQILHVEQEVVGDDITALQCVLNSDIERTQLLREEAQLVEQQRELDLEDENEKSIKDPNGVPDKDAISQRLEEIYKRLEAIDADSAEARAASILAGLSFSPEMQQRATKTFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLESYLVKWPKTFIVVSHAREFLNTVVTDIIHLQGQQLTAYKGDYDTFEKTRMERIKNQQKAVEANERARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDEIVNDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPILFKNLNFGIDLDSRIAMVGPNGIGKSTILKLIAGELQPSSGTVFRSAKVRIAVFSQHHVDGLDLSSNPLLYMMRCYPGVPEQKLRSHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLVLFQGGILMVSHDEHLISGSVDELWVVSEGRVNPFHGNFQDYKKILQSSS >OMO79815 pep supercontig:CCACVL1_1.0:contig10375:98810:102577:-1 gene:CCACVL1_13412 transcript:OMO79815 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MMANRGGSFSQTNNVSSEGNGGDDLYMELWKLCAGPLVEVPRAKERVYYFPQGHMEQLEASTNQELNQRVPLFNLPSKILCRVVHIQLLAEQETDEVYAQITLMPEPNQPEPTTPDASPPESQRPTVHSFCKVLTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGENGELRVGVRRVARQQSSMPSSVISSQSMHLGVLATASHAVSTQTLFVVYYKPRTSQFIIGLNKYLEALNNKFAVGMRFKMRFEGEDSPERRFSGTIVGVEDFSPHWKGSNWRSLKVQWDEPASIPRPDRVSPWEIEPFAAPIPPTPAQPVVAKNKRPRPPSEVHSLDLSSTASAPWNSGGMHSHDLARRNVASESKRNENHVMWHHMQTEMNSTCGSLSKTQNEGSWLSSPGMSVSQHLFSDSREDSKSVSGWPVLSGFSSPQLNNDSTFDPIEKVKKSETAASCRLFGIELINHSSSSTPLERNPTQLSTMTSSTPEGCGPNTLLPTDSDQKSDISKDSKEKKQEQLQGSAKEIQSRQSSNSTRSRTKVQMQGVAVGRAVDLTMLEGYDQLIDELEEMFDIKGELRPRNKWEIVYTDDEGDMMLVGDDPWLEFCNMVRRIFICSSQDVKKMSTGSKLPMTSIEGEGTVISSDSAEN >OMO79799 pep supercontig:CCACVL1_1.0:contig10375:4429:7211:1 gene:CCACVL1_13396 transcript:OMO79799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFNAFAAFQAESEAPNYQIPDQRGSKRPLTEDQRKAKNVKDKERRLQHRLEFERLQNVEYQFKEILPRLEELQTEAVNRANIINELTEENGMLKNMESVLHKRIGELEKALQTAASASRTKYLTRKKNQMMWSTTAVRPRGHVQLLPDLCISGAPVVQTQFVASRPAPPEEIVLDKETVTNHFTMKVPFLFVASKTAEVSCIRLRGKCWACPEGGLLHYSFQWTPHQSNQESWENLGWSDTMDDVGLIQSPATPHCFARSGGAGAHQSNFPIKFLLWNSVGALNAYRQSVVMELINRLQPAVLVLTETRLSRARAEEIIDKFPFDTHRVTPNLGFVGGMWLLWCSEVVEVELLSFTEQEIHALIKVPQTNQNYFPRGFFTDINFDSLEDQSPSSIDTVPSPNEQVVNSEPVTEGQGIAPPRIGSIRYTDDLVEKFTKKLDAMEKNQVKFSDFKGLKEELEIIGTENYLPPPLAPIDERIKTVFGDITSNSPLSACIVRDCYILLCAVIKEMDEMELDQVNEEKMLFWRDAINSGLFIGLRGNFAMDHLKNIARAYYGLKAWNDGCSDKKDMEMIEQRMSELKIELLSLEEKHAKIMEEQNSEVRKQCINVAEQFWGRPLSTYLFPP >OMO79821 pep supercontig:CCACVL1_1.0:contig10375:131576:133399:-1 gene:CCACVL1_13419 transcript:OMO79821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator p15 MDAETREKIKKTVREILEEADMDEMTEYKIRQLASQKLEMNLSESKYKAYVRHVVNSFLEEQKAKQEEEAADDDNNNNNKEYDDDGDLIICRLSEKRKVTIQEFRGKTLVSIREYYKKDGKELPTSKGISLTEDQWSVFRKNISNIEKAIREMES >OMO79822 pep supercontig:CCACVL1_1.0:contig10375:134231:139919:1 gene:CCACVL1_13420 transcript:OMO79822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFNQSRPDESEQQYRNSGRSFSSNPQWTYSGAYGKGAGGGIAPSPSVCSSSSLSSKDTAKGLIVLKQFLRQRWHRMQKILFSASKSIEVIKKSSDCRFPVTPSDVRFLLYFMRGGNLSICFARSQSCLFPELSQDWKARLKIPPPDTCYKTEINSADASGASSLQFGSISPGFLKGIQTCDEALKCQKSSDVFWPLNDNEANTTTASSKSESKVDSDRVILDLGPPRKPIVWAELLDTVKTMLPSKAWNSRSPDLMPDAVYCAMFVHTLHSLGTLFFNTVNHIDVLISETLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDESVYECECGNMPGFAVYYRYPNSHCVTYEQFIKVGIVLRILILDESMNFLQS >OMO79798 pep supercontig:CCACVL1_1.0:contig10375:3091:3162:1 gene:CCACVL1_13395 transcript:OMO79798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVYMARARAKAYNRPAKKHK >OMO79814 pep supercontig:CCACVL1_1.0:contig10375:97290:97421:1 gene:CCACVL1_13411 transcript:OMO79814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDFGSRMANGMEIHPSNIKGIADCPSPFSIEIREMGCECC >OMO79804 pep supercontig:CCACVL1_1.0:contig10375:27864:30399:1 gene:CCACVL1_13401 transcript:OMO79804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMIELGLWDTMCCFNLVQSLPVAFIMGRNAKTLINLLLLLVYIELFIGRVKGLDHIHSANSTNSATNEGMIKVQTRKLMLEVDIQRDYSPIPNPKHEPGPPRGKTAAFAAGGGS >OMO79813 pep supercontig:CCACVL1_1.0:contig10375:87875:92870:-1 gene:CCACVL1_13410 transcript:OMO79813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MEGGKGLRAASPLHTFEPLRRTALNRIFAAFYTCAIIVLLYRHAQALLNYSTTSLLYFSITLSLLISDLVLAFMWAAAQAFRVRPIRRKEFPENLKRVIKEEDFPGLDVFICTADPFKEPPMNVVNTALSLMAYDYPPEKISVYVSDDGGSALTLFAFTEAAKFASYWLPFCRENNLMERSPEVYFASSNLSWSPEFEKIKMMYENMKAKVEHVVDKGEISDEYIIDNPHREAFKKWTKDFTRTDHPAVIQVILDRSDREDKDVSGNYLPNLIYVSRQKSKTSPHHFKAGALNALLRVSAVMTNAPIVLTQDCDMFSNDPKTPLRLLCYLSDPAIQSNLAYVQFPQRFHDKNKDDIYGCEYKRLFKINPLGFDGLMGPNYVGSGCFFQRRAFFGGPSELVPPEFDELNPNHVVDKPIKSQEILEFAHHVAGCNYENQTKWGYLNGFRYGSLVEDYYTGFRLQCEGWKSLFCNPKRAAFLGDNPITIIDLLGQCKRWCIGLFEVAFSKYNTLIYGSRSMGIFMGLAYSHYAFWPLWCIPVIHYSFLPQLALLNKVCILPKTSEPWFFLYVFLFLGAYGQDFLDFVLDGGSVKRWWNAQRMWMIRGLTCYLFGSVEYLLKSLGISTHGFTLTSKVQDDEQSKRYWQGTFEFGVASPLFVPLTMAAIINLFSFLYGLKEFLVGSNIMDGGLFLQMVLSGLIVLNCLPIYGAIALRNDKGKMPTQVTVIATFLSAALSSSAYLIFNQ >OMO79816 pep supercontig:CCACVL1_1.0:contig10375:113309:116757:-1 gene:CCACVL1_13413 transcript:OMO79816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGISTAACSSGLLFKNREAAGNSNGASLFQYNGLRAVESVQLASTRTKPAPKCRTIRAMASPTVSAPKRETDPKKRIVITGMGLVSVFGSDIDNFYNKLLEGESGISEIDRFDASTYSVRFGGQIRDFSSKGYIDGKNDRRLDDCWRYCLVAGRRALDDANLGSQVLDKLDKTKIGVVVGTGMGGLTVFSNGVEALIQKGYKKITPFFIPYSITNMGSALLAIDTGLMGPNYSISTACATANYCFYAAANHIRRGEADIMVAGGTEAAIMPSGIGGFIACRALSQRNNDPKRASRPWDKDRDGFVMGEGAGVLIMESLDHAMKRGANIIAEYLGGAVTCDAHHMTDPRSDGLGVSSCIAKSLEDAGVSPEEVNYINAHATSTLAGDLAEVNAIKKVFKDTSEIKMNGTKSMIGHGLGAAGGLEAIATIKAITTGWLHPTINQDNLEPDVTIDTVPNVKKQHQVNVAISNSFGFGGHNSVVVFAPFKP >OMO73582 pep supercontig:CCACVL1_1.0:contig11213:3359:6863:1 gene:CCACVL1_17209 transcript:OMO73582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLANMNIISGATATTTTAATATATTITTTNQLFSDEATHGVTSLDDFPGMSPVLDDIHKMSCQMSCNHLGDVEKTLEELVNIVERYSYGAKVVVVVAAFALTFGEFWLLVSHRDDGPFAKSLDLLKGHSLEPDFKVLNKFLKALMDVVHTSLSFLEPPVLNIPDNAPSMADANKFFPQAVSHIIRCVVQLSTILGKKVSYRKGPHRSHPLDQNEQQQHKNIWDLFNITKDHIQIIARFIGIGYDQEIPEKLRNKHVLFLISDLDISIEEINILKLYQRDRSDAYEIIWLPIVDGLSYEKTKFTELEAKMTWTHVVKPCKIEAAVIKYIKREWHFIKNPIAVSLSVKGEVTCLNALPMLLTWGNSAFPFTIENERELWREVDEQSGCKIDLFINANIDRNIASWSRSTETLVCLFGGENMTWIQEFTAKVEQVTKSASVSVKLAYMGKNKGKGLIRRNKLGQEIHVIESEFQLQFWRRLESIVYSKIQQGKSSKTDRVMREVMKVLSYDSSGGEWAIFTMGSEAMVTTDGKMAMKIMSEYDYWKSQAVGFNFLQGLRNHLTKMPLDHGCIHVHMPVLGHTPGIVTCPECFKQMEMYYTYRCCV >OMO73583 pep supercontig:CCACVL1_1.0:contig11213:13178:13291:-1 gene:CCACVL1_17211 transcript:OMO73583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDAFVYKYSAFLVSEAKFAGITNFDASLQEISISV >OMO73584 pep supercontig:CCACVL1_1.0:contig11213:16392:28456:-1 gene:CCACVL1_17212 transcript:OMO73584 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dihydroxyacetone kinase (DakA) TCAKVGLARSKLKGVPAVPAKSVNEAGSPSSIKPFSSSVRMKTVSIMQSDKSVNMKSDNMKSNKSINIKSKKGILKGILKSVFKGMKKSI >OMO87859 pep supercontig:CCACVL1_1.0:contig09164:26116:28304:-1 gene:CCACVL1_08721 transcript:OMO87859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISTNGATTSSAAVGGGGGLEAKKSKKPKYSRFTQQELPACKPIVTPGLVITTFTIIGIIFIPMGLVSLSASEHVVEIVDRYDEVCTSNFHNKVAYIQSVSTNKSCTRTLIVPKLMKSPVFIYYQLDSFYQNHRRYVKSRSDKQLRNKAAENETTTCDPVARSQPDRAPIVPCGLIAWTLFNDTYGFSVKDKKIEVNKKNIAWDSDKGHKFGSNVYPKNFQTGGLIGGAKLDPSIPLSEQEDLIVWMRTAALPNFRKLYGRIEEDLQPNEEITVVIQNNYNTYSFGGRKELVLSTTSWIGGKNDFLGIAYITVGGLCLFFAVTFILLYVIKPRPLGDPSYLSWNKSPSGHPN >OMO87860 pep supercontig:CCACVL1_1.0:contig09164:31657:33173:1 gene:CCACVL1_08722 transcript:OMO87860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MGWFQLIVLLILGLLGSPISTQAQLQLNFYSKSCPKAEKIVQDFVHQHIFNAPSLAAAFLRMHFHDCFVRGCDASILLNSTSSNQAEKNSPPNLTVRGFDFIERVKSLLEFECPGIVSCADIIALVARDYVFATGGPYWRVPTGRRDGVISRSSEALTNIPPPFANFTTLLTLFSNQGLDLKDLVLLSGAHTIGISHCSSFSNRLYNFTGVGDQDPSLDSEYAANLKANKCKTPNDNTTKVEMDPGSRKSFDLSYYTLLLKRRGLFESDAALTTNPTSLTFIKQLVEGSLQNFFAEFALSMEKMGRINVKTGPQGEIRKHCAVIN >OMO87861 pep supercontig:CCACVL1_1.0:contig09164:33897:34712:1 gene:CCACVL1_08723 transcript:OMO87861 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperone MAILSDYNEDQENNNCCSCPHPPSGLSFNGVLDPSNPMGFLESALNFVSENSDMFKKGSPERKISSLVKNIRERIVKEEEEKEAAKKLEEEKKNKAMNKKLTPNKANGLDMETHSWGQSLQEVTINIPVPEGTKSRDVLCEIKMKSLKVGLKGQTPIIQGELFESVKPNDSYWMMEDQKVISVLLTKCDKMTWWKSLVKGGPEIDTQKAEPEPSKLSDLEGETRSAVEKMMFDQRQKQMGLPTSQEIENQEMMKKFMAQNPNFDFSNAKMM >OMO87858 pep supercontig:CCACVL1_1.0:contig09164:8332:18617:-1 gene:CCACVL1_08720 transcript:OMO87858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYPSKFCRLVIKYVTEDEIAKHVKRCETENEERKKKEEERGGGLEVSKRKKEKL >OMO71444 pep supercontig:CCACVL1_1.0:contig11634:5064:5633:1 gene:CCACVL1_18216 transcript:OMO71444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLTSSNIISADFISDAPRKLPSTTTTMMSSTTKAEGKKGSRRCRGFTRRQG >OMP11795 pep supercontig:CCACVL1_1.0:contig00861:8450:8518:1 gene:CCACVL1_00258 transcript:OMP11795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWPVLYCVTDQPLSIVFQGG >OMO76375 pep supercontig:CCACVL1_1.0:contig10906:46349:51521:1 gene:CCACVL1_15727 transcript:OMO76375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MESRLLSRASTITGLPQLRPQLSREKVSVSFASAKPIGAVAEGGNLIWGRQLRPALLLESSPVSKREILKPVFAAASSGGDSAGEAKVGFLEKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLISWAVGLPKRAPIDSNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQSIPITLWLSLAPVVIGVSMASLTELSFNWVGFISAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALFVCIPPAIIFEGPQLLKHGFNDAIAKVGMTKFISDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSILVFGNKISTQTGIGTAIAIAGVACYSFIKARMEEEKRTDMDTVQMSMLIFPSLLSSSAFPQPSSSRVRNY >OMO76369 pep supercontig:CCACVL1_1.0:contig10906:29921:30103:-1 gene:CCACVL1_15721 transcript:OMO76369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRSTRLTTLALCFYIVLASYLGSRKTNSEVRVPYWLGSRLSGTKGGRVGVVVAGKLAI >OMO76363 pep supercontig:CCACVL1_1.0:contig10906:17181:18372:1 gene:CCACVL1_15715 transcript:OMO76363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MATTGQPKQKPQEHEQQQQGQEQKKATEKRKPVFVKVDQLKPGTNGHTLIAKVLSSNMVLQKGRAASQHLRQTRIAECLIGDETGTILFTARNDQVDLMNPGATVILRNAKIDMFKGSMRLAVDKWGRIEVTNPANFVVKEDNNLSLVEYELVNVVEE >OMO76368 pep supercontig:CCACVL1_1.0:contig10906:27467:28318:-1 gene:CCACVL1_15720 transcript:OMO76368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDEEEFAQSQAMATEGRI >OMO76379 pep supercontig:CCACVL1_1.0:contig10906:77741:84425:-1 gene:CCACVL1_15731 transcript:OMO76379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPCCSCFCFIKSKKSKHKDKEAKSD >OMO76361 pep supercontig:CCACVL1_1.0:contig10906:2813:6034:1 gene:CCACVL1_15713 transcript:OMO76361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANLYQLDPQSAADKAVSVIGLGYDLCKDIRLSACRPGPSGSRLIELDSAVSRDLVFPGGVVVKNVPNSIKCDKGERTRFRSDVLSFNQMSEKFNQDLSLSGKIPSGLFNAMFDFKGCWQKDASSTKSLALDGCFITLYNVELERSHLTLSERVKQEVPTTWDPAALAEFIEKYGTHVIVGVKMGGKDVIHTKQLQNSNLQPNEVQKTLKQLADERFSENVNAPEISEKPKEEPYIPWDLQGVFAASIRPPVVMRSKNNDLVNVCIRRGGIDLGQSHSQWLSTISQAPNVISMSFVPITSLLSGARGNGFLSHAVNLYLRYKPPIEELGVFLEFQLPRQWAPVYGDLPLSLKRRKQASPSLQFSFMGPKLYVNTMRVDTGSRPVTGIRLYLEGKKSDHLAIHLQHLSTLPTILQLSDDYSYEPTEEPERGYFEPVKWSIFSHVCTAPVQHNGTRFDDTASIVTKAWFEVKVIGMKKVLFLRLGFSTVAFARTRRSEWDGPLTLSRKSGVFSMLISTRFSTGLNPPEKPQKVDLNSAVFPGGPPTPPTAPKMTNFVNTKEMIRGPEDAPGYWVITGAKLCVEGGRISIKAKYSLLTILSEESMMLM >OMO76366 pep supercontig:CCACVL1_1.0:contig10906:23361:23450:1 gene:CCACVL1_15718 transcript:OMO76366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGKATTLTKNKEDATLRFIQGAKNGKG >OMO76367 pep supercontig:CCACVL1_1.0:contig10906:25192:25598:-1 gene:CCACVL1_15719 transcript:OMO76367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAARSVLRSAASRATAATRLASGPRSIPRPACSPFRISKQSPLSRMISRSPVEMSCCVETLLPYHTATASALLTSMLSVSRRSSGWTPEGISMILSGLSSC >OMO76377 pep supercontig:CCACVL1_1.0:contig10906:64127:65584:-1 gene:CCACVL1_15729 transcript:OMO76377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKILQVDKNAKDDDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPDAGGPGGGATFFQTGDGPNVFRFNPRNADDIFAEFFGFSSPFGGMGGGGPGGGMRGGSRSFGGMFGGDDIFSSFGEGRPMNQAPRKAPPIEKTLPCSLEELYKGTTKKMKISREIADASGKTIPVEEILTIDIKPGWKKGTKITFPEKGNEQPNVVPADLVFIIDEKPHSVFTREGNDLVLTQKISLAEALTGYTVHLTTLDGRSLTVPITNVISPNYEEVVPREGMPIPKDPSKRGNLRIKFNIKFPTRLTAEQKSGIKKLLAS >OMO76365 pep supercontig:CCACVL1_1.0:contig10906:21522:22689:-1 gene:CCACVL1_15717 transcript:OMO76365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRLSFLFLLIVSIQLCAMITNVQSNKLYQLCSFSSNFTVNSTYGNNLNHLLSTITSDTQINHGFYNLSYGQNPDKVNAIGLCRGDVKPDSCRSCITNSTVEFTKLCPTQKRAIVWYDECMLRTQAASGDSLRKFATGNANSSDSETIYASAQCTPDLSRVECGANSGLQVMGIVATVIPSLILHYFVF >OMO76371 pep supercontig:CCACVL1_1.0:contig10906:35351:36244:-1 gene:CCACVL1_15723 transcript:OMO76371 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein E6-like protein MASSPKLISILFLFALFSMQIHAREFFSKIPSVNTNEKQQETFIPETQKTTSLPNKEEQEPKFIPETQNGYGLYGHESGQLPPSTTTTTTTTKETYEPYVTPVKYHPDEPYNSIPESDINKNKNTLYQTNNNAYEQTEQSTNENQNNNNYFYSSSNGYNKNTAKENQNNNNNNYFYSSSNGYNKNNGYEQTEQQNLGEAMFTEKGWSTEENQNNNNYYNTNSNNGERQGMSDTRFLENGKYYYDVHNDKNYYPTNQFDNSRGFASRNTEFNENRYNNMGRFNQNQEEFEESDEEFEP >OMO76376 pep supercontig:CCACVL1_1.0:contig10906:55229:63437:1 gene:CCACVL1_15728 transcript:OMO76376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGSEGENNNQIKGPNKNVNSSNDGSAKPKRQMKTPYQLEALEKAYALETYPSEATRAALSEKLGLSDRQLQMWFCHRRLKEKKDTPPKRPRKGPALPPESPIDDVRAGMEPDYGSGSGSGSSPYMDSRKLGGSSSRGMVEDMPVGRRYYESQQSIMELRAIACVEAQLGEPLRDDGPMLGMEFDPLPPDAFGAIPEPQKRSGHPYESKVYDRHDGCSSKAASRVFHEYQFLPEHSSHRSDAYGQVTQSHFHESPVDVAKARAPPFVHGEEPLSRVHGIQGHGSRVRVLPQQDKTAIIPLSSQVADDSLAERESFSNGRINAQSIGHPALGPEDSYMLSAGQTLNNDADLRIDRKRKAEKRRQKEELRREKEAERRKLAMEKATARKIAKESMDLIEDEQLELMELAAASKGLQSIIHLDHESLQNLDSFRDSLSLFPPKSVQLKRPFAIQPWIDSEENVGNLLMAWRFLINFADVLRLWPFTLDEFVQAFHDYDSRLLGEIHIALLKSIIKDIEDVARTPSTGLGMNQYYTGNPEGGHPQIVEGAYSWGFDIRNWQRHLNPLTWPEIFRQLAISAGFGPQLKKRSAAWTYMGDNDEGKGCEDVVSTLRNGLAAENAFTLMREKGLLLPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDAKLFERIAPSTYCVRPVYRKDPADAEAILAAARKKIRQFENGFLGGEDVDEVERDEVERDDVERDEDSDCEVDEDPEVDDIATPSNANKDSDYPKDEVNACSASEKVLASTGDALNVTSEFDKDSSSSPSTTVKDANGTSKTGQYVAREVIGTGNPDQQNIEIDESKSGESWIQGLSEGEYSHLSVEERLNALVTLIGIANEGNSIRAVLEDRLEAANALKKQMWAEAQLDKSRLKEETIIKMDFPPTVGIKTEAQMPNSAVEGSQSPFPAADNKNDEASPSIPEDQKPLLCSQNVQTDLNSYPAERALIQEASMGPDNFSTQQHAYASKRSRSQLKSYIAHRAEEMYVYRSLPLGQDRRRNRYWQFVASASKNDPCSDRIFVELHDGNWRLIDSEEAFDALLTSLDTRGIRESHLRIMLQKIETYFKENVRRDLRARATGRSGSCSENEASEIDSSSDFPASFDSPNSAICGPNSDASETLPSFKIQLGRNENEKKSALKRYQDFQRWMWKECYNSSTLCALKYGKKRCSQLLAVCDACLCSYIPEVMHCWYCHQTFGSINNSFSFAEHEIQCKERRKLDTKDQHALDYSLPPGISLLKSLCALVEVSVPPEALESIWTEGHRKIWGRKLNASSSVDDLLKVLTHLESAIKRDYLSSNFETTKELLGLGLHSESDATTESVLPWIPQTIAAVALRLLELDASIMYVKQEKVEPSENKEARAYIKLPSRTSLPVKNKELELKEVERDDPMKEENFADLSNNSRRNNYKRGRGGRELGSGRKLQKRASGSKYDYGKQSAGDNNLSLHLKPQSQRANGQSSGRGRRTVRKRAERRVTDNTMVARMVDVIKPEAIAVRDLDEGWRSEKMRMMEMVNPPESNSAEEESDDNAQGEGYEQSNWEVNYNGASNGWNEEPIEASEEEDDAYEDDNVIEQLGEEDSDEDLELSDASDIEANNAGNDDGSDSAVSDDYSG >OMO76378 pep supercontig:CCACVL1_1.0:contig10906:66787:77090:1 gene:CCACVL1_15730 transcript:OMO76378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 35 MATHSFSLLKPNPSFSPSLFPPFPFPAKNLFSLPILYTHTHRANLTVSSSAQPPPATSEVTTSTSVTVETASTEDHDNSTVFVIRARSRIGLLQVITRVFNVLGLRVEKATINFEGDYFIKTFFVTDSRGNKIDDRENLERIEKALMEAIDGDDDAAVSVASAGRGIVVRRPPRLGFGERKAKAERMFELMDGFLKNDPISLQKDILHHVEYTVARSRFSFDDFEAYQALAHSVRDRLIERWHDTQMHFKRKDPKRIYFLSLEFLMGRSLSNSVINLGIRDQYADALSQLGFEFEVLAEQEGDAALGNGGLARLSACQMESLATLDYPAVGYGLRYQYGLFRQVIVDGFQHEQPDYWLNFGNPWEIERVHVTYPVKFYGTVMEESLQEEKCKVWVPGEVVEAVAYDNPIPGYETRNTINLRLWAAKPSDQHNMESYNTGDYIDAVVNRQRAENISSILYPDDRSYQGKELRLKQQYFFVSASVQDIIRRFKDTHSNFDDFPEKVALHLNDTHPSLAIAEVMRVLVDEEHLGWNRAWDIICKLFSFTTHTVSPEGLEKIPVDLLGSLLPRHLQIIYDINFNFVEELKNRIGLDYDRLARMSIVEEGAVKSIRMANLSILCSHSVNGVSRVHSEMLKKKLFKDFYELWPDKFQYKTNGATQRRWIVVSNPSLCALISKWLGTEAWVRDVDLLIGLREHAANLELHQEWKMVKKVNKIRLAEYIEAMSGLKVSLDAMFDVQTKRIHEYKRQLLNILGIIHRYDCIKNMDNKDRMKVVPRVCIVGGKAAPGYETAKKIIKLCHAVAEKINNDRDVGDLLKLVFIPDYNVSVAELVIPGADLSQHISTAGHEASGTGSMKFLMNGCLLLATEDGSTTEIMEEIGEDNIFLFGAKVHEVSALREKGSALRVPLQFSRVVRMVRDGYFGFKDYFKSLCDDIEGGNDYYLLGADFTSYVEAQAAADKAFVDQERWTQMSILCTAGCFFFALASHHQQTDDHNLLIRELHDAKLKISRLESVLEETIQNINAKTLYLKEREKLLDEMAQKITNLQSALSSLEDDSFLADERLKSLEEEVRQLWAISRKNNFELHVLESKAQEAEDKLEVVTSQVEKMAEIVTEQWIQIQHLEQALQITKMRALQAQRERRMKCTFFKFIRDLSERHLPKMFRALDSYLFGKGSTIKYYMSQALQQVRRFWSAIKKYHHELQGFIKQEMRRTEFTAALVNDELVFFLASALITFPILSAWMLLDHNVPFKNTLVINSISLDAS >OMO76364 pep supercontig:CCACVL1_1.0:contig10906:19643:20777:-1 gene:CCACVL1_15716 transcript:OMO76364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNCTKSVQRIATSLPIAVLDNLITQAAASGDSFATGEASASKNETIYALAQCTPDLSGVACKECLSKAAQSILKGWVESASFLTPSCIIRYDNHVFYDLSVKLPPPYLSPESPPSNPPILPPAATPLSPLPIDAPPPSPSVDEPPSPAVFDESSPPSVPPPVEELPPPPSEFEAPLLPPQLAPEPEPILDYPDDFGKGNMNSKFQALATVIPLVILQLFAF >OMO76372 pep supercontig:CCACVL1_1.0:contig10906:39920:40390:-1 gene:CCACVL1_15724 transcript:OMO76372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTTWEQKLHALTHILTSPTISPPLHSQFFISKQIPCYLNWDYPPILCNKPNSDTFPSLNLKWGISLFLKRVSTLGFPETSWRSKCPYHQPPPLILAKGVEEAGWSDDQRREYARKRLRRKPLYSDVHPFIPIFVPNLLLFSLLFVNPFPLDEDS >OMO76374 pep supercontig:CCACVL1_1.0:contig10906:43201:44661:-1 gene:CCACVL1_15726 transcript:OMO76374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKVAFKWSRNITPSQVMHLIKKERNIDKALAIFDSATAEYANGFRHDQNTFGVMISRLVSANKFGPAEDLLDRMRVEKCDIKEDVFLSICRGYGRIHRPLDAIRVFDKMKEFQSEPSQKSYITVFDILVEENQLKVALRFYRHMRDVGVPTSVASLNILIKALCKNSGTMNSALHIFREMPNRGFTPDSYTYGTLINGFCRFGKITEAKELFEEMKARDCSPSVVTYSSLIHGLCQSKNVDEAMGLLEEMKSNSIEPNVFTYSSLMDGLCKDERSSEAMELLETMISKRCKPNTITYSTIINGLCKEGKLHEAVEILDRMKLQGLQPDAGLYGKIISGFCDIDKFREAANFLDEMVLGRTLPNRLTWSIHVRIHNMVVRGLCTKSDLSQAFQLYLSMRTRGISVEVETFHTLMKSFCKKGDLQKAARIVDEMVADGCIPEEGSWTILLGAFWDQRMVQEALEVFQAELMSEFTEADVEMHGKAL >OMO76362 pep supercontig:CCACVL1_1.0:contig10906:12570:15162:-1 gene:CCACVL1_15714 transcript:OMO76362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought-responsive family protein MDSDFWTSRLAAAKRQYTLQHHHQSSHLDRLSIDEFEVEDEVRPDFPCPYCYEDFDIASLCSHLEDEHPCESRVTDAADTNIQVELLGKFPLTKDYLQRRRRLRRVAIPNSQALSLLGRDLREAHLQVLLGGSGYRSSSANVSNAATDSFLSSLILNFPASEAEEISKSVVTSTEDTAPKNVAPAPMWKSSFDPSLSNEEREKRIRQATGRAGFVQDLVLSTLLND >OMO76370 pep supercontig:CCACVL1_1.0:contig10906:30647:30715:-1 gene:CCACVL1_15722 transcript:OMO76370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPGADGRAPICFGPSGKSMD >OMO76373 pep supercontig:CCACVL1_1.0:contig10906:42036:42674:1 gene:CCACVL1_15725 transcript:OMO76373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKIQGEESLEHAEQKVPLLLKTLPVSGTEEKSLVQKAISQTFQSTAHLANLLPTGTVLAFQLLSPIFSNQGNCDSVGRFMTAGLVLLCGLSCFLVSFTDSFRDKDGNVFYGLATFKGLWVIDGSVTLPSEVAANYKIRFIDFMHAFMSILVFAAVALFDQNVVSCFFPTPSAQAQEILTAMPVGIGVFCSMLFVVFPTTRHGIGFPLSAN >OMO59783 pep supercontig:CCACVL1_1.0:contig13883:22645:22725:-1 gene:CCACVL1_24610 transcript:OMO59783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRTPVKQSRFPDHHRELVEKKGER >OMO59784 pep supercontig:CCACVL1_1.0:contig13883:37908:46782:-1 gene:CCACVL1_24611 transcript:OMO59784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEPQFSKEAEEIKGRNQMGNTFGLEDPDSGSYRSNTHCRRWWRRRHVGGIRGGGFGEPLGFNKEEDSL >OMO84690 pep supercontig:CCACVL1_1.0:contig09723:784:4096:-1 gene:CCACVL1_10711 transcript:OMO84690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MANPIKMAIGDLVITFMWVFCSANFGLLTSMIATAIGVQTISWAPIVIITVIIFVFVFIFNIIAGFLGGASFNPTGTASFYAAGVGDDTLISMALRFPAQAAGAVGGALAIMEVMPKQYKHMIVGPALKVDMHTGAIAEGVLTFAITFAVLWILLKGPQNEIFKTWLLAIATVAFVMSGAAYTGPSMNPANAFGWAYLDNWHNTWDQFYVYWICPFIGAILAAWVFRLFFPPRPAKKVKKA >OMO60074 pep supercontig:CCACVL1_1.0:contig13794:24336:33391:-1 gene:CCACVL1_24417 transcript:OMO60074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MALSRVMKPCQALSKSTKPVKPNSLSPVYYETLFSSTSQQKTISTRPQNNTFLYADQINVSNNFISILTQQPFSPNNPELQNLAPKLSPKVVEAVLNNLKSWRIAYVFFTWVSNQHGYKHNMYTYNAMASILSRARQNALLKALALDVVNSRCSMNPGALGFLIRCLGSVGLVDEANNLFDQVKGVGCCVPNIYSYNCLLEVLSKSGLTDLVEIRLEEMRGSGFKLDKFTLTAVLQVYCNAGKFDKAVSVFNEMFERGWVDEHVFSILVVTFSKLREVDKAIELIDSMEEHSVRLNKKTCFILIHGFVRESRMDEALCLYDKMRKLGFYPSISLFDVIIGALCKNNELQKALSLYREMKELEINPDVGILAKLISSFPEEGELEGLLKECWEYMNSRDKNLLYNSVLEGLVHNGSIDIAYNLLQAIMGYSSNGDSVIVKYFRGQKEIIILNTKSFTIAINGLLDADKLDAALSLFREMAQFGCHQTLLLYNNLIDGLCKLNRLEESYELLRQMKDVGLEPTQFTHNCIYGCLCWRVDVEGAVDLVRKMRLYGHEPWVKHSTLLVKELCKHENAVEAGKFLTDMVEVGFLPDIITYSAAMDGLIQTDSLDKGLELFQEICARGFCPDVICYNILIKALCKAQRVAEAEHLLNEMVMKGLVPTVVTYNYLIDGWCKNGEIDQAMLCLSKMFGKEREANVITYSTLVDGLCNFGRPDDALKLWNEMGNKGCAPNRIAYMVLINGLCKCARSSEGLVYLNEMKQKGMKPDCYVYLALINGLLSETNLPTVFDMLKEMVDAGSLPDPLHKNFFIVRNVVCKLLEDARTSSGIKDLIAEGKIPDVSVLEGAEAVSFGDPDWENMGIFIQVVYIRRSQIGGVRLGRNPNMSTEQKQQEQNPNGNVDDNHNLNSESEHEEAEEEKQQQEEQAGADAGAEAQRQSSSRTPFTNLSQVDADLALARTLQEQERAYMMLRMNNDGSDYGSWEAGSYLHDDDDDDFGDPHDHDDTDGDDEEEYDGSDADDDEDAFDVHAHAENEDDDNYPSLEFDPAVFSSDEAYARALQDAEEREMAARLLALAGINDRAIITLEDHGGHSQDTWEEVDPDELSYEELLALGEVVGTESRGLSADSLASLPSLTYKTGSSQTGSNDSCVICRVDYEDGDSLTVLTCKHSYHPECINNWLKINKVCPVCSAEQIHALVVTCGLTHDDSISSKFLSFAALSDRGNIDYAYRVFSRLSTPRVFIWNTIIRGYSNSKNPNKSISVFVEMLRAGVFPDYLTYPFLAKASARLLKPELGGSIHGHALKTGFELDKFINNSLIHMYASCRDIVYARRVFDEMPIRNIVSWNAMLDGYAKCGDMALARQIFDVMPQKDVVSWSSLIDGYVKSGDHKEALAVFKGMQDLGPKANEVTMVSVLCACAHLGALHQGRLMYRYVMDNGLPMTLVLRTSLVDMYAKCGAIEEALDVFGGASNGKSDVLLWNVMIGGLATHGLVKESLELFTEMQIVGIAPDEITYLCVLSACAHGGLVKEAWYFFECLDKHGVTPKSEHYACMVDVLARAGQVAEAYQFLCEMPMEPTASLLGALLNGCLIYRESDIAEIVGRKLIELDPDHDGRYIGLSNVYAAVKRWKEARIMREAMERRGVKKSAGFSSVEMSGALHSFIAHDESHPDSEEIYRLVKFIVSQMKLDVHKDNQEFLLYEMTCT >OMO60073 pep supercontig:CCACVL1_1.0:contig13794:8320:23654:1 gene:CCACVL1_24416 transcript:OMO60073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MVRLRKTAKPERAPGIFEGLPPSDGEVMGSNEDCGPAEEQVEGGNGKGQNQQDCETAGEKVGELEGKEEGIEGKGKRSGDYSEGEDGGVAVKKPAKRGRKKKQVTVSLERGKKEIEEEINVEGSSGFVAAKEGESGEKLEENEVQSKGFVEIAKGDDGACMKVDADSVKVKREIDVEGSSGFVAAKEGENGEKLEENGVQSRGFVEIPEGNDGACMKVDAASKRVKREIAEDINVEGSCGFVAPKEGESGEKLVENEVQSKGFVENPETADGDDGASMKEDANSGSANNGQGNSGDVLKRRLRAGVRKTSYADVLEDEYDDEHEVFTKKKRGRKGRWKREVLKSEGGEDGDNESENNGVPAKKRGRRGRPKKKVSEVEGTEGRDVNKEGDGEKQGGVLDADDGKKRGRRGRKRDGKMDKEVLGNEKSIGKQEDESLGMSTKSKYSLRRVPKKEEEPVPHSNKKRDPKWIAEESLMCHQCQRNDKGRVVRCQLCKRKRYCIPCLNNWYPNMSEDAIADACPFCRNNCNCKACLRMTGLSKTLKLEFSDDERVCHSRYLLQALLPYVKQFSQEQLKEKVIEAKIQGVLPEEIKLQQAVCPQDERVYCNNCRTSIVDFHRSCPHCNYDLCLTCCREIRDGHLQGGHKGVFMEYAYRGIDYLHGELQCSMPSEVGKPLDSPEETYCKEHDFATSRWKANENGSIPCAPEDLGGCGNGLLELRCLFKEDDVVQLAEKAEVIAKALKLGNVLESPKQQCACYNSIGEIDLCTNKLRKAASRDDAKDNYLYCPRAKDIQSGDLVHFQRHWANGEPVIVNDVLENTFGLSWEPMVMWRAFRQITNTKHDIQLDVKAIDCLDWSEVMFNIHQFFKGYTEGFFDAKGWPQILKLKDWPPSNEFEKALPRHHAEFLCCLPYKEYTHPQSGLLNMATKLPQESLKPDLGPKSYIAYGVAQELGRGDSVTRLHCDMSDAVNVLTHTAEVKLKPDKLTMVKLKKMHHVQDQQELFGMSSKNGSSSETSGKKIEEVEGVEGGAVWDIFRRQDVPKLQEYLKKHFREFRYVHCCPVSQVVHPIHDQSFFLTMEHKAKLKKEYGIEPWTFVQKLGEAVFIPAGCPHQVRNIKSCIKVALDFVSPENVDECVRLTEEFRVLPHDHRAKEDKLEIGLIIFSSTGKMCQYCSEPYRMEQIIERYQKVTGTRIPEHDNREHLFNELAVLRKETQRLQLSMRRYTGEDMTSIPYGELDQLEQELERSVIKVRERKNELLQQQLDNLRRKERILEEENSNMYRWIQEHRAAIEFQQQQGGMEAKPVEQQQVLDQFPFYGEPSSVLQLATMNSQPFQSYQLQLAQPNLQDSNV >OMO60075 pep supercontig:CCACVL1_1.0:contig13794:34050:37636:-1 gene:CCACVL1_24418 transcript:OMO60075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MGDSNSTDGDSVIVKYFRAPKPPSKICWLVKKLGHGGKSKNSYNRLAPAADQYCRRGHVVMYVGEEGKRYQVPIKFLSCPSFIKQVLMQSSQEEDDDDLDPKIDGPIMIRHCTSETFEQILKATKNHT >OMO60072 pep supercontig:CCACVL1_1.0:contig13794:2763:6829:1 gene:CCACVL1_24415 transcript:OMO60072 gene_biotype:protein_coding transcript_biotype:protein_coding description:CoA-binding protein MARQASRLMKSLSSKISPHKPQIFPLSSSSSLTQSRSFSAAPAPSPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVRVKAALNQQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTQVGLGQSTCVGIGGDPFNGTNFVDCMTKFLADPQTEGIVLIGEIGGTAEEDAAALIKESGTEKPIVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLREAGVTVVESPAKIGAAMLDVFKQRGLVD >OMO60076 pep supercontig:CCACVL1_1.0:contig13794:51949:52921:1 gene:CCACVL1_24419 transcript:OMO60076 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAR1-related protein MSSHNPRNGGTDYHEENGDSSGGDKNNGVQADCIEQEVHVLDVSNDGCDNVEPHTGMVFHRGSKALLCKFWSTDKLFRLRPTQKVNCPAAKNYNSVVVEAGGYNKMAFTQQDCKNSLLKERCLQLGTSDGVAVHEFLTESMRNDKDFFYLMDLDEESRVCSILWVDARARAAYESFGDVVTFDTTYRTNKYGIPFAPFVGVNHHGSSILLGCGLLANEKVEGAWDVGPGLCKG >OMO75781 pep supercontig:CCACVL1_1.0:contig10981:64033:79378:-1 gene:CCACVL1_16043 transcript:OMO75781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein MQNPKLRGLLLGFSSTNARANALFDSLLLLLPNSSSSSLNARFCLPKRRRFCGYAAEQFSDDEYECDFESHKASSSVANIDEWKWKLSMLLRSENDQEIVSKDKRDRRDYEQISNLAKRMGLYSEMYGKVVVASKVPLPNYRPDLDDRRPQREVVIPLGLQRRVEGLLQEYLDRQQLNAGNVRENSEGANSMDRAEDVNPNEDPDYFLDSSVMEKVLQRRSLRLRNMQRAWQESPEGKRMMEFRKSLPAFKEKERLLQAIARNQVIVISGETGCGKTTQLPQYILESEIETGRGAFCSIICTQPRRISAMAVADRVSAERGEPLGETVGYKVRLEGMKGKNTHLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLLRRPDLRLILMSATLNAELFSDYFGGAPKIHIPGFTFPVRAHFLEDVLEFTGYKLTSYNQIDDYGQDKMWKTQKQLAPRKRKNQITSLVEDALNKSTFENYSSRTQDSLACWMPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLLLTCHGSMATSEQRLIFEKPPPNIRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPRCVYEAFSEYQLPELLRTPLNSLCLQIKSLQVESIGEFLSSALQAPEPLAVQNAVDFLKMIGALEDENENLTNLGKFLSMLPVDPKLGKMLIMGAIFRCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEIEGSAYEYCWRNFLSAQTLQAIHSLRKQFNFILREAGLLDSDVGSNNKLSHNQSLVRAVICSGLFPGIASVVHRETSMSFKTMDDGQVLLYANSVNARYQTIPYPWLVFGEKVKVNTVFIRDSTGVSDSILMLFGGALTRGVQAGHLKMLQGYVDFFMDSSLAECYLKLKEELDRLTQKKLQDPNIDIHKEGKYLMLAVQELVSGDLCEGRFVFGRESKKPKESTDNNRFTKDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPKKNKQLAEKDAAIEALAWLTHTSDNNREEDESRLDVTDNMLKLLGKRRRSKRK >OMO75779 pep supercontig:CCACVL1_1.0:contig10981:39865:39951:1 gene:CCACVL1_16041 transcript:OMO75779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGNVNNKHFVLALKVRVEGFLDAGIR >OMO75777 pep supercontig:CCACVL1_1.0:contig10981:23747:29353:-1 gene:CCACVL1_16039 transcript:OMO75777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MLSTIQTPRWLSHLPSRGHTKSCSLYTLASGQPVTTTSLPAADQSQIFSIRESLLSRHLTAAEVAQSYLSRLKQTEPQIKSFLHVSEPEKVLKQAQEIDKKISRNEEVGPLAGTLVAVKDNICTADMPSTGGSHILEGYRPPFDATAVKRLKDLGAIVVGKTNLDEFGMGSSTEASGFQVTSNPWDLSRVPGGSSGGSAAAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVIGCFGSSVADTGILLHAISGQDARDATSSKREVPDLISQFISPSSLKSRPLEGLKVGLIRETVDVGVDTGVKTAIQGAAAHLEELGCILREVSLPSFSLGLPAYYILASSESSSNLSRYDGVRYGNQASSDELNSLYEDSRAKGFGPEVKRRILMGTYALSAGYYDAYYKRAQQVRTIIRKSFKAALDENDILISPAAPSAAYRIGEKKNDPLAMYAGDIMTVNVNLAGLPALVLPCGFVEGGAAGLPVGLQMIGAAFDEEKLLKVGHIFEQTLQGYNFVPPIISDVL >OMO75778 pep supercontig:CCACVL1_1.0:contig10981:30654:34906:1 gene:CCACVL1_16040 transcript:OMO75778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPKLRGLLLGFSSTNARANALFDSLLLLLPNSSSSSLNARFCLPKRRRFCGYAAEQFSDDEYECDFESHKLFIPEEATPYDHHLQGNTFRFKSSLQATMRFCTLSVSEDDVSSKKLETSPIHSKAPQKPIDFTKVDINLLPTVMIIGRPNVGKSALFNRLIRRREALVFNTPDDHVTRDIREGLAKLGDLRFKVLDSAGLETEATSGSILSRTASMTANVLARTQFAIFLIDVRSGLHPLDQEVGKWLRRNAPGINPIVAMNKSESLHNDTNSFAEAAIEAQILGFGEPIAISAETGLGVTALYEALRPMLVDYMVKVLDDNGGEDENSDQNSDSCKVDESKLPLQLAIVGRPNVGKSTLLNTLLQEDRVLVGPEAGLTRDSVRAEFQYQGRTVYLVDTAGWLQRADRQKGPASLSVMQSRRNLMRAHVVALVLNAEEIAQASRSMTHAEVVIARRALEEGRGLVVIVNKMDLLKGKRNSAIYKKVKEAVPHEIQMVIPQVTGIPVVFISALEGRGRAAVMHQVIDTYEKWCLRLSTARLNRWLRKVMSRHSWKDNSAQTKIKYLTQVKARPPTFVAFVSGKAQLSDTYIRFLTKSLKEDFDLGGIPIRIMQRVVPRTAGGSSSKSGQPIDKVVERIPSDKRTVIDY >OMO75780 pep supercontig:CCACVL1_1.0:contig10981:60664:62572:1 gene:CCACVL1_16042 transcript:OMO75780 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone dehydrogenase 1 alpha subcomplex assembly factor 3 MAAVRGRAVETLPKLMRSLRKDSLSSSMRSQGQAFLPSLRRAFSLYDQINLIDNVPEDQLRFQGYTDTGFTVNKVEYEGSLLCVGNLLTSWGPSKFSEITPDSLSIFQIIRPIPEILILGCGRHIQPVAPEIRQFIRSTGMKLEALDSRNAASTYNILNEEGRIVAAALLPYGVAS >OMO98627 pep supercontig:CCACVL1_1.0:contig07065:37680:38088:-1 gene:CCACVL1_04142 transcript:OMO98627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLKPGEIWLSTCRTGELA >OMO94945 pep supercontig:CCACVL1_1.0:contig07767:88:222:-1 gene:CCACVL1_05679 transcript:OMO94945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MAGGGGGGAGARELDQTPTWAVAVVCAVIVLISIVLEKALHVIGD >OMO94947 pep supercontig:CCACVL1_1.0:contig07767:10042:10164:-1 gene:CCACVL1_05681 transcript:OMO94947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARQSRANYYAACDTKQKRSNRVLTVIWRATTTKAARSL >OMO94946 pep supercontig:CCACVL1_1.0:contig07767:1275:6099:-1 gene:CCACVL1_05680 transcript:OMO94946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MARRHGWELPAHTFQVVAITVFFLLTIAYYAFFAPFLGKDIYEYVAISVYSVLALSVLILYVRCTAIDPADPGILLDPDRASAYKSHNEMDVHGNASSIEEPGKIGLKYGRQSDRHGSRWCSKLGGFFCGCLVTADCRSDDDLLEQSAEEDALFCTLCNAEVRKFSKHCRSCGKCVDGFDHHCRWLNNCVGRRNYITFVCLMAASLVWLIVEFGVGIAVLVRCFVDRKGTESDITEKLGVGFSRPPFATVVAICTVVSFLATVPLGELFFFHIILIRKGITTYEYVVAMRAQSEPPGQSADGGDQQSMPPSPTSSAVTAISGRSSLGMSLQYKGAWCTPPRIFMDHPDEIIPHLEPGRLPSTVDPDAVLPADKGKKLPQRPVRISAWKLAKLDSDEAVKAGAKARASSSVLRPIGSRHHTYSADQLSSSNVSVRSSPISTDHGCQSRNARGALSSYPPSHASREDAESCGYSVGNISSPHCSSIAPSSMAQQDSNREHFNPMYQMSTNQSPWSTKQSEGNENTIHENAAGFPFRKSSNATESLRTSVFWDPEAGRFVSSSSRGAGSLQVPGTELLYTGQSIFFGGPLVNDQLNRGASSSSLSVGLDRAASTSSNYQQGRSQRGGQLPVFVPSDSQHQYSSRLP >OMO55455 pep supercontig:CCACVL1_1.0:contig14686:8605:8688:1 gene:CCACVL1_27240 transcript:OMO55455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLREDPVLVTVSIARMGSRHSGMIVMF >OMO51376 pep supercontig:CCACVL1_1.0:contig15860:9567:11461:1 gene:CCACVL1_29829 transcript:OMO51376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MARQPISCPSRGRTTSGGVARSTPQSGSRGLTNLDTAVLLPAHVPPPTHGVPHVNSEEEENSLMNDNQDDMEEDEVEQSQHPQSQIEKITITSVDVRTKTVLKYVKRIIMKYYTDAWSCWSEMSAEGKQCYWSNFKAIFIWARDEEGLIRKKYNTRASRWLKNAIHRVRKNDKKPDWIIDEIWVKLKTKWATEDFQKLCQKNKDNRHSKAIGSTTEKDRPIKAVEVFKKIYLREDGTWKEDRARIAHEKFLKTCEERLAQEGEGAQINDDLLWWELASQNRGFGLGNLVEDFQPLLSQPAQSSISQQSDPKMDEVISVSLPSLPPELQARTMESASNAIHTSEDVDQPDVATQKEPSPQQQPTTNQE >OMO51375 pep supercontig:CCACVL1_1.0:contig15860:4425:8138:1 gene:CCACVL1_29828 transcript:OMO51375 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MAGVDEFIEFACAHPEQMNGPNIRCPCRKCDNMRFYPKYDVMNHLYRKGFTENYFNWTCHGEAMWVEEEEVQVDKLPDQSTWLAGYGGEYASTYHDEVGPSNQPPVQEAVPSPEGVDVSTLCDRFFKLLKDTDDLCIVDDSLPRDNNLPEDFYGYKRLLRDLGLPVVKIDACRDGCMLFWKDDSHEKYCKFCKLPQYKEMNGRVDSKKRKKVAHAVLRYLPLTPRLQRLYASEVTAPLMTWHASHETSDGVMCHPSDAEAWKHLIELIMLLLLNLATSGWAFVLMVFLLTNSKNVSYFDYHRQFLMKNHPYRRDKRSFIKERFEKGSPPPRLTGDEVLNRVSQYSTAMEDPRGKTPQYGDGHKWTKKSIFWELPYWKDLLIRYNLDVMHIEKNVFHNVITTVMDVPGKSKDNVNARKDLKKYCYREELAVDVHSTLRHKPKASYTLNKEQKVKLCEWVKSLKFPDGYTSNLSRCVDMNELKFKGMKSHDCHVFMQRLIPVAFKEMFPNNVWATLTELSLFFQIICSALSDIGKLLELEKNLVVTLCNLEKFFPPAFFDSMEHVLVHLPYEAINGGPVQYRWMYPFERFLHTVKKKVKNKAHVEGSICEAYIVEEMSFFANHFFQQNLTSKRLRARRNDEGVNDQILRHFSIFNYPGRGQGDEKQRWLSGDEVQNPANHVNDPLLKSLAWGLAKQVTKWSAYFINGYNFHTLQHGARKATMNSGVCVRSSSVDFYGVLDEIIQLEYGGEARNFVVLFKCTWIDPDKGTKVHGKYGLVDINQKRMYRKNDPFILAQKAIQVYYANYPSLKRDKVDLRAVFHIKARSTIEARWQEKDVLAYQMDEVENVPTVTTFKEFFSLHDPNQIDSLIDSDIMEVGARTNQPILTAITDDEGDEEEFDEEDDDEEIKVDDEDDHPSF >OMO67020 pep supercontig:CCACVL1_1.0:contig12480:12083:28040:-1 gene:CCACVL1_20837 transcript:OMO67020 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MNLRKGAKVWVEDKNLAWVAAEITDFRGKQVQVQTATGKTVLALPEKLCLRDADEEEEHGGVDDMTKLTYLNEPGVLYNLERRYALNDIYTYTGSILIAVNPFTKLPHLYNVHMMEQYRGAPFGELSPHVFAVADVSYRAMMNEGRSQSILVSGESGAGKTETTKLIMQYLTFVGGRAAGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDANGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASGKDAEKYKLSHPSHFYYLNQSKTYELEGVSSAEEYMKTRRAMDIVGISHEDQEAIFRTLAAILHLGNIEFSPGREHDSSVIKDQKSTFHMQMAADLFRCDVNLLLATLSTRTIQTREGSIVKALDCNAAMASRDALAKTVYARLFDWLVDKINVSVGQDPNSHIQIGVLDIYGFECFKHNSFEQFCINFANEKLQQHFNEHVFKMEQDEYRKEAINWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFTTKLFQHFRGHLRLEKAKFSETDFTMSHYAGKVTYQTDTFLDKNRDYVVVEHCNLLASSKCPFVAGLFPSPPEESSRSSYKFSSVATRFKQQLQSLMETLNTTEPHYIRCVKPNSLNRPQKFENLSILHQLRCGGVLEAVRISLAGYPTRRTYSEFVDRFGLLAPEFMDTRTKVFLRAGQIGVLDSRRAEVLDTAAKRIQRRLRTFIAHRNFISTRGAAISLQAYCRGCLARKMFAETREAAAAVCLQKYVRRWLFRRAYLKLVSAIVIIQSNIRGFSTRQKFIHGKKHRAATIIQARWRLCRFRSAFHHHKKSIIAIQCHWRRKLAKRELKRLKQEANEAGALRLAKTKLEKQLEDLTWRLHLEKRMRVSAEEAKSVEISKLQKALESLNLELDAAKLATISECNKNAVLQNQLELSIKEKSALEKDFTVMAEMRKENALLKSSLDTLEKKNSSLELELKKALKDANDTIEKLRELEQKNSELQRNMQSLEEKLSHLEDENHVLRQKALTPSPKTSRTNFAKSFSDKFGGALNLHQMDRKAVYESPTPSKLIVPLSHGMSDSRRSKLTAERQQENYEFLSRCIKENLGFQNGKPLAACIIYKCLHHWHSFESERTAIFDYIIEGINDVLKVGDESITLPYWLSNTSALLCLLQRNLRSNGFLTASTQRSGGNTGLPGRVAYGLKSPFKYLGFEDGMSHIEARYPAILFKQQLTACVEKIFGLIRDNIKKDLAPLLGSCIQVPKNARVLAGKSRSPGGIPQQSPSSQWDSIMEFLDSLMDHLRENYVPSFFIRKLITQVFSFINISLFNSLLLRRECCSFSNGEYVKSGLAELEKWIVNAKEEFAGTSWHELNYIRQAVGFLVIHQKRKKSLDDIRHDLCPALTIRQIYRISTMYWDDKYGTQSVSNEVVAEMREMLNKDNQHLSSNSFLLDDDLSIPFSTEDIDIAIPAIDPSDVELPAVLSGYSCAQFLIQQQK >OMO67018 pep supercontig:CCACVL1_1.0:contig12480:8044:9349:1 gene:CCACVL1_20835 transcript:OMO67018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESKWERERSVVDKVYDTHLYVSSSDTFIHTFVHVAPLITNNHPPTWDPALPSSIILNHKSKSINLRINYRATLI >OMO67031 pep supercontig:CCACVL1_1.0:contig12480:85948:89862:-1 gene:CCACVL1_20849 transcript:OMO67031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIACLERFVHENEAESKPDDDVSSISNSLDLQGCIETGGITAVAAKDFGGLYSVKPLALIKPSGAEDIARVVKAASRTSHLTVAARGNGHSINGQAMAGGGLVIDMRSTKENHFKFLKVNGSPYIDVSGGALWEDVLKQCVSGFGLAPRSWTDYLSLTVGGTLSNAGVSGQAFRYGPQTSNVSELEVVTGKGEITVCSETQNPELFFGALGGLGQFGIITRARVKLQQAPDMVRWIRVVYSEFEDYTRDAEFLVTQKQGESFDYVEGFVFANNDDPVNGWPSVPLDQDHDFDPTHLPRTAGSVLYCLEVAFHYRNSDHPSTVDTAVSRLLGRLGFVEGLKFQVDVSYMEFLLRVKRAEEHAKANGIWDNPHPWLNLFVSKSDIVEFDRTVFKTMLKDGIGGPMLIYPLLRSKWDSRTSVALPEGEIFYIVALLRFVPKGPSVEKLVAQNQEIVQWCIKKGLDFKLYLPHYQSKEDWKRHFGNQWTRFVERKASFDPMAILAPGQKIFKRNHPSLISC >OMO67029 pep supercontig:CCACVL1_1.0:contig12480:68622:70453:1 gene:CCACVL1_20847 transcript:OMO67029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSINTHAHVSAYRFFSSAPTRSFSSNSNLAAKPKRLSGVRCAASPPQWEEGRRLVSISLLCSPLLLLVPNDAIAGSLLDKYVKKKKLDPLEVYVPAVILTEFQIKDLEKTLEVDKPEFSSCRSLLRSGPAASLRINIRAVAQYASDAGNGQNAFNDVDQCLRALEELDSLLLHASRNEPDASVKSMKAKIGTALNALDSLLQTVPSDVLNQGKQIADAYRAPEEDVKPEELDPEMKQLESLL >OMO67024 pep supercontig:CCACVL1_1.0:contig12480:48834:55493:-1 gene:CCACVL1_20842 transcript:OMO67024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MANAMAADLLRLSIASQPKLSISYGSKVKVDRFDLLQRRRRSRFYSRPRSTPGFVLFNSLETRPLSQESTIEKPRQKSNDSSVLLDVSDMMCGGCVSRVKSVISSDERVDSVVVNLLTETAAIKLKQEVIESETVETVAESIAQRVTECGFTAKRRVSGLGIGENVKKWKEMLKKKEELLVRSRNRVAFAWTLVALCCGSHASHILHSLGIHVGHGSLLLEVLHNSYVKGGLALAALLGPGRELVVDGLMAFKKGSPNMNSLVGFGSIAAFVISAISLLNPGLAWDASFFDEPVMLLGFVLLGRSLEEKARIRASSDMNELLSLISTQSRLVITSSDSEPSGDSVLCSDAMCIEVPSDDIRVGDSVLVLPGETIPVDGKVLAGRSVVDESMLTGESLPVFKEKGLTVSAGTINWDGPLRIEATSTGSNSTISKIVRMVEDAQGQEAPVQRLADAIAGPFVYSIMTLSAATFAFWYYAGSHIFPDVLLNDIAGPDGDPLLLSLKLAVDVLVVSCPCALGLATPTAILVGTSLGARQGLVIRGGDVLERLASVDHVAFDKTGTLTEGKPTVSSVASFAYDESEILQIAAAVERTATHPIAKAILKKAESLNSSFPETRGQIVEPGFGTLAEVNGRLVAVGSLQWVNERFQIKAKPSDLMKLEHAIMHQSSSPSNNSKTVVYVGREGEGVIGAIGISDSLRYDAESTVSRLQKKGIKTILISGDREEAVASVAKTVGIESEFVNASLTPQQKSGVISTLQNTGHHIAMVGDGINDAPSLALADVGIALQTEAQETAASDAASIILLGNRLSQVVDAVDLAQATMGKVYQNLSWAIAYNAVAIPIAAGVLLPHYDFAMTPSLSGGLMALSSIFVVTNSLLLRLHGLDKSRNNKPAKGSPMPAGPN >OMO67021 pep supercontig:CCACVL1_1.0:contig12480:29157:29219:1 gene:CCACVL1_20838 transcript:OMO67021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVARGPIRRRFLPRFWALG >OMO67025 pep supercontig:CCACVL1_1.0:contig12480:55988:56934:1 gene:CCACVL1_20843 transcript:OMO67025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTEPANESQKSKSANSVPKYLLLSSAFIQTPPFAPPFLRSSKPNLVPVVPQNIIRDLHDSIVSTADKFLNFLKQNPVFKNVLSLSSEFQSFCNEVITCRNNFRKVNYNSPLSSHNFAAVLPGDSVAGVVVANGILNFLNIYNTLLVIRLVLTWFPNSPPAIVGPLSTLCDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFTSTAAALPAELPVDGQPPRRASSPSLFTELTSTQKKWMRRLHGSKSKTSNATS >OMO67019 pep supercontig:CCACVL1_1.0:contig12480:10073:11128:1 gene:CCACVL1_20836 transcript:OMO67019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIALERIETSGFGRVMKCACDTSRFESTPAAKERKNVDVEEEEDDWRSSSSTTTSSSIGRNSDDDVSGRSSDGGDCDENEVQSSYKGGGGLDMMDSLEQVLPMRRGISSFYNGKSKSYTSLAEASCTSIKDIAKPENAYTRRRRNLLAINHVWDKSRSKRFIRPISSSKSTLALAVAMSSSESISSTSEDSTSTSSPRLPPLHPQTRSCFNSTPSSSPPPSTGRNFSNWRSYSLADVREYTALTGSSNQPHFSLICDKTNSKKET >OMO67023 pep supercontig:CCACVL1_1.0:contig12480:33619:40411:-1 gene:CCACVL1_20840 transcript:OMO67023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAMTFRLEFILLVSLFCLGFAFVDSEDGATLLEIKKSFRDVDNVLYDWTNAPSSDYCVWRGVTCDNVTFNVVALNLSGLNLDGEISPAIGNLKDLLIVDLRGNRLSGQIPDEIGDCSSLKSLDLSFNELYGDIPFSISKLKQLEFLVLKNNQLIGPIPSTLSQIPNLKVLDLAQNKLSGEIPRLIYWNEVLQYLGLRGNSLVGTLSPDMCQLTGLWYFDVRNNSLTGTIPENVGNCTSFQVLDLSYNRLTGEIPFNIGFLQVATLSLQGNQLSGKIPSVIGLMQALAVLDLSCNKLSGSIPPILGNLTYTEKLYLHGNQLTGSIPPELGNMTKLHYLELNDNHLTGHIPPELGKLTELFDLNVANNNLEGPIPDNLSSCTNLNSLNVHGNKLNGTIPPAFERLESMTYLNLSSNNIKGSIPIELSRIGNLDTLDISNNKISGSIPSSLGDLEHLLKLNLSRNHLAGVVPAEFGNLRSVMEIDLSNNHLSGIIPQELTQLQNMFSLRLENNNLSGDVMSLINCMSLTILNVSYNNLAGDIPTSNNFSRFSPDSFIGNPGLCGYWLSSPCHASHPTERVVISKAAILGIALGALVILLMILIAACRPHNPAPFLDGSLDKPVTYSTPKLVILHMNMALHVYEDIMRMTENLSEKYIIGNGASSTVYKCVLKNCKPVAIKRLYSHYPQYLKEFETELETVGSIKHRNLVCLQGYSLSPSGNLLFYDYMENGSLWDLLHVSTKKKKLDWDTRLKIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDFEAHLTDFGIAKSLCVSKSHTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKAVDNECNLHQLILSKTANNAVMETVDPEISATCKDLGDVKKVFQLALLCTKRHPSDRPTMHEVTRVLGSLMPVDTPPKQPASLQPTLPSTMVTCYKDEYANLKTPHLVNCPSMSTSDAQLFLKFGEVISQNSE >OMO67027 pep supercontig:CCACVL1_1.0:contig12480:65552:67602:-1 gene:CCACVL1_20845 transcript:OMO67027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALWLLFSSFLFLSVSAANTQTKVTDNPADELVAVLNANRTAHKSSSLTDNPGLACIALQYIKAYGGDCKAVGGPDAKKPADSEFAETFAPNCGVDASTLSPITGRLLGCQSKYVQPPEAFSMLMKNERSLEILYSKNHTEVGAGVTGTDGGAPYFWCVLFSGGKHNSSFVVEGGVAKITRPGCFSGANDECSGADHSSRPSHMWMYAVTAFIAVGYAFGL >OMO67030 pep supercontig:CCACVL1_1.0:contig12480:71030:76823:-1 gene:CCACVL1_20848 transcript:OMO67030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIWCCLCFIDDEEDDNQRISTMKEGFLANVDDFEGNIVNGDDDDRGEAAEVPAVATTDAAAPTSSTYQLAPTPNRQRQGDETMLLFEEMVTAMRNGNWDDAMWRPLRCLRPSEGETSASASSAMEDCDHHDSHHKRAKVYSFLHENTPSSFADRNYSMNQGSSILPNNGIFYHNFMLNNGGDGHPFDANVGKDEDDEGGMRTEDFEIRMDLTDDLLHMVFSFLDHHNLCHAAMVCRQWRVASAHEDFWRCLNFENRNISLEQFEDMCQRYPNATEVNLSGTPNIHLLVMKAVSSLRNLEALTLGEGQLGEAFFHALSECSMLRSLDVNGSILGNGIQEIPINHDRLRDLKVTKCRVMRISIRCPQLRNLSLKRSNMAQAALNCPLLQLLDISSCHKLTDAAIRSAVTSCPQLESLDMSNCSCVSDDTLREISQTCANLHVLNASYCPNISLESVRLHMLTVLKLDNCEGITSASVAAIAHSSMLEELELDNCHMLTSVSLDLPRLKKIRLVHCRKFADLNVQCFMLSSITVSNCAALHRINISSNSLQKLALQKQENLTMLALQCQCLQEVDLTDCASLTNSICNVFSDGGGCPMLKSLVLDNCESLTAVQFTSTSLVSLSLVGCRAITSLDLACPCLEKICLDGCDHLETASFCPAALRSLNLGICPKLNTLRIDAPSMVSLELKGCGVLSEASIHCPLLTSLDASFCSQLKDDCLSATTASCPLIESLILMSCPSIGSDGLYSLRWLPNLTTLDLSYTFLTNLQPVFESCLKLKVLKLQACKYLADSSLEPLYKEGALRELRELDLSYGTLCQSAIEELLAYCTHLTHVSLNGCINMHDLNWGSTSVQHFESLSLPNTSSMFSIENINEPVEQANRLLQNLNCVGCPNIRKVLIPPAARCFHLSYVNLSLSANLKEVDLACYNLSFLNLSNCCSLEVLRLGCPRLTSLFLQSCNIEEEAVETAISQCSMLETLDVRFCPKIYSMSMGRLRAVCPSLKRIFSSLSPA >OMO67026 pep supercontig:CCACVL1_1.0:contig12480:59023:62254:-1 gene:CCACVL1_20844 transcript:OMO67026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MGDVGFFEKTDSRKDEGILGGSEGADKKENEEETAVFSMQQQQPTSNFDLNLHPVEEDFINSLFNFDSEFPEMQTENPTQSESIVFGVDLNRKEENPDPDVEIVGSSSSKKRRLSAKEIDELVWKNKKFDLKLGLGELLKRGGGEAGKKNYIMGGSSKDMNSDDTIDHGVEDAYMSKMEDFYISRKKEESRRHREIAKTYAKRLAHEVVDLEGDFLNSSTKKVKDKDLKKIVLVDDDDDKAEVLKSPFGMALEMIRIRNSRPIARKAEEFKWVPKSDKGSSFVAPKVPSLLDLSIHTLAKNAEAMVSLDHVPDMLRHKLSQFVCDCRKMDAHFVELLARGSPTEIRVKDGSLVTEDEFTKIFGSCDTKNLIVLQLDLCGSCIPDYVLQDTLARSPKSLPALVTLSLNGAYRLTDKGLNALAKSAPALQSINLSQCSLLTSAGINNLASFFKSTLRELYLDECHNVEAMVVLPALRKLECLEVLSLAGIQTVCDDFIIGMLEACGKNIKELVLTNCVELTDVSLRIVGKNCSSLCAIDLSYLRKLTDSSMRYLANGCRSINRLKLCRNSFSDEAIAAFLEASGGSLTELSLNNVTSVGLNTALSLAKCSRKLFSLDLSWCRNLTDEALGLIVDSCLSLRLLKLFGCRQITDVFLSGHSNAQVEIIGVKMTMVSKHLNNMHEHHEAPLRYSTINRFG >OMO67022 pep supercontig:CCACVL1_1.0:contig12480:30334:32664:1 gene:CCACVL1_20839 transcript:OMO67022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRRTKQKLERLENMKARQAKYSKRKRGIVKKVKEIAVLCDVDVALLLISPTEKPTVVVGKDKDLSTVIERMSRMRLEEREDRCWKKCMENPTTSSSRLIQETHPKSKIPLHEDQLSELKETIAKKNKILSDWRNPTNIEDLAQLNIMEEHIQYSEADQLEQQGREEGAEGTDDLET >OMO67028 pep supercontig:CCACVL1_1.0:contig12480:67768:67854:1 gene:CCACVL1_20846 transcript:OMO67028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLAIICRQATVRVLGFNPNLPLTKT >OMO78629 pep supercontig:CCACVL1_1.0:contig10558:50176:50923:-1 gene:CCACVL1_14251 transcript:OMO78629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDLSNPLALIALLLILTIFVVKFLNPKLRKNQTTNKPKYHPVGGTVFNQLINFNRLHHYMTDLAGKYRTYRLLGPFRNEVYTSEPANVEYILKTNFQNYGKGDYNYGLLRDLLGDGIFAVDGEKWRQQRKVSSYEFSTRVLRDFSSVVFRKNVGKLANILSEAANANKIVDIQVSSLSKTMTE >OMO78625 pep supercontig:CCACVL1_1.0:contig10558:18205:23465:-1 gene:CCACVL1_14247 transcript:OMO78625 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGLISGILMGMIFGISLMAGWRHMMRYRSTKRIAKAADIKVLGSLSRDDLKKICGDNFPEWISFPVYEQVKWLNKQLSKLWPSVAEAASAVIKESVEPLLEEYRPPGITSLKFSKLTLGTVAPKIEGIRVQSLKKGQIIMDIDFRWGGDPSIILGVEAALVASIPIQLKDLQVFTVIRVIFQLAEEIPCISAVVVALLAEPKPRIDYTLKAVGGSLTAIPGLSDMIDDTVDSIVTDMLQWPHRIVVPIGGTPVDTSELELKPEGKLTVTVVKANDLKNMEMIGKSDPYVAVHIRPLFKVKTKVVDNNLNPVWDQTFELIVEDRETQALTVELLYHRFNKEEQLAALEEEKRILEERKRLKEAGVIGSTMDAVGSGVGMVGTGIVGGVGLVGSGVVGGVGLVGSGLGAVGSGLSKAGKFMGRTITGHSSKRSGSSTPVNSIQENGGAKPL >OMO78631 pep supercontig:CCACVL1_1.0:contig10558:56242:58076:-1 gene:CCACVL1_14253 transcript:OMO78631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MAAMKLDGPIDNSWFPNTAASAHMTADPGILSSLSQYHGCDKILIGDGSLLDISHTGTMDIPVLDGNLQLNNVLVVPEIKKNLLSVGQLTDDYPYTCEFSSAGVVIKDRETGKMIAKGSHPQPKVVELLKKNKLITSTSGNKVEHFCDSCQMAKACRLPFILSNEFCDTPMDVIHCDLWGAAPVASFQKFKYYVIFVDEYSRFTWYFPLKHKSDFFQCFLNFHAYVENQFGKKIKVFQYDEGDEFTDGRFVQFLQNNGIKLQYACPKTPEQNGLVECKHRNIVELGLTLLFHSHTPKWYWVEAFGTAIWLINRQPSRVLDWKSPFELLYNKSPDYSCLRVFGSKCFPFLRSHSKNKLEPRSLPCIFLGYSELHKGYRCLHPPSGRVYISRHVTFDEKVFPFKDPGSLFAPSDTCDLTEFIDWFSGSPADEDTLGKPTTSTPLHVSETQSLEDVSLGASSFPDVSCATTPSHSSTPESATDVTLSNEEVHNPDPSVPMNHRQQEEVVINSEPQVPSTNSSPITTRQSHGITKPNPKYFNDDFCFTATSIPIESKSVKTAIKHPDWKAAMEEEIHALIP >OMO78635 pep supercontig:CCACVL1_1.0:contig10558:71563:77849:1 gene:CCACVL1_14257 transcript:OMO78635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVAGNERVAYKLRGYFDLAKGEIDKAVRAEEWGLIDDALVHYRNAERILVEANSTPVPLYISSSEQEKVKSYRQKISKWQGQVSERLQVLGRRAGGPSTSKNTLTHAQTAAVSPRTSNPRRDVLQKSPRNQVVRNQADRVGTLKPAQADRVGTPKAAQESANGYDSKMIEMINTAIVDRSPSVKWEDVAGLDKAKQALMEMVILPTRRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESQATFFNVSASSLTSKWVGEGEKLVRTLFMVAISKQPSVIFIDEIDSVLSTRLENEHDASRRLKSEFLIQFDGVTSNPNDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDENVRRLLLQNKLKGQAFSLPGRDLERLVRETEGYSGSDLQALCEEAAMMPIRELGSNILTVKANQSKYIVALKVIFKEQIEKYKIHHQLRREMEIQTSLRHPNILRLYGWFHDNERIFLILEYAHGGELYKELRKKGHLSEKQAATYIASLTTALAYCHEKNVIHRDIKPENLLLDHEGRLKIADFGWSVQSRSKRHTMCGTLDYLAPEMVENKAHDYAVDNWTLGILCYEFLYGSPPFEAESQRDTFRRIMNVDLSFPPTPHVSMEAKNLISRLLVKDSYKRLSLQKIMEHPWIIKNADPLGTSKT >OMO78634 pep supercontig:CCACVL1_1.0:contig10558:66190:69859:-1 gene:CCACVL1_14256 transcript:OMO78634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDILSVISLLIALPLLFLLLILCFFTVQIFTGKSINNRNYAPVKGIVFDQLFYLNSLYDYQTKVARNFPTFRLLDISHSLVYTADPRNIEHFLKTKFDNYAKGSHHEETVRDLWGHGIFAVDGDKWRQQRKLASFEFSARVLRDFSCSVFRINAAKLVRTVSSELEMCSNQGIEFKDSLSEQMDTLFTIFLLTLLPPLFLLLFFTFLAIKIFTGKSINNPDYPPVKGSVFNQLFYLNYLYDYQTQAAKKQPTYRLLAIEQSEVYTTDPRNVEHILKTKFENYSKGKYNQDIIQDLLGQGIFAVDGDKWRHQRKLASFEFSTRVLRDFSCSVFRRNGGKLVKAISELAVSSQAFDMQN >OMO78624 pep supercontig:CCACVL1_1.0:contig10558:16259:17437:-1 gene:CCACVL1_14246 transcript:OMO78624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEIDRDWANIGSDPLTCIVEKTHSIQDRVRLSAVCRSWHASLGDKKIDLPICLMLAEKEKSDSRCFYNISEENSVELDLPEIRGRRCWGSPFGWLVTCGVDLEIQLFNPLTRATLPLPSLRTFTNQQDRPSDELCKFFITKLILSSSPASPDCIVLAIYSEFYLLGFAKPGDQSWVHIDGSRPFDDAICFNGSFYAARNTGEVFICQGLDGPHPKVVEFASAPPTNNATNKYIVDLGGNLCLLSRIRGLFDVTNDEGEVTEEMYITYDFEIFKLDMETRKWEKIMSLGGLSVFLGYSYTFPVVAADYPGCRPNCIYFATDVGFGCPRDSDIGIYNCDNFDNKEEEEVEYQVDSFPESEDVQDLHSPFAPPVWLALTRRYVSLALPTSISL >OMO78626 pep supercontig:CCACVL1_1.0:contig10558:25407:26144:-1 gene:CCACVL1_14248 transcript:OMO78626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MSSNINLNLTVIALLITTVATATAYTNHTVGGDAGWFFDSKTNKSVINYSSWAANQTFNLGDYLIFKTSTNQTVIQTYNETTYQSCTMDDALDTDTFQYNGGNTNFDESLTIAVPLTIQGTNYYYSDAEDGAQCQRGMAFEILVNHGTGLPPSLNQPPPPPYIEPPSADTAQSPPVTISGVSPSLNNGAVELGADAGVMLCSLLFTFAGALMF >OMO78627 pep supercontig:CCACVL1_1.0:contig10558:28850:46044:1 gene:CCACVL1_14249 transcript:OMO78627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEEKKFGETSVRGSDTPQVAPIGEDRIGGSHKENVNLTVGVEAAVTNDNAVSQEDHSASLIGDEEQFEPVSLENQDIHRDSNRSSYSDYERPSSGGFEEYSQHVTRTSGMEYDSSLTPEPWHDRSTSSPGRQTDHTIKQSSSVTSHDAFYAESGYSPLGSPMKPKPKAVMPNVSPELLHLVDSAIMGKPESLDKLKNIVSGVETFGSGEDMESIPFLVVDSLIATMGGVESFEEDEDSNPPSVMLNSRAAIVAGELIPWLPWQGDSSVCMSARTRMVRGLLVILRACTRNRAMCSMAGLLGVLLRSAENIFAQDVGSKEQMKWDGTPLCYCIQHLAGHSLSVIDLHRWFEVITRILTTVWAPPLMLALEKAMSGKECRGPACTFEFDGESSGLLGPAESRWPFTNGYAFATWIYIESFADTLNTATAAAAIAAAAAAKSGKSSAMSAAAAASALAGEESELRLYIDGSLYESRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMARIASRGGDVLPSFGSGAGLPWLARNDHMQRMAEESSLLDAEIGGCIHLLYHPSLLSGRFCPDASPAGAAGMLRRPAEVLGQVHVATRMRPAEALWALAYGGPLSLLPLAVCNVHKDSLEPEQGSLQLSLATAALAAPILRIISFAIHHHGNNEELSRRKGPEILSRILNFLLQTLSSLGAGKHNGVGDEELVAAVVSLCQSQKHNHALKVRLFSTLLLDLKIWRLCSYGLQKKLLSSLADMVFTESSVMRDANAMQMLLDGCRRCYWTSREKDSLDTFSVNDAVRPMGEVNALVDELLVVIELLIGAAPPSLAADDVRRLLGFMVDCPQPNQVARVLHLIYRLVVQPNTARAQTFAEAFMGCGGIETLLVLLQREAKAGDHHIPEASTKTDEGLSIQKSEQEIESGDKDQEGSQDEKSLKERDQISQKKDFESHQLLDSRDGLVASSPSMNMGRMSSVSENAFMKNLGGISLSISADNARNNVYNVDKSDGIVVGIIGLLGALVACGHLKFGSRVSSEMTSSLFGSALNDAGGGMFEDKVSLLLFALQKAFQAAPNRLMTSNVYTALLGASINASSTEDGLNFYDSGHRFEHLQLLLLLLRSLPYASRSFQSRALQDLLFLACSHPENRSSLTTMEEWPEWILEVLISNHEMDARKQSNSVSLGDIEDIIHSFLIIMLEHSMRQKDGWKDIEATIHCAEWLSIVGGSSTGDQRIRREESLPIFKRRLLGGLLDFAARELQAQTQVIAAAAAGVAAEGLSPMDAKAEAENAAQLSVFLVENAIVILMLVEDHLRLQSKLSCASSKADGNPSPLSLVSPLNNHSNSTASIGRESFEAGGDGGSRNSAGVPIDVLASMADANGRISATVVERLTAAAAAEPYDSVSSAFVSYGSCAMDIADGWKYRSRLWYGVGLPPKAAGFGGGGSGWESWHAALQKDANGNWIELPLVKKSVSMLQALLLDDSGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMILLSMREEDNGEDSLLMRNVGIEDGMSEGLYCQGGNIMSLDNSARMAARKPRSALLWSVLSPVLNMPISDSKRQRVLVASCVLYSEIWHAVGRDRKPLRKQYLEAIVPPFVAVLRRWRPLLAGIHELATADGSNPLTVDDRALAADALPLEAALAMISPAWAAAFASPPAAMALAMIAAGASGAEAPAPPTTTQLKRDSSMLERKTTRLHTFSSFQKPLEVPNKSPSLPKDRAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRNASDMERVERWSVSEAMGVAWMECLQPVDTKSVYGKDFNALSYKFIAVLVASFALARNIQRSEIDRRTQVDLITRHRLVTGIRSWRKLIHCLIEMNCLFGPSADQFLSQERIFWKLDFMESSSRMRPCLRRNYVGTDHFGAAANYEDQSDVKKNQDDVISSSNAPILAAKAISTELVNEDDDQVEIDHVDNRANENDQSGEDLARLSEITEQPLQKSVESTDTKVASEQDLVQGASAVAPGYVPSELDERIVFELPSSMVRPLKVVQGTFQVTTKKINFIVDNTESNTRRDCLEGNSEVLDDDEKNRSWLLTSLHQMYSRRYLLRRSALELFMVDRSNFFFDFGSSEGRRNAYRAIVQVRPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWILSDYSSNNLDLADPLAYRDLSKPIGALNPDRLKKFQERYASFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLSIQLQGGNFDHADRMFSDIAATWNGVLEDMSDVKELVPELFYLPEMLTNENSIDFGTTQLGGKIDSVKLPPWAHNHVDFIHKHRMALESEHVSAHLHEWIDLIFGYKQRGKEAISANNMFFYITYEGTVDIDKISDPVQQRATQDQIAYFGQTPSQLLTVTHMKRMPLSEVLHLQTIFRNPREVKPYAVPGPERCNLPAAAIRAASDAVMIVDINAPAAHIAQHKWQPNTPDGQGTPFLFQHGKPTTSSAGGALIRMFKGPAGSGADEWQFPQALAFASSGIRSSSIVSITCDNEIITGGHADNSIKLLSSDGAKTLETAFGHCAPVTCLALSADSNYLVTGSRDTTVLLWKINRTSTSSSTSELSAGTGTGTPTSSSSGTLANILADKSRKRRIEGPMHVLRGHHKEILCCCVSSELGIVVSCGRSSDIMLHSIRRGRLIRRFPVVEADAVCLSSEGIVLTWNQSHQTLSTFTVNGVLIAKAKLPLGGVSCMEISADGRSALIGMCSSLGNNGLYNSKQNSSFKKSIIDGIGLESEEETHESNTLDIPSPSICFLDLHTLKVFHVLKLGEGQDITALALNKDNTNLLVSTADKQLIIFTDPALSLKVVDQMLKLGWEGEGLSPLIKS >OMO78633 pep supercontig:CCACVL1_1.0:contig10558:63588:64060:-1 gene:CCACVL1_14255 transcript:OMO78633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRRTAAVMSLVVLAMVVMGQGRELGLIEPDGIGDLFGPCAKSCGKKCALKLIPKRIAACFGLCAIGCLIRPSQAVLSCASNCANSRLISINPTDAEGVEAMVGSCYSSCNQNNNF >OMO78630 pep supercontig:CCACVL1_1.0:contig10558:54304:55705:1 gene:CCACVL1_14252 transcript:OMO78630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDSSSSHPKTQSTTSQTTYDISSPYALHASDNPGTTLVTCLLKEENYPTWRRAMTNALQAKSKFGVAYCVTAQEMWNDLEERFSQGNAARIRQLKTKMVNTLQQGMSVSAYYTKLKGIWDELGTYSHIPPCTCGSAKGLAAEREKEKVHQFLMGLNEKYNVVRSQILNTDPLPSLSRAYALVAQEERQQLVAASRLPSVEGAAFMTNNANKSNLNRKPTSNRDLSKLFCEHCKKTRHTKDSCFELLGYPEWWDKGKKPSKTKAANTAQHMETASGNNNVPINGLTSEQYAQLISMLNLDKIQIPTANFAGKATSLSNTAIEWILDSGPSHEEADWDG >OMO78623 pep supercontig:CCACVL1_1.0:contig10558:572:4461:-1 gene:CCACVL1_14245 transcript:OMO78623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRPRRCTLCGRIINFTAGIDSHIAQDHRGAVSCETCGEVKWLNKQLSKFWPSVAEAASAVMKESVEPLLEDCCPPGITSLKFSKLTLCTVAPKIEEIQVQSLKKGQIIMDIGFRWGGDPSIILGVEAALIASIPIQLKELQIVTMVHVIFQLAEDIPCISAAVVALLILSEAVSAVIKESVEPLLEEYCPPGITSLKFSKLTLGTVAPKIEEIRVQSLEKGQIIMDFVFPWGGDPSIMLDVEAALVAFIPIQVVEGASSFYCGSCISAELAVVGSLTAIPGLSYMIDDIVASNYN >OMO78628 pep supercontig:CCACVL1_1.0:contig10558:46933:48116:1 gene:CCACVL1_14250 transcript:OMO78628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKGRRRREKNYRAAHGGPARLPPPPNPSQLEALPSKLRKIMSFTSDSLQGSEQVSKDQKAADAKTEKKKNRAVKETKLKAKEIKNGNDDGGVKKSQHSDNDEEAILNSKDGKKNRKRKRNQVTDLRFETTDQLGGSSKRKERKKKYFEAKKKKHKGKTEDNLDFPGREKIKFGDVVEAPPKLVTVPKGAKTLLDASKERLRLQAIEAYRNRKGWTSRPGAAQLPPVTM >OMO78636 pep supercontig:CCACVL1_1.0:contig10558:78536:81036:-1 gene:CCACVL1_14258 transcript:OMO78636 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M48 MLMASAGYDPEVAPDVYKKKYEGYGKFNNIGILSEYFPGEKRARVSPYSNPGQKISSGLNLIKPPQAPSPPFLTRSFHVVSSAPGPGVGFQVGVARRILHPNPDPKYGLFAGAGTRRVPYSNKFHYTLSHNLENKYIENGFVKWCAGECEGYIENERKLPPSDPHSIKVKSIAKTLLEAMREGLMLQNKIEFVEPTSKPVNRRMEAETLRFTEAEYLSNSMDVKRQINRRRRFIWKPFTKHLDGKVWEVYATDNYSKYKHKSDEFEQCLLHKVVIDAKTLRNLKSDEEYATVIAHKIGHIIARHQGENFLNTLFGISYVFLAFLSYINLLLPVLLLVIVPSRYLYLLEPVFNFYDGPTFYIFCLRMCITLPILGAVASFLDRRMEADADYIGLMLMASAGYDPEVAPHVYEKLLNKKDSIFRSSGENRAKLLRKPKTMKLAKQVYEEVKAGYGVRNFA >OMO78632 pep supercontig:CCACVL1_1.0:contig10558:58469:62732:1 gene:CCACVL1_14254 transcript:OMO78632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MNIVGCKWVFKTKTKADGSLERLKARLVAKGFNQVPGVDFLETFSPVVKPATIRVVLTIALARDWEIRQLDVKNAFLHGFLNEPVFMTQPPGFQNSQHPNYVCKLNKALYGLRQAPRVWFDRFSTFLLSFGFTCSVAGSSLFVLQSSRGTILLLLYVDDIILTGSNSHFLRDFIAALGREFSMKDLGPLHYFLGVSVTPFDGGILLHQAQYARELLDRALMHNCKPISTPMATKSGSSPNDDALYSDAPFYRSIIGGLQYLTFTRLDICYSVNYLCQFMHQPTNLHFQLVKRILRYVQGTIDYGIRLLRHQPLELCGFSDADWAGCSLTRRSTTGYCTYLGGNCISWSAKKQPTVARSSTEAEYRALASAAAEITWLSFVLRDIGVYLKKPPVLFSDNISALHMTINPVFHARTKHIEIDYHFVREKVSAGSLVTQFVSSSNQVADVFTKALPRHALLLLRVKLGLCQIPQPSLRGRDETPDFVAMSNTNRLHLDYRMKQIRSDNGTEFTNQNFQLFCQQNGILTQFSCVSTPQQNGVVERKHRHILEVARALRFQANLPIKYWGECVLIATYLINYVPTPLLSGKSPYEILFSRKPSYSHLRVFGCLCYTSVIPRSRDKFHARATTCLFLGYPHGQKGYKVYDLTTHKIFTSRDVVFCEHIFPFQDKNSPNHNTSTTTPIPLPIFDDTESMSLGSAPHTTTMMTHTPEILDSNDTTNTNTPPTIPQENRPVRVRKLPSRYHNFHVDLPGNNKSTPTSSNNASSGMSYPLVNFLSYSKFNSPHTSFLMAITQHNEPTSYKQAIKDTHWQEAMKKELEALEQNHTWTLEQLPTGKKAIGSKWVYKIKYHSDGTIERYKARLVAKGYTQVEGLDYTETFPPVAKLTTVRTLLAVVAAKSWELHQLDVHNAFLHGDLDKEIYMKPPPGYLSSNDNRVCRLRKSLYGLKQASRQWYAKFSTAILNFGFIQSKADSSLFLHHKGTSFTALLVYVDDVIIASNNNSHTKALKEYLDAWFHIKDLGPLKYFLGLEVARSPEGIVLSQRKYALDILQEVGMLGTKPVLFPMEQNHKLAVDDSALLDDPGAYRRLVGRLIYLTITRPEICYSVHILSQFMHQPRHGHWVAALRVLRYLKSAPGQAEYRSMATTACEITWLRTLLHDLTIQLPMPANLYCDNRAALSIAANPVHHERTKHIEIDCHFIRECIKSGSITTSHVSSHLQLADIFTKALGHTQFQFLTSKLGIRNLHAPT >OMO53382 pep supercontig:CCACVL1_1.0:contig15211:6270:9494:1 gene:CCACVL1_28678 transcript:OMO53382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNKHQSAKAESRPPKPVPTDRSKSLHNPNSGDQLHQKGRVVEQLARPRRLISTNLINKSQEKTGANIDELTEKLNCSEALVKDLRTQMLDLKAELDGARSLNMELESINRKLNEDLTAAQAKLAALASRHQVHPQPQRESNGECQSLKFKDIQKLIANKLEHNSNITREAMASKGTTTVKLPLPEPPRPRLTSKHAGAEPQPCLPAVSSLPPPLPPPPPPPPPRPLAKAAISAKALGLGLQECYHPSSLTQQEGKTSPPPPAAWNHNKPTAGSAHSSIVGEIQNRSAHLLAIKSDVETKGEFINCLIHQVMVAAYTDIDDVLKFVDSLDSQLSSLADERAVLKHFKWPEKKVDAMREAAIEYRDLKLLEMEISSYEDDSSIPSVAALKRIAGLQDKSERSIERLIKLRNSVMHSYLECKIPIDWMLDSGIICKIKQASMRLATIYMKRVAKELQLVRNLDKESTQEALLLQGMHFAHRAHQFAGALDSETLRAFEEIRECTPGQLIGSQELLAGIALS >OMO94408 pep supercontig:CCACVL1_1.0:contig07896:5529:5609:-1 gene:CCACVL1_06014 transcript:OMO94408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAKDENAATHALFRTQAETDINDLK >OMO94411 pep supercontig:CCACVL1_1.0:contig07896:25847:28621:1 gene:CCACVL1_06017 transcript:OMO94411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEPTILGALELLCGSRTRIEQDVEAIRRDLRHLESILGNYDATETNYQQHPMEEIQKLRIASFRAADALEEYDYDLQNARKDHVDPLFHSLYGIFKFIINNLKTHAIASGIKHARATLSRVLDEFDRQGQFYSKLRSLKEDDDLLLEQEDDLVGIEQPRKKLMPWLLSNDPRLKVISVVGMAGTGKTTLVKKLYDDVSCKNRFRYHFWTTISQQFNMDEILKDIIRQIYGRDRRPIPRGVETMKISELGTMVQDSLRSSSYLLILDDFRSINDWNSIIKTLPRGFRSRIVLTSRHADVAPPANQKFDAHNFSMEKLSQEESKALFCRKAFQDGRCPANLEEIVEKILRKCDGLPLAINAIGGFLRRKAEIRDWERVYNNLGFELTRNNEELGFMKKLLIPSYNELPEELKSCFLYLSMFPEDYKIEYNRLIRLWVAEKFVQPIEEKTGEEVAEEYFKMLLNRYLIQAAETSSDGRVKTCRVHDILHQICIIKADDQNFAAIHKDGDAALPDNVRRLSIHNGLGKAKQIWNNSIVRALFVFGLVDSPSKANLHELLIKNHKKVRLLDLQAAPLKQFPHGITNLVHLRYLSMRHTKVEAIPSSISKLRNLETLDLKHAHVSKLPASIVKLHKLRVLLVYRYDQIESYTHSHYKYGFNPPANLGKLKFLQKLSFLEATHGRHMLNEIGSLSQLRRLGIIKLREEDGKALCSSIKKLSQLRALSITSMDEKEIIDLPKEQLSQDFRLQCLHRLQRLYLTGPLRELPKWIPMVDSLVRLSLRGSRLKDPPLAGLRHLPELVHLDLLEAYNGKDLHFMNGGFWKLKVLVIDKLDELETIEVDEKAMPSLEKLIIQRCMMLRKVPRGIQHLKSIKVLEFFDMPQELIEILRPGDPGSENWKIAHISQVHSTHWNKGWQSQSLSPLNELERS >OMO94412 pep supercontig:CCACVL1_1.0:contig07896:34708:35030:-1 gene:CCACVL1_06018 transcript:OMO94412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAASGVASSSDNIRTDFPMALAILLDQI >OMO94413 pep supercontig:CCACVL1_1.0:contig07896:36637:39432:1 gene:CCACVL1_06019 transcript:OMO94413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEPIFTILAVIIAYLLNTLNILSGCGQVRHRNRIHQDVEAIKRDLRNLEAILKQSDDDQQSLVGMRVASFRAADAIEKFDQLSTEEVELLFDSVCGFFKSMTNLKSHIQIASGIRHAKKMVNNAISDRPRENNQLQRPPNNRTWANLREDALEKDDIVGIEKPSKKLMGWLLPKDPKLRVISVVGMGGMGKTTLVKKVFDDIRLKDRFRHHVWTTISQSLNVEEFLKDIIRQIYAGNYRRPIPGDLGTMEISGLQKMVQDSLRPSSGSYLLVLDDIWSINDCNAIINTLPKGYRSRIILTTRDDDIAPLSCLKFNADKHVMAKLSSENSKELFCRKAFEDGRCPTHLEQTVESILGKCDGLPLAINSIGGFLRKKKKAQEWETANSSLGYELRMNKELDFMKKILSLSYNELSDEVKSCFLYLSMFPEDYKIEYNRLIRLWMAEKFVHPIEEKTVEEVAEEYFKILLNRNLIQAAETSSDGRFKSCRVHDIMHKICILKSKDQRFAGIHNNTGADWPDNVRRLSIQYTTPNVDQITKSPLVRALCVFGLAESTPEATMHALLLKKHRKIKILDLQGAPLKKFPREITKLVYLRYLSLRHTKVEEIPNSISKLKNLETLDLKHARVSKLPTSIVELTKLRVLLVYRYDEVESYTHFHYKYGFEPPDDIGQLKSLRKLSFVDATRGRHILTQLGNLTQLRRLGVTELKEGDGAALCSSINNLSQLRALSITSWDEEQIIDLPADQLRSPQCLPLQRLYLTGSLKELPMWIPNVTSLVRLSLKGSRLDVAPLKQLQDLPRLEHLELFEVYNGKELHFEDGGFMSLKVLGLDKFEELETIKVDKGAMGNLEKLIIQRCMMLKEVPVGIEHLTRIKVLELFDMPQEIIQRLRIGGEDNLKIAHIPQAYSTHWNKGWESNSLDGTLNVRDSITPFGK >OMO94410 pep supercontig:CCACVL1_1.0:contig07896:17361:20234:1 gene:CCACVL1_06016 transcript:OMO94410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MVEPAIVGASLNCLLNTFKELCGNGVGRLNRIEQDVDSIRTGLSRSNAILRDFDAREDEISDESNQTEDIQQLRVASFRAADALEGYSNQLAGEDGGSDFCGVFKSIKNLKSQIEFASEIKHARLSLSTLLDGLERQLAGRSNSNVRELRPCPGTWRSLREDALLLEEDDLVGIEAPREKLITWLLSDDSKLKVISVVGMGGMGKTTLVKKVYDDVSLLNHFTYHVWTTISESFKMDELLRDIIRQIHAESRLPVPHGVETMGSTKLKKMVKDSLRSCSYLLVLDDVWSIEAWIAIQNTLPRGNGNRVVLTTRDANIAPPACQDYDGEIHTMDKLSKEESKRLLCRKAFQDGNCPPLLDQIVDSILKKCDGLPLAIYTIGAFLSTKEVTNAHEWEMVNSSLGFELRVNNQFDFMKKILSLSYSELPESLKSCFLYFSIIPEDYTIEYSRLTRLWMAEEFVLAIEGKTAEEVAEKNFKMLQARNLIQAAETTSDGRVKTCRIHDILHQICILKSKDQRFAAIHKDGDADWPDNVRRLSIHNTLQNVEQIRNNSHIRALFVFGLGGSPSKATMHALLHENHRMVRLLDLQAAPLKLFPREITNLVHLRYLNLRLTMVKAIPSSICKLKNLETLDLKHAHVSKLPASIVELQKLRVLLVYRYDQIESYTQFNYKYGFRPPDNIGKLRSLQKLCFLEANQGRHILTELGNLGQLRRLGVIKLKEKDGKALCSSIKKLSQLRALSVTSENEEEIIDLSDLQPLPDSPLQCLERLQRLYLTGRLTELPRWLPKVTSLVRLTLKGSRLKDAPISELQLLPELVHLELLQVYNGNKLHFQGGGFTKLKVLGLDKFDELESIEVDEGSMPNLEKLIIQRCNRLKKVPLGIQYLTNIKGLELFDMPPELITSLRPGNPGSEDWKTIAHIAQVYSANWKDKGWESQSLGSGLNERENSLNVPISHCWK >OMO94409 pep supercontig:CCACVL1_1.0:contig07896:6144:7998:-1 gene:CCACVL1_06015 transcript:OMO94409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYRSTVAEESSGSIRAPLHIYCSERTPNGALGAREVLTGDVVGEVAPRESVQLDFGASPNVLSEQLRVYEEYCRNKFPMVGVESSDFLADAGNEAAYKFYMCASESGLCSGANSFEALNCEPGDRAFHIRKGGRVAPPFIAEFCNYYGVAPSQLIPNTWKILISYIVVCVYKGWTPFVTVIRHLFQLQKRKNGWYAFQVRRGKKNKFPGPENNRLWQPKFFGIEVSEGIEWGIPIQWREINASERNGPRGWVLSEEEKKHANYLQRVKHSPKKLCHWTRLYLCGLAPRPDAYDKMPALEMGTMGPLVIDGVRIIVNARGEEGLEPAPGTIIPEATPELFEVELDPELPMMSKAEMKVAVQAGMAARNKGGQTSKGKGGGKKRSTEDPPPKNPKNSAPKKLRTMAPVRVLVLPTKGATSSSALVADVEGATPSVVSKATPSRSSDRDLT >OMP11246 pep supercontig:CCACVL1_1.0:contig01446:3212:3313:-1 gene:CCACVL1_00601 transcript:OMP11246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLDPHLLMFFDDIALRRRNYEHKEKRSRSLVEE >OMP11245 pep supercontig:CCACVL1_1.0:contig01446:1418:2487:-1 gene:CCACVL1_00600 transcript:OMP11245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDRLAIDDLGEEPESTIPHFY >OMO89309 pep supercontig:CCACVL1_1.0:contig08782:18819:19730:-1 gene:CCACVL1_07927 transcript:OMO89309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MLPIFHGEHHENPHEHLQSFFLKCATIIGMPEEDLKLRTFPVALDGKAKEWFLRLPPKSIKSWKGMETVFLNKYFPEAKRADVRRKINTCQQLPGEKWYQYWERYNQICASCPYHNISEALLIQHFYDSLIYEDRTYVDDASGGGLTFKTPKEARKLLNTMAENIHQFGTTNEADATKDSEIAEIRKELKEVTTQCGNLAKMFAEFMSVCSQPSVQKRGINAIEETQHWMELPNVPAMSSIPTPNFPKTLPPIDVFDEPIQGDMKTSTEIKKDAPAVPDAEHQQSEEEWMEEMQAKYDPLPFP >OMO89308 pep supercontig:CCACVL1_1.0:contig08782:17461:18519:1 gene:CCACVL1_07926 transcript:OMO89308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MDTEFDALADDVQQVKDGQNQQAQPPQQRANAAHNNERIQLPPLRQVARLDPMERLRQQDLGGQVINENMRPRRGIEREEPKDNIKYKIPKFNGRGSPSDYLEWESKLDMYFDYHPHVEPKKVQIATLEFTENALNWWNQLVQTRRRNLERPIDTWLGLKSFMRKRFVPSFYTNGLYQELQSLRQGTRSVDEYFSEMMLLMSRAEVDEAAQATIARFLAGLNREIHDIVEMQQHYDVEELLQHALKAESQVKRNRKSFASSSSSWKTPIKKDDKSYKEEELAQKGATPKTHSKSSSSSSSKNYVKLFKCQGKTKSPICTKIFSTKPSGLWLVLSANWIVRSVSSRGSIFNCL >OMO91442 pep supercontig:CCACVL1_1.0:contig08342:923:997:-1 gene:CCACVL1_07110 transcript:OMO91442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAVGREAHYAITLQTTKYSWESG >OMO91444 pep supercontig:CCACVL1_1.0:contig08342:7185:12046:1 gene:CCACVL1_07112 transcript:OMO91444 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MEKKEKKFLTVAPFECAWRKDLKFREAGRGCVAFDAFAHNDVTVVFRENVGSQHYHYKRDNSPHYTVIIGSHRNRRLKIEVDGKTVVDVAGAGLCCSSAFQSYWITIYDGLISIGKGRYPFQNLVFQWLDSNPNYSVRYVGLSSWDKHVGYRNVNVLPLTQNHLLLWKQVECGEYRGEDDGDKELEDEQMGYEKWGLENFLESWELSDLFFMVGEEERLVPAHKVILQASGNFLLSSSDGDVIQLRQVAYPILHALLQYIYAGQTQISEAQLSSLWALSLQFEVMPLVKQCEEAIERFKVNKKLFDSGKNVKLSYANAQPHSGGTFSCGIPINMKRLQQLHSTGEYSDISIYIEGQGLIARSHKVILGLHSVPFAKMFTNGMCESTASEVCLRDVSPAALKAMLNFMYSGELSIEDTEDFGTLLLQLLLMSDKFGISHLHQECCKMLLECLSEDSVCPILQAVSSIPSCKLIEETCERKFAMHFDYCTTASLDFVSLDETTFRNIIQHPDLTVTSEERVLDAILMWCMESEKLCGWEVVNEILINSTPESLFKGRLRMVNELLPSVRFSLMPYLLLKKLENTSLRHISAFADLVKEAINYIECRGAIPGNDQNVRFQHRRSSYKELQYIRDGDSNGLLYFAGTSYGEHPWVNPVLSKRITITASSPTSRYTDPKVLVSRTYQGTCFAGSCMEDGRICAWWMVDIGQEHQLMCNYYTLRQDGSRAYIRCWKFQGSADGRTWIDLRVHENDQTMCKPGQFASWPVTGPNALLPFRFFRVLLTGPTTESSNPWNFCICFLELYGYFH >OMO91443 pep supercontig:CCACVL1_1.0:contig08342:2843:5346:1 gene:CCACVL1_07111 transcript:OMO91443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNENNPGVIGPSHLHGGLRAGGGGKFVGATAQNRRALSSINQNVIRAPPYPCAVNKRPISEINAVCQKKVPVPPIPIHRPITRKFAAQMANKQQTKPEEVNKPVQSVSTLNESEDCNILDVNDTEASGDSDVPMYVQHTEAMMDEIDRMEEVEMEDADEELVVDIDDCDKKNPLAVVEYIDDIYKFYKKAECTSCVPPSYMGVQHDINERMRGILIDWLIEVHYKFELMDETLYLTVNLIDRFLAVQQVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYTRKEVLDMEKLMVNTLQFNFSLPTPYVFMRRFLKAAQSNKKLELLSFFMIELCLVEYEMLKFPPSLLASAAIFTAQCTLSGSTHWTKTCEWYTTYSAEQLMDCSRMMVTFHQKAGTGKLTGVHRKYSTSKYGYAAKTEPATFLLEARF >OMO91445 pep supercontig:CCACVL1_1.0:contig08342:12819:14229:-1 gene:CCACVL1_07113 transcript:OMO91445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLGIPVKLLHEASGHIVTVELKSGELYRGSMLECEDNWNCQLENITYTAKDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDAKIKGKSSSLGVGRGRAVAMRAKLPNSNYFIDLQAQAAGRGTTGRGVPPAVRR >OMP00812 pep supercontig:CCACVL1_1.0:contig06614:8740:8820:-1 gene:CCACVL1_03295 transcript:OMP00812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALFCYLCLDYFVTALQWCLDSGVLLLL >OMO82451 pep supercontig:CCACVL1_1.0:contig10035:5844:6959:1 gene:CCACVL1_11948 transcript:OMO82451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSADVIGSNDDIITEILLHLPAKSLVKFKLVSRKWLSLISDPNFVLRHCRQSPKTVSGFILAIVEPFDFKCPPTYMYMSLYENKNQNQNSNSVQSRANFPSFDPSAPGAIMISQSCNGLLLCYSQRYGSPAPCVYYVFNPATNKFSILPEPICHEYSEIILQGQAMPRPPLPENWNSDNFRHFMECQGHLLFIDFDSPEYVIYEMENDCSKWFVKYRLDINLIVTAFPDEMINDKENNSSISLLELEEFISPVSLVEVENEGSLLVMYIPGRFIAYRFKDNTFKTIRE >OMO82450 pep supercontig:CCACVL1_1.0:contig10035:460:1641:1 gene:CCACVL1_11947 transcript:OMO82450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSADVIGGNDDIIMEILLHLPAKSLIKFKLVSRKWLNLISDPNFVLRHYRQSPKTVSAIILSCFMPLDFEAPQTYMYVSLDENQNQNSIQSRADFFSFDPSAPGSIMISQSCNGLLLCYSENYGLPTGTYYIFNPVTNKFSIIPEPICHGNSMINYFSLAYEPTISPFYQVVCLQFYNSSCESLVYSSETETWKDSKNQELEFFSADFHDGVYWNGGIHWLLTSDGTSFRFDIQQETILKVPMPRPPLAENWNPHNLRHFMECQGHLFLIDFDCPEYVIYEMENDRSKWLVKHRLDINLIVTAFPDRLDINLIRNRGNSCNSVLELEKLISPVSLVEVENEGPSLVMYIPGRFISYGFKDNTFKTIGEAKFYESRLGKWYHTFNYMETLSYV >OMO91111 pep supercontig:CCACVL1_1.0:contig08382:3614:6902:1 gene:CCACVL1_07217 transcript:OMO91111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde oxidase/xanthine dehydrogenase, a/b hammerhead MVNHMETTTAAKPSLVFAVNGQRFDVSQVDPCTTLLEFLRSQTPFKSVKLSCGEGGCGACSVLLSKYDPVLDQVEDFTVNSCLTLLCSINRCSITTAEGVGNSKDGFHPIQERFPGFHASQCGFCTPGMCVSLFSALVNADKKTNRPEPRPGFSKLTVSEAEKAIAGNLCRCTGYRPIADACKSFAADVDMEDLGFNSFWRKGETDEVKLSRLPPNKSTNAACAFPEFLKKETLACANLASEGCHWYSPVSIEQLQSLLQMDDNNGGTSMKIVVGNTGMGYYKELEHYDKYIDLRNIPELSIIRKDLTGIEIGAAVTISKAIEALKEGNEGKINLLFNKIADHMEKIASQSIRNSASLGGNLVMAQRRHFPSDIATILLCVDTLVEVMTGQRHEKLRLEEFLGRPPLDTKSILLSIKIPSWESSRNVPSGTTDTFLAQVSHCKNPAGRMLRDCRLAFGAYGIKHSIRVREVEEFLYGKVLSIGVLYEAIKLLESLIKPEDGTSSPAYRSSLALIQFDNEYKPVGEPVTKIGAVLQASGEAIYVDDIPSPKNCLHGAFIYSTEPLARVKGIKLNPGSSSSDGVVALISSKDIPGLNLGSKTIFGTEPLYADDLTKGVGQRIAFVVADTQKQADTAANLAVIDYDKDNLEPPILSIEEAVERSSFYTVPPFLYPEQVGDFSKGMAEADHKILSAEA >OMO91110 pep supercontig:CCACVL1_1.0:contig08382:192:2933:1 gene:CCACVL1_07216 transcript:OMO91110 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde oxidase/xanthine dehydrogenase, molybdopterin binding protein MSNKWRKRGISRVPVVHEVILRATPGKVSILRDGSIVVEVGGIELGQGLWTKVKQVTAYALSLIQCGGAEELLGKVRVIQADTLSLIQGGFTAGSTTSESSCEAIRLCCNILVERLTALKERLVEQMGSINWETLILQAYMTSVNLSVNSLYVPDFSSMKYLNYGAAVSEVEINLLTGQTTILRTDIIYDCGQSLNPAVDLGQIEGAFVQGIGFFMLEEYPTNSNGLVIAEGTWDYKIPTVDTIPKQFNVEILNSGHHKKRILSSKASGEPPLTLAASVHCAIRAGITEARRQLHSWGGQDESMSNSTFQLEVPATMPVVKELCGLDSVQRFLKWTMGSSK >OMO85366 pep supercontig:CCACVL1_1.0:contig09629:7513:11974:-1 gene:CCACVL1_10234 transcript:OMO85366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEYDSPPYEYDSDEYDSPPYGYDSDEYDKEKILEEVIKSDNRDEFVPMMTRQFRFESLNSYRVLRVALFVTDICEYGAVNCATALLQGETGKQLDLNAFYPFEDMTMLHEACHSPQLVELFLRYGARTDIKSKVTDFALEYMGGDHFWFTGWYPEQSIFTTIIVLCFPCLRSHLQAIRLLYRSTKEVEKEIFGFVREAKLIDMAIVLMAVGEEISSPTLFQGLCDSDLHGNISLRQSVLFEIAKTRNLQVRSSTPSVGSNNEHNKLVTLSTMLLLLDVFEKVGDEIEAFCLQYHEIDDKMVTNAQVAAKVGYLLNKAGLTYEDFPIKDVKRFRWGPSSWMSKFQEEHFTRIINKLREDRENRQLGSGSGKNHKESEAKQVHYLYNQHQKRTFASLAYMRGSPNCTMSLRNQLPASQQSLNHKDETRRGHQKKAIEDGFRFDVFPPIATKFTEIMKPLVPKFPKEKMLSNIKIYKRAETPENLEQHSGTWADLDLDRFLGESFRLSFNKILESICTQGWFWPLPPMLGDGKPVDLLKLFKIVMKKGGYDVVTKKGLWDLVAKESGSGSGLGSSVKLVYVKYLLLLETWLESIDDDSKQSKIESSNRLMELGAQLKGFLFESTNINEKERNSSLKRKRDSANWGMLNWVTEIGKDPCDPVIGSLPDKSRWKLSDGSEELWKQILQFREFAILKKYDHQKYQKMHPCIYDDDQTKFGYNLRKRQKQGNSSIDKHCGVHIGQAEVPEWTGVA >OMO85367 pep supercontig:CCACVL1_1.0:contig09629:15699:17770:-1 gene:CCACVL1_10235 transcript:OMO85367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEYDSESDQYDAEESLEEAIESDNRDEFVPLMTMQFRCEFDYPYGVDKVKWTMLHEACHSPELVELFLRYGARTDIKSKVTERNGIYEGMIPFTLSVRKLWDHFWFTGWYPEQSIFTTIIVLCFPCLRSHLEAVRLLYRSTKEVEKEIYGFVKEAKLVDMAISLMAVRQEVSSPTLFKGLCDSDLGGSISLRQSVLFEIASIRNLEMTSSPSVESNEHKLVTLNTMLLLLDVFEKVGDEIESYCLQCQETDGDQKVTNAQVAAKVGYLLNKAGLTYEDFPIKDVKRFQSFLFHVIYFLS >OMO85365 pep supercontig:CCACVL1_1.0:contig09629:3350:4133:-1 gene:CCACVL1_10233 transcript:OMO85365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAKTPENLQHSGTWATLLESEQFRFSIHKILESLLRGVCAQGWFFPLPSMLGDGKPLDLLKLFLIVRKKGGYDVVTRIGLWDLVAKESGLGSGSGSSVKSVYLKYLLLLETWFETIVGGKNSKRELGYSNRLMELGDELKGILLESTNIVDDDQSNDEIKERNSSLKRKRDSTNWGMKMLNWVTEIGKDPCDPVIGSLPDKSKWKLSDGSEELWKQILQFREFASLKKYDHQRYQKMHPCIYDDDEAKFGYNLRKRQKQ >OMO85368 pep supercontig:CCACVL1_1.0:contig09629:58037:64968:-1 gene:CCACVL1_10236 transcript:OMO85368 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MFEKAKPGLLQAYNKRPATQPFRNSLAIGKFCQWLSMWGEENHQLIFEVIKNKGIRVNEGNWTTCAQGDIPELYAWETLPGYLNLSTDAREDKDKDKVQYPIGLSLVSDLGDQLLDCRTVMLPEAVGIQHAELKGISMGMRWSLLYMDKHKVEKRLVVINDCKAVIDTINNPTNMDKIKDEKTKKTYKEVYDEIFWSVKEEFEDVKFVFVPRKINRAADLLAKCKVTPDKTGYDVEIIEALEAIAKEDIKLKCFARNLPTNFIEKYDSSKGYLLVRQSFFQNDSANFVPIEGGVLGCRGFHSSFRTSQGGLSLNIDVSTTVKIQPGPVVNFLISNQNARDPFSIDWSKLCELVSLQRYTKALSTFQRAALVEKSRQKPQERMSALSNALRNSNYGADPILRSCGVSISSSFTQVEGRVLPAPKLKMGNGEELFSRNGQCNFNNKPAKIERWAVVNFSARCDRIDPPFDVLEENNQNRRLSPVLRVEKMFDDIQSKLPGAPQFLLCLLPDRKNSDLYGPWKRKNLAEFDIVTQSWRTLFYVVSSRQWPSISRYRASVRTQSPKVEMIDSLFKRVSEKEDDGIIRELLLDFYTSSGKRKPDQIIIFQDGVSESQFNQVLNVELDQIIQACKFLDENWDPKFVVIVAQKNHHTKFFLQNSPDNVQPGTVIDNKICHPKNNDFYLCAHAGMIGTTRPTHYHVLLDQIGFSPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQLGTYMKFEEASETSSSHGGVTAPGAVPVPQLPRLKEKVCSSMFFC >OMO68036 pep supercontig:CCACVL1_1.0:contig12305:2745:11162:1 gene:CCACVL1_20117 transcript:OMO68036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Electron transfer flavoprotein-ubiquinone oxidoreductase MHRFLSISSKSTALKNHKQLRLPFISPISSATPNGHSYFNHKSASLGSRTGLGRFFSSGFFPKRVNLWGHERNGNGFLRLKGFVGEVRSFSSEADRECIEYDVVIVGAGPAGLSAGIRFKQLCQEKNADFSVCVVEKGAEVGAHILSGNVFEPRALNELLPLWKQEEAPINVPVSSDKFWFLTKDRAISLPCPFDNKGNYVISLSQLVRWMGVKAEEFGVEIYPGFAASEILYDADNKVIGIGTNDMGIAKDGSKKENFQRGRITLLAEGCRGSLSQKIMKKYKLREKVQAQHQTYALGIKEVWEIDEKKHKPGAVLHTLGWPLDSKTYGGSFLYHMNDRQISIGLVVALNYHNPFLNPYEEFQKLKHHPSIKSLLEGGTVLQYGARTLNEGGFQSIPYPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEATFGVLHDGSNMDAYWDALRNSWVWEELHKTRNYRPAFEYGLFPGLAISALEHYVLKGKSSFTLKHGKPDHEATDVARLHSPIQYPKPDGILSFDVPTSLHRSNTNHDHDQPAHLRLRDPSIPETVNLPEYAGPESRYCPARVYEYVPNEENELKLQINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYSVM >OMO67459 pep supercontig:CCACVL1_1.0:contig12428:1383:2482:-1 gene:CCACVL1_20511 transcript:OMO67459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNVPYFDDEKSLFNRFYFALSTTWADVLKVLEGVAKGIQSLHDKGFVHADLKHDNIFITEHFVVKVGDLAGVIRDGQQSQITTGKYVPPEAENSRTSNIHKSSDIFSYDVIMIQLIMKEDTTTLDDGGGKRGKRGKEQSEGIVQRFERFKSQGSSVVYHTLTGCPDAIIDLAIRCTSFKNSLGQLLHT >OMO67460 pep supercontig:CCACVL1_1.0:contig12428:4047:8510:1 gene:CCACVL1_20512 transcript:OMO67460 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar fusion protein MON1 MSSDSGSSSSSGDESVEQNPDSNPKLVDERFDLLTLTEPVELEPNHQENGNEIANGSLNRGSNDGGAEDDQLRVENHEIFGDEGPASPSSSGYAGERGSSSASSASGIGEVSEIDGNEIHEVRNDGSLEGISDSRASSWVPGKRHVDEDDASISWRKRKKHFFILSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVKSVKAGKHQVVFLVKGPIYLVCISCTEEPFESLKGQLELIYGQMILILTKSINRCFEKNPKFDMTPLLGGTDVVFSSLIHSFSWNPATFLHAYTCIPLAYATRQAAGAILQDVADSGVLFAILMCKHKVISLVGAQKASLHPDDMLLLSNFVMSSESFRTSESFSPICLPRYNPMAFLYAYVHFFDVDTYLMLLTTSSDAFYHLKDCRIRIETVLLKSNVLSEVQRSTIDGGMRVEDLPVDPLPRFGSSPHLGQQRPPTESPEGPREPCIGIGGPAGLWHFIYRSIYLDQYVSSEFSPPLSSPQQQKRLYRAYQRLYASMHDKGIGPHKTQFRRDENYVLLCWVTQDFELYAAFDPLADKALAIKTCNRVCQWVKDVENEIFLQGASPFSW >OMO67461 pep supercontig:CCACVL1_1.0:contig12428:14136:17837:1 gene:CCACVL1_20513 transcript:OMO67461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGETFESPQYCSTLRQAEHSAAEVALQSLSNRGPSHSLAARILDETGVYKNLLQEIAQRVGAPLPQYTTFRSGLGHLPVFTGTVELAGITFTGDPAKNKKQAEKNAAMAAWTSLKQLAKETASSSSEPENNDELEQITIARALLNYRIKEKMAMANTSNTPIPFTKKFPSQNLRPTSPQPPATTSKILPLICPKVIPRNRLMSATANDKPSVPSFQALTPESRGARPQKFPAAGAAPYVPMRQFRTPCHSMAPPVTIRTAVPVFSAPPRPAPSTASPQPPASTISTQPAQSVLPTQPPQAAVPHQQSPSTVATPVLQAPPVRIAAPITIRQVIPVFAAPPARKEENPSVRNEDVPTVTSSTLPSKSPVQLEEAASTTAKNLQESETVQNLEQLKI >OMO67458 pep supercontig:CCACVL1_1.0:contig12428:589:675:1 gene:CCACVL1_20510 transcript:OMO67458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSGSSSSSGDESVEQNPDSNPKFGG >OMP04148 pep supercontig:CCACVL1_1.0:contig05808:2457:2519:-1 gene:CCACVL1_02187 transcript:OMP04148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTREQQPTAKKTEPIPMLK >OMP00758 pep supercontig:CCACVL1_1.0:contig06622:1044:1142:-1 gene:CCACVL1_03302 transcript:OMP00758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKPIQNSTNVLRTARNKVFCMS >OMO53744 pep supercontig:CCACVL1_1.0:contig15163:35251:35631:1 gene:CCACVL1_28392 transcript:OMO53744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFMALPLASSSSKLISSDIPTIPSSPEVSMSDPALSPYQELSPDIAPLLPSPGGVVPTTGSSMPTIPSSPSPPNPDDLMAEGPGGSAFPPFGSFPASSSSSNLAPFSVVFLACSLLQQLIVRLL >OMO53739 pep supercontig:CCACVL1_1.0:contig15163:5293:5661:1 gene:CCACVL1_28387 transcript:OMO53739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKKGVYVFIDRDKIKRVRVIPVSTPTVTATQAKNNRITRLNNLRVKKHGRSSSKEASSSDSNDNNSSSVSTDLTRTNNNHVVQSMAPSCFRPDANANATSCGIMSQQNSSMELESNGKL >OMO53741 pep supercontig:CCACVL1_1.0:contig15163:11360:11527:1 gene:CCACVL1_28389 transcript:OMO53741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGKERELACFSNSKWGRAETPKRGGDGEGLGKGTETREAEERTKRGGNDKSI >OMO53742 pep supercontig:CCACVL1_1.0:contig15163:16832:24078:-1 gene:CCACVL1_28390 transcript:OMO53742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 16 MEYYYSFLVLFAFLILHANAAADVPFDQNYKEEYGSDHIQYFENRTQVQLSLDNTTGSGFVSKVSYGSGFFQMRIKLPDKNSNGIITTFYLISNTSIHDEIDFEFLGGNVSYTLHTNIFSNGQGGKEQQFSFWLFVDETPIRVFKNNSNIGIDYPSQPMEVQGTIWNGDWAAHGKPVDWNSAPFKANYQGFGIDGCQAMSPNDEKCQSPDLAWNGEKFWDLDPNQRKAYEDVRSKYLNFFSFFHLELCCWVVELEVWEVERRGIMRNNPTLICVPIMADSIEKMAIDMAKAKASTADLVEIRLDSLKNFNPRQDLQALIRQSPLPTLFTYRPVWEGGQYDGDEKERQNVLRLAMELGADYIDVELKVADEFVESIYEKKPEKAKIIVSYHNNENTPSVEELGDIVARIQSTGADIVKIATTALDITDVARIFQITVHSYVPIIGLVMGERGLISRILCAKFGGFLTFGTLETGDVSAPGQPTIGDLLNLYNFRLLGPDTKVFGVIGKPIGQSKSPMLYNEAFRSSGFDGVYVHLLVDDLPRFLDTYSSPDFAGFSCTLPHKEAAVKCCDEVDPVAKSIGAVNCIIRRQSDGRLFGYNTDYLGAISAIEDGLRATCTTSTTAGSPLAGRLFVIIGAGGAGKAIAYGAKRKGARVVIANRTYARAKEVADIIEGDAMSLADLVNFRPEEGTILANTTSIGMLPNINETPLPKDSLKYYSLIFDAIYTPKMTRLLREAQECGVTIVTGVQMLIGQAYEQFERFTGLPAPKEQFLKTMSNY >OMO53743 pep supercontig:CCACVL1_1.0:contig15163:27672:33526:1 gene:CCACVL1_28391 transcript:OMO53743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHYHVYEAIGHGKYSNVYKGRKKKTIEYFAIKSVDKSQRSKVLQEVRILHSLNDPNVLKFYAWYETSAHLWLVLEYCTGGDLMTLLRQDGKLPEDSIHFLAFDIVRALLYLHSKGIIYCDLKPSNILLDENGHIKLCDFALARKLSDISKTPSSTLPQAKRGTPCYMAPELFEDGGVHSYASDFWALGCVLYECYAGKPPFVGREFTQLVKSILSDPTPPLPGSPSPSFVNLVNSLLIKDPVERIKWSELCVHAFWHAKFSLVPLPPQPAFENMIELYAKPCLQERNGDRSSQSKTPPKYREKESRGGSRKDENSVVGLRGYETPVKNTPTGRKTQTKASGRGVEEKHKDRAVKRVNLLRLSRIAKTNLQKENEKDNYRRPLPNGSENESEVKIENTDMELDFDENNEEEAHDEPDVSDAPTCMTDDKISSQNEKQLKVEERGNNIDQPDSPAVSNLRASDDTKTYDQELSSDHVEVAATPPSFPSQHRNQRIKESPGPASESDYSKSSGDISQVFWHPSDLSVRPVMPSRKADKMSNAIPSLPFEALQPSDFVKLSKEQLDALNTRIIAAFGGNASISEKQNVIRYLEMLSNNADAANILTNGSIMLMLVKMFRQSKTSALRVQLASLIGLLIRHSTFIDDDLASSGILGALTDGLRDWQEKVRRFSMAALGELLFYISTQNENARDNNPLESPSKDNKPVSGWQVPNSLISLVSSVLRKGEDDMTQLYALRTIENICSQGGHWAARFTSQDVISNLCYIYRAAGKQESMRLTAGSCLVRLVRFSPNSIQSVIDKFSLKDIASALNKGSLREQQISLNLLNMAMLGSHMFSNIGRYLLPLVEDKNLVPSLVSLIEQGSEVLRGKALIFVALLCKNGKRWLPQFFCSARLLPTVERLAKEKDSYLQQCLDSFLHVVASTVPGLLDGITGDIQQMMGGRRHGQISTLTSRAAPKNNMHLLPVVLHLLGSSSFRNRVVTHQVLRQLATLIQVVETPFQGRDDFQITLLRILESIPEESPVILESPDIFIPGILPSLAVLYKGNKDGDARFLCLKIMFDVMVIFLNEPALNNQRSEDLKVISNSHFLPLYPTLIEDEDPIPMYAQKLLVMLIEFGYIKIPDILDLKMVSKCFEFLLGDLTNANVNNVKLCLALTSAPEMDSKLLSQLKVVRKVGNLLELVYAKDMEDFLEPTLGLCRALLLRSVGSRKGFVYTKEPTLLGDGSSESSGPIDQQQCIRDIMDFGSNVGVLLELSSSPDASIADVASECVILLLKAAPREATTGFLTNLPKAVSILEARCKGISHLLLLRILHAVGYSCRQYLSHAMILSISIPEITRIEGIVSELKNSSIPGLSNVASLVLMELQRLPRCI >OMO53745 pep supercontig:CCACVL1_1.0:contig15163:37898:39612:-1 gene:CCACVL1_28393 transcript:OMO53745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein (ACP) MASLTGASLNFTSLSSSFNRTQAPLRGISNVNSVSFQSIRMRPGSLRFRVSCAAKPETVNKVCEIVRKQLALPEDSSVTGESKFAALGADSLDTVEIVMGLEEEFGISVEEESAQSITTVQDAADMIEKLIKSRDA >OMO53740 pep supercontig:CCACVL1_1.0:contig15163:7490:10276:-1 gene:CCACVL1_28388 transcript:OMO53740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKAQQLFQDVENISQMIMVCEVHCAAEKKFLGNELDWYAILKVEVTADEAAIKKQYRKFALGLHPDKNKFPGAEAAFKLIGDAQRILLDQGKRSTHDMKRRVAVTRPAPAAAAGRQPQKPSSYPYVAVQNNFSGNFPGMNSQQQKPQPTQTGSINGRATFWTKCPYCTVKYQYYTEILHRTLQCQNCKKTFVAYASGAVQPESTMSQPKFPQQRVVLNPGALKVDQGSQPNISAGNAKAAFPPNAARTSEVRTEKVNGKKGRKQTVESSDSYDSDSSTEPEEDDMMLDDDADGLAGKKFDSQIKENLRRSGRRKQNVSYKENLSDEDDMVSPAKKAKSANVETEEVPKEDKSKFNNQSGLAGEVKEDKKAMEHGEGTSFGASSPNGMKKFGNGFDKERAKMDNHKTTVEAFADGATKNAKPDDDNSLPGEETTEPLLYNYADSEFYDFEKDKKEGCFSAGQIWAMYDTLDAMPRFYAQIRKVFSSGFKLRITWLEPDPDDDDEVKWVSEGLPVSCGNFRHGESENTEDRLMFSHKILWEKGISRNTFKIFPRKGETWAIFKDWNIKWKSNSDQKFKYEFVEILSEYAEGLGIRVAYLTKVKGFVSVFCKDGVGTRTSLIPCHELLRFSHRVPSSVLTGQEREGVPKGSFELDPACLPAHPEETVAAKDLKVENNGRDVNSSCSSSSENVMPTMGSKDALEIPETEFYNFDADKSPEKFDVGQIWALYSDEDGLPKYYGEIKKVQFKPFFEVRLKWLAFRPPHLVIQWLDRSMPTTCGTFKVNNKKGAASYTSTDTFSHKLNAKPTGTKDVYDILPRKGEIWALYRNWSPHIKCSDLENWEYDIVQVVEETLWHRKVLLLERVDGFNSVFKPKVEGGSDVTMDIPCVEQIRFSHQIPSFQLTNERDGRLRGFWEVDTAAFPVHYFYS >OMO53738 pep supercontig:CCACVL1_1.0:contig15163:15:445:1 gene:CCACVL1_28386 transcript:OMO53738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTARDSPRGRVLRSETPNDRQAQKHCLLMKDIPVPLCTKVYYSQRNNYLRSTLSQQFRVTSTEESCSDMVSAQALQQSMMRLQGSSQEVCALEQMDNLLIKKVCPC >OMP01008 pep supercontig:CCACVL1_1.0:contig06563:16443:18320:-1 gene:CCACVL1_03202 transcript:OMP01008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSKAVFAYLRLANSLLSTRVSSTRCFSTQVTHFSRSSSHLSFQPHSSNLRNTHQKLFFSSKPDSLVRLILANDWSDGLVTELEELNSALTHETVIYALKKLDKEPKKASNFFDWAREENGFKPNSSVYSFMLRILANKDTLKEFWKALKEMKDEGFYLDEETYYTINSSFKNKNKMEAEAVALTHFYKRMIEDNANDRVVKKVADVVLGGEWSDEIDKELDDMKISLSDNFVIRVLKELRNYPRKGLKFFHWVGNCSGYEHNTVTYNALLRVLARHDSIGEFWNVVEQMNGEGFEIDFDTYTKVTRHFQKFKMLEDAVKFYEIMMDGPYKPSAQDCSLLLRRLSGGSAEDMDLVGRVVSKYEAAGYSLSKAIYDGVHRSLTGLGRFDEAEKVMKTMKNAGCGPDNITYSQLVFGLCKAGRLEEARNVLGEMEAQGCIPDIKTWTILIQGHCDANQMDDALMCFANMQKNCDADADLLDVLINGFIKQNRIVGAYKLLEEMVNILHLRPWQATFKNLIEKLLRVRKLEESMNLLKLMKKQNHPPYAEPFVQYISKFGTVDDAMEFIKALTTSKQYPSIGAYVHVLKSFLKEGRHPEAQDIVHKCPHHIRQHSKISQLFGTKSTA >OMP01007 pep supercontig:CCACVL1_1.0:contig06563:11481:15194:1 gene:CCACVL1_03201 transcript:OMP01007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin ClpA/B MEISVPNPAELKISDQNGVAVASPAPASPSPIVPHEKFHVSIEVCLKPSSTARPEDVRKAVEQMLKKRSMSYVDGPVPVPADDAFLMENVQRICICDTDEWVKNHDILLFWQVKPLVHVFQLSEEGPCEELSADGQLSSFNEWILPAKEFDGMWESLIYESGLKQRLLRYAASALLFTERGVDSFLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFSSRYPQCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEENSLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQMDKLKSSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELIRTGIISSVQGSSQAILPDYVTLKQKLSMPETQEVQVTLLLSKQLLEAAEACEGLSGRSLRKLPFLAHAALDNPFICDPSKFLYTMIDTARRERSELPD >OMP01006 pep supercontig:CCACVL1_1.0:contig06563:7789:8286:-1 gene:CCACVL1_03200 transcript:OMP01006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFVLGLEKKESMRKKLELEDDDDDGKGLEIIKAVAQAWQSHSSSSISRPTNEFDAYRQNFRGKPSRFKLEAMSKSKNKLPHAKDNNINVNVNGSPSWDFKQSLWDSYEIVTVSKKLESGLVFDDPFVQKDSGRAQKGQKESKNSLRNLFRRVTSRRFNEADIPR >OMP01004 pep supercontig:CCACVL1_1.0:contig06563:2263:4805:1 gene:CCACVL1_03198 transcript:OMP01004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSSFYSADEFKLDAKWLIDPKHLFVGPRIGEGAHAKVYEGKYKNQTVAIKILHKGETPEEISKREGRFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGSLRKYLLNMRPRCLDMRVAIGFALDIARAMECLHSHGIIHRDLKPENLLLTADHKTVKLADFGLAREETLTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNHKVDAYSFAIVLWELLQNKLPFEGMSNLQAAYAAAFKNVRPSAENLPEELAIILTSCWKEDPNARPNFSQIIQMLLNYLSSICPPEPAIPARIFASENTILPPESPGTSSLMGVRDDSGETPKAKMEQKRKSLFFCSDTCY >OMP01009 pep supercontig:CCACVL1_1.0:contig06563:18834:19451:1 gene:CCACVL1_03203 transcript:OMP01009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDSTAPRHEEFKVLVAIGKPRGIDRDTAVTAPPFPMLKLKCNIQINYVPDTTGGSDTNIPATITNHDSWGFFHLPLDVFTSDDNFSCHSPQSYIEHMSSLMKIPFELDNLFLRNSSRDGDSVPLKSPDKIVTQIADTVQVMADEVGVCGQEGNKVQVLIVIIEKKVTLPVKDYLKMLKEKQQQDLFCDKILGTRGRLFLSYVM >OMP01005 pep supercontig:CCACVL1_1.0:contig06563:6319:6687:1 gene:CCACVL1_03199 transcript:OMP01005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRSYNQTPGRQNSGWDTTSHDYHAHLSKMERNPTMIPDAPQYPVVHRAFNNKYNFEEEVEFLQQGNGNQQQKSPKKVHMADQVESIDETKYEDLDADGYIQQKYKAFELCKWKTFKLQAI >OMO75942 pep supercontig:CCACVL1_1.0:contig10949:13849:13995:1 gene:CCACVL1_15979 transcript:OMO75942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNAGEINIGLDIPIKEFLKESTRVPCLVEIDKAKPSKDSTWTHQSS >OMO56806 pep supercontig:CCACVL1_1.0:contig14478:2160:8753:1 gene:CCACVL1_26254 transcript:OMO56806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIKKGLWPLAISEKHYIL >OMO72368 pep supercontig:CCACVL1_1.0:contig11458:13503:28874:1 gene:CCACVL1_17837 transcript:OMO72368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKVYSSFFTPLEFGFFRGLPKNLFLLDIAGQIAFLFDIVVRFFLAYRDTHSYSLVYDRKLIAFRYLKSRFIVDFLGCLPWDAIYKACGRKEPIRYLLWIRLSRALRVTEFFEKLEKNIWIKYLFIRIVKLLVVEYYCTHVAGCIFYYLATTLPPSKEGYTWIGSLQMGQYHYSNFREVDFWKRYVISLYFAVVTMVTVGYGDIHAVNVREMIFVMIYVSFDMILGAYLLGNMTALIVKGSKTERFRDKMADLMKYMTRNNLGKQISKEIKGHLKLQYDRSYTEATILQDVPASIRTKAIKVHEEFFLPGEVVIEQGNVVDQLYIVCHGKLTGHWTVKGPGLGLTDSTGHLIEVGRDENEKGESFTDLQTFSSFGEVSFLCNTPQPYTIRVRELCRVLRLDKRSFMEIVEIYFSDGRIILNNLLEGKDSNMQNEILESDVTLYIGKLESELAARLNCAAFNGDLYRLKRLIGAGADPNKTDYGGRSPLHIVASKGYEDITTFLLEQKVDVNISDKFGNTPLLEAIKHGHDQVASLLIKAGASLEMDDAGGFLCMTVARRDLSLLKRILAGGIDPNAKTYDYRTALHVAASESLYSVAKTLVEAGASVFLKDRWGNTPLDEARMGGNKSLIGLLETARTSQMSEFASPQQIRGRLWKKKCTIFPFHPWHQENERRSGVVLWVPESMEDLIKIAKEQLECTQASYILSDDGVSGHAVRGGNRSILVGSVVFFGFESV >OMO72369 pep supercontig:CCACVL1_1.0:contig11458:31807:32931:1 gene:CCACVL1_17838 transcript:OMO72369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQWVILTYLVAAEAAVALLLTLPSPKLLKNRLVSLISLILQPALFIVPFAGFQLLDIYWKNEHRLMCTSEICTAAERDRYEKSAYGLSQNCYDKAWAWVGYYNNRLN >OMO84105 pep supercontig:CCACVL1_1.0:contig09791:23326:24627:-1 gene:CCACVL1_10994 transcript:OMO84105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSNKDQVVSPTGSKVKDIIMESEEVKESLFASVPKSPIQSSRPSSMVVKKAHTVIPAHIVAEAISTLHGLDLRWSGPITPTEREYVEQYVLAKYPQYAGQVEIENIDLSSLCINEESSEPTLDDKKKSPRSSNNNFRDSSAPSFGTNLPDLDRTQLEPSRLLDILTKKSSFPGSFISIPEIQARNKVLKHCGLPDDDYLVLFTPNYKDAMMLVGESYPFFRGNFYMTILGQDMDYVREFASYKEAKVILAPESWLDLRIKGSQLSQYFRRKCKHSPKGLFSYPADVNGMRYSMHWISEAHRNSWHVLLDATALVVGQDRLNLALHRPDFVLCNLENTHSQPSKITCLLVRKKSFDTSTSSSQVTE >OMO84103 pep supercontig:CCACVL1_1.0:contig09791:335:1560:1 gene:CCACVL1_10991 transcript:OMO84103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CBF/NF-Y/archaeal histone MDANQQAQSSSYPPQPPTASAITPTPSSATAPPAFHHLLQQQQQQLQMFWSYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDEPGLAGMVGATASGVPYFYPPMGQPAGGPAGPGGMMIGRPAVDPTGVYVQPPSQAWQSVWQTAGAEDGSYASGGSSGQGNLDGQGDGCDDITYVPL >OMO84104 pep supercontig:CCACVL1_1.0:contig09791:20977:21309:1 gene:CCACVL1_10993 transcript:OMO84104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase complex, SecE/Sec61-gamma subunit MDVDAIVDPLRDFAKDSARLVKRCHKPDRKEYTKVAVRTAIGFVVMGFVGFFVKLIFIPINNIIVGSA >OMP01905 pep supercontig:CCACVL1_1.0:contig06356:10345:10416:1 gene:CCACVL1_02985 transcript:OMP01905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWEQTAGQSLNPALFSDVLELE >OMP01904 pep supercontig:CCACVL1_1.0:contig06356:8662:8876:-1 gene:CCACVL1_02984 transcript:OMP01904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHRKILIDGGGVNAIDIERKPLPTL >OMP11527 pep supercontig:CCACVL1_1.0:contig01201:3675:5460:1 gene:CCACVL1_00468 transcript:OMP11527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGIDPTAQPLEHTTAPAPGGEEPIDME >OMP11526 pep supercontig:CCACVL1_1.0:contig01201:132:2772:-1 gene:CCACVL1_00467 transcript:OMP11526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MATNGTSASHCHPMKATSNGVFQGENPLDYALPLAILQICLVLVLTRILAFLIRPLRQPRVIAEIVGGILLGPSALGRNEKYLNAIFPARSQTVLDTLANLGLLFFLFLVGLELDPKSLRRTGKKALSIALAGISIPFVLGIGTSFALSATISKGVDKAPFLVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDIAAWILLALAIALSGTGSSPLVSLWVFLCGSAFVLFCTAIVPPIFKWMAHRCPEGEPVDELYVCGTLAAVLAAGFVTDLIGIHALFGAFVIGVLVPKEGPFAAAMVEKVEDLVSGLFLPLYFVSSGLKTNIATIQGAQSWGLLVLVIITACFGKIAGTVSASVLCKVPFQEALALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMVLMAVFTTFMTTPLVMAVYKPAKRTSKADNKDRTIERKDTNTQLRILTCFHSTRNIPTMINLIEASRGTEKKEGLCVYAMHLMELSERSSAILMVHKARKNGLPFWNKGKEPNSDRFVVAFETFGQLSRVSVRPMTAISAMSSMHEDICTSAERKRAAMIILPFHKHQRLDGELETTRNEFRWVNKRVLEQAPCSVGIFVDRGLGGTAHISASNVCSKITVLFFGGHDDREALAYGSRMAEHPGISLSIIRFLPGPEISDGDIEFVVNVSNDEQFLMEFQKKISNNSSVSYEERVVSNSSETIEAIEEFSRCHLFLVGRMPESLVTAKLNVKTDCPELGPVGSLLTSPEFSTSASVLVVQQYTNQPTPRSGRLMASKKVAEMPPDGDIESV >OMO50497 pep supercontig:CCACVL1_1.0:contig16152:29587:32625:1 gene:CCACVL1_30406 transcript:OMO50497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane protein MWVEIICGLIIYRIFRRFFNCDDDILEVETSDSNAIFSVANRLEKLYSGNVYVGLRIPDADTGSPQNIDIVILTKGEAVVVSVKNLSGFVSINEDGSWTCEGGRSHKEERHPDPVAEAKKQASVLESYLEQRGVTLPEGYFSYKVVIPNPKFSPIHCNFPSEVITYEEWVKLNPEPVSMFSGWIKGVFRGGKKEMQESFQQQLKFILSTAPMWDRLELKGSKYVLGEFLEFKGKQEDTLALRNIKRSKVSRLIIQKTSMFGLAPSKLQVLYCPRDYRNESASGSEWKEATVRSSTEVLFQPQNSTKVRKFKFSSITSMSLSA >OMO50496 pep supercontig:CCACVL1_1.0:contig16152:23501:27079:1 gene:CCACVL1_30405 transcript:OMO50496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKSKSGLSETPSKASPATPRVASKVSRGLAKSEPDSPSPLQTTRHSIERSPRSSLNSKPAIERRSPKVASATPPEKPQARVAKGSELQAQLNAVQDDLKKAKEQISLIEKEKAQALDELKEAQKVAEEANEKLREAVVAQKRAEESSEIEKFRAVELEQAGIEAAQKKDEEWQKEIESVRNQHALDVAALLSTTQELQRVKQELAMTCDAKNQALSHADDATKIAEIHAEKVEILSAELVRLKSLLDSKREIEANEDNEMVLKLKEEIESLKQELEKAKAYEEKLIEKEAFIEQLNVDLEAARMAESYARNIVEECKNRVAELEMQAEEAKKLERSASESLDSVMKQLESNNDSLHDAESEIAALKEKVGLLEMTIGRQKGDIEESEHRINMAKEETAEVAKLVESLKSELETVKEEKIQALNNEKLAASSVQTLLEEKNKLINELENSRDEEEKSKKAMESLASALHEVSAEAREAKEKLLSSETDHASYESQIEDLRLVLKATNEKYETMLDDAKNEIDLLTSTIERSKNEYQDSKTEWEQKELHFVNCVKKSEEENSSLEKEINRLVNLLKQSEEEASASREEESQLKESLKEVESEVIYLQEAIKEVKTESMKLKESLLDKETELQSVIQENEELRAREAASLKKIEELSKLLEEATIKKQSEENGELSDSEKDYDLLPKVVEFSEENGHGSEEKPKLEHPSEQPEEPKKENSLDVKDDSKDETLLADATKVENVNGKVKEDETKGKEDDSVEVEFKMWESCKIEKKEFSPEREPEQESFEDEVESKVDGGEGFDQINGSTESVDDGGNSPTKQQQHKKKKPLLRKFGSLLKKKGSSNQK >OMO53134 pep supercontig:CCACVL1_1.0:contig15319:5831:5908:1 gene:CCACVL1_28864 transcript:OMO53134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAEVSRPECFGPRIKPIFKYLTQ >OMO58874 pep supercontig:CCACVL1_1.0:contig14098:68138:68218:1 gene:CCACVL1_25292 transcript:OMO58874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFELLSIHNIANRVVDGDCVEDELM >OMO58873 pep supercontig:CCACVL1_1.0:contig14098:23797:27991:1 gene:CCACVL1_25290 transcript:OMO58873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAKKYDDEEIWQWQKYDIVAYNGRREEAENRPVDNRVTRVTSKSINLKEYD >OMO58875 pep supercontig:CCACVL1_1.0:contig14098:69539:70729:-1 gene:CCACVL1_25293 transcript:OMO58875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSNSTTLDSSNPYFLHHSDNPGTPLVSTILIGDNYSSWNQAMMTALSAKNKFAFVNGTLQQPESSSSLYPLWARCNDMVKSWLLNSVSKEIASSIIFETSAATMWTDLKESVNRAYSLLLQEEKQRQVSAAPDMNSDKVAFVVAYLSSNRTQNFHSGGSKFLSKNRLTCEHCKMVGHTKNKCYILYGYPPGQRLHRGTKSKIDQGGQISKENTSSMASLTLEQIQQLLSLLPNNQSSKANFVGKTSLSPNFPVWIVDTGASDHIIYDTSMFHSFTTASDFSPVQLPNGSFAKVSHIGSAVGI >OMO60101 pep supercontig:CCACVL1_1.0:contig13789:1969:2391:-1 gene:CCACVL1_24403 transcript:OMO60101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISSHLVVCIMLSAIVLLSFASKSNSMPQSIHQTSIEQYPNLQDEAAAVSQAIMYGGNNMRLISPFQEEEEKKERKLKEKKKKIKIKKDKEDDKEDDQEDDKQDDNNDSDSSSAARVKQCTRNMGLAVLCIALFVFVF >OMO60102 pep supercontig:CCACVL1_1.0:contig13789:3461:3650:1 gene:CCACVL1_24404 transcript:OMO60102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-ribosomal peptide synthetase-like protein MATPPMEPTTMAIRTRSATEKKMGKKKTMTSPRNRRWRSPWRKKGWTRRQGLPSRVWSDSAPR >OMO96183 pep supercontig:CCACVL1_1.0:contig07513:6773:10028:1 gene:CCACVL1_05051 transcript:OMO96183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKENPVRGFCCLKLFSFVLVLSSIFVSGKSQASDGDAAVMLALKKSLNSPESLGWSDPDPCKWNHVVCSEGRVTRIQIGHQTLQGTLPPNLQNLTELQRLELQWNEISGPLPSLKGLSSLQVVMLSNNRYTSFPSDFFSGLSSLQAVEIDNNPFSAWEIPQSLKDASALQNFSANSANISGKIPDIFGPDAFPGLTILHLAFNSLEGELPPSLSGSPIQSLWVNGQELSGSIAVLQNMTFLKEVWLHSNSFSGPLPDFFGLKDLQTLGLRDNSFTGPIPASLMNLESLRSVNLSNNLFQGPMPVFKNLVSVDMVKDSNSFCLPSPGACDPRVTLLLNVVKSMDYPQKLAENWKGNDPCADWLGITCSNGNITVVNFEKMGLTGTISPEFASLKSLQRLILADNNLTGSIPEELTTLVALKELDVSNNQLYGKVPSFKSNLILNTSGNIDIGKEKGSSTSPGSAAGNPTGGSDGSGNSKNGKKSSALIGIIVVSVFAGLVVVGLLGLLAFCLYKKKQKQFSRVQSPNAMVIHPRHSGSDNESVKITVAGSSVSVGAVSETHTIPNSEPGDIQMVEAGNMVISIQVLRNVTNNFSEENILGQGGFGVVYKGELHDGTKIAVKRMESGYISGKGLTEFKSEIAVLTKVRHRHLVALLGYCLDGNEKLLVYEYMPQGTLSRHIFNWAEEGLKPMEWTKRLTIALDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKGSIETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRRALDESQPEESMHLVTWFKRMHLNKDTFRKAIDPTIDLNEETLASISTVAELAGHCCAREPYQRPDMGHAVNVLSSLVELWKPSDQFSEDIYGIDLEMSLPQALKKWQAYEGRNNLESSSSSLLPSLDNTQTSIPTRPYGFAESFTSADGR >OMO96184 pep supercontig:CCACVL1_1.0:contig07513:26756:28087:1 gene:CCACVL1_05053 transcript:OMO96184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPWRKPRAIKKSKKQIPFDDLDMELAIPTHFRCPISLDLMKDPVTLSTGITYDRESIERWIESGNETCPVTKQVLTSFDQIPNHILRRMIQDWCVENRSRGVERIPTPRIPVSPYEISDVCSKIAGATRRRDGKKCGELVMKIKNWGKESERNKRCVVENGMGCVLSACFESFASNSLEKHGNLLGEILSVLSWMFPLGEEGLSKLGSENSLDCIVWFLRNGDLSARQNSVLVLKELLYSNQSHVIMKKLLEIEGIDEALVYLIKDPISPTATKVSLMAIYSIISSSVSNDKISSRFVEMGLVGLILEIVFDAEKSVCEKALTVLDALCNTEQGKEAASKNALIMPIIVKKILRVSDSATELLLSIFWKLCKNERKNGEEALMIEAIQVGAFQKLLVILQVGCGENTKEKVKELLKLLNVYKNRVDCVDSSMDFKYLKRPF >OMO86755 pep supercontig:CCACVL1_1.0:contig09423:1617:2217:1 gene:CCACVL1_09478 transcript:OMO86755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYKQHDSKRLYVLCLAITEAIEKKKIAN >OMO98689 pep supercontig:CCACVL1_1.0:contig07057:8641:12330:1 gene:CCACVL1_04103 transcript:OMO98689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSTLGRGVTFYIVVYGLGKEITIYLEHEIDIPIVLDEAPILIDGGWVVVHKAETGKAEAKENEASFEAANLDLDDGEERDDEDEDENEEYVDKNEADDDENLAAYLVRSRACTEDEEDPERLVALERILKSVGRGKRQKTALETQGGDVEHVDPLEEILRERAAEDASNLDGEADEDERGYQSPVYLSDDHHSLVGSDEDPEHDNAQWRKSSPSCPWFLYVGWNEEIKAIQIRNMGDGNTCTENYKNKAVTGDGPFSSELLSALGKDGNDQIYPVAWALVERENRETLTWFLDLLAKDLDFGVGDGFTLTSDKQKVPAVQPIIPKKLGRPPKDKAVPKRRGRPPTDKGIFGNQAPNAVDNGDHAAKRRGRPETNVGKTSYRGYGHYFLEKTRKMTFYGEYRPNKRNQASSSTSQAIGSSNIAGKSTMTTSTKRKSHDANPIGTHESVN >OMO98691 pep supercontig:CCACVL1_1.0:contig07057:14690:14809:-1 gene:CCACVL1_04105 transcript:OMO98691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLPSTSRPNLLPLTIHVSSACRYVCHHFKSRLRLELS >OMO98694 pep supercontig:CCACVL1_1.0:contig07057:45062:46409:-1 gene:CCACVL1_04108 transcript:OMO98694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALRFYERWLRVISYFFRRRSRFITGLRENRGILDKRETLASSYDHANYGKLCKIYASEPVPRG >OMO98690 pep supercontig:CCACVL1_1.0:contig07057:14033:14353:-1 gene:CCACVL1_04104 transcript:OMO98690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLRLKGHSVMLCSCISNRPVGPVRPSTGDLDGSNPGSAHISRHSPKP >OMO98693 pep supercontig:CCACVL1_1.0:contig07057:42426:43004:1 gene:CCACVL1_04107 transcript:OMO98693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKPPKKRSWTSDIIQSAKEFCGFGETRIKEVANKNEKSGVALCIVMAMYFTGVADLICFSTWLAVSCAFFINCR >OMO98692 pep supercontig:CCACVL1_1.0:contig07057:18329:20905:1 gene:CCACVL1_04106 transcript:OMO98692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAMMNDEDSGLIVQKKTKTFDMNSNIRAKQFKKQPDGSIVFEEYQVFTDVKHFKAILRDYGTKEGYNFEKIKNESYRVTAKCQAEQFPWRIHASKTPDGRSFMVKTLHNKHNCIKLVKNLNANAPWIAEKLDSSLRVEPHMSYDFMAERLNTDYRVVVHQKQLYRGAGNSNGEKSGNAYVAGQFSIGSRSSTGVSCSAGYDSTVRKTKGRGTMNKNATSKSSVVEGFQGTQQSCNRI >OMP06193 pep supercontig:CCACVL1_1.0:contig05031:536:622:1 gene:CCACVL1_01685 transcript:OMP06193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFKHRWDSSGKAFYELIKPSFPGSRN >OMP05311 pep supercontig:CCACVL1_1.0:contig05442:9071:11090:-1 gene:CCACVL1_01960 transcript:OMP05311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MPMASHSYNFLQLILIFLLSFCNFSFTTSTHNQASDHQTDYDKWISWNVRNHKTKQALSWQKQSVNGAGSVLDDKLRIAEMNKMRITVSQDGSGDFRTIKEAVNSIPLRNTRRVILMIKPGIYREKIFVPKTLPFITFLGDASNPPTITGNDTASVAGKDGRPLKTFQSATVAVDANYFVAINIKFENSVPHVIGSRGGQAVAVRISGTKAAFYNCSFYGDQDTLYDHKGLHYFNNCFIQGSVDFIFGYGRSLYENCYLNSIAKKKASLTAQKRSNSSLESGFSFKDSVVTGSSGGLVYLGRAWGDYSRVVFSYTFMDKVVLPQGWSDWGDQKRDARVYYGEYKCSGPGANLTGRVAWARILTDEEAQPFIGTYYVEGDTWLITP >OMP05310 pep supercontig:CCACVL1_1.0:contig05442:1765:4511:1 gene:CCACVL1_01959 transcript:OMP05310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MVVPATSMRSKKTKAVGIPEIDLSLDRSIVSEQIVKACEEYGFFKVINHGVSREVISRFEDEGVHFFDKPADDKQRAGPATPFGYGLKNIGLNGDKGELEYLLLHTNPFSIAERSKTISNQPQSFSCAANDYIEAARELACEILDLVAEGLWVPDKYVFSRLIRDVQSDSVLRLNHYPPVKNWDPSSKSCKDDHIGFGEHSDPQILTILRSNDVAGLEISLHDGFWVPVPPDPTQFYVIIGDALQVLTNGRFKSVRHRALANSSRNSRMSTMYFGAPSLNTTISPLPEFVSPQNPSLYKPFTWSEYKKAAYSLRLGDCRLDLFKLRIPSPTLLI >OMP05312 pep supercontig:CCACVL1_1.0:contig05442:16372:17379:-1 gene:CCACVL1_01961 transcript:OMP05312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHMIYESGFISETHQQSEEEMMDVRKGPWTDEEDSMLKAYVHIHGEGRWNSVARLSGQLSSSATATTATTYMDNIITTGQINYVNTTGSVQVDPSLLPELSGTSSDSQVSSVSDCYNNNPQQSVSNYNQNNNLQNGSGSCQGNYWTNTFEATEELESNGWLGSGDSMESVWNEENIWFLQQQLFDD >OMO64170 pep supercontig:CCACVL1_1.0:contig12857:1781:4877:-1 gene:CCACVL1_21980 transcript:OMO64170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MVMLAGFHKNPDIANVDSSENDSGPFKGTILRTKSGSLCSKMGEPCISCTTFNVLAPIYKRLDQQNQSIRESDFRAFWLARNERIVDWLLYESSSIICLQEFWVGNEELVHMYEERLGAAGYDTFKLGRTNNRGDGLLTAIHKDTFRVLNYRELLFNDFGDRVAQLLHVQSVFPFEQNQNNSVEQEILIVNTHLLFPHDSSLSIVRLHQVYQILLYLEAYQREYKLSQVPVILCGDWNGSKRGHVYKFLRSQGFVSSYDIAHEYTDSDADAHKWISHRNHRGNICGVDFIWLRNPNKSRKPLKISWAEAAFGIIKYQLQKASLAENDAFAFLRADNNGNHITYSAFCDALRQVNLTGLSHGLSFEETKDLWVQADIDGNGVLDYEEFKRIWNSTWSEHTDEDCGFEDSNESMEKEAIGFAVKNAVLFPREVEKGIWPENYSLSDHARLTAVFSPVRLRCSQKSL >OMO64173 pep supercontig:CCACVL1_1.0:contig12857:15327:15413:1 gene:CCACVL1_21983 transcript:OMO64173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTCTSGVNAEVKTGPFCLTHQDIPT >OMO64174 pep supercontig:CCACVL1_1.0:contig12857:18305:20731:1 gene:CCACVL1_21984 transcript:OMO64174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGRTAISSLSSPLIKNPSIQLGNILLIASLTKTLSKSGTRNLDPDSIPISEPLVLQILRKNSIEPSKKLDFFNWCRSLEPNFKHSAVTYSHIFRSVCRSGFIEEVPNLLFSMKEDGVLLDSETFKFLLDAFIRSDGLFKARKVMEACQLFEKMVQDGVRASCWTYNILIDGLFRNGRAEAAYTLFCDLKKKGQFVDGVTYSIVVLQLCREGQLEEALRLAEEMEVRGFVVDLVTITSLLIGFHKQGRWDWTERLMKHIRDGNIVPNVLRWKANMEATMRNPPKNRKDYTPLFPSKGGFREIMNLLGSAGQVMGANLDSEDYDENSQEMSSFETDQWSSSPYMDQLAANQVKSSEHSSRLFSLIRGQRVQEKGMGSFDVDMVNTFLSIFLAKGKLSLACKLFEIFTDMGVDPVGYTYNSIMSSFVKKGYFNEAWSVLNEMDENICPADIATYNLIIQGLGKMGRADIASSVLDKLMKQGGYLDIIMYNTLINALGKAGRLDEASKLFEQMKTSGINPDVITYNTLIEVHTKAGRLKDAYKFLKMMLDAGCSPNHVTDTILDNLGKEIDKLRMQKASMVHTNNGDGT >OMO64175 pep supercontig:CCACVL1_1.0:contig12857:21144:25339:-1 gene:CCACVL1_21985 transcript:OMO64175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASSVVVALALVLLYFAPINISAAGLRRQPPRQKLPQKLRFGRNGEFKILQVADMHFADGKKTPCLDVLPSQVHGCSDLNTSAFIRRMIEAEKPNFIVFTGDNIFGFDATDSAKSMDAAFAPAIAAGIPWAAVLGNHDQEGTLSREGVMKHIVGLKHTLSQFNPSEAHIIDGFGNYNLEVDGVEGSGLANKSVLNLYFLDSGDYSTVPAIPGYGWIKPSQQLWFQRTSAKLRKAYMSPPQAQKSSAPGLVYFHIPLPEFASFDASNFTGVRQEGISSATVNSGFFTTMVEAGDVKAVFTGHDHLNDFCGQLTGIQLCYAGGFGYHAYGKAGWSRRARVVVASLEKTEKGGWGAVKSIKTWKRLDDKHLTAIDGQVLWSKNSAGVRVRAKIHCRNPLDRTVMPCFTASPLHILIVLLLFLCFYAPIAQSVPDNSESIRLPSDRFTIADENEGVCARFTKPASCPVSCFRTEPVCGVDGVTYWCGCADALCAGTRVAKVGFCEVGNGGSASFPGQALLLVHIVWLILLGFSVLCGLF >OMO64171 pep supercontig:CCACVL1_1.0:contig12857:10283:11218:1 gene:CCACVL1_21981 transcript:OMO64171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQILNPKVAAFQPEWDMEDIRMAFFKCTRWQVEDTLDPINCPFHYFCETIYPGNYPLAVDVLVIFLTTASYLATLVIMLTDISRRWRPCLSQSQSKRFLLPSGPVLLPLFLLALAKGQRINNLLPLSSIAPAIVQLVQISALAFDIGADKDPRYAFLEASTISGILHASVYLDSIILPYYTGFDALVTSSFSGECLSCVCRKEVLVAGGKLVSYRGCSLTTFCVIGALCLRIISRLTSENKGKFLSVKSLLETLAWVLITKDCIYLVANSPLKQSLPEVAAFGGILVLICLHLLGKFCAWITQWNRARN >OMO64172 pep supercontig:CCACVL1_1.0:contig12857:11735:14332:-1 gene:CCACVL1_21982 transcript:OMO64172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anticodon-binding protein MGGKRKKSENEGAGEDDSSNKSASKKMKTKKSEILPSSIKNKEKRSAVHSKLKHQKKVEKRKKLKVRDAAEKRAVELGEEPLPRQIPRTIENTREADETVCMPDDEELFAGNDVDEFSSIIKRDRTPKILITTSRFNSTRGPAFISELLSVIPNAQYYKRGTYDLKKIVEYANNKDFTSIIVVHTNRREPDALLFVCLPDGPTAHFKLSSLVLRKDIKNHGNPTSHEPELVLNNFTTRLGHRIGRLFQSIFPQEPNFRGRRVVTFHNQRDFIFFRHHRYIFDAKEIKQSEAKGKKAKDAKGESIAKEKVVARLQECGPRFTLKLISLQHGTFDTKGGEYEWVHKAHYSHYSSSSPFLNVSLKWTPAEEDFSCNLIHGFICFTPGMQRFSAEEGQYWQ >OMO68173 pep supercontig:CCACVL1_1.0:contig12255:8949:9026:1 gene:CCACVL1_20038 transcript:OMO68173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFDLKWDCTVDLIMDSARGQVLE >OMO68177 pep supercontig:CCACVL1_1.0:contig12255:40731:42044:-1 gene:CCACVL1_20042 transcript:OMO68177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyccin MDFHHNASTNSPSSSSSTSSSTAHHPPPSTTTTTDNDPMHSWWESISKQRSRILSLSSLLQSDGLTLSSLADSDRPALSLLSSPSAYSLISTALSSPASGSGSDPLCQWLYETFQSSDPQLRLLVLSFLPLLSGIYISRIHSSDSSTLPSLAGFEAVLLAVYSSEAKSRSGKAVLVQIPDLSQPSLYHTPRNKPVNDRSRQSVGVLSPPLEPHLAVKSTKRAIIVGTALDCYYKQVSQMPAWSKREFCNFAAAWAGQDCPCRTKFDADDQDHHENGNGNSNGHARLLREDSGFSSGTGNRDDYNEDYEDDVIKEVVVEMDSLGINKENAENLEKKGVRIPLPWELLRPVVTILGHCLFGPSNSQDVKDAASVAIRCLYARASHDLAPQAILALQSLIRLDKSARAAAKAAAAAAANSSSNANTPSKAKKPEILLVSK >OMO68174 pep supercontig:CCACVL1_1.0:contig12255:13315:14376:1 gene:CCACVL1_20039 transcript:OMO68174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAWWEGTITNELDNGNFHVYVMDFEDHLDFTGDHLRVHKEWVDGSWIPSLEEDAAEKTLEEELEDAEETDNATIKDAILKVPADLNSDEDAEVVSDQLTLIDHQKLHDNTSIEQDQDPDEKVPAERNSDEDSEAKSDQQALIDYQKLHDNTVIEQGQDPNEKVPADLNSDEDAEAMFDQQALIDHQKLHDNTAIEQGQKPDENLADGSGSSYYTAIDQAIIQIPDPDQIEEEHQNIQIPDRTEEVVPRQIIQIPEAEGTEVDQTNQPDPAPRRFYKLVLLILDIVSVAIHVGISITGHTNFVILSIWFLAVLLSVYATVMASEDWEPSLRFKIIVCVCEMAKIALEMINFR >OMO68179 pep supercontig:CCACVL1_1.0:contig12255:46714:52593:1 gene:CCACVL1_20044 transcript:OMO68179 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MAPDLFFASLDARSLSFPCYNQSLSSWRFRLPPSPFYTLRLSAAKSTLSHHFVSKCSASTSDSVSGDFHILSATEGPDGSIIFRFGNAEEMALYQSQQSQPTKEKVKVVAKVGEKSSDRGEKSSIIAVDVSDEEGEVGGPVSKNVGRNLNVKSQPKRKARRRITKVVDAVEEKTASHVINNDTETDKNSIDYSKTVHPEEGTVELDNGAPEVHGECTSLNMVPKIDIVPDVEKVSPPSAFDVGSEITSHLHLSECDSKIEVARGIKFEEENSSASEGGGENVGKIEDTTLDTEPKMELAVEEVSTHVVASESNIGNEIIAQSTSSGSSYDMDVPHSLKLQESSKGDTGEEVAHVSVPSKQHTEEHSVNGSNKSLMIEDADADADAGDIGEIELKSTLSEANLILNEVTEHQTVDESVDNDVVAPSEVKIETEITTETMSSGGLSENDVPHTLKYQESAKDDDAGEEVADLSVSSKEHNINVSEKLLMVEDADEGDVGELKLTSPLSEAESILNVGEVKLNATLSEVESVLNEATEHQTVDESVDNDIVAPFEVNIETEITTETTSLGGSSGEDVPHSLKFQESAKDDKAGEEVAELSACSEEHSINASEKLLMVEDADEGSVGKVKLNSTSSEAESILNEATSHKTVDEYVNSSIVKSSKMADGAVPLSSLKEGITENDAQSGSKVRVPILKGAESQSGGTTLEREEISTAGFFLYSDAALLPNPNKAFAGGEDAYFIASQNWLGVADGVGQWSLEGTCVGLYAKELMKNCEKIVSDRNGVPITDPVEVLNLSAENTQSCGSSTVLVAYFDDQALHVAYIGDSGFMIIRNGTVFKRSSSMLYEFNFPLRIGRGDHPSDFLQVYRIDLNEGDVIITATDGLFDNLYEREIASIVLKSLQESLRPQEIAEVLATKAQEVGQSSSVRSPFADEAQAAGYLGCRGGKLDDVTVIVSLVKRRFSNHVKQPEAA >OMO68175 pep supercontig:CCACVL1_1.0:contig12255:20034:30890:1 gene:CCACVL1_20040 transcript:OMO68175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPYWKASASAFEKGFNKNSKNTKQPNNHRPPLSNPDHRQPPQQSLPSITPPPTNSQAREEFTIDMEDNKEGKRSEDGWKVVEGRIAERLIRRGVVTTILKNLWPEAEAPIIGEIRQNLFSITQSAKLLYEAVVENP >OMO68176 pep supercontig:CCACVL1_1.0:contig12255:36339:37159:1 gene:CCACVL1_20041 transcript:OMO68176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRAPPLPESDCGKENRCLELANSKHGGKPGDSSWVLLDYMSSS >OMO68178 pep supercontig:CCACVL1_1.0:contig12255:44341:45221:-1 gene:CCACVL1_20043 transcript:OMO68178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein, plant MAATSGAVLNGLGSSFLRGGNRSQSLLGANIGAKVTSPTSGTRKFVVVAAAAPKKSWIPAVKGGGNFIDPEWLDGSLPGDYGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPQSQSVEWATPWSKTAENFANATGDQGYPGGKFFDPLGFAGTIQNGVYIPDYDKLERLKLAEIKHARIAMLAMLIFYFEAGQGKTPLGALGL >OMP01950 pep supercontig:CCACVL1_1.0:contig06347:2901:3098:1 gene:CCACVL1_02971 transcript:OMP01950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NYYAAALAKLLSRISSSENHKEAPQAEAILRPKFLSRKRFSGTIIDQTHIKPVEPRERAPFITAL >OMP01953 pep supercontig:CCACVL1_1.0:contig06347:4978:5238:1 gene:CCACVL1_02974 transcript:OMP01953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINRQGDSQGPKPYLICKLNSKPPENVKRICKRKNRIRFCGRWGFLLCFIGGNFFDPESYASEAHSSSYFFLGEVGDLEAPIPEP >OMP01952 pep supercontig:CCACVL1_1.0:contig06347:4551:4643:-1 gene:CCACVL1_02973 transcript:OMP01952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRSYHRSVRLWAYFSFAATLLHFLSSN >OMP01951 pep supercontig:CCACVL1_1.0:contig06347:3893:4042:-1 gene:CCACVL1_02972 transcript:OMP01951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYPLHEEGLLGPCKAWVPARLTFDASFTYTDFTLNSGIDSLLLRPPA >OMO75986 pep supercontig:CCACVL1_1.0:contig10941:2846:4796:-1 gene:CCACVL1_15960 transcript:OMO75986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWDMEIEEIEAVLEKIWDLHDKLSDAIHSISRAHFLNSIKALRKYDKKKLYNDTVGDEIRTGFVFVKDFRIDDSDSAIQEAKSLNAIRTALENLEDQLEFFHTVETQQRAERDAAIARLEQSRIILALRLAEHHGKKYKVIDEALAFVGDVHDASRFVSPEHLYGTPVSPSGENPGSHEGKRPNMLIKVLVSSFNFAKNSLKFDHMGGILSNAALFAVSMIAMLHLHQVACKEHPQRQDHSKSRRNVRKNSQLEGPSTYDHLSQLDVFLARG >OMO70967 pep supercontig:CCACVL1_1.0:contig11765:6046:10974:-1 gene:CCACVL1_18543 transcript:OMO70967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGVLDVMMNAMKLAVASCSEENQNIIVEKSYSILSSSTSLLPKELFQQERFEIVEEVFNNSSTRDEWIFSLFASAVIAVHPQTHIPNIRQILYLFLITLLKGNVVAAQALGSLFNKLGLKPAGEQTSSDCTLDEAMDMILKMSLWNFNGKSSSDIQAISNGTINLASAAGSSTSLQIHAIVGLAWVGKGLLMRGHEKVKDITMIFLRCLELNGGALEEGALENNYKLDLHQSVMKSAADAFQILMSDSEACLNRELHAVIRPLYKQRFFSTMMPILQSIIMKSEPLSRNLLLRAAAHIIIDTPLIVVLSDAKKIIPMLLDGLSASSNDTVNKDVIYGLLLVLSGALTDKNGQEAVSDNAHTIVDCLIELIQYPHMMVVRETAIQCLVAISGLSHARIYPMRTKVLRAIIKALDDPKRAVRQEAVRCRQAWREIGIGNFPSFDWMGIQDFPFPP >OMO69827 pep supercontig:CCACVL1_1.0:contig11970:2327:2389:1 gene:CCACVL1_19244 transcript:OMO69827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NEGKFLKQGRAHLEDNSLAA >OMO69418 pep supercontig:CCACVL1_1.0:contig12036:4695:6353:1 gene:CCACVL1_19514 transcript:OMO69418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKETSLPHDPIFKGMYNVIHIDEKWFNLTKQSETYYLLPDEEDPLRTCTSKHFIGKVMFLVAIARPRFDAQGGTLETKSITKVDRDTMRTYLIGKVLPAIKEKWPREDLRSPIFIQQDNARPHIGKNDDAFCQAALKEGLDIQLTNQPPNSPDLNVLDLGFFSAIQSLHYQEAPRNIDELVAAIEKSFNDFPAGKSVILVEFVRRDVPPAQKVGLIAARTDRLRGQAAATAAKADKA >OMO69419 pep supercontig:CCACVL1_1.0:contig12036:8841:9071:-1 gene:CCACVL1_19515 transcript:OMO69419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNNDAVVIDLNAPAAHGFDLNKLLEKEEEPEEEAFEACVLNLNKFLEEEEEAFEDQEMQKELRKLLHIYLQKFY >OMO69420 pep supercontig:CCACVL1_1.0:contig12036:17738:22322:1 gene:CCACVL1_19516 transcript:OMO69420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribokinase MGKEKGGPFWDSSLSSSRGSSSSRSSKEDEFGSEGEEEEDEEDEEGLGSFSVLPDRWDVVGLGQAMVDFSGMVDDEFLERLGLEKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALARLGIKPIGGPALNVAMAGSIGSDPLGGFYRSKLRRANVNFLSPPIKDGTTGTVIVLTTPDAQRTMLAYQGTSSTINYDSCLAGIVSKTNIFVVEGYLFELPDTIKTITKACEEARRSGALVAVTASDVSCIERHYDDFWEIVGNFADIVFANSDEARALCNFSSKESPVSATRYLSHFVPLVSVTDGPRGSYIGVKGEAVYIPPSPCVPLDTCGAGDAYASGILYGILRGASDLKGMGTLAARIAATVVGQQGTRLRIQDAVDLAESFAFNLESSAVRTDVGSDHISSL >OMO86050 pep supercontig:CCACVL1_1.0:contig09539:6060:6167:-1 gene:CCACVL1_09833 transcript:OMO86050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPTIKVQMKAHRNFDSICNNHPNLTLELDVKE >OMO63727 pep supercontig:CCACVL1_1.0:contig12909:4805:5542:-1 gene:CCACVL1_22327 transcript:OMO63727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPILLTLYALSQLFISTAFAQTAPAPGPPGPLNFTGILAKNGQFTYFLKLLADTQVGSQIQNQLNGTTEGFTVFAPTDNAFNNLPSGTINSLDSQKKVQLVLYHVLPKFYTLSDLQFVSNPVRTQATGQDGEAFGLNFTGQNNQVNVSSGVVETQINNALYQTKPLAVYQVDKVLLPEEFSKAKSPAVAPSPDTKKSSGSTSNSTQSTADGPASPDGSGSSGRNMGLGLVLGLAMACMAALS >OMO61444 pep supercontig:CCACVL1_1.0:contig13474:2031:2141:1 gene:CCACVL1_23513 transcript:OMO61444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVINHGYVESTVIFIISRLKRPQPQMVAIDLVV >OMO50174 pep supercontig:CCACVL1_1.0:contig16279:5814:5973:-1 gene:CCACVL1_30580 transcript:OMO50174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSLHFPTRLVVGDENKLRW >OMO50175 pep supercontig:CCACVL1_1.0:contig16279:27141:28292:-1 gene:CCACVL1_30581 transcript:OMO50175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAKDTKTIGQNYNFNTSIPVSTNVKLSSVQTPLYVSAQNVESSSPIPNIATPSSGKPPLEKISSIEACFEKQKTLSVERISDGKVMFGNFTFDQDISRQELAACIVLHEYPISMVEHVFKRFVASLQPLFKMVSHNTIREDIMKIYNSEKAKLLSMFEMLNSRVAITTDMWTLNQRKGHMSIPADFLDDSWTLQSHILRFVYEPAPHGMRTDGSFDEMEYRDKDFNNHGG >OMO50176 pep supercontig:CCACVL1_1.0:contig16279:46122:51975:1 gene:CCACVL1_30582 transcript:OMO50176 gene_biotype:protein_coding transcript_biotype:protein_coding description:LETM1-like protein MASRAILRRRSYIFNSPIRPTSLNRGFSSFEHGQSSTSEDSLGSGCVASHPYRHADSKNLVLPSLIKNELSNCLLAQVHRSNSFGISNSGYQVGNYDSVFPTGVRWFSQSARSASTATAGQPERGSGNDGDEQKVPKKVKEASPEECDQAVEGLSTVKAKAKAKQVQDSTKSTQSIIKKVWATILGIGPALRAVASMSREDWAKKIRHWKDEFKSTLQHYWLGTKLLWADVRISSRLLVKLASGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMAKEIQNSRSGDVKKTAEDLDEFMNKVRTGATVSNDEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDAYLRYMLRKRLQEIKNDDKMIQAEGVESLSEAELRQACRDRGLLGLLSVDEMRQQLRDWLDLSLNHSVPSSLLILSRAFSVSGKVRPEEAVQATLSSLPDEVVDTVGVTALPSEDSLSERRRKLEFLEMQEELIKLKESISNQKDVALEEMTASTAKDAQELAKAKTLEKHEELCELSRALAVLASASSVSREREEFLRLVKKEIDLYHNMVEKEGTESEEEAKKAYIAAREDSDRTAEKAISDKVSSALIDRVDAMLQKLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAAMYLKDTIGKEGIQELISNLSKDREGKILVEDIVKLGSETDDAEAAEAGRS >OMP11732 pep supercontig:CCACVL1_1.0:contig00941:3114:3389:-1 gene:CCACVL1_00307 transcript:OMP11732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSMSSRGSGSWTAKQNKDFEKALAVYDKDTPDRWYNVAKAVGGKTADEVKRHYELLVEDVNHIESGHVPFPNYRTATRGGNANDEEKR >OMO88782 pep supercontig:CCACVL1_1.0:contig08935:12331:14519:1 gene:CCACVL1_08208 transcript:OMO88782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNFKSRVDKIFGSLQSSKSSSSQQQRPPLWSLTDDEVERREWRRESAADQDEKLCSSSFDEFLKEERRYRSGRSSCKKLEDDLGDEDGDCDDEDGDSSQSRSRGIDGDGDEWEIRSCLGMDSTLDHEEEEDEFDKVAAGRENAGERLYMSDISDYGSYLNSQNVLYSHTSKKDRCANRMAAKIRLKEDDQEALKLSNQNGSIVGNRESIIEASHAGCRPRSILKRKDNSVGSKPEKRVRFDPACKHDLEESPAKFEDHPMSSPQMDSQDSDDASLPSENVRAVPDYIQNPSRYTRYSFDSSSEVDDDTNAQACMDFLELVQKLKHKPDDAPGDLPKSITFIPKRKSGNVQSGSSESDVQEKEDKSGKSLQPPRFPVGIAAVTQHCDVGATEDYEPETTAADNTAVIQKGGRSYRVKSQSNDSDE >OMO88783 pep supercontig:CCACVL1_1.0:contig08935:15874:18134:-1 gene:CCACVL1_08209 transcript:OMO88783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEQLSLAATPDPVDGRSGAVNAATNGVESRPASVDGGDDVSKTPRLPRWTRQEILVLIQGKRVAESRVRRGRAAGLAFGSAQVEPKWASVSSYCEKHGVNRGPVQCRKRWSNLAGDFKKIKEWENNIRDETESFWVMRNDLRRERKLPGFFDKEVYDILDGGATGVQSPETVPGLSLALAPTPVVQDVAEDAETVFDSGRSAAAEDGLFSDFEQDDATGSPEKMEPAMVAATGKTVPAPMPISEKQYQPQPQPTPRGNQTQGTTNEKHPTSNPEIGSASQEARKRKRMGNDGDEEMNSLQYHLIDVLERNGKMLVAQLEAQNTNFQQDREQRRDHADNLVAVLNKLADALGRIADKL >OMO88781 pep supercontig:CCACVL1_1.0:contig08935:8673:10729:-1 gene:CCACVL1_08207 transcript:OMO88781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSLPPAPSAVSPEEDDLLHRSTKRFKDQTTTMEVESRPAHRTSEILPCSQVEGNDHHLVHRTYRDKECCRSNSTTSNQPPPNQPPSSGVDSGKEGEGFGPWMVVSRRRRKEKDNAGKETKQGENLEVGPKNGPVSVQGKGPGTTIGRKGKGGSNGKIGPAKFESTLRKGESSSTLEQRATVVNAGVLLNSDKTSHPRALASDKSSAFFDPQPSSTTSALPNPRIESKLGREIAEALTNLEKAKSISSKSDYDVTKGDGRPEFPLPSGKQVLVRTRSSEVPLSSEPNDPPSLRTDPGQSDLAQTHCSHQYDQDRGLLTPLDTIPNGSGGDRGRSLRGSQKQDPDRSSRGGVSRGKRGSRSAHSRSLDNPLDEKGNFPTRTPILESNRDPKGGDGDARYRAILHRARVQSDGIQCGDSSSPPRGSQLAVENERRNSDGNA >OMO88036 pep supercontig:CCACVL1_1.0:contig09118:34724:35251:-1 gene:CCACVL1_08578 transcript:OMO88036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase-like protein MENRVAIVGAGVSGLLACKYTLEKGFRPVVFEADETVGGVWAHTLDSTKLQNIRDAYRFSDFPWPCSVKDVFPSHSQVMEYLQSYALHFGLSSYIKFNSKVLSIDYVGESYEELGSWHGLPGPCIGNPEFTECIEALMSQRDARAHVRSNLMRPVMMLPTLVPFCAALMSLEVLG >OMO88033 pep supercontig:CCACVL1_1.0:contig09118:3312:4510:-1 gene:CCACVL1_08575 transcript:OMO88033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMGIQKPAWLEALYSQKFFVGCSYHESAKKNEKNVYCLDCCISICPHCIPSHRFHRLLQVRRYVYHDVVRLEDLQKLIDCSNVQAYTINSAKVVFIKKRPQNRQFKGAGNYCTSCDRSLQEPFIHCSLGCKVDFVVKHYKDISPFLRTCNTLTLSPDFLIPQDMGDDEIMTNETPHSTIVDGDEAMMSSWSSGSSGSENMSNMASMGAYSSDQIVRKKRSSGLYLCGRSANNYKVSHHDHEDMATSMSTRRKGIPHRSPLC >OMO88035 pep supercontig:CCACVL1_1.0:contig09118:19339:25846:-1 gene:CCACVL1_08577 transcript:OMO88035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome membrane protein, Pex16 MELPKSSPEELTGPKYPCTVIQRTTAHWFLPNDSISGYGLGLLYFNRMAGFLDHKPGETFLLGALATLLSPLRLGISKLVECYLKWRLPLKKYGLVPKYRFYENVYSCQIGMIPDNFVNRLEDGSIVIKSSSGFGFCRQGLIIDGETKPLETDIVIFATGFKGDHKLKNIFESPVFQNYIMGSPTSTLPLYRQIVHPRIPQLGIIGYSESLSNLTTSEIRCQWLTQFLGGRMELPSITEMEKDIKIWDEYIKKYNGKYFRRSSIAAAYICYNDQLCRDMGRKPRRKKGIFAELFEPYAAQDYVGLTDEMPVCLSCPEIPLGFLMIYTLYSFPPFFFSTLNTSTTSLVKATSAVAFEPGLTWLLREWFSTSEIGPEAVIAILGIITAINEHIIDTAPNERHAGSAGPSSFPYGLCIPALKDLETLVEVVAEQYYGG >OMO88037 pep supercontig:CCACVL1_1.0:contig09118:54142:56333:-1 gene:CCACVL1_08579 transcript:OMO88037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dimethylaniline monooxygenase, N-oxide-forming MENRVAIVGAGVSGLLACKYTLEKGFRPIVFEADETVGGCWAHTLDSTKLQNIKDAYRFSDFPWPCSVKDVFPSHSQVMEYLQSYALHFGLSSYIKFNSKVLSIDYVGESYEEIGSWHLWGGTGKPFGSKGKWHIRVQDVKTGTIEVYQAEFVILCIGQFSGLPNIPDLPPDQGPDVFHGKVLHVMDYTALNSSSAKELVKNKRVTIIGSHKSAMDLAAICANINGPKYPCAVIQRTAHWFLPNDSVSGYGLGLLYFNRLAGFLDHKPGETFLLRTLATLLSPLRLGISKFVECYLKWRLPLKKYGLVPKYRFYENVYAIQIAMTPDNFVNNLQEGSIVIKNSPGFKFCREGLIIDGETKPLETDIVIFATGFKGDQKLKNIFESPVFQKYITGSPTSTLPLYRQIIHPRIPQLAIIGYSESLSNLTTSEIRCQWLAQFLGGRMELPSITEMEKDITIWDEYIKKYNGKYFRRSSIGAAYIWYNDQLCIDMGRKPRRKKGIFAELFEPYAAQDYAGLTDEM >OMO88034 pep supercontig:CCACVL1_1.0:contig09118:10565:14423:-1 gene:CCACVL1_08576 transcript:OMO88034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MAIASPSLTRPLHKSFIPKYPCLSHHLHFRPSLHCSSQPFHRFTVKATSAVALEPDLSTRQNQTVETDLFACPVCYEPLIRKGPPGLNLEAIYRSGFKCKKCNKTYSSKDVYLDLTITAGLRDYTEVKPVGTELFRSPFVSFLYERGWRQNFTRSGFPGPDEEFRMAQEYFKPAEGGILVDVSCGSGLFSRKFAKSGTYSGVIALDFSENMLRQCNDFIKQDASLLASNIALVRADVSRLPFSSGSIDAVHAGAALHCWPSPSNAFAEISRVLRSGGVFVGSTFLRYTSSTPWIVRPLRERALQGYNYLTEEEIEDVCTSSGLTNFSKKVQQAFIMFSAQKP >OMO62051 pep supercontig:CCACVL1_1.0:contig13368:9894:11632:1 gene:CCACVL1_23051 transcript:OMO62051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein MLYCSGSVDMLSRCNQSENSLDRFTSVVAWSISMLRPVPFGVAPYNPILGETHHVSKGTLNVLLEQVSHHPPVSALHATDQKHNIELNWCQHVVPKFYGTSVETEVEGKRQLKLLNRGETYEMNAPNLLIRFLPVPGTDWAGTVRIRCPESGLGAELHLGPKSLLGLRGNHRLVRGKIYETSAKKTLLQLHGHWDRTVTMKDIKSGKLTVLYNAREVLSGMKTPIVNDLQGVQSSESAAVWSEVSQAIMSQDWEKAKEAKNYVEEKQRELLRERESRGATWVPQYFTVSYSKDGGWDCSPTQRWVPPAPIVVPLS >OMO62053 pep supercontig:CCACVL1_1.0:contig13368:13511:13711:1 gene:CCACVL1_23053 transcript:OMO62053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKANVDGENTSLPPESTNNALPPENTVADNQRNDAQDPKPPPTAEEFYELHTNRPTPTKGSTT >OMO62056 pep supercontig:CCACVL1_1.0:contig13368:21396:23515:-1 gene:CCACVL1_23056 transcript:OMO62056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase (putative), gypsy type MSSSENSSSSHSSNSFEHDGESSSLDLNGGVSASDRIVGTRVKSKGASEWLQPFGKENTEKLEARLRAKGWIIEHKEHPHDTPKINLASTSNKSGSTSVVLPPDSMRVASALIHAGPPSTCVNCLTLEEINKLRCEYSIPGEYVIDVPAETGRIIEDHGKNKMWVYRDSLVAGLRFPLHPFILELLNHYRLALHQLVPGSWRSIVGFITLCRALSHPALLNVFRKFFSIKKSPYDQVIPCEWEMSNAQGVSSARGKIKDMADKNSKDGKSGKNKFNVFYYIKLGSKGGSSVVEAGLPLDKGKKASKRKAAGNDGTVEASPKRPCSSELGTSQAVLVDKEKLNAPCYVNSSASVHHAYLALMHALKAEQQARAKTKKASDFQKQNFQLKERVRKLKNAEAEVKDLRAEVVSLNKKLAEAEAKKEKAVQEALANFQSTPEFEAAAKEANEEAVIGTFELCLDEVRYVYPNLDLSMVSLKNLKTAHTEGVEEDTITLAAETNAVTPVAGDGTEVDGDGIRGDATS >OMO62050 pep supercontig:CCACVL1_1.0:contig13368:5385:5926:-1 gene:CCACVL1_23050 transcript:OMO62050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAQNALGIRKPPYLGSMLLAAAHSIPRPNNVQ >OMO62055 pep supercontig:CCACVL1_1.0:contig13368:17804:20293:1 gene:CCACVL1_23055 transcript:OMO62055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTSCGLSNVKRLLKSSKPSSLHRRCCQNPDVENLSTYTSALKSQVLADFITECSTPDPSPEVEFKEEGAWKLYVDDSSNNQMSGAGLMLISPENLKFQYSLRFKFKASNNEAEYETVITGLKIANEMKVEKLVVYSDSQLVVSQITGEFEAKGETMIKYLKKVRRIPRSENVVADSLSKLASGTIASSVFGVKIEEIEEKSIADEKEVNQIDQEDESWMSPMVTVELSNEVENEDALRANLDLTEEQTDVALVKIAAYQQKIAKYYNRRVKNKLITKGDLVLRKVEASRKGGSGGKLAPNWEGPYVVKEVARAGTYKLQDLDGKIIPRTWNADHLKKYYQ >OMO62054 pep supercontig:CCACVL1_1.0:contig13368:15117:16645:1 gene:CCACVL1_23054 transcript:OMO62054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MPNIEAYDGTSDPFDHLELFRTNMLIQGADDPLMSISSFKELGQSFLTHFISSRRAKKTSLGLMNIRQRPNESLRDYVTRFNAEALQVKDLDQSVAVAALMNGLRDDEFQFKFSLSKKPPKTLSELLLRAEKYINAEENMAAKTEKNDHRNDKKRDREVEADQGGKRFSKTGGYSGARSPERKYDSYTPLNNPRARILMQIERDPQLKWPKPMRQDAPRAKHKRCAFHRDHGHDTKDCRDLKNEIENLVRRGHLRRFVDSNQRQGRDRRNFQHRGRNGPERRNYNDRAENGLVGNGQGARGQDKPDRERRGEIQNNPIAGIINVITRGASTSAKKKVNPGAIMAIGSTAKKPKSWKDKPISFSTEDLEGIEFPHDDAIVISAVVYNHIVKRIYFDNGSASDVLYHSTMKSMNILEDKLRPYPAPLVGFGNEEVPVLGTISLPITLGTEQKTITAIVEFMVVKIPSAYNMILGRPSQNQMKAIGSAPI >OMO62052 pep supercontig:CCACVL1_1.0:contig13368:12281:12763:-1 gene:CCACVL1_23052 transcript:OMO62052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCLSCRSSCSTPFDTIRLVHLDGYVEDLNHPISVSEVIGEPPKQFLCTAAQLVSTCAGSKPLNPETQLQRGHIYFVLPLSTTLQDYVSPLDMASLVKRLTATAKSVSPKIISSSSRIKEMPCRARRRSWKPILETIREISFTRRSESDLREIHCITVK >OMO53951 pep supercontig:CCACVL1_1.0:contig15093:94384:94586:-1 gene:CCACVL1_28187 transcript:OMO53951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLVVDWFRIRASLGRNPAFIWRSLLAGRKVLTEGCHWRVGDGAMP >OMO53950 pep supercontig:CCACVL1_1.0:contig15093:74214:80047:-1 gene:CCACVL1_28186 transcript:OMO53950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAQLSSFLYPAVPAIQSESSFLTLASHLNPSDSS >OMO53948 pep supercontig:CCACVL1_1.0:contig15093:7963:17071:-1 gene:CCACVL1_28184 transcript:OMO53948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSRRSTVDNAPGGGFPQVNGHFGRGSGMVFQTRELPAKINTNSTPPLAEVNADNADKEPREPFSFPEVNTVPYGMSPDDINDGIPRLSRVLSNKARSTKSKQAAVAKVSEVSSLLGRAGTAGLGKAVEVLDTLGSSMTNLNLSSGFTSGVTTKGNKISILAFEVANTIVKGANLMQSLSKENIRHLKEVVLPSEGVQNLISRDMDELQRIAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYFEKLGSELTPEKQLKEEAETTMQQLMTLVHYTAELYHELHALDRFEQDYRRKLQEEDNSNAAQRGDSLAILRAELKSQKKHVRSLKKKSLWSRILEEVMEKLVDIVHFLHLEIHEAFGSADADKPVKSSVSSHKKLGAAGLALHYANIITQIDTLVSRSSSVPPNTRDSLYQGLPPTIKSALRSRLQSFQIKEELTVPQIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTGSEVNRKPAGQTDLLRIETLHHADKEKTEVYILDLVVWLHHLVTQVRACNGGIRSPVKSPIRSPNQKTIQLSTHKPPSPMLTVEDQEMLRDVSKRKKTPGISKSQEFDTAKTRLSKHHRLSKSSSHSPTSETKKDPFPIRRPSSVPFIDFDIDRIKALDVIDRVDTLRSL >OMO53947 pep supercontig:CCACVL1_1.0:contig15093:5317:6976:1 gene:CCACVL1_28183 transcript:OMO53947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med22 MNKGSGVGTGPTAAAAAAAAQKQKTMMQRVETDIANIVDNFTQLVNVARVNDPPVRNSQEAFMMEMRAARMVQAADSLLKLVSELKQTAIFSGFASLNDHVEQRTVEFNQQAEKTDRMLARIGEEAAASLKELEAHYYSSAQRTGEST >OMO53949 pep supercontig:CCACVL1_1.0:contig15093:20114:20854:1 gene:CCACVL1_28185 transcript:OMO53949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSSQPPNHTRKISTKLVNFSLSDSDSEEVEQVSQSEFDKSKLPPPYDPFNKQPVIEEPQDPKNLQQVFHNMRSNKLLDNAVKMFDALSKDGLTHEALELFSQIRDKGQMPDVISHTAVIEAYANAGHYKDALRSFMRMLASGVAPNAYTYSVLIKGLAANAKYLGDAKKYLMEMIGKGITPNAGTYTAVFEAFVRAEKVHDAKEFLQQMKAKGFVPNEKDVREVLGNKRGPVVPSVMSILFDK >OMO53952 pep supercontig:CCACVL1_1.0:contig15093:96836:96946:-1 gene:CCACVL1_28188 transcript:OMO53952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPPFPSPQIEIRDSTRTLTEPATNHTFEIDIAT >OMO67117 pep supercontig:CCACVL1_1.0:contig12472:32883:34341:-1 gene:CCACVL1_20791 transcript:OMO67117 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97/Spc98 MGEKKGEKVEVNFGNNSIEDVTWLCSLAESDLDLLISLKKLALKRASIIGHVQLAKKFDLKMLRALGFILVKSLEEKVKDLSLVPGMPESAAFLDRSNLLKCELDDDVMSIEELKECISFDASKEFCKRVLWSLFDTLLWLCFGLCRFIFLCKDRGRRMQCLEVVKDLDHHKIRKKRQKLMKKHNIKVEETVHVMTDEIDD >OMO67115 pep supercontig:CCACVL1_1.0:contig12472:25967:28320:-1 gene:CCACVL1_20789 transcript:OMO67115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MGHQLQFSQDPNSKHLGTTVWDSSMVFAKFLEKNCRKGRFCPSKLKGKRVIELGAGCGVAGFGMALLGCDVVSTDQIEVVPLLRRNVEWNTSSITQINSNSDSFGSIQVAELDWGNEDHIKAVAPPFDYIIGTDVVYAEHLLEPLLQTILALSGPKTTIILGHEIRSTIVHEQMLQTWKSFFEVKIVPKAKMDSKYQHPSIQLFIMGLKPLAATAEKANSAVSSASENRTQGIDQQVNEVETSKSDPQKEVICGSSTSIDDSDSELKEDQLPLTRLPNGKLSEWEARRYGSMAARLLRDIKIT >OMO67114 pep supercontig:CCACVL1_1.0:contig12472:25448:25528:-1 gene:CCACVL1_20788 transcript:OMO67114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGREPEPRTLLSGHWPKYRLLYKDV >OMO67116 pep supercontig:CCACVL1_1.0:contig12472:29426:31444:1 gene:CCACVL1_20790 transcript:OMO67116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE-type MASFEGGVSYSSLSKLEKDNNSKGYQFDDNCSDYMWSVPQEKSIVPVGSKSMKKDYLYQFPAEGDDFIDGGYDSGDDVCTSMRNGLQPEVNLKNVLTGLFAIVTGRSKGPPDVSVNQQHQSSNVSFLGSGKNGDTYLHSSVYIPSAPPLLESSGINYNAYKEVLEAEPPEWLPDSSTTTCMQCSAPFTAITRGRHHCRFCGGIFCRACSKGRCLLPVKFRERNPQRVCDSCYDRLDPLQGVLINTISNAVQVAKHDVMDWTCSRGWLNLPVGLSMEHEIYKASNTLRSYCQVARLNPERSIPWAVLKGARGLAILTVAKAGVLVAYKLGTGLVIARRSDGTWSAPSAILSAGLGWGAQIGGELMDFIIVLHDSKAVKTFCSRMHFSLGAGCSAAAGPVGRVLEADLRAGDRGSGMCYTYSCSKGAFVGVSLEGNIVATRMDTNLRFYGDPYLTTADILLGTVERPKAAEPLYSALDDLYSSLRF >OMO67111 pep supercontig:CCACVL1_1.0:contig12472:16931:16993:-1 gene:CCACVL1_20785 transcript:OMO67111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLTIADIVDSPQDFPKAN >OMO67112 pep supercontig:CCACVL1_1.0:contig12472:19957:23342:1 gene:CCACVL1_20786 transcript:OMO67112 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein Red isoform 1 MTSSKKHYKEKIARRKEEKPEEPEQPKYRDRAKERREDQNPDYEPTELGSFHAVAPPGTVDFPSADAHKISIEKSKYLGGDVEHTHLVKGLDYALLNKVRSEIDKKPDAGEDADGKSRKSREDQQLSFRTATAKSVYQWIVKPQTIMKTNEMFLPGRMAFIYNMEGGYSNDIPTTLHRSKADCPVPDEMVTVSVDGSVLDRIAKILSYLRLGSSGKVLKKKKKERDAKGKISALGNEYDEEPKPSKANGAISNGRTEKEILPPPPPPPKKNNIDSREKPGPTVARVEEDDIFVGDGVDYESPGKDMNPSPLSEDMEESPRHKERVSYFAETAYGPVQPSAAPQEWQEMNGYDAMQTQALAGGYQGEWQGYQYAEHMAYPEQYLQADMQGYGVQPELNIPQDPRFMTQEEKDRGLGSVFKRDDQRLQQLREKDAREKDPNFISESYSECYPGYQEYNREIVDSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLNNELHQINKILARKKGEKDSGGEGGHHDDVQPGKKLRISG >OMO67110 pep supercontig:CCACVL1_1.0:contig12472:3441:10356:-1 gene:CCACVL1_20784 transcript:OMO67110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRDKSRTYWRVLKIDRLDPSKLNIREDSTTYNERECSELLRRIHEGNISTGGLKFVTTCYGIIVSKSEIIPLPNSTVNSSAAESKNENRYKKLLCTVDLTKDFFFSYSYHVMRSLQKNMCNNEPGQVLYETMFVWNEFLTRGIRNHLQNTIWTVALVYGFFKQSTLSVSGREFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPDGLSTQITSIVQNRGSIPLFWSQETSRLNLKPDIILSKKDQNYEATRLHFENLVRRYGNPIIILNLIKTQEKKPRESILRQEFANAIDFINKDLSEENRLRFLHWDLHKHSRRNIDDGDMSPDKYCNNLNEDAYRSEGNHSGDNNFANGNHCVKPPTFQRGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGIKDTPKIDLNDPLADELMGFYERMGDTLAHQYGGSAAHNKIFSQRRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQPGKPALWELGSDQHYWGRNGETTVDEDGRSFFKRSFSDGNILRQTGSPMSATNSKQEKFANSTLPDRSQVGNNGLSESSPEISTCESDISYSRYTPSMPRRQLFGDVQRDRCLEPDHIFFSEHGDGFNCSNFVDLDWLSSSGNSCEDEPFERSLAAGLSSENVVNGILGETTPSTSEYGSSMKGRQQTGTESSFANSQNSNALEEFTDSFVQWLLARCNPPRVTDIKGEAETVVLAM >OMO67113 pep supercontig:CCACVL1_1.0:contig12472:23615:23938:-1 gene:CCACVL1_20787 transcript:OMO67113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYLDQCFTSSSWSDMNVKERSSWVHSEPDDQPDALLSAGSLGVYNQDDNKNSSPVRMLGSDHGTIGCLPEQDISPSLAPSAESGCGVDHSLLPGEGDGQICSGNS >OMO79095 pep supercontig:CCACVL1_1.0:contig10491:7699:7812:-1 gene:CCACVL1_13919 transcript:OMO79095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGVSDTNLLANYSDSTQGILEIDSINSSRAQARAT >OMO79094 pep supercontig:CCACVL1_1.0:contig10491:3666:3842:1 gene:CCACVL1_13918 transcript:OMO79094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPSQSLNKLVWVINGRFGGLLAPNAFHRREPTKGNMPTESQQPRLYNRKSPIVVSSVG >OMO62730 pep supercontig:CCACVL1_1.0:contig13190:2521:2646:-1 gene:CCACVL1_22666 transcript:OMO62730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAMFDFESRVKSLNRRTRSQTLNLLLNPSDYVLDFSCSRK >OMO75723 pep supercontig:CCACVL1_1.0:contig11008:2448:5612:-1 gene:CCACVL1_16070 transcript:OMO75723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAIVTVKQKLNPLLPSCQYGSTFFAGDSSTYQMLFE >OMO75724 pep supercontig:CCACVL1_1.0:contig11008:15480:24501:1 gene:CCACVL1_16072 transcript:OMO75724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIHLFNRTSSTLFFPVINFVLFSFFFLLRKSLAVDENFTICSVPRSCGRQSIKFPFFFENEEQDFRCGYPGFNISCRNNTDPILRLPDGDYKIHDISYQTQSFHVSKAVPFDCSHSITNISIPQDRLVLPPNQKKVFVLFNCNLTLQSTWGLSQYKLNCSGGDESNATLALFDDDPLLDFASENCKETVVAPVGFHEGEKGGVEEMVNRGFKLNWIASNCSICEGTGGHCGFDYSTYHFKCFCPDRPHAWHCAPEDNHLHLASVLQTDILVLAILNLILVFQIVLLHSAEVKDGNGHLRDCPHVFQFLCGEVGEISFPYTRKDHAECGLIVVDGCGEKFPKLPFTNPSPDPKSNPHYKVSLFELLTPNISLEVGVSPQCWKCHFRGGQRLINKLHKFQCSKGLGLPATLAILILSIFLIRRQYKQKYASSSNFFRGNAASDPSSRSDLESSSSVCLGLPIFSYGELLEATNNFDDEKELGDGGFGTVYFGKLRDGREVAIKRLYQHNYRRLEQFINEVEILTRLRHKNLVSLYGCTSRRSRELLLVYEYIPNGTVADHLHGDLAQSGSLAWRVRMSIAIETASALAYLHASDIIHRDVKTNNILLDNNFSVKVADFGLSRLFPNDVTHVSTAPQGTPGYVDPEYHQCYQLTEKSDVYSFGVVLIELISSMPAVDITRHRHEINLANLAINKIQKCAFDELIDPNIGYKSDVEVTRMTTSVAELAFRCLQQEKEMRPSMEEVLQELQRIQSEDHKLENEHKAEQTDTEMPRSVQPPSSPQNGDQIALLKNIRPPPSPISVTEKWLKFELELEFDTEFELKLDRNKIPPDALVVKLNTDGASCGNPGIAGAGGLIRNAAGAWLVGFAAHLGLDTHLESSSSPIIRARSSSSRVSSLSSGISLFDSARLDYTPSEVDAKVVLDLIKEADIAFHPLGALIADIRDLLRRDWSCSCHHTLREGNFSVDRFSKLGCNIDDDYVVYRSPPQEVLAVFQADMRGVAYPRRFKIM >OMO75725 pep supercontig:CCACVL1_1.0:contig11008:26302:26664:1 gene:CCACVL1_16073 transcript:OMO75725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTRSGSRKSSTCREMSYPQSFVANLPEKKKGDKDLSGRTLKDLFISSSPSLGEENNNNQGKVGVDKFGGMNEVVLASKLSGLNGLGGEPASSRAGWVGFRHRMLLRRAWRPMLMTIPE >OMP09845 pep supercontig:CCACVL1_1.0:contig02937:4138:4674:-1 gene:CCACVL1_01030 transcript:OMP09845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEIFARKYVNYLVPALAKISKESNHEEIEKIVRYEADMALVLSPEGCAWSHALKHKLQLNVINVDTFPGSSNQAQKHLKMEDDQNAKLLKKISANVFPNDDKPKRFETREMQAAGTKEEVEIESEEKEMSRKLTYIRTLLPGGSEMVVNDLMLSELGSYIFCLELQVNILRSMVQII >OMO96479 pep supercontig:CCACVL1_1.0:contig07467:18975:20234:-1 gene:CCACVL1_04939 transcript:OMO96479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MNQKDRSPSFLRLFERYHGLPCMPKLNPILFFFCFFFSTVQSQTIPAPPPPPNFYGPSGPPFNPSMAIVMVVLVTAFFFMGFFSVYIRQCAERRMRGGNWDANVNFGRRSRRLTRGLDASVIESFPTFLYSAVKGLKIGKDALECAVCLNEFEDDETLRLIPKCNHVFHPDCIDAWLSSHSTCPVCRANLAPKPGETIACATLQVQDSDPEPENQPENNDTAVETSRIQASNQRRDIESPDANLINSNTAINQNRPPRSWSTGWRFGRFLPRSPSTGHSLVQPGENCDRFTLRLPDDVRSQLMNSSLSRSKSCVVFPRARSSRTGYRSRSVGRNYFNYERFGRSDRRGFSMTPPFFPRTGSVRTPSPVVGGGGGDEALANQPKGFFRSIKSPFDRLILGRPEENVGEQSSDRLRPDSQV >OMP05827 pep supercontig:CCACVL1_1.0:contig05197:4125:4295:1 gene:CCACVL1_01819 transcript:OMP05827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QRAAEVTAFMGDAGIEAFKTSKVNSLRKSFAFVQVKQRQGLIEIKGAIVVFVQLFE >OMO56021 pep supercontig:CCACVL1_1.0:contig14560:12171:14420:1 gene:CCACVL1_26804 transcript:OMO56021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSQASLLGQKQLKDGCKNPVDGLATEYLHGDAGNITEPLKGYNPLAKFRKLRGLFHGQGHVKGRDIPSSRRPPWTLTGLVTVQFTITGLVN >OMO56026 pep supercontig:CCACVL1_1.0:contig14560:34234:35794:1 gene:CCACVL1_26809 transcript:OMO56026 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinase family protein MAGLSDHLSSRPVARYHYNVADASLAQHVEKGMADRLPICCVASCTSLWALLMDAGTRFTGQVYELSSSFLRKEWIMDQWEKNYYISSIAGSTCGSSLVVMFK >OMO56020 pep supercontig:CCACVL1_1.0:contig14560:4804:8209:-1 gene:CCACVL1_26803 transcript:OMO56020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate-related phosphatase MLHPLPPPPHQLLPPLKTLSRMKRKRRYFIFFIYIYYLIIFFSWEKYNLFIFWLVGIVKSIIPKIFTSRRRLRGGSSDDDNGLPDCNGVSPADLEKKITSRRKEFMEASPFIRKSFSERHDSGGLIEGLNLSNFESSMESVTETRELRVFVTTWNVGGKTPNNEMNLEDFLLMEGSADIYVCGFQEIVPLNAGNVLVIEDNEPAAKWLTLINQALNKPHHDSIYSFPDPGVNHSKHTNMHHNFKDSKSPTNLHFFHKPSLKVLSKNFRVDSSLLKTCNCVDSTIREKHRHKNLSNDLTSKLDLGPLPARRDSAVNELVSLHDMSPPCSTSQMDYRLIASKQMVGIFLSVWARKELVPYIAHLRVSSVGTGIMGRLGNKGCIAVSMSLHQTSFCFVCSHLASGEKEGDELKRNADVTDIVKSTHFSKICKSPIPRAPERITEHDRVIWLGDLNYRVALSYEQTRTLLEDNDWDTLLEKDQLNTEREAGRVFNGFKEGKIQFAPTYKYSHNSDLYAGETGKSKKKRRTPAWCDRILWHGGGIEQLSYVRGESRFSDHRPVSAIFAVEVEVRRIYDNRFRKGFSCGGKRLEYEDCIPKRHSFYEY >OMO56025 pep supercontig:CCACVL1_1.0:contig14560:28428:33289:1 gene:CCACVL1_26808 transcript:OMO56025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVIVLQAATPVAFSISLFSPGLSLSLIRKADVLFVQQ >OMO56023 pep supercontig:CCACVL1_1.0:contig14560:22701:25532:-1 gene:CCACVL1_26806 transcript:OMO56023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate mutase 1 MATAMFQQCIGTIQLNSCGDEWGSCKKFRNYSLRFISRGFALDVRLLTKGSFISSRSKLHLVHALSSHSSLVDPVSSISDGANDHQKNISESALILIRHGESLWNEMNLFTGSIDVSLTKKGVEEAIEAGKRISNIPVDIIYTSSLIRAKMTAMLAMIQHRHKKVPIIRHTESDQAKEWTRVFSEETERQSIPVITAWQLNERMYGELQGLHKQETIDRYGKEKVHEWRRSYDIPPPKGESLEMCSQRAVSYFREQIEPQLQSGKHVMVAAHGNCLRSIIMYLDKLTSLEVTTLELSTGVPLLYIYKEGKFIRRGSLVGPTEAGVYAYTESLALYRQYLDEMFQ >OMO56019 pep supercontig:CCACVL1_1.0:contig14560:3126:4460:1 gene:CCACVL1_26802 transcript:OMO56019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKRENDEETESEARQEASRRKKMSRAQDSILKYMVKIMEVCKAQGFVYGIVPEKGKPVTGSSDSLRKWWKERVRFDKSAPLAIQEFLPVILEQGALDPVSCIHMLQELQDTTLGSLLSALMQHCLPPQRRFPLEKGLAPPWWPTGEEIWWGEQGVAQEHGPPPYRKPHDLKKAWKVSVLTAVIKHMSPNLDRMRRLVTQSKCLQDKMTAKETATWSKVVNSEEALLKLTEKCLKISASKDDGEEETGHDKGKKAKNPIASSSDHKRKCVFEREVTINTLYACQNLECPHSSSELGFMGKSSRIDHEFVCAYRSEASETSQDNSEESDISANSLIPYNQHSFGTQSADSIVGKQSILPVTDWLDMELLKPNDHQQMNEVEGMPEAVLHDYINYLSGTGTAIEGLPFPEEFLIPRGDMDLNSSPLSKENLDDQGVTSIWDWAFD >OMO56022 pep supercontig:CCACVL1_1.0:contig14560:15798:16574:1 gene:CCACVL1_26805 transcript:OMO56022 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine/threonine protein kinase MPLYTFLLFLLFSSTPFSVKTPSSNSLDTDTATPRSYTIFPSKQEHRRSRLTPTSASDDSRALSCRVPRKVAIAYQSQNLVYRERLIVHGVKRLFPTIPCHSKTTAAQKALEEEAAEEDLDTAFFLETLKSLLSLTDTFLRFFGKIYGTNKPSPPPTAPARHAPPASAPALATAAQERLNFPPIALRINNYNRLAQVQF >OMO56024 pep supercontig:CCACVL1_1.0:contig14560:27309:27892:-1 gene:CCACVL1_26807 transcript:OMO56024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MAAKLFLLLALCVFPVLVTANRKPPFHIVGRVYCDACRAGFWTSKCFYIPGAKVEIQCYDAVTDLLKYTLAGETGPDGKYDITVEDDHGDQRCYVTLVSSPHPTCKTVDYSLNKATVILTRNNGATASRHHANALGFFQDEPAAGCQELVHKLLYEE >OMO99334 pep supercontig:CCACVL1_1.0:contig06913:2661:2753:1 gene:CCACVL1_03847 transcript:OMO99334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPAWSKTDKIDRVIRAGSVLDTPRSQYQ >OMO99335 pep supercontig:CCACVL1_1.0:contig06913:4290:4409:-1 gene:CCACVL1_03848 transcript:OMO99335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLDLDYSEVELCRIPYEGELSQHLFSPFTKLESKTLA >OMO99333 pep supercontig:CCACVL1_1.0:contig06913:1549:1686:-1 gene:CCACVL1_03846 transcript:OMO99333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHRARLHRTRLCRFPSVPTKVGSVFGLKNNPSVSSVLEKNRPE >OMO99336 pep supercontig:CCACVL1_1.0:contig06913:11595:12380:1 gene:CCACVL1_03849 transcript:OMO99336 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MTSLTKKKLAGKVAIITGGASGIGEATARLFADHGAGTIIIADIQDELGHQVATSIATPDCICTYVHCDVSDDDQVKSLVESTVQNHGKLDIMFSNAGILSKSDQTVLDFDFTQFDRLMAINVRGMVACVKEAARAMVDGRVRGSIICTASVAASQGTKRRTDYCMSKHAVLGLVRSASKQLGVHGIRVNCVSPNGVATPLTCGAHEKSVEEVEEIYEAKMVLKGVHLRAGHVADAVLFLASNESELITGHDLLVDGGFQP >OMO99332 pep supercontig:CCACVL1_1.0:contig06913:156:341:1 gene:CCACVL1_03845 transcript:OMO99332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PGSGFGYPRGAIRTLPELDSGRECAARTLSQPYKFGSGSPGYPKNAYSVASLFKNKLPHRR >OMO57976 pep supercontig:CCACVL1_1.0:contig14289:21472:22182:-1 gene:CCACVL1_25629 transcript:OMO57976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATNNGTSFNNQKFTVPAAHVNNDVEQQITSMELEAEDDDFDYSKRSQWLRAAVLGANDGLVSTAALMMGVGAVKQDIKAMILTGFAGLVAGACSMAIGEFVSVYSQLDIELAQMKRDKQRGQNGESDHHQQGKNDDEGEKESLPNPVQAAAASALSFSVGAMVPLLAASFIREYKVRLGVVIGAVSLALVVFGWLGAVLGKAPIVKSSVRVLVGGWLAMAITFGLTKLIGSSGL >OMO92219 pep supercontig:CCACVL1_1.0:contig08248:2938:3003:-1 gene:CCACVL1_06901 transcript:OMO92219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMHAEVIPLLCNECCLNGE >OMO95577 pep supercontig:CCACVL1_1.0:contig07653:5785:11098:1 gene:CCACVL1_05367 transcript:OMO95577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MEKRNVESGTRGRARRRQSERIRSMASSQQEQVLVEATSFTRILTLNRTKQLNALSFEMVSRLLQLFLAYENDPNVKLVIVKGKGRAFCAGGDVAAVVRDIKRDGWRAGANFFSKEFTLNYLMATYSKPQVSILDGIVMGGGAGVSVHGRFRIATENSVFAMPETALGLFPDVGASYYLSRLPGFFGEYVGLTGSRLDGAEMLACGLATHFVPSAKLPMLEAALSVVDSSDPVMISSIIDQFCEQPSLKEQSVYNRLDVIDRCFSRRTVEDILSALEMEIVGRNDAWISATIQTLKKASPTSLKISLRSIREGRLQGVGQCLVREYRMVCHVMQGEVSKDFFEGCRAILLDKDKNPKWEPSKLELVTDSMVDVYFSKVDDEEWEDLKLPTRSKYNLSAYAIAKL >OMO95579 pep supercontig:CCACVL1_1.0:contig07653:20169:20330:1 gene:CCACVL1_05369 transcript:OMO95579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPKVTRVLPASARRPPPPPPTLKLPALINKKSSKVNTHQQQEYKRSFQTPL >OMO95576 pep supercontig:CCACVL1_1.0:contig07653:2749:5508:-1 gene:CCACVL1_05366 transcript:OMO95576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRISASPSPNSRPWPCNGRRRVLANKKRPRPHSHLNTFNKLLRREISSKHSFCISNSQEKFRNMRLLEEYDSHDPKGHSPLALPFLMKRTKIIEIVAARDIVFALAHSGVCTAFNREINRRICSVNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSTLKCRSTKIEYIRRGKPDAGFPLFESECLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYSMLYSISDKHVHEIKISPGIMLLIFNRASGHIPLKILSIEDGTVLKTFKHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNFELMEVSRTEFLTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKAESDDRWMEGNAGSINISNILTGKCLAKINASNVNPKHESLQMRSTVSETLEDITALFYDEERNEIYTGNRQGSLLQALAPMQSFISLSEVVR >OMO95575 pep supercontig:CCACVL1_1.0:contig07653:226:1826:1 gene:CCACVL1_05365 transcript:OMO95575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLDGMIERLLEGRNNKGKRIQLNEPEIRQLCLTAKKVFLNQPNLLQLEAPINICGDIHGQYSDLLRLFEYGGFPPNVSYLFLGDYVDRGKQSIETICLLLAYKTKFPDNLFLLRGNHECASINRIYGFYDECKRRFSVRLWKVFTDCFNCLPVAAVVDEKILCMHGGLSPDMQSLDQIRAIERPIDVPDQGLLCDLLWADPDRDISGWGENDRGVSYTFGADKVAEFLKKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGALMSVDASLLCSFQILKPT >OMO95580 pep supercontig:CCACVL1_1.0:contig07653:32062:34665:-1 gene:CCACVL1_05370 transcript:OMO95580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant QLKKTIKNKSAVEGSICEAYIAYEINTFSQHYFELDVRCTSRRPRRNDEVVNDPSKPPFSIFNYPGRFHGRPRVRMLNDEERKVAHTYVLRNCLEVDPYLEMFVDYLKHEGSDEIDQRIEMFFADWFKLYVYYTWPKSREKEVRGEFGKLASEHIRREFRTARKTLGIPNPGWIPDAAWDIIRAYWRSPQFRALQEQNQHNCNTDGFASTSGYRGGHISTYTYRQRLAIEKGQKPKRVEIYERTHGRKDKSGSYPPSRTTITMDNEATKAKQIQSDQKLDKLQEYLQTMCAALAQQNIHFPPPRILEQVSESSGTTRHQPQQPEPVENPPNKDDNNQEEDLEAHDQEFCLW >OMO95578 pep supercontig:CCACVL1_1.0:contig07653:12147:15805:-1 gene:CCACVL1_05368 transcript:OMO95578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrahydrofolate dehydrogenase/cyclohydrolase MPGLFLGSQYKKIMRMTMRSVMRKISVGLAKESKRYLSSAMTRGPNTWKDNQSSQILLSPPLVSLDIPEIWASDSNYYDHPSSWKNSNAQIATIIDGKSIAEEIRSRVASEVKRMKEYVGQVPGLAVILVGQRRDSQTYVRNKIKACEEAGIKSVLVELPNSCAEYDVMTSVLNFNEDPSIHGILVQLPLPEHLDEEKILSVLSLEKDVDGFHPLNMGNLAMRGREPLFIPCTPKGCIELLIRSGVEIMGKKAVVVGRSNIVGLPISLLLQRYHATVSIVHACTKNPEQITREADIVVTAAGVPNLIRSNWLKPGAVVIDVGTCPIEDPSAEYGYGLVGDVCFEEALRVASAVTPVPGGVGPMTIAMLLCNTLDSAKRLHGFS >OMO65934 pep supercontig:CCACVL1_1.0:contig12603:819:8407:1 gene:CCACVL1_21338 transcript:OMO65934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDSFSVSSSRFPKIDISEGMGA >OMO89605 pep supercontig:CCACVL1_1.0:contig08664:19005:21188:1 gene:CCACVL1_07740 transcript:OMO89605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MCCGSERLKQTPAPTTSNISFEDNRHPSLDMNHLTIETEDTFASLLELAANNDVEGFRRSIERDPSGIDEAGLWYGRQKGSKQMVNEERTPLMVAATYGSIDVVKLILSSSDADVNRVCGHDKSTALHCAASGGAVNAIDVVKLLLAAGADANIVDANGHLPVDVIVVPPKLQAVKSTLEELLATETSVLERNLRVSTAVANSNSPPLSPSQENGSPSSGSDSPMKSKSTDAPISSASEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRVCFFAHTPEELRPLYVSTGSAVPSPRSSTSGATAMDFAAALSLLPGSPSSVSVMSPSPFTPPMSPSANGMSHSNVAWPQPNVPALHLPGSNLQSSRLRSSLNARDIPADDFNMLPDFDVQQQQLMNELSSLTQPSMSSNSLNRSGRLKTLTPSNLDDLFSAESSSPRYSDQALAAAVFSPTHKSAVLNQFQQQQSMLSPINTNFSPKTMEHPLLQASLSGRMSPRNVEPISPMGSRVSMLAQREKQQQFRSLSSRELGSGSAAIVGSPVNSWSKWGSSNGKPDWAVNADELGKLRRSSSFELGNGEEPDLSWVQSLVKESPTEIKEKMGVSVSGVAPNASTGEGSNMNSQIDSVDHAVLGAWLEQMQLDQLVAQQN >OMP11362 pep supercontig:CCACVL1_1.0:contig01359:6006:6698:1 gene:CCACVL1_00563 transcript:OMP11362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLKQEMKRGIAENNNYMIPGNMVNQGQYWPQLPAVPVMPLTSTTQQDQDSIRNLLVKLGGRFSDENPVQSSTAAAAMNLRYPVEVSFGHHQDQNIYDNSMNIISPSSSLSPINSSSCSEVINSSNFNVNEAAAVAAVPNSNMFQGLDGFPTDLTELIYGLDTGFYGTDGSSTSGSGISSVESSSWGSGDINSLSYSQMASGFEACQQPNMAQVVQVSTFDESSYFGPQ >OMP11361 pep supercontig:CCACVL1_1.0:contig01359:2144:2236:-1 gene:CCACVL1_00562 transcript:OMP11361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGNGRHGRPQLSSAHLNFPFSPSLTFYLS >OMO88591 pep supercontig:CCACVL1_1.0:contig08986:2804:3368:-1 gene:CCACVL1_08302 transcript:OMO88591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Global transcription factor group MPDILVNVRKSRDETLGVVQEVLPDGSCKVALGSSGSGDTVIALPNEMEIVPPRKSDRIKIMGGSLRGHTGKLIGVDGTDGI >OMO99652 pep supercontig:CCACVL1_1.0:contig06838:75749:75841:1 gene:CCACVL1_03690 transcript:OMO99652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTLFQSQLSPPVQYLQSVASPKRHRRQ >OMO99650 pep supercontig:CCACVL1_1.0:contig06838:18812:18928:-1 gene:CCACVL1_03687 transcript:OMO99650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGIFSGEATHDGLGGGNDIRLGGSSDSLGEKRRRRD >OMO99653 pep supercontig:CCACVL1_1.0:contig06838:87597:99956:-1 gene:CCACVL1_03692 transcript:OMO99653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRPVIQRFSGRKSGWVYNKNQLPLFFLQEE >OMO99651 pep supercontig:CCACVL1_1.0:contig06838:55437:59818:1 gene:CCACVL1_03689 transcript:OMO99651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVCWPYFDPEYENMSVRMNPPRVSVDNTSCTECTVVKVDSVNKPGILLEVVQILTDLDLIITKAYVSSDGGWFMDVFHVTDQQGKKITDGKTIDYIERALGPKGHTTDGMKTSPGKRVGVHSVGNHTAIELIGRDRPGLLSEISAVLANLHINVAAAEVWTHNRRIACVLYVNDDNTSGAVDDPNRLSIMEEQLKHILRGCEDDDKVARTSFSMGFTHVDRRLHQMLFADRDYEGGGVTTEVDYPSSFKPKITVERCEEKGYSVVSVRCKDRAKLMFDIVCTLTDMQYVVFHANISSSGPYASQEYFIRHMDGCTLDTEGEKERVSKCLEAAIHRRVSEGLSLELCAKDRVGLLSEVTRILRENGLSVRRAGVSTVGEQAVNVFYVRDAYGNPVDSKTIEALRKEIGHTMMLDVKKDPASAKAPEAEASGWAKTSFFFGNLLEKFLA >OMP12069 pep supercontig:CCACVL1_1.0:contig00478:682:1083:1 gene:CCACVL1_00148 transcript:OMP12069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNVKGEPMNTERVEDSHFCG >OMP11800 pep supercontig:CCACVL1_1.0:contig00847:1597:1659:-1 gene:CCACVL1_00255 transcript:OMP11800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GYLTVTKSNHIYNVENNFTE >OMO90869 pep supercontig:CCACVL1_1.0:contig08414:10083:10187:-1 gene:CCACVL1_07265 transcript:OMO90869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPPQLSFSTPSDQPLQLALSTPQKQDQISGQTE >OMO90873 pep supercontig:CCACVL1_1.0:contig08414:14171:14269:1 gene:CCACVL1_07269 transcript:OMO90873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFEHQTPPFSGVLDLKRHYLAAFRARSHYF >OMO90871 pep supercontig:CCACVL1_1.0:contig08414:12514:13034:-1 gene:CCACVL1_07267 transcript:OMO90871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILDFFWLICRTSAILNELKTLFGSVEAIPVEVLLTRVCFQISEASTLGAKEFLEEFLHKWRYVDDQCCVLGSVETNLNFKEGCDSHFVLRIDKYIEIVELYAVMLLGTVSSDLELAISKI >OMO90870 pep supercontig:CCACVL1_1.0:contig08414:11671:12270:-1 gene:CCACVL1_07266 transcript:OMO90870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNLIQHVLRRKLHSQFAVPALSWFTSRKANEDAGSAGMRAVALLGVGISGLLSFATVASADEAKHV >OMO90872 pep supercontig:CCACVL1_1.0:contig08414:13563:13697:1 gene:CCACVL1_07268 transcript:OMO90872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKLQSLDRKREKKALILGLPSKRNKFSLQQTAGTRSRSVSSG >OMP06234 pep supercontig:CCACVL1_1.0:contig05017:1:515:-1 gene:CCACVL1_01662 transcript:OMP06234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTKFWYVALFLLSIVGALSRVVHGGHSDENGYGGWGSDADDNDDDNYCSYRNWRSCGSFFGRGGKRYGGGVDGGTGNGVGAGSGNGGVTGGGGGGGGGGGGGGGGGGSNGAGHGEGFGAGGGVGGSGGGAGGGGGEGGGGGSDGGSGHGSGYGAGGGIGLANGGGGGG >OMP06468 pep supercontig:CCACVL1_1.0:contig04925:2816:5093:-1 gene:CCACVL1_01558 transcript:OMP06468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MAPKDQKDTGKKHENQHHMNLRSGSGGSGVDGDDQSQETLISLDEVKNMNLRSSSGGSGVDGDDQSQETLISLDEVKNEFNASFTQAMDSFQTTIFQQFQQQAQQQQQQAQGQFDLIRALTEAVMRMGIAPGGQGLAPLVGQLPPLPRLQDEQVPLGVANAQERQVDPQLPPLEEAVDIVKDIAQVDARNVNVYVPPPACVGNGNGHNGNDNRNGNQRHAPRAQKLRLFPNSLTGLAFTWYINLPPNSIRMWEDMEKAFHTQFYRIEPEVSLPDLSRLSQKKGEPAEDYLAQFKKLRNRCHIALPEEEFVRLAQNGLDIELRKKFEGVEFRDYFDMSTKVARYENLLREDAERKSSSYGTYYQEPNYELGVAEVKVDRSIECPSLVKAGIGHRQTHEHLSAAYHRQAEKKPHDRSRMYSFDMSKTSDVFDFLFKAGMIKLPPGHKLPHADELRGKEYCNCTVFRNIVQEKIERGILKFPKAPKKEMGVDRDPFPAAVNMVSVNFPTGGRLRREQKAKGIARDEEAMPPPKERRPSIPVRYGYINRVAPSRQQVPQPMAPRPVALGSMPRRKLDLTTTKDMPMKVPNRSFAWRTVQRKLDFAEEDVKPSMIITSAVQGTSKPMLTKSPCSQADGSISN >OMO99743 pep supercontig:CCACVL1_1.0:contig06821:9338:11396:-1 gene:CCACVL1_03656 transcript:OMO99743 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MAMATKGKVCVTGAGGYLGSWVAQLLLSNNYLVHATVRLPGDAKYAHLNKLDKASDNLKLFKADLLDYDSLCSAIDGCTGVFHVACPVPSTTVPNPQVEMIEPAVKGTLNVLKACLEAKVKRVVVVSSVAAVALNPGWPKGQVMDESCWSHKDYCKTTNNWYCFSKTEAEEEAFEFAKKTGLDVVTVCPTLILGPLLQSTVNASSSVLIKLLKEGQESLENKQRHIVDVRDVAQAMLVLYEKPEAEGRYLCTAHSVKARDLVEKMRSIFPNYNYRKSFTEGQEEYMLSSKKLQRLGWSYRPLEETLVDSIESYMKAGILD >OMO99742 pep supercontig:CCACVL1_1.0:contig06821:621:4043:-1 gene:CCACVL1_03655 transcript:OMO99742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQPIPGPSSGSSSSSGFQYMNSPFGDTTYTKVFVGGLAWETQSETMRRYFEQFGDILEAVVITDKNTGRSKGYGFVTFRDPEAARRACADPSPIIDGRRANCNLASLGRPRPPVPYGIISNLPGRLRPASPYVGGVQATRGAYVGSFGYQPPLSYNYQQGMMYPSYGYATYGPEYVYPQGVYSPYAAQQYLQIYGVPGAVNTAVYPYGQLGQTVPSGHGYTAVQGYAMPSHQIVQFGGPSANAITTSPMPTIQTPYPGGMAAPVPAQPQFIVTTPQFMQGSGSDQTTGSFSLFQVSLDCSRTKSSGTAT >OMO67541 pep supercontig:CCACVL1_1.0:contig12417:26287:29603:1 gene:CCACVL1_20460 transcript:OMO67541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MAEAKESNHFPGDTESQISDSRSKKGGFITLFFIIGTVSGVMLSGFGWLANIIVYLVEEFNIESINASQISNVVHGTMNMLPILGAFIADSYVGDFAVAAISSFISSLGIILLTLTAKISSLKPPPCENGSSLCQGPSKLQLGILYISIAMASAASTALIYVQDSISWGLGFGICAAANFVALAIFLAGYKFYRYDKPKGSPFTAMVRVLVAAVRKSGVKVSSKSEDYYHDEHDGTDYKVMPATPKRSFRFLNRAALKTEGDISSDGSIARPWKLCSLQQVEDLKTVIRLFPIWSTGIFLATPFTTQSSITVIQALAMDRHLGSNFKLPAASIVVVILISASFFVALFDRFLFPAWQRLSGRPLTPLQRIGIGHVVNVLSMVISALVEAKRLKIAHAHHLDQAQHSAIVPMTVWWLFPQLVVVGIGDALHFPGHVGLYYQEFPVSLRSTATAMVSIVIGIAFYVSTALVDLIRNSTGWLPENINNGRLDNLYWILVVTGSLNFIYFLVCAKLYKYQNMEKEVDTVSNSDM >OMO67540 pep supercontig:CCACVL1_1.0:contig12417:8768:9871:1 gene:CCACVL1_20459 transcript:OMO67540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MEWQQQAGTGSNMAGSVSAGVSKVQILSNSARKRGGWVTFIFVSGAVMGLTLAGWGWLTNLIVYLIEEFNVNSIDATQISNIVNGSTNLIPIIGAVIADSFLGSFHVVSISSVSSLLGIVILTLTASIGSLRPQKCTETGSSFCSGPSKLQYAVLYMGIALASVGQGVVRFTLGTLGANQFDTFKDREIYINWFFFIFYLACVISSFVIVYVQDSISWGLGFGICVAANFLGLIIFLMGNRFYRHDKPQGNPYSNLARVIVAAIRKRNVSVSSESKDYYHGNDHGTNDIVAATSNRSLRYETLSDNH >OMO67539 pep supercontig:CCACVL1_1.0:contig12417:4261:7460:1 gene:CCACVL1_20458 transcript:OMO67539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MSSMEWQQQAGTGSVSAGVLEVQMLSNSARKRGGWVTFIFVSGAVMGLTLAGWGWLTNLIVYLIEEFNVNSIDATQISNIVNGSTNLIPIIGAVIADSFLGSFHVVSISSVSSLLGIVILTLTASIGSLRPQKCTETGSSFCSGPSKLQYAVLYMGIALASVGQGVVRFTLGTLGANQFDTFKDREIYINWFFFIFYLACVISSFVIVYVQDSISWGLGFGICVAANFLGLIIFLMGYRFYRLDKPQGNPYSNLVRVIVAAIRKRNVSVSSESKDYYHGNHHGTNSLVAATPDKSFDKSLRFLNRAAMITEGDINMDGSIAKPWRICTVQQVEDLKTLIRIIPVSSSTVILATPIVLQGSLTVLQALAMDRHIGPSFKIPPGSLPVVVLISTAMFIPVLDRFLFPTWHKLTGQTVRPFQRIGIGHVLNTLSMAISALVESKRLKIAHEDQQEQMLALWLLPQLIVVGIGEAFHFPGNVLFYYQEAPVSLKSTGSAMLSILVGIAFYLSTVVVDLIRNVTGWLPEDINHGRLDNVYWIFVVLGLLNFGYFLVCAKLYKFLNRAELKTEGDTHSDGSIARPWKLCSLQQVEDLKTVIRLFPIYNPIKHNSHSSPSNGLSPWLQLQTPCCINCSCNPNMASSFFVALFDRFLFPAWQTFFGRFATNRNRPRHQCAKYVSALVEAKRLKIAHAEHKSQS >OMO52633 pep supercontig:CCACVL1_1.0:contig15495:22987:25140:-1 gene:CCACVL1_29156 transcript:OMO52633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MVAANPSCIRLSPAARNYELKQIHLTMIPQFNGIAGEDALAFIRDFVSTVQTFPLFNISESELCMRCFPYSLKNGARNWLLSLEPNSLDTWEMVYHKFLLKYFPHQETIDLRAKISNFQQKPGEAFHEAWQRFRELLSQCPHHLFSDEFLVQRFYDGLTSLWKSLVDMACNGDYGDKTADEMKAIYARLTSNSQQKVSMDTREVANEVGSQSNLAQQVANLTKQIALLVNRDNQPQAPEACGYCGLYGHSTNGCMNVDPSTMGYEDVNYLGGYAARDPNNDPFAPTYNPGWQRHPNLSWRNPGVGSSNQQMGPPGFRPPRPQFQQFPQQQPYIQNQQQQNTQPQPQVQNMKMEDMFKQLMGKMDAQFERKNKFEANTEAKFNQLSQGNQSTQASIRNLEKHVGQLAQQQNERAKGKLPSNTEVNPKEGPHGEIRCHFKTGTTYHPQTSGQVEVSNREIKQILEKTVNSSRKDWSLKLDDELWAYRTAFKTPLGMSPYRLVFGKSCHLPVELKHKAYWAIKTLNYDFKAAGERRLLELNELDEIRRESYENARIYKERTKAWRDKHILRREFTVGQKVLLFNSRLKLFSGKLKSKWSGPFVVTKVHPYEPLKFKERKVGHLW >OMO52632 pep supercontig:CCACVL1_1.0:contig15495:21515:22338:-1 gene:CCACVL1_29155 transcript:OMO52632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGRSACSDLGDLKSGLLVQFSSSSSSASS >OMO52634 pep supercontig:CCACVL1_1.0:contig15495:42226:44409:-1 gene:CCACVL1_29157 transcript:OMO52634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGGMENPSSSLGIEGDFNLGLDFGSRSSHHPKSAASARRQPTLLELREQANWGSRTAPTLLELRNQANRTAQTDEANVDLYVLPNLVPEGKKKGVKSFSLKDIYLENLEKMEGIGMSKKSSRHEQPYSKQHHVPKQENQESLCGMEKFNSEKYAKFAYVFGATANGSDAESDAGLKPNLVKGDSNKGSHLNFTCNAPEKTEQGFNSSTDAVHEACEKWLLRGHRAYREYNISEAELYYTEGINSVPSNETTSRSSIIKLLVLCYSNRAAARIFLKRIREALADCLKAADLDPNYVRADLRAASCHLLLGETENALMYSTKFLGTVSLDLDIIDGANQLQRNAQRVIELTNLSAQLLKQKEAFSALKAIAEALSISLYDEKLLQMKAEALLILRRYEKAIELWNEALHSGKYADPVEHYSKALSNMSSNVEIESRAFAAKCFCHRAAAHHALGQIADAIADCSLAMALDENYIEAISRRATLHKIIRDYGQAACDLRRLISILEKQPNLDKWREARRQLSSLEEEAAQRENMPLDFYLILGVKPSDSKSHVRKAYLNAAHRHHPDKTALFLANNIERGDIEGRPWKEIAEQVRKDANRLFKMIGEAYAVLSNPAERSKVECCPSRTCFLDNIDPTKIEGSKSKEESGAN >OMO52630 pep supercontig:CCACVL1_1.0:contig15495:1988:4015:1 gene:CCACVL1_29153 transcript:OMO52630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A22, presenilin signal peptide MESLWKLLYLLEPAPLTLIVTAVAVTFGSGFRALNYGKEMERNRDLSEASITLDRSQALMIPIMSSCSLLLMFYLFSSVSQLLTAFTAIASVSSLFFCLAPHVAYLKSQFGLTDPFVSRCCSKSFTRIQGLLLLVCILTVAAWLVSGHWVLNNLLGISICIAFVSHVRLPNIKICAMLLVCLFVYDIFWVFFSERFFGANVMVSVATQRASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLFGGAAPGGSTADFMMLGLGDMAIPAMLLALVLCFDHRKSRETVNLLELYSSKGHKYIWYALPGYAIGLVTALAAGILTRSPQPALLYLVPSTLGPVIFISWLRKELIELWEGTMPNLNDKARQIEV >OMO52631 pep supercontig:CCACVL1_1.0:contig15495:7526:14629:1 gene:CCACVL1_29154 transcript:OMO52631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MALDKILEQLARMFPKLGCFFMAILLVWSTPAVPFSTITSLPGFPNSLPKFKLETGYIGVGDAELFYYFIESESNPAEDPLLLWLTGGPGCTSLAGLVFEIGPVRLNMVEYNGSLPTFEPNPYSWTKVANIIFLDAPVGTGFSYSRTLQGLKTGDQKSATDSYNFLRKWLLSHPKFIRNPLYIAGDSYSGKIVPMITRAISDGIEDGSASFMNLKGYLVGNPFTDPKFDEDNSRIPFYNRMALISDELYESAKSNCKDFWEVDSSNEMCAKDLETIKECTAHINRAMILEADCLNTNVKLANSSDNNRKIFLERHEGHRLGIDLGCRTFQYDLCTIWANDISVQKALGIRMGTIKEWLGCGDLDYDRDVESAVGYHEYLNRKGYRALIYSGDHDAVVTYVGTIAWIRSLNLSVVDSWRPWMVDDQVGGYSTEFENDFTFTTIKARVPLIVSDSFIYKKESFGDSISDTGNLLGLQLSGSINSTHVDFLPYGTTFFHHPTGRASDGRLVVDFITEALGLPFVRPFFGRKNGGSQNFHKGVNFAIIGATAIDYAFHKEISDIDAGPTNISLGAELEYFKKVLPSLCSSDSVSSIVSVTKGATRF >OMO52635 pep supercontig:CCACVL1_1.0:contig15495:47067:51718:1 gene:CCACVL1_29159 transcript:OMO52635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLWETLDEAICYVLTGLFGSPDDHRALKEQVKPLPPPLQITNSKEELKQYDGFAHVSQSRASSSSSSNQPKYQVFLSFRGADTRHGFTSHLLKALKDKGIAVFFDDEKLEKGEELSPALLKAIAASKISIVILSKDYASSKSCLTELSKIMKCKGGAMAQIVLPIFYHVDPSDVRNIAGSFKESFEKKHQKRKLDEVNEWKDEFSKVGKLKGWHIEGGNFDRSEPEYIKDIVEDMEKILSLIDREDTRVIGIWGMGGIGKTTLAEAVYNEIVSSSKFSAHHFLRNVSEKCEKQGMEFLRDQLVSKLLDEKDIHIDTPSIGSTLIQERLHNKGVFVILDDVNDSDQIEHLGLKHFGLGSKVIVTSRDQQVLRNIEVDEVYEVEKLNEDDSLQLFSKFAFKQYNPVASFRDLAMKFVKYAGGNPLALKVLGSSLYKKSRNVWESALDKLKEYPEPKIINVLKVSFDGLDDLEKNIFLDIAIFFKGESLYEVTELLKCCYKGAEFGISNLVDKCLVDVRSGSSAIDMHDLLQEMGRDIVRQESEDPGRRNRLWNPEDVYYVLKNNKGTESIKGISLDMSQFKELPICPDFNPQNLVELSLRNSTNIEQLWNGDPDVVNLRQMDLYGCKKLQKIPNLSGAMKLQILLCQGCESLVELPCMTHLISLNVLDLEGCAITKFPEAPTNLVGLSLPGTQVEEVPSSIGTLKKLRSLNVRSTKIQSIPSSIVKLDALEYIDLSHCPNITELNLNIITPTEEVPLSISGLQSLRALIVENCKSLKSLSELPPWLGVLDAGDCISLERVSFMDCDYQRELDSITHFRFSNCFNLNLDALDNIIVAYPMIVIEYLAKQAAKQLTENKLDVYDEESSHEFNSNDRFFSRNKVLHYLPGSEIPNKFEHQCRNSSITVKFPFADSRRFLCFSLCLVLDFEHFREYKCFSIHIEYQLKGTCSSYQNFKREWDPIYNYKKAKRSSSEHVFILFRDDMVHRDMHTEASFDFHVVATKYYTDKSDDEMKVEKCGVHVFYINAESLTVSDVGSSISFNFDEDNRCQMQS >OMP06055 pep supercontig:CCACVL1_1.0:contig05074:33464:35025:1 gene:CCACVL1_01730 transcript:OMP06055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKSESESRARSSETIS >OMP06051 pep supercontig:CCACVL1_1.0:contig05074:16519:18503:-1 gene:CCACVL1_01726 transcript:OMP06051 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MSKSPEQEHPNKAFGWAAKDNSGVLSPFKFSRRATGEKDVAFKVLFCGVCHSDLHMIKNEWGNSIFPMVPGHEIVGEVTEVGKKVQKFKVGDKVGVGCMAAAGTLDGIIDTVSANHPLMPLIGLLKTHGKLVIIGVPEKPHEFPAFPLLAGRKMIGGSMIGGLKETQEMIDFAAKNGIKPNIEVIAMDYVNTAMERLLKADVKYRFVIDVGNSLKATS >OMP06050 pep supercontig:CCACVL1_1.0:contig05074:5840:8051:1 gene:CCACVL1_01725 transcript:OMP06050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MGSLPEQEHPKEAFGWAARDTSGHLSPFKFSRRATGEKDVAFKVLYCGICHSDLHMVKNEWGNSVYPLVPGHEIVGEVTEVGSKVQKFKVGDRVGVGCMVGSCHSCDNCANNLENYCPKMILTYGAKYYDGTITYGGYSDTMVADEHFIVRIPENLPLDAAAPLLCAGITVYSPLRYYGLDKPGLHVGVVGLGGLGHMAVKFAKAMGAKVTVISTSPSKKTEALENLGADSFLISAEQDQLQTAMGTMDGIIDTVSAPHSLLPLIGLLKSHAKLILVGLPDKPLELHVFPMILAKYNLKPDIEVIPVDYVNTAMERLVKGDVKYRFVIDIGNTLKATSS >OMP06056 pep supercontig:CCACVL1_1.0:contig05074:38137:38394:-1 gene:CCACVL1_01731 transcript:OMP06056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGEGLSLGNDKPPSWKSMAGERPWKNFKPPISELEVESFESPDSLEEAFIFLFFRQPPPIGTFRNAPPLVQYLRQVLQKCLG >OMP06053 pep supercontig:CCACVL1_1.0:contig05074:24717:27117:1 gene:CCACVL1_01728 transcript:OMP06053 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MSIVPENEHQKNALGWAARDSSGLLSPFKFSRRATGEKDVALKVLYCGICHTDLHMIKNDWGYSIYPLVPGHEIVGEVTEVGSKVNKFKVGDKVGVGYMVGSCGSCDDCSDNLENYCPKMIPTCGAKYHDGTITYGGFSDTMVVDEHFVVRIPDNMPLDAAAPLLCAGTTVYSPMKYYGLDKPGSHLGVVGLGGLGHVAVKFAKAMGAKVTVISTSPSKKQEALEILGADSFLVSRDEDQLKAAKGTMNGIVDTVSAKHDLQPLLGLLKNHGKLVLIGVSVKPYELPAASLILGRKLVGGSNVGGLEETQEMIDFAAKHNVTANVEVIPMDYVNTAFERLAKADVRYRFVIDIGNTLKTSS >OMP06052 pep supercontig:CCACVL1_1.0:contig05074:19148:20717:-1 gene:CCACVL1_01727 transcript:OMP06052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MSRLLEEEHPNKAFGWAARDTSGVLSPFKFSRRATGEKDVAFKVLYCGICHSDLHMVKNEWGTSVYPLVPGHEIVGEVTEVGSKVQKFKVGDRVGVGCMVGSCHSCDSCTNNLENYCPKMILTYGAKYTDGTITYGGYSDTMVADEHFIIRIPDNLPLDAAAPLLCAGITVYSPLKYYGLDKPGLHVGVVGLGGLGHMAVKFAQAMGAKVTVISTSPNKKKEALENLGADSFLISRDQDQLQAAMDTLDGIIDTVSAQHPLMPLLGLLKTNGKLVLVGAPEKPLELPAFPLLGKRRLVAGSMIGGMKETQEMIDFAAKHNIKPDIEVIAMDYVNTAMDRLLKADVKYRFVIDIGNTLKPTP >OMP06054 pep supercontig:CCACVL1_1.0:contig05074:27652:29578:-1 gene:CCACVL1_01729 transcript:OMP06054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MSLSRLPEEEHPNKAFGWAARDTSGLLSPFNFSRRAPGEKDVAFKVLYCGMCHSDLHMAKNEWGNSIYPLVPGHEITGEVTEVGSKVQKFKVGDRVGVGYIIGSCQSCDNCTNNLENYCARGILTSSAKYYDGAITYGGYSDTMVADEHFIVRIPDNLPLDAAAPLLCAGITVYSPLRYYGLDKPGLHVGVVGLGGLGHMAVKFAKAMGAQVTVISSSSSKKKDALENLGADSFLVSRDEDQLQAAMGTFDGIIDTVSAQHPLMPLLGLLKTNGKLVLVGAPEKPLELPAFPLNSGRKLVGGSTIGGMKETQEMIDFAAKHNIKPDIEIIAMDYVNTAMDRLLKADVRYRFVIDIGNTLKPTS >OMP00993 pep supercontig:CCACVL1_1.0:contig06567:103:1172:1 gene:CCACVL1_03207 transcript:OMP00993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSDVKVLGTWASPVVLRARIALHLKSVNYEYVEENFFQGKSELLLKSNPVYKKVPVLLHGTNSIPESLIILQYIDEVWSSAAPPILPTDPYECAVSRFWAAYVDDKLLPALRDLFVAEAEEAKTAALARVEEGLALLEEEYGKMSKGKRFFGGDEIGYLDIVIGCFLVWIKVIEKFNEVKVIDEAKTPGLFQWADGFCSHVAVKDVLPDVEALAHFSLKVRAVFLKAKAIDSTDDTHE >OMP00996 pep supercontig:CCACVL1_1.0:contig06567:11872:12707:-1 gene:CCACVL1_03210 transcript:OMP00996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENDSKLKLLGTWASMYAIRVKIALNIKSLEYEYYEEPSEGNSELLLKSNPVHKKNPVLIHGEKPICESLIIVQYIDETWPSRGHAILPSDPYERAIARFWAAYIDEKWFPTVKDIGWAAWGEGEREEAKKAAMAPVDEGSVLLEEAFGKCSKRKPFFGGDQIGFLDIAMGTTVRWLKAFEKLWDVEILSEAKTPALLKWADNFCSHPAVKDVLPETEQLVEFALVIAAKLRAAAAAPPPK >OMP00994 pep supercontig:CCACVL1_1.0:contig06567:3654:3716:1 gene:CCACVL1_03208 transcript:OMP00994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLSATQDMEEQAHSSDTT >OMP00998 pep supercontig:CCACVL1_1.0:contig06567:21986:22781:1 gene:CCACVL1_03212 transcript:OMP00998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSNNVKVLGSWASPFVLRPRIALHLKSVNYEYIEENLLEGKSELLLKSNPVYKKVPVFFHCDNSIPESLIILQYIDEVWSSAAPSILPSDPYERAVSRFWAAYVDDKWFPALKATLAAETEDAKKAALAQVEEGLALLEEEYGKLSKGKPFFGGDQIGYLDIVFGCFLVWIQVIEKFNEVKLIAEDKTPGLFQWADRFCSHVAVKDVLPDVDELAQWGLKLRAKILKAKATLK >OMP00997 pep supercontig:CCACVL1_1.0:contig06567:19262:19635:1 gene:CCACVL1_03211 transcript:OMP00997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFAAVLLFQLAASPSGGGARVSAKPFFFWQIALKKGSFTLSTALTDVFYCLSLSFAVGFCVLPI >OMP00999 pep supercontig:CCACVL1_1.0:contig06567:51607:51729:-1 gene:CCACVL1_03213 transcript:OMP00999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASYGFSGFLSRSREVNIVVGNNPDKLVGKIGFRSCFFIPS >OMP00995 pep supercontig:CCACVL1_1.0:contig06567:4228:5040:-1 gene:CCACVL1_03209 transcript:OMP00995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDPKLKLLATWASMYAIRVKIALNIKSLEYELIEESTEFKSELLLKSNPVHKKNPVLIHGEKPLCESLIIVEYIDETWPSRGHAILPSDPYERATARFWAAYIDEKWFPTVKLIGWAYGEEARKEAMVPVDEGLALLEEAFGKCSKGKSFFGGDQIGFLDIAMGTTVRWLKAFEILCDVELLSEAKTPALLKWADTFCSHPAVKHVLNETEQLVEFTNQIAAKFIAAGVTSPPK >OMO56856 pep supercontig:CCACVL1_1.0:contig14468:3750:6098:-1 gene:CCACVL1_26212 transcript:OMO56856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEEESTPAKPSKPTASTQEIPTTPSYTDWSTSMQAYYGAGATPPPFFASTVASPAPHPYIWGGQHPLMPPYGTPVPYPAMYPPGGVYAHPNMAVTPSSAHNNADQEGKGTEGKDRAAAKKVKGTTGNKVGESAKAASGSGNDGGSQSGESGSEGTSDGSDENNHQEHAAGKKGSFDQMLADANAQSGALVPGKPVVSMPATNLNIGMDLWSGSPAAAGAAKMRPNSSGAVAAVAPGGVMADQWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQARVESLANENRNLRDELKKLSDECEKLTSENGSIKDELTRICGPDAVTNLEQENPSLQSRGAEGNS >OMO56859 pep supercontig:CCACVL1_1.0:contig14468:16981:18579:-1 gene:CCACVL1_26215 transcript:OMO56859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELEDHMALISQMYPGVYTQMATQQGESKPRRRRKKNKGGENSLAGAKKRKLSQEQVNLLELNFGNEHKLESERKDRLASELGLDPRQVAVWFQNRRARWKNKKLEEEYSKLKSAHDGAVLDKCRLESEVLKLKEQLCEAEKEIQRLTERVDGVSSNSPISSSLSMEAMQEPPFLGEFGVEDAFYIPENSYIHGMEWMNLYM >OMO56862 pep supercontig:CCACVL1_1.0:contig14468:32923:40562:1 gene:CCACVL1_26218 transcript:OMO56862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Creatinase MADILAALRSSMASHSPPLDALVVPSEDYHQSEYVSARDKRREFVSGFTGSAGLALITKNEAKLWTDGRYFLQATQQLSDQWQLMRLGEDPPVDVWMSDNLPREAAIGVDPWCVSVDTAQRWERAFAKKQQKLVQTSTNLVDEVWKNRPPAETNPVNLHPLEFAGRSVAEKSKDLRQKLTNENACGIIITALDEVAWLYNIRGSDVSYCPVVHAFAIVTLNSAFLYVDKRKVSTKVSSSLKESGIEIREYGEVSSDAALLASNQLDQDKGVNSGVNGVCEDKTGEAEENYNDLIWIDPGSCCYALFSKLDADKVLLQQSPLALAKALKNSVELHGLRNAHIRDGAAVVQFLVWLDKKMQEIYGASGYFLEGEAASKKRLETEKLTEVTVSDKLEGFRASKEHFRGLSFPTISSVGPNAAIIHYSPQADTCAELDPNSIYLFDSGAQYLDGTTDITRTVHFGKPSAHEKACYTAVLKGHIALGNARFPNGTNGHAIDILARVPLWRYGLDYRHGTGHGIGSYLNVHEGPHLISFRPQARNVPLQVSMTVTDEPGYYEDGSFGIRLENVLVIKEGDTEFNFGDKGYLSFEHITWAPYQIKLIDLSILTPEEIEWVNTYHSKCREILAPYMDKNQMEWLKKATEPILRAACLANSTLRFSDQVRRASPTVQRMEFQCLSWILHIWYEFVSTRWIEIQIDNLPSDLIVEILSRLPAEEVLKCRRVCKKWRTLTSTRQFTHKHVKNNASDPIFVLQYGFLEGELELYYLDEEMHWMFQRNIIRETRVCTSFMDHTLNDWPMLVDSYDGWIAAFSCF >OMO56863 pep supercontig:CCACVL1_1.0:contig14468:43529:44653:1 gene:CCACVL1_26219 transcript:OMO56863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQCLSWILHIWSELVSTRWIEFQIDNLPGDLIVEILSRLPAEEVLKCRRVCKKWRTLTSTRHFTHKHVKNNASDPIFVLQYGFLEGELELYYLDEELNWMIQKNILREKRVCTSFMDHTLNDWPMLVDSYDGLLLFHAFKTSNIFICNPITQEVITLKPPPTPRFARQGGGDLDDGLIGIFFHSSTKEYRLLYIITHKNSSYHEYFILSLGSKIWRSLGTFTHRTTLFASVISNNSLHWLVPRGSAPCSESILVFNPDLETFKVLPHPGSQCCSKLCENYMVLNRTDQMDGLSLSMIYDKAIGSSMVIWELKDHQKWGWSKTYLINLDFMNKIDDGLFFRFEQYIGNIQNNEIVLMGRKRGVYLYNLFTKAI >OMO56861 pep supercontig:CCACVL1_1.0:contig14468:29954:31883:1 gene:CCACVL1_26217 transcript:OMO56861 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent FMN reductase MGKGGGCVPSKKKHPASAEDAARHRGSTSTTTITTNAPIVADEEIHETTPETVNSTNAQSVTATLKVFIVFYSMYGHVEKLAKRMKKGVDGVEGVEAVLYRVPETLPDDVLEHMKVHPKDPEIPEIKAAELTEADGVLFGFPTRYGCMAAQMKAFFDSTGQLWKEQSLSGKPAGFFVSTGTQGGGQETTAWTAITQLAHHGMLFVPIGYTFGAGMFKMDSIRGGSPYGAGVYAGDGTREPTETELSLAEHQGKYMASVVKRLSQA >OMO56860 pep supercontig:CCACVL1_1.0:contig14468:21344:23828:-1 gene:CCACVL1_26216 transcript:OMO56860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEKERAVIGGKVREKSREKFATVV >OMO56858 pep supercontig:CCACVL1_1.0:contig14468:12078:13659:-1 gene:CCACVL1_26214 transcript:OMO56858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARENADLLPFPLNHLSNTLSRLTSFYKDDDDVEHHHEEEEDEMDNSFVFQIDQNLLIDPSLLSIDSDTMIGEGSYSTVHKGLYQNKAVAVKIIQPSHASAVTREHKEKFQREVLMLSKMDHENIVKFIGATLEPSLMIVTELMEGKTLQKYLWSVRPQPLGLKRSITLALDISRAMEYLHANGIIHRDLKPSNLLLTADRTKLKLADFGLAREEDTDGMTCEAGTLRWMAPELYSRDPLPVGAKKHYDHKVDVYSFSLVLWELLTNKAPFKGTHSVSAAYAASKNVRPSVEELPEEMASLLQSSWAADPKSRPEFKEVTAALTDFLVTLCLTVETKPPKIAEIMDRSDPSPTEEDAARGSRKHKASGVFLACWEDCLSCD >OMO56864 pep supercontig:CCACVL1_1.0:contig14468:69660:69719:1 gene:CCACVL1_26220 transcript:OMO56864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHFTPESGGEPRKTGTIQ >OMO56855 pep supercontig:CCACVL1_1.0:contig14468:1012:3303:1 gene:CCACVL1_26211 transcript:OMO56855 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein MAFLGSNLASEVGLRLLLIPIGSNVVTRAACCSVGVVIPVYSTFRAIERHDENERQKWLTYWAAYGSFTLVEVFSDKLLFWFPYYYHFKFAFLVWLQLPSTEGAKKIYNNHLRPFLLKHQARVDSLMGFARAEMARFISTHHEDFRFVKMMFRKMRGSDPRMRGGQEPAEDGGLHEIEGQTRLISNQESDHED >OMO56857 pep supercontig:CCACVL1_1.0:contig14468:7372:11471:1 gene:CCACVL1_26213 transcript:OMO56857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKREMRVSGGKLSKPKLLVYRELLSTRFVARTSSLELFLSNRQIVRRGKC >OMO87465 pep supercontig:CCACVL1_1.0:contig09235:4367:4456:1 gene:CCACVL1_09017 transcript:OMO87465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIVAKAGKERITVLASSKGHESKKCLQPS >OMO59934 pep supercontig:CCACVL1_1.0:contig13843:6206:6277:1 gene:CCACVL1_24525 transcript:OMO59934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQKRKEFAQPPFLEGTTMLED >OMO77310 pep supercontig:CCACVL1_1.0:contig10783:17678:18176:1 gene:CCACVL1_15096 transcript:OMO77310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINKLFGAVVFVLLFVFVGVCSATRANLGSEKGASDHSLVTGDVNGKVGANGTDQTGLIGGGEGDSDGGGGGSGSAAVGEHGGASGSGAADTFFNFGGNMGGGGGGGGSSNSAAVLSVATRTAVAKAAVMVISGG >OMO77308 pep supercontig:CCACVL1_1.0:contig10783:910:2169:1 gene:CCACVL1_15093 transcript:OMO77308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHKLFGAVLVVLLGIGVCSATRALLGFDEVASHFSGVGHVSGKVGAYGTGLIGGGGGGSGGGGGSGYAAIGEQHGGGSGGGEGGGAGYGGVGGHGGGGGGGIGGGGGSAGGASGYGSGGGEGGGAGGAGYGGAGGYGGGGGKGGGGVVLVELQDMEVEVAKEVVLVVPVMEEPGATEVVEERVAAEVVLVELLDMEVAAAKEVVLVVPVMEELGVMEVVEVKVAVGGSAGGASGYGGGGGEGGGAGGAGYGGAGGYGGGGGGGKGGGGGSAGGASGYGGGGGEGGGAGGAGYGGTGGYGGGGGKGSGGGSAGGASGYGGGGGEGGGAGGAGYGGAGGHGGGGGSGGGGGSAGGASGYGSGGGEGAGGGYGTAGGAGSGGGGGSGGGGGGGYGAGGAHGGGYGGGGGEGGGHGGGYFP >OMO77312 pep supercontig:CCACVL1_1.0:contig10783:22542:23375:1 gene:CCACVL1_15098 transcript:OMO77312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLRVICAAFIMLLGVCICSATRALLNFDEIVGHVPVGGGYGAGHGGGGGSGGGSGGGYGAVGEGEGEHGGSYGGGHGSGEGGGGGRGNGGGAGYVGGGEHGIGYGSGGGEGGDSGYGASGGYGGYRGYGGSGGYGGYGGSGGYGGGGKGSGTGYGSGSGCGCGEGSDAGYGTGGGEGGGYGYGAGGEHGGGYGGGHGGGAGGGYGGYGGDGVGGSGGGGGSGYGAGGAHGGGYGGGYGGGTGGSGYGAGSEHGGGYGGDGGSGGGGGDAHGGHAP >OMO77309 pep supercontig:CCACVL1_1.0:contig10783:7872:9269:1 gene:CCACVL1_15094 transcript:OMO77309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADIIGLSNLRVGMTVILKKCVCSATTRVILGSNEGASENSSVTGDISGKVGANGTTGGGGAGRGFAAIEHGSGGGSGAGAGDHGGTDIFVVNQPGGSYGSTENGGDWRPKCDCKCKGCTKCDCNDNCPKCTNCCDDCPKS >OMO77311 pep supercontig:CCACVL1_1.0:contig10783:20769:20933:-1 gene:CCACVL1_15097 transcript:OMO77311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKGQVELNCEVRLQNMPECLVSACTDRCKLTQGTISEGYCEAGFVCICKVPC >OMO55497 pep supercontig:CCACVL1_1.0:contig14677:3052:3712:1 gene:CCACVL1_27214 transcript:OMO55497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle CX2CX4HX4C MLLCRNDLAVLLLPYTNIVDEKTLKLKEAVILGPLWQKVEYEGLDNICFDCGCYGHWKGAPQCPKTTSPHEEEIAREEKSMEEEALNPNLESAATDENPKIVQEATLQASTAGQQQRRENGAENRKSEGNRSRQKMRDKVEKQQHKMGVKVKKQQRQSWGRRIPRIENGPGSKRRVAGGL >OMO56449 pep supercontig:CCACVL1_1.0:contig14519:6274:9217:1 gene:CCACVL1_26548 transcript:OMO56449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IEEAREKGTKLEFQMQRRTGGSRGGPCLPN >OMO98552 pep supercontig:CCACVL1_1.0:contig07098:14024:20496:1 gene:CCACVL1_04194 transcript:OMO98552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQYSNFNGQNKPSRQAPSSSNISRSSTSLDVANLPPMGRENSSSSTGSPYALIHAQTTLKSKVATPKSASPQEVIEIDELFANKQSDGVVANILKDVDDAYFTSNSKELENELTPDPSLNPTACNANDSSWGAIITYSAPSGHALTMPILKNSISINQVEKRSELVEDPLVIPIDMNSAPPNESETSVSIAEIAMSNLPNGWVRDSF >OMO63010 pep supercontig:CCACVL1_1.0:contig13082:687:830:-1 gene:CCACVL1_22522 transcript:OMO63010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQFRKIWPLKRKRRGSARQNLRNKRRVRTMPPRGKAVETVVTWQKAA >OMO99113 pep supercontig:CCACVL1_1.0:contig06956:281:742:1 gene:CCACVL1_03925 transcript:OMO99113 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthetase ETFLFISSSVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETICTKTNMVMVFGEITTKANVDYEKIVRNTCRCIGFVSDDLGLDGDNCKVLVNIEQQSPDITQGVHGHLSKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGSRLTQ >OMO51723 pep supercontig:CCACVL1_1.0:contig15737:5806:8009:-1 gene:CCACVL1_29629 transcript:OMO51723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADVEAVDFEPDEDDLMDEDAGGDAYSQAPMPKLKSAITGGASTSLSGTKKKGRGFHEDDADRHSRLASRDFESLGSDGGPGPQRSIEGWIILVTGVHEEAQEDDLHNVFGEFGEIKNLHLNLDRRTGFVKGYALIEYEKFEEAKNAISAMDGAELLTKTINVDWAFSNGPSTGAFKRKNARFYYLNVSNRNFNDPIASVF >OMO51724 pep supercontig:CCACVL1_1.0:contig15737:16658:20814:-1 gene:CCACVL1_29630 transcript:OMO51724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMMLATTTMNFEVQFKKFKDQFYRIGASQFGPKFQGSFLRQDCHELLSMISLLPKDEIEAKHGFKARMIYQFLWDKANKSHEVVIRSKERAEKEFEKRFHPYGNRFSQPRNFNGRIRPQAIPWSKPFPIPRIDGYRTKPYRPPYRPPMRCAPFPQRFHDPYHASSSNQPRTAPVATVFTLEELKDRSSTPIGPRDPLFEEGQGEDDIIIIASASKSSDASSDENKEGKEEVNQEKENKEESHPFLAQFKDLSDQVASLREDFDSTSMSRPQASRAAAYVKAAYHDWSPAAIKSALMTTVTTTVYVLTPRLNRLGISTSFSLAMVDGQPIYGVYTRTVTNVGSPNATYNVCVSSQPGFTICVEPKALSFSNVGEKKSFTVKVTGPKIAQQPIISGAIIWIDSNHKYVVRSPVVVYNVLPTPYFFYGYSISQKKPTFQCPSMYHKNGILGSN >OMP11613 pep supercontig:CCACVL1_1.0:contig01096:504:659:-1 gene:CCACVL1_00399 transcript:OMP11613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SAKKPQHMVIIRLIGDYDGFQVIEAELELKIGVVSCSELTRAYKRERTARA >OMO90649 pep supercontig:CCACVL1_1.0:contig08450:64:615:-1 gene:CCACVL1_07324 transcript:OMO90649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEHPNDLYRECLRNHAASLGSYATDGCGEFTLDDTSPSTLQCAACGCHRNFHRKVIYCSSSRSRGDPENTVELIEYGDGGGGGGSRRQVVAESTEAAAADRSGKKRFRTKFTVEQKEKMLGFAEKLGWKLQRRDEEDEVEKFCRGIGVSRQVFKVWMHNHKNSSSASSLSTNCNASSLTTQ >OMO77005 pep supercontig:CCACVL1_1.0:contig10847:256:381:-1 gene:CCACVL1_15235 transcript:OMO77005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SATSHSNFAPASDIFFPSASGDHHLSSHNYNAPPSNSFRFL >OMO55239 pep supercontig:CCACVL1_1.0:contig14758:2629:2733:-1 gene:CCACVL1_27338 transcript:OMO55239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRNSKRIYVIDPFGSKTIGDFFWPSNNWEFER >OMP10564 pep supercontig:CCACVL1_1.0:contig02190:220:450:1 gene:CCACVL1_00873 transcript:OMP10564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENDEESDQIQGMKGGKRLLADDSFPETRKQLPRGQREFPSACRKDYQSMPMSLLTMPGNEVIEMGVTPESLLYS >OMP11535 pep supercontig:CCACVL1_1.0:contig01191:9:984:-1 gene:CCACVL1_00459 transcript:OMP11535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCRASKLHLKPRRFKIFVEALEHQLLKDRKKNVRKRPSQGENSSPTSPTTVPSQSRASHDARTVKLVLVDSQNIQKLGPGKGSFKRNTNAGVNRSNGKGVIKYHQTCKATAET >OMO78020 pep supercontig:CCACVL1_1.0:contig10651:11175:11368:-1 gene:CCACVL1_14708 transcript:OMO78020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTSPPVPPSSRLILPLPSPSAHRLHQPASLSIDQTNYHQHPLRLAFAPLPLRLPCPLQLRSTAA >OMO78019 pep supercontig:CCACVL1_1.0:contig10651:8295:9298:-1 gene:CCACVL1_14707 transcript:OMO78019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFELLSIHNIANRVVDGDCVEDELMVGQFLPSPRLER >OMO51812 pep supercontig:CCACVL1_1.0:contig15712:1488:8203:-1 gene:CCACVL1_29575 transcript:OMO51812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MAANGTGCCKTGPGYATPLEAMSGPRESLVYVTCVYTGTGIEKPDYLATVDLDPNSPTYSKIIHRLPMPYLGDELHHSGWNACSSCHGDPSANRRFLVLPSLVSGRIYVVDTQKDPKAPALHKVVQPEDIIEKTGLAYPHTSHCLASGDVMVSCLGDKDGNAKGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSSWGAPLAFTKGFNPQHVADGLYGRQLYVYSWPDGELKQTMDLGDTGLIPLETRFLHDPSKAIGFVGCALSSNMVRFFKTNDGSWSHEVAISVKPLKVQNWILPEMPGLITDFLISLDDRFLYLANWLHGDIRQYNIEDPEKPVLAGQVWVGGVIQNGSPVLAVTEDGKTWQSNVPEVQGHRLRGGPQMIQLSLDGKRLYATNSLFSSWDRQFYPELVEKGSHMLQIDVDTEKGGLKINPNFFVDFEAEPDGPALAHEMRYPVPLLLILLLLQPQLRFAAAAGNSYKQCDGSMAECGEIDEEFWMESESSRRVLQARTISYGALGRNLPVCGGRVGQPYSATCLPPSSNGYNRGCSTIYRCRH >OMO51813 pep supercontig:CCACVL1_1.0:contig15712:8623:8703:1 gene:CCACVL1_29576 transcript:OMO51813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVFVPRNLDPVAEVGPTSTRGNI >OMP08053 pep supercontig:CCACVL1_1.0:contig04035:601:754:-1 gene:CCACVL1_01171 transcript:OMP08053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RNRAISSWCCASFIPRSSRSNSSTYKAWFLLLIFWTFDAFGRRQGQENSQG >OMP08054 pep supercontig:CCACVL1_1.0:contig04035:1626:1754:-1 gene:CCACVL1_01173 transcript:OMP08054 gene_biotype:protein_coding transcript_biotype:protein_coding description:TatD family MAMKLFDAHCHLQDQRILDKAPQLIATATKAGVVYFAVNGITE >OMO90116 pep supercontig:CCACVL1_1.0:contig08524:9692:12298:-1 gene:CCACVL1_07506 transcript:OMO90116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKKVSASIKSAAASALAIGIFMLKRIRARKHIAIGSYYYRSIETVHRYFRIVLRAILKLYTQLIKLPDDITPPEIMSNPRFYPYFKDCVGALDGTHVRASVPLEIQAGWEGSAHDSRVLSDALSRPMGLKIPEGKYYLADVGYGIRNGIISPYRGVRYYMREYSDHHPENAKELFNPCHSSLRTFVERVFGILKKRFRVSDAEPFWDFKTQNMGKGKKDVAGTSKQFRWTKPMERVMLEILAEEAQKGNKPSNVFKPTSLDRVATIISKRFNVVCESNHVENHLKTVKSTWQLITTIRGSSGFGWDDTLKMIVAAKKPYEEALELCTKSFGDIGLDDIDVDGTLPVDLEIDTNEGVKENASSSVGTSNVRSHRKRKSVEANENDETRYMADQLGEIAIAIKLMSDESFLARLYEQLFSMEGYNELLLGKAYNYLVANEREGKSFLMKSKNLKAHWLDNFFDITLRNMLVVGLLWIWDYLLRRTN >OMO94906 pep supercontig:CCACVL1_1.0:contig07785:26002:29274:-1 gene:CCACVL1_05706 transcript:OMO94906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MMALFRVSLFLLIYIALLSSLCFAEDPYVSYDFKVSYITIAPLGVPQRVIAVNGKFPGPLVDATTNYNVAVNVHNQLDENLLMTWSGIQMRRNSWQDGVPGTNCPIHPKRNFTYNFQVKDQIGSFFYFPSLNFQRASGGFGPIIINNRKVIAIPFAQPDGDIVIMIGDWYTLNHTALRTKLDNGEELGMPDGVLINGKGPFRYNTTLVPDGIDYETINVDPGKTYRIRVHNVGISTSLNFRIQGHNLLLAETDGRYTIQQNFTSFDIHVGQSYSFLVTMDQNATSDYYIVASARYVNESVWQRVTGVAILHYSNSKGPATGPLPIPPDDIYNQWSAMNQAKAIRQNSTASGARPNPQGSFHYGQINVTDTFVLQSLPPQMIHGKRRATLNGISFVNPETPIRLADLHKVKGAYKLDFPKRPLGRTPQMDRSVINATYRGFVEVILQNNDTRMQSFHVDGYAFYVVGMDFGIWTESNRNNYNKWDAISRSTIEVHPGGWTAVLISLDNVGVWNMRMENLDRWYLGQETYMRIVNPEENGDTEMAPPNNTLYCGALQSLATDVPDSSAMTLLSWNSNLFVTLVVTILACLLLH >OMO94907 pep supercontig:CCACVL1_1.0:contig07785:34801:38860:-1 gene:CCACVL1_05707 transcript:OMO94907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 32 MEPNDLEQSSAFYAPLLNTPSSGDGAPAAATWRRSLKGYGVILASLVFLLSLVALIMNQSQEVPLPSPNIASAPKLEPASFLIPEARGIAEGVSAKSNPSLLKEVAFNWTNAMFSWQRTAYHFQPEKNWMNDPNGPLYYKGWYHLFYQYNPFSAIWGNITWGHAVSRDLIHWLYLPLAMVPDQWFDIYGVWTGSATFLPDGKIVMLYTGKTNGSVQVQNLAYPANLSDPLLLHWDKYPGNPVMVPPPGIQDDDFRDPTTAWVGPDGKWRVTIGSRFNTTLGLSLVYQTKNFIDYELLDGVLHAVPGTGMWECVDFYPVAINGSLGLDTSAMGPGIKHVLKASLDDTKVDHYAIGTYDPIKDKWTPDNPKMDVGIGLRVDYGRYYASKTFFDPHTQRRILWGWINETDTENADLRKGWASLQTIPRTVLFDNKTGTHLLQWPVKEVESLRLNSTVFKDVLVEAGSIVPLDIGTATQLDIVAEFEIEQLESSTTDEASDCGNGAVERNTFGPFGILVIADDSLSELTPVFFRPVNTSDGSLNTYFCTDEKRSSKANDVFKQVYGGEVPVLEDEKYNMRVLVDHSIVESFAQGGRTVISSRVYPTEAIYGAARLFLFNNATGVNVKATLKIWDLNSAFIRPFPFGETTI >OMO94908 pep supercontig:CCACVL1_1.0:contig07785:44226:46431:-1 gene:CCACVL1_05708 transcript:OMO94908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-phosphatase MDLKSNHTAPVLADPAPISKSRLGVHSGLLPYSPPGAVFSPNLFLTVPRKKTGILDDVRASGWLDAMKSSSPPHKKTREFNNEFASADTDVAYRTWMVKYPSALTSFEQITNYAKGKRIALFLDYDGTLSPIVDNPECAFMSNDMRAVVEKVSKYFPTAIISGRSRDKVYEFVGLTDLYYAGSHGMDIMGPVRQFSDDHPNCIRSTDKQGKEVNLFQPASEFLPMIDEVYKSLVNSTKEIKGATVENNKFCVSVHYRNVDEKNWTTVAQCVDDVIKNYPRLRLTHGRKVLEVRPVINWDKGKAVTFLLESLGLSNCDDVLPIYVGDDRTDEDAFKVLREGNRGYGILVSSMPKESNAFFSLRDPQEVMEFLKSLVAWKKKMSAL >OMO94904 pep supercontig:CCACVL1_1.0:contig07785:17558:17656:-1 gene:CCACVL1_05704 transcript:OMO94904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMVLMYKRKGRRKKEKGDGEVRTSNGTDQ >OMO94909 pep supercontig:CCACVL1_1.0:contig07785:50294:54421:-1 gene:CCACVL1_05709 transcript:OMO94909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEVVKLLGSWFSFITNNAVTESDDTITTRPTLTYRVPLSNVATSDPTQSQVSTRALAVKSSRHVSRLPLKIPCGPHSA >OMO94903 pep supercontig:CCACVL1_1.0:contig07785:6008:8971:-1 gene:CCACVL1_05703 transcript:OMO94903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSTLALDPSDVAVPPSPADHKTFWLSLTEQLISRGLIPSAQKLIQRVISQSVSISDALLAVDFVTSRGLDLDLPTYDALIKKLLWSGHAQLAHSLYSHNIIGKGINPGYSIVNSMVVCSCKLGKLDEASSLFDWLLMNRSCDKLAINALFRELLAQKRFLVAFGYFVKLNDIGVTLGAWCYNALIDGLCEKGCLEEAIHMFDLMRERTGLLPTLHLYKSLFYGLCKKGWVLEAESLFLEMESQGFFIDRMMYTSLINEYCKDRKMKMAMRVYLRMLKMGCDPDCYTYNTLIHGFVKMGLFDQGWVIYNRMMEQGLQPNAMTYHVMISNYCREGKVDCASLLLNNMVSNNLALSVHCYTVLIPSLYKENRLEEADEVYKQMLTAKVVPDSVLFFKMMKMFPKGHELHAALMIVQAIAFTGCGFDPLLLPVSATENLEQKIELLIGEIMKSNLSLANVAFSILISALCEGGKLDSALHFLDKLTNLGCMPQLFTYNSLVKCLSQEGLFEDAKYVVDLMQDQGVFPDQATYLIIINEHCKLGDLPSAFDILVQMEDRGMTPGVAIYDCIIGTLCRQRRMSEAENMFIRMLESGVHPDEIVYMTMITGYSKNGRLIEARQLFEKMIKDAIKPSSHSYTALISALVKKDLTDKGCMYLDRMLADGLMPNVVLYTSLIHDFLQKGEFEFAFRLVDLMDIKQIEGDLITYTALVSGFWRSITITSRKRWCSILRGPERAREKLLQLLHHRSLLPQEKLSFNSSPEAMKCFALKLMKKVKETRFMPNLDLYNYNSIISGFCWADRMQDAYDHFELMQKDGVRPNQVTFTVLMGGHIKAGEIDNAIDLFNKMNADDLTPDRIAYNTSIKGLCRAGRLLEALSLLYAMNKRGLIPSKATYENLLAHFCASHLTIPAFKIFEEMLAYDVVPRPYNYSWLLCILCEENKLWEAYIVLDTMIQRGKYPLKATRRFVAETFKKHGEHDFGFMIQDHIPE >OMO94905 pep supercontig:CCACVL1_1.0:contig07785:21587:22105:1 gene:CCACVL1_05705 transcript:OMO94905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHLPYTCKKELDLQHYQVPHEHYLQLPLLESPKLLQTPGGAVSCNSMAAYGLDMNNNASTLQSSTLTQEDHHIQHLHALYGNSSSNEQGAVDQLTDWRVLDKFVASQLMSREDHVAKENNYSNASASNNNVFHSSDQQTNLIIRHLNNKQEMVPENASTSTSSCQIDLWK >OMO94912 pep supercontig:CCACVL1_1.0:contig07785:63143:63310:-1 gene:CCACVL1_05712 transcript:OMO94912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSRRRGLSPSMVEHGHGHGHSNVKEEGRDKKVKEDASLTDYDPPHQKPPIHNRKT >OMO94910 pep supercontig:CCACVL1_1.0:contig07785:56803:59229:-1 gene:CCACVL1_05710 transcript:OMO94910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFRRFEIFDPCSPPLFVRETSIFAPKPLAFTSFFEEENDLSFALDVLSPFPSFTPIEIQDNITDLIQIEKAPSFYSYKRIQRRAQPEYSIQTLCDRVSALESKFDRLVSGRIGGGDRKYTWTAEIKGPVERKYKWSTEVKDGNQREEKEKKYKWTAEIEGKGIDGPISKKYTFTASTGNAGDCSKPEKKEKKNHKSEKKGENDTRIVEIEEPSDHRVVVLRQAFAKRAGVIRNKRGKKKELSPQDAAAMIQITFRAYLIRRSQALRALRDLAVAKTKLKEIRAYFNNFNYRRRVAQDAAERQKFSEKIIVLLLTVDAIEGVDVMVRAAKRSMVDELEAMLDVVDPQPLGKSLSMRRRTFDMPDSVIQKEIAEGVAQVVQMLESAENAADV >OMO94911 pep supercontig:CCACVL1_1.0:contig07785:60562:61266:-1 gene:CCACVL1_05711 transcript:OMO94911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRYKILQKKLKELESELNQVFALSQVDTPLDQHISQDIENKFLFLNNLLSAEIASSPQKPYHLQHIAQRLLELDAAFHQNLAPDDVDKGWACSCTESCLNDDGEAEDVEGLSTDLSLGDLEEVAEASTVLSLAGLDFDDLVDELVPPVRPAVEKRKEETSSGVVETESEEEAEGNSSRLREIEQRNGNWVGKYLRTLVSGVVLGMVFMGALMVRFNGCFDHESHYTFYLAPT >OMO68507 pep supercontig:CCACVL1_1.0:contig12216:1729:9898:1 gene:CCACVL1_19930 transcript:OMO68507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFISDTASAIKSRFGFHKRTSSSDSVSLLSVRSPPDLLMKSAVRENSGHSNAATSAIRSIGEWDDDVAAENGAAPPSSQSFEFREDPSFWKDHNVQVIIRIRPLSSSEISLQGYSKCVRQESSQKITWTVHPESRFTFDLVADEHVSQENLFKVAGLPMVDNCMGGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRVFEYLFTRIQKEKEARKDEKLRFTCKCSFLEIYNEQILDLLDPSSTNLQIREDIKKGVHVENLKEIEVTSARDVIQQLIQGAANRKVAATNMNRASSRSHSVFTCIIESKWDSQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVNMSNGKSLHVPYRDSKLTFLLQDSLGGNAKTIIIANISPSNCCSLETLSTLKFAQRAKFIKNNAVVNEDASGDVVAMRLQIQQLKKEVSRLRSFVSGRGENLDNEMSALSFPASPGPFKWEGLHGSFSPLTSDKRMSQKKDYEVALVGAFKREKEKEATLQALTAENQAAMQLAKQREDEIQSLKMRLRFREAGIKRLEAVASGKISAETHLLKEKKEYLKEIDVLRAQVDRNQEVTRFAMENLRLKEEIRRLKSLCEEGQQEMMNEQIKVLHSKLLEALDWKLMHESNSSKMEERDSAWCSALKEENEFLRMQAIRNKSEMDALQKKLEFCLEEKEELERYVNDLLEKLDDERSSRPGKDETHQTELPSLSMDVPMVNHNDQMELKTMVDAIAAASQREAEALEKAFKLSQENDELRSKLKGYVEDNKQLLELYEQKAAESNCKSSNEAGSTHENNFGAGLDEHHEGKEIESKKVVENLEQQLMEMHEENEKLMSLYEGAMQERDDLKRMLSSGNLTRRDDREFSFPEKLVEMDGGEPSLALEKMDIEGENRHDPVDLVSDMEVHADLQCETENQIDDITTSHMEIEPSDTTAAKMSEELISARAILKQVQEKLSDSAKTVNEFGSLEKAFCEIDKLSREIEVVEGGIKEKQQHLKSVGQVSSQMKERKALTDNKLSALKYSLSSFSSSVAYFEQREARARSRLDASLSYLNKKKEELNHLEKSKAEIEASLGEMRESEAEARSKLVHLKSKLEEEKNRHENEKVLLAIDNLDNIDSSQRNLGLVGKATELLKTEEEKSKLQNEIKLSRESLGAIKIRVQDLNKKLLKVENDMEAVLQEAQKGSKSAEELEHALQSVIQEKEALLEIGENGKTEIQQLILDFQQNVFDVDLTEAEMKIMDEELQLDSSRLEQMQTQRAAASEKVRQLVDSGFLSQKLEADLQSVCASFEQAKILLGVDHSNDS >OMO68508 pep supercontig:CCACVL1_1.0:contig12216:11520:25512:-1 gene:CCACVL1_19931 transcript:OMO68508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVAYQSTSKGEEETRLKKRMMKKSIALIFPLLFMFLSLAPLCSSRGIKLCPVELKIGGTCGPNGAMDCFAEIDASNLVGLVLFDIKKAEDPYRFYNWNITCGDIFPSLFANRGGVL >OMO53219 pep supercontig:CCACVL1_1.0:contig15279:9738:13544:-1 gene:CCACVL1_28801 transcript:OMO53219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATRTRIHVGGLGQSVSSDDLRKVFSAAGAVEGLDIVRTKGRSFAYVDILPSSSNSLSKLFSTYNGCVWKGGKLKLEKAKAHYLTRLKREWEDEDEDAKEDDHQALPTSSDNLNKNNKFQVSPNTNIRIFFPRLAKLKSLPLSGTGKHKYSFQRVETPALPMHFCDCEEHSGRFNTVKEKQVQHHHEQVNGAMNEEEVSMMHSIMNKLFERGNIPNTSKVVPAKERDNVIKPIEESVSHEEDEEEVEDDDDLIINVVSKANSRPAMAGSSQPQAVINEEKRISDTQISNDSAIKSAYKVQKANNLHPKKKRRPNINKEQDRHEVKRNSEIDESEADLEENEMDNDNLMINVVSMTNRGMALPGSTKLTKFKSCEMQTCEGESTQNEHNLQKKDPLLNNKSLKSFVAEEKNENEAASAVYAEKGNSQIQPSVSVVSQTMGAESSLKQSSSSYTWSQKSSWRELVGDKSNNAFSISNILQNGDAAIGKQQISDGPKLDNTLDSRSEKLATPNNLEGMLGQTEIVDAVAKAQPNQPNTGSSNSGRGSSWLHKSSWVQLVSDKSNSFSISDILPSGTFIDQENANPINEDVVYNTDGNQINTRKPFKGEPKVNGYTAEGDRNVDILQSIPESSLQTIGGNNGAPIPIVENTRNSEPKKAFSRDTDIGETCSFMRSSTSLKEWVKTKASLKGSRKKKKV >OMO53218 pep supercontig:CCACVL1_1.0:contig15279:2768:9281:1 gene:CCACVL1_28800 transcript:OMO53218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGVEEKQRGASYTYWVREAKADAAPLPLPKKLNPQDILSDQASKPATLGSVWNKAGTWEEKNLNSWATQRIKELLQSLSSLDFSCGKAEIADITKCVGDAFLVTVRNKKCVGYTYELTLNFKGEWLLREEKKTVKGRIEIPEFSFGELDDLQMEVQVSEEKDLLHQDKLQIVQDVKLFLQPIREKLLQFEQELKDRSKTARLQNWETYKSSHHRVVQKEPAMRSSYYELSSVYDLLSSETIGSSSANGNSLLPDNPEAAASASAAATAAAAASASASASALALVQASICNPVLAHDSCYLPSSSHINNKGSRLISSDSSCSKTHTQNQNQNHVGNPSSSCCVQQPIFDQLIPAAATKTNIAPNPSGSLHNFTFGDQAYNMTICEDSAYANHNSLTLKEKLQLQYLSQELEIEINTTSTNKQNPYPCLQEIYELAPQVSTAPAIGLDGYTNYIPSRHQHPDVIAANKQRIRWMPELHQLFLNAVEKLGGPERATPKNIWKLMNVKGLNMDHVKSHLQVQRGLQLQIEQQAQLLRKLMEQQKKGGSGTGSSSLSPESDNCSTHSPKRKASQSSEPEQQCEKRHRGECSTKQPPIFLVQ >OMO53220 pep supercontig:CCACVL1_1.0:contig15279:14251:18282:1 gene:CCACVL1_28802 transcript:OMO53220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNAQPKGGNSFGNKQNQFKNTWSRSSANSGAPSLRQPDNQPQATNHQCTDPDSCKRIIAEDFENERPLWKLTCYSHWKNFPCDIVGDVSYEELRAAAYNDSKDGLSLQSIVERERNLHNSKLAEFENLLRNPYRGPAGSTVAQQIPFPGVTASAFNPIAQNSAPSVPPSVSSFSQLGASLNTGLAVRPSVQSNNASWQPFSFSSSAQASSVFATNSVPMPNSFSFGNQQPNHSLSVAAFTNNLASFSNSSATSTSINQFSAPAVPTLNLSSASTQPPTLFNVSNSTSRTEGQAATDVLLGNLPRNIASGDSSIWLKEKWMPGEIPEEAPPDAYVK >OMO74387 pep supercontig:CCACVL1_1.0:contig11133:64506:65872:-1 gene:CCACVL1_16776 transcript:OMO74387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLSFFLRPLCISISKFHNKIPIAQLHRPLRSSSSSLSSLCALTFPQTRSLCITNCSNSKSLLEKEVEQDEEQDFDSEFEEFGSNDADDDVGIENDEEGGPVNLGLEVVGDIRTSEVKKVKLPNLTVKEKKELASYAHSLGKKLKSQLVGKSGVTDNVVSSFLETLEANELLKIKIHRTCPGELEDVVEYLEQATGSVVVSQIGRTIIIYRPSLTKMKAEEKKREAQRIFMRRRTRLKPTLMKKGPLPRLSGRGRRGTSKVEY >OMO74386 pep supercontig:CCACVL1_1.0:contig11133:58518:62351:1 gene:CCACVL1_16775 transcript:OMO74386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MLENKSLWSQIKEIIMFTGPATGLWISAPLMSLIDTAVIGQGSSIELAALGPGTVLCDYMSYVFMFLSVATSNMVATSLARKDKKEVQRYISVLLFVGLTIGFCMLFFTKVFGTRALTAFAGPNNAHIIPAANKYIQIRGLAWPAVLVGWVAQSASLGMKDSWGPLKALAVASSVKIVGDIVLCIVLGYGIVGAAWATMVSQVISAYMMVNSLNKTEYNAYAISIPSSSDLLTIFQIAAPVFIMMMSKVAFYVLIIYFATNMGTYTVAAHQVMIQMYSMCTVVGEPLAQAAQSFMPELLCGINKNLPKARMLLKSLVTIGVALGLLLGIFGTSVPWLLPNVFTSDQNVIKQMHRVLVPYFFALAVTPPTLSLEGTLLAGRDLRFVSLSMSGCFSLGAVMLMLVSSGGYGLLGLWFALLGFQWARFFLSLQRLLSPNGMLHSQDWSKYKPEKLKAV >OMO74384 pep supercontig:CCACVL1_1.0:contig11133:42722:46288:-1 gene:CCACVL1_16773 transcript:OMO74384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squalene/phytoene synthase MGTLGALVKHPDDFYPLLKLKMAARHAEKQIPSEPHWGFCFSMLHKVSRSFALVIQQLDTKLRNAVCIFYLVLRALDTVEDDTSIATDVKVPILIAFHRHIYDRSWHFSCGTKDYKVLMDQFHHVSTAFLELEKGYQDAIEDITKRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGLGLSKLFHASGAEDLAPDTLSNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPRQIWSKYVNKLEDLKYEENSVKAVQCLNDMVTNALTHMEDCLKYMSALRDPAIFKFCAIPQIMAIGTLALCYNNIEVFRGVVKMRRGLTAKVIDRTNSMADVYGAFYDFSCMLKTKVDSKDPNAQKTLARLDAITKTCRESGVLNKRTILSSTIACIVS >OMO74385 pep supercontig:CCACVL1_1.0:contig11133:48349:57400:1 gene:CCACVL1_16774 transcript:OMO74385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MENVQMGSQGKGSTLLNAHNSDLRFGVEVSLLQIHRHNQNPREEKQAKMQAKPKTLTHSSFPPLQNPNLKLSPPILWLRKPTFSIPSFHRSISLSCSPNFKTQNRSSNLKSYCISPSQELSFESNEALVGEKNEEETEEEETRVEIKGEGLANQSIWNQIKEIVKFTGPATGLWICGPLMSLIDTAVIGQGSSIELAALGPATVVCDYMGYLFMFLSIATSNLVATSLAKQDKNEVQHQISNLLFIGLICGFLMLFFTIFCGSWALTAFSGPKNAHLIPSGNTYIQIRGLAWPAVLVGWVAQSASLGMKDSWGPLKALAAATAINGIGDVVLCIFLGYGIAGAAWATMVSQVVAAYMMIEALNKKGYNAFAISIPSLNELLTVLALAAPVFVTLMLKVAFYSLLIYFATSMGTHTVAAHQVMLQTFSMCTVWGEPLSQTAQSFMPELIYGVNRNLAKARMLLKSLLTIGATFGLVLGIIGTGVPRFFPNIFTPDQKVIQEMHMVLLPYFLALAVTPSTHSLEGSLLAGRDLKFISLSMSGCFAFAALVLLLLSTRGYGLPGCWFALVGFQWARFSLALQRLLSPNGILYSEDLGRYESKKLRVA >OMO74388 pep supercontig:CCACVL1_1.0:contig11133:71576:72770:-1 gene:CCACVL1_16777 transcript:OMO74388 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA recognition motif 2 MEKRNDESRLNPNAVAYVPTSKFSPHLIPRLPNGLPSPLPHGSFTSPYHPFPHSYPYHQNEAPQYTEALPKPKPKVMMGVHGEVIRGPRNGNRNHKPFPRHNKRYAKEGFSADRRSQSSKKLEWRVKRSSVNTESMNVQAAGSVDNDKTTLMIRNIPNRVTRVMLKNILDQQCIEMNQIKEESNEELLSAFDFLYLPIDFGTKANKGYAFVNFTNPEAVKKFFDAWNGKRWDCFKSNKIREICFAKLQVYLYIYIYIYICCKSRFELNVNPSSIF >OMO74382 pep supercontig:CCACVL1_1.0:contig11133:10334:14562:-1 gene:CCACVL1_16771 transcript:OMO74382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSSSWAVLALLHSFSLLALASSNVYIVYMGERHGDEPNLLEDSHHQILSNILGSEKSAQESLLYSYKHGFSGFAAVLSQSQAKLIADLPGVVRVVPNRILSLHTTRSWDFLQVKPQILDGILSKSHSGVGTIIGVMDTGECLNINYLKFIWKKVIGARWYIKGYEAEFGKLIPSDGVEFLSARDASGHGTHTSSTATGSLVENANFRGLAQGVARGGAPSSWLAIYKVCWATGGCSSADLLAAFDDAIFDGVDVLSVSLGSPPPLSSYVDDALAIGSFHAVAKGISVVCSAGNSGPYPQTVINTAPWVITVAASTIDRAFPALVTLGNNQTVVGQSLYTGTEVNKFYPIVYGEDIAAIGADETGAGSCDVETLNATLARGKLVLCFQSRSQRSAATAAKSVHKVHGAGVIFAQYPTKDVSCPWSFPCVQVDFEAGTSLLTYIAATSNNIQLLTSVTDEYGQNAVAEGAPHKQADPFDYGGGHVDPNKALSPGLIYDMETSDYVCFLYAMGYNRSAISSMTKIHTPCRKSTNFLMNLNLPSITIPELKQKLTVSRTVTNVGPINSIYIARVQAPAGTYVSVKPPILSFNSTIKKLKFKVTICSQLKVQGRYSFGNLYWEDGVHVVKTPLIVRIAINNYLYS >OMO74381 pep supercontig:CCACVL1_1.0:contig11133:1584:3775:-1 gene:CCACVL1_16770 transcript:OMO74381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MEYCMEARALKSSVRGEVTMQKTQHVVYDDFFYLNAMNGGLPTEDFSVDCFLDFNNGEFEEEEEEKDSLSGSSQERVGDDDSNSNSSGLSHDSVLANELSVPDEEIAGLEWVSHFVDDSLPELPLPCPVFKQQSENHAKTRFETEPEPVFVKTPCFSSVVPSKARSKMTKKPRRTWSVGSMTFSESSSSTTTSSSVSSSSSMTSAHVVHNPELANEFTEPPTKKQKKKPAVSASGLLIGNPFQRRCSHCQVQKTPQWRTGPLGAKTLCNACGVRYKSGRLFPEYRPACSPTFSGDIHSNSHRKVLEMRKKKELAPAAAGTGAPNSCDEVRLRLCPCFFSCSIDLAYE >OMO74383 pep supercontig:CCACVL1_1.0:contig11133:17915:20251:1 gene:CCACVL1_16772 transcript:OMO74383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKFAVLKQFGAGGYGGSDNVVTDEAELHQHQKLERLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGAENTCTSGTTLSKAAVGYGRARAQMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQARVRETPGNPESVMKLESAESKLQDLKSNMAILGKEAAAAMAAVEAQQQRLTLQRLIAMVEAERTYHQRVLQILDQLEGEMISERQRIEAPPTPSVDTMPPPPSYDEVNGVYASQTHNGSTDSMGYFLGEVMHPYNGESDVELNLTVGDYVVVRKVTNNGWAEGECKGRAGWFPFGYIERRDRVLASKVAEVF >OMO56489 pep supercontig:CCACVL1_1.0:contig14513:10877:12525:-1 gene:CCACVL1_26513 transcript:OMO56489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, TAZ-type MENTRTKQPQQATVNVCPAAPPPLPPLPGPATNWGQKGLFRMNGSLPVRGFSYVPTATRDLWDNLFDGGNESDVTIKTENGGIIYAHANVLSMASPVLRGMLKRSKGFGRKRSITIRGAPEDAVRVFIRFLYSSRYGQEDMKEFALQLLVLSHAFAVPQLKQICEMQLENGLLTTENVADVFQLALLCDAPRLSLISHRMILRNFKAVSATEGWKAMKTSHPVLEKELLESVIDEENMQKERIRKANDRKIYVQLYEAMEALVHICRDGCKTIGPHDKDFKEDQKPCKYAACKGIELLVRHFAGCKLRVPGGCVHCKRMWQLLELHSRLCVDSSLCRVPLCMNFKEKVRNQSKKDEIKWRILVRNILRTNRIRSSPFFVSLNQL >OMO56491 pep supercontig:CCACVL1_1.0:contig14513:24385:27188:1 gene:CCACVL1_26515 transcript:OMO56491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEKRLRSSLQSSAEDFLSSAVKQTLKSSKPTLKSLIHSISPSSPLCSTLPPCLHLHISESILSFQNPPSNPEPEPDPSHSPPTKRTRRSTRSSKPPESTPISNNENLRILACITGLCVSHPRNAFGHADLIQAVRSLHENLILFESDSNLSNEVACLCEEWWKQGLPGKETLISQTLPFLLSKSLTLKKKVDIHRVHSLREAFNFLDFEDESIEDLKLLLIRCVIEPLYLKTDEGKRFVAFTFGLSLQFLKECLAMIKAQIPFGRKSMLEAYGEILFKGWKAVEGELKSEIEDGFLMNLIDGAIHASSKGLASSIRRVLGGFINQRTVDGVEKLLFRLAEPVLFRSLQVANSNVRQNALHLLLDLFPFEDPDSTKEAKDTLLDKQFFLLDKLLLDDCPDVRVIAVEGCCRILHLFWEVIPSSTITKMITKIIDQMAYDSCNEVRLSTLNGIIYLLGNPQSHEILKVLLPRLGNLMLDNALSIRVAMVDLLLLLKDIRSFQFNTVVNLEVLLNTLANDQPSVARKITRLLMPSYFPARLPIEEACNRCVTLMKRSPLAGARFCEFALLEGASLKSLMELVKVFISLVVSKNKLDAAQIEGLLVASANICSSLEKERSQHPLKELFSGERVKSLFAVASTARAKSSVFDILSTIASENVAGILGDCMSLVTNCSDLSENVEKQAEVRSAHKLLMSCDAFDDIFEALTRLLQKTAYRCHIKFDTEAPKQNVSPAKRKRGKSSAKLSAKWKHVSKKKSSDFEDDYLVSIGVSWQIKDMLASEDTRKAILGSQALELPFLALKIISEVSIVQCEHYEYMDLYPVLAYTALAQQMALQNDTTSNVSKSGLKDDCNDSPESIPEASFACFLLS >OMO56488 pep supercontig:CCACVL1_1.0:contig14513:4976:8875:1 gene:CCACVL1_26512 transcript:OMO56488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2/DRF autoregulatory MRAHHLSLFFILLSLSTSTTKSSSSSSSSSSVIQNFIIIHRRILHQPLFPAGSAPPPGTDTSLPPPSPPPPDSPAFPDPSQPFFPEVPSGQTPDQNQQTTPPAAPTNGSIPIPTATQPAKPTKKVAIAISVGIVTLGMLSGLAFFLYRHRAKHPGETQKLVGGNSERFQEDSRVPPSSFLYIGTVEPSRRSVSEVNGGAANGSPYHKLESVKRSDRYRPSPELQPLPPLAKPPAVENSSPTAMSSSSSSSVSDEESQGTAFYTPQGSTISNEEGYYTPVARPINSNLVKNDMNGNNTNSVPRSKRTSPKSRLLAASPEMKHVIIPSIKQQPQHHQPSPPPPPPPPHQPAEQGITYAKRPKFSAPPPPPNMALLRSLSSKSSSPQRTKAPPPPPPPPPPAAAAGLSIPRTVRPLESNVSPKPAQVLKKQELRTPSPRNSPGSMTRKSMEEVNYKGASSSEKTDGDDMDSAKPKLKPLHWDKVRATSERATVWDQLKSSSFQLNEDMMETLFGCNSTTSAPKPKEPIRRSVLPPVEQENRVLDPKKSQNIAILLRALNVTRDEVSEALLDGNPESLGAELLETLVKMAPTKEEEIKLREYSGDISKLGSAERFLKQVLDIPFAFRRVEAMLYRANFDTEVKYLRKSFQTLEEASEELKNSRLFLKLLEAVLRTGNRMNVGTNRGDAKAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRSEGADTDSTNGNLENKMSSNMKEEDFRKQGLQVVAGLSRDLSNVKKAAGMDSDVLSSYVLKLEMGLEKVRLVLQYEKADMQGNFFNSMKTFLKDAEKEIAKIKADERKALLLVKEVTQYFHGDTAKEEAHPFRIFMIVRDFLSILDHVCKEVGRMQDRTVVGSARSFRISATASLPVLSRYNVRQDGSSDDESLSP >OMO56490 pep supercontig:CCACVL1_1.0:contig14513:19625:21063:1 gene:CCACVL1_26514 transcript:OMO56490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGELDNISFSCSSLLCEETEACFSETGRQEEDGESQSVYLKCCDNEDYDEYIKKLVQRETDFGFKTNESFADYQNTSKSWLECARLDAIEWIFNTRKVFGFQIHTAYLSVIYFDRFLSKRSIDEGKLWAIRLLSVACLSLAAKMEECRVPPLSEFPIEDYHFENKVIQRMELLILSTLEWKMGSVTPFAYLHYFIHKLYAESNSNKELVSNAIELIMAMAKEISLVNQYRPSIIAAAAVFAASGNPLTSKAMEVKIDSISLWGSLENEQIVCCYKMMQELQMRKSKTPNFVVTSHFSAIHALENASAKTAGAAGTKRKLTFNQSDQNGLAKKICRSE >OMO71546 pep supercontig:CCACVL1_1.0:contig11602:34490:35629:-1 gene:CCACVL1_18166 transcript:OMO71546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNAGDQNVIQNMGRINPFIKNPFTRFYMCRACRDVPLVSLENRQFIDKELGAIICKDVNQMLFWDGFQVVFADTLEPFPLLIPTPGRPSTK >OMO71548 pep supercontig:CCACVL1_1.0:contig11602:48281:53551:-1 gene:CCACVL1_18169 transcript:OMO71548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDGKGGENESDNSDVPDVEAYFNKPSVYFDNPMRKRKKKAACGSAALEASKARALKECKSRIPSFQVF >OMO71543 pep supercontig:CCACVL1_1.0:contig11602:7465:9897:1 gene:CCACVL1_18163 transcript:OMO71543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MEVRPHQTVDNSAATPQRRAATAASKQPVPASNAVDTTSVTQRLQKELMALMMSGGDLGVSAFPEGESIFTWIGTIEGGKGTMYEDLSYKLSLRFPLDYPFKPPQVKFETTCFHPNVDQFGNICLDILQDKWSSAYDCRSILLSIQSLLGEPNPESPLNTYAAALWTNKKEDYRKMVREQYFGGKAFES >OMO71544 pep supercontig:CCACVL1_1.0:contig11602:10610:13489:-1 gene:CCACVL1_18164 transcript:OMO71544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MEQRHLTSAMQLSEENQHQDPNGITAEWSYTHRGRVVAPENASLVYRAENVPTRGLYPATQYRVASRSIENSSSSLRFETSQVHAPQSAPSYNSLPIPRIGSFYTTQDSGASHSHSIQNNMSAVCEIEDGLLDHGMNTGRGQFKRKSSISLSCERGSTSSIYSAGSSSNSFEYLPDKPASGYPNYPHYTIGLPQYRGANLSVHSADHPRNVRSRSRTNLEPNPMTYPSSYSSHHYHPTTHASSDSGRANFRSFGAGTTPFNQNNIGVCPAHGRFPTSGNTGLRNETNQYSLGGSAVEVGGYRREPFTTAQYPHSLHDRVAMEVHGNYSQGAVPSHNMGVRSTRWPQEIAPTANVLPSTSEAYSSRFSRPFSARSWHNNHRETRSRIAPERFQSFPNTANARYRMQSEALRLEEHSFSYGSRNYNDEYDDMRLDVDNMSYEELLALGERIGNVNTGLPENLMSECLKETIYSSDKNQQEATCAICLEEYKNGAMIGTLRCRHDYHVLCIKTWLAMKNACPICKAPAVADVSNEE >OMO71547 pep supercontig:CCACVL1_1.0:contig11602:46364:46568:1 gene:CCACVL1_18168 transcript:OMO71547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSVDGTRYVILMWDYQRRQ >OMO71545 pep supercontig:CCACVL1_1.0:contig11602:18541:31186:-1 gene:CCACVL1_18165 transcript:OMO71545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoglycoside phosphotransferase MMALTSSFTAAVPLTVLRENGGVASTTTYRLKLRPGGKQRQQRRQVQAVFGNFSHFGDAVRKDMEFLKKGVKKGAEWANETFRIPQVKKAVDDVVWLRNIEDPQFSPPAQPAVLPQPYYPELSGLDLMMADLKALEAYVNYYYYQSKKWSKPLPETYDAEEVADYFSRRPHVVAFRLLEVFSSFASAAIRIRMSGIKKFVRPGSPNDIDENLSQYNFGMVLKETMLSLGPTFIKVGQSLSTRPDIIGPEISKALSELHDKIPPFPRSNAMKIIEQDLGSPVGSFFSYISEEPVAAASFGQVYRGSTLDGFDVAVKVQRPNLRHVVVRDIYILRLGLGLLQKIAKRKNDPRLYADELGKGLVGELDYTLEAANASEFRAAHSHFSFMQVPKVYQHLTRKRVLTMEWMIGESPTDLLSPMTTNSIKHDSKYLEKQKVDAKRRLLDLVNKGVEASLIQLLETGLLHADPHPGNLRYTTSGQIGFLDFGLLCRMEKKHQYAMLASIVHIVNGDWSSLVQALTDMDVVKPGTNILRVIMDLEDALGEVEFKDGIPDVKFSRVLGKIWAVALKYHFKMPPYYTLVLRSLASLEGLAVAGDPSFKTFEAAYPYVVRKLITENSPETRKILHSVVLNKKKEFRWERLSLFLRVGATRKNLQWVAASSGETSLENLPNRTNGVFDVAYLLLRLLPSKDGVALRRLIMTADGASIVRAVVSKEAKVFRFQLCRIIADILYQRMSEALARVVPGGQYNFRLRLAGGHENRELGPSTRLYTSTYDTNDYEALLRDRRLKKALKVTVNSQADRSPRPSPEENQPPQFTLSTMSSPGGGMFGGFTKLCKGLAVILIGGHIVVQLIPASVTYLALIPARTIPFGWNLITAGYIEQTVHGVVVSTLGLLFMGKLLEPIWGSKEFLKFIFIINILTSVSVFITAIALYYITRVENYLYMPLSGFHGVLAGFLVGIKQIVPDQELSLLKIKAKWLPSLMLLFSIAISFFTQESATYLPTLIFGTYTGWIYLRYLQRKPEAKLRGDPNEDFAFSTFFPEFLRPVIDPIASIFHRMLCGKSEASTDAQGYTLGGAPLPGSDPIEATRRRERGARALEERLAAERLAAARDSDESHRDGADNV >OMO68764 pep supercontig:CCACVL1_1.0:contig12177:24066:26642:-1 gene:CCACVL1_19845 transcript:OMO68764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAKQIGNLSSSARSFLFSGSRCSAADGNSCTCPEDESCVSRRQNVRNEVLSKSSARGSLKLGTASKAAVPNEAERAPQLVSNPIPLHRSSIVSYAGSTDAIQHDGHGSAPISDQFVKAGIAAVSFLSDLMNYKLPLSDGSIITSSQRNYVVEPTRTISNVKSPAVKPVKRENFPKVYPKPSSEVASGPRSTVSYHDAKDRGDKPNPVRGYKRGSNAGTVNSSETHGTSANICDKRKPIPQRVKAHSHRFMSNCNSNVPSDAKVSDSGIEGFNKTFRDVKIPAGVVPATRPLASNGHAVESVSRILRQLKWGPAAEQALENLNFSMDAYQANQVLKQIQDHTVALAFFYWLKQQAGFKHDGHTYTTMVGILGRARQFEAINRLLDQMVKDGCQPNVVTYNRLIHSYGRANYLHEAINVFNQMQEAGCEPDRVTYCTLIDIHAKAGFLDVAMDLYQRMQAVGLSPDTFTYSVIINCLGKAGHLPAAHRLFCEMVDQGCVPNLVTYNIMIALQAKARNYESALKLYRDMQNAGFEPDKVTYSIVMEVLGHCGYLDEAEAIFSEMKRKNWVPDEPVYGLLVDLWGKAGNMEKAWQWYQAMLHAGLRPNVPTCNSLLSAFLRMHRLSDAYNLLQSMLALGLIPSLQTYTLLLSCCTEARSSYDMGFCGQLMAVTGHPAHMFLLSMPSAGPDGQNVRDHVGKFLDMMHSEDRESKRGLVDAVVDFLHKSGLKEEAGSVWEVAAQKNVYPDAVREKSSSYWLINLHVMSDGTAVTALSRTLAWFRQQMLVSGISPSRIDIVTGWGRRSRVTGSSLVRQAVQDLLSIFSFPFFTENGNSGCFVGCGEPLNRWLLQSYVERMHLL >OMO95636 pep supercontig:CCACVL1_1.0:contig07637:704:19306:1 gene:CCACVL1_05337 transcript:OMO95636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPQSNDLAGLLTWPNGTLMMPIEKHRVGKVFVAELAWLLALSSSLLPADVAKWDPRDANYKVPRRGAHLMDCSKANIRVTSPEIVMALLEVQVPVLFNEMASGGDASLEVGISTPAIAETSTPAVRNAVHPRLRQHLNSLNPVSKKSLKVNKNQQQEQNKFLNPFAIS >OMO95637 pep supercontig:CCACVL1_1.0:contig07637:20263:20478:-1 gene:CCACVL1_05338 transcript:OMO95637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKTCFALAAGSCSPSNSSCWRGLGSFNVGGGGGGGRYLVGNTLAILGGLMYKYDKYKMEDKPSEIKGTA >OMO50308 pep supercontig:CCACVL1_1.0:contig16218:14003:17892:-1 gene:CCACVL1_30516 transcript:OMO50308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease T2-like protein MQKQNLLAAAILATFLVSAAGWDFYKLVLEWPIAACNIKKSGTCIDVNDLPSTFTIHTFSPQFANDTKVPPYAKDKSCTSVPPTTNAGVFQDKLESIEDKLTEMWPSLLSKTSDEEFWFTEWENHGMCSDYSNKPDAYFSAALTLASKYDPIKVMGIESSYDKPYQVKTVLENAKKNLGAYPQIACNAAKKKVLQLWEFRLCFDRATPPSVLIDCPKKLAGEFSAASNKGFDFYKLSLIWPPSACNIGKECRKPIPNYFTIHGLWPQFADDIPVPPYSQDPKCTKITTTNPDNILVELQPIENQLKEKWPNLMKEDDSLFWKVEWKNHGMCSDYPDQPKDYFNAALSLAGDEKYDPLEVKNILEKVKRSVGAYPQIACNTIPGDGTHQLWEVRFCFNTEKPPKLHLRNCPNKLTGDCTSEDDIIRFPPVPPIRARNFTN >OMO50307 pep supercontig:CCACVL1_1.0:contig16218:4998:7194:1 gene:CCACVL1_30515 transcript:OMO50307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGRNLHIVLKSGMFKSVFFRSFSYVTQEPVEKVEFQQELGEKTQEVAHWKKLSEDVLKKIEWQALHIVLHNEEQLIECNKEKKLLMANFGKLKENYDELRLVLRQKTKEVEEGRKLQEQLLAQIDFKSSEILKNNQQLAEHGKQKELLLAQVKGLEEKVNSLQITTSDIRAQVEWIGGWMVAQTCDIVREVCCRGNCQASQLEDCDLQVVFSNQIWMKIKIRFRIKVEDDAVNMKTHKRRLPENCETFIVGGDMHVLED >OMO50310 pep supercontig:CCACVL1_1.0:contig16218:36874:44823:1 gene:CCACVL1_30518 transcript:OMO50310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALSNLSRLSIQDGDETNGARVEENRVVIADADWLDGTEEGVPRFHLLGKLFSKRRPNVEGLRMAMFQGWKLDGAMIVKEAGDNLYVFQFEDAVERDWVLVNQPWSFNRSLLVLREFDGLQTPEEVNFEICPVWMRVFKLPILMMTDKVGVAIGSVIGDVLEVDKSNSRYLRIRVRFNVNNPLLEGTTVTTPHGDIETHGQMIRKYSDYLRAETPDIKPRHFHTAISCLKSGGVGGVSGSRLIPARQPQRLSWRRVWGINTLPKVEDQRVDASFCSSTLEAGLGVVVRSSEGEVLVSAAKHIGFVSNSLYAEVHAILFGIEIALEYDIQDCIIESDCLIAVTEINNKTLVWWEGGVLIYEIRELVSLFDRCSIVHAHREANLLAHRLAHIDVDFVRMETQHFNVITQTDDIVELSFSKTWNSDDRSVRLNIDKRFVIRRGVAGIHTYAILERRENFPSTYMSQMRLVFKLLREFNFMALSDSRQTIMPSENERKQSPNLGFKEAVVLSNNTNDTQLRGEVDDKYQFSYENKDNKLHGWIADSHKLNPAVGFWLITPSNEFRNGGPHKLEIASHMFSSTHYVGREMETHYEQGKPLKKVLGPVFIYLNSASIEDSDVRKTLWQDAKRQLSEEIASWPYNFTRSKDFPYAEGRGNVTGELIVRDRQAAGDNPLAYSAASLDFNLIFHLQKLNNQLQQLAPPGEAGSWQTDTKGYQFWTQNDKHGRFEIKHVRPGEYNLYAWVPGFIGDYKLNLNITIQPGKEMDFGALIYDSPRNGPTLWEIGIPDRTAAEFFIPDPYPTRMNRICKDPSDRLKSIEEDICGIFFRDILTTVESREFNLDLPRREILGSIIAAIATTVQCRHELSLVLVLDFAIWRGFPSLSPLIAGHLPI >OMO50309 pep supercontig:CCACVL1_1.0:contig16218:18865:18999:-1 gene:CCACVL1_30517 transcript:OMO50309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSPTPNSTKDKPSELCNWFEEKKSKDRRSLYNSREAKRERVI >OMO50216 pep supercontig:CCACVL1_1.0:contig16257:1926:3328:-1 gene:CCACVL1_30571 transcript:OMO50216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKNHLAGVLEVYKKTQFLNDESRAVIERAEELLATATAGDVENLDTNELEHRIMTEVLGPERNGRVSCLGFGATPTNVFKERAGLFTSSKGSSSSLIQIEQLRTEMEFDMLVVLPHFCCMFSKGNCKGNKRLPNFKKKDKRSLLAFRSWNNKML >OMP11700 pep supercontig:CCACVL1_1.0:contig01020:12685:16392:1 gene:CCACVL1_00332 transcript:OMP11700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 51 MATVKTGRSTRATAASKENGPKIEEGLNVFKSDKFDADAYVQSKCSLNDKEIRQLCSYLLDLKRASAEEMRKSVYANYSAFIRTSKEISDLEGELSSIRNLLSTQATLIHSLAEGVHIDSLSPKASESPSANGLLNIEDNEPSDLEKWSAEFPDLLDVLLAEKRVDEALTAIDEGERAVAEAKETNSLSSAALTSIQTAIIERKQKLADQLAEAACQPSTRGAELRAAILALKKLGDGPRAHTLLLNAHFQRYQYNMLSLRPSSTSYGGAYTAALSQLVFSAIAQAASDSLAIFGKEPAYTSELVMWATKQTDSYALLVKRHALASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALNANLKRIEESTAALAAADDWVLTYPPSGTRQSGWHSSASLGNTTAFQHKLTSSAHRFNSMVQEFFEDVGPLLSMQLGGQTLEGLFEVFNSYVNLLIKALPSSMDEEANFESTGNKIVRTAETEAQQVALLANASLLADELLPRAAMKLSPGQATYKDDHRRRTSDRQNRHPEQREWKRRLLSSVERLKNTFCQQHVLDLIFTEEGSHLTAEMYINMDGNADEVEWFPSLIFQ >OMP11698 pep supercontig:CCACVL1_1.0:contig01020:4971:7854:1 gene:CCACVL1_00330 transcript:OMP11698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAANLVFEKLAPFFEKELQLLRGDEEEVAHVRRELERMKAFLSEADALEEGTDKEVIVWVKQIRDVVHDIEDILDEYMLLLTHNHGEGLYGFIHKMSCCIRNMKARYRVASKVQDINSRIRSICEGHRRLRQHFGTNEGSSYSSGSGNLWQDCRGDAMFLDQSDLVGIDEPKSKLVEWLVNGGLDLKVVSISGMGGLGKTTLAKQVYDDAEVKKHFIIHGWITFSQSFKLESLLKDLIQQLCRVISKPVPEDVDSMSTYQLKITIKMLLQRRRYLIVLDDLWHIHDWNAIIHTLPADKEGSRVMLTTRNAELAFSASIEPKCEGAVYNLEPLPLEESWTLLCRKTFRDNTCPPHLEDICKQILRKCEGLPLAIVAISGVLTTKDRRRIDEWEMVLRCLGAEIDGNDRLMNLKKVLSLSFNYLPYYLKPCLLYFSIFPEDHAIELRRLVRLWTAAGFVEEKQGKTQEEVAEDFFNQLVNRSLIQVSGTTSDVLDLQAMPLTKFPIEVANLYYLKYLSLRKTKIAAVPKFIGKLLNLETLDLKHTYVTELPVEILQLQRLRHLLVYRYEIESYDYFHSKYGFKDLERIGDLQSLQKLCFIEVDQGSIMLAELGKLTQLRRLGIIKLRKEDGKELCSAIENLKSLRALSITSIEEDEIVDVEHLISPPPLLQRLYLRGRLQTMPHWIPGLHSLVYDGDTLCFRAGGFKKLNCLCLDKLDELKLVQVEEGAMPCIQKLSIQRCKSMLKLPQGIEHLTKLNVLELFDMPQELIWTLGDVPHKFISQPCPGPDGHGEDYWRIAHVPEVYHTYWADGAWQVYTLEALRSGESSYWPNNIISSERLQTCWK >OMP11699 pep supercontig:CCACVL1_1.0:contig01020:8264:11543:-1 gene:CCACVL1_00331 transcript:OMP11699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAISHKNFQTPLTVTKLPTSCHCCCLQSKSPASLRFYLSTFPATARVSFSSSSCKIRAVHGGERAQASTSAWDEKPFDILPDGKKGYLDELDVVSFLNPPKDLIPLDPSSYNPAAYLWKKIGEIPEERRYRLLQLLDPRLISRAWAIAGTRYDDPKLVKKSASNLLSTKDGEISYEFYNCRSSGGGSIVSRFANNLSPLYFEVTQLKEVMSTEAPCDFAYEFGDGLLELHECPAGFPRPVKHPYPFSDLVVIYIRHIGPGVLVGQAWQEGKKIDQVPRKLCGEILMVKDYAASGEND >OMO77199 pep supercontig:CCACVL1_1.0:contig10803:47449:49340:1 gene:CCACVL1_15165 transcript:OMO77199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGGGGGGGAVKATSSREGTAKSIVTEQISQAVQSTSNLLHLMQQSSPAQTQLIKLPKNLLAKTSTIKNTGQMLEQMPKVISALDAHIDSGLQSVPHLKTVIQLLENMESCQLCSLSQAHPPKEDSQQANQPPEIGSPP >OMO77192 pep supercontig:CCACVL1_1.0:contig10803:14375:19152:-1 gene:CCACVL1_15158 transcript:OMO77192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDLNNGHASKNIDVANGTAVANADGAEKFRSELISISNAQSPEAPVPPVALGNHVG >OMO77196 pep supercontig:CCACVL1_1.0:contig10803:43971:44351:-1 gene:CCACVL1_15162 transcript:OMO77196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSQATVILFLVLAFAMAATPAFSVVGWEERNYPNPRLCLREITKTPGCLAELSRSLLRKNLKFRRECCEVYQGMSDKCHTWFFNQRKYTPAYGNQVKDYCAQKFGITLAPSYRVYHPMNQPSLQ >OMO77194 pep supercontig:CCACVL1_1.0:contig10803:29883:33965:-1 gene:CCACVL1_15160 transcript:OMO77194 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MAAITSLTDAAAAATAELSSFRSITDHSLFESGTHLTKRRVFFRKRDSAVYPIRSMKITPTHNDSLTSSNGHLSSDKPNSMAELLKVGTLAHVEMSSTARRKTKIVCTIGPSTSSREMIWKLAEAGMNVARLNMSHGDHASHQKTIDLVKEYNAQFEHKVIAIMLDTKGPEVRSGDVPQPIQLKEGQEFNFTIKRGVSSENTVSVNYDDFVNDVEVGDILLVDGGMMSLEVKSKTHDVVKCVVVDGGELKSRRHLNVRGKSATLPSITDKDWEDIKFGVDNEVDFYAVSFVKDAKVVNELKSYLKRCNADIHVIVKIESADSIPNLHSIISASDGAMVARGDLGAELPIEEVPLLQEDIIRRCRSMHKTVIVATNMLESMINHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKYPIKAVKVMHSVALRTELSLPVSMLPSVHFSAYKNHMGEMFASHSTTMANTLNTPIIVFTRTGSMAILLSHYRPSSSIFAFTNEERIKQRLALYQGVMPIFMQFSDDAEETFSRALKLLMDKNLVKEGQNVTLVLSGAQPIWRQETSHYIQVRKVQA >OMO77195 pep supercontig:CCACVL1_1.0:contig10803:42018:43232:1 gene:CCACVL1_15161 transcript:OMO77195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSVKLQDDQNPLLKAKVPISIFSQPFISSLTTTTPIATAHGSNKSSQNTSFSVSTNFPSGPSLKLSYFPSTSPTTTTIPFSLSLKSGLGLFGSPKDSPLVFSAQFSLSSSNPGTVIPTFSLHLKPQFGNFSLHKATLSNPSLEPDSGSHSVSGIQLQPGSPSNSEFETPVGSSVWQEVKLEPRNDGLGSSKLGYGKGLYSNDGFGPFGTESSLVRTNDKKSNIFGGIAVRARTSFPVTKRAVVNIRWAVNSPSGVGFKMPHLTVNKIGIERVEEVQEVKKKKNVESNEEDMELLKGMYFWMKRDLEILENENREMKQCLEDMRHGISVRKVNVRRESESIGRRISNSTPSVESSNEFERWRSKKAASGEDNGGKEVKKSGNANKMSDVESELQKAIKAASSS >OMO77193 pep supercontig:CCACVL1_1.0:contig10803:25127:29170:1 gene:CCACVL1_15159 transcript:OMO77193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MDCLEVCKEGKLKEEQEATCTQDGTVDWHGRPAIKTKSGSWTAGIIILLNQGLATLAFFGVGVNLVLFLTRVLGQNNAEAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQVIFVIGLVWLSLSSYIFLIRPKGCGNEQTPCKSHSGLEIAMFYLSIYLVALGNGGYQPNIATFGADQFDEEDPKEGHSKVAFFSYFYLALNLGSLFSNTVLGYFEDEGMWALGFWASAGSALAALVLFLAGTTRYRHFKPTGNPLSRFSQVIVAATKKCSIDMPPDADDLFDGDGNESSMGGNRKILHTDEFKFLDRAAYMTTRDVDDQKKGDYSPWRLCPVSQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMKTTISNFKIPPASMSSFDILSVALFIFLYRRVLDPLVGRIRKKGSRGLTELQRMGIGLVIAVLAMVSAGIVEIYRLKYANKDCTHCEGSSSLSIFWQVPQYAFIGASEVFMYVGQLEFFNAQAPDGLKSFGSALCMTSISLGNYVSSLLVTMVMKISTEDHMPGWIPGNLNKGHLDRFYFLLAGLTTIDLAVYIACARWYKCIKLEGKTEENEEQGSLKM >OMO77202 pep supercontig:CCACVL1_1.0:contig10803:64007:67463:-1 gene:CCACVL1_15168 transcript:OMO77202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate-related phosphatase MKTRRGKRSEPFWPSLVMKKWLNIKPKVYDFSEDEVDTETESEDDACSLKDSRLHGREDHTHRKLENQSECRSQISDAPSRGYQVRHRRGKSETLRAQYINTKDVRVTIGTWNVAGKLPCEDLDIDDWLCTKESADIYIIGFQEVVPLNAGNVLGAEDSRPIPKWEAIIRRTLNKSLEPESKHKSYSAPPSPVLRTSSVADVLADEMDVLPLEMMCDEYLEGANCYDFEKQDLKKVADIGQNLQQKRIYSVDCETWLDWPEHPLDATPQVVVTSNSKLRRVLSSSARIGFNLTDNSVFSHDPLLKGSRLKRSHHSSGNLESLLSHEQQQKLEVIDSFSEISDEFFEEEDDTFLEMPMEQQQENDRARSRPKYVRIVSKQMVGIYISIWVRKRLRNHINNVEVSPVGVGLMGYMGNKGSVSVSMTLYQSRLCFVCSHLTSGQKDGARRNSDVCEIIRRTQFSSALDTDQPLTIPSHDQRFWFGDLNYRLDMLDSEVRKLVALKRWDELINHDQLHKELRTGYAFDGWKEGIINFPPTYKYEINSDRYVGEVHREGEKKRSPAWCDRILWSGKGIKQLCYQRAELKLSDHRPVSSMFLVEVEVLDPRKLQRALNVSTAAVHPEIFFDENGELDF >OMO77198 pep supercontig:CCACVL1_1.0:contig10803:46340:46711:-1 gene:CCACVL1_15164 transcript:OMO77198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSKTTVILFLVLAFAMAATPALSVVGNMPNPSLCLEEISNTPGCLSELRSSLGHKHLNLRRGCCEANKGMSHKCRDWFFNQGKYTPAYGKQVKDYCAQKFGITSAPTSQAHNHLMKEPSHH >OMO77201 pep supercontig:CCACVL1_1.0:contig10803:57790:59087:-1 gene:CCACVL1_15167 transcript:OMO77201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEVLHRDGFGGSLQVDNVQSLASQNLKDIPSRYIRPEVEDLVKADESRYKTISHEEFVKLTISSKLDGKGLLDQMKL >OMO77200 pep supercontig:CCACVL1_1.0:contig10803:51676:54548:1 gene:CCACVL1_15166 transcript:OMO77200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTIPSPSKSSSFFASSSSSVFGRCSLSHRNFAFNRSRICVSNHSKCDLPQPLNYGNGKPTIPILNERTLPKFLESAQETTVNRNGAKLKVFSGTANPELAKEIAWYMGLELGKINIKRFADGEIYVQLQESVRGCSVYLVQPTCPPANENLMELLIMVDACRRASAKNITVVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYCQPVILDYLASKKICAEDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHKEGAREVYACCTHAVFSPPAIERLSGGLFQEVIITNTLPVAEKNYFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >OMO77197 pep supercontig:CCACVL1_1.0:contig10803:45522:45767:1 gene:CCACVL1_15163 transcript:OMO77197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENENRELKHGLSARNVCRESESLGRRFSTPSVESSNEFEQWRSEKTAGEDNGGREVKKSSTANKMSDVESELQKAVKAA >OMO90134 pep supercontig:CCACVL1_1.0:contig08519:931:1017:-1 gene:CCACVL1_07505 transcript:OMO90134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDGKRAIKMNGINIGLDSKIFKGKNR >OMO86364 pep supercontig:CCACVL1_1.0:contig09498:30506:32212:-1 gene:CCACVL1_09620 transcript:OMO86364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MAEISGNNANHGHGGVVLNVGGGDGSLPPPPSSASKTKDFDLGFSVPFMQKLMAEVLGTYFLIFAGCMSVVVNVNNEKVVSLPGISMVWGLAVMVLVYSVGHISGAHFNPAVTIAFATCKRFPLKQIGELAGLAVGATVLLNVMFAGPITGASMNPARSLGPAIVSNHWKGIWIYLTAPVLGAVSGAWIYNMVRYTDKPLREITKSASFLKATSRNCS >OMO86362 pep supercontig:CCACVL1_1.0:contig09498:16677:18941:1 gene:CCACVL1_09618 transcript:OMO86362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adipose-regulatory protein, Seipin MESHNTDEDGQFLDALDDFPFYDCLTSDQSEPSTSDSPSTLRRRTFSRREPPSKEPGDSVLEASTLESHTITSSREPRYKLYRDLKANDTTFEIAESTRDGLNPTRVPEEKSDVESTVTTAKIDESVDRVRDSADSGTGTELSESLSPSLRLLLFIADLVIKAVGFQLNLVTVSLTLPESDYNRNLGMFQVRVDFLSFNGITLASSSHPSMLKFKSEPIRLLLTFFKAAPLIAGYASEAQTLNLKIRGLHEGTVPTACLRVVLEQRAEFRPGAGIPELYDASVILESELPFLKRIIWSWRRTIFIWISMATFMMESLFTLVCCRPIIIPRTRTRDGSFSSRSAHNS >OMO86361 pep supercontig:CCACVL1_1.0:contig09498:2173:15126:-1 gene:CCACVL1_09617 transcript:OMO86361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKAMMILLSAVLALSWIGTHKFEAAMLPEDEVNVLNQIARTMGAPSDWNFDGNACNETTRVDSGYVPERNISCTCENGTCHVTHLIFKRQNLPGSLPSEVVHLPNLKVIDFAYNYLNGSIPSEWGLMQLDSISVFANRLSGPIPDSLGNISSLKYLDLEVNNFSGQVPPQLGKLVNLETLRLSYNRLSGSLPPELADLKNLTDFRINDNNLNGSIPDYIQNWEKLERLEMQGSGLEGPIPSSISALKNMEILIISDINGTNQTFPDLRNMAGIRRIILKKCNISGPIPEYVWDMSNLRVLDLSFNSLTGNLENAILPNNFKFLFLTGNNLTGNIPQSILRTGIIVDLSYNSFAWQSPQQPACQQTWNNVNLFRSSSSTNLGEVFQCKNDFKCEKNLHSLYLNCGGDDVKINGKTYIGDRSFGFAGGATLYQNLNNKWGFSSTGDFRDDGDENNMLTRFIATVQSSTLSELYTTARLSPQTLTYYQYCLENGTYNVTLHFAEIQFSNNASYASLGRRMFDIYIQDEVFEKDFDIEAEAKGALTPFTKSYNVSVTNGRIEIRFYWAGKGTQAIPDRGIHGALISAISLENPDFSHSDSGKENNVVPIIVGVLVGAFIIFLALGIVWWRYYFNAKSKGEKDLEGLDVQTVSSFTLKQIKTATNNFDSANKLGEGGFGPVYKGLLADGRVIAVKQLSSKSSQGNREFMNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEFLENNNLSRALFSPEKSHINLDWHTRHNICTGIARGLAFLHEESRLKIVHRDIKATNVLLDGNLNPKISDFGLAKLHEEEKTHISTRIAGTIGYIAPEYALWGYLTYKADIYSFGIMALEIVSGRHNMSHGPENKYTCLLDWACNLQQQSGELLELVDDKLGTEFNKTEAEGMVKIALLCTNASPSLRPTMSEVVGMLEGTMNVPEPVPEPGSYSQDLRFKAIREHDKYMNSVKMGASQVQSSASAGSSNAYSHRAMESQASTSVHDWTGSSTIWSDSNKFEAATLPQDEVNTLNQVARTMGNSQWNFNADACNVTEDVDSDTGSQKNITCTCLNGTCHVTHLIFKLQSLPGVLPSELVNLTHLKVIDFAYNYLSGSIPPEWASMQLEFISVFGNRLSGNIPSFLGNISSLTYLDLEANQFSGQVPPEIGKRLSSNKLRGNLPGELAELKNLTDFRINDNNFNGSIPNFVDNWKKLTRLEMQASGLESPIPSSISALVNLVTLIISDINGPSQPFPDLWNMTGITRMDLSFNSLSGELVKVTLPLDLRFLYLTGNNLSGNIPASVLQTGLAVDLSYNNFTWQTPEQPAYWHSMYINCGGADDVKINGTMYIGDAKSGLGGAATLYQNNDNWGFSSTGDFRDDNDELNAASRYLKQSTSMPNQLYTTARLSPLSLTYYRYCLENGSYTVRLHFAEIEITNNTRYGTLGRRLFNIYIQDQLVEEDFNIETEAGGSLTPLTKYYNANVTKGELEIRFYWAGKGTQAIPSRGVHGPLISAISVDPNFKPQHAEKKAKTWPIVVGVVGAFLLFLVSGVLFWRYYFKTKNQREKDLRGLDPQIVSFSLKQIKAATNNFDSVNKIGEGGFGPVYKGELSDGTIIAVKQLSSKSSQGNREFLNEMGMISCLQHPNLVKLYGCCIEGNQLLLVYEYMENNSLSRALFGPEYSRIKLDWPTRHKICVGIARGLAYLHEESTLKIVHRDIKGTNVLLDRDLNPKISDFGLAKLHEEEKTHISTRIAGTIGYIAPEYALWGYLTYKADVYSFGILALEIVSGKHNMNYGPEDKYTCLLDWACHLQQSGNLLELVDNNLGSEYNKSEAEGMIKVALLCTNASPSLRPTMSEVVGMLEGTRTIPDIVPNSTSYNEDLRFKAIREHRSSMYSQSSAGSQVHSSTSTHSGSQFESSSASAHNINEANEESLLKFKAKQSTQPVVSMHGL >OMO86363 pep supercontig:CCACVL1_1.0:contig09498:26957:29123:1 gene:CCACVL1_09619 transcript:OMO86363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adipose-regulatory protein, Seipin MESQSTDEDGQFLDALDDFPFYDCLSFDQSEPSTSDSPSTLRRRTFSRRETSSKEPGDSVLEASTLESHSITNSREPRYKLYRDLKANDNTFELAESTRDGLNPTRVPEEKSDVESTVTTAKIDEPFDRVRDSADSGTELSESLSPSLRLLLFIADLVIRAVGFQLNLVTVSLTLPESEYNRNLGMFQVRVDFLSFDGITLASSSHPCMLKFKSEPIRLLLTFFKAAPLITGYASEAQTLNLKIRGLHEGTVPTACLRVVLEQRAEFRPGAGIPELYDASVILESELPFLKRIIWSWRRTIFIWISMATFMMELLFTLVCCRPIIIPRTRARDGSFSSRSAHNS >OMO82255 pep supercontig:CCACVL1_1.0:contig10058:33782:36252:-1 gene:CCACVL1_12008 transcript:OMO82255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRKRRKLKLLAETVNEDEGTATEEGKERLELQTWSDLPVELLELIMSHLTLEDNIRASAVCQRWHKVAISVRVETIKLPRFELTYQIVAFSCAPTSPNCVVFTIKHISPTIVAISTCHPGASKWDTVNHQNRLPFFVSLESVAYHIHLMIVDTIPVNNAMTGESKTPLRTYGLNHPRMPHHYSEERQ >OMO82252 pep supercontig:CCACVL1_1.0:contig10058:4713:5591:1 gene:CCACVL1_12005 transcript:OMO82252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSFPKLPKLKNLKHMELVLDGEHAHSLVCCVKLLKMSPFLHRLTLKVENLGKFRKFRKVEEQEPEKAHGHLKLIEFIGFVGLAVDMELILCLLKSVVSLKRLTIVPCSEYYFGAVIPTKDAELLSAARRRAKKLETRLPPSAELVIL >OMO82254 pep supercontig:CCACVL1_1.0:contig10058:29611:32787:1 gene:CCACVL1_12007 transcript:OMO82254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTREESEEQVDLDGDNEPEETIEEEVEYEEVEEEEEVEVEEEVEEEVEEEEENTELVGVAAAQKGSDGDDDYETEEEKRKKHAELLALPPHGSEVYVGGIPNYASEEDLRRFCESIGEVTEVRIMKGKAQGEVKGYYAFVTYRSKELASKAIETLNGCELKDKRIKCSTSQAKNKLFVGNLPRNWGEGDVKKVVTEVGPGVTCIELLKDPVNPSLNRGFVFIEYHNHACAEYSRQKMSKPTFKLDDNAPTVSWADPRNAESSSANQVKALYVKNLPRDITQDRLKMLFDHHGKITKVVVPPAKEGKEDSRYGFVHFAERSSAMKALKNTEKYEIDGHVLDCSLAKPQADQKSSGGSGSQKSTLNSSFPPPLGYGLVGGAYGSLGTGFDPTGFGQPMIYGRGPTPSGMAMMPMLLPDGRIGYVLQQPGLQPHISPPQPRSSRGGASGSSSGGRRGSSDNSRGRSRYNPY >OMO82253 pep supercontig:CCACVL1_1.0:contig10058:21124:24762:-1 gene:CCACVL1_12006 transcript:OMO82253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKPIVNESVFVRASSNFALQRGRKALPLFKGFVRYGLSDCVNLDLRQQCDRSHSSVEGNLINELPDHILVSILSRLDLKEAARTAVLSHRWRNLWKFTTRLVFDNSLLVWAILRGDLVKSLQVERSRFINWVNNALESYQGTSLDEFKVCFDVDEESCKLDIDGWIIFALEKRVQRLHLDFSRSSTYFSYGSYTLTTQFLSNYSISSLKVLRLVSVEVTSEVVEYMLSMCPLLEALVVKGSDSLSHIKVYDPSLKLKSLEILYCSNLKYIEISSTNLVSFAYGGQKIVAAINNVPHLVEASFSGECAGLLARNMFIFSELVSQLQVLELDLEGEGRRTFRNCPKLKNLKHLELRVSGDTAPSLLSCANLLKVSPLLHRFTLKVSGLADSKIYRPVKEKEIKKLHPHLKVIEFSGFVGRIVDVELLLCLLKRASSLKKLVIEPCTHYFFEKRIECKDSELLRKARTRAKQLETRLPPGAELVIL >OMO82256 pep supercontig:CCACVL1_1.0:contig10058:37382:37744:1 gene:CCACVL1_12009 transcript:OMO82256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase inhibitor MARPTATGTTTRPSSSTVTTTVTIENSGASSSSSQPQQTLVLELRPRKKKVSWKEGTVDNEFMNKKSSKICCIFHKEKPFDEDNSDDEGDHHHHHDHHHHHPSDGHDSSKDNCTPSSSST >OMO61137 pep supercontig:CCACVL1_1.0:contig13580:31104:35216:-1 gene:CCACVL1_23725 transcript:OMO61137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEVVKKEAPSSSVVVSTENRPFGSLRSVQWRINLGVLPPFLSSSSIDDLRRVTADTRRRYAGLRRRLLVDPHVPKDGGSNSPDLVMDNPLSQNPDSTWGRFFRNAELEKMVDQDLSRLYPEHGSYFQTPGCQGMLRRILLLWCLRHPDCGYRQGMHELLAPLLYVLHVDVERLSEVRKLYEDHFIDKFDGLSFEENDVTYNFDFKKFLDSMEDEIGSQGNSKKVTSLDELDPEIQTIVLLSDAYGAEGELGIVLSEKFMEHDAYCMFDALMSGAHGMVAMADFFAPSPVAESHSGLPPVIEASAALYHLLSVVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLQDLLVVWDEIFTADNCKLDKDSEKNESSTFKIFTSHRGSLISSMAVSMILYLRSSLLATENATSCLQRLLNFPEKINLKKIIAKAKSLQNLALDSNVSSLSSTFGGAYNHSKSAVVRAHSLSSDPVCLKTPLLVPDSYWEEKWRVLHKAEEVRQDNLGNPTPSGKKRWSDKVKLSLSRTESDPSPARAENRRKGHRSSVRRNLLDDLSRQLGLEEDAEQGGCLGASNAEDIHSIDVQVEGKNGNNNESICTAEERCESGSGTVVSEENSSIFSEPASPGSGTNEHENDTEKSSVASNSSIYENDDHQQSTEDSPLPVSLPPEDGSLSSPHGNESSGKIVSAMKERRHLSGRFQWFWKFGRSNVSEETSDKGGGSCEAAKSPNHDGKINTADPLIAGASRNSTSTNKGDAVDQNVMGTLKTLGQSMLEHIQVLESVFQQDRGQVGSLDFSKNILVGKGQVTAMTALKELRKISNLLSEM >OMO61135 pep supercontig:CCACVL1_1.0:contig13580:20369:20781:1 gene:CCACVL1_23723 transcript:OMO61135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIIQSIIVQKSTPLWLPFLPGSSFWVPLPAHGSKRVSNFIEKLTNQLSAEESLSLTTGRGWPSSSFFIPDGDSEDVAGVDVEVKFSEPEEGEVKVEVLIDSGKKSS >OMO61136 pep supercontig:CCACVL1_1.0:contig13580:25283:30335:1 gene:CCACVL1_23724 transcript:OMO61136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESEATSSTSPPRSSPDAAKKSQVPGYSGLSAFQNGDVQMFPVMYPTIIPGLTSFQNQEQSNRGAGIYAVPVLPYMGHIAGLPSNTLIPLTYNIPTQRVAEAGAAVEEQGQGGQPQRQQQQAGPQRQVVVRRFQIAFQLDLLLILKLAAVIFLFNQDGSRQRLAVLVFFASLVYLYQTGALTPLVRWLSQGMHRAAAPPHPPRPAARENIPPAGRHGNDNAALAEGQAGGENENRPADEGNQVAENENAAEPGLGNGGNHWWGIVKEIQMIVFGFITSLLPGFHNIE >OMO61134 pep supercontig:CCACVL1_1.0:contig13580:12613:17260:1 gene:CCACVL1_23722 transcript:OMO61134 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein MGTFKSFRKAYGALKDSTKVGLAKDLDIAIVKATNHVECPPKERHVRKIFSATSVVRPRADVAYCIHALAKRLSKTKSWIVAIKILIVIHRTLREGDPTFREELLNYSYRGHILQISNFKDDSSPLAWDCSAWVRTYALFIEERLECFRVLKYDIEAERLTKSSPGASKAHSKTRLLSCNELLEQLPALQQLLYRLIGCQPEGAAYSNYLVQYALALILKESFKIYCAINDGIINLVDMFFDMSRHDAVKALNMYKRAGQQAENLAEFYEYCKGLDLARNFQFPTLRQPPPSFLATMEEYIKEAPQTGSVQNRLGLNEINPKALELEESNALALAIVQPGNTNSTNHGLSGIGGTGWELALVTTPTNNTAHVVDSKLAGGFDKLLLDSLYEDEAARRQIQMTNAGYGYEGMAMQNPFQQLQQQHDPFAMSNNIAPPTNVQMALLAQQQQQYQHEQMMLQQQQQTMLVPYNQHQSQSQSPYSHNNQQQPHYLPQQPQFMGSAAANPFGDPFYNLLPSTSTSQQGNHSLL >OMO54799 pep supercontig:CCACVL1_1.0:contig14898:44675:45523:1 gene:CCACVL1_27564 transcript:OMO54799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGGNSPALHKRGLEISMNDASNNRSNGGGNDEDDDRDTGDEPKEGAVEVGTRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVASGTDVAESIAQFARRRQRGVCVLSGSGSVANVTLRQPAAPGAVVALHGRFEILSLTGAFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLIAAGPVMVIAATFSNATYERLPIEEEEEGGSAGHGGGGGGGQIQGGGAGSSPPAIGSSGPQTGLPDPSSLPIYNLPPNLLSNGGGGQLGHEAYGWAHGRPPY >OMO54794 pep supercontig:CCACVL1_1.0:contig14898:6108:13552:1 gene:CCACVL1_27559 transcript:OMO54794 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MESMDIDTVEEKDIKQIQSVLDSNIVSVVKLPPSDPDSCLQPNNVSRMVTATGFRVSTLIGSHGQFEDSEPVTAVVKAEPFLKVKEEPDLGLESHVLMKQEKTIKVKEEPDSGFDGGVLAKEEIASGCTEEAVAQKEDSKPETSSGEFLQSTNTKFQSLETKIKEEAPEFEKELPKPKMSFDEFLQLKNIKVQSLDDSPKTHIKTEVPDSVSVPYEIAESKSDDQKPKLVKEEPDIECVEVLGKPADVRKEAPQERRVNGVLVEDGDFPEDPDWYLVGRTVVNATSTTKGKNKLLDNEIVYFTIPSPRASYKLQSIIRFSTKRCGEIGRLPMDLAKWVNPLVYSKKVKVTGRCVAAPTTLSIMQEIILFVSFYIHSSIFTTGDKSPWMFDAPYNTESIITPMLQLFKQLNVRPYQRADFTPEELNSGQRILHIDDDYGEASAALPTAKRRKVVPEQNKDEQAISESTLNKIVGAADTYNLEEMEPPHTLTCSLRPYQKQALYWMTESEKGIDAEKAAQTLHPCWSAYRICDERASSIYVNVFSGEATVHFPSAREMARGGILADAMGLGKTVMTIALILSRRGRGNPDSDKPDSGKADYGRSTNKKKDAYANAPRKTKGGTLIICPMALLSQWKDELETHSKPESISIFVHYGGEKTNDPRVISEYDVVLTTYGVLSSAFKSDAENSIFHRVDWYRVVLDEAHTIKASKTIGARAAFALSAHCRWCLTGTPLQNSLEDLYSLLCFLHVEPWCNWAWWKGKIQGPYENGNPIGLKCIKAILRVLMLRRTKETKDDEGRPILVLPPTDIQIIECQQSEAERDFYDALFKRSKVQFDQFVAQGKVLHNYASILELLLRLRQCCNHPFLVMSRADSQQYSDLNKLARRFLETLPESVSPNQNAPTRAYIEEVVDGIRRGENTECPICLESADDPVLTPCAHRMCRECLLSSWRTAAVGLCPICRTLLKKTELISCPTESKFRVDVEKNWKESSKVSKLLECLESIRRSGSGEKSIVFSQWTSFLDLLEIPLRRRGIGFLRFDGSLNQKQRERVLREFNETGDKMVLLMSLKAGGVGLNLTAASNVFLVDPWWNPAVEEQAIMRIHRIGQKRTVTVRRFIVKDTVEERMQQVQARKQKMIAGALTDEEVSLNFERLIDDDADACSMLYLLHFRFGQLARWLWLAPSI >OMO54797 pep supercontig:CCACVL1_1.0:contig14898:29499:31304:1 gene:CCACVL1_27562 transcript:OMO54797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAWIVKMGNQVSSNLKHALLLETSSKKKNTQSNPTPKKHETVGILSFEVANVMSKTVHLHKSFSETEISKLKSEILNSEGISNLISSDDNYLLTLALAEKLDDLNKVANVVSRLGKKCNEPALQGFEHVYGDIVNGVIDVRELGFLVKDMEGMVRKMERYVNSTANLYNEMEVLNELEQATKKFQANQHEESKRAFEQKLIWQKQDVRHLKDVSLWNQTFDKVVELLARTVCTIYARILVVFGESALRKDSELLSRRVSGSFCDREEVVSRPMKRVLSKSSSAGGGQLGNVERGLVWKRGVSSKHKGVDSRKGEIGLFRVDDFGLACGTSPGRLFTDCLSLSSSVSRFDDDDGDGSVEHDDRSSQISGCCSVANDGVKRERPNHSPPFCAPQFSVPLSGDPRQPKCSVLNNPQFGPKSRLAVYATPATVGGSALALHYANVIIVIEKLLRYPHLVGEEARDDLYQMLPTSLRLSLRTNLKSYVKNLAIYDAPLAHDWKETLDGILRWLAPLAHNMIRWQSERNFEQQQIVSRTNVLLLQTLYFADREKTETAICELLVGLNYICRYEHQQNALLDCASSFDFEDCMEWQMQYGNSYLN >OMO54793 pep supercontig:CCACVL1_1.0:contig14898:3648:4370:-1 gene:CCACVL1_27558 transcript:OMO54793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MCSLEKRGNLFILTLKGYNMEHRLNPTLLNSINSALLQAKAESCPGSALVTISHGKFFSNGMDLDWVKAAGSTQGAHDRLFEALHCLKQLVITLLSLPMPTVAAVTGHAVAAGFAFVLSHDYIVMRRDKGVLYMSEMDMGLKAPEPWMALFRAKLGSGSTQRDVLLRGMKIKGDQGLKMGIVESVHEGEEEVRDAAMRLAQGLGKRKWDGKVYAETRKGLYPELCGMLGIASEAAILPKL >OMO54796 pep supercontig:CCACVL1_1.0:contig14898:22215:24136:1 gene:CCACVL1_27561 transcript:OMO54796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEHPIFINTLVASFATLFVYSVLRIVYFVWWRPKSVEKYFRQQGVKGTSYKLLLGDTKDLVQSAMEAWSKPMALTHHIVPRVEPFIHQMVQNYGKICMCWKETTPSLIVADTELMKLVLANKNGHFVRLPSNPLVDLLQMGVISLDGQKWAKRRRVITPAFHFEKLKEMIPAFATSCSNLVERWTKLVSPEGSYELDVSAEFHNVAVDVLSRTVFGSSYEEGKKIFQLQKEQTVLTVEAYQSFYIPGFRFIPTRKNRKRYDLDRGIKTTLRAMIHKKEQAIKNGEFLGNDLLGLLLQCKEQSDSDMTVEDVIEECKLFYFAGQETTANLLTWTLITLSMYPDWQQKARQEVLQICGKGNIPTAENISHLKIVSIYA >OMO54795 pep supercontig:CCACVL1_1.0:contig14898:16383:16712:1 gene:CCACVL1_27560 transcript:OMO54795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTRKASAINGGDKRQPSRLQRRAPASIQISPVSSWNVAIPLLSPLASSPPSIDRRMAEKREEPPRQEQKRKSQSSEPEKVVFKMWQHPAAPFCYEPAPLVPSFVPV >OMO54791 pep supercontig:CCACVL1_1.0:contig14898:1153:1536:1 gene:CCACVL1_27556 transcript:OMO54791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVTIYGYSQQITSQCNPLLVLGLTSLQPSTTSSPPAPPPHHAVLVSVPSATPTPPSTLFLFQTPALNPPPPPPPASLPFHPSMATAADSSLPSLASSFSTNIQLNKRANFKKKLCMFIMLMQLQGWP >OMO54801 pep supercontig:CCACVL1_1.0:contig14898:62800:65579:-1 gene:CCACVL1_27566 transcript:OMO54801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKALAPGLLISSLLISVSTADNGFPRCNCDDEGSFWSVESILETQRVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFQFIAFIVLCGLTHLLNGWTYGPHPFQLMLALTVFKILTALVSCATAITLITLIPLLLKVKVREFMLKKKAWDLGREVGLIMKQKETGLHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNEIKTEMNLTHELNERNFTYNNKIPITDPDVVRIKGSDGVNILKPDSLLATASNGESGEQGPVAAIRMPMLRVSNFKGGTPELVQTCYAILVCVLPSEQPRSWSNQELEIVKVVADQVAVALSHAAVLEESQLMREKLVEQNRALQLARQNAMRASQARNAFQKVMSDGMRRPMHSVLGLLSMMQDGNLNSDQRIIVDAMMKTSNVLSTLINDVMDISTKDSGRSPMEKRSIRLHSMIKEAACLAKCLCVYRGFGFSIEVDRSLPDLVYGNERRVFQVILHMVGSLLDGNDGGGTVLFRVLSENGSQERSDQRRAVWRSADADVHIRFEISIDNSNSQSEGSMSDVRLSGRRYNSHGAEERLSFSICQKLVQMMHGNIWVVQNPRGSAQSMALVIRFQIRPSMSITINESGESSEQPRSNSLFRGLQVLLADDDDVNRAVTRKLLEKLGCIVSAVSSGFECLSALGPASSPYQIVILELQMPELDGYEVAMRIRKFRSRNWPLIVAMTACTEDDVWERSLQIGMNGVIRKPVLLQELAIELRKALLQANKVV >OMO54798 pep supercontig:CCACVL1_1.0:contig14898:35394:37817:1 gene:CCACVL1_27563 transcript:OMO54798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYRRDHRSSKSALFDDFDKLEGGGLRASSSFSHEIKEHDNDKAIDGLHDRVAFLKRLTGDVHDEVESHNRMLDRMGHGMDATRGIMSGTMDRFKKVFEKKSNRRMCTLVIGFVVAFLIIYYLFRMLRYVRG >OMO54800 pep supercontig:CCACVL1_1.0:contig14898:46969:57729:1 gene:CCACVL1_27565 transcript:OMO54800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQRNAAASIASTEAIKRSKTL >OMO54792 pep supercontig:CCACVL1_1.0:contig14898:2440:3107:1 gene:CCACVL1_27557 transcript:OMO54792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor 1-related protein MILVYPVAGIDSIAYDALGALLFSGYLVLSTDDLIKRYKYDEYISAAASLYLDILNLFMRLMQILSKLKK >OMP07918 pep supercontig:CCACVL1_1.0:contig04128:1857:7795:-1 gene:CCACVL1_01194 transcript:OMP07918 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribokinase MAALHTTSFCFSGGLASYPSHNSVQMVRGKVRASGFSSPQPLSDPKLHVKVRFASLTVLFKLAKAYALSLFWLVLASEISPSIGKAFPGAAVPETKDSFLVVCFGEMLIDFVPTINGLSLADAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLADILKENNVNNEGMRFDPGARTALAFVTLRSDGEREFMFYRNPSADMLLQENELDFDLIRKAKIFHYGSISLITEPCKSAHIAAAKAAKDAGVVLSYDPNLRLPLWPSAESAREGILSIWDTADIIKISEEEISFLTQGEDPYDDAVVRKLFHPNLKLLLVTEGPDGCRYYTKEFSGRVKGLKVEAVDTTGAGDAFVAGTLTQLASDLSLLQDEDRLRDALHFANVCGALTVTERGAIPALPTREAVLNTIYKSVA >OMP11301 pep supercontig:CCACVL1_1.0:contig01398:818:1216:1 gene:CCACVL1_00579 transcript:OMP11301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDHHRIQERANRLGKSSASDPRLLFLFEFFREFYVRRQELLKKIFPRLQNEFIDIFRRVGRVLGEGKSNNDTTAVRTLQRSLSLGSPRTPSNKGGESPLRLERFKIRTVKLGDDDVQQGGQGQTKPFGSK >OMO72874 pep supercontig:CCACVL1_1.0:contig11345:3603:3695:-1 gene:CCACVL1_17544 transcript:OMO72874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERREKFLEKISEVARYMAMAYGWAYSYST >OMO72875 pep supercontig:CCACVL1_1.0:contig11345:4498:5759:1 gene:CCACVL1_17545 transcript:OMO72875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGFLRIPWFGVNPKRDSDSTLASTSSLVDGVNPKRDSDLTLASTSSLVDGVNPKRDSELTLASTSSLLDHPKHKASFEIRLWGWTLVTVPPRAVDGSNKIGAPTTINKGLKRRAQQHGVIEPPNGGAPIRFRPYVCKVPWHTGARAFLSQLFPRYGHYCGPNWSSGKDGGSLIWDRRPIDWLDFCCYCHDMGYDTHDQEKLLKADLAFLECLERPHMSTKGDPHIAHLYKTMCTAGLKNILIPYRRHLVKLQYGQPLIDFGWPIIHVDIFHYQYVGLGRTCITAVVGSMRYPDLI >OMO54754 pep supercontig:CCACVL1_1.0:contig14906:20414:24273:-1 gene:CCACVL1_27603 transcript:OMO54754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLPTRQFHPTTTKATFLDSFQSSLSKFHGRQFPFFRYNSSNFPLKSLTFYATKAFPESKTKAFPTKDPTFRSNWLDNWNKPYKRNAPKLPKTVFNYRKNGNLWRLSYSKSDDNGSASSSSSTMEKIVEKLKKFGYIGEENEQKEKGEEDPRRVIEKGSIEDIFYVEEGMLPNTRGGFSKESPLGVENVFGSNGEVRFPWEKRKVEEEEEEKRWTARRDAKTSVAELTLPEPELRRLRNLTFRTKSKMRIKGSGVTQEVVDTIHEKWKTEEIVRLKIEGAPALNMKRMHEILERKTGGLVIWRSGTSVSLYRGVSYEVPKVHLDKRVYKRNEDAGHLSTIPSPSVSDKSMDTSGLVPHKDAVSAPAKLETGVEEYKDTESLPETNYEDEVDKLLEGLGPRYTDWAGCNPLPVDADLLPGLVPGYQPPFRVLPYGVRSALGVRDATRLRRVARVLPPHFALGRSRQLQGLAVAMIKLWEKSSIVKIALKRGVQLTTSERMAEDIKKLTGGMLLARNKDFMVFYRGKNFLSPVVAEALLERERLAESLQDEEEQARLRAAALFVPKTEEPEQLGPAGTLGETLDADARWGKRLDDHHKEKVMKEAEILRHANLMRKLQKNLAFAERKVLKAERALFKVEGYLSPADRQADPESITDEERFMFRKLGLRMKAFLLLGRRGVFDGTVENMHLHWKHRELVKIIMKARSFDQVKKIALALEAESGGVLVSVDKVSKGYAIVVYRGRDYQRPSKIRPKNLLTKRRALARSIELQRREALMKHISALQDRVDKIRSEIDQMRSMEDQGDEEFYNRLDSSYLTDDDDDSEEEGDEAYLETYHSENDGEDESDELIHMETNFPFDDQHQGS >OMO54757 pep supercontig:CCACVL1_1.0:contig14906:31936:38358:-1 gene:CCACVL1_27606 transcript:OMO54757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLSGHAMMIIKKANLEFQEA >OMO54752 pep supercontig:CCACVL1_1.0:contig14906:14610:16114:1 gene:CCACVL1_27601 transcript:OMO54752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19/S15 MADVETEVAAAGVPKKRTFKKFSFRGVDLDALLDMSTDELVKLFTARARRRFQRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >OMO54753 pep supercontig:CCACVL1_1.0:contig14906:18451:19466:-1 gene:CCACVL1_27602 transcript:OMO54753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFIKQLLQGEILWYQTPPPPVSQTAFLPYSNIPRIEFGQQSAAATGCNGGMMMTSGNMNKKMIEFLMKSWSKPNTETRNSERERSFKHMMNERVRREKQKQSYFALHAKLPRGTKNDKNSIVQTATRRVQELEWLKKDLENRNYELQASLGAMNYEEKNDHEGTKITVKIDNPMSGIDSMLEVLKCLKTMDLNPRMIQSKFTTQEFLAVMDIGTQIGAAEVEKVVNITVQEAERKLQERWSR >OMO54756 pep supercontig:CCACVL1_1.0:contig14906:31536:31604:1 gene:CCACVL1_27605 transcript:OMO54756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGRESRLRFERRNGLKEMVF >OMO54758 pep supercontig:CCACVL1_1.0:contig14906:39925:43579:-1 gene:CCACVL1_27607 transcript:OMO54758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMDDALYKAVGQGRIEEFHKYNGSQLVLLRTPNHNTVLHVYLATDPTQFRFAFKFRSFINTYGLRLNPHSSSVTAILNSMPKIAPYLQSRSGFTGTTFVEQILDKCPSLVVQANAKGQTPLHIAVKYGRFAIVEVLINRAKAVREDPEDPQGIEGAREMLRKMDHESNTALHIAAGNIGKLAMILDKFKSKAAHDGPHGRTALHAAAMAGDKRTMAIILEKKGYLTRKTDENGQTPLHYAAHLGSYGVVKLLLEKDPLAAYIADTKTEMTPILMAARQGHGKIVSEIMSRCPSCCEMVHTRGWNLLHFVAIRHTPPALIGYRVEAEAIGTNYASVRNLRDSKDALGFTPVEFSIASYGGNYGIMFKTTVMPKTKKVQIVNLFEEVLSGEVAGVPVGGINEDDNLRLISSYDSDFENARQAHLVVAGLIATVTFAAAITFPGGYKGDDGPEAQLQGTPILIRKAAFRAFVISNATATVLSFGAVALHFESALLKSKLPLKMSAGCTYYALYAAIAGFISGSYVVLQPSLPLAIVVCCIGFSFFCFKGFTKFLNHLPVMIRGMIVIRSSVLFLIGFIPLLFYVFLKD >OMO54755 pep supercontig:CCACVL1_1.0:contig14906:30374:30442:-1 gene:CCACVL1_27604 transcript:OMO54755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVIMRKIDVGGLVKRYLARG >OMO54896 pep supercontig:CCACVL1_1.0:contig14871:28:762:-1 gene:CCACVL1_27487 transcript:OMO54896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKVMPTSNSRNSDLSRRPSSSNSSSTKPQSFSDQNNNSNSRLSSTMTVDGILRNVYSAAPSTETTLVDASITLIETPMPAAAAAGTSDVSHDQSVADCNNSVAKSVDEVWREIVSGERKEITMKEEAPDEMMTLEDFLAKAGAVEEAAAAATAEVKVQPDRLSGGVYAFDPVGGSTFQMLDKMEGSIVGFGNGMEIISSGGSGRGKRGRGVLMEPLDKAAQQRQRRMIKNRESAARSRERKQ >OMO49945 pep supercontig:CCACVL1_1.0:contig16352:618:1431:-1 gene:CCACVL1_30744 transcript:OMO49945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRGKEVEDVKDWSKVNEDAFIQLLIGKVREGKLQSCTFKKEVWSXINDELREVIGEDYEYELLGEIFNRTTATGKLQQLSTEDPLTDTQERRLEEEFLSGSMHIDLDTENSEVEGDRRGKKRVSDSSGHQNVKCSKTDKMDAFLDKWAATLTTREEAYKAKADSYKSSSSSGSTDPHS >OMO49946 pep supercontig:CCACVL1_1.0:contig16352:21913:23337:1 gene:CCACVL1_30745 transcript:OMO49946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDLSLMWNKLTLKDDENQVIDIDGSQDSQKFCLIGKILTKKVLKVDILRSVFFRVWKLSGGLRVQEVGDKMFLFQFDRMGERDRVLLQQPWSFNKALMVLQEFDGSVLPEDVDLDWCSFWVQIHGLPMAYMTEKTAMSIGKVAGIVEEIGMDDGKVGWGKILRVRTSLNVHRRLKRGTKINLPESGQKVVTFKYERLPNFCYFCGCLSHHESECEEAYIMKRATGKIIREYGPWLRAEWPLSGSSFQECPSGSMSVTVSELLRNGESGRRCNHDNESTAESTGRINGRVIPVTAAENKTIMGINAHHDDPLPAVTTRQEILGHVAAISESLARGSLNAGDVALVAASMGQNVGPINNVSALTQSTSSVPIRTGITGSTFVFGSNEVRAASSSKVISNWKRRARVANSKNGSGSFVSGRQVGQKRIPVEASTIDVNIDAGGKRPKEGGAYVGRVFSTDRMAEKGSISPLRQEI >OMO78608 pep supercontig:CCACVL1_1.0:contig10560:421:23865:1 gene:CCACVL1_14268 transcript:OMO78608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVMKELQLHRQSSKVFAGKHGFITPRDLFRWADRFRISGTTIEDLARDGYHLLAERLRVEDEKCVVQAVLERHLRVKLDDLYKPPVLLVGETGGGKTTVCQLLSFALGLNLHILNCHQYTETSDFLGGFYPIRDRSRLSSEYKSVTERLKLLKALTHFPQYLDISSDISHASTTLDRLDLFVNKYRQGLLSHPEVTLQDIDTLEEVKQELTLFHRQWQSMFTWQDGPLVQSMKAGDLFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGDVLERVTAHENFLVLATMNPGGDYGKKELSPALRNRFTEIWVPSVGDLSELRSIASYRLLRLELSHIVNPMVNFYEWFNQLQVGRFLTVRDLLSWIEFVDVSKLGPEHAFLHGAFLVLLDGLSLGTGLSKKDCGELRERCLSFLLELLQVTCTNFLYPELSKLENYGWGDPETPVDHNANSMPCDNIFGIDPFYIETGSEKSEAGGFEFLAPTTRKNALRVLRAMQLSKPVLLEGSPGVGKTSLIVALGKFSGHRVVRINLSEQTDMMDLLGSDLPVESNEGVKFAWSDGILLQALKEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGRTYRCPSSFRVFSCQNPSYQGGGRKGLPKSFLNRFTKVYIDELVEEDYLFICSSLYPSIPRHLLSNLISFNRRLHEETMLYHKFAQNGSPWEFNLRDVLRSCQILQGTSGQVDSFLNLIYIQRMRTAADRRQVMQLYEQIFGVKPSVNPFPRLQVNSEYLIVGSVAVKRNFMRPSRIYSELKVLPSVLCNLEAAAHCVQRGWLCILIGPPLSGKTSLIRLLAQLTGNILHELNLSSASDISELLGCFEQYNALRNFRSVVAQVDHVLNEYNSLLLETSMETFLTDRKDLIAGWLAFISDVNSDLMPSFSSICSETWKSISKYFPSLIGIIEQLKSDLEKHVLPISWTSEDLDRTMKTILKLQDHQRRPFSAKFEWITGLLIKAIENGEWIVLENANLCNPTVLDRINSLVEPDGTITVNECGVVDGKPVVLHPHSNFRMFLTVNPSFGEVSRAMRNRGVEIFMMEPYWIFDEGSGYNSEELEMKDVQRFLVLAGIPGAKLVESMAKAHVYAMVEGARLNVRITYLELARWVQLFHHLLINGNQPLWSLEISWEHTYLSSLGEAEGVNIVKHAKNAYFSVTELYRSEYSALGIALCLPGGWPMPLTLRGLAWYSKDAYVKQNCSYLGFLGAQYASHQLAISSGVYSVEEVLLRSDSKGTYLLDWKMLYRIAYPQVSEGMISDSDGKTEFNVNIVNKMMFFAANWVVEQATENDFQLYLQWFSWLSFQLEPYGQFFKSFLTLLEQERMHPIWTYIIQCRQELILLNQLDLDLHPIPMLSSELVDLTSLNHSNTSSKLLHNAIHSVSLVRLSYRQWNVESSHNFSDESRCFIPFLESLRALEEEILHMLVGSPSFDTLYQLYSNLVEDHILFWEGLVLWQLERLLISWRFLLKDAGKLKDFCPLAVNMLVSKNLAEHSLLRLQSERSLLWVHGGHPFMPPSSKLYHQQRQLLKLCELVWPTKRRFSKTVNEFPLDMVASFDPEFRFLALEGICMSSFIMTNGDEDEIKVSHQVEEVYQMLSKKFEHEKCKSLNKHGSDNATYEENLAACCILSSGMLHTRSGFDSWLDILPIVDCASCFLDMELLQELSLLLLADSEELKRGLVGISSLLESDLKYSLTHSSRPPQSFVPHQKLLWLVDAWTSVHGVHRKVSGFVLEMWFWWHSTLWSQYPASVENFSIVDGYDVPLPYLLIHPVITESVAKILQSTPDIKDFSMHGLKLKAASCVIWQISSPGTNANSFLLSSARSVFQQIIYSHRKSFDAEKFASIKSILHSSPNCLTEKSLGDVSLLIESSRHGRLKSLTPSLIEPLLRQLYLNCSTESYLNLGFAWLYIGGLRFHLLLSCDSLDPASKYSCKLSCLEEKIVSHKLELNVRQECNYLSGWSSSKESDNRIFQALEKLEIEHRKLQRKIVFRPDPGKFKALRKECDEFLVLVNSLISLVNKSEAMELQLVVDKVCNWQETASCFIDRLLNEYPEFIDVAQPIQVAVYEMKLGLSLVVSSALQKRILDKIQEDNMDRVMEFVYSFIRFPRCCSSKLVSISDGGSPNMFSSLDIPCITNFSEMELALLGKIATISSGVDAEKVSVLQLKASVYNNVLVRVAHSVATAKLMDNESFTVLDKIFSEFAHIWMHMKIQGKNQEDSDGQHYKFRARVFRIENVMEVDISALGKFLANESFIEWKELLSEEESTETIEDREKHENLEDEWSLMEESILINMINMHNQLFGSIDLVLSPGSFQITDMDRLHSFIGSYTLGVAMIKGFGGLFSSALDAKLVQEHLLRLCWEYEEKLPSSRKADHKYNFYKDSNTQIMAKMVELLTTLKQRVLTLLSEWEDHPGLQKVLELIEMLLAIPLSTPLAKALSGLQFLLNRMRMLQETGSKFSLSDQLDPLLSLVCSWQKMEFDSWPVLLDEVQDQYDINAAKLWFPLFSVLCSSEIAGDDQIQLEEFIQTSSIGEFRKRLQLLFAFLGQMMTGRRLEIYCVVEFVEANRRNIETELKEVLKLCRWDRFESQLSLDSLRKPRQKTRKLIQKYSDILQQPVMLILNEEVGQKGFKVVSPETPKPLNDTSESIKMLNAVLNLTQSLWYTNWVKEVNDTLQNLHFERIADHQCLFSGFACLSYSDEWRDVGHTLEKIGRVTMDCGNLWMDVNRNRGKKRALSELLKLLESSGLERHKFEIMKLSKPTSWLFRQPAYDVQHLLMERTRLPNGVANVASSVENCLPKENLDTDWKIANEFYFKSLAAVQLLQQISLEHHQDFTPEQVSRSVSYLIHLVIVQQMQREAVYDFARQTKTLCKYAKALESSYSCCIDLENTSGTCLFAKSQHATFICMWQQKRLFDDMDAMLVEESLLLRTVESTHFNSCQKVKASANRILSFIEEFIPVVKKSKESLDSYFIGCDGSITTLVGTIHPCVITEQMEQVVRHNFQVLQECEEQLAAFNKQDFEKSSIVDSILSHFDERFSKGKEIANQLNIASESKSLHELANSCCERCSQLEAQFGDAFRESFRHVTDVLQKLGSLSNQGCQPEASLGSITEWESLFKSYNMNFGVDELCKKLLKTIHSAENLINHSGITVSSLCFQIGALLKCIHASVDLILSFSNRFLEDFLAMHKTVSILTYGLANILAALYAKGFGVSTKDQEDDTSHDTSQNASGTGMGEGAGVNDPSEEQAASDDVPSKDQKGIEMEQDFAADTFSVSEDSGDENDEDTEDQQLESAMGETGDNSEVVDEKLWDKDNDENPNNNEKYESGPSVRDNDTSSREFRAKEDSAGTADEPEESKMDDLDKNASEIENEADLVENENTEDPSLNKEEGFADPTGLEPDKLNQSSSEDINLDQNEENDIEEENGPDEEQESAKDGNDEGCSNPADETMEEMESERNDGTSEKDERVDATTEKDDPDRHHEDSGINQMASRENDSGSNADNIPNGGAAMQSNSVPLEVRNVAPEANWSNRSDIYNDLAPQRNFPSTNDSDLSIMVADSSNGGKLADNHPKTEFPRLDAAPQKKQANPYRNVGDALQEWKERVSISVDLQDDNKEPQGEIEDENANEFGYVSEFEKGTAQALGPATAEQIDADVNVNKPEENPLGEDGNDVADMEIDEQNSEEYYSKHYSSVTKNKMYGQIQASELEESMDHQSPEARNQDGCGPGILSESLVSVRKSYLSEDVYQLKELSINEEEMGKALDLEEVSGDVKNNATALWRRYELQTTRLSQELAEQLRLVMEPTLASKLQGDYKTGKRINMKKVIPYIASHYRKDKIWLRRTRRNKRNYQVIIAVDDSHSMSESGCGEVAIKALVTVCRAMSQLEVGNLAVASFGKKGNIRLLHDFDQPFTGESGVKMISSLTFKQENTITDEPVVDLLMFLNKKLDGAVSNARLPSGQNPLQQLVLIIGDGRLHEKENLKRCVRDVLSSKRMVAFLILDSMQESIMDLQVIPIQDKNNQFKISVSKYLDSFPFPYYVVLRNIEALPNTLADLLRQWFELMQNSRE >OMP07056 pep supercontig:CCACVL1_1.0:contig04700:74:418:-1 gene:CCACVL1_01386 transcript:OMP07056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTRASKVRHGTTAISMQSRASTCPTMATGLLKRPRSACCTTLTTRLSAIICSTPRISPTGHARTIRLTDSAPTPYSAQRVAERYPLYSQAGSGRHRKMAINQRRAAHRIHHL >OMO94762 pep supercontig:CCACVL1_1.0:contig07807:44068:44697:-1 gene:CCACVL1_05850 transcript:OMO94762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDELLGNVRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFETAEAAALAYDEAALRFKGSKAKLNFPERVQARSEFGYLTTRHHQLERAPAPPAANLPPPPAPPPTNSQLPTYPNISQYAQLLSGGNNNNAGLNYAIFPDGYGGYNYTSSNTTSLSSSSSSTTSHSHSQHQQQEDYLGGFSLQFGGSSSPENRRDYHDYYYYSRDQ >OMO94756 pep supercontig:CCACVL1_1.0:contig07807:13490:16000:1 gene:CCACVL1_05844 transcript:OMO94756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floricaula/leafy protein MDPEAFTTGSFFKWDPRGVVAPTPARLMEAVVAPQPQTAAAAVAAAYLGSRPPRELGGIEELFHAYGIRYLTAAKIAELGFTVSTLLGMKEEELEEMMNSVSQIFRWELLVGERYGIKAAVRAERRRLEEEDLRRRHLVSGDTTNALDALSQEGLSEEPVQQEKEAAGSGGGGTWDLVVAAGGRNNNKKQRRRKGQKVVDHEDDMEGGEDEENGDGGCYERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCREFLIQVQNMAKERGEKCPSKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEAASNALRRAFKERGENVGAWRQACYKPLVAIAARQGWDIDAIFNAHPRLAIWYVPTKLRQLCHAERNSAAAATSSSVSAGPDHIAF >OMO94757 pep supercontig:CCACVL1_1.0:contig07807:16418:19940:-1 gene:CCACVL1_05845 transcript:OMO94757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGHASVLNRDGFRQAVVNTLERRLFYVSSFKIYGGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEENMLEVDCPCVTAEIVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCKEKLEKDANITADKAAELKHVLAVLDDLSSEELGGKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGFMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPDDKSHPKFSEVSSLEFLMFPREIQVSGQPAKVIRLGEAVSKGIVNNETLGFFIGRVYLFLVSLGIDKDRLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHTDKSGVALVAHEKFAEPREVEKLVIAPVKKELGLAFKGDQRMVCEALEVMSEKEALEMKANLESNGEVEFRVCTLEKDVTIKNNMVTISKEKKKEHQRVFTPSVIEPSFGIGRIIYCLYEHSFYTRPSKTGDEQLNVFRFPPLVAPIKCTVFPLVQNQQYEEVAKNIAQMLTAAGISYKIDMTGTSIGKRYARTDELGVPFAITVDSTSSVTIRERDSKVQIRVGVEEVASVVKEVTEGHSTWNDMLSKYHTPAAVDEE >OMO94759 pep supercontig:CCACVL1_1.0:contig07807:23500:24882:-1 gene:CCACVL1_05847 transcript:OMO94759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase/virulence factor MTEHKLTEFRAKVDAMAASPSPSPSLQPNSSVTKTNGRVFYPIGYGADPSGVQESSDAILQALNDAFQVQSSLEMLAGVSDLGGVVIDLQGGNYKISQPIRFPASGGGNFVIKGGSFRASDTFPVDRYLIEVWSPKSDQNVGFYYEDITFRDILFDSSYRGGGLFIIDSVRIRINNCFFLHFSTQGILVRRGHETYISSCFLGQHSTVGGDKGERSFSGTAIQLSSNDNSITDVAIFSAAIGILIQGQANILTGVHCYNKATGFGGVGILIKSTGSLTRIDNCYLDFNAIVMEDPFQVHVTNGFFLGDANVVLKSIEGHISGLNIVNNMFKGNPRNMVPIIQLDGQFTSINQVVIHQNNANGMGLKSTVAKMVVAGNGTKWVADFSSLLVFPDRINHFHYSFQFHDQKQQAAGGWPRFPSHAVTNSSNNVVVIESEEAVNGLVSVAVDQYNFIGETNVSF >OMO94755 pep supercontig:CCACVL1_1.0:contig07807:7079:7465:-1 gene:CCACVL1_05843 transcript:OMO94755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel, voltage gated MIVNRRQHWRRFCWTRSGERRAFTAHRELHCFITSKGDPDNYCLKWHWLRYLNNDRDCRDIVSCGSSSGVSAAFRAPVGDVHFALDGLQCVVVALFSGEVFLIQQWQWWCSGHSFKSAILGKVLRLLH >OMO94758 pep supercontig:CCACVL1_1.0:contig07807:20371:23130:1 gene:CCACVL1_05846 transcript:OMO94758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRRRRRLQISASESTTDIRIKFTRLVSRQEELKVAFNQLKSQIKIGLAEAEDVFASLAVPLMKLVGLKTEEMADEGRFTTIIVDNDLSPERSTSRITSDITYCRWRRMEQSDSQKDEVLCSVEDGMQGLMQDLTEQMCNPMVEYVKSLKADLKTGTCANLLAVVDEMECSTRNGRIELEDVRKRLRVAEEGRIKALCQLKETEGKLRRMKEYQEFLAEIQQGHMEHLVSRKRKMRSFRSPGSSMGPNDILFNGSNMKHHQSTKGRPSFSNRPVIVSHLQSSRIPSGLSPSCAIQQVNSRKCISPRLLNP >OMO94760 pep supercontig:CCACVL1_1.0:contig07807:29145:33774:1 gene:CCACVL1_05848 transcript:OMO94760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MEGLCMHCKSKPEEEERVDCVLCNATWHDICLFNLREMYEFTESDWGCPDCNDKNNPKEQGAAAAAAAEGINMFGNATAPPNNSSTCSSSSGGTMVAKILAIQADPSLTEEEKARKRQELLGGNEKGKTLALTAASDDESFNCCICFNMLDRPVSTPCGHNLCLKCFVQSVKKSGKTNSCPVCRNLIPSEMAKQPRINVTLVIAIRSAKMSWNRRRHLINSDHQGPSSSTDYVRNEDKPEEAYTTERAKKGGIANAKSGQIFVSVKNDHFGPILAEQDLKRNLGVEVGETWGNRLECRQWGVHLPQIKGIAGQKDQGAQSVILSGGYEDDEDHGDWFLYTGSGGRDLSGNKRTNKNQSFHQDFKKANQALLLSCTKGYPVRVVRSWKDKHSAYAPEQGLRYDGIYRVEECWQHVGLQGFKVCRFLFVRCDNAPAPWTSDEHGDRPRPLPVIKELENATDIVERTESPSWDFDEVDGCWKWMKPPPVSKYKEYTGNSSGRKRSSSIDKPVLSEAAKKRLRKQYGCPICHQVLEMPVNIELKNLILQQVGEIQEDSDDC >OMO94761 pep supercontig:CCACVL1_1.0:contig07807:35108:36663:1 gene:CCACVL1_05849 transcript:OMO94761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transporter, Pam16 MASRLLANLIVMGSTIVGRAVLQAYRQALANASKSGVAQETVQNIRRASKVMAEPEARQILGVAEHSSWEEILQKYNGLFEQNAKNGSFYLQSKVHRAKECLEAVYQKKGPGNMDVRSVGIYHGRTDF >OMO90723 pep supercontig:CCACVL1_1.0:contig08439:5100:5240:-1 gene:CCACVL1_07309 transcript:OMO90723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPEPIGWPRCYTPSSPLKTSPLSAVTIPSLLKTNMAFEFSFILH >OMO90722 pep supercontig:CCACVL1_1.0:contig08439:520:4751:1 gene:CCACVL1_07308 transcript:OMO90722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTSHPHPFPPALNRRRRPAEILKPIRVSPISASKPETSASSKNAGEETSRLTVTERRREERGLRNSGELKQREARERREEVNRKIASKKAISVILRREATKALIEKKRGPNNSKKLLPRTVLEALHERITALRWESALQVFELLREQLWYRPNPAIYMKLIVMLGKCKQPEKAHDLFQAMIDEGCAVNHEAYTALLSAYSRSGLFDKAFLLLEEMKRTPNCHPDVQTYSILIKSCLQVSAFDKVQVLLSDMASQRIRPNTVTYNTLIDAYGKAKMFQEMEMTLVKMLREKDCEPDVWTMNSTMRAFGSSGQIETMEKCYEKFQSAGIQPNIKTFNILLDSYGKTGNYEKMSAVMEYMQKYHYSWTIVTYNVVIDAFGRAGDLKQMEYLFRLMRSERIKPSCVTLCSLVRAYGQAGKAEKIAGVLRFIENSDVMLDTVFFNCLVDAYGRMGHFAEMKGVVEMMKQKRYKPDKVTYRTMIKAYSIAGMTSHAKELRHLVESAASGSPLGMPKPDFREAEHYRSRIPEF >OMO90724 pep supercontig:CCACVL1_1.0:contig08439:7305:9008:1 gene:CCACVL1_07310 transcript:OMO90724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MKGKIVVSVLSIILVVGVAIGVVVVVHHHKLLKRGLSPQAKAVINFCTATDYLEACDKTLGTLNSTDPKEYIAHAILAAQDAVKKHFNLFESTAIVVNNNNNSRTKMALDDCRDMMDLAVQSLQASYSEVGDAQLHTMGDRINDLRTWLSAVISYQQSCLDGFDHDPTHKSSIQDEATFTDATQLTANALAIVTKLQEILSNLGLHLNNIPNASSPSRRLLLSSHHHHLYYPQWFSASDRKLLGRIDNGNLRPDAVVAKDGSGHFKTIAAALAAAPKNSPRRHTIYVKAGIYDEYITVDKKTTNILMYGDGPRKTIVTGHKNYVDGTSTWQSATFSAIGDGFIARSMGFQNTAGPQKHQAVALRVQSDKSAFFNCRMDGYQDTLYNQANRQFFRNCVISGTVDFIFGDGPTIIQNSLIIVRRPLDGQSCTVTAQGKTVANENSGTVIQNCRIVPEQKLFADRFNIKTYLGRPWKKFSTTVVMESMLADFIQAEGWLAWQGEYFQDTLYYAEYNNRGPGSNLDRRVNWKGYHKIDKTTAQRFTVQAFLNARDNWLPTLGIPYISGFKF >OMP06578 pep supercontig:CCACVL1_1.0:contig04877:1205:6300:1 gene:CCACVL1_01498 transcript:OMP06578 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MVGERLKKRSLWNELPPRLIQLMRSFLRERRNILLMMCPLPLENISEQPSPQPQTAGLGQQPGMFHDVPRRRRNAGPSSQAVYPPQYGYPYGYQHYSPFQFTPQNAPPPPPNTSQVVPPQHMHPSAPFQPTRATTVTTSGRSRDLAKKLKDAKTMGCKDFSGENDDVEPIDWVEYINKTLTDLECTDSEKLKIATRLLIKSAKVWWDNVEVRYLEGVTWADFLKEFVQEYYPKEERDDKLAQFYSLEQGDMTVKEYKAELRKLFRHLPPESRRDIQLSAKFSQGLQLDIRERMTVTPSQTYKDKVMSAVRAEKLILERSLSSGGKFWGKRKTDSSSDQSSKKSKSTASSGGNSYASSVGSPRKPQPSRFGKSKTSISSTIKGQHREGSQTTQYRFTPRNNQRAIQAQASTATTSGSGAGGNTTLQNSSKPVTRAQSRLYSMTQEEAATRPEVITGTLTIFGRDAYVLIDSGSERSFISNAFAGYSDRDLSPLDCDLVVHTSLGEEIFKNVVFKDCPIVVGRAILYANLIPLELKDFDAILGMDWLEKHYANVDCHTKVVQFRRPRKRMVQFVGERRVLPSCVISAIETRKLLSKGCPAYLAHIIDTTTPTPIIEHIPIVNEFPDIFPEELPGLPPEREFDFTIDLHPGTDPISITPYRMAPTELKELKTQLQELLDKGFIRPSVSPWGAPVLFVKKKDRSLRLCIDYRQLNRVTGKNRYPLPRIDDLFDQLQVMPFGLTNAPAAFMDLMNRVFHLYHDKFVIVFIDDILVYSRNENEHANHLRIVLQTLREHELYAKLSKCEFWLQEVGFLGHVVSRDGIYVDSGKVEAILNWERPKTVTEIRSFLRLAGYYRRFVEDFSLIAAPLTRLTRKGVRFVWDERLMPPNKGLGCVLMQDGKVVANASRQLKKHEENYPTHDLELAAVVFALKIWRHYLYGETCRIFTDHKSLKYIMTQSELNLRQRRWLELIKDYDLIIDYHPGKANVVADALSRKASNSSALAAIKVSYFPQLIGIRSLGVTLEIEHNSAALIARFEVRPTLTDKIKERQQNDDKLMEEFRKLWSGEATEYRIRNDGILLFKERICIPKDDVIRKAILEEAHSSAFAMHPGSTKMYQTIKESYWWPGMKREIAEFVARCLICQQVKAEHQKPTGTLQPLPIPQWKWEHITMDFVVGLPRTQAGNNVIWVIVDRLTKAAHFLPIRNGWTLEHLAKFWDVHLPLIEFAYNNSYHASIGMPPYEVLYGRKCRTPLCWDEVGERQLLGRELVEITAGKVKIIRDHLKVAQDRQKSYADIRRKELEFEIGDKVFLKVAPWKGVIRFRKAGKLNPRYIGPFIITQQIGPVAYRLDLSSELERIHDVFHISMLKKYVPDDSHILEAPPIELKENMSFEVQPIEILDRQIRFLRNKQIPLVKVLWQSGEIEEMTWETEEA >OMP06579 pep supercontig:CCACVL1_1.0:contig04877:6860:7553:1 gene:CCACVL1_01499 transcript:OMP06579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGILNSWKPKDWNGDDSGRNGPLWWPVASCKVGFTTNFRGCPTMPSRQPQAAAKHALGHPSGHIKAFGLKSLLWRIGLIMAPCVMIQNFLIQVQTHGITRLTRQPDNRPD >OMO89810 pep supercontig:CCACVL1_1.0:contig08603:4801:4863:-1 gene:CCACVL1_07619 transcript:OMO89810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLAWTALPAPNSFDTLVL >OMO89809 pep supercontig:CCACVL1_1.0:contig08603:1687:3722:1 gene:CCACVL1_07618 transcript:OMO89809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MGSSLNVEVDVGDGIREGRSKKSRWERVLDLREAKTQVKFAVPMVLCNVFYFSITMVSVMFAGHLGKLELAGSTLANSWATVTGFAFMTGLSGALETLCGQGFGAKMYRMLGLYLQSSCIISFLFSVIISVLWFYTEPVLVLLHQDPEISRTAALYMKYLIPGLFAYGFVQNILRFLQTQSIVLPLVLFSVIPLGIHFGIVYCLVNKTSLGFKGAPLAASISLWISFILLAIYVAFAKKFENTWRGLSFESFQHILRNLKLALPSAAMVCLEYWAFEILVFLAGLMPNSEITTSLIAICVNTETIAYMFTYGLSAAASTRVSNELGAGNPDRAKHAMAVTLKISVILAFAVVITLAFGHDIWAGFFSDSHSIIKKFAEMTPLLAVSISIDAIQGVLSGVARGSGWQHLAVWANLGTFYFIGMPIAVVLGFKFKLYVKGLWIGLICGLSCQAATLMLITLRRKWTKIDLSEGRKVETPISA >OMO55435 pep supercontig:CCACVL1_1.0:contig14695:3069:3137:1 gene:CCACVL1_27247 transcript:OMO55435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GWIEDEIDALIDAKLEDWYEHED >OMO51201 pep supercontig:CCACVL1_1.0:contig15935:2111:2224:1 gene:CCACVL1_29938 transcript:OMO51201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LALVTKEKPRNHIGTAMPAQQKGEKSCEFAARRESLT >OMO49436 pep supercontig:CCACVL1_1.0:contig16504:1218:1520:-1 gene:CCACVL1_31002 transcript:OMO49436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 AMWTVHSTNKNPNYFPEPEKFDPSRFEGNGPAPYTFVPFGGGPQMCPGKEYARLEILTFIHNLVTKFKWNKLNPNEKISYLSSAVPEEGLPIKLQPITIN >OMP10473 pep supercontig:CCACVL1_1.0:contig02455:889:948:1 gene:CCACVL1_00955 transcript:OMP10473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVEVKEFVSESHYRELHK >OMP00291 pep supercontig:CCACVL1_1.0:contig06692:105:10372:1 gene:CCACVL1_03395 transcript:OMP00291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 35 YYFEGNYDLVKFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYIPGINFRTNNGPFKAQMQKFTKKIVDMMKAERLFETQGGPIILSQIENEYGPMEYELCSPGEAYSDRAAQMAVGLGTGVPWVMCKQDDAPDPIILGQIGLTEEEGKLLVSFGVPGSRVISKANLHFISRYNQIKPTWRVFD >OMP00293 pep supercontig:CCACVL1_1.0:contig06692:21852:21968:1 gene:CCACVL1_03397 transcript:OMP00293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAARPRCNNRLGLPVVYAPYLQYFPYPNSNPVEAI >OMP00292 pep supercontig:CCACVL1_1.0:contig06692:19189:21420:1 gene:CCACVL1_03396 transcript:OMP00292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellular retinaldehyde binding/alpha-tocopherol transport MANETPTPAPPVEAPPPAPPAPEVTKSDAPAVLEKDDPSPPSPAVAVSVSVTESASTAIAETEEQTPPPPTEPDTTDCVEKVEQPPPPPLQPVESESEPPAVTEKIKEEEAPAATENTKDEEAPAVTEKAEEKVIPSVTEKTKEEVAPEATPAQAAATVVESKSELPQPPTPPPQEVVSESRSLAAMMEKDEAGAPEPTLSTTTTTTSAEEDVAVVEDQKKIPQNLGSFKEESNKVADLSDTERKALEELKQLVQEALDNHLFTSETKSEENPEKEKKEEAKEVSIWGIPLLKDDRSDVILLKFLRARDFKVKDAFAMIKSTIQWRKDFGIEELLDEDLGDDMDKVVFMHGQDREGHPVCYNVYGEFQNKDLYQKAFSDEEKRMKFLRWRIQFLEKSIRKLDFSPGGVCTIFQVSDLKNSPGPGKRELRIATKQALQLLQDNYPEFVAKQVFVNVPWWYLAFYTMISPFLTQRTKSKFVFAGPAKSAETLFKYISPEQVPIQYGGLSVDYCDCNPDFSDDDPATELTVKPGTKQTVEITIYEKCVLVWELRVVGWEVSYGAEFMPNAKDSYTVIIQKPTKMSPKDEPVVSQSFKVGELGKVLLTVDNPTSKKKKLLYRFKVKPLSD >OMP00294 pep supercontig:CCACVL1_1.0:contig06692:36777:38994:-1 gene:CCACVL1_03398 transcript:OMP00294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellular retinaldehyde binding/alpha-tocopherol transport MAEETQKPEAAAAAAAAAPPAEEVVVEKPEFIEKEPPAPAAAVPEPEPEPACAPAAPVVEEKDKETEVEVEVEEEKPKVEEKESKITQSESFKEETNIVGELPEPQKKALEELKQLIQDALNKHEFTAPPPPPPAKEEEKPVVVEEKKEEKADAPADAPAEACEEPKVEKTEEKTEEVCEKVETEAAPAVAAAAEVKEEEKTPAPPAEEEKTPTPPAEAPAETVVVTEVVEKVTAVVDDDGAKTVEAIEETVVAVSAPPPAEEEASACKTEEAPIAEEPPKEPEVPPPPPEEVSIWGIPLLADERSDVILLKFLRARDFKVKDAFTMIKNTVRWRKEFGIESLLEEDLGNELEKVVFMQGFDKEGHPVCYNVYGEFLNKELYQNTFADEEKRSKFLRWRIQFLEKSIRKLDFSPSGINTIVQVNDLKNSPGPGKKELRQATRQALNLLQDNYPEFVAKQVFINVPWWYLAFNRMISPFLTQRTKSKFVFAGPAKSAETLFRYIAPEQVPVQYGGLSREGEQEFTVADAVTEVTIKPASKHCVEFPITEKCNLVWELRVVGWDVNYGAEFVPSAEDGYTVIVSKARKVAPADEAIICDSFKTGEPGKAVLTIDNQTSKKKKLLYRSKTKPYCD >OMO79292 pep supercontig:CCACVL1_1.0:contig10450:2236:3429:1 gene:CCACVL1_13779 transcript:OMO79292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRGHRRNKLTYPFVLKACAGAEALQEGRQAHGEIVKHGLDNDVYVQNNLVNFYGCCKEIVDANKVFDEMSERTVVSWNAVITASVENFRVKDAIGYFIKMRDCGFAPDETTMVILFSACAQLGYLSLGRVLHSLVIVRGLSLNCQLGTALVDMYAKSGNVSYSSRVFDRMEEKNVWTWSAMILGFAQHGFAKEALELFGEMMRSSCTRPNYVTFLGVLCACSHSGLVDDGYRYFHEMEHVHGIKPMMVHYAAMVDVLGRAGRLKDAYTFIINMPVEPEPILWRTLLSACIIHKANDTDGVGDEVRKRLLELEPRRSGNLVLVANMYAEAGMWDRAANIRKVMRDGRLKKMAGESCIELNGSIYPFLSGYDSQSDFDGIYSLLDTLSLQMKMINHL >OMO79293 pep supercontig:CCACVL1_1.0:contig10450:5084:6700:-1 gene:CCACVL1_13780 transcript:OMO79293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MEAMSNEGSSLTAPLIEEVQKEGLLESKLEEVDSISAHSSARKTSVFRTCFNVLNGLSGVGILSIPYALASGGWLSLILLFGIATAAFYSGLLIQRCMDADSTIRTYPDIGERAFGNIGRLVASIFMYIELYFVATGFLILEGDNLQNLFPSVEFEVGGLYVGGKQGFIIIVAIIILPSVWLDNLSLLSYVSASGVIASAIILGSIIWTGAFDGIGFQHKGTLINWEGIPTAVSLYAFCYCAHPVFPTLYTSMKKRHQFSNVLIVCFVLCTISYASMAIFGYLMFGPNVQSQITLNLPTEKLSSRVAIYTTLVNPIAKYALMVTPIVNATKTWFPSHCNKRFLSLLIGTTLVISTALVALAVPFFGSLMSLVGAFLSISASIILPCLCYLKISGIYQEFGCEMVSIWVIVLMGVTVVIFGTYTSVVDIVGHL >OMO98606 pep supercontig:CCACVL1_1.0:contig07071:6230:7283:1 gene:CCACVL1_04155 transcript:OMO98606 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MGETDSFFSDKSAKIFVAGHRGLVGSAIVRKLQSLGFTNLVLRTHAELDLTRQSDVESFFATEKPQHVILAAAKVGGIHANNTYPADFIAINLQIQTNVIDSSYRHGVKKLLFLGSSCIYPKFAPQPIPENALLSGPLEPTNEWYAVAKIAGIKMCQAYRIQHGFDAISAMPTNLYGPNDNFHPENSHVLPALMRRFHKAKVEGAKEVVVWGTGSPLREFLHVDDLADAVVYLMGNYSGLEHVNVGSGKEVTIKELAELVKEVVGFEGELVWDSSKPDGTPRKLMDNSKLASIGWNAKISLKDGLVDTYKWYLENVKQ >OMO98607 pep supercontig:CCACVL1_1.0:contig07071:11847:12014:1 gene:CCACVL1_04156 transcript:OMO98607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKGLSRPANITTVATATATVAASTSFHYQKLKMKIKKRACSKDRTSKEMGFLL >OMO98605 pep supercontig:CCACVL1_1.0:contig07071:2542:3738:1 gene:CCACVL1_04153 transcript:OMO98605 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase MGLDMDSPSPPPHSPIGFEGFEKRLEITFLDAPIFKDPNGLGLRALSKAQIDSILEPACCTIVSQLSNPNFDSYVLSESSLFVYPNKIILKTCGTTKLLLSIPPILKLSNSLSLTVSRVNYSRGTFIFPDHQPAPHRNFSEEVAALNEFFSDFTTGAYVIGDPKLPTRSWHVYSAVAKCSPPMMEDQKGGVITLEMCMTGLDSEKASVFYRNSGNGNRSAREMTKESGIADIIPGHVICDFDFDPCGYSMNGIEGFAYSTIHVTPEDGFSYASYEAMGLDLDNDADEAVKLGPLVKRVLTCFCPNEFSIAVTCHGRGARLWATEGADVEGYTCQYVVKQELPGGGCVVYWSYYQSSKDERCPVLPVPAKVSWQCWKKVAEEEDEMVAGAAVRQCISSA >OMO98609 pep supercontig:CCACVL1_1.0:contig07071:15348:21010:-1 gene:CCACVL1_04158 transcript:OMO98609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLTLTPALTQIAAVEAISLSTTEEAN >OMO98608 pep supercontig:CCACVL1_1.0:contig07071:12760:12876:-1 gene:CCACVL1_04157 transcript:OMO98608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEMGIREMMKGKQGKKKRTEVACANLANVRLPGQVK >OMO98610 pep supercontig:CCACVL1_1.0:contig07071:24590:25596:1 gene:CCACVL1_04159 transcript:OMO98610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine amidotransferase type 1 MKISTTAGEERRYALLLAARDSDYVKKVYGGYFNVFLAAFGEEGERWDLFRVVDGEFPDMNELDKYDGFVVSGSPYDAYGNDYWILKLCFLLQTLDAMEKKVLGICFGHQVLCRSLGGKVGKACTGWDIGLRKIKMVKDLSGSNFMEELNDEEIPNSLSIIECHQDEVWEIPMGAQVIAFSEKTGVEMFAIGNHILGIQGHPEYTKDILFNLIDRLLNSNSIENDFAEKAKLGLEIAEPDRKCWEKICRNFLKRRQDFSLFSDQI >OMO69290 pep supercontig:CCACVL1_1.0:contig12077:2393:2518:-1 gene:CCACVL1_19569 transcript:OMO69290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCKPSRNFGMMKTSTWIAHNPFPETKSLAIRIARTRLLFQG >OMP12034 pep supercontig:CCACVL1_1.0:contig00541:5140:5311:-1 gene:CCACVL1_00168 transcript:OMP12034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde oxidase/xanthine dehydrogenase, molybdopterin binding protein IEGAFVQGIGFFMLEEYPTNSNGLVTAEGTWDYKIPTVDTIPKQFNVEILNSGHHKK >OMP12033 pep supercontig:CCACVL1_1.0:contig00541:688:795:-1 gene:CCACVL1_00167 transcript:OMP12033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVNPHHISFLEYLVHSDRICLAPANKLVSFIF >OMP11770 pep supercontig:CCACVL1_1.0:contig00897:1271:1336:1 gene:CCACVL1_00279 transcript:OMP11770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLMILNSPSTKKAPDIDIVADM >OMO57234 pep supercontig:CCACVL1_1.0:contig14423:40189:41776:1 gene:CCACVL1_25892 transcript:OMO57234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator p15 MSYRGKRKDGEDQASDENEHAPPKKTSKFTAAAADSDDSDGIVVCEISKNRRVTVRNWNGKIWVDIREFYVKDGKQLPGKKGISLSLDQWNVLRDHAEEIDKVLADNS >OMO57237 pep supercontig:CCACVL1_1.0:contig14423:49936:50601:-1 gene:CCACVL1_25895 transcript:OMO57237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MAVEDINGDNNNNNNNSNMNDLSLPGDDLEEFFGFESQLCVPQDSLDDIDWFPNFTDEFISLDGIFLTPEHDLLSEDCSAWKNKKRPRAAVVEEQGVKNNSIVPFATKKQRSKRSGGGYGKRGCWSAKQLEEKDYNYSTKSEELDEEWNKEKRKCSHCRSEKTPQWRMGPLGPKTLCNACGVRYKSGRLVAEYRPAASPTFDSVKHSNFHKKILRRKGFKP >OMO57236 pep supercontig:CCACVL1_1.0:contig14423:45907:47924:-1 gene:CCACVL1_25894 transcript:OMO57236 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAUS augmin-like complex subunit 1 MNEMISGVGDPVVTDATKGGGSDAARISEVKAWLAAQFESAGKEVPDFEYTPRSIAHLHNLATVSQAKSQAANIVANDFRQKAAEYRSQAARIREILESVGLAQESLPSNVVASAQVLANVANLLNIRDTELSSFLVAMGDLSLRKTGVEEKRAKVHKESKTLLEYTRKAIARLTYLKRTLAQLEDDVAPCEAQMENWKTNLGVMASKERQYMQQYNNYKALLNRVGYTPEINHGVLVEMDEHRKDLEKKTKPILDTLRSYQDLPPDKALAALAIEDKRRQYAAAEKYLEDVLQTALATSD >OMO57238 pep supercontig:CCACVL1_1.0:contig14423:52317:52421:-1 gene:CCACVL1_25896 transcript:OMO57238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NTFRSTPEPNRTPFWYGRTGTRITGFPSILVTLV >OMO57233 pep supercontig:CCACVL1_1.0:contig14423:32313:39212:1 gene:CCACVL1_25891 transcript:OMO57233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubinuclein/Yemanuclein MEEADKSIGAGGESSSRVSASSSSSWLFASRQRFTIELRPGETTIVSWKRLIKDAQNTSPPFTAPKTEDSLDECFKDNKSTIKQNGFPVNSDKLECLNEPVLSVAQQSRKRNKNIVKAQGEKVNDHLPSKHAKLEEGKLNFTAKNAVLEGSSTLSQNLTTNSERDHKLHNLLSSPVRSSVKKAADIGHKSEHSSYTEISNNDASISPLNSQDADKSSTATIHSRDIGNNLNSGTTHQKHLEKSYCKQIESSVRKLVTDNDEVEISTKVEQREKRETCGELPDLNLPVFPVQPEKSPSLHSKDVSNLRPKGTMLERAIRELEKVVAESRLATMEVQDVDASSAAIKRRLPREVKLKLAKVARLASSQGKISEELINRLMSILGHSVQLRTLKEVLGSEGKVLLKEQYSMDHALEDKICDLYDLYVQGMDEDKGPQIRKLYVELAELWPNGIMDNHGIKNAIRRAKERRRALCDYDKVREKERRKKSTQKMEVGVQGEASAFSQEQAVQERQTSQLSSHVLALPCRTSSFTGTMDEHHDAPLEFSSISLKGSSLDNSQQEKIEKMTIPMLKEQMKQQQRELDNNRMKKLSLKLDKESRQSHKRAIEHPDAASYELAAPPSCGRPV >OMO57235 pep supercontig:CCACVL1_1.0:contig14423:43074:44942:1 gene:CCACVL1_25893 transcript:OMO57235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSLLGPPQLYLPTPPPSKPLQPQTPSDSHEAKTKPHMGRTENGSATFLSSGNPCLDFFFHVVPDTPPESLKERLRLAWDHNPLTTLKLICNLRGVRGTGKSDKEGFFTAASWLHQHHPKTLACNLDSLADFGCFKDLPEMLYRLLEGFDIRKTRKEEWSKRKLGVKKTTMMKPHRKTKKKKKEPKEVRILNSLERNRIEKEKASVLRKQKKIAMAKKALDRYSRDPDFRFLYERVSDVFAECLKADMKSMKSNKLRKIGLASKWCPSIDSAFDKSTLLCEGIAKKMFTRKKYPEYVGMEEAHYAYKVRDRLRKEVLVPLHKVLELPEVYIGANQWDLIPYNRVASVAMKFYKEKFLTHDKERFSEYLEDVKAGKSTIAAGALLPHEIIASLKDKDGREVAELQWQRMVNDLLQKGKLRNCMAICDVSGSMEGIPMEVSVALGVLVSELSEEPWKGKLITFSKNPKLEMVEGETLKEKTRFVRHMDWGMNTNFQKVFKLILKVAVKGKLKPEQMIKRLFVFSDMEFDKASANSWETDYQKIVRKFTKKGYGESIPQIVFWNLRDSKATPVPGTQNGVALVSGFSKNLLKMFLDQDGDINPEAVMEAAISGEEYQKLVVLD >OMO57232 pep supercontig:CCACVL1_1.0:contig14423:21708:30270:-1 gene:CCACVL1_25890 transcript:OMO57232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKVIEAEKQAAKDLIREKRKDRALLALKKKKAQEELLKQVDTWLLNVEQQLADIELASKQKAVSESLKAGNNAIKAIQSEINLDDVQKLMDDTEEAKAYQDEINAILGEKLSAEDEEEILAEFENLETEMAVHDMPEVPTTAAEEQDLDLPDVPTKKPVSSNDAEIASAEVSTKRKAEVFMLVRRNYCRMKEKSGTPFQFKTLGTNKLDTAMLPETSGSSFLLALPDDVFAIIAGSLSSRDICNLSLCCKSLSAIVASEKIWLSQCDSLGIVPMRDLVDWREGVSSYKALCRFLVSVKPLLGIWVHQNPELGNVVYVMPGFVSVIGCRIIPQELGPLGIEDGPILWAPVFEIIGDFDGSVTFFLHGRDKGCDYFYPGSVKSVERNCNVLLLEVEPKEKRTVPKLFPSKSFVQHSGEEVSRKVCRSNSGLSRSHGVLGQSETTVPFSRLAFGDRRKLLETVTSQVRQKVPDFVNGPLFPRLREDVENFQKDVVLLLQRRLILLKMYRFGCDHDCTDLKATTEMPVDPTQLELSDIRRTLDRSRSYSNSLNGDDGQTHGNNRKTIGGYFRASIKQFLRKSSSINAAQANSKYNSSSSESRHAQLHDFLKSGDTIGLTLHASVVKLSSYRAWPNMHDSRFALYKLPLRVPREDQEYAGLWGGTFGWPPGRPTEDKPGKALFFLLLSYEETEGKRLLIATKILEGTHYVLHPNGSAMFIVNIDEPSMDPFPWDKDADSQPVNVEHAFMGEGIANGYGFRYPGSKPGSLFIIQNGLLAFIWKESRAVLTLQRLDLQQLLKKGERVPALPPIANFSYLTKSYSNVFAGFSNPSACSSSLRQKHT >OMO57230 pep supercontig:CCACVL1_1.0:contig14423:3929:4075:-1 gene:CCACVL1_25888 transcript:OMO57230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGVKYYGIRSLWQKGMRRDVKYYGIRSLWRNDMGRGVKHYGIKSMA >OMO57231 pep supercontig:CCACVL1_1.0:contig14423:11965:20249:-1 gene:CCACVL1_25889 transcript:OMO57231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylcysteine lyase MASKPSFRFLILILSLQSFSIFCLSTNAPSPPTVCIVGGGIGGSSVAHFLRQYFHPTPTQPTPPSIKIFERRSVVGGRMGLVNIGGETFEAGASILHPKNYHARNYSKLLGLKVKPPPASEDDDSMSLGIWDGKKFVFKTFQVDSKFPFVQKIVSLVNNVYLFFRYGFSLLKMNSFVESTVDSFLKYYESPETRPVFETVDEMLKWAGLYNLTTRPLLDELVDIKLSPLLIEELITVITRINYGQSVYISGLAGAVSLAGSGGGLWSIEGGNWQMAAGLINTSDVSLHLSEVIESISYLGEYYELNSTKGNSYSCEVAVVATPLDEVNIQFTPSISIPERKLQHTHTTFVRGLLNPAYFGLSTVAQIPELIGTLEDPDIPFSSISVLKCYDEKDVTYKVFSREPMADALLDSIFSTRQETIRINWGAYPHYEAPEVFAPFILDGQHLYYVNAFENAASTMETMAVAAENVARLILSRYFNGASLHSSNLKKQQNPIFFSETSQNIQITLSVRIPNVDTKSEFEYIFIRIDAKEAVDIGRESKQEDGFHVSPKLPRRKRLLALRIMVLPIAVNLPTPGSLESCVHGSCMDAILTGDDQPTTKVSGPSSPSNMHKPRSIDISPCILLCGSLSLEETMSTCNSLISPEFDYVENEDVLVVKSDREEGKQQSAHIRACAEREGLPEKYTLGDGTNENADGFDKTSTDPQFCAPIARDIYMNPRASEAKKRPSVNFMEMIQKDINACMRAVLIDWRVEILQMESAVLNYLEL >OMO88159 pep supercontig:CCACVL1_1.0:contig09096:38731:43776:1 gene:CCACVL1_08543 transcript:OMO88159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPFLTPTTVAIKTPAAANRTQPLPSSFSPLPSV >OMO49654 pep supercontig:CCACVL1_1.0:contig16446:29069:31258:-1 gene:CCACVL1_30877 transcript:OMO49654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NILRDDFGHLKVADFGVSKLLKVAKTVKEDRPVTSQETSWRYVAAEVCRNEEYDTKVDVFSFALILQEVN >OMO49650 pep supercontig:CCACVL1_1.0:contig16446:3883:4464:-1 gene:CCACVL1_30873 transcript:OMO49650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MGRYLRYYGHGVNLILIITIVTQITLLVFLVSSKSVEADKDDDKTIVRKLCSQSLEPEPCLDCILSDKGRGTSNISDLAYSIMFCMYSEETHAHDSADQLFQNTTEVGLKIAFEVCKDTLFSASNTLWDGLTKLEVSDYQNALVSARISQANLFQCVSAFRKYADVTIPSELVEHMVVAKRLYDVVRFMFYLI >OMO49652 pep supercontig:CCACVL1_1.0:contig16446:18076:20455:-1 gene:CCACVL1_30875 transcript:OMO49652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK MSFHQQYLNSTTAQSLSFDDIAELFSLPLYDAASTLGVCASVLKKICRENGLDRWPHRKYLSGKSIEEIKKNAAKEKRKELNELSKIHRLSSSQQQNNEVSKLQGVAAQPNLQQQGTKNIQTGQPLNFGHQSLMTGMTTSDEFKYGFPSDGLSIITNKWWGSSKSDDNDDINVDGTETDGEDKNQSIEKPDGADGKPEENGKVEDGVGPQGSGLLTAVRKRAVEEGREALKLGVYRRCGMKRLGKKEASLLLRIFKSSLPKEWLHGPS >OMO49653 pep supercontig:CCACVL1_1.0:contig16446:22541:27655:-1 gene:CCACVL1_30876 transcript:OMO49653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEEDTIILLEGDGLTDSLKGLSSTEVHNVVGWRDFYFKSCRVEDSLNSFNSGG >OMO49651 pep supercontig:CCACVL1_1.0:contig16446:9755:12837:-1 gene:CCACVL1_30874 transcript:OMO49651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDGALNAELSKKTSFLGLKLWVLIGISVGAFIVLILGILSVWVTFRRRSRRSVDKFSLSQIPNVSKDIRVDKVGVQSFNDHPESLFLSINDKSSDKNSEKMLSHLGMSKSSDPDNISQCSSIYHHERGFSSHSGEEGSSGTVRKQSALSYGGLVTASPLVGLPEISHLGWGHWFTLRDLQLATNRFSAENVLGEGGYGVVYRGKLINGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNNGNLEQWLHGAMRQHGSLTWEARMKVILGTAKAYVAPEYANTGLLNERSDIYSFGVLLLEAVTGRDPVDYGRPANEVNLVEWLKMMVGTRRAEEVVDPNLEVRPTTRALKRALLVALRCVDPDADKRPKMSQVVRMLEADEYPFREDRRNRKSRTASMEIEAMKESNDVETKGGESQSNVTEKTH >OMO59745 pep supercontig:CCACVL1_1.0:contig13891:11041:13517:1 gene:CCACVL1_24642 transcript:OMO59745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Engulfment/cell motility, ELMO MNVDEDEVYWRQKKGDEELEWSHSSTHVISQLTQCFTNAMTGPRSWLGGLFNRMGNRRNEKYLDYTMSPIQEQRLQKLQERLQTPFDETRPDHQEALRALWHIAFPDVALKGLISEQWKDMGWQGPNPSTDFR >OMO70191 pep supercontig:CCACVL1_1.0:contig11858:2387:5400:-1 gene:CCACVL1_19062 transcript:OMO70191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRIIARAEFNHNIYFPLQLFQQDGVF >OMO70192 pep supercontig:CCACVL1_1.0:contig11858:17154:17435:1 gene:CCACVL1_19063 transcript:OMO70192 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinase family protein MAQLLLYTKRYMFLPTTLLPLAVKVLDLDRCNDGNLDKIRRETQSWSSSKRRLPDDDDDDIMKSRF >OMO79171 pep supercontig:CCACVL1_1.0:contig10475:2093:2947:-1 gene:CCACVL1_13867 transcript:OMO79171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAFCNAWNLSKDLVRAKFNGDEASKARSSRILRVSQSTRRQLVFWVGGNRCKANLMSLAQSVKRGEAVGHGDGSIVDPLMWIIGAYFVEAGVHDRGKQQLVHTLSTPKEKLSLMRVEMEKVVNDYGWFGLNGKLRKSTKVRHSKSKELVVAEGGVNQGSTSTQGNCQLNFSILRICILLKILMGHYLLGFLVLLGGSSESSHGWAESLGRDLFNFTLSIGFGFQLAFMIV >OMP02783 pep supercontig:CCACVL1_1.0:contig06183:4788:4931:-1 gene:CCACVL1_02705 transcript:OMP02783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKRRLIYYGPGPSALARCSRGPSTSRTKQNLKIKKHDASNITKKLNST >OMO79995 pep supercontig:CCACVL1_1.0:contig10355:42045:43462:1 gene:CCACVL1_13239 transcript:OMO79995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major pollen allergen Lol pI MALFFCFLFLLVSSATACDRCVHQSKAAYFSKASALSSGACGYGSLALDLSGGHLAAGVSSLYKDGAGCGACFQIRCKNTTLCSSKGTRVTLTDLNHNNQTDFVLSSRAFMAMANKGMGQDILKLGIVDVEYKRVPCEYKNKNLAVRVEESSQKPHYLAIKLLYQGGQTEVVGMDVAQVGSSNWNFMSRNFGAVWDTSRVPNGALQFRFVVTAGFDGKWVWAKSVLPADWKTGEIYDSGVQITDIAKEGCSPCDEGTWR >OMO79996 pep supercontig:CCACVL1_1.0:contig10355:44752:47084:-1 gene:CCACVL1_13240 transcript:OMO79996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSIAIALIYVEIKNSVLRKFLSNVISEFWNEWELRATVVFSILLQYLLFYSGNKRRKYRGRWLPLVEITAWSTYLLADWMATLVLSNLLRGESIHKTNELVLWTAFILWQLGGPYNITAYTAEDNELWLRYIFAMLVQVGEAIFICVKFRSPIMSLNFVAAPIFIAGVLRYAERVWALRSASQKYLRNSLTSKKDTRDEAKIVRTGRVDYVIAREYLSGNGVIPQVTFLREAHLSSIIHQPLFSHLPFRVAQKFHEEMVFTKGKPADEAFRLVGTELHFFYDLLYTKIPINVSHHQLSFGLRIFSFLSAASALVALSLIYKRRLAAFEFDIRKTDYSDIAVTYLLLFGAIGLEVHAFYVDAMAMAWTRIKLPIPQSWIKSRLHKRRAKRRIKTMGHHDLIDCFIKLRTSKLARVISSFPYGKYSLMFWYSQWKPIDHDLKEFIYSHLKEKRGKCEANNFDLQFVTSLLDEFKGGQVFKRMCEEKQLHNIDIDDWKMDTTDLTCCVLLWHIATTLVYYDDVDNHRVGMGGRYFRIGKSLSNYMMYLVVARPLMLPLGYSEAAYRDTCKEADFFFSKEMRTSVRSARKKFTSSLLHLYTHHQFLYLRDEEDYSELSKGRRVAKKLQELVRVEHWDHQEKWEFISLVWMEMMTYAASHCSWKEHGHALRRGGELLTLVSLLMAHFGLSTQIRKGEHAKDEEKLPVFDPPFHF >OMO79998 pep supercontig:CCACVL1_1.0:contig10355:55893:57403:1 gene:CCACVL1_13242 transcript:OMO79998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG MDMEGKVLKSRFKRVCVFCGSSTGKRKCYRDAAVELAQELVARRLDLVYGGGSIGLMGLVSQAVHRAGGNVLGIIPRTLIGKEITGETVGEVRPVADMHQRKAEMARHSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNYLLTFIDKAVDDGFIKPSQRHIIVSAPNAKELVQKLEEYVPVHDGVIAKASWEVELQQQQQQQQQAPQQVGFNATTLQTEIAL >OMO79999 pep supercontig:CCACVL1_1.0:contig10355:69927:72661:1 gene:CCACVL1_13243 transcript:OMO79999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSVEEIRRAQRADGPATILAIGTSTPPYCVEQSTYPDYYFRITKSEHKTELKDKFKRICEKSCVKRRQDMAIVDVPRLGKEAAVKAIKEWDQPKSKITHLIFHTTSGAEMPGADYQLTKLLGLRPSVKRFMMYQQGCFAGGTVIRMAKDLAENNRGARVLVVCSEITAVTFRGPSETNPDGLVGQALFGDGAAAIIIGADPIPEVEKPLFELVTAGQSILPDSDGAISGQLREAGLTLHLVREVPNLIAKNIEDSLIEAFEPLGISDWNSIFWIAHPGGPAILDKIEAKLALKPERLRATRHVLSEYGNLSSASVLFILDEMRKSSREKGFSTTGEGLDWGVLFGFGPGLTVETVVLHSVPA >OMO79994 pep supercontig:CCACVL1_1.0:contig10355:32239:39151:1 gene:CCACVL1_13238 transcript:OMO79994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine-tRNA ligase, class IIc MAALKLPHSLRPIHGHRSLFAFPASAMLFLKPPSRPLSNCSFATSPGFHSRTLAFFSPGILTCSSSSFRGARNNQSRAKSASVQPVTEELMEEQSKNSPVSGDSIRQRFLDFYASRGHKILPSASLVPEDPTVLLTIAGMLPFKPIFLGKIPRQVPRATTSQRCIRTNDVENVGRTARHQTFFEMLGNFSFGDYFKKEAIQWSWELSTVEFGLPPERLWISVYEDDDEAFQIWHNEVGVPAERIKRMGEDDNFWMSGATGPCGPCSEIYYDFHPERGNANADLGDDTRFIEFYNLVFMQYNKKDDGTLEPLKQKNIDTGLGLERMARILQKVPNNYETDLIYPIIEKASELANISYALADEQTKLKLKVVGDHLRAIVYLISDGVLPSNIGRGYVVRRLIRRVVRAGRSLGIKGEIVDNLEGAFLPAIAEKVIELSTHIDSDVKIKAPRILEELKKEELNFVRTLERGETLLEKMLADALSNAQKNGTRPCLSGKEAFLLYDTFGFPVELTTEIADENGVTVDIDGFDQEMENQRRTSRAAHNVVKLAVEDGAALTENIDETEFVGYDTLSTRAVVESLMVNGSPVIQVSEGNDVEVLLNRTPFYAESGGQIGDHGFLYVTGGENQQTALVEIKDVQKSVGDIFVHKGTIREGILEVGREVEAAVDAKLRQRAKVHHTATHLLQAALKKLIDQEISQAGSLVAFDRLRFDFNYGHALTDTQLEDIEQLINEWIGAAAYLETKVMPLTDAKEAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTSEIRVFKIISEQGIAAGVRRIEAVAGEALIEYINARESYLKNLCSMLKVKAEEVTPRVEKLLEDLRMSRNEVADLRTKAAAYKALMIASKAFEVGTSQKIRVIVESMDDVDADSLKVAAENLIDTLQDPAAVILGSSPEEGKVSLVAAFTPTVVGLGIQAGKFIGPIAKLCGGGGGGRPNFAQAGGRKPENLPSALEKAREDIVSILSEKAS >OMO79992 pep supercontig:CCACVL1_1.0:contig10355:11004:12104:1 gene:CCACVL1_13236 transcript:OMO79992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNGINVSFLLSLLISVCLATAQKERCYDTGNFTTNSTYGRNRDQILASFPANVSSNGGFFTATLGQDSNKVYALGLCRGDSSSDICYSCINSSMHKLIASCPNQKEALSWGADPPCIVRYADRLIFEVLDLEPTDAGYNTGDVPSANLTQFDLVWESLMDSVVKKASTGSSTLKYATGEADVTTFLKIYALMQCTPDLSQENCDSCLRQSAIYYQGCCHGKQGGYVQKPNCLFRWDLYPFYVSNASTSATAPSLSPPPATASPPPPFSTNTTIKRGDGGIASQTLAAIFVPIVVIFIVAVAVIAVALLLKRRKKTRQLQDVNGKLFLDFNLFVGSLAS >OMO79997 pep supercontig:CCACVL1_1.0:contig10355:48876:50747:-1 gene:CCACVL1_13241 transcript:OMO79997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVLSTLLRGGIELENGLIVFWTPFLLWHLGSPYNITAYSLEDNELWLRHFLGMVFQIGEAIYIYIRFRSNTEMNALALPLFIAGAIKYVERIWALRCASQKQLITFFYSCSNAKSPNESNVTGNMKEMIRTGLFDASKQRCFVEEQGISSEVKFLREVHLSFEIFQPLFADLPFQISQEFHDEMVYMKSRPTEEAFNFVEIELEFLYDLLYTKNPIQHRRHVLSLILRGLCFCSVFSVLIAFSILLRKIKSSKIDTGVTYLLLSGALYLEMYSFFMHIRSKWTMLRHAIPRDKLHKLYHRLVEYRLRKIKSKKGIRKMAQHDLIDYCVKAKTSRLNPVIMLIDTANLLQRFGHSKWKRVEGDLKEFIYCHLKAKRRKLEMKEFSPNYLEELLSEKGDNVIKEKGLRLEEGYWKMDSTDFTRRIFVWHIATSIVYYDDLHKHRIGSNIHRIGKSLSDYMMYLVLVRPSMLPNGFSEMVNKETLGQSKRLYPQPRADKNLKLSMKEFISALIYLPHHINFFETGAMLDGIKFAKQLQDLVRDNSSRWDDDEKWEMIDEVWMEMMVFAASRCTWEEHAQQLRHGGELLTHVSLLMAHLGLSTQVHRLEISHNDQSEGMPFPPI >OMO79993 pep supercontig:CCACVL1_1.0:contig10355:22181:23301:1 gene:CCACVL1_13237 transcript:OMO79993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSGIVSFLLSLLIGVCLATAQKERCYDTAGNFTTNSTYGRNRDQILASFPANVSANGGFFTATLGQDSDKVYALGLCGGDSSSDICYSCINSSVHKLIATCPNQKEGLSWGSNPPCIVRYADRLIFGVLELEPTDAGYNTGDVPLANLTQFDLDWESLMDRVVKKASMGSSTLKYATGEADATTFLKIYALMQCTPDLSQEKCDSCLRQSVSYYEGCCHGKQGGYVQKPNCLFRWDLYPFYVSNASTSATAPSLSPPLSPASLSSTNKTITRGDGGISSQTLAAIVVPIVVVFVSVAIIAVALLLKRKKTRQLDVNGKLFLDFNLFVVDFKIS >OMO61581 pep supercontig:CCACVL1_1.0:contig13443:3671:7075:-1 gene:CCACVL1_23404 transcript:OMO61581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MNPPNPPSPSPPPNPPLYPDPPNILNTPNPPNHLNPANIQPVPPNQPRIFFQRECSWTSSDESTHGSNDEADSRKGAMQPSPWLQRESNKIHFSRDELLPFRSEGEECVIGFLLNDRRFSTSLIQNYINNAWELVGTVTVMGREENRYLIHFDNEVDRRAAVMGNPWCCQGATFVVGNWMPNTALCEVRLARFPVWLQIWFLPFEYQQPLVAGRLASAAGDVIRVDWTRRRTRNIRFMRVRINISPYEPLTPGCTLDCYDDPAHNHFSYHMRAYLNRTSMRNTRTVIRQGQCDARANTTNGNASNDRPKPMQAGSRPTPSGLRRQALLRRIPPHENTPTVLAPQEGNRPAATSNLRGQRLVSLGGDQQTLHVEHTSPENTNQQQQPVAASQAQPLAPNEVTRTAIENIRNIVADETDDEIVARTIAFNREINDRIADLQDELNSFIPTNLPQVNYPREQIEDIIEEFDNTEQRVQRLHERVDQGVRNQSDIDDLAFAIVREQSRFEAVYEELVKQSGGTTFTNARLCTSGMDRPKSSRMGERRGEIVEATRRREAQFQLDLLIRNTSPDNWKFMGDSLQNFILTNPPCFDSEQMVAGQKAEGDHAHQQQLTELDAVANQIQPLPSTQIADSTLSAQQQQPQEQPAPPNNMPMSSFVCTINEADNVSVFVRDTSSPSDNENAEDTKATDKGKGKEKRKREQEEDVDSGPETGRCIRRRLQNLAVQEQNASRDEVVEGIRNKTSEDDASSKVAVPLTNVYNHVRNWGFDHFDGITCIYGNPDLQHRKEVWEKMCTISLEIPDGEPWLMWGDFNQVLKSSDKLSKASKLLQGAQNLWECLNRCKVVEVKARGLHFTWSNGRDVDHITWERLDRAFANTNWIQTFNEAILENLPITISDHSPMVLNLEKSPTFRHRAYRFEIMWTLHPGCKDTIVEAWNQDFPGSTPFKLTRKLKIVREKLKLWNKKIFGNLQRRKLEVQEELAKVQGYIGVFKGASGQGKGIEEGF >OMO61582 pep supercontig:CCACVL1_1.0:contig13443:16049:20420:-1 gene:CCACVL1_23405 transcript:OMO61582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLQAVNNFVAAYLKKKGFKEAEQLLEDLQNKDSNPIDFHNDPELSKFIHHFAQSEDDPAQYQYGYSKLRSWTYSSLDLYKHELLRVLYPVFIHSFMDLVAKGHLQEARAFFNAFREDHELMHSRDLQKLEGVLTQSHLEEMEFARSLRQNKVNIKICQYSYDLLLQYLHKTQSTAMLGVINEHINFRVSSGQPTSISDDGDVVSLIGSFQDTANQINQKEVHWGLLEDSLEERLEKAGGLLSDSEKTEGENKEGDVDETKKRSVESGKQGASTKKMKKDKAASGTAKSARPEANAASAAPRVKPELTLPVMPAEVEQSILEDLRNRVQLSSVALPSVSLYTFLNTHNGLNCSSISHDGSLVAGGFSDSSVKVWDMAKLGQQSGSSILQGENDTTSSEHVVGPNGARRSYTLFQGHSGAVYSATFSPLGDFILSSSADTTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHSNCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASGDEDGTVMMWDLSSGRCITPLMGHTSSVWTLAFSCEGSLLASGSADCTVKLWDVTTSTKAPRNEEKSGNANRLRSLKTLPTKSTPVLNLRFSRRNLLFAAGALSRNM >OMO54152 pep supercontig:CCACVL1_1.0:contig15048:2910:3319:1 gene:CCACVL1_28010 transcript:OMO54152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFCFKEPHRLRELLLKTLEKPIVSRNAPRKNIHQSIPKIFTSDLQKRESYGNDCYKYCIKNAMAYTTDDDDYGW >OMO54156 pep supercontig:CCACVL1_1.0:contig15048:24522:24602:-1 gene:CCACVL1_28014 transcript:OMO54156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRQSQRHAKNASTSACRAAIFCRPHK >OMO54154 pep supercontig:CCACVL1_1.0:contig15048:13351:13517:-1 gene:CCACVL1_28012 transcript:OMO54154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGQTIAKTQEECLEDCFACPAANLRRPHN >OMO54155 pep supercontig:CCACVL1_1.0:contig15048:18704:23587:-1 gene:CCACVL1_28013 transcript:OMO54155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRGRQLHRHNKNASKSAWPPARFCSHQEYTLASVDVTKHVLLRPLLPKFVEALTMEETGRQLQRHDKNASTSAWPPARICSPHKQSLASVDVTEDVLLRSLLPKLG >OMO54153 pep supercontig:CCACVL1_1.0:contig15048:9363:10149:-1 gene:CCACVL1_28011 transcript:OMO54153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIISKDLLDDFDHVIRKGHVYKVVRFPVLPSRETYRCVNSHNELHFNSTTELEPISEGVNEFPRFWFSLASMDEINTRGPGHPLLTDVAGMLLSLTDVVKIEKSTGEIKENKDIVIRLIGGHELTVNFWEHHIHKLVPDQLLGHVDGWCSSS >OMP12249 pep supercontig:CCACVL1_1.0:contig00235:375:926:1 gene:CCACVL1_00063 transcript:OMP12249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNDFRLRRKSPLSTVNEDTSSSFSGGVETSYMKKEREVPMPMRGRKEGIGKRCSTVVIFRRGDGETEKRKESLGEPRVAQGQPARGLPQVISRLGAWLAPARGLIQVRARSAPGDFSRFLFLLARSWALLARAWASPGCSKLQI >OMO95014 pep supercontig:CCACVL1_1.0:contig07753:29341:31184:-1 gene:CCACVL1_05641 transcript:OMO95014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MEGTSPANQGNSSSRGQWLKTLPSKINAKVMEIAWKARQLGKEDPRRVYHSLKVGLALTLITIFYYFKPVYDYGENAMWAILTVVLVLEFSVGATLGKGLNRMFATLTGGALGIVTHRIATLSGKIGEPILISTFVFIMAAIATFMKFFPNLKARYEYGLTIFILTFSLVSVSGYRDDQVLEMAHERLSTIIIGCFISIFVCICIYPVWIGEDLHNSVANNIEKLGNYLEGFGDAYFKVLLDGELQSNTRRSFLQGYKSVLTSKSSEETMANLARWEPGHGRFRFRYPWKNYLKVGNLTRECAYKIEALNNYLNSKIQTPIETRSKIGELCPKISVESSKALKELASTMKKMTRERSADLHIASSKTAAEELKNLLKTSLWEEVELLQILPAASVAYLLLEIVECTQKIVAAVYELANEASFKHTNDTTLFHRGAVQPVDNNNVDASLETIITVA >OMO95015 pep supercontig:CCACVL1_1.0:contig07753:34022:49377:1 gene:CCACVL1_05642 transcript:OMO95015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVQFGCARVRDQSYRPIIENNRPIIENFLRQHVITHKTLRALRTRCSNLHNQLIKNRLTVARAISDGEMDRELRHMMKRSTPALEKYWGSLMNRKEILRGSLLIIRTGRSD >OMO95012 pep supercontig:CCACVL1_1.0:contig07753:5094:11590:-1 gene:CCACVL1_05639 transcript:OMO95012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKAQKGGGGMGGDGALVCDDGVYAFNGYYLKHGMTDNACSFSDTAALTSLNPSHGDRKFPSSATDPNDLKILNDFKKGLENPELLKWPENGDNPCGPPSWPHVFCSGDRVTQIQVQNLGLRGPLPQSFNQLTKLVNLGLQKNHFNGKLPTFSGLSELEFVYLDNNEFDTIPADFFDGLSSVRVLALDYNPFNKSTGWSIPKELENSVQLTNLSLVNSNVVGPLPDFLGKLPSLVALKLSYNRLSGEIPTSFGDSLMQILWLNDQDNGGMTGPIDVVAKMVSLTQLWLHGNQFSGTIPENIGDLTSLKDLNLNRNHLAGFIPESLANMELDNLVLNNNQLMGPIPKFKAGNFSYASNSFCQSEPEIPCSPEVTALLDFLSSMNYPLNLVSEWSGNEPCSGPWLGLSCNPESQVSIINLPRHNLSGTLSPSVSKLGSLIEIRLGGNSIHGTVPNNFTELGTLRTLDLSGNNIEPPLPKFRDGVKVVIEGNPLFSANPRGPPSPASSPAPASSESPPSDDPSGGTESPPSSRSPSPNRDKNADSPTAANKKVESQSNFFQRFKVVIVAGSAAVAVMVLLVILFSIFCCKKRKRASEASGSIVVHPKDPSDPENMVKIAVSNNTTGSLFSKTATSSGSSNSSATQNSHVIEAGNLVISVQVLRKGTKEFAQENELGRGGFGTVYKGELEDGTKLAVKRMEAGVISSKALDEFQSEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEYMPQGALSKHLFHWKNLKLEPLSWRRRLSIALDVARGIEYLHNLARQTFIHRDLKSSNILLDDDFRAKVSDFGLVKLAPDGEKSVATRLAGTFGYLAPEYAVMGKITTKVDVFSYGVVLMELLTGLTALDEERSEESRYLAEWFWRIKSSKEKLMAAIDPALEVNEETYESIVTVAELAGHCTAREPYHRPDMGHAVNVLSPLVEMWKPVDDESECYSGIDLSQPLSQMLKVWQAAESQGVSYTSLDDSKGSIPAKPTGFADSFTSADGR >OMO95013 pep supercontig:CCACVL1_1.0:contig07753:26251:28338:-1 gene:CCACVL1_05640 transcript:OMO95013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase superfamily MSVPGQPVPTSSAGCVNVFWHEGMLSHDAGHGVFDTGMDPGFLDVLEKHPENSDRVKNMVSILKRGPISPYISWHSGTPAHIPDLLSFHSQGYIDALVEADRNGGKELCAGTFLNPGSLAAALLAAGTTLSAMKYILDGHGKLAYALVRPPGHHAQPTQADGYCFINNAGLAVQLALDSGCKKAVVIDIDVHYGNGTAEGFYRSDKVLTISLHMTHGTWGPSNPQNGTVDELGEGEGFGYNLNIPLPNGTGDKGYEYAMIELVVPAVKKFEPDMMVLVIGQDSSAFDPNGRQCLTMDGYREIGRIVRSLADKHSSGRLLIVQEGGYHVTYSAYCLHATLEGVLNLALPLLPDPIAYYPEDEAFAVKVVESIKKYQRSTIPFLKED >OMO87629 pep supercontig:CCACVL1_1.0:contig09213:56462:59005:-1 gene:CCACVL1_08881 transcript:OMO87629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELENSSSMAVSTASGEASASSSGNQIQGTQLVAEGGPPKKKRNLPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRISKEIRKRVYVCPEPSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCERCSKKYAVQSDWKAHMKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEESARAQTLTVSNTEGNMNVNGNGNGKSIVGAGGGGGAATSPPPQPLTPSTTSVVSPGLSIQSSEMPENTMGLSPPITPAATSAPSTSSSNVFASIFAPQTQQSKMPAPASSILQSAAAPLERTSLSLSSPLYLSNNGSSIFTGPEHDHCHYAPSAQPAMSATALLQKAAQMGAAASNPSLLRGLGLAVSSSPSAAGPDPNVKAESSSVAAGLGLGLPLNGSSSGLNTHHHHHHPMIGSSSLFGNKPTTLDLLGLGIADHGGASPNGLSAFLTSFGGGFNVGAATSSYPAGGNSPRDTWEGAAERKPNGPAML >OMO87625 pep supercontig:CCACVL1_1.0:contig09213:25041:29318:-1 gene:CCACVL1_08877 transcript:OMO87625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFSQRESVLLGYSPQKTFMESSSSPDKSSDIDFNDVFGGPPRRSSIQESRYSFGDDMDSYSFRRSDEMVASSRNPWSGLREKPVFGEEAVSNRRRYSRNEFFDDIFKGNESLGSSPRKYEMKDPFSPGSQLLSPAKPLPPKAEPFGTSLPAQFSLPAKMNKGMDLRTFGSPTRGIAHKSKDAGSLNGSNHYAFSPLSKVSSISSEESANLEKSNGTETNGNPKEDSKSPEISKNGSHFHFSIYKWADKGGVPLAIPIRGSDRVKEKDKLQRCSSANGWIACENIAMEPKDLHGSFRSTDRMSRNSSRSFRVEHDKKENGPVTDSRNEDGKSDRVIEEISKIPMSESEIVSGLKSSDKNVSADNDLHNAVGQEKTYHSLPPTPIDLRDKREKEASTVGKKTNKPQSKPQKLSSEEQAGNDKKTRNSGTKGNTKKLSEYLDDNNIKNQDVKKKATPKDVEAGKTSAKSSPRNSWDNGKNKVRGKVKEFIKIFNQDGSSKPKTDDVSEGHSSARHKERDTVKAENKPSASNNERNESSGEIRNEKTHKTNIQKKKSSTDIPVVNQNGASEKKNSSVKDTVSNGSKTVVEDPAESFDNFLVEDLTPEEKIVPEFGIDPEELKAIDTKIRQWSNGKQGNIRSLLSTLQYVLWPNSGWKPVPLVDIIEGPSVKRSYQKALLCLHPDKLQQKGAASDQKYIAEKVFDILQDAWTHFNSLGSV >OMO87627 pep supercontig:CCACVL1_1.0:contig09213:34179:37131:-1 gene:CCACVL1_08879 transcript:OMO87627 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MPSTYFWRLRSAVQNGIRRTECGLQDSIEVLIGTGKLGNCRLFHSLRLSGLAELQGLLQTGSLLAARTDSILANRRRNISVVGAFSRSISVPSVSGPAFQVCGYHIDCALAESGEIPSSVSKFQSKPMAASGSRVVIGGYLGDTLKLKHEHLSLSTSNSNIFYCNRSLNSSINARMSLKNNEKPNNSPIYGYFMYAVGKRWCNFDPLGSGSRGFHSSLSSCLSAGTAPDVSFDHSGREEQNASSCVSSEEKISTGKTLKLLSGSCYLPHPDKEDTGGEDAHFICADAQAIGVADGVGGWADLGVDAGLYSRELMSHSVNAIQEEPKGSIDPARVLEKAHSITKAKGSSTACIIALTDQGLHAINLGDSGFMVVRDGCTIFRSPVQQHDFNFTYQLESGSNGDLPSSGQVFSVPVAPGDVVIAGTDGLFDNLYNNEITAVVVHAVRAGLGPQVTAQKIAALARQRAQDRDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSEEENKSS >OMO87626 pep supercontig:CCACVL1_1.0:contig09213:30941:33044:-1 gene:CCACVL1_08878 transcript:OMO87626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MAIRREKGQSLRGSRIAIAIVIGVLLGCVIAFFFPHGLINPTPPSQNRRIGKSNFQIGSSSCESSERIKMLKAEIVSISEKNSELKKQVRDLTEKLQLAEQGQDHAQKQVLVLGEQHKAGPFGTVKALRTSPTVLPDESVNPRLAKLLEKVAVQKELIVAVANSNVKEMLEVWFTSIKRVGIPNYLVVALDDQIVEFCNSNDVPVYKRDPDEGIDAVGRMGGNHAVSGLKFRILREFLQLGYSVLLSDVDIVYLQNPFNHLYRDSDVESMTDGHNNMTAYGYNDVFDEPAMGWARYAHTMRIWVYNSGFFYLRPTIPSIELLDRVAHRMATQQNVWDQAVFNEELFYPSHPGYDGLHAAKRTMDFYKFMNSKVLFKTVRKDAKLKSLKPVIVHVNYHPDKLRRMKAVIEFYVNGKQDALDPFPDGSDW >OMO87621 pep supercontig:CCACVL1_1.0:contig09213:5530:8281:-1 gene:CCACVL1_08872 transcript:OMO87621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyladenine glycosylase MSVATKLKPSPKPVSEPRAILGPTGNRVRVSDESKRKTEGLKKPQRRKIPVSESPNSVVQNNVSVDSSCSSDSSSSSASVKTVSSRKTVKRSGVKPVKAKVAPTADEVVVEISPVLSEPSKRCDWITPFSDPLYTSFHDEEWGVPVYDDRKLFELLVFSQALAELSWPTILNKRDIFRKLFDNFEPSSVAQFTEKKLLSLKVNGTLLLSEPKLRALVDNAKQMLKVQQQFGSFSSYCWGFVNHKPITNGFRHSRQVPVKTPKAEVMSKDMMQRGFRCVGPTVVYSFMQVAGIVNDHLVTCFRYQECNANVKKDIKPKIDEIGKLTKVVENTRLSR >OMO87624 pep supercontig:CCACVL1_1.0:contig09213:18033:19300:-1 gene:CCACVL1_08876 transcript:OMO87624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERESKKRWKLVVPRVLKNKSASRCFFPKVKPASFDPGCAPVYLNVYDLTPMNGYVYWAGIGIFHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFIGTTSLDPLQVREFMERNSARYNGDTYHLIVKNCNHFCKDICYKLTGKHIPKWVNRLARIGSMCNCILPEALKTSVVRHDPNYQPYDSDRRRLRCTFSCLSSVSMRQKSSLLLQSPLRGCLPPWESKKSND >OMO87628 pep supercontig:CCACVL1_1.0:contig09213:50540:53277:-1 gene:CCACVL1_08880 transcript:OMO87628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MNPLILLPIAFLCIYLLKSVNSIIWIPWKIQNHFKKQGVTGPGYRPIFGNSAEIRRLFVEAQSKPAPLHHHDILHRVAPFYHRWSNMYGRPFLYWFGSNPRLAISDPDMIKEVAMNTSGSFDKIGFNPMSKVLFGQGLVGLTGEEWAFHRRIANQAFYMERVKAADLQ >OMO87622 pep supercontig:CCACVL1_1.0:contig09213:10665:11420:1 gene:CCACVL1_08873 transcript:OMO87622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal biogenesis factor 11 MDSKASAVAPTQSKPKERDFLNHLEVYLAKRDGVDKLLKISRYATKIILASSVLPETLPLTRRLKSFESSVGLSRKAFRLGKFVQDVNALRNSHLDSKEEIILSIIAYGGEGLYYFVEQFIWLAKSGLIDAKHSRNLQRISAWAELIGYIGSISLKFRDLKKINEDEACLDSSIEIAISRGVVCEEEQYKKKKLREKKLMKKLSIIQDLADGLMALADIQDGKGSLSDPLLVSCAGLLSALISTHKNWVSC >OMO87623 pep supercontig:CCACVL1_1.0:contig09213:13675:16718:1 gene:CCACVL1_08874 transcript:OMO87623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MESELKDLNSKPSKTTQEPENLTHDDACTKDDRPLLKPDSSSSEDIQELEKKFAAYVRNDVYGTMGRGKLPLKEKLLLGIALVTLLPLRIILGMAVLVLYYLICKICTLFLAPNREDEQQEDYAHMGGWRRAVIVSTGRFLSRALLFIVGFYWINESHRDSANTQENSKTEGTNQSEEKERPGAIISNHVSYLDILYHMSSSFPSFVAKRSVAKIPLIGLISKCLGCVYVQRESKSSDFKGVAGVVTERVREAHQNKSAPMMMLFPEGTTTNGDFLLPFKTGAFLASAPVLPVILRYPYQRFSPAWDTISGVRHVIFLLCQFVNHMEVTRLPVYYPSQQEKDDSKLYANNVRRLMASEGNLILSDIGLAEKRIYHAALNGNNRLPTVLHQKDD >OMO87620 pep supercontig:CCACVL1_1.0:contig09213:4959:5036:-1 gene:CCACVL1_08871 transcript:OMO87620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGPSPEWNKLEGLKTQSVEAMYG >OMO87619 pep supercontig:CCACVL1_1.0:contig09213:597:4092:1 gene:CCACVL1_08870 transcript:OMO87619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MIRLFKVKEKQKEAAADGKAFITKQSAGELRLHKDMSELNLPKECKMSFPNGKDDLLNFEVTIRPNEGYYSRGNFEFSFQVPHTYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLCHLFTQPNYEDPLNSDAATVLRDNPQQFAANIYTGLRAQGNYNLPKHCDNNEVLKALCAEAGWVVEEDGTTYRKGCRPPPIDIPGSSTKITPFSSQNPSPLSSAFPSPIPSCQVSPSSSSFPSPTRVDPNNSSSLLPFLRSAIPSSLPPLRISSSAPVTPPLSSPTSRNPKPIPNWEALAKESMASFNYPFYAVSAPASPTHRQFHAPATIPECDESDTSTVESGQWISFQKFAPSTLQVPTSPTFNLVKPVAPQSLPNDFINEKGRGSEFQFESGQVKPWEGERIHEVGLDDLELTLGSGKARC >OMO81548 pep supercontig:CCACVL1_1.0:contig10148:9585:10609:-1 gene:CCACVL1_12368 transcript:OMO81548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRDFIFFSVFIVTLFELISSVPQAYAIGKYEDQSFKPSSPFSQALETLQNKTGYTFKSIGLLRRAMTHSSFSRENNKALSTLGTHIIETSVSVHSLEENIDMSSKELNNLISEISNVDSSCAVDGMRLWLQKVVRVSPKTDPSTATIVCGAFRAMFGAIAIDSGSTDEAGNVFWSVHNGKIGRAASL >OMO81549 pep supercontig:CCACVL1_1.0:contig10148:11624:14481:-1 gene:CCACVL1_12369 transcript:OMO81549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MVSRSCISLSDLASGDMFNFPQTPRKIPRVMTVPGIISKAEGNRSNDGDFDALSSECCEKKIIVANFLPLHAQKDMKSGQWSFSFDEDSLLPQMKDGFSSDTDVVYVGSLKVDVDPSEQDEVSRRLLEEFNCVPTFLPPELQKKFYHGFCKQYLWPLFHYMLPMCPDYGNRFDRLLWQAYVSANKIFADKVMEVINPEDDYVWVHDYHLMILPTFLRKRFHRVKLGFFLHSPFPSSEIYRTLPVRDEILKALLNTDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVYIKILPVGIHMGRLQSALNHPSSSTKVKEIVEQFKGKKVIVGVDDMDIFKGISLKVLAMEQLLQQHPELRGKIVLIQIVNPARSTGKDVQEAKRETYETTKRINDNYGFPGYEPVVLIDRRIPFYEKTAYFALAECCIVNAVRDGMNLVPYEYVVCRQGTSKMDEALANASGSPRTGMLVVSEFIGCSPSLSGAIRVNPWDIDAVTDALNMAITMPDMEKQLRHEKHYRYVSSHDVAYWARSFMQDLERACKEHYSKRCWGIGFGLSFRVLALSPSFRKLSIDRIFSAYKKTCQRAIFLDYDGTVVPHASIIKSPSPEVISVLNDLCSDPKNTVFIVSGRGQNSLSDWFAQCKNLGLAAEHGYFVRWSRMSEWETIPVAADFDWKRIAEPVMKLYTEATDGSYIEPKRSALVWHHQDADPDFGSCQAKELLDHLENVLANEPVVVKRGRQIVEVKPQGVTKGFVAEKILSTMISNGKQPDFVMCIGDDRSDEDMFESISNTAYRSSLPVPPEIFACTVGQKPSKARYYLDDTVDVLTLLQRLAAASSSKSRSNTETQVSFESSI >OMO73416 pep supercontig:CCACVL1_1.0:contig11236:4247:8274:-1 gene:CCACVL1_17273 transcript:OMO73416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIERKNMARASPLLLVTLVLGFCFATYNLVTMVIHNRSISKWIVDDSNGGIFFDPVIEMPENVRKPKNTKMPFHVALTATDAPYSKWQCRIMYYWYKKHKDLPGSEMGGFTRILHSGSPDNLMDEIPTVIVDPLPAGLDRGYIVLNRPWAFVQWLEKTTIEEEYVLMAEPDHVFIRPLPNLASGGFPAAFPFFYIKPDQNEKLLRKFYPEEMGPVTNIDPIGNSPVIIKKDLLEKIAPTWMNVSLKMKDDPETDKAFGWVLEMYAYAVASALHGVQHILRKDFMLQPPWDLETGKRFIIHYTYGCDYNMKGELTYGKIGEWRFDKRSYLRGPPPRNLSLPPPGVPESVETLVKMVNEATANIPNWDAE >OMO73420 pep supercontig:CCACVL1_1.0:contig11236:56430:57469:-1 gene:CCACVL1_17277 transcript:OMO73420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, lateral root primordium type 1 MLGLHNIFLIAPPQQNQQQPAPPPPPPPHQQPFNQGYNLSDTNIWTLKRSSTQEPPVCQKKDGVLNGVEGDDGRFKVCRDCGNRAKKECGFSRCRTCCKSRGYDCATHVKSTWVPASKRKEKDRKVVVIGDDDGGGGGGGSSGSSSCGGKRPRILSSTSNGTPKSLNLEAGNIHQDSRFKESLPGQVRAPAVFRCIQVTAISDGEAEVAYQATVNISGHVFKGFLYNKGVDVKNAFPCISKMLFESSSSGNTFAASGD >OMO73415 pep supercontig:CCACVL1_1.0:contig11236:3562:3624:-1 gene:CCACVL1_17272 transcript:OMO73415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGPKPVAPIPPKKKGPRF >OMO73417 pep supercontig:CCACVL1_1.0:contig11236:23249:28817:1 gene:CCACVL1_17274 transcript:OMO73417 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent FMN reductase MEAISAAKPIIKVAAICGSLRKGSYNRGLLRAASQICQESISGMQIEYIDISPLPMLDTDLEVGGKFPPVVEAFRQKILEADSIIFASPEYNYSVTAPLKNAVDWASRPPNVWADKPAAIISAGGGFGGSRSHYHLRQIGVFLDLHFINKPEFYLNAFQPPAKFDSEGNLIDESAKEKLKQVLLSLQAFTLRLQERERVTMGAVSAAKPIIKVAAICGSLRKGSYNRGLLRAASEICQESISGMQIEYVDISPLPMLNTDLEVDGKFPAVVETFRQKILEFDSFLFASPEYNYSVTGPLKNVIDWASRAPNVWADKAAAIVSAGGSFGGGRSQYHLRQIGVYLDLHFINKPEFFLKAFESPAKFDDDGNLLDESAKDNLKEILLALQAFTLRLQGCNFNVSTISKFPRQSGSVSEERAALTPFTKDIGQRFHASAGYKLGRTSGSYDWHDLETCNCNNEGRVISQFQDKNFKLKDRIYNYKARARMYKMALVASWVFFLIVFILSYWVKDVGNACCRRNMFGKSLDLWEAYS >OMO73418 pep supercontig:CCACVL1_1.0:contig11236:29404:31744:1 gene:CCACVL1_17275 transcript:OMO73418 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent FMN reductase MEAMSPAAQPMIKVAGICGSLRKGSYSRGLLGTASQISQESINGMKIEYVDISPLPLLNTDLEVDGKYPSAVEAFRHKIMEADSILFASPEYYYSITGALKNAVDWAARPPNVWADKAAAILSTGGSFAGVRSQYHLRQIGVYLDLHFINKPEFFLNTSLSPEMFDSDGNLLDESVKERIKEVLLSLQAFTLRLQTGRIADAQPPDF >OMO73419 pep supercontig:CCACVL1_1.0:contig11236:42321:46220:1 gene:CCACVL1_17276 transcript:OMO73419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase, core MSKKLGFRKALESKVKKSQAAAKKKAISIFTAMSVAHVDDEEPGPGEVRHVEKVLPKGDFYTGQWCDGLPHGNGKYLWTDGCMYVGEWSKGKTMGKGRFSWPSGATYEGEFKSGFMDGKGTYTGFSGDTYKGSWVMNFKHGQGTHSYANGDYYEGEWRRGFQDGHGRYQWKNGNHYIGQWRNGLMNGNGTMMWSNGNRYDGFWEDGFPKGNGNFKWPDGSFYVGVWSKDAKEQSGTYYPTGTPAGDLDWDPQQVYLEDLKDCKICPGEKVTILPSQKMPHWPGVGKGNEGSRQRRSEGRLSNFSWSSENDVSAASEGDLRDGDDDSDSRDSLPNFKTPTKRQGQTISKGHKNYELMLNLQLGIRHSVGRPGPAISLDLKSSAFDPKEKVWTKFPPEGSKHTPPHQSCDFKWKDYCPLVFRTLRKLFKVDAADYMISICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLIRMLPAYYKHVRSFENTLVTKFYGLHCVKLTGAAQKKVRFVIMGNLFCTDYGIHRRFDLKGSSHGRTTAKPESEIDPTTTLKDLDLNYLFRLQKMWFQEFCRQVDRDCDFLEQERIMDYSMLIGFHFRGVSTPRTSGVRTPTGGDSENSDQDQLLSDPSWWSSIQLGINMPARAEKTVRTEGETMLVGEPTGVLYDVILFFGIIDILQDYDISKKLEHAYKSMQYDPTSISAVDPKQYSRRFRDFIFKVFVEDS >OMO66101 pep supercontig:CCACVL1_1.0:contig12586:316:2912:1 gene:CCACVL1_21297 transcript:OMO66101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQFFLNAGIPPPAPPLHYGQSLSSSPMVAWQSLSSAMEIQATELNCSPDQSQSQDCFMNPNWEKSTDYGLQFESALSSMVSSPAASNSNNISNESFMIRELIGKLGSIGNSGEISPHLPSYIKGNNSTNTSCYSTPLNSPPKLSLPIMDGLVKEKLPSLGKSMGLNSSVAEFSADPGFAERAAKFSCFGSRSFNGRTSQFGLNNNNNNNDTVAAYRSNPLTANAKLSRVSSSPSLKAMGSQVGSVQVNNKNSPLQDRSELANSQEESTLSEHNPNCDPGFKASKESNSRKRKAVPKGKSKETSSSPNAAKESESKKEPKEKRCKSTETNGNENGSVKSKTEEEAKGSDGNAGDEKQSKSNPNNNNNTKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQNLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLASVNTRLDFNVDSLMSKDIFQSNNTSLPHPIFPIDSSAATAFLGQQQQQQPQQNPALHSNISNGTMTQCSLDPLDSAATICPSLNTHLPPINQFSESLPQYPTFYEGDLQTIVQMGFGQNSGQDMEALHGSNQVSHMKVEL >OMP05282 pep supercontig:CCACVL1_1.0:contig05456:1827:2363:1 gene:CCACVL1_01970 transcript:OMP05282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSENFSPSPKQRLTLGFLVSFMAICAKHASRVSKKLKPKPKGNGIFANSDSPRFFLPKSPLKSPKPKENGYFGGSDSPRFFSPKSPRPKQLLTTISNKAITLVNRKKSGGESGKELGPDEYGDGGVWQRAILMGDKCQPLDFSGVIYYDSKGNQLDELPIRSPRASPLPRYAQKGL >OMO99588 pep supercontig:CCACVL1_1.0:contig06858:21780:22123:-1 gene:CCACVL1_03722 transcript:OMO99588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAESSSGYHRASWLSQDSVGAV >OMO99587 pep supercontig:CCACVL1_1.0:contig06858:883:975:1 gene:CCACVL1_03721 transcript:OMO99587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IQNDYALLSYFNTNKKYFKIKISDEARAKI >OMP10217 pep supercontig:CCACVL1_1.0:contig02695:949:1089:1 gene:CCACVL1_01008 transcript:OMP10217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVKPFPIDVPKVPISAIPFSLKRSVGRFCPDPKRRAKNQDRNEA >OMO71262 pep supercontig:CCACVL1_1.0:contig11680:280:16090:-1 gene:CCACVL1_18328 transcript:OMO71262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKSGLLIKEKEDKKMTIEKRKYGRRKISWERSKGDRDAEVAIGDYYVASLTCWFSFPQRDHRGHVRFAWCHEKEICAGSCSAGRGACDQIRASIGAGSGY >OMP06560 pep supercontig:CCACVL1_1.0:contig04889:1155:1238:-1 gene:CCACVL1_01512 transcript:OMP06560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGPSIYVFLSFARFNRDIRSDIFNI >OMO77491 pep supercontig:CCACVL1_1.0:contig10742:21239:26378:-1 gene:CCACVL1_14991 transcript:OMO77491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor, mu subunit IYSIFHVKEKEDVLEANIDNNLRGWYPMEQVYKMAELSRRCLSDEAIHRPSMREVVQKLSQILTSSMEWELASLGGDSQDLTLTNPDPTRIKRSESLPNKTTSALPPPPPMAGAASALFLLDIKGRVLIWRDYRGDVSAKQAERFFTKLIENQGGDPQSQDPVVYDNGVTYMFIQHNNVYLMAASRQNCNAASLLLFLHRIVLVFKHYFEALEEESLRDNFVVVYELLDEMMDFGIPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGLRYKKNEVFLDVIESVNILVNSNGQIIRSDVIGALKMKTYLSGMPECKLGLNDRVLLEAQGRTTKGKAIDLDDIKFHQCVRLARFENDRTISFVPPDGSFDLMTYRLSTQVKPLIWVEAQVERHSRSRIEIMVKARSQYKERSSAANVEIELPVPSDATNPNVRTSMGSATYAPEKDALVWRIKSFPGNREYVLRAEFSLPSITAEEAAPERKAPVRVKFEIPYFTVSGIQVRYLKIIEKSGYQSLPWVRYITMAGEYELRL >OMO52395 pep supercontig:CCACVL1_1.0:contig15543:48596:53275:-1 gene:CCACVL1_29242 transcript:OMO52395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tify MAVLKMVQQSKTTNTNNANTNNTSAHLQQHQQLKPTAMFHDFLGMKATDSPVVLAPKATDARFSEASPSASASLGASSGGGGAGRGPISSTSDLGSERQVGNHLEGIPYYGPRSEISGPEISNRLVGSKRSNSDSAFMGHESLESLHLMKMLRNGAGGERPRRSNDDEVFLGMQSIRPSSASLILQPPTGSRLEGNSSKWERSIPMMGSAVQYASRGGHFVPFVHQASSNRFKDTNAGPSVISQSAADEGSRTGMKGPGILSSINASGVPTDKNSPGVMPSGGRPKSGAHISEPESSVPSSRQGLTSVSRQMTIFYAGQAHVFDDVHPNKADVIMALAGSNGGSWSTTYSPKSSVRPGSENYAPTGEPEATGSMAFSREFRGRIAVAGNTSQGTGSAERISIQTGVTQGTVVIAKDARNPVQAAEPSSEDKREV >OMO52392 pep supercontig:CCACVL1_1.0:contig15543:18166:20633:1 gene:CCACVL1_29239 transcript:OMO52392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MDEAKIPVVEFPGELSLEREKGSENWKELCNKVREACESHGCFMVMYEKIPTSLREDMLVAMKALFDLPEDTKNKYQNPKPYCSYQGKCPVVPLHESFGIDDAHMLEAAQEFTQLMWPQGNPAFCEILNSMSLKMLELNNTILEMIFESFGMEEKHYDELVRDSTSIFRVMKYRVPPKEGENIGLVPHTDKNALTVLCQNEVQGLEIMTKQGLWESVVVPKDAFVIVVGDALKAWSNGRLVAVKHRVVMKGDKERYSFGLFSMPKEGAMIEVPRELVDNEHPLLYRPFKFADYFSYFVSNISDDALEIYAGV >OMO52393 pep supercontig:CCACVL1_1.0:contig15543:23221:27772:1 gene:CCACVL1_29240 transcript:OMO52393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGSSEQELNSTRASIEALRSNLDQQNQKKVSLLNELQNLQERIRNEGADSIVQKLIPLLEKLKTLERQESEIRSDFDAKRSSLEAEISDLEEKMATGSDSEMLSHGLDDSLNKSLEKLNSAKKELAARLRTIVSVKRRLDDVPSQSELIQYERRFAELNAHIQERLQQTRKFYATYNALLEIKELMLKETSLLNSISSQFQDAITSTAGRTKLIESMEGIVKGSQQKLEKVQLGFQEEQKAYDALKEKYIAAVAEQRHCYSLLKAFQEECTRNERLRSRLLPNFKLTCISNNDL >OMO52394 pep supercontig:CCACVL1_1.0:contig15543:42552:44808:-1 gene:CCACVL1_29241 transcript:OMO52394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MTTTFFSEAYRETPIHLRHIIPLDFHSVPTVPDSHVWPKSDAFSSDDDRFSIPIVDLNDPDAVKLIGHACETWGAFQVINHGIPLNLLDDMESETRRLFSLPAQQKMKALREPAGIAGYGIARISPFFPKYMWHEGFTMSGSPVDHARALWPNDNARFCDVMESYQKKMKVLAEQLTHMILKSLDISEQDLNSDILGLPYTVLQLNSYPSCPDPNRAMGLAPHTDTSLLTIVHQSAITGLQLFKEGLGWVSVQPITGALVVNVGDLLHIFSNARFPSVLHRAVVNRDRFHRFSMAYFYGISTDCNVSPLPKLLNISGQTPRYRSVTVKEYVVIKSKSFDEALSLIRI >OMO66024 pep supercontig:CCACVL1_1.0:contig12594:4249:7199:1 gene:CCACVL1_21317 transcript:OMO66024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVNQWIRIEVSWQRTYLPDNPLSLAM >OMO97156 pep supercontig:CCACVL1_1.0:contig07272:15466:16409:1 gene:CCACVL1_04635 transcript:OMO97156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat 4 MVQSAGKASGSGGLAQHQLLKEEEEWAAEEVQLLDDPTSPNHLSLIALMNLQGNYELTAIPPLFFRWIALLQVLDLSGTNIKSLPKSLPKLVSLKKLLLQCCVLFMELSPKVGELANLEELDLHKTQIIDLPRDIGKLIKLRYLRVSFYPICGKMKLKSNAVVHPETISNLSQLSDLSIDVDPVDKRVQ >OMO97157 pep supercontig:CCACVL1_1.0:contig07272:17259:18883:1 gene:CCACVL1_04636 transcript:OMO97157 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding transcription factor, subunit B MEGIIDGANMMQSTSESLLHESRHLHTMKHARGPGDRSLSTKRTNNEQNDATSNDFNINLDSAKNELASAVSGNRHELEDNEATGTVINPSGADDSMCLASDSTLELSKSCSANTAIEKEHMFDKALTPSDVGKLNRLIIPKQHAEKYFPPPNGEGILLNLEDRNGKQWRFRYSYWNTRQSYVMTKGWSRFVKDNKLVAGDIVSFHRRVGDSGKDSLFIDCRRRPDHAPDSASFHHQIQHHHFPLHRSIPWSPSLMQPPSAMAAAKRLRLFGVNMECPISELLDEDETEISCTTTEANATMVPQPPDHQFSSTPMDLDI >OMO74646 pep supercontig:CCACVL1_1.0:contig11102:6167:13138:1 gene:CCACVL1_16555 transcript:OMO74646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNDIDEKDYILLKDLKVEINAVEEEGFMFCFWVYLFNSNASPSTILKQVCSETNSTAPLLVLNDKTLTLLPLTCSHNKAPDSADTALSTEVPKLLTEVENPQYKWIHVGYEVSTDFVRLHVNGEIAGELQLSSLSNKDFVPNFLRRMTLVGTTGDNDLQGYIYHPKVLTSTLSVKDHYVKDPPLQLSIDESSASDIEEDNGFWNIVGGKASCRRIFSLDVVLSNAFGQPINKDLEVVASLLYAHNQSPVEKSDDEEAPLLASFDGIEFASCDRPSKLSDGRASFKLKISKLSSKCDNRQFCIKFGISKFEGYRFLEDFSPAVRCISRNPKLSPTSKRVRLGEAKISSTDPLGEECNSRAWTANQVENGFGSSLEGRPENIEEVDNSLSDSESTGARDPALKSVSSRGHSVSDVTIFRYCLGGLTDRSLLLKEIATTASDDSISGFADQDFELLRKIAGCRDYMAQENFEKMWCWLYPVAFTLSSHRINAMWNSTSPKWIEGFITKEEAELSLRGSRGLQEPGTFILRFPTSRSWPHPDAGNLIVTYVGSDYTIHHRLLSLDNIYGSEIREMNTKVKPLQDMLLAEPELSRLGRIIRSH >OMO74649 pep supercontig:CCACVL1_1.0:contig11102:44865:46585:1 gene:CCACVL1_16558 transcript:OMO74649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIEAQPDCQSGIQSPVSDDASTVSDQPSWLIPPDKRWKWWILVALNIVFLLLGQAVAVLLGKFYFDHGGHSKWMGALVQTAGFPVLFLPLLLFPAPKRVSSSCNDPSQPSLFTLTLLYFSLGSLLAIDNYWYSLGLWYLPVTTYSLICASQLIFNVIFSVTINSEKLTFLILKSVILITVSASLVAIHPDSSEHDEHGKHARRKKDHVIGFLCTVGASAAYALLLSLTQFSFDKVLKKETFTVVFEMQIYTSLVSTSVCIVGLFASSEWETLGSEMETFKLTFKNGELLYVLILVGAALGWQICTVGVVGLIYLVSSLFSNVVSMVCVPFVPVVGVLFYKEKMDGIKILAMLLTIWGFASYLYQQYLDENKAKKEETDSDSGIEDSRLDGITDKLV >OMO74651 pep supercontig:CCACVL1_1.0:contig11102:50440:51912:-1 gene:CCACVL1_16560 transcript:OMO74651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone isomerase MFLCESVLFQNPVAPSLSHTGYMFRKMLIWCSKVGTADYTEEPATKVKFQTSISLPGCSSSLTLLGTGYREKVFAIIGVKVYAAGLYANQSIIGKLDAWKGWPAAQIQDDVSLFKTIFEVPLEKSLQIVLVRDVDGKTFWDALDEAISPRIKAPTPVDETALSTFRTIFQGRPLNKGTFIFLTWPDPSKMLVAVSSGGLPTSTDATIESANVGSALFDVFFGDAPVSPSLKTSVATGLATILK >OMO74645 pep supercontig:CCACVL1_1.0:contig11102:4700:4795:1 gene:CCACVL1_16554 transcript:OMO74645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTQPLIHARLMEEVFAVGKKPTIIVVLELF >OMO74648 pep supercontig:CCACVL1_1.0:contig11102:36810:37991:1 gene:CCACVL1_16557 transcript:OMO74648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQISMLQKYKGPDDASSLSDQPSSLLIPDKRWKWWILVVLNIVFLLLGQAVAVILGKFYFDHGGHTKWMGALVQTAGFPVLFIPLLVFPAPKRVSSSCNNPSQPSLFTLILLYFSLGCLLAIDNYWYSLGLWYLSVTTYSLICASQLIFNVIFSITINSEKLTFLILKSVILITVSASLVAIHPDSSEHDEHGKHSRRRKDHVIGFLCTVGASAAYALLLSLTQFSFDKVLKKETFGVVFEMQIYTSLVSTFVCIVGLFASSEWKTLGSEMETFKLTFKNGELLYVLSLVGSALGWQICTVGVVGLIYLVSSLFSNVVSMLCVPFVPVVGVLFYKEKMDGIKIVAMLLTIWGFASYLYQQYLDDSKAEKEETDSDSGIEDSRLDGISDHKLV >OMO74644 pep supercontig:CCACVL1_1.0:contig11102:980:3772:-1 gene:CCACVL1_16553 transcript:OMO74644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MVRIVSAPVGKYFKYHRGVEKYMMILHKRLEELTSRKEDIDSRLNRDLHSSKTLKKEVKLWLESVGKITAEIDSMTQEVEERKYLSRARLGKKIAAKIKLVEEIHQKGDFADMLVIDAPPGHKDELPMTGLVGHSTARRNMEEVWNCLMGDHSYRKIGVYGIGGVGKTTIMKHIYNQLIKETDKFDHVIWISVSRSVSVTQLQDKVACALGVSVPENGSEVIRASKLFAMMRTMRKYVIILDDVWEDFQLEDVGIPQPTTDNGSKLVITTRFADVCSRMGCKEIKAELLTEEEAWVLFVEKAGSDVNSPQIKPIAKQVAKEGACLPLAIVTIARSMKGVTESCEWRNALEELRDSTRGHHDMRKVLEQLKFSYNHLDDEKLKHCLVYCALYPEDMDIGRKELIERLITGGVIDGMRSRQAEFDKGHAMLNKLEKACLLECVIDKRVKMHDLVRDMVLQVTGFSPHLMVKAGMHLRGIPNEEYWTDGLEIVSLMHNYISEIPSTASPICPKLSTLLISSNHCLTRIPDPFFVHLGTLQVLDLSDTSIEVVPNSISKLEKLSALLLRGCSRLRHVPSLGSLIALRKLDLCHARINELPQGMEMLINMKYLNLYTPHLGFFPLGILPKLMNLQVLLTYGASKTLKIKGEELATLRKLEIFSGQLYNVDDFSTFMASNSRQDRGPVLYSIRVGEHLRATLKEENELLGNDVILSKCVVEYDDQLVLPNDIQTLEILHCQGIKSLCKLFSWNNATGRLKSCLIFDCKDMECAFESTLPDSAFSNLKKLYFYECPNVKVLFTPNLLLQSLEDLRVSHCRQMEKIVGMEQEEEEGFVESNTKTFPMLKSLSVCHLPNLKTIFMGILRCDSLQVLEVIGCPNLKRLPFSSLLLPSSLDKILTTIEWWESLEWDHPNAKNAFQPFLRPELQRQITSWVF >OMO74647 pep supercontig:CCACVL1_1.0:contig11102:21468:23213:1 gene:CCACVL1_16556 transcript:OMO74647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIEAQPDSESDIDVAEIQRPLPDDASTLSDQPSSLLIPDKRWKWWILVVLNIFFLLLGQAVAVILGKFYFDHGGHTIDNYLYTLGLWYLPVTTYSLICASQLIFNVIFSVTINSEKLTFLILKSVILITVSASLVAIHPDSSEQDEHGKHARRKKDHVIGFLCTVGASAGYALLLSLTQFSFDKVLKKETFGVVFEMQIYTSLVSTFVCIVGLFASSEWKTLGSEMETFKLTFKNGELVYVLSLVGSALGWQICTVGVVGLIYLVSSSPMLSQYLDDNKAKKEETDSDSGIKDFRLDGISDKLV >OMO74650 pep supercontig:CCACVL1_1.0:contig11102:48362:49862:1 gene:CCACVL1_16559 transcript:OMO74650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGLGIAFYITICCIAFIISKIILSILLYKRWKRKNMIYEEGNFSGGKMVMFRSPVLQSLTSDVFLKKTLKLNNKDIIGAGGYGTVYRLIINDSLAFAVKKLNRGTADREKGFERELEAMGDIKHRNIVTLHGYYTAPHYNLLIYELMPNGSLDAFLHDKLVLQGNQWRVKGVVEEKREEYVLDSSLVSCPVDEINNTFNVALMCLETDPSMRPTMAEVVKMLEQIKSEKAVTDS >OMO81045 pep supercontig:CCACVL1_1.0:contig10247:21636:28796:-1 gene:CCACVL1_12648 transcript:OMO81045 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MGIWIRHVRVLGGICGVERVGIDFPTPTVPLYSLQWLTVEEKKKSFTFSNTALLLLFATTYQIFVSRYSLAAEMFLALLNQLAFEIPTKHFQGKSLKLGIAFVLLLVLGIAYSGVCDELPMVSVPLGFEISGFDRSKTWVSQNGVFAFGFLEGYQRVDDMDGFVVGIRYNLGDKVSNLPVWTVGGGVRVSDNSTVRLSMDGRLILFDNPSGLIVWSSNTSSLGVKKATLLNSGNLVLMDMADNVLWESFDSPTTTLLPGQSLHFPQTLRAPSTKSTSSYYNFVIRHSGELALVWEANVTYWRVPLSSNEVIKEAQFDSNGALGLVDDKNRTVWSVSSKDFEDPSVALRHLRIDSDGNLRIYSWVSSLRAWRVGWQAVENQCNVFGACGLYSICGFNSTGSICNCLYQDSVTWGSDLPLVDSSGSGCGKMADLENCKTKTSILTLRQTALYGLYPPEDVDMMLSEVACKEYCSNDTTCMAATSKNDGSGICTIKRTSFLSGYRSPSSPATSFLKVCLVPQAVSARANPHKLGKPLTSTALVARGGDKKTFIRAITLIVSVTTFCFITIEMFVFWYFYRRRQTEAQARVLSGKETEMNHRYSFLTRVSYGEIKQLTGNFEDQLGPSVYKGVSPNEIPVVVKVLNNVVATEKDFRVVVSTLSGMYHRNLVSLKGFCFEGEHKCLLYEYVSNGSLDKWLFDMEQRKTELTLQQRLDIALGVARALAYLHTECQTCVAHGNLKLENVLLDDKFVPKVTDFGLRTLLGKEAASTSESPIERDIFMLGEMLLQIVTCERDIFGSKMHSLIAMINEEHKLEDSVESEKVERLVRIALWCLQNQPFLRPSIGEVVKSFKMDDTESPINKFDSLNDNGESKEPKLEESDLIQTVGFGSKNAAMDVLRASSSAIVPSPYVNPTTPMNKTQFLTNPLTHQNLLFKPLVHKPTSKSKLFTALSSNPVTTESMEEPKPPEPEVEVESGKEKFDWYSEWYPVMPVCDLDKRVPHAKKVLGLDLVVWWDRNENEWKVFDDSCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKLIPQAPPDGPPVHTFKKACVAAYPSTVQHDIVWFWPNTDPQYKDIIMKKKPPYIPVLDDPSFTKTMGNRDIPYGYDVLIENLMDPAHVPYAHYGLMRTRTPTVKVDREGGRPLDMSVKKLGVNGFFAKQEWGNSEFIAPCIFHAFTNPPVAQVDGTETSAETKKASPAANRRASLIFICVPVSPGHSRLIWTFPRNFAVWLDKIVPRWYFHIGQNLILDSDLYLLHVQERKIEDIGGSKNWQKACFVPTKSDALVVGFRRWLNKYSDGEVDWRGKFSGVLPPSPPREQLLERYWSHVVNCKSCNTAHKGLNTLEVVLQVLSVGLIGIVAATKQNAMSMVARTAMVSMAIICFAASRWLAHFINKIFHYHDYNHALR >OMO81046 pep supercontig:CCACVL1_1.0:contig10247:31099:33597:-1 gene:CCACVL1_12649 transcript:OMO81046 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calmodulin binding protein MGVALSCPFAKCSDLETSLESVTVKSISFGDDGDKTPLRSISFKNIDSEPKISKSVGSGKMILEKSVSLGRELERMLSLNSPSSDKAVNLKSKPMDIPSPRLNDSLETPKQSPILDPCNPQHEAAIRLQKVYKSFRTRRKLADCAVLVEQSWWKLLDFAELKRSSISFFDIDKHETAISRWSRARTRAAKVGKGLSKNDKAQKLALQHWLEAIDPRHRYGHNLHFYYNQWLHSQSQEPFFYWLDIGEGKEVNLEKCPRSKLQQQCIKYLGPMERKPYEVVVVDGKFMYKQTGKLLHTTSENDDAKWIFVLSTSKILYVGLKKKGTFQHSSFLAGGATIAAGRLVVDSGVLKAVWPHSGHYRPTEENFNDFKSYLKENDVDLTNVKLSSMDEDEASVDKQRSSNHLRCNSSEEDFIFENVEVNVKDSIKEVDSMVQETTAALERPRSNRLLNLSRKLTNLEIPKRAELFEKSDAVHGAVVPNCNDNSMDSPLEDGYETEEEAIASEQKIDENHVDNEVEHIPEESILQRINSKKGMNSYQLGKQLSCKWTTGAGPRIGCVRDYPSELQFRALEQVNLSPRSASLTKSYFSPRSISGLSPKVSTPVGVSEEMRTQSLPVLKKEKSLQKSIHSRMQASAFLRGAVVANIP >OMO81044 pep supercontig:CCACVL1_1.0:contig10247:18255:21216:-1 gene:CCACVL1_12647 transcript:OMO81044 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase MDVLGGSSAIVPSPYSNPTTPMNKTQFLTKPLTHQNFLFKPLVFSNRSKSKLFTFLSSNPATTESMEEPKPPEPEAEAEVDSKEEKFDWYSQWYPVMPLCDLDKKKPHAKKVMGLDLVVWWDRNENEWKVFDDLCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKLIPQAPPDGPPVHTFKKACVAVYPSTVQYDIVWFWPNTDPQYKDIIMKKKPPYIAELEDPSFTKAIGNRDIPYGYEVLIENLMDPAHVQYAHYGIMQIGTPTEKVDREGGRPIDMEIRKVHVNGFTGKQGWGYPEYIAPCIFHTFVLPDSDQAPAANRMVSLIFMCIPVSPGQSRLIWVFPRNFDVWIEKFVPRWFFHIALNLILDSDLHLLHVEERNIMENGGSKNWKKACFVPTKSDAFVVGFRRWLNKYAGGEVDWRGKFSGALPPSPPREQLMDRYWSHVVNCKSCNTAHKGLNALEVILQVVSVGLIGIVAATKQNAMSMVARTTMVSMAILCFAASRWLVHFIKKTFHYHDYNHAFL >OMO81042 pep supercontig:CCACVL1_1.0:contig10247:2751:4427:-1 gene:CCACVL1_12645 transcript:OMO81042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESELSTEPIGQNLIKLISNLCFSVFVFSVLIFTVIAITYQPPDPWLESAPALTKLFTQTENATFQDDNSVLKTGEDLVAAATPAVPPAMALNPITETVIEKSEEKLSNLSVKSDSECEELSVVNCSDPRLLITVERFNLKTFKSIVFLEYQTPVNGSRPDECDVAWRFRNKKEKSWRKYRDFRRFRFGYGENCTYKIVHANGWHSGTNARRPRIRPNATRTGAHAKLAPPMRDEEINDTIPTLGSEMNFRKGRYLYYARGGDYCKGMNHYLWSFLCGLGEAMYLNRTFVMDLSLCLSSTYSSSHKDEEGKDFRFYFDFEHLKEEASIVEESEFLRDWKKWDRSHKRKVPVKKVTTHKVTPMQLKKDKSTIIWRQFDAPEPENYWYRVCEGQAAKYIQRPWHALWKSKRLMNIVTDISGRMDWDFDAVHVVRGEKAQNKQLWPHLDADTSPDAILMKVKEMVQPWRNLYIATNEPFYNYFDKLRSQYKVHLLDDYKELWSNTSEWYNETMTLNDGKPVEFDGYMRVAVDTEVLYRAKTRVETFYNLTKDCKDGINTC >OMO81043 pep supercontig:CCACVL1_1.0:contig10247:5670:11096:-1 gene:CCACVL1_12646 transcript:OMO81043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase MDVLKAASAIVPSPYINPTRPINKTQFLTHQNFLFKPLLLKNTSKFKLFTALSSNPATTETMEEPKPPEPELEVDIKEKKFDWYSEWYPVMPVCDLDKKKPHAKKVMGLDLVVWWDRNENEWKVFDDLCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKLIPQAPPDGPPVHKFKKACVAAYPSTVQHEIVWFWPNTHPQYKNIIMKKKPPYIAELEDPSYSKLMGSRDFPYGYEVLIENFVDPAHVPYSHYKLLQIPNPPVKVDREGGKPLDMSVKKLYATGFTGKLDWGMSQFYEPCIFHGFTVPPVDQVDGSENSAETKKASPAYHRFSMILMCIPVSPGHSRLIWSFPRNSGVWIEKIVPRWIFHIGQNLTLDSDLYLLHVQEQRLMDLGGSKNWQKAYFVPTKSDALVIGFRMWLNKYGGGKVDWRGKFTGALPPSPPREQLMDRYWSHVVNCKSCSAAHKCLNALEIILQVMSIGLIGFIAATKKNAMSMVARTIMVSMAILCFAASRCNRSKSKLFTTLSSNPATTEEPKPPEPEVEVESGKEKFDWYSEWYPVMPVCDLDKKKPHAKKVMGLDLVVWWDRNENEWKVFDDLCPHRYEVLVENLMDPAHVAYSHYKLLQIPIPPVKVDREGGSPLNLSVKKLYANGFIGKQDWGMSQFYAPCIASIASPAYQRLSMIFMCIPVSPGHSRFIWTFPKDSGVWIEKIFPRWIFHIGLNLIIDSDLYLLHFQYGGGKVDWRGKFTGALPPSPPREQLMDRYWSHVVNCESCTAGHKCLNAVEVILQVMSIGLIGFIAATKLNAMSMVAATAMVSVAILCFAASRWLAHFINKTFHYHDDYDHAFV >OMO63137 pep supercontig:CCACVL1_1.0:contig13028:17769:22864:-1 gene:CCACVL1_22463 transcript:OMO63137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEPLCPNFDLLKSSIPTSIPLSLHRFSRLNRGFSKKSSVSIVPFASYNHNHGHNQAVKKEVPRPQSDGHDLIKSLTKGFVGFAAAATALASVYSDSPAFAESLTVAFPVSRAQEVNTVQRTLVEAWGLIRETFVDPTFNHQDWDLKLQQTMVEMFPLKSADAAYGKIRGMLSTLGDPFTRIVSPKEYQSFRIGSDGNLQGVGLFISVEPKSGHLVVLSCIDGSPAARAGIHEGDELIEINGQRLDGVDSEAAAQRLRGQAGTAVTVKLQTGKYSGSDSSIKEVKLPREVIRLSPISSTVIPHKTPDGRLTKTGYVKLLTFSQTAAIDMENTIQEMENQGVQSYILDLRNNPGGLVKAGLDVARIWLDGNETLVNTVDREGHMSPINMINGHAVTHDSLVVLVNEGSASASEILAGALHDNGRAILVGHKTFGKGKIQSVTELHDGSALFVTVAKYLSPSLHDIDQVGIMPDIQCTPDMLNSPKETLIKDRSSVSSLEADSCVMVAEHELDIQESKGTAS >OMO63136 pep supercontig:CCACVL1_1.0:contig13028:14032:15234:-1 gene:CCACVL1_22462 transcript:OMO63136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEIVFVERTTKRARLFAPPPSPPYTTVPNIFPPPQNHSAPPYYLNRPGVPTPRMVPNPVPYYPTQNQGFNLANSNPNPRHDVHRPPITARASPVPVQGFILTNPNPNKRRYGDVDRVPIMARASPVLNQDSRYQQRQGFYPPPQPGVPVQNTNGRLFTTSTVINPQHAPPPNFVSPYGTVTNPTVSQTRNPSTVRVNELSSSILSELFRSINAQEGLANPKEEEKPVEEEVPSEFDAAWLKVRHESVIKSLYSDIPRQCKTCGQRFKTQEEHSKHMDRHVRKNRELKKIKKMKPSRPWLLTQSLWLKAIETPENGGIPFFLRQRDSTDEKVIKEFAVPADENQTLCALCMEGFEDFYSDETEDWMYRGTVYKNDPNGSMAAAGKDRSKLGPIVHAKCL >OMO63138 pep supercontig:CCACVL1_1.0:contig13028:38407:38484:-1 gene:CCACVL1_22464 transcript:OMO63138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTVKPPLNVGFLRHVRLVLSHSN >OMO63135 pep supercontig:CCACVL1_1.0:contig13028:10374:10466:1 gene:CCACVL1_22460 transcript:OMO63135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAARVPPNTSVGLQNSEHPRYEGSERISSQ >OMP06039 pep supercontig:CCACVL1_1.0:contig05079:930:4950:1 gene:CCACVL1_01739 transcript:OMP06039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLGEIPFGLDFHPTKELIAVSLTTGDLHLYKYKNDDSSLERLLDVRAHSESCRTVRFINGGQAVATGSKDCSILATDVETGSVIARLENAHENAINSLINLTDSTVASGDDEGCIKVWDTRQRSCCGSFNAHEDYVSDMNSVPDSMKLLTTSGDGTLSVCNLRTYKVQTRSEFSKDELTSVVVMKNGRKVICGSQSGTLLLYSWGFFKDFSDRSVDLSPNSVKALLKLIGSLQDLRMDSLAFSHDRRFLGSISHDQMLKLWDLDDILQGSANAVKGQSAMSDSDSDEMDVDDNPSQSKKGDSIEELKGLRITPLDDDDGEEEELEDEVDEDDEEEDEAVILGFLEKPENSWSLLRQQFPSKAGGIPVNIFFLTFFPS >OMO55166 pep supercontig:CCACVL1_1.0:contig14775:10202:17508:-1 gene:CCACVL1_27360 transcript:OMO55166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQQPNLSSSFEEVKLHFGNEAQRPIAMRDCKGKADQGP >OMO55165 pep supercontig:CCACVL1_1.0:contig14775:1104:3157:-1 gene:CCACVL1_27359 transcript:OMO55165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQWLIWGMVLLWFVSVSAELGRFEQPIIKADGSLSFLVVGDWGRRGAFNQSQVAFQMGKVGEKLDIDFVVSTGDNFYDNGLTSELDTAFQDSFTNVYTANSLQKQWYSVLGNHDYRGDAEAQLSPLLRQIDTRWLCLRSFIVNAELAEIIFVDTTPFVETYFLDPEDHTYDWRGVSPRKPYITNLLKDVECTLRESTAKWKIVVGHHAIRSVGHHGDTQELVTHLLPILK >OMO69395 pep supercontig:CCACVL1_1.0:contig12047:44298:47087:1 gene:CCACVL1_19530 transcript:OMO69395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRETLSVSEKGKGRGGGAYGWKRDSSPEKRSIQLRFSLNTKKKRHGWIFIPKLSDENYPLLQLAFNSASLRFQETQQPSKPKIFKISTLFIAAYDYEEKAVRHQGLASIMQQNQYIHKVKDQVFLFCGIPLSVKDVVNEFFQRLTGAALTI >OMO69394 pep supercontig:CCACVL1_1.0:contig12047:5200:11144:-1 gene:CCACVL1_19529 transcript:OMO69394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVDVSILTHISSSRLPGVLQVSKRETAYTTRGCSCKTWYDESCRIYFVTLHGLMA >OMO69393 pep supercontig:CCACVL1_1.0:contig12047:4109:4213:-1 gene:CCACVL1_19528 transcript:OMO69393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNTTEIPSNQPRRTGYSNLPIQKIPQTDEANSK >OMO69396 pep supercontig:CCACVL1_1.0:contig12047:50213:55346:-1 gene:CCACVL1_19531 transcript:OMO69396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MANNNSLIPLQVPKLKKDKFDNWCIRMKALLGAHDVWEFVENGYEAPTDLASLSQVQKDLLQRTKKKDKKAVTLIHQALDEVTFEKVSNATTAKEVWDMLQLAYKGMDKAKKIRLQNLRGEFEAIQMKESKKVSDYVSRVTAIANQMKRLGEDVSDLRVIEKILRSAHEEKLNRRKKESAVEHVLQSKLTLKDKEEKGSPSYKGQGRGQYRGRDTGASNHMCGNKDLFAELDEKVRGTITFGDSSKILALVEKESGFKIQALRSDRGGEFTSNGFQEFCDAKGICRLLTTPRSPQQNRVVKRKNRTVLKMTRSMLKTKKMPKEFWAQDVDCAVYLLNKCTTRAVENKTPLEAWSGKKPSVSHLKLMDRMAGCGRMAHALARAMAGCGRMACAMYREASHALAEATWEWQVQDESYDFLEFMEDGEEDNEERLDSITPPPSPSRNEMSSPESSNTEPINFDEAVKDERWRKAMDEEINAIKKNKTWELTTLPKEHAAIGVKSQNKWKIFQMDVKSAFLNGFLEEEVYVEQPPGYFAKGSEGKVLKLKKAFYGLKQAPRSWNCRIDKYFQENGFLKCPYEHALYIKVSGSGILIVCLYVDDLIFTGNNPKMFEEFKRAMNREFEMTDLGLMSYYLGIEVKQMEEGIFITQENYAREVLKKFNMSNCNPVNTPVECGIKLSISDDRNKVDTTLFRSLVGSLRYLISTRADILYGVGLVSRYMESPTTMHLDAAKRILRYIKGTLDFGLFYSTSNDFRLRGFSDSDWGGDIDDRKSTTGFVFYMGDTAFTWSSKKQPIVTLSTCEVEYVALSSCVTQAIWLRSLLKELHFAQMEPTEVSVDSKSIIALAKNPLFHERSKHIDTGFHFVRECVANKVVQLNYVKSQEQVADIFTKALKINDFLRLRNMLGVCKCHV >OMO80274 pep supercontig:CCACVL1_1.0:contig10336:68914:74381:-1 gene:CCACVL1_13059 transcript:OMO80274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geminivirus AR1/BR1 coat protein MEEQTVTNSQPILYETIFLNPISLPSSPTAESPPSDELPLEPYTVFRNEISLSAENTISVDSAAPDYFSLDLNESDEPVPAQTPVSAWDEPGPKKPNTVDEPRLENQGWFRGNSRFKSPMLQLHKVHWVLVVEIVDFCDFLSPTPEEQAARDAAVASVFDVIKYIWPACRPEVFGSFSTGLYLPTSDIDVVILGSGIKNPQTGLHALSRALSQRGIAKRIQVIAKARVPIIKFVEKKSGVAFDISFDVENGPKAAEFIKVYSGGIGSYALLAMLMAMLQSLRDPEHNLGMLLVHFFDFYGRKLNTVDVGVSCKGGGTFFLKSSRGFSNKGRPFLISIEDPQAPDNDIGKNSFNYIQIRSAFGMALSTLTNAKLILSLGPSRSILGTIIRPDPVLLERKGGSSGAVTFSSLLPGAGEPLQQSYSEQQDILCNWQLDDEEPLPRGHGNAGDVSAQSSGRKRKSRSKKKKAKENGDVRKVWDEEETVLKKEKSSRKKSSRHSRSDANGFSRHGIRYSNNTMNRAKYNRPVGRRSFVYRRGPKVRVNQSVPKSQGDKMTKQRIHENQYGVQYSLLNNTSSVSFITYPRLGGPEPNRSRAYIKLNRLRYKGTVNIECADPDVGMDPNRGGLSGVFTLAIVVDRKPHVGPTGSLPTFDDLFGCNLYSNGSLDISPQMKQRYYIRHVHKRVVSYEKDSIMMNISGNMGLSSPKYVCWSSFKDLDVDSCTGSYSNLAKNALLVYYCWVSNMPSKASSFVSFDLDYLG >OMO80288 pep supercontig:CCACVL1_1.0:contig10336:146184:146585:1 gene:CCACVL1_13074 transcript:OMO80288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKTNGKQFPVSEFLRESRQKVEENVLGPGGGAGIGCGVGIGFGLVGGAGFAFLGSI >OMO80272 pep supercontig:CCACVL1_1.0:contig10336:67252:67638:-1 gene:CCACVL1_13057 transcript:OMO80272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDPVLLERKGGSSGAVTFSSLLPGAGEPLQQSYSEQQDILCNWQLDDEEPLPRGHGNAGDVSAQSSGRKRKSRSKKKKAKENGDVRKVWDEEETVLKKEKSSRKKSSRHSRSDANGFSRHGSSSRI >OMO80261 pep supercontig:CCACVL1_1.0:contig10336:13783:14442:1 gene:CCACVL1_13046 transcript:OMO80261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPGDSTRLKLFKSYQEEDGISVDRISNLPDALLHQILSFLPTKTVVATSVLSKRWVSVWTSVPALDFQDSDICRSCPEAMMNFMEFVYNTFLLNKSGSIERFRLHCNLSYGLSCVNKWICFTVNRGMQLQEVDIAVSKAREDEDEDSLLLKLPSGFFLMKTLKILKLEGDIMFDFRGPISLPSLKILHLRCVNYATNTIDSLVWVCGSPGIGNSRT >OMO80290 pep supercontig:CCACVL1_1.0:contig10336:149164:151614:-1 gene:CCACVL1_13076 transcript:OMO80290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidic acid phosphatase type 2/haloperoxidase MREVQLGSHTIKSHGVTVARTHMHDWLILLLLLLIWIGLLIMNPFYRFVGKDMMEDLKYPLKSNTVPVWAVPMYAVVLPMVIFIIVYIRRRDVYDLHHAILGLLFSVLVTAVITDAIKNAVGRPRPDFFWRCFPDGKDEYDKWGNVICHGVKSVIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIQAFDRRGHVAKLCLVFLPLLVAALVGISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFFPPPYHTECWGPYAYFRVLEESVANTQANNVASRQDAMTGEVQIGNHEEERTDNGFMGLHLARSASSTMEDIESGK >OMO80280 pep supercontig:CCACVL1_1.0:contig10336:105086:107806:-1 gene:CCACVL1_13065 transcript:OMO80280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKPSMMLRFCALTFFFLPISFSADVISSEVSYSDYCSSIVPESTTSSQLYTSSFGPFDEFETGYYIGGNRILNPKITRISNVLGFETRYVSETDVDGVYKVEGSLTFYKPFDPNKRPFNLKLQGLWSEFSDKLCMVGVGSAYSKQGNLLTPSAVFKLTNLKNTSRITTLITGTVESLSSSDDVNYFEPISIIMFPRLNYEYTFDSTESIYEFSSETDTEKNLPLSALPSRSFCSIISTHEKVFELHYSSNCRSTKQNCLPFGNGGLAGYLPRFVSFRSISCSGVQKRSRVLVEFRNNSYVENYQFFNPNTTLIGEGTWDEKKNRLFVSVCRFLDIGESWSNAHVGDCTTRLSLRFPAVYSMRRTSNVMGQMWTKKSVNDSGYFDRIVFQSTKKRVERVPGLMYEYTEFDRVKTFCPRNEPVRNKGERYPSGNSNDMIFDISVRDSERKYGKGSAIPFAIGDLLYRQNLYPMTLPSSMFETAVPTSWIQSRPINVSYEISITLQTPTNSIDGLHFSYLYEEKMEITAEGVYDSQTGNLCMVGCRKLLGSNNQVLGNGYLDCEILLNFQLAPLKPNGNGGYIKGSIESTREKSDPLYFNHLVVSSTDKEIDDQGRSLIRKTGVKFTMAVISNILICIFVGLQLHHLKKNPEVVSFISLVMLGILTLGHTVPLALDFDTMCLNKQDRHKVVSHNGGWFELKEVIVTVPMVVAFLLLLRLLHLTVSARTHNGNRKSLWVAEEKAALVIVFLYAASAKITLLAAWETYKPDKMLLISSQVEHPICNIVKSYASLLLDGFLFPQLLLNLFSNSKQNALNRSFYIGTTFVRLLPHAYDVLYTKFNFPPGNSDRGFFSLARDVIIVLGLLFLPAVIYFQQKFGGHCILPSIFRDPEELPLASNSSRTEKSSA >OMO80291 pep supercontig:CCACVL1_1.0:contig10336:154451:156478:1 gene:CCACVL1_13077 transcript:OMO80291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MDPPPTTASTTPKLRLMCSYGGHVIPRPQTKSLYYAGGENRIVTIPAAAPNLTLSSLIAHLSSFLHLTTPFVLKYQLPNHDLDSLISISTDDDLQIMLEEQSRLSSTASPSRIRLFLFPVNCLNAELSHPKRESCDDFVGSAVSNVQTGIQDQVAPIAAMESKVSSNPYDSGVEMHKPIQASGFPMNLMDQPQQQKQLVYEGTHYIPQNMPGVQPVTSYYPVYHQVPQEQHLHFQSNQPYPVYYLPVVPTQSYNIPVQCGMVQASTVGSGQPQTHPNASSIPPQRVLKEVTVLPQPMAELNSQTYQKIPGHQLVHVPYNETETRLVGSQIQHQPQAFGPAAGETATCTNKLDDDLARVQIYKSQPPPPTVPSQYQTMTTKAATLILSEALVHTDNAKQQFRTSEPQ >OMO80284 pep supercontig:CCACVL1_1.0:contig10336:123433:124778:1 gene:CCACVL1_13069 transcript:OMO80284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEGDSKAEVVYMDQNMVVEVVRKYDVVEVVVDLVEEEVGHSTQDMAVKVAAAVHNKSVEEEVVVVVVQKGPVEVEAVVEKALVLMGEGSGGGGPDGPGGGGAKGSGGGGPDGPDGPSGGGGGGAKGSGGGGGECL >OMO80287 pep supercontig:CCACVL1_1.0:contig10336:143221:143547:-1 gene:CCACVL1_13073 transcript:OMO80287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSRKSIKVTRIGGKSRTFWRIKAIPKLRWKIASPLKLLTKLKNAYMKMMIGLAGNVGYLNTENMFGGKRIPKARKVPLGYSNSEFDQRLVYEICKALVATRELYPE >OMO80283 pep supercontig:CCACVL1_1.0:contig10336:115700:122773:1 gene:CCACVL1_13068 transcript:OMO80283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MEEALLLSGGGDGENKWKIKWSAFGEELKKMSYMAVPMVAVTVSQYLSQVISVMIVGHIDELALSGIALASSFTNVTGFSLIVIGLASWFNVALLAFYMRYSSSCEKSRAFIFNDVFLSVKEYFSFGLPSAVMVCLTTGSLHFFVPYGISAAASTRVSNELGAGNPQAARFAVGVAMVLAAIEPLIVSITLFCCRYIYGYLFSNEAEVVNYVAEMLPLLCISIIMDSIQAVLSGVARGTGWQHIGAYVNLGAYYLVGIPLAAVLCFALNMRGKGLWMGIMAGTFVQALLLALVTGFTNWQKQENEKEIKNNGRGIAARWRRRGKRMEDIDRVECVRGGAKEDELHGGADGGGDGVAVPYAGHIGDDGWTPCLEWWSFELLVLLSGLLPDSMLETSVLSICNEAEVVNYVAEILPLICISVIMDSFQTVMSGVARGTGWQHIGAYVNLGAYYLVGIPVAAVLCFVVNLRGKGLWIGILSGTCVQVSLLGLVTGFTNWQKQASKARERIFDRAIVANKEIA >OMO80278 pep supercontig:CCACVL1_1.0:contig10336:96994:98064:1 gene:CCACVL1_13063 transcript:OMO80278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLTSLSGLISLTDLNLSDCNLGEGTLPSDISHLPSLRNLILSGNNFITLPQTLTQLPNLFLLRLSNCKKLKSLPHPLTHIQLIDIDGCNSLEVIPNPTVTNSTDGTSINCSNCYRLAENDYATTLLKLHLKVLATKSKTIDIFIPGSEIPEWFPYYWNESSLEIIKLQLPPNIRKDSRWMGVALCCILGSPLGDDAWGDVDIQTIGVILERNNNPRFVPGFYLESQYHGRCPVVKDHLWLQYWPRDMLSLASLEENGDETEDLVDQQGYRIGFWFQPYLKASVRPKVKKCGFRLVYEKDLEETEQILACLEFDDSHPKHCYTNGKCV >OMO80296 pep supercontig:CCACVL1_1.0:contig10336:193901:196042:1 gene:CCACVL1_13083 transcript:OMO80296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mandelate racemase/muconate lactonizing enzyme MASIGFGSALCSTKSLLLSPLCLHRLPKYLQIKQKTNSQFSVIAKSSAGSSELVTEPAVIKSTESERRSFGFKNLTETFWVDVHTAEGRPLSVRLKEPLTIATSELEKLENVVIRVELSNGCVGWGEVAVLPLENWTLAMALEKVTEACEFLGQGPPMTLNLVLDQISEIVPGTEFASVRAGLEMALIDAVANSIDVPLWRLFGGVSNTLVTSATIPTTSLAKAVELAAKYYKLGFNVLELKVGRNINADIEVIQAVRAASPHCLFILDANEGYTSKEAIQFLDKLNETGVKPLLFEQPVHRDDWKGLDDVSAVARVKYGIPVAADESCRSLADIQKVIDENLVDVINIKLSKFGLLGTLEIVEMVKKSGLNLMIDSSVGETRLAAGVAGHLAAGQGCFKYVNLSAPLLVSEDPVVGGYEVSGSNYKFVNSRGQGGFLKWDLM >OMO80268 pep supercontig:CCACVL1_1.0:contig10336:34688:34777:1 gene:CCACVL1_13053 transcript:OMO80268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIERTSKEEAETDREGKWVSIKKPGKNL >OMO80299 pep supercontig:CCACVL1_1.0:contig10336:217125:218414:1 gene:CCACVL1_13087 transcript:OMO80299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C26 MADLPPPRVLIVSRRTVRKNKFVDFVGEYHLDMIVQFGAVPVIVPRVSGVDNLLESFEPIHGVLLCEGEDIDPSLYEAETSTLSSEELEEIKKIHAGDTANDKEKDSIELKLVKLCLERNIPYLGICRGSQILNVACGGFYDPEAYNPHEGKFLMGLQFHPERMRIPNSDEFDYPGCPTAYKEFVRAVIAYQKKVNIQGISQLSQLNQDQMEKKRKIIARSFSLGPSKEFTLSPDGAKFLKCEISNGTLSFEEEV >OMO80286 pep supercontig:CCACVL1_1.0:contig10336:137727:139790:-1 gene:CCACVL1_13072 transcript:OMO80286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MEKPTAPSCNQSTYSAAMATSSSSTVDSQDYLSSPLSPPQDDLQYNNYYGDIATDFCSMYNSIFQPNYPLPHSLSLTPSSCSSSEDFNLRLTSDAIATEHRLNQARLILEYQQLCDHYDLCLSRLQTLFREVEMLRRENTELRLANAELIKLLSLSKSTLRPQATGEEVSDWNVKRWERPRNNTINGYNAQKTVVPKSVSVRSCSYVKVNQQRQHGSSNNQLQRPLNPSLRVYVPSEARREDQKAMELEVYNQGMVKTELCNKWQETGTCPYGDHCQFAHGITELRPVIRHPRYKTEVCRMVLAGQSCPYGHRCHFRHSLSDQERLLITP >OMO80263 pep supercontig:CCACVL1_1.0:contig10336:17215:18803:1 gene:CCACVL1_13048 transcript:OMO80263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTSLSSKPFKADQGDVDRISNLPDPLIHQILSFLPTKMVVATSVLSKRWVSLWTSVPALDQEDSDHICISCTEAKMNFLHFVYNALLRNKSGSIETSPLHCYSTYADVHAEVVVYHDHPPHSQLFAALTHAKCLQLYSVYIFSSQVQAASFPSFVNLTQLVLDIANWVVVLLFLQKSNKLETLDVCTQEMFSKGIYGVGVDSWRQPNEVPLCFMSSLRRVCFRDVKGLEDELKLVDYFLNNARVLRTMEICTNSDLSSDSKLCILKKLSMMTRCSDTCQLLFY >OMO80265 pep supercontig:CCACVL1_1.0:contig10336:22254:24023:1 gene:CCACVL1_13050 transcript:OMO80265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTSLSLKADQDDRISDLPDALIHRILSFLPTKMVVATSLLSKRWVSVWTSVPALDFHDSYIYRRCLEAKMKFMQFVYNALLRNKSGSVERFRLHCNANYGQSCINAWICSAAVDRGLQEADISVSKAGGEESLLKLPSGLFLVKTLKILKLEGDIMVDLRGPISLPSLKILHLRLVNYANDECLGSLLSGCLVLQELVVVERKTVNLNISSPSLESLSLTTIGSTSSQHKVKVNIDAPALKQFKLQTQIKLDLYVNLSCLITADIMGGSQGGTQLLAALSRVKSLRFLRIDHLAFAVAAGSFPSFVNLTRLELYNANWVVVSQFLQHSNKLETLDVGTSMMFTKLIPGVNCWTQPDEVPLCFMSCLTKSVEDNGNTHRNIFVFRFKALHSQEIVDDVQVLADLSTLIQMKAQFLILMAWVRSSSVHFPSGFLAM >OMO80289 pep supercontig:CCACVL1_1.0:contig10336:147030:147899:-1 gene:CCACVL1_13075 transcript:OMO80289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MVKRMEGKLIEDDKARKNVYETRKKNLLKKAQELSILCDSKILVIIFDQDKQKHEIWPDNDEEEARQIINRFKQKQVRGASKRASRSAARNQKERLGEKFPISEYKRVINGPSENQLQNLCHELDAKIADVKNVIKSKQGVTEEPKIAAYQEPVPIQQDQSFHQMLYHKPNFEDFSMNMMQNGIVSYPSQFSGSYSSSNIPFMPLAIHSDYPADTEFYHIPPPDAYYPHTQQFGGSSTISNIPFVPLTTHFDDLTRLQPADMNANFLKRHQDPNINYVPAPPTTHLLFQ >OMO80281 pep supercontig:CCACVL1_1.0:contig10336:108915:111083:1 gene:CCACVL1_13066 transcript:OMO80281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MDKALLEEVPGREEDDQRRRRCRFVEELKKVNYMAGPMVAVSVSQYLLQVISLIMVGHIGQLALSGVAIATSFTNVTGFSLLFGLAGALETLGGQAYGAERYQKLGTYTYCSILSLLTICIPLSLLWIFMEKLLTLMGQDPQIAQVASIYSIWLIPALFADAILQSFVRYFQCQSMILPLILSSCATICLHVPLCWALVHKTDLGYTGAALSITIALWFNVIFLGFYIRCSDSCGKTRTLILKDIYISIKEFLGFALPSAVMVCLEWWSFEFLVLLSGLLPNSELETSVLSICLSTTSLHYFIPYGFGAAASTRVSNELGAGNPQAARVAVNVVIVLGVMDAMIVSIALFGCRHVFGYVYSNEKEVVDYVGEMIPLISLSVIVDSLLAVLSGVARGTGWQHIGAYVNLGAYYLVGIPVAVLLCFGLHLRGKGLWIGILTGSSLQLLLLALVTFFTNWQKQASKAQERMIEEKFPPNGLA >OMO80273 pep supercontig:CCACVL1_1.0:contig10336:67975:68853:1 gene:CCACVL1_13058 transcript:OMO80273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geminivirus BL1 movement protein MSSQLANAPNSFNYIESNRDEYRLTHDLTEIVLQFPSTAEQWGATVRRRCMKIDHCVIEYRQQVPINASGSVIVEIHDTRMNDNESLQTTYTFPIRCNIDLHYFSASFFSLKDPIPWKLYYRVSDTNVNQGTHFAKFKGKLKMSTAKHSVDVVFRSPTVKILSKQFTGKDIDFSHVEYGKVERKLIKSASSSRSALHSAIELRPGESWATRSSVGVDINETESDIAHNTHPYRELHRLSTSVLDPGDSASLVAAARSESNITLSRAQLQELVNSTVDLCINRNCTPQQAKPL >OMO80285 pep supercontig:CCACVL1_1.0:contig10336:132228:134415:-1 gene:CCACVL1_13071 transcript:OMO80285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CBF/NF-Y/archaeal histone MDLNQAIEFDPSSTSSPQNNEFVPMTPLVLPSDQPPKEDVEEVQNSRLQLQKRSLALFWYQQMMDMQNLSAFKNSHQLPLARIKKIMKSNKAVKMISAETPILFSKACELFIMELTVRAWLRTEEAKRRTLQRCDIARAISQADTLDFLDGVIKLKNHKDNGGNPAENDCHPVNQVQFPLLDMNTEMIMRSPELQQLMMKIPMSSTQFNYGSASKGVEPAEKEK >OMO80269 pep supercontig:CCACVL1_1.0:contig10336:39209:40333:-1 gene:CCACVL1_13054 transcript:OMO80269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MAAASVTLTSITAAASSSLASDRRISVKLPEFRGLKSKPRSVSVTKSSRSVSRDPRRIGRVGRIFCEAQETAVDAVPCVNDEQWQSVVLDSEVPVLVEFWAPWCGPCRMIIPIIEELSREYAGKLKCYKLNTDESPSVATRYGIRSIPTVMIFKGGEKKDAVIGAVPKSTLTSSIEKFL >OMO80301 pep supercontig:CCACVL1_1.0:contig10336:227472:227573:-1 gene:CCACVL1_13089 transcript:OMO80301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQKKNHGIFSLDPLLAFENAISATTQRRNLK >OMO80302 pep supercontig:CCACVL1_1.0:contig10336:229384:230067:1 gene:CCACVL1_13090 transcript:OMO80302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMYKICHDAKFECGGISIGDPYPFSGKGIPEGCGRPDLRLSCHDGAAMIEFNQGLGRRYDVKDINPDNRTLRIARQDFKNDLCNPEPEDLIFAPTDLFNDSFPGYVHVTLLYDCPVGNGTFKSFNCDKEGAHYHNVSFERLLGMPPSPGDCSASVTVLLQIPLPGNDYQSVLTSLNEGTVVQWMDTPECQKCRETHGICGFELYQTVCYCRNHQGYFSECPQGMDL >OMO80300 pep supercontig:CCACVL1_1.0:contig10336:222544:224181:1 gene:CCACVL1_13088 transcript:OMO80300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C26 MASNNDLSVILPRVLIVSRRSVRKNKFVDFVGEYHLDLIVSYGAVPVIVPRVNGVHMLLDSFEPIHGVLLCEGEDIDPSLYEAESSGLSPEELEEIRRLHESDTAIDKEKDSIELRLAKLCLERNIPYLGICRGSQVLNVACGGTLYQDIGKELSRKLPENQRVVHMDYDNYDGHRHLVKVVENTPLQCWFKDSLEDGKMEILVNSYHHQGVKRLAQRFVPMAFAPDGLIEGFYDPDAYNPEEGKFIMGLQFHPERMRHPDTDEFDYPGCPSAYHEFVKAVIAYQKKLNSSTSVPKPLKLNQEMENKRRIIVRSFSLAKNLYTAGGEMATIKESELQAGAEFLESNTALSVQQENRLKQMGATVRNGGNYMQKLKLNEERERLARNVMGKMSVEQLSDLMSFYHMMGQICSEVLERKLHGIVSDINN >OMO80270 pep supercontig:CCACVL1_1.0:contig10336:45440:47617:-1 gene:CCACVL1_13055 transcript:OMO80270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MCYNKKITCLALATIKVPFKEKKTEAASMAEMVEKKWAVSWGGYMQELKKGSRIAVPMVAVALLQYLLQVVSVIMVGHLGQLSLSSVAIATSLTNVTGFSLLAFYQTQSLKHHTRVSNELGAGNPEIARRAVKVGMSMATIEAIIVSVALFFSRHIVGYAYSNEKPVVKYVAAMAPLLCISLVTDSIQAVLSGVAKGCGWQSLGAYANLGAFYLIGLPIGIVLGFVAHLKGRGLWIGIVAGSIVQTILLSLFAIFTNWEKQVAKAKERVSTRNSSSQN >OMO80282 pep supercontig:CCACVL1_1.0:contig10336:112264:114392:1 gene:CCACVL1_13067 transcript:OMO80282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MEESSLDGRTEEEKWKIRWSGFGEELKKLSYMAVPMVAVLVSQYLLRVASMVIVGHLGMAAGLETLCGQAYGANQNQKLGTYTYCSIISLIPVCIPISIIWVFLDKLLVLLGQDPEISAVARKFSVWLIPGLFAYPFLQSLVRYFLCQGIILPMLWSSFAALCLHIPLCWALVYKANLGITGAALSVGCAHWFNVVLLGMYMRYSSSCAESRALVFRDIFLSIKEFFRFAIPSALMVCLEWWSFEIVILLAGILPNSKLEASVLSICVTTTALHFLIPYGISAAASTRVSNELGAGNPQAARFSVYVTVIIGTIEAIIAAITLFLCRHVYGYLFSNKAEVVNYVREMVPLICISLIMDSLQGVLSGVARGSGWQRIGAYANLGVYYLVGIPISAILCFAVNMKGKGLWIGMMAGIFVQALLFALVTGFTNWQKQANNARERIFERGVVANNETG >OMO80295 pep supercontig:CCACVL1_1.0:contig10336:167588:176414:1 gene:CCACVL1_13081 transcript:OMO80295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVERSSLCNCVVNFLLEENYLLTAFELLQELLDDGRDAQAIRLKEFFSDPSQFPADQISRYNSLRAVDPQSLLEEKEAIEEKLALSDYELRLAQEDITKFKTELQRKSDLPHDKLSESSVSNSVNHVPEIHRQKRDAPFSDLGPLKANERKDLNCAVKEYLLLAGYRLTAMTFYEEVTDQNLDDWENTPAAVPDALRHYYYEYLSSTSEAAEEKISMMRENESLRKTIESVNHEKECLMKNKDLAEGQINALTKSLEATQKDLKDKEKLIQDLKRAWEHQRKELNDCRAEITSLKMHIEGSLSVPNSVATNVGPTQSQASDSYNEEVKSLQMEIARLKAMITNAPDIVDSSIAEIESIQTEEKVVEMDESKALNSHPIETAGVADSNVPSLPVQTFDNTHKPEENLPESFMNPPNSSDGFPDGGILSQQGDKLPSEDSGLHLKLENVGSGPIPENMGLGTIQILADALPKIVPYVLINHREELLPLIMCAIERHPDNSTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQINHMYEERRLLVAQSCGELAEFVRSEIRDSLILSIVQQLIEDPATIVREAAAHNLALLLPLFPHLDKYFKVEEMMFQLACDPSGVVVETTIKELLPALINWGNKLDHILRALLSHILGSAERCPPLSGVEGSVESHLRVLGERERWNLDVLLRMLAELLPYVYQKAIETCPFSFVSESNGTIFSSSLLELYAGGHIDWPAFEWMHVDCFSCLLQLASLLPQKEDNLRNRITKFLLAVSERFGDSYLTHIMLPVFLTAVGDEADLTFYPPNIHSSIKGLRPRTAVAQRLATLGTLPLLLAGILGAPGKREQLAEYLRKLLVEGAMKDNQFTTHDIDIINAVRFLCTFEEHHGMIFNILWEMVVSSNIEMKINAANILKVIVPYIDAKVASTHVLPALITLGSDQNLNVKYASIDAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHEATIAVVRSLLVAIFHLTSMPVSATDLIRRRQRANAFCEAIRAVDATEISANSIRDFLLPTIQNLLKDPDALDPAHKEALEIIMKERSGGTFEALSKVMGAHLGIASSVTSFFGEGGLLGKKESIDSPTEPVESPKATAAPAPVEDTRFMRIMRVTDMLRGKAKTQEETNQNQ >OMO80260 pep supercontig:CCACVL1_1.0:contig10336:4856:10617:1 gene:CCACVL1_13045 transcript:OMO80260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPDHSTGPSSKRFKSSSVDRISNLPDALIHRILSFLPTKIVVATSLLSKRWVSLWTSVPTLDFQDSYSCRSCPEAKVKFMQFVYNALLLNKSGSIETFRLHCNLSYGLSCVNKWIYFAVDRALHLQEADIAVSNGDEESLLKLPSSFFSIVC >OMO80271 pep supercontig:CCACVL1_1.0:contig10336:58046:60487:-1 gene:CCACVL1_13056 transcript:OMO80271 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylneuraminate cytidylyltransferase MNPMSICSSSSSSSSSSSSSSSSTAKAWIVHTILAGSAIAIALGARAYLGRARKFRNRVVGIIPASGLKQRTWERSKLATTLDHIVVATDDEKIAECCRGFGADVIMTSESCRNGTERCNEALEKLEKKYDIVVNIQGDEPLIEPEIIDGVVKALQAAPDAVFSTAVTSLKPEDAFDPNRVKCVVDNRGYAIYFSRGLIPFNKSAKVNPQFPYLLHLGIQLQPTPLQLEEDLEQLKVLENGYKMKVIKVDHEAHGVDTPEDVEKIESLMRERNLS >OMO80279 pep supercontig:CCACVL1_1.0:contig10336:98793:98870:1 gene:CCACVL1_13064 transcript:OMO80279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIKKLAAIAIDLNVRLHALRLNF >OMO80298 pep supercontig:CCACVL1_1.0:contig10336:212835:214249:1 gene:CCACVL1_13086 transcript:OMO80298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C26 MADLPPPRVLIVSRRTIRKNKFVDFVGEYHLDLIVQFGAVPIIVPRVSGVDNLLESFEPIHGVLLCEGEDIDPSLYEAETSTLSSEELEEIKKIHAGDTAIDKEKDTIELKLVKLCLERNIPYLGICRGSQILNVACGGTLYQDIGKELSQKLHHQSVTHMNYDDYDGHRHSIKLVENTPLQNWFKDSINKKNMEIFVNSYHHQGVKQLARRFVPMAFAPDGLIEGFYDPKSYNPHEGKFLMGLQFHPERMRLPNSDEFDYPGCATAYKEFVRAVIAYQKKVNINQRISKSSQLNQGMEKKKRKLIARSFSFGPSKEFTLLPHEAKFLKCHEMPNGTLSLEEEVRLIEMGATVRNSSSYFDQMMKSNKGKVKEVIAK >OMO80292 pep supercontig:CCACVL1_1.0:contig10336:158437:160721:-1 gene:CCACVL1_13078 transcript:OMO80292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPLLLLILLVCNHAAQLGALNDEGLALLSFKESLENGTGGYLINWNSSDVNPCSWNGVTCRKDKVNALIIPNMRLAGFLSPVLANLSGIRHVNLENNLFRGSLPIELFTATRLKSLVLSGNSLSGPLPPEIGNLKNLQMLDTSRNSFNGSIPTSIVQCRKLSTLVLSQNSFSGSLPVGLGNSLISLQKVNLSYNSLGSSIPSDIGNLTNLQGVMVGVCLIGFLLSYWYKKASVCKGVQRVAGYRLEEKLMIGRDIFCFAKKDNDTLSETMEQCNFVPLDSQVNFDLDQLLKASAFLLGKSASGILYKVVLDNGEAVAVRRLGDGGGQRLKEFQTEVEAIGKIRHPNVVNLRAYCCSDDEKLLIYDYITNGDLTAAIHGKAGIISFKPLSWPVRVKIMKGIAKGLAFLHEFSPKRYVHGNLKPSNILLGEDMEPHISDFGLGRLANIAEESPSFQMEQMPSWTPPQSSPYELTPINSSPNGRHYQAPEASKDMKPSQKWDVYSFGVILLEMITGKLPSIQIGSTEMDLIQWIQLSIEERKPLSSIIDPSLAHDWREVDNIAAVLKLALACIHKSPDRRPTMKYVSYSFEKLTS >OMO80266 pep supercontig:CCACVL1_1.0:contig10336:24157:26616:-1 gene:CCACVL1_13051 transcript:OMO80266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRALIKIFNRSKTSLTGIRIILARTYCSTENPATRHDLYSRITAVTDPRCGVTPVLQKWIEEGNNVNESLLRRIIRSLRSRRRYSHALEVSKWMSGNGSKFSSSDSAVQLDLIGRVHGLISAKSFFNSLKEEDKSVQTYGALLNCYVQEGLVDESLSLVKKMKEMGFLTSPLTYNDIMCLYTKTGQLEKVPDVLSEMKRNGVSPDIFSYRVCINSYGARADYNSMGKVLEEMKSKRDIKMDWLTYSVVANYYIKGGLKEKGISYLKECEKLCKTAEGFNYLISFYATLGSKDEMKRLWALQKVKCKKQANRDYLTMLSSLVKLGELEEAEKLLEEWELSFKTFDFRVPNTLLIGYCQRGLVEKAEAKLQDIIKRRKTASPSSWAIIASGYVNNNMEKAFECFNEALAVQAENRDWRPKESLISSILSWLGENGEVEDAETFVNSLKSKVPINREMYHALLKAYVRNGKEVEGLLECMKNDKIDEDEETMKIISPQK >OMO80262 pep supercontig:CCACVL1_1.0:contig10336:16130:16204:-1 gene:CCACVL1_13047 transcript:OMO80262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVTVAGRSSTVRAQCSGGWWRG >OMO80293 pep supercontig:CCACVL1_1.0:contig10336:163805:165791:-1 gene:CCACVL1_13079 transcript:OMO80293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRFEDRRKIGTVKAAVNIYGERILDGNNSSLKKPQEEFPEPSSKARELHLARRDMSRYKESRKAAESAKVKAESELYSARKTVKDLASMIEESNFKAKARMRDIESLRKKGNQEEKALALETVESYQYTEVMKELESLKQELSKLKLDMASIKEEKARAEKDFEDSSLKLWSNSNTAEELRKQIEAANEEHVLVELARIEALKEAAEIEAQREKESGEFSFSMEETSKKMKDMNEEIDQSKELEHKLAVTLSDVNLLQNQLMQVKQGLNQPEHAAEGESSAPSLELITEELEAAKKELDLIREESFQYMSSMDIIRNELKHVMEETARLKKIEDKADSKVQSLNSKLLRAKSKLEAVTEAEEKANAIAANLSITLEQLKAEVEVSKKEKALITEDTAAIKAEIKKTESEIDSTEEKLQTAVQELEEVKASEALTLEKLRALIETTMQSRASASNQSSSITISKFEYEYLTGRAVGAEEIADKKVAAAQAWIEALKASEREALMKTEMAQRELREMRVEEEHEVYRTERSLSAKKIIERELRNWRHGQDQKNVEGQNRQSPYRKRSMKTSGNLTPLGRAKFRRSASPGIKPGGSTPFIIKKKKKVMPNLAKIFSSKKIDKDV >OMO80276 pep supercontig:CCACVL1_1.0:contig10336:85038:87570:1 gene:CCACVL1_13061 transcript:OMO80276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHTLSADSFLKMKKLRFLKILYLPNSPDLVQLSNELRLLEWHGYPLKSLPPSFQPEDIVALFLPCSCLEHLWKGNRLFQQLKLVNLNDSQYLRKTPDFTMTPNLESLSLEGCTKLVDVHPSLAFLERLKLLNLKGCKSLRNLPPKIGMKSLEKLILSGCSNLRRFPEIDGEMEHLVELYLDGTPIEQLPTSIGHLSSLVLLNLEDCRNLANLPSSIHGLKCLKKLNLSGCSKVENLPEELQDLEFLEMLDLSGTAIRKPQSFIFQFKNLKVLSFKGCKGSTSKVVSYWNPLSRVLQRGSTNSMALTLPPLSGLTCLTELDLSDCNIGEGAIPSDISCLSSLEFLDLSGNNFFNVPATLVQLSNLLLLRLSNCKELKSLPGLLTSTAHLSIDGCSSLAMAANPTTVCNSMKQAFINATNCYRFAENNNPFTLLIKHLKVGLVYGNTRPYFNVIMPGSEIPKSFNIHGGEASKKIALPHNIWNDSQWMGVALCCVLASASGNDAWGNHEGLVIRPHIHGHGKGPRFVIGYIFESDYSLYRIIKDHIWLVYWSRDKLYPSPPLEEKCGEIENNSSSSMDLANHEIEFRFTPHRIGGVRINVKKYGVRLVYERDLEEMEETTEQQHSPTSPNLKDIDFCQDSTSSEPSSTGNNVFEEEGSSESDSCRDIPDPKRLEKSIKLQFRKLW >OMO80267 pep supercontig:CCACVL1_1.0:contig10336:32929:33693:-1 gene:CCACVL1_13052 transcript:OMO80267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MESTFYEPEAHFASDGDASLKQKWNQISGPTREPEQDAGGFDCNICFESAQDPVVTLCGHLYCWPCIYKWLNVQTSSLDADERHQNCPVCKANISSSSLVPLYGRGTSSRSDSKNHHSDMDIPQRPPPSGLNTIITSSHPSQRLNENFFHSQSQSFHHQYFPQPYGGYATLASSNLGGIAMTNFFNPMIGMFGEMVYARIFGDTSLFTYPYQSSHPFFGSNNVRMRRHEMQVDKSLSRVSIFLLCCIILCLLLF >OMO80297 pep supercontig:CCACVL1_1.0:contig10336:201386:201679:1 gene:CCACVL1_13085 transcript:OMO80297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVSCVALCMVAALAVVVFLGETRTAEAVTCNPVALSPCVAAITSGSTPSSACCGKLKEQQPCFCNYLKNPSLRQYVNSPNAKKVASTCGVPYPKC >OMO80277 pep supercontig:CCACVL1_1.0:contig10336:89188:89544:-1 gene:CCACVL1_13062 transcript:OMO80277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNRFHRKGPRSKRKAFFSFSSRFQNRSNLAFTHVATCHQTNLAINPKPFCLHISYSSSIKTSTESTLNPTTFVSRDFQIAHPFINGDISSRCKKSTQEQQVLASDSPWPNPWSVQY >OMO80264 pep supercontig:CCACVL1_1.0:contig10336:19174:21668:-1 gene:CCACVL1_13049 transcript:OMO80264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRALIKIFNHSKTSLTGIRIILARTYWSTENPVTRDDLYFRINAVTDPHCSVTPVLQKWIEGRSHVKESELRRIIRSLRSRRRYSHALEVSQWMSSNGSRFSSGDSAVQLDLIGRVHGLISAKSFFYSLKEEDKSVQTYSALLNCYVQEGLVDEALSLVKKMKEMGFLTSPLPYNDIMCLYTKTGQHEKVPDVLSEMKRNGVSPDIFSYRVCMNSYGARADYNSMGKVLEEMNSRRDIKRDWLTYSVVANYYIKGGLKEMGIYYLKECEKLCKTAEGFNFLISSYATLGIKDEMERLWALQKVKCKKHVNRDYMTGLSSLVKLGELEEAESLLEEWELSCTTFDFRVPNALLIGYCQRGLVEKAEAKLQDIIKRRKTANPSSWSIIATGYAYNNNMEKAFECFNEALAVQAENRDWKPKESLISSILSWLGENGEIEDAEAFVNSLKSKVPINREMYHALLKAYVRNGREVEGLLESMKNDKIDEDEETMKIISLQK >OMO80275 pep supercontig:CCACVL1_1.0:contig10336:75017:75316:1 gene:CCACVL1_13060 transcript:OMO80275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MPVHDIELGQVQQPRILAVSVVAAICETRLPVRRSKPSYAEGKCCICLEEFEEEDSCWVLVNCDHAFHKACAQEWLKKKLSCPLCRTDITCSVINIVDV >OMO80294 pep supercontig:CCACVL1_1.0:contig10336:167013:167090:1 gene:CCACVL1_13080 transcript:OMO80294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRQAIQFSFYFDLLVGVFQGRNS >OMP00725 pep supercontig:CCACVL1_1.0:contig06630:774:854:-1 gene:CCACVL1_03308 transcript:OMP00725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALSFAKSNTIQNSTNGPPKRPE >OMO50688 pep supercontig:CCACVL1_1.0:contig16074:2636:3712:1 gene:CCACVL1_30321 transcript:OMO50688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGCGSWFPKQQFDWQSPNFDSLAVPFTLGQQTNNSQFINPGTNMVSTTGTMPVYVNHELPHMRVGQANEPRGWFYCLPRFRQAFVPASNSFLKEQFPANPFENQRENKVGSGCAQKRFLVFDQSGDQTTLIFSSAFGTPIKHLTSWGPKSPGVSNFNGEDAITKANANLHSGPISTDVLDVNGTDVQSEMHEDTEELNALLYSDDDSDYTEDEEVTSTGHSPSSMTDHNEQFQGGTEEVASSTGQTKKRKLLDGGNDYVPLVMDTASSVYPNRSSEYEDDADSSCANGQNLPCSDGIDSSSGNKRMRKEKIRETVSVLRSIIPGGEGKDAIVVLDEAIDYLKSLRLKAKAFGLDTL >OMO62085 pep supercontig:CCACVL1_1.0:contig13351:4467:11623:1 gene:CCACVL1_23041 transcript:OMO62085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEKNAELTRKNLFSMPVITCSLIICISILFPLA >OMO50650 pep supercontig:CCACVL1_1.0:contig16096:667:744:1 gene:CCACVL1_30334 transcript:OMO50650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKLHIMGRWMLWELKLDKDAAKN >OMO61324 pep supercontig:CCACVL1_1.0:contig13524:5593:6049:-1 gene:CCACVL1_23604 transcript:OMO61324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQVSLPQVGSLYDARMELSDSILDFDKDRAVDDTESELFLTFLAFIAWLGAFGSAILATPIVSVRALLLMLPQWLITAQTPLRQRMTAPRYD >OMO61325 pep supercontig:CCACVL1_1.0:contig13524:30606:30674:-1 gene:CCACVL1_23605 transcript:OMO61325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKFKSHVSRSNGANAARGDN >OMO61326 pep supercontig:CCACVL1_1.0:contig13524:33068:36218:1 gene:CCACVL1_23606 transcript:OMO61326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MKPQGHLAATHLPNSNPWLADSCATHHLTADLDNLAIHNEYNGHDSVTIGNGKTLPISNVGSSIFSVNNHSFKFNDILHVPSASNNLLSVNSFTKNNNVTLELFPDHFDVKDIPTRQVLFSGQSKNGLAVVEKFFDKPIKSFQADWGGEYQALTQYLVQNGINQRNSCPHTPEQNGCAERKHRHIVETGLALMYHASVPLKYWSFAFDAAVYIINRLLTPKLKHKSPYEIIFQDKPNYDSLRTFGCLCYPWLHPYAAHKLAPMSSKCVFLGYSKLHKGYRCLDFSNGKIFISRHVIFDERVFPFAVTSGPMAQSQTSASTIIPLAISRVENQTFPQPKPIQNSAKNIPTPEPTPPPPEPPNLDITTNPITPTKPSKELIPTETIPTAPSSPGLSLIVDLSKYNNPPPQQPANKSTHRMVIRSQIGNLKPKPKPKASLDVASPQTEPTTASQALKIPELRQAMADEYNALLQNGTWELVPRNQAQNVVGCKWVFRIKRKKDGSMERLKARLVAKGFHQRPELITMRHSVRSLGHRPFGLSFPWQFNIVGRLSNSMHHGSGINVLLTNLCNLALLVPKLIPPCLSTTKMALDSTVLMTSLSLVQTPSPSCPQQQLTKHMGNAFLDLTLYRSIVGGLQYLAITRLDNSFSINRVSQYMHDPREDHWSAVKRILWYLVGTSNHGLNITKHSDLTLHAYSDLDWGGFLDDRKSTTGVVVFYGSNLISWNSKKQRTVSRSSTEVEYRALASATTEVYWLQSLLLEIRFPLASKLVLWCDNLSATYLTVTPIFHSRSRHLEIDFHYVRDKVLKKEITVCYLASAN >OMP12099 pep supercontig:CCACVL1_1.0:contig00428:805:1441:1 gene:CCACVL1_00128 transcript:OMP12099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Protein ariadne-1 SRQKALADLNQMQTVQLEKLSDLQCMPESQLKFITDAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQ >OMO83682 pep supercontig:CCACVL1_1.0:contig09866:20455:22126:-1 gene:CCACVL1_11268 transcript:OMO83682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVLSFVFSRSAISELNPLLSLDLSPEEISSRRRRSILIWRFSVRSSVALQLNLCAPLISTKVCVR >OMO83690 pep supercontig:CCACVL1_1.0:contig09866:71504:78544:1 gene:CCACVL1_11276 transcript:OMO83690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRRGDFDWFLKGLICIAVVLLLTTFCMGGELHESKYLLSFIRSVDPQNKLGTQWKDLAQNPCLHKSIGIKCNLQGTSIVEIRLENLNLSGLIDADSLCKLQNLQVLSLSGNLIHGTIPSSISSCTRLRYLNLSSNALSGKVPSTLTKLKYLNSLDISNNHFTPISPRIKPEFKHVYKFSKESISLQIDDHEVKAAGEGENIAPASPPLNNSSGDKSSGDKFRSNKILFTLLLLVLGMMFFLLVLYFLTRRADKRKKEKAILKALKESPLKLPSVNPAEEVKPEDKQELVFFVEEHESFKLEDLLDASADLRSQNLCSSLYKVILKNNATYAVKRMKKLQVSFEEFGQIMRQIGSLKHRNILPLVGYNSTNEEKLLFYKYQSNGSLLNLLEDLPKWVRSMVREEWTGEVFDKEVSRAALQWAFPLLNVALKCVSQSPQDRPTTSEVLEKIDEALFAHEDRFYLQFITKDKMESDLGKLFIGGISWDTDEERLKEYFRKYGEVVEAMIMRDRVTGRARGFGFVVFADPAVAERVIMDKHMIDGRTVEAKKAVPRDDQHILNRTMSGITGSPGPGRTKKIFVGGLASTVTEGDFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDRVLHKTFHELNGKLVEVKRAVPKELSPGPSRSSPVIGYNYGLGRAGNFLNSYAQGYNLSPLGGFGLRMDGRFNALASGRSGFPPFSTTGYGISMNMEPGMSPSYGGNSSFGNSIGYGRIISPYYSGNSNRYNTPIGYGVGSGRNDSVLSSATRNVWGNGGLSNAANVSSPGGYLGSGGGSFGSLGNSGANWSPSPPGNTSNYTGGNASYGTADDNYGLGGGGYGRNGGTVAAPASSFAGSTGSFEGSYGDLYRSGSVYGDSTWRSATPDLDGSSSFSYGIGNISSDVTARTSEDYVGSYSVASRQSNRGLRGECQLDAFANSATC >OMO83686 pep supercontig:CCACVL1_1.0:contig09866:51217:52833:1 gene:CCACVL1_11272 transcript:OMO83686 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MQTSQKRKISGQYPVQELDSYCWPPNQSSLDHYQSGSDDGGNGLQHSVQNLEQYCTLDSSSGMQNSSSSASFSPNGSPVSQPNSHSYPSDLHHSPDNTSGSPVSGSCVTDNEHDLRHMIRQLETAMLGTESENVDIHAISSSDEATQVSLEAEKWKYMMEMIARGDLKELLCACAKAVENNDMHMTDWLMTQLRQMVSVSGEPLQRLGAYMLEGLVARLASSGSSIYKALRCKEPASAELLSYMHILYEVCPYFKFGYLSANGAIAEAVKDESRVHIIDFQIAQGAQWVTLIQALAARPGGPPSIRITGIDDPTSSYARGGGLEIVGQRLRKLAELCKVPFEFHAAAISASEVQCENLGIRPGEAIVVNFAMMLHHMPDESVGTQNHRDRLMRLAKSLSPKVVTLVEQEANTNTAPFFPRFVETMNHYLAVFESIDVTLPREHKERISVEQHCLAREIVNIIACEGPERVERHELLGKWRSRFTMAGFTPCPLSSFVNSTIKTLLQSYCDKYTLEERDGVLYLGWMNQVIIASCAWRC >OMO83696 pep supercontig:CCACVL1_1.0:contig09866:112240:114165:1 gene:CCACVL1_11282 transcript:OMO83696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLDSSNSSSPETDPETNPSANPNSSKAIIPNPSNSNSPAVCLFQFAGDAAAGALMGSIFGYGSGLIKKKGFKGSFVEAGSSAKTFAVLSGVHSLVVCFLKRLRGKDDVFNAGVAGCCTGLALSFPGAPQALAQSCLTFGAFSFFLEFYNKQQPALAHSFATRNKSGYYKGPRPMALPLSLPLPEELKGAFSSFCKSLVNPNKDRFPTAN >OMO83688 pep supercontig:CCACVL1_1.0:contig09866:62203:64539:-1 gene:CCACVL1_11274 transcript:OMO83688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Frigida-like protein MSSEIISATSPPSLSEIESAIKLIDVKKSDLKKAFDDLQANSSCLSSFSMSWSDLDAHFSMIQNSVTQRFRLLESREAGRRPVDAAPVFQLASCSTQPVSSKQGDPSALKTLTRQDRGDSVTESVANGLYNQLTQFNSEQPSTSNAVGLQHGGLVPVSSDSGSAVTRPELKEFCERMDGKGLRKYIYDHQKEREAIRMELSGALKCAPDPGAMILDAMEGFYEENLQSKGNKDPEFFGLRRVCVFLLEQLMEIGIIVSDEARQRGKKLALEWKGKVGMSKDNSLEALALLDLVAAYGLGAEIDKGELVAYFVIAARYRQVGMLCRSIGLGEKVHDLIQKLLDGGKQLLAVRIIFEFGLTEKFPPVPLLKDYLNETKKLAKQVCVDGKNSLKSQNEATAKETGALKAVIKVIEEHKLEAEYSKEGLQRRIEQLEKQQADRKRPPAAPAAPQQPAKKKKQAQGKQQKSDNKHPRTSAFVSGATSAVPAFQQSHLHSAGLVTDHSAAYMTSQAGRYGLATSIPAVAPYAGSSAYGLAAAPMGFSTNPNPAAPHLYPYDRLATYGGYGVPPQYHPSYHPQ >OMO83694 pep supercontig:CCACVL1_1.0:contig09866:108551:108691:-1 gene:CCACVL1_11280 transcript:OMO83694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTHITCIIISSIIRSTTRRQSLNSFLSHLRNQSAFAMVIPSGAA >OMO83684 pep supercontig:CCACVL1_1.0:contig09866:38881:41056:-1 gene:CCACVL1_11270 transcript:OMO83684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MAVLSLQVPNSLNSILFSPSPPSWSFSFSFSNGGRLKRGKFVVLLSTHSNPKILKSNKKSKYGQRITPYDTDEEEEAEDEEFGKHYDMSGDDWLMNDGFAETHEFGVNGKIFKSQKGSGNQRLQEKVRGSKALKSRQGLITAAEQMDVRNGNDVLKKSSAGNVYQTSNKMKEVGSFNRDGRGKSLLRTTENKYQRLSDEIDLDEKWLPLLDYLSTFGLKESHFIQMYERHMPSLQINVCSAQERLEYLLSVGVKQRDIRRILLRQPQILEYTVENNLKPRVAFLMGLGIPNSRIGQIIASAPSLFSYSVENSLKPTVRYLIEEVGIKEADLGKVVQLSPQILVQRIDISWNTRYMFLSKELGAPRDSVVKMVRKHPQLLHYSIDDGLLPRINFLRSIGMRNSDILKVLTSLTQVLSLSLEDNLKPKYMYLVNELNNEVHSLTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLGSFVPTDECFCQQWAGTSLDKYLAFRQRLLLKEFAKKYEKQ >OMO83695 pep supercontig:CCACVL1_1.0:contig09866:109102:110571:-1 gene:CCACVL1_11281 transcript:OMO83695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIEDCVLRIDTRSLGWHVMLDRVLKSIDG >OMO83693 pep supercontig:CCACVL1_1.0:contig09866:102631:108196:1 gene:CCACVL1_11279 transcript:OMO83693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNTETPLAEGRFLGSISTSSLRNLLPKSKLKRSTSKFNSENTPPPDPNIIQVNDSAQFFSKSPPSKSLTSNTEINPSDGHNEILPPVESSIKIVESEKKNGELGDSDPSVKVVVRIRPKNGQGKEVDRTVRKVSADSLSVGDRKFTFDSVIDSNSNQEDVFQLIGVPLVKNALAGYNTAILSYGQTGSGKTYTMWGPPSAMVEDSSPRSDQGIVPRIFQMLFSEIQREQENADGKQVNFQCRCSFLEVYNEQIGDLLDPTQRNLEIKDDPKNGLYVENLTEEYVSSYEDVTQILIKGLSSRKVGATTVNSKSSRSHIVFTFVLESWCKGASSKCFSSSKTSRISLIDLAGLDRNKLEDVGRHHVQEGKNVKKSLSQLGYVVNALAKETQTDNAPYEGSCLTRIIRESLGGNAKLTVICNISADNRNTSEILSTLRFGQRVKLVRNEPVINEISEDDVNGLSDQIRLLKEELIRAKSDVYSSVGSKSGYFKGRNVRDSLNQLRVSLNRSLILPRLDDDCEEELNIGEEDVKELRQQLDYLHSSSETSLRDPYAKRGSIQSSSLKESCEILSEDDILFPEETEIEETEVELQKELPPPEDILESTDDLSFTAKTLKAIDTPIRTSISISSCHRSSILQEPTLSESPKIGNNPRKSMTIPSSLLASQHNVSGSSESEVLRQSLKYSEHIRSSLRSSKTFAGPTDTLAASLQRGLEIIDYHQRTSASNRSSVAFSFENLTLKPSPDSSSTPLLCSSCQRKFDNNPNGVQDSLKTWIVAVDNQQTDGKTTAVDSVKATNRGKELEIVCMEQAAKIEQLNHLVEQYKQGTENCAAVQGHESLKNEIIPFEESNNGESGKENFDATEKQALLHEIQTLKSKLQSYTVASPNISTERLRSSLLSRSIQLRKSVDCHRDNSGEELESERQRWMEMESEWISLTDELRMDLESNRRRAEKVETELKLEKNVTEELDDALNRAVLGHARMVEHYADLQEKHNDLVAKHRAIMEGIAEVKKAAGKAGAKGHGARFAKSLAAELSALRVEREKEIKLLKKENKSLRIQLRDTAEAVHAAGELLVRLREAEQAASVAEENFNNVQQENDKLKKQVEKLKRKHKMEMITMKQYLAESKLPESALQPLYREDSDVAHNSSTIPDDDQAWRAEFGAIYQDHY >OMO83692 pep supercontig:CCACVL1_1.0:contig09866:86032:89992:-1 gene:CCACVL1_11278 transcript:OMO83692 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSYVPTPYGYRTHFSSHWPVSLPILLLTHPRGPVFQSPFFGLHKRIIKAKPKVHFAGTLMDSPQSVVSPFKNSVAAEPEKMKSDFFTGNSGGLSNGSEVNRKEAVGSNRENFIGVLEVYVHQARDIHNICIYHKQDVYAKLCLTSDPESTVSTKIINGGGRNPVFNDNVSLNVRTVDCSLKIEIFMMSRVRNYLEDQLLGFALVPLSEVLLKNGKIEKEFSLSSTDLFHSPAGFVQLSLAYSGSSPEVMAIPSMSESLVADETVKCSEGSECELDKIEFPDPKIVNENQMMVSEYFGIPCSNMDSESSESLVTSDAGTQVSSEMGVHVVESFSNATVNSIQAPKLDSPPSSVSTNGVSSPSAAASSESSDGPAASKTNTQEHISAPKEKIADVGDGESDSSGAQSDPIVKPIVSVNMEPEQKVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTKSENSTTTDQKIQSSKNTGSRVFYGSRAFF >OMO83683 pep supercontig:CCACVL1_1.0:contig09866:34014:36289:1 gene:CCACVL1_11269 transcript:OMO83683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSDYTKVLYNRIKKLEPENASKIIGYLLLQERGDQDIVQLALCPDMVIQEVIYKAKADLQQLALKSGSPPISPSMNSAPAPGSEFPSQFTPFSPPVSSRPFLSPRNMQVTSPYWEQQLAASAKHNSDFMPSGYMDELQSQSQFLGLEEQMESLNLGNGGFSSDYCYSDPALGVRTGRRHQNLAEFPVKTCHYFNKGFCKHGSNCRYFHGQVSDNFPQMFSHNSMDSAVNEETLYSLEKLEVELVELLKTRREVSIASLPLLYYEKYGKVLQADGYLTESQRHGKAGYSLTKLLARLKNSIRVIDKPHGQHSVILAEDAPKYIDYRNDRNNDLGSGGSGSRQIYLTFPAESTFSEDDVSNYFSSFGQVEDVRIPCQQKRMFGFVTFVSADTVKMILHKGNPHYVCGARVLVKPYREKSKLVDRKYQERIESPMYYSPQYVDMESELHPIPRGYATTRLFRKQYIEEPEQIFELERRRPSEMHLAHKPLANQSFFGYSIDGLKVSAFSPTAASDEKTKHVETNNTDQESEGINLPDSPFASK >OMO83681 pep supercontig:CCACVL1_1.0:contig09866:11839:20056:-1 gene:CCACVL1_11267 transcript:OMO83681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MGTQNPGRPNFPMRPSSTPFASAPPTITPFSSSGPVVGSDTSNYRPTPPGAPPNATPFSSAGPAGGPSRFTDPSVPSPPVTSVPPAGAPYQRFSTPPFPSTAQAPHARAPPVGQPPFQPPASQISAPPVSFQPQPQVPSVPMGSPPQTTNFPPSSGNVPQPSDSFFSGPRPNFQPTFPPPDSSYSASKSTFQQPFPGYPSTQPAVSQPPSPFPAQQGSFMQPPPPPPSPFPGQPGTYIPPPPVVAPLGYQSRDQMQHPGSAPPIGGFHSLAEDFNSLSLASMPGSIEPGLDYKTLPRPLDGDEEPRSYAEMYPMNCDPRYLRLTTSAIPNSQSLLARWHLSLGAVVCPLAEPPKGEEVPVINFTSTGIIRCRRCRTYVNPYVTFTDAGRKWRCNICALLNDVPGEYFANLDATGKRIDLDQRPELTKGSVEFVAPTEYMVRPPMPPLYFFLIDVSVSAVRSGMIEVVAQTIRSCLDELPGFPRTQIGFITFDSTIHFYNMKSSLTQPQMMVVSDLDDVFVPLPDDLLVNLSESRNVVEAFLDSLPTMFQDNVNVESAFGPALKAAFMVMSQLGGKLLIFQNTLPSLGVGRLKLRGDDLRVYGTDKEHTLRIPEDPFYKQMAADLTKYQIGVNVYAFSDKYTDIASLGTLAKYTGGQVYYYPSFHSGIHGEKLRHELSRDLTRETAWEAVMRIRCGKGVRFTSYHGNFMLRSTDLLALPAVDCDKAYAMQLSLEETLLSTQTVYFQVALLYTASCGERRIRVHTAAAPVVSELGEMYRQADTGAIVSLFCRLAIEKTLTNKLEEARNTLQQRIVKALREYRNLHAVQHRLGARMIYPESLKFLCLYGLALSKSIPLKGGYADAQLDERCAAGFTMMALPVKKLLKLLYPSLFRVDEYLLKPSAQGDDLKNIMKRLPLVADSLDSRGLYIYDDGFRFVIWFGRMLSPDIAKNLLGADFAAELSKVNLREHDNEMWRRLIGVLKKLRESDPSYYQLPYLVRQGEQPREGLLLLVNLLEDQMGAGGAGRRESGNSETETTLRTDIKSRKAGTDDFSSITTMISSKYLQISQRLLQKRLKSAKLVNWRTKELSNKAPDYILSQTIPILANLVVDHGSNNSSPCLREVVVYCLKCIARQGDGSLATEIGQSGSLLSILRFLPESEGSFQRVCIKCLWCLVNFGNGNRDIVARNGGLEIIINLLESSVDSVRRYLLEILSSLSLLRDVRRGLVSLGRLEFLVEAASCGHMLSRERACQAIGLLGVTRRARRMLVELGVIPVLVELLRVGDSATKLVAGNSLGGPEPLGQEVAEDAFCILAVAEENAVSIAEHLVRILREGNSEAKAAAANIVWDLSGYKHTVSVVRNSGAIPCLVALLGSQSSEVKEAVSGAIAQLSYQEADREALMESGAVTHLLELLHDDSEELRDNAAEALINFYEDPLLHDRISEVVDVPAFRNMQNRLFRIRAYDDHTVDSMRRMTIEQMARDPDLI >OMO83685 pep supercontig:CCACVL1_1.0:contig09866:41450:44771:1 gene:CCACVL1_11271 transcript:OMO83685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASLCTSPISIPQRKPTFPKHPNPTVQFACTRRCNALVGKNPRPTTKVAAAIQDVSAIADPARVDITWQIVVGTIAGFTPFIVAGIEFSKRIIAQRRCEECRGSGLVLRENGYFKCPECGLPEELLSCIAKRLDTRLDVLHFRAVCNSWRSSVPVPPRSRLLPREVNFLINRPANPLASFFMAQKTVYRIELTSESRSTRKCWFMKVEETKQDNKFRVLNPFSKYPIKSLPAASLPNELCHLNFRFVEMVKAFSIRRMEDDGSVDYNEDNPWIRKIKLASDFGSSYEVLAVKRGDLCHMRVNDDIHWHLLDPNVEYRDITNFEGKFFTADIYGVVLGLDENSFDLVEVHPPLEKKVWEGERYILESHGHLYMVVREFYCCPNEVQYDKRIDGFVKATGVKDFQVPVRFKVYKRIRNYHQSRHCWVEVNGLGDRVFFVSNDCSFSFSTRGCEGCPKNCIVYVDEIDEIERLDNGAIIEEDNDNGGLQQIRNGKVRFFNLEDGATGPLQLFPQLLAMFWPPPAWLRLSHCSSTSPHIEVVVSVMLLHVPGT >OMO83687 pep supercontig:CCACVL1_1.0:contig09866:53183:60039:-1 gene:CCACVL1_11273 transcript:OMO83687 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MVMEEQILASSSSAAAATQHVQPTCDPSGSSVAGGARYKLVAPAKLPISRSACITIPPGLSPSSFLESPVLLSDVKAEPSPTTGSLIKPQAVHASIASSTFSATAVCSFDERPSSCFEFRPHPRSNMAPADLNHQRSEPSLQIQYQPVSFNSSTSVKSEMAGSSNELSLSVPVHATASVTTAPADVDVEELNQIGNPNSGIQSGQSDQKGGGTSVPSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSRRYSSGNIMSSQEERSDKVSSLTGRDASMYGQMAHSIEPNSAADLSPVTVNDDNVDEVDDDDPFSKRSRKTDGGIDITPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARNNSHDTAGPVVVNGPSRIRSEENDAISLDLGVGINCAPENSSNEHQQLHSELIQGHPQTGGSTFKLVQTTPMTAYYGVFNGGINQYGSREHASESRGVEIPPLNHSYAYPQSMGRILTVWASLKENVNCASKLTDVIGLGCRQENITRKKGCCDDSKNGNVEKELVHQLKNPFPEALFRPNYPKTRFYELNIGDPSRNIIELIFQRASMDPSKLPWKIQRVLRVKNSIEVLESFEEYREKVMKKAYEQHKRHPRSMVDGNELLLFYGTTMACCSRKHKQVTELCKDPSCRACRIIHSRFDMEFTRKNGIRLSTSSEEVSDSMVSFKLKNLKRAVIVCRVIAGRIASNINGVYGECDSFGREGPQSNLEYLIVQNPCAVLPCFVIVFN >OMO83691 pep supercontig:CCACVL1_1.0:contig09866:81033:85369:1 gene:CCACVL1_11277 transcript:OMO83691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, ribonuclease inhibitor subtype MASNQKFRDLEWVLQAFHNESLNLHSISFYLSQPVSGCFQETETSMNINISSDSLEYFSLILLLLGPAKNSLLSLRNLEFHCVEWESQQVQNLGELLDSNLNIKQLVFRQNRFDADCLSEFSDILARNGAIKEVMFSESHIGTVGATFLASALTVNDTLEELQIWEDSIGSKGAEELSKMIEANSMLKLLTIFDSSSITATPLISAVLARNRAMEVHVWSGEHGDKSSKVVEFLPENSTLRIYRIDISGACRVACALGLNSTVTSFDMTGVRLKSRWAKEFRWVLEQNQTLKEVTLTKTCLKDKGIVYVAAGLFKNRHLERLHLDGNRFSGVGVEHLLCPLSRFSALQCQANITLRSLTFGGGRTKIGRDGLAAILQMLTSNETLTRLAIVDDQSMRPDDFFRIFKSLDKNASLRCLSLQGCKGVRGERVLQAIMETLQINPWIEDIDLARTPLHNAGKADAIYQRLGQNGKTELEPENDLLKDMPLTEPKSCRVFFCGQEYAGKTTLCNSISQNFSSSKLPYIEQVRTLVNPVEQAVRTVGMKIKTFKDEDTKISIWNLAGQHEFYSLHDLMFPGHGSASFFLIISSLFRKPSNREPKTPMEIEEDLQYWLRFIVSNSKRAVQQCMLPNVAVVLTHHDKVNQTSQNLQATVNSIQKLRDKFNGYVDFYPTVFTVDARSSASVSKLTHHIRKTCKTVLQRVPRVYQLCNDLIQVLSDWRSENYNKPAMKWKEFAELCQVKVPSIRIRSRHDNKEKIEMRRRAVATCLHHIGEVIYFDELGFLILDCEWFCGEILAQLIKLEVRRQSSAENGFISRKELEKILRGSLQSQIPGMGSKVFENLEANDIVKMMMKLELCYEQDPSDPNSLLLIPSILEEGRGKPQKWQLSSADCIYAGRHLQCDDSIFLNAHHQISPSGKLQVHLHNKIMALKNQHGATYSLEKYLISININGIHIRIELGGQLGYYIDILACSTKNLTETLRLIHQLIIPAIQSLCHGVTLIENIMRPECVQNLVPPRYRKSQFVPLQQLKQALLSVPAESMYDYQHTWDSVSDSGRPILRAGFDLARDLLSDDDFREVLHRRYHDLYNLAQELQVPPEENPDEAENSLANTVDNDKVDPTFGGIAKGVETVLQRLKIIEQEIRDLKQEIQGLRYYEHRLLIQLHRKVNYLVNFNVQIEGRKVPNMFYFVRTENYSRRLVTTIVSGMTALRLHMLCEYRREMHVVEDQVGCEIMHIDNTAIKCLAPYMTKFMKLVTFALKIGAHLAAGMGNLIPDLSREVAHLGESSLMYGAAGAVATGAAGTAAMGRINGIRNRNRNTGDIQQDLQAAQQWVVDFLRDRRCSTGKDIADKFGLWRVRYRDDGHIAWICRRHMAIRANEIIEVPL >OMO83689 pep supercontig:CCACVL1_1.0:contig09866:68336:69336:1 gene:CCACVL1_11275 transcript:OMO83689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVNPDQSDKDSEPFVEIDPTGRYGRYTELLGSGAVKKVYRAFDQEEGIEVAWNQVKLRSFSNDPAMIDRLYSEVKIGDLGLAAIVGKSHSAHSILGTPEFMAPELYDEDYTELVDIYSFGMCVLEMVTLEIPYSECDNVAKIYKKVSSGIRPQSLSKVKDQEVKAFIERCLAQPRARPSAAELLKDSFFDEVDDDENEDGEVSS >OMO56214 pep supercontig:CCACVL1_1.0:contig14550:20508:26559:-1 gene:CCACVL1_26694 transcript:OMO56214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERQEIIDEGYQKTYKKLEEMLETLPKGGDQTLGHGLFLYKGFWLPDIHIKGNMLIHDHFKPRPTDIVLSSFPKCGTTWLKALCFAIINRNSYNFDKNHPLLTSNPHDLTGLGFERLIQEGGSTSLVETLPSPRLLPTHLAFSLFPDSMASGSGACRFVYICRNPKDAFVSLWHFFNKLRRLKQVPQLSLEDAFDSFSKGVSFLGPFWDHVLGYWKASLESPNKVLFLKYEDMMREPSVYVRKLAEFLDLPFSEDEENEGIVEKIVNLCSFENLSNLDVNKNNNIIKAGLVNTSSFFRKGQVGDWINHLSPEMVKVLDQITQESFQASSLELLLVAVFPTLSQGHDRLGGSSSGKILNTQIHRWPETVLEKLDLVFLDAPIPAEENPVLQEQGFDPPFYNWFQSNEDMSEFTYFEECVAYLEDYMIKNGPFDGFLGFSEGAILSASLPGMQRDGLALTKVPKIKFVILIAGAKFGGIKLGLPKLASTAFSVPLELPSLHIIGDLDRIKPQSIELMEAFVDPFVIYHPEGHTIPKLDEKSLEVMFAFIERIQETIRTDEARIILNEKSKL >OMO56213 pep supercontig:CCACVL1_1.0:contig14550:11820:11951:1 gene:CCACVL1_26693 transcript:OMO56213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFEYQEHKPRTQPPATAIHRSRKTPKATKTLHSPYPTQIWP >OMO77101 pep supercontig:CCACVL1_1.0:contig10822:44240:44314:-1 gene:CCACVL1_15202 transcript:OMO77101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVETAVQVRNGVVQGGRGSLKPF >OMO77102 pep supercontig:CCACVL1_1.0:contig10822:44659:45204:1 gene:CCACVL1_15203 transcript:OMO77102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRTLDTQIPPSEWLWILSEERQYRERIQ >OMO78021 pep supercontig:CCACVL1_1.0:contig10650:11468:14062:1 gene:CCACVL1_14705 transcript:OMO78021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKSGNLEAAIDQFLNVEKQMRFAGDVAGTKKAVTDILQLCFEAKDWKSLNEQIVNLSKKRGQLKQAVTAMVQQAMQYIDETPDLETRIELIKTLNSVSAGKIYVEIERARLIKKLAKIKEEQGLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDREDYVRAQILSRKISPRVFDADTTKEKKKPKEGDNVVEEPPADIPSLLELKRIYYELMIRYLPTSGP >OMO77262 pep supercontig:CCACVL1_1.0:contig10794:73066:75645:1 gene:CCACVL1_15139 transcript:OMO77262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MATKIHVGMETEMNGNGVPDEKVYNWKKRMHDFSGKVKRFPGWLWRTIWKVGREDPRRMIHAFKVGLSLTLVSLLYLMEPLFKGIGQNAIWAVMTVVVVLEFTAGATLCKGLNRGLGTLLAGSLAFFIEFIANKSGKVFRAVFIGAAVFFIGTAATYMRFFPYIKKNYDYGVVIFLLTFNLITVSSYRVENVLKIAHDRFYTIAIGCAICLFMSILVFPIWSGEDLHNSTVGKLEGLAKSIEACVNEYFDDSHEVKKEKQDQSSEDPIYKGYKAVLDSKSIDETLALYASWEPRHSRHCYRFPWQQYVKVGAVLRQFGYTVVALHGCLQTEIQTPRSVRALFKDPCIRLAGEVTKVLRELANSMRNHRHCSPEILSDHLHEALQDLNTAIKSQPRLFLGSKSNQATNMLALAAEHAARHNNNKQEKLGHHGVSLSSVKTDSSALMEWKSKRVISEQTKENERKVLRPQLSKIAITSLEFSEALPFAAFASLLVEIVARLDNVIEEVEELGRIGCYKEYNPDAEEIVVKCEKPPVDVTKNHLPSHAG >OMO77256 pep supercontig:CCACVL1_1.0:contig10794:43957:44474:-1 gene:CCACVL1_15133 transcript:OMO77256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late Embryogenesis Abundant protein MQTVKEKISNMASSAKEHMKICTAKAEEKMEKSTARTEEEKRIAHERRKAKEAQAEMELHQDKVRHAQEKLRPKQPEFLHGYGYDHEPPVAASHPHGHLHGYGYDPPVAAPHGHHTVGTAAAPTAGHTAPMYPYTGHPPAHGHKKY >OMO77257 pep supercontig:CCACVL1_1.0:contig10794:44924:46800:1 gene:CCACVL1_15134 transcript:OMO77257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVVPCNSCTPLYRQLSSPTRSSFPASSVKCRRKTSELQTSVSVSSQNGSNLSPSIPSHKVTVHDRNTGVVHEFIVPEDQYILHTAEDQNIRLPFACRHGCCTSCAVRIKSGQIRQPEALGISAELKEKGYALLCVGFPSSDLEVETQDEDEVYWLQFGRYFARGPIERDDYALELAMADE >OMO77255 pep supercontig:CCACVL1_1.0:contig10794:41180:43487:1 gene:CCACVL1_15132 transcript:OMO77255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFRRIAGFLGFVRDDGHEVKDQENNPNNNNNNQQPNNRPTYQDTGLPRRGFSVPVQVAVDRPQPGPLLLPCISGDGGVQGLKWYAKRLRVDEDGDVADEFLEEVLPETLVTASAENEQKPFPKFQVKYSTKPAKVRTRVMSHDGKLQPCVEYQGRLQWV >OMO77260 pep supercontig:CCACVL1_1.0:contig10794:64588:66945:-1 gene:CCACVL1_15137 transcript:OMO77260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMSKQDPSPAFTETVQEIMRLYRSLPPRPSIEAVAAAKCVLRTVESEEKLKLEEISMEQPSEDVPDELFSILKQVKKTMVLFQSHEQKKDALYLVEADKLFEAFDELIQRASSLVSGDTQDEKVTTFKEPVRKFETESVITDDSLVKRKVDGELDKHQVKGLVRSSSTKASFFSGEDSKEKLNLMKAAALIEDTAKKGAMVLDLRGKLMDQIEWLPVSIGKLKDVSELDLSENRIMSLPPSIGGLRSLTKLDLHSNQLINLPDSVGELVNLIELDLHANRFKSLPASFGNLTNLMNLDLSSNEFTHLPDTIGHLTSLKRLIVETNELEELPYTIANCTSLLELRLDFNQIKALPEGIGKLKCLEILTVHYNRLKGLPTTMGSLSNLKELDVSFNEIEAIPENLCFAVSLRKMNVGKNFADLRALPRSIGNLEMLEELDISDNQIRALPESFGMLSKLRVFVADETPLEVPPREVVKLGAQAVVQYMANLVSNRDTESQAARKKKGFWARFCSIFWPSRNSNPNAM >OMO77249 pep supercontig:CCACVL1_1.0:contig10794:13346:13501:1 gene:CCACVL1_15126 transcript:OMO77249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGEAKTRATTSAAQGRRREPSRVGERRRRQEMGPTRRSTIAHLPSHCFLPS >OMO77258 pep supercontig:CCACVL1_1.0:contig10794:47361:51738:-1 gene:CCACVL1_15135 transcript:OMO77258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LSD1-type MPVPLAPYPTPPAPYTPPPPANAAQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEG >OMO77261 pep supercontig:CCACVL1_1.0:contig10794:68746:71156:1 gene:CCACVL1_15138 transcript:OMO77261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MEIPTLPSPTSGCNPGSNNIESVGTNGVGVVSPALCRFHGGWGFGNGGAEFAVNGFFSYSTEMTLQRDIECPKRFNLSPSMKSLLKKNVAMFAASFRANSKQMQNQNQACVGTLSCGDAPLAVAGGEVPAEKSEESHNSKSKDGINSVLSKFRDLNIDENLEHVGEDQKGEMVISLLHQIKDLEKQVKERKEWAHQKAMQAARKLSSDLTELKMLRMEREETQRLKKGKHTIEDSTMKRLSDMENALRKASGQVDRANAAVRRLETENAEIRAEMEASKLSASESVKTCLEIAKREKKCLKKLLAWEKQKTKLQEEIADEKEKIKELQRCLAQIDQDQKETESKWRQEVKAKELALAKVEDEQHSKEAAEASNKRKLEALRLKIEIDFQRHKDDHQRLEQELARLKLSAQSTELNHQSNNLHTGKSEGAKPQGETIARLLHELDKLEDSSEKEINCDRECIICSKDEVSVVFLPCAHQVLCANCNDSYGKKGKATCPCCRAPIEQRIRVFGASS >OMO77253 pep supercontig:CCACVL1_1.0:contig10794:25460:28553:-1 gene:CCACVL1_15130 transcript:OMO77253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREVQGAAAAAGGLQLRYNSEPAMKKKRGRPRKYAPDGNIALLQLAPTTPISSNSANHGGGDSVGLGSSSGGGGAASEPPAKRNRGRPPGSGKRQIDALGGVGGVGFTPHVITVNTGEDIAAKIVAFSQQGPRTVCILSANGAICNVTLRQPATSGGTVTYEGRFEIISLSGYFLLSENNDGGRSRSGGLSVSLAGADGRVLGGGVAGMLTAASPVQVIVGSFIADGNKKQNADILKTGHSMLTPNMLSFGGPGTTSSPPSQGGGSSESSDENGSSPLNRGSGFYNNSAPTLHNNNMQMYHLWTGHTPQ >OMO77252 pep supercontig:CCACVL1_1.0:contig10794:22805:23182:-1 gene:CCACVL1_15129 transcript:OMO77252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MDCLVLPVSLLRRRSMASRLGYQPLTEDKYGELDRRQVTVVVGKEKREFVVDPFVLEESPFRVLIDTMKKDYGSKIDTRWEKKRVIFVDVDAILFEHMLWLMNNDCSSLFQLNVKEIIDFYAQDY >OMO77254 pep supercontig:CCACVL1_1.0:contig10794:30589:34951:-1 gene:CCACVL1_15131 transcript:OMO77254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin adaptor, mu subunit MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPSDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTSFQVTSGRAKYNASIDCLVWKIRKFPGQTEPTLSAEVELISTMTEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >OMO77259 pep supercontig:CCACVL1_1.0:contig10794:56446:58928:-1 gene:CCACVL1_15136 transcript:OMO77259 gene_biotype:protein_coding transcript_biotype:protein_coding description:eyes absent protein MNQITKVYIWDMDETLILLKSLLNGTYAEAFTGIKDVQKGLELGKMWEKHILQICDDCFFYEQIENCNKPFIESMTKYDDGRDLSDYDFKQDGFSPPHDDLNKRKLAYRHRVIANKYKQGLHNLFDKEMMAVLDALYNTTDEYTDRWLSSARAFLEQCLAGDEDPTLSQTAAGGIVNSNDTRIRHINVLVTSGSLIPSLVKCLLFRLDNLISYENGKLQCFQWIKERFNDQNVRFCAIGDGWEECEAAQAMKWPFIKVDLRPSSSHRFPGLTLRTVGFYFSIVYGDPDSENDEE >OMO77251 pep supercontig:CCACVL1_1.0:contig10794:17981:21649:1 gene:CCACVL1_15128 transcript:OMO77251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAMEFGNGKLSKAAQSNPTQNFESNEPKSPKAQTQVPVWIWRVNVTSS >OMO77250 pep supercontig:CCACVL1_1.0:contig10794:15215:16114:1 gene:CCACVL1_15127 transcript:OMO77250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLGTASRYVHHQLHRPDLHLQHQPDPEDHEASNNHRVGGGGGGGALQYGSADHDDGDHHGLDLVNAANSGGPGDLVARRPRGRPPGSKNKPKPPVIITRESANTLRAHILEVGNGCDVFDCVANYARRRQRGICILSGSGTVTNVSIRQPAAAGAIVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGSVVGELMAAGPVIVIAASFTNVAYERLPLDEDEQLQMQSGGGGSGGGGGGGSGNVFAEGAGGGAGGLPFFNLPLNMPPNVQLPVEGWPGNSGNRPPF >OMO51829 pep supercontig:CCACVL1_1.0:contig15701:13370:24855:-1 gene:CCACVL1_29565 transcript:OMO51829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein MATHNLAVILKNQLNDDEFLLLKQTPPPKFDEDEYDSYVDSDLWDLPSTLINLQDNESQSGVFIKGAESLYRKTDFTKFDVELGLARALEQVGIKGSDVGEWSLFKYVEEAEFGPGNPVNTVFLMGKLLGGNQSSQGVCKWMSTESCLNWLLEVKPCNDRLGPFVVVGLINDSLPSAARKLPPTLQLQEYPRGVILVPMRSRTVKPFHTTNLVIFAPENVSDTSGICGFVAHGDALIVDPGCRREYHEELKEIVTCLPKKLIVFVTHHHPDHVEGLSIIQKCNPNATLLAHEHTMRRIGKGDWSLGYTSVSGDEDIWVGGKRLTVIFAPGHTDGHLALLDVSTNSLIVGDHCVGHGSAALDVTSGGNMTDYFRTTYKFMELSPHALIPMHGRVNLWPKNMLCGYLKNRRSREATILNTIENGAETLFDIVSKVYSGVDQKFWISAASNVRLHVDHLAQQKKLPKEFSVQKFHKTWVPFFLRWGCAYVSSRFPFKCLKLNISRFLVAGTVAGIAVYLLNLSPPPPPWSHYYSYVLCNFAFSSSSSSTSLHPTFNSSQLNSHWTAPDLEDAWHSMTDEELMRRASMVPNIVEYPYTRKTKVKVAFMFLSRGRLPLAPLWEKFFQGHKGLYSIYIHTSPQFIDEAPVNSVFHKRRIPSKPVEWGMATMIDAERRLLANALLDFSNERFVLLSETCIPLFNFTTIYNYLVNSNQSYIGSFDDPRFTGRGR >OMO51828 pep supercontig:CCACVL1_1.0:contig15701:5041:5208:-1 gene:CCACVL1_29564 transcript:OMO51828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHAGLTGLNQTASKGEKRLQYACDAGFLSNTRNLDEEDSTTYQVVLTPMAATRI >OMO51830 pep supercontig:CCACVL1_1.0:contig15701:30795:37949:-1 gene:CCACVL1_29566 transcript:OMO51830 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter MESAICIVLTYYRIGFAPAASRFFRQFLAFFAIHQMSLSLFRFIAAVGRTQVVANTMGTFALLLVFVLGGFFIAKGQLRKRYSTRAKTKAMEEEQDARMVRLEKSHNEVKDEISKMMKMMARFVKEKAPETTTSDGSPVYPPNFEPNPSFRAEASGLEHVHVTSPPQHTFQLPGSYPFVHQSSSFYGFPKGLPLLGQGSSQIKSKQRKM >OMP07592 pep supercontig:CCACVL1_1.0:contig04326:3396:7591:-1 gene:CCACVL1_01277 transcript:OMP07592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S26A, signal peptidase I MFLLWSTFSEIRFIPSSSMFPTLRVGDRIIIEKVVYLDENFSIARALLSSHSRASYFFRSPAINDIVTFRAPEQEPGFAKDSVFIKRIVAKAGDLVQVRDGSLYVNGVAQVENFIAERPSYTSNLTYVPKGHVYVLGDNRNNSYDSHNWGPLPMDKIVGKYVMCCYRPSNH >OMO57701 pep supercontig:CCACVL1_1.0:contig14331:2449:5543:1 gene:CCACVL1_25697 transcript:OMO57701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGGGGGSGSGGDHDASDPSRRKKRYHRHTANQIQRLEAMFKECPHPDEKQRLQLSRELGLAPRQIKFWFQNRRTQMKAQHERADNCALRSENDKIRCENIAIREALKNVICPSCGGPPVTEDFYFDEQKLRMENAQLKEELDRVSSIAAKYIGRPISQLPPVQPIHISSLDLTMASFGGVAAPSLDLDLLPGSSSAMPNLPFQPIVVSDMDKSLMTDIATNALEELLRLLQTNEPLWIKSSTDGRDVLSLETYERIFPTPNTHFKSPNLRIEASRDSGVVIMNALALVDMFMDSSKWVELFPTIVSMAKTIEVISSGMMGSHSGSLQLMYEELQVLSPLVSTRTSTRDLGGVIPSPDGKRSMMKLAQRMVNNFCTSISTSNSHQWTTLSGVNEVGVRVTVHKSCDPGQPNGVVLSAATTFWLPVTPQNVFNFFKDERTRPQWDVLSNGNAVQEVAHIANGSHPGNCISVLRAFNTSQNNMLILQESCIDSSGSLVVYCPVDLPAINVAMSGEDPSYIPLLPSGFTISPDGHPEQGDGASTSSSSNGNMGRCGGSLITVAFQILVSSLPSAKLNMESVTTVNNLIGTTVQQIKTALNCPGS >OMP05931 pep supercontig:CCACVL1_1.0:contig05127:1043:2604:-1 gene:CCACVL1_01788 transcript:OMP05931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand VIAESLSEEEIAGLREMFTAMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMDAADVDDSGTIDYGEFIAATVHLNKLEREEHLVAAFRYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIREVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSLNMSMRDGPAA >OMP10452 pep supercontig:CCACVL1_1.0:contig02501:161:253:-1 gene:CCACVL1_00966 transcript:OMP10452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATPGFELGNKGFAVPRLTARPCRQKTTNKK >OMO62403 pep supercontig:CCACVL1_1.0:contig13271:515:863:-1 gene:CCACVL1_22848 transcript:OMO62403 gene_biotype:protein_coding transcript_biotype:protein_coding description:sugar transporter ERD6-like 3-like protein MGQQQKTEVTESLLVRNGHDNLTNSEENGGVRVGDEGSVAGSGVTTILALSTFVGACIIWGLGCAVGYSSPTQSSIMEDLGLSVAE >OMO71245 pep supercontig:CCACVL1_1.0:contig11689:27548:28007:1 gene:CCACVL1_18337 transcript:OMO71245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVGESQIKLRINRTPRESLMATTLQGDQKKGSFARSRMIVVVHNVLTHRGRVVDHLTREYLLPRTKEEAPAFAFLLSFLSPLPLCF >OMO60617 pep supercontig:CCACVL1_1.0:contig13702:6846:6989:1 gene:CCACVL1_23997 transcript:OMO60617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSVEGVRGGSGILFIGAKLAFKSKTGVLNKETCMEANCQLAEGGLAG >OMO60621 pep supercontig:CCACVL1_1.0:contig13702:41030:46090:1 gene:CCACVL1_24001 transcript:OMO60621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQREFKPSKALEGVHGVQVVHRSSFSSEEIDQHGNFQSTHDRSFITANQPLLIQVWQQRPACLRPVRGCSHGDQTLLETVANVLTSIPFIALGIQAPRKNLNTKLYANSLIGVGVASTLYHSSRGKVRKYLRWADYTMIATATVCLSRALRSENPKLLMAASALLLPVQPLMVSAVHTGMMEVAFAKRALKDPELRMAHTVHKMSSLLGGFFFIADDVFPSTPFLHAAWHLAAAVGVGTYLNLSHHNSFNNIAKPNASSCHACPNPTSTLFALTHAHLANSFVADVVSNKGEELISNEQQQPLGSSRWNPTAEQLLALEELYRRGTRTPSAAQIQQIATRLRRFGKIEGKNVFYWFQNHKARERQKRRREVLDTTDQPQPSETQTLENKEPPADENREEQTLELFPLGSSNYDCNNNGINKIIKEDTQVPIRAINTNFTQNLQFFEFLPLKN >OMO60619 pep supercontig:CCACVL1_1.0:contig13702:27458:28681:1 gene:CCACVL1_23999 transcript:OMO60619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVIDGKQPTSAAEDKRREGLRQYYLQHIRDLTIQRSQKTHDLNRLKAQRDDLNSRVKLLKEERQLLQQPGSYIGEVVKVMGKYKVLVKVHSDGKYVVDIDKNIDITKLTPTTRVALRSDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDRQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARSGSGSDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDSALLRPGRIDRKIEFPNPNEDSRFDILKIHSRRMNLMRGIDLKKVAEKMNGASGAEMKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNISLRKLWK >OMO60623 pep supercontig:CCACVL1_1.0:contig13702:54193:59604:-1 gene:CCACVL1_24003 transcript:OMO60623 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MHAKNRIPSSGHSTPSPPASPLRSPRYRHGKKSGRLSPFQPGRTIAHRLAWLLLSVLLRRQGIFLFAPLIYISGMLLYMGTVSFDVVPVVKHRPAPGSVYRSPQLYEKLKIDMNSDNSSADAISTIWKNSYKGGEWRPCVNKSSQGLPESNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLLIPNFHFHSIWRDPSKFKDIYDEEFFVDTLKNDVRVVDKIPEYIMERFDHNLTNVYNFRIKAWSSIQYYRDVVLPKLLEEKIIRISPFANRLSFDAPPAVQRLRCLANYEALRFSSPILSLGETLVSRMKERSANSGGKYVSVHLRFEEDMVAFSCCVFDGGEQEKEDMKKARERGWKGKFTKPGRVIRPGAIRINGKCPLTPLEVGLMLRGMGFDNNTYIFLASGKIYNAEKTMAPLLEMFPNLQTKEMLASEEELAPYKNFSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLFGGHSKTIRPDKRKMALIFDNPNIGGGITPALTGDCFRIAVAAAVSNKLWI >OMO60624 pep supercontig:CCACVL1_1.0:contig13702:64232:64750:-1 gene:CCACVL1_24004 transcript:OMO60624 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like protein MAKRLIPSLNRVLVEKILPPSKTSVGILVPETSKKLNSGKVIAVGPGARDREGNTIPVPFKEGETVLLPEFGGTQVKLGEKEFLLYRDEDILGTLHE >OMO60620 pep supercontig:CCACVL1_1.0:contig13702:34931:35275:-1 gene:CCACVL1_24000 transcript:OMO60620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFETRGSGRSYSGSGARARVAVFFLWIILIFSQFGLHFGSVHHHHHHQHQQSASTSTSAGAGGGDQSPSSSPPRKARFFDSSSSFHAPSSSSDTVYGDDKRIVHTGPNPLHN >OMO60618 pep supercontig:CCACVL1_1.0:contig13702:9789:13777:-1 gene:CCACVL1_23998 transcript:OMO60618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MASPSPCRWPWSPASTNSSIHISNFPSNSSIFFSFRLKRRRFAAFSLRDSSSSSSSSSASPTDSKKKSVNPRVSSSQPGLIADYAENDVVLAPSSSRSSSAKKKPLSFEYLFGKQYLWRRILFASKKVRSIILLNVITLIYASNIPVIKEVEAIMDPSTFTVVRFMVSAIPFIPFVVQARDDVCTRNAGIELGLWVSLGYLTQALGLLTSDAGRTSFLSMFTVIVVPLLDGMLGAVVPAVTWFGAIMSIIGVAMLESSGSPPCAGDFLNFLSAVFFGVHMLRTEHISRSTSKENFLPLLGYEVCVVALLSTLWYFVGGWLEGSWEFNPSSWSWAIFWDWMVTFPWIPALYTGIFSTGLCLWVEMAAMRDVSATETAIIYGLEPVWGAGFAWFLLGERWGATGWIGAALVLGGSLTVQMYGSLPSNKSDEKQKSRKVDHNLIVSEKPNHLSASPVIISSDKDMRRHFKK >OMO60622 pep supercontig:CCACVL1_1.0:contig13702:48692:51983:-1 gene:CCACVL1_24002 transcript:OMO60622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytanoyl-CoA dioxygenase MGIVGNLSPEQLHSFNSQGFLVMESFASPEEIEALRNRMDGLLQDFDPSTTASIFSTKNQVKLTSEYFYESAEKISFFFEEKAFDDDGNLKQSKELSINKVGHALHEIDPVFKEFSCSQKFSSLLFSLGYKRPTIIQSMYIFKQPGIGGEVTPHQDNSFLYTEPRTCTGLWLALEDATTVNGCLWAIPESQKNGLVRRFIRGEDGVYFDKPSPSYDQKDFVPIEVKAGSLVVIHGDLIHQSFENQSPKSRHAYSLHVVDTDGCKWAEDNWIRRKVEPEPLYAS >OMP02926 pep supercontig:CCACVL1_1.0:contig06167:4699:5493:1 gene:CCACVL1_02662 transcript:OMP02926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MNLNLNLSAILLLKPFLLSLFLSIFILALLATHSQTPPHPHRLQYSALHAPSTHNATASGGLRIRPGYSSYNAYIERQLNKTLNPKLRKIWTTRDWDRKVRVFATFFHNLKQRNLLFNHSKALSIGARVGQEVAAMKLVGVSDSLGMDLVPYPPLVVKGDFHAQPFQNHTFDFEFSNVFDHALYPSKFVGEIQRTLRPGGVCVLHVALSRRADKYSANDLYSVEPLVDLFKDSDLVEVRKVDGFGLDTELVFRKRMKTKMKMKN >OMP02927 pep supercontig:CCACVL1_1.0:contig06167:28861:31376:-1 gene:CCACVL1_02663 transcript:OMP02927 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IA, variant 3 MEQKELGVTWDVDLYGELLKIGGGKERMTAYFNKTGWPENAPKGEEERKEFIASLHKRKTELFMALIENRLLPLRPGVAKLVDQALEKGVKVAVCSTSNEKAVSAVVSCLLGPERAEKIQIFAGDVVPRKKPDPAIYTLAATTLGVEPSSCVVVEDSAIGLAAAKAAGMTCIVTKSGYTADEDFFNADAVFDCIGDPPEEQFDLAFCASLLEKQYVS >OMO97220 pep supercontig:CCACVL1_1.0:contig07260:43268:56946:-1 gene:CCACVL1_04630 transcript:OMO97220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVMLHKSEPKAQELRDPKTVNQALRTWAPKGVAGEEESSWVC >OMO97216 pep supercontig:CCACVL1_1.0:contig07260:18010:18129:1 gene:CCACVL1_04626 transcript:OMO97216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPESVVIKASSEREGQNAQVLQLTANINIIFVSTLILT >OMO97217 pep supercontig:CCACVL1_1.0:contig07260:19680:20882:-1 gene:CCACVL1_04627 transcript:OMO97217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHTVKSLIKHQNPWKIIGIRSKTSSAQYVASRFRDSTFEKLMDKYKNLLKVIAIQDLILANPTINPPSVSLDFLSALSQKLHLNRGAASFLRKYPHIFHIFYDPNKSQPFCKLTDAAMDITRQEAAAISASLPVVVDRLVRLLSMSTTKSLPLRAVFKVWRELGLPDDFEDSVISRNPHIFRLSDADEPNTHILHLVDEMRDRSFVASVENWRILECCKEDCIVDRIEMQYSFQHGYPPGMRLSKGFKAKVKEWQRLPYVGPYADVGEMKRSKAGIMGLEKRAVAIVHEFLSLTVEKVVEVEKISHFRKSFAIDFNIRDLFLDHPGMFYLSTKGKRHTVFLREAYQRGCLIEPNPVYSARRKLLDLVVLGRHGMLTNKSKSEEVLQTEKPCSEDENTE >OMO97218 pep supercontig:CCACVL1_1.0:contig07260:21945:34581:1 gene:CCACVL1_04628 transcript:OMO97218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYYLVLAQNHYDALAMLLSLTRFFLLSFSLKKQLGAQHLIGSVLPVPAQMPTLEPLAILDRKMVKRGNRAATKVLIHWKNSFPEDATWEFLHDMQLKFPDCGFDSQP >OMO97219 pep supercontig:CCACVL1_1.0:contig07260:35589:40934:-1 gene:CCACVL1_04629 transcript:OMO97219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELAETYACVPSTERGRGILISGDPKSNKVLYTNGRSVIILDLNNPLNVSIYGEHAYPATVARFSPNGEWIASADVSGTVRIWGTHNDHVLKKEFKVLSGRIDDLQWSPDGMRIVASGDGKGKSLVRAFMWDSGTNVGEFDGHSRRVLSCAFKPTRPFRIVTCGEDFLVNFYEGPPFRFKQSHRDHSNFVNCVRFSPDGSKFITVSSDKKGIIFDGKSGDKIGELSSEDAHKGSIYAVSWSPDSKQVLTVSADKTAKVWEISEDGSGKLKKTLTCSGSGGVDDMLVGCLWQNDHLVTVSLGGTISIFSASNLENAPLQLSGHMKNITSLAVLTSDPKCILSSSYDGLIVRWVQGVGYSGKLQRKENSQIKCFAAAREEIVTSGFDNKIWRICLNGDQCGDAVSVDIGSQPKDLSLALLSPELALITTDTGVVMLHGTKVVSTINLGFAMTASAVAPDGSEAIIGGEDGKLHIYSIAGDTLKEEAVLEKHRGAISVIRYSPDLSMFASGDVNREAIVWGRVSREVKLKNMLYHTARINCLAWSPNSSMVATGSLDTCVIIYEVDKPASSRMTIKGAHLGGVYGLAFTDEYSVVSSGEDACVRVWKLTPQ >OMO57573 pep supercontig:CCACVL1_1.0:contig14354:41835:47072:1 gene:CCACVL1_25742 transcript:OMO57573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MRRGKPFLISVEVSISPCIGSSNTSIGDDVSGKTGAGGEDIATRLASGKYLFKNIVSISFQDFMDFGGSLKNQSLAFPSNDTGKVRSFTVQQSQGIEVDEENIKAIKDWPTPTNVGQVRSFHGLDGFYRRFVKDFSTLAAPITSVMKKNAPFKWDREQQEAFEILKDKLTNAHLLVLPNFNNTFEIECDASGVGIGAVLMQGGKPVAYFSEKLNGAALNYPTYDKELYALVRALQTWQHYLWPKEFLIHTDHESLKYLKGQQKLNKRHAKWIEFIESFPYVVQYKQGKENVVADTLSRRYVLLSMVDSKFLGFEFIKELYASDAYFGEIFKACEKFLFGKYYKHNGFLFKESRVCEPTCSLCFVLVRELHEGGLMGHFAVDRTYEILHEHFYWPKMRYDVGKHVSSCIVCLQAKPTSKPHGLFSKMAHFIACTKTDDAINVANLFFKEIVRLHGMPRTSVSDRDAKFLRHFWKTLWAKLGTKLLFSTTCHPQTDGQTEVVNRTLSTLLRALIKKNLRTWEDCLPHVEFVYSRNIHSTTGFSPFEIVYGFNPLTPLDLLSLPLSVQVDMDGERKAEFVKDLHAKVRAQIEKKTQHYMKVPNKGRKKVIFEPGDWVWLHLRKERLDDAPRAYHGLEEHNGDHGEDEHGRQGSKDKLEDHGDIANHVPSTKNIPIDSKKMPLGLMTRETAKKFKDALQPLFELIVKI >OMO57572 pep supercontig:CCACVL1_1.0:contig14354:17615:20027:-1 gene:CCACVL1_25741 transcript:OMO57572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 2 MALILHPTTFFPSLKQLSKSTQCLKCPKAAMVSTHRKIGNNPTFRPAKEVGFQATHSMPPEKIEIFKSMEKWASENILIHLNQVEKSWQPQDFLPASESEGFYEQVKELRERSREIGDEYWVVLVGNMITEEALPTYQTALNTLDGIRDETGASLSPWAIWCRAWTAEENRHGDLLNKYLYLSGRVDMRQVEKTIQYLIGSSWDTKFENNPYNGFIYTSFQERATFISHGNIARLVKAHGDTKLAQICGTIAADEKRHEAAYIKIVEKLFEVDPDGTMLALADRMRKKITMPAFFMYDGHDDFLFDHYSAVAQRLGVYTAKDYADILEFFVGRWKVEKLTGLSAEGRKAQDFVCELPKKIRRIEEKVATRAKAKQPSPIPFSWIFQKHI >OMO60716 pep supercontig:CCACVL1_1.0:contig13678:6716:7746:1 gene:CCACVL1_23924 transcript:OMO60716 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein MKGNDAYGNPLESWRQSISKIVIPFDLVVSDNSLLFIATRTPGVESLSVLNLDGLTKEGFGFARAMCYWKNITHLAVGYHQWGWNVSIEVIGKSCPQLRTLEFHGNLFVGWRVAPAIAKYLRKLTTLRLQGAAIVKEAVEYIFRECPELEVIHFSDCRAVYGINDGLILDGRI >OMO60718 pep supercontig:CCACVL1_1.0:contig13678:14804:17216:-1 gene:CCACVL1_23926 transcript:OMO60718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uracil-DNA glycosylase MAGSSKTITDFFQANPGPAKRQKLSSPSDHQPPPSVAITAEQKSRMELNKCMAKSKRNLKICSQKVSESKVEGSGYVKLDELLVEETWLEALPGELQKPYATTLCKFVEREISSGSVPIYPPQHLIFNALNSTPFDRVKAVIIGQDPYHGPGQAMGLSFSVPEGVKVPSSLVNIFKELKQDLGCSIPSHGNLEKWAHQGILLLNAVLTVRKHQANSHAKKGWEQFTDAIIRTISQKKEGVIFLLWGNSAQEKSRLIDQTKHHILKAAHPSGLSANRGFFGCRWDRMFY >OMO60717 pep supercontig:CCACVL1_1.0:contig13678:10245:10652:1 gene:CCACVL1_23925 transcript:OMO60717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSIKLRIDKGLTRWEDLDPDIVKIIFSLVPSADLLCNVSRVCRSLQLAYWDTLFWSDPKTLDLSCFSNTSKLKNLMRIIMMEGNDAYGNPLESWRQSISKIVIPFNLFLSDPRSPIVLVLLQQEHLALKVYLC >OMO60657 pep supercontig:CCACVL1_1.0:contig13691:2366:5776:-1 gene:CCACVL1_23977 transcript:OMO60657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKFATMLHRNTNRITLILVYALLEWILIILLLLNSLFSYLIIKFADYFGLKRPCLWCTRLDHIFEPSKFKNSYRDLVCDDHANEISKLGYCSNHRKLAESQDMCEDCLSSDCCELSKKLGFFPWMKKQVGLIQDDGDNKVMENGEESFKCSCCGVMLEKKWNFPYLLIKPSWEVLDFPQKGNFVAEAGEDGNTSDGIRSDSVAETQEDEQKIDENKRVEIIPDGDDVEKEDFSGFISSFDCNQMGANEDDVVMVIEKDQVLMKEEEEGGELNVSMDDGQVAFSKEEKSPEIQPWHLEFYIDGDDCHLIPVELMESAAAVERRRIYNFREEDHGVSGDGDVILDFDSNPETPVELVVENRCSSVEKVTLLSAHDSKDDSIAFEFGMMEPIQEEEESSSKHAEEEDEDESRVAVAEMVESNEKEEEGSIKHAGEEDEGEKTAEVVQMVESNEEVGSSKHAGEELEAESRVAEVEAMASNEEEESSSKHAGEEDEAETRVTVVEAMASNEKEESISKHAGEEDLIVEVEEVATTQATQTPMNEADDVQGNAATGEGEMEIDVNQVSDEQNDESEAEVSIGTDIPDHEPIEDLHLQNLYEYTQEEPSTSFAHLHADDDHGSETAEEETIQFRTMTVETCDQAIKNHHLSLSSELNDIEEDKVPDTPTSIDSLHLLHKKLLLLDRRESQTDDSLDGSVYSDIEGSDGVLTVEKLKSALKTERKALNALYSELEEERSASAVAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMIKREKEKAELEKELEIYRRKVQEYEARERMIMLRRRKDDSTRSATSASCSNAEDSDGLSVDLNHEPKEEDSFDNHLEDSNQNTPADAVLYLEESLASFEEERLSILEQLKVLEEKLVSLNDEEEQHFESVEYNLYEENGNGFHESSDFIYEINGVSNGRVKGVNGKHHQEKKLMASNAKRLLPLFDAADAEIEDGILNGHENGFDSVALQHSSPTNSELQSKKLAIEEEVDHVYERLQALEADREFLKHCISSLKKGDKGVYLLQEILQHLRDLRSVELRVRNIGEATI >OMO99165 pep supercontig:CCACVL1_1.0:contig06944:6691:7986:-1 gene:CCACVL1_03900 transcript:OMO99165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MALILALLSTALLLFFFTSSTANALSLNHYDKTCPEVESIVAKTVEKATKKDKTIPATLLRMHFHDCFIRSGGPTWNVPKGRKDGRISKATETIKLLPSPAFNISQLKQSFSLRGLSTDDIVALLGGHTLGFAHCSSFQNRIRKFNATHDIDPTMHPSFAASLRNVCPINNKNKYAGVKMDPSSTTFDNTHYKLILQGKTLFASDQALLSNPKAKNLVYKFASSKQSFYKAFVNSMIKMSSLNGGQEIRKDCRVVN >OMO99166 pep supercontig:CCACVL1_1.0:contig06944:11997:14504:-1 gene:CCACVL1_03901 transcript:OMO99166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLESLNLNGTAIKSLPSSIGNLINLTTLNLEGTAINEVPASIENAVKLRDLFLDGATNLTKIPATFKLTALEQANSEIVANAHHNIQLMAAADVERVDLNDQVLYKGVSSAFFSLPTTEIPAWFNNQSIGSSVVVQLPPSCQNSQFLGFELCIVVESSEDDSHRSEVLSWLELDCNFKSKQGYSRGFSYSSIWNGRWFSARGPISDHLLLLYDQLIYAKAVEGDFSGDNSLLEASFQFCIASPAVGYTGMIKKCGVHLLYKNKNNEETSSEGQPTTSLSIDEEEDPEQIGGTQTVTNFESCNAEEDQESKEIITGFHLWDFNCSLPPCLPPAQLLFLSNLLVSFKCTGKKFPSEIAVHFWAPTFKWGINIANLVDISTRPAETVSYPQHSASS >OMO63050 pep supercontig:CCACVL1_1.0:contig13064:519:2545:1 gene:CCACVL1_22513 transcript:OMO63050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MDNRKRGRGEAGFNVNGGGFKKTKPEMESTGVGSKSKPCTKFFSTAGCPFGESCHFLHYVPGGYNAVAQMMNLAPIVPPASRNVAAAPPIPNGSGSAVKTRLCNKFSSAEGCKFGDKCHFAHGDWELGKPIAPSHDDPRAMAPIPGRMGSRMEPPPPSGPAASFGASATAKISVDASLAGAIIGKGGVHSKQICRRTGAKLSIREHESDPTLKNIELEGSFEQIKQASAMVRELISSLGPVPGPAKTPGGHGGHGGQGHPGSNYKTKLCDNFTKGSCTFGERCHFAHGAAELRKTVM >OMO94485 pep supercontig:CCACVL1_1.0:contig07865:74:3618:-1 gene:CCACVL1_05978 transcript:OMO94485 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MATWLCSQVLTLSFSFLLVFLLSPQCYAGILRTCQFDAIYQLGDSVADTGNFIREYPLSSFARLPYGRTFFNHATGRCSNGLLMIDYIALSAGIPFLDPYLNSDALFTHGRGVNFAVTGATALPVEILNENGIFAPTNTSLTVQLDWMFTYFNGICRDDQDCFRKLKTALFIVGEIGVNDYNYALNEGKSFEEVGYLMPKVIQAIKEAVTRVIGYGATRVVVPGNFPTGCFPIYLTQFQTNDSNAYDDEFQCLKGFNNLSIQHNNLVKKAIRQLRNDFPNVIILYGDYYNAYLQLLRKFKQLGFEDKSTHSACCGIGGDYNFNSSRMCGASGVAVCSNPDEYVSFFFYFELYLFIALLVYVDDIIIASNDLTEILKIKQHLHELFSIKDLRELKYFLGLEVARSKAGINICQKKYTLDLLKDLDFLDSKPTPTPILPETRLTKDAGVPLEDTTTYRKLIGKLQYLTTTRPDISFAVQQLAQFRSVHRFESVPL >OMO57291 pep supercontig:CCACVL1_1.0:contig14412:15527:21677:1 gene:CCACVL1_25850 transcript:OMO57291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATQNKDRSDILKIVKGGIQYAFENAPKQLSFLEVAVFYFIPELPISDVQDIQKDLEKRMENVQTDEDPSRWQPYHTFYNASSY >OMO57293 pep supercontig:CCACVL1_1.0:contig14412:26578:40177:-1 gene:CCACVL1_25852 transcript:OMO57293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVSRGGRKKQFWGGARIELKTSNLSLFDGLNPDLVSFSQDQVFNSIDLALASQTSTLPISELLRWVGSSFRWVLWGIRIEPVVNC >OMO57292 pep supercontig:CCACVL1_1.0:contig14412:22435:22817:-1 gene:CCACVL1_25851 transcript:OMO57292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPTTREGTQAAATRKKQSRKPSPAATGLAASNDGNNCCDGSEHDNRWRTRGEPYHGGERQIQATTSTIEKKKKGDAMQWERKGRVRKAALELGG >OMO91851 pep supercontig:CCACVL1_1.0:contig08296:8977:9159:-1 gene:CCACVL1_06996 transcript:OMO91851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29e MAKSKNHTAQNQSYKAYKNGIKKPKRHRHTSTKGMDPKFLKNQRYARKHNKKSGESADEE >OMO51873 pep supercontig:CCACVL1_1.0:contig15688:10058:14628:-1 gene:CCACVL1_29531 transcript:OMO51873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLWNWRKHAPRDEVVNKYKGGRIRIIPKDDHVESRILNLPKDVQILIFRRLTSVYDRINFGAVCEEWKSLALVHPIQWTPNLAIPFEYPWLMYPHEKIQGMYNFYDPVANSMMHSVCIPQLENCKIRFSHQGWLLVTKPPTSIFFFEPFTRTRFPLPDLTHGLYDAFCFSTAPTSMDCEIFGISHSRMLTCHFRPGDYVGYIWSARELLHPTAISHPCFTNLVFDGQYFCYLAKDGTLVCFNKYYNPRDPIVKASSSSSTAFMSNYWRQFLVCSGDDMLSLWIHKMRDSIHVFKLDSQGDKWVEIKSVDKKSLYLSQTASLAVEAKYPRMRDTVQLCMFSEIHGHDKDNNISFSLEDHNFYIYNGAPSLYLDALKSADYPILNTGLASLENVMGISWYSTASSTTRNTAMTGRTAHLFWRILKLRDSSISVVPVLDRWVAERNRIERSDLHTCIRKLISLQRYAQALEISFWMTDKMDFQLMASDAAIRLDLMMKVHGIEYAENYFNKGVPKQLQRFKVYSALLRCYVHINSVEKAEALMQRMRYFGLDRGIAAYNNLITLYYKTGNYKKLDTLMHELEERGIAPDVYTYCICLSACAAQGNVDEIDTMLHKVESGSSLNLSWELYSVATDAYMKVGHMDKALAMLKKSEQLIEGTGGAYNRLLTQYATLGKIDGVLRLWELYKTKLKVSNTGYIAMISSLLKFDDVESAEKIIDEWESQISLSLSDHDIRIPNLLLSAYSRKGLLDKFESILNRIRSKGGKPDARTWYFYATGLILQNKMEKAVNAMKEAIRISKPRWKPSEESLAACLKYLKGEVDIDEAEKLINLLVDRDIISSEIQVKLLSYVKDGNVDSTLDGLLMLDHDALHGNGEEADEGSIDCIQSRASVLDDELQRF >OMO51872 pep supercontig:CCACVL1_1.0:contig15688:3957:5204:1 gene:CCACVL1_29530 transcript:OMO51872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MTDTSSGSALKFEIEKFNGTNSFPMWQSTVTNLLVQQGLGDALEADKPAAMNDSKCRDIQRKAVSTIHLSLTTEIKYNVLDVKTPKELMDKLESIYMSKSITNMLCLKRELFGLKMKVGTSLRAHLNEFNRLVTQLASVDEVMKEVDKAVLLINSLTDRYDPVTRALMVGRKTLSLQDVTSAIFEFNERGRSSSRPRVDMSSKECYYCHEMGHIKAYCKKLMEDLGDFMKSKEKNKAGVNVAAADSEEYSDEDVVLMVQEEKKDTRDMWVFDSVCSEHISTKKEWFSKLEKCDKSVYMANNGEERIEGIGSVKLRLHDGSVKMLGNVSHVPKFTRNLISLGKLDCLGYGYSCRVGGLKITKGSMIVMKGVKNSKNLY >OMO88557 pep supercontig:CCACVL1_1.0:contig08991:10624:13796:-1 gene:CCACVL1_08313 transcript:OMO88557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitroreductase-like protein MIPVTSFSSSSVKIPKKEEEENEEEKVAQALNYHNQTKHGFSNYARGPRGLDWANQPNPFRRYISAPLIPLLHFPVENQKPNPHDADAPLYSSLFSSLPPPKPISQSTISQLFYDSLALSAWKTTGFSTWSLRVNPSSGNLHPTEAYLISPPIHSLSDSPFVAHYAPKEHSLELRATIPSWFFDKFFPQNSFLIGISSIFWREAWKYGERAFRYCNHDVGHAIGAIAMAAATLGWDVKLLDGFGYKDLEKLMGLDVFPEFKIPSRPIKGKFPDIEFEHPDCLLLIFPNGTNKFDVNYNELSCAIKEFSNMEWKGKPNLLSKEHVCWDIIYRTANAVKKQLTVEMENLPVDEYQSSGICCENSYKGFTVREVVRKRRSAVDMDGVTVMERDTFYQILLHCLPSGSINGGKQRKQLALPFRALSWDAEVHAALFIHRVKGLPKGLYFLVRNEDHLEELKRATGSEFEWEKPEECPHDLPLYRLATVDCQELSKRLSCHQDIASDGCFSLGMVAHFEPTLLDKGAWMYPRLFWETGILGQVLYLEAHAVGISATGIGCFFDDPVHELLGLKGSNFQSLYHFTVGGPVLDKRIMSLPAYPGPGIDA >OMO88556 pep supercontig:CCACVL1_1.0:contig08991:7197:8485:1 gene:CCACVL1_08312 transcript:OMO88556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIWFSSLKRSLKLCKAELSDIVQPNPNCNDEPRKPVLSRLLSSKSRRSLRDDHGAIQGRRSTQEAESMEISHILDPITESSVSDPNIRLRPRDRLYSGGGGRRSFSCSRNMVSKPRRSLDAEFRGFVCKKCGESFRKLEAIEAHHFSRHAVTPLSEEDNSRKTVEMIFGINNLMESESSIFGHEIERVLRVDHMQSSLTRFEDYRAMVKLKASMKYPRCLADGNELLRFYGTTVACSIGTKTTSTGLCSSDKCGLCRILRHGFSSTKEEYSNGFRGVFTFSTSKSAFEFIKVDHGEKRDLIRKALILCRVIGGRVHKPLEKDFHEMATAQSSFDSVAAYADDITDSNIEELYSLNPRVLLPCFVVICNPSMPRSVLQPISTSHIGS >OMO88558 pep supercontig:CCACVL1_1.0:contig08991:14300:15646:1 gene:CCACVL1_08314 transcript:OMO88558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase MGSSSCLNVAIGQPCASLLSPSPNSKSKSQFPLSQSSFLSISSSSLPLRSNQTLSLPRSKKLPLPNSPNFYVVASAKAEPLKVMISGAPASGKGTQCELITKKYDLVHIAAGDLLRAEVAAATENGKRAKEYMEKGQLVPDEIVVMMVKERLFQPDSLEKGWLLDGYPRSSSQAAALEDFGIHPDLFILLEVKLRLRTHHENVDAVLSVYKDITVKAC >OMO54228 pep supercontig:CCACVL1_1.0:contig15027:4947:8914:-1 gene:CCACVL1_27959 transcript:OMO54228 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MTVEELIKVHKPDILCLLEIKADETMVKSLAKRLRFTDVFTVPSHSMAGGIALFWNSSSINIDVLSYSEQVVHTMIEINSVSQIFSFVYVRPNSLYKENFWTDLVAFSSNISSPWSVVGDFNDFAAVSERWGGATTAANCLNMILKFRSYWEACNLFDAGSSGCRFTWIKRVGGRIVLQEKLDRALWNSEALLVNPNAKIIVLPCLCSDHHPLLLDFDCVRPTGGSNKPFRFEAAWLTHSDFTGMFSSAWGRGGGNLLNSIEEVTKECKVRIQQVFGSIHKKKRILLARIRGIQNCDYYADSDFLHQLDICLREEYHQVLRQEELLWLQKSSQKKNFPFQKVYDEFALKLSAKEKLAVSAELQLDEVKLAVFSMKGLKSPGVDGIQPIFYQCNWEILKNTLFQFVNSALATGKVDLKMPGAHMVLIPKVPNPTSVKEFRPITLLNTSYKILSKVLVNRLRPVLQRIIGPFQNSFLAGRSTTDNILITQEVVHSLMGCKGRSRGMILKIDLQKAYDNVSWRFVSEEMLVDLEATSAGKSKVFYLVVVFQLPEYSGSFEQERFMELCLPSLWGSIGGNRFFSGLATAQFDEGAKAIMGYAIQLASDSFAAFSNLLGDVTREGRLITWQPPPNGTCILNTDGSRCFDDGHASAGGLIRNSEGRWMFGFLLNTGRTGSLEAELWGIRQGIIMAKNRGIQRLIIEFDAQVVVQFLRSTVLASHPCYTLIRDCLELINSDWIVDIRHICREGNRCADHLADLAHSAPNGVSLLEDPPDSTTSLLEDDRAGRGVLRL >OMO54229 pep supercontig:CCACVL1_1.0:contig15027:19445:23134:1 gene:CCACVL1_27960 transcript:OMO54229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MSSVRLPVIDFSKQDLKPGTPEWDVLKVQVREALTKYGCFEASTDRLKEVGKPIIGAMEEIFDLPLEYKKRCVFDSIFGGHTMAPLNETFHIDNWNVADQEYIQQHLTNILWPQGNPNFSKTLLCFRELASGLDKTIRTMILESFGLDHKYIKEHIDLTTYNVRLMKYEGQPQSNDAPGLAPHFDQNLLSLLYQNGVNGLEIQKNDGEWIKVKCAPDSFIVIIGESLGVLLNGRLPSPYHRVRNVGNDKTRYSAGFFSMPKGGRKVKVPEEVKKFKDALQRAKDAARGDKEALQAIDEDAIFDEVAGGTKGRRLGLGNIALAERCGVVNPCSSLTQENQELKENIRSLSQDNEATKAKQIQSDQKLNKLQEYLQTMCAALAQQNIHYPPPRILEQVSESSSTTCQQPQQPGPVENSPDKDNNIQDEDLETHDEENNIG >OMO54230 pep supercontig:CCACVL1_1.0:contig15027:24747:27585:1 gene:CCACVL1_27961 transcript:OMO54230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MSSESWVRLPVIDFSKQDLKPGTPEWDVLKVQVREALAKYGCFEASLDRLTEVGKPFIGAMEEIFDLPLETKKLCVSESIFGGYKMAPMNESFQIENWNVAEEYIQQSLTNILWPQGNPSFSKTLHCFTELASELDKTIRTMILESFGLDHKYVKEHMDLITYKVRLMKYEGQPQSNNDAPNLAPHYDTGLLAFLYQNGVSGLEIQNNDGEWIKVKFSPDSFIVIIGESLSVLLNGRLPCPYHRVIGNDKTRPRVTITKVVHGETRVRRCLSELERQHNGFLLFERVEDFITGDSPEEHPAIRDKSRKQSISAIFIFTYEAHDCGSISINLQMIDLVQDPVIQSTKWFLMHGFPIKEQSVIHCSSVCANLPRVDFNNLMGTREVVHREAA >OMP10499 pep supercontig:CCACVL1_1.0:contig02387:205:375:-1 gene:CCACVL1_00927 transcript:OMP10499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSCSCAVDTLTPESQHAFPWCYSQVGGGCPQGGAANGRDALDAASYRRKRDALSG >OMP10500 pep supercontig:CCACVL1_1.0:contig02387:703:1011:-1 gene:CCACVL1_00928 transcript:OMP10500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRSGTTTVWVRASSVGQRRPHVARVAKTVQQDHRRPAAAHPHKQGRPVSLHVLVATTWRIRLHLRLHNGRHGQQECNGCKQFSHGVFSGEEAGLDRDTAR >OMO57749 pep supercontig:CCACVL1_1.0:contig14320:49923:50045:1 gene:CCACVL1_25688 transcript:OMO57749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSFAQCNQESSKAIAYTDPTAKGSKAIQVKHIQWPKQS >OMO57748 pep supercontig:CCACVL1_1.0:contig14320:31114:43806:-1 gene:CCACVL1_25687 transcript:OMO57748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLCFCLAVEKLEKMLALEVRRRVTGQLLNPKDGKEESVKWRALTEEHARDSFENLLFSVCRFRELTGTYPQNITVVSYDFKEERFAHLHRSAIGFPESQFFYVGTPASPTSSEGALKGEALVRTQFQQDPYGCIGSLKRKKVGRDPFHRSIPYPNGCPEIEGLFRYCGIAPYRAIGYEPCPIQGQEIRNARIVPNEELQHNDVEVDNSMM >OMO57751 pep supercontig:CCACVL1_1.0:contig14320:73468:79685:-1 gene:CCACVL1_25690 transcript:OMO57751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MPCGRSWMYARLDNVGFLSTEFINGVHEFVDFAFSQHAFVSRNRIKCPCVKCDNRLLQTRNDVMFHLHSKGFTGDYTVWVAHGEDDDDDVVSESNRVDDVAAEPSNSANLVDNPYRRMVIDSCYLEFDSAGGGTSFSEELPNGSAAKFFGLLKDADEPLYSSCNKHTKLSAVAQFLNVKSEFNLSASCYDRIMTIVKDLLPTDEKLPANFYKAKQMVTTLGLSYVKIHACPNNCMLYYSKTESMTECVVCGHPRYKPRTQSRDRSKKNNVPYKVLRYLPLIPRLQRLYMSTKTAEHMTWHAHNRSSDGVVRSPVDSEAWQHFNNTHSSFAYECRNVRLGLCTDGFSPFGPSAKPYSCWPVILCVYNLPPWMCMKQSYLLLNMVIPGPKSPVKNIDEGVETFDAFKKQNFQLRAALMWTISDFPAYAMLSGWSTHGRLACPYCMERNNLKARMDLKALCKRPELELVEHNGKVFMPKASFTLTKEQINDVCRWVKQLKFPDGYVSNIARKVQDDDNKFYGMKSHDCHVFMQRLLPIAFRDLLSTPIWEAITELSHFFRDICSTDLRVEDMEELETNIVVTLCKLEKIFPPGFFDSMEHLPVHLAYEAKVGGPVQFRWMYPFERCMHHFQKKATNRSSVEASICESYIVEEISTFCSLYFDSNITTRLNRVPRNDDGGEVDSIGRLSIFSHPGRAFGPSRFCRYLTDDEYKAAELYVLLNCEEIEPYIEKFESEKRSETPNISDGELEKLRVTTFPAWFKTFNNADQVDEQIENMAAGPSRYARFYNGYFVNGFKFHTHEYGKNRQTMNYGVCVKGSCYNDNDCDFYGVLVDIIELEYIGTGNKAQQVCYTSYPSKKRDRRDWWAVCKVKTRSRFSIPLNEEDNQSERVDGFYQEEEFTPFAVSADLGLDDPGILVSDFGLEEVDLILESEQTKDASDDEEEEEQEEEEPYLFEESDESEEDDIIVDGLEFDYFASDDNADESEDIDMDKGVNGKIAKKGGTMYIRRNNISRYLKSSKNSFPATDLSPALTNSVSPTPSLPPVMAPTHPPSLTNPSPPILHSVAETSPTSSPANGESSNMPNIADHLPTSQNGHQEMEDEDHEMENEDHEMQNEDHEMEMDDEDESETNIGPTSNIRGQNRGFTTPSNPADRKAIEIYKNRTFSTMMNKARIWAMKKAKTTNIAETKECFPEFVGAEIWTWLIDNVWTTNAWLKKSQAGSKNRLTLKDGSISKHTSGSIPMLLHGRRLEKDEKRYVSEAEVFDKTHKRANGEFVDNKSKKASDKYADEMIKKYGSEDAAKNHEFDPEVWSKVVGGVGHGYLYGFGRGDPRLILGTSHDSSMTEPCNEESSQSAHIGTQAELEEIVKQVLDGVLPQCLQDALRNLGFQLPNPPISGSTPRDDGVYNDEGRGNGDGLEGHHDA >OMO57750 pep supercontig:CCACVL1_1.0:contig14320:69203:70388:1 gene:CCACVL1_25689 transcript:OMO57750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNELVGAAIGEAIGTVIDVGQDWVRYLRIMVNFNLHLPMKKTTTVTTSDGDLTMKFKYEKKVPDVKFKRSDEATSSFKFAEFRTPSSPAWKLRTAGLLRGGKESALSCSINGGSATEGGLRRFRTDVDSLVLRGHQVAHEIQQEASKEVERNPEVMEKAAVVYNRDGVGGTKTEYKATMKVHQEIKFIILTGLSATQMGRTGQVSMERMPSHKTGHCMEGSHMTGHGMGQSHKTGHNRPFDRLAIPLGPMTRAQAKRFKEALLGLVQTHLEGLKSIEDQLESIEDIKPRNIPNDSKLCTLLEIVEP >OMP11490 pep supercontig:CCACVL1_1.0:contig01236:62:1800:-1 gene:CCACVL1_00492 transcript:OMP11490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFEQQVKERAKELKVFFKKSVKIVVGTFQGIIDASSSRIPLSYDNPSYPKQRKIFACAQSPQPLHNSSAT >OMO99036 pep supercontig:CCACVL1_1.0:contig06969:19261:25011:-1 gene:CCACVL1_03960 transcript:OMO99036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYVGILVSDPSLQNQFTQVELRSLKTHFMSMRRESGKLTVGDLASRMSRLKVVGENLSEQERTDFISDLYPNLNDEVDFEFFLKVYLKLHAHASARTGSPSKNSSAFLKAATTTLLHTISESEKASYVAHINNYLAQDGFLSKYLPIDPSSNDLFEIVKDGVLLCKLINVAVPGTIDERAINTKRILNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGMISQIIKIQLLADLNLKKTPQLVELVDDSKDVEELMSLPPEKILLRWMNFQLKKSSYKKIVTNFSSDVKDAEAYAHLLNVLAPEHSNASTLTVKDPLQRAKLVLEHADKMGCKRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLSTQTKQISFLETLPDDAQVSREERVFRFWINSLGNSTYIDNVFEDLRNGWILLETLDKVSPGIVNWKIANKPPIKLPFKKDKSLSDGIFFLELLSAVSPRSVNWSLVTKGVTDEQKKMNATYIISIARKLGCSIFLLPEDITEVNQKMILTLTASIMYWFLKQPVEEKRSANSDSENGSLLDTISNSTTDDSASESSVE >OMO74535 pep supercontig:CCACVL1_1.0:contig11115:12272:13297:1 gene:CCACVL1_16630 transcript:OMO74535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MEDKKISRYGSIFPIIIIVICLSFLKVAKSEVYTVGDQDEWNSETDYASWSQKYNFSLGDVLEFKYSKGQHNALEVTEATYRSCDTSSGVIAKYESGDDRVELNESKKYWFVCDVDGHCLGGMRFGIDVKAVNTSITNLGPTPSPPANSANNYAFERWSLSLYLFASGILLNMFW >OMO74534 pep supercontig:CCACVL1_1.0:contig11115:10904:11344:-1 gene:CCACVL1_16629 transcript:OMO74534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSGSRFKEFVKKYGKVALGVHFSVSAASITGLYVAIKNNVDVESMFDKLHLPGFSKDQKNPNPSTQSPVPDGFLTDEQKPTVVVVEEKPRNRTAELAASTGGALALAVLCNKALFPVRVPITVALTPPVARFLARRKIIKNRV >OMO74536 pep supercontig:CCACVL1_1.0:contig11115:13725:16259:-1 gene:CCACVL1_16631 transcript:OMO74536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMFQNLMERLRQLVGPKGWDYCVVWKLSDDQRFLEWMDCCCGGAENVENGGELQFPVTPALPCRDVMFQHLKTKSCELLLQLPFCIPVDSGNHAMALISNQPKWLNFSNNSDSNVLEDTVGTRILIPISAGLVELFVAKQVCEDQNVIDYVTTLCNISLEQGAMMTIVIDDDQKDPINLCQQPVSLGTTMETVNLPYDISVDRIRLSNSPTNSMQQYSYLSENKNKIDMYNVEGSSDVFLSDKVVNPYKSSGDNGLQEMDALNSIVTNNESMLNQGNDKDSIKQENGRSDSISDCSDQNDDEDDARCQRRAGSKGQSKNLVAERRRRKKLNERLYALRSLVPKISKLDRASILGDAIEFVKELQNQVKEFQDELEEHSDDDGTKRAGMNGVHKNVQSEIFSQNDFQLDPHMEHEKGPNAFHVGGNNDSVSKQNQEMEISSDKTQQMEVQVEVAQIDGNQFFVKVFSEHKPGGFVRLMEALDSLGLEVTNANVNSFRGLVSNVFKVEKKDCEMVQAEDVRESLLELTRNPSKGVSEMTKASENNHGIECNYHNQQHHLHNHHLSPHHHHHHHHHHLHHFQ >OMO74533 pep supercontig:CCACVL1_1.0:contig11115:4997:9753:1 gene:CCACVL1_16628 transcript:OMO74533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme/RWD-like protein MDDTEPVHSIKDVTVVDRGFLHGDYVAAASDSTGQVGVVVDVHISVDLLAPDGSTIKDVSTRGLQRVRDFTIGDYVVLGPWLGRVDDVLDNVNVLFDDGSVCKVTRAEPLRLKPITRNTLEDDSNFPYYPGQRVKASSSSVYKNSRFLSGLWKANRLEGTVTKVTAGSVFIYWIASAGYGPDSSTAPAEEQNPKNLKLLSCFAHANWQVGDWCLLPIMSRSSPLDKGLAKLQLDSEEVTLDESNDISESIDLDAMPTPDDNNANTAIGTKASSESSSCSFSVHYHRKKMRKVVLKKDKKAKKKVENFERALLIVNSRTRVDVAWQDGTIDHGVDATTLIPIETPGDHEFVAEQYVVEKSSDDDDTYEPRRVGVVRSVNAKERTACVRWLKPVVRAEDPREFDKEEIVSVYELEGHPDYDYCYGDVVVRLSPASVPTQYPSGEASIKEPKQEDGSNEVKRDFKKHSGSNKVEDASLNEDTTDFTDLSWVGNITGLRNGDIEVTWADGMVSTVGPQAVYVVGRDDDESIAAGSEVSDDAASWETVNDDEMDALENAQEDLEPQNASSIISEVEEGMENNSGRNAALSLPLAAIDFVTRLASGLFSGRRKNVLDSEGENELQNEGQDSNYESSSQKSNALDDSVGESIHENREEHDEAKACEQSLTTESLSNLTIEDLDAKTGDEDDVCSFKRFDTAKDPLDHYFLGSNGQSNAGRKWLKKVQQDWNILQNNLPGEGEKNSLAYNENTFLLNCKSMMYLMRKPPKDFEELVREHFRRRGYYILKACDAYMKGYLIGSLTRDASSSDADNANSTSVGFKLMLGKIVPKLLLSLKEVGADTNEFNHLQQS >OMO89262 pep supercontig:CCACVL1_1.0:contig08804:4391:7241:1 gene:CCACVL1_07954 transcript:OMO89262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MASELSVPLYEKIACKNTIQRALDITLLFLLLSLLVYRLISFKDHGLMYPWLFAFLCESWFTIHWGIIVNCKWNPVDFKTYPENLDKRFPDLPNVDMLVTTADPVLEPPIITVNTILSLLAVDYPAEKLACYVSDDGCSPLTFYSVVEASKFAKLWVPFCKKYNIQVRAPFRYFLGDSDKPSNADKADSEFHQDWLKMKAEYEVLTRKIEEAARKPIPCDLTGEFADFADVERRNHPTIIKIILEHLESDSDHVVPNLVYVSREKRPKQPHNYKAGAMNVLVRVSGVMTNAPFMLNVDCDMFVNNPQVVRHAMCQLLASQTAFVQYPQVFYDASRDDPYGNQMVAIFHYVARGIAGIKGFFYCGTCCFHRRKVIYGSWPDDVDEAPNNTSINGKLVDETILRKEYGNSEEFINSAAQALKGKQGTFRKNLSNSLEAACEVASCSFEYGTSWGNKFGWIYGSTTEDVHTGLVIHKRGWNSHLQFSDPPAFMGCAPSGGPEAMNQQKRWATGLLEVMFGKNSPIIATLTANLQFRMCLAYLWVLFRALRSIPELLYATLPAYCILTNSRFLPK >OMP06402 pep supercontig:CCACVL1_1.0:contig04946:638:14013:-1 gene:CCACVL1_01592 transcript:OMP06402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVTRLAVPGILAWFLLAATMAKALVIAKPGCPSRCGNVTIPYPFGTREGCYLNENFYINCSDHASANSSKPTLGHTGFVVNNISMDGQLQILATISRDCYNALGSRSEWISSWFSQPIFNISNTRNKFTAIGCDTYAYLDGFVDNKRYSAGCMSLCDRIEDVVNGSCSGFGCCQIQIPGGLKDIYVGAFSFKNHTNVSDFNLCSYAFLVEEDKFVFSSDYVRSIPEDYMFPMSLDWVVGNETCEEAKKNTLNYSCHNRSECYEPGTSLGYLCKCLDGYEGNPYLPGGCLDINECKNISSHCNKNADCNNLPGSFECICRSGYEGDGRLNGTGCSSIRKLQGLPFVNIALGSSISILVLVLSLSWIYWGLWQRNLVKQREKFFRMNGGILLQQELSKHKRPTAAKIFTAEELKKATNNFHESRILGQGGYGAVYKGILQDNRVVAIKKSMIADHSQVEQFINEVIVLSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYYHLHNSSNASFIPWATRLRIAAETAGALSHLHSAAYPPIIHRDVKSTNILLDEHYTAKVSDFGASRLVPLDQTQLTTLVQGTLGYLDPEYFQSSQLTEKSDVYSFGVVLVELQTGRKALCFQMPEEERNLAMHFVSALKMDRLFKIIDPHVLLEENTEQLMEVACLRRDEASSKETECLLGELSDAKAEEKSYIVYFGEHSHGQDLTSVDLDSVTNSHYELLGSFVGSSDIAKEKIFYSYTQNINKVMGLPQIRECWSYLFCLSMDKGKGNQDNDTYTTGCMSVCDKPEVVSDTCSGVGCCQVPIPKGLENVRLTLSSFFKHENITSFSNCSYGFVVENDFTFSPKYLQGFQGETKLPMVVDWAVGDESCESAAQNQSSFLCKGKYSTCYTDYIGRGYRCNCSDGYQGNPYLLDACYGISISSVAVIVGTRGVTDTALLASYSNSIRRKLEFDSGGLDLQIGSVKSSGRLGNTIHSGLGSVWLSDLSDWRRFRIRLGLDSGLWRPDFGKPPPEPGSVRRGETICTSTASSDDSKPSDAAARFPDGGGGGGASIIELTDRARVARYEYRVELDPHNRYSIEFEPNIELRIFCRARARVVRYSTRLGSFTPLVGTAWLYLLHKKRKLIKLKEKFFKQNGGLMLQQLTQRETSPETAKIFNAEELRKATNNYDESMIVGRGGYGIVYKGLLEGNNTVAIKKSKIIDQGQIEQFINEVVVLSKINHRNVVKLLGCCLDEDVPLLVYEFVGNGTLFDHIHDKGRAATIPWNTWLRIAAETAGVLSYLHSAASMPIIHRDVKTTNILLDDTYTAKVSDFGASRLVPIDQTQLSTMVQGTLGYLDPEYLHTSQLTEKSDVYSFGVVLVELLTGRKALAFDGPQEERSLAMYFISSLRKNCLFDILESHLVDEENRDQIMEVAKLAKKCLEVKGEERPSMKEAAMELEGLRLMEKHPWVNVELMNSEETEYLLNGKPSDSYSYGGTSNNSSSVYHSLRSHVITLPLDNGR >OMP11391 pep supercontig:CCACVL1_1.0:contig01331:2236:3762:1 gene:CCACVL1_00545 transcript:OMP11391 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MRQNQQAPNYESLDEMIEQNLPGFGRLQSIQAILVSLALFFDAQQTFISIFTDAVPTWHCNNILDHDHDTSSCSSSSDICNLSNTSWSWDGSFDSTIVSEWGLECATSIIIGLPSSSFFMGCLLGGFAFSTLGDSWLGRKNLLFFSCLAMSIFALSSLLSTNIWAYSFLRFACGVFRSSIMTTAIVLLMEMVGKRWRGQVGLIPFLFFTLGLLSLPAIAYANKGSSWRIIYVWTSIPAIAYCILGYFFVSESPRWLFLQGREIEAMAVLKKFGPVNIEDGFNYSFLSDNIPLQKEVNKLDPYASIKELFQKRWALRRLLIAMALGVGVGMVYYGMLLGVGNLGFDTYLSLTLNGLLDFPAYFLTYFLIERFKRTSSILTFSIASGVCSIICGLVSEHKGIQFGLELAAFFSSCTAFNLVLIYVTELFPTSVRNSATSMVRQALISGAVFSPLLISAGRNNEFLCYGVFGLVILCCGLFVTSLPETRGMVMFDTLDEQECQDNGMEIVS >OMO58946 pep supercontig:CCACVL1_1.0:contig14079:39416:41164:-1 gene:CCACVL1_25219 transcript:OMO58946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALECLTLRRCTLPPTFHICGRRGTTLGHLKSLVLDVHEGCKPPRKLVIKIHDLVNLKNFESRDVSVGINLDQLIITTNTL >OMO58945 pep supercontig:CCACVL1_1.0:contig14079:31906:33354:1 gene:CCACVL1_25218 transcript:OMO58945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAFISGAIPQLRNAVRIISSIGNPAFFMITAAGFSIKPRDQLGLAAELRLNRAACDSYLYQPMFLPNNYDFLLIDLTPFGQLLELQATDQDAVFIVHNLDLIHQRRWLMVLLLHPSDITYERYVTLDAIYDQHVPAMMVDETPYMVTATIQSLLLRNTVEGLRYTIIDGNGHRTDNLRPIWITLTVAVMVIHVHGFDDVTLQESRDFSIQGAVAFGAIFPLSFDLPNLEAFINAARMSANVLLHVDYPNRRPLLNCPFGNGLGNLFFFGN >OMO58948 pep supercontig:CCACVL1_1.0:contig14079:63948:68743:-1 gene:CCACVL1_25221 transcript:OMO58948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 3 GTP-binding protein MAAAAKDDTAFEKQSALSALAISDIVMINMWCHDVGREQGACKPLLRTVFEVMKRIFSARKITLLFVLRDRTKTPLEHLDQILRKDTEQIWKSVCQPTIHVNTPLSEYFNVRGGHCFTKLELQEELFKEQVAQLRQLLLNRTKHRDGQAIVPASEFCFSAQRIWEETKDNKDLNLPSHKVLVATVHCEEIANQKLQQLQSDKHCLIILAAMPDSACAICRDFLLNFYSLPLSVL >OMO58952 pep supercontig:CCACVL1_1.0:contig14079:101421:104736:-1 gene:CCACVL1_25227 transcript:OMO58952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi transport complex protein MSLLTTLSSSINCLLSITLSFPSPLSDPLSTLQSSLRRVRSELSEPHNSILSKTVQLSNLHRTSELLSHSIRAVRLSKKLRDLMASAEAEPDKLDLAKAAQLHNDILTLCEEYDLAGIDMVDNELNAVKEIGTKLRSEAMKVLERGMEGLNQAEVGTGLQVFYNLGELRGTVEQLVNKYKGMGLKSVSVALDMKAISAGGGGGGFGPGGIRGSGTPQIGGSGKARQALWQRMGSCMDQLHSIVVAIWHLQRVLSKKRDPFTHVLLLDEVIKEGDPMLTDRLWEALVKAFAMQMKSAFTASSFVKEIFTNGYPKLYSMMENLLERISRDTDVKGVLPAITSEGKEEMVAAIETFQTAFLASCLGRLLDRVNSVFPVSSRGSIPSEEQISRILSCIQEEIESVQLDARLTLLVLRVINKVLLQIAERAEYQISTGIEARQVSGPATPAQVKNFALCQHLQEIHTRISSMITGLPPIAAEVLSPSLGAIYGVACDSVTSLFQAMIDRLEACILQIHDQNFAVLGMDAAMDNNASPYMEELQNCILHFRSEFLSRLLPSSANATTAGTETICTRLVRSMASRVLILFIRHASLVRPLSESGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPLIFLETSQLGASPLLQDLPLSVILHHLYSRGPEELQSPLQGNKLTHTQGDDLIHAQPFSKIPKHDGSILPHFNISTKSVVVHAY >OMO58949 pep supercontig:CCACVL1_1.0:contig14079:69218:73054:-1 gene:CCACVL1_25222 transcript:OMO58949 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-binding split barrel MKGSNKASALTLAQKCKNILASNWQGYLNTIKSDATGSKEDVYTSKVKYILKRGRPYIWVPESDLHNVNTIIDERGSFSVASPFPGPLAKLLKSMNKFPARVALTGDVETLNDKKAQVVSDILKDVMLSEEKATRELSYTVSGVLSSSKYLSTSRSENLKELLDGGEKYVIYKFNLSSCMFVDCNGGTHEVNFEDIERCKADLLASYSAKLIDGINQSEARRRALIIFCFIYLNVHARDAFMLSIDRKGFDVLGKVRGNATIDEVGEYQWKQFRFTFKEEARDVESFCRQLVQMEEEAVKRVSSYSGLG >OMO58950 pep supercontig:CCACVL1_1.0:contig14079:73587:76933:1 gene:CCACVL1_25223 transcript:OMO58950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase/squalene oxidase MAAREWNPLDYDVEEGEEEEETPSPSALSSPLPYFDRDRHVAFLEMMYNLMPYHYQSQEINRLTLAFFTISGLHLLQALDRVDKERVADWVLSFQAHPRSKAELNNGQFYGFHGSRTSQLPPDENGVSAHNFGHLASTYCALAILKNVGFNLSTIDKESILISMRNLQQPDGSFMPVHIGAETDLRFVYCAAAICFMLEDWRGMDREKAKEYILNCQSYDGGFGLTPGLESHGGGTYCAVASLRLMGYIEDDLLSKNASSSVVNVPLLLDWCMQRQAADGGFQGRANKPSDTCYAFWIGAVLRILGGYKFIDKVALHRFLLTCQSEFGGFSKYPGTLPDIYHSYYGYTAFSLLEEPGLNPLCAELGMTDLAALGII >OMO58947 pep supercontig:CCACVL1_1.0:contig14079:59626:61360:1 gene:CCACVL1_25220 transcript:OMO58947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEAVIDARVRELGRAVGIMSSLGNRAIVKITDAGLTIKVRDNEVGLPAAELRLNRNACRSYGFQPTNPLADNDHFFINNLTPLGQFLEQATDQDILLLLHNPLPNDQRNRLVAILLNPAARQGRSLIKEDDPLENAPTNIVDEAPYMVTATIESRLFRDTVEGLRYTIIDENGQRTDGLDEVTLQELAGDVSIQSAAALDDIFSSDFELAELRAFINAAQRSGTVSVHVDHADQGRTPLLHCPFGHGLGKIFFYGHNIVPN >OMO58951 pep supercontig:CCACVL1_1.0:contig14079:79056:79967:-1 gene:CCACVL1_25225 transcript:OMO58951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSSVGLGLSIVFGCLLLALLAEVYYLLWWKKRLTNRDIENDYSNPAREFLYMFCWKRSSSSSSNSMSQTAALNPQGISSSNLLLKPADFNSMVENNNNHHLLHHHSLNSGPPRFLFTIIEETKEDLESEEAKSKCDIKSRVGSRGRSLSDLLLTVETPYLTPLASPPFFTPPLTPMEVSSGCNYSHQLGFNPLFESVTDAEFNRIKSSPPPKFKFLQEAEEKLHRKKMMEENEEEEEDDENGDMTPPSKYLKDEEDGSFITIIVDRSKEIRGFNHQCSSAASSQVLPLSSSPSTLIKSPV >OMO66784 pep supercontig:CCACVL1_1.0:contig12518:12422:40834:-1 gene:CCACVL1_20988 transcript:OMO66784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIYAKLHADIHPSSTPFSLTEPARRMWDPVVFGNLMPNRFSPSNLIVCDSGVSYVGIEGEQRDKVVERSIKELDLAVATAPFSSITYDNFSLSTNKVILEVHVCNCDELATERGQGFSFLKSQVMRSPPLRSLWAYPVWARFFSPVYSSKFQLGAIVVDDGFWNKLDLGTRDLGKRNLGILIDLGSVSRGDFEIKMVAYLKSKAGSQSRNLDPFVVFAWSATSTCRIS >OMO66785 pep supercontig:CCACVL1_1.0:contig12518:67272:70143:-1 gene:CCACVL1_20989 transcript:OMO66785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKWPHKPSQAVLIVAVHLRSLIPSE >OMO54855 pep supercontig:CCACVL1_1.0:contig14882:5103:5639:1 gene:CCACVL1_27521 transcript:OMO54855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISSRPVVSIILWAIVLLSFASKSNSMAPQSIHQYALMEHPNLQAEEAPSQAIMTGGNNRKLISPPLAKSVQQLSSDQVDDDDDDDEEKKRKKEEEKEKKEEEKKEKEEEKERKKEEKERKKEKEEKKKQKEKEEKKEHKVKADHDGGDLSSATSSSNMGLVLCIFSFTIFFFFFF >OMO54854 pep supercontig:CCACVL1_1.0:contig14882:1405:4266:1 gene:CCACVL1_27520 transcript:OMO54854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole biosynthesis, hydroxymethylbilane synthase METLCSSLCTAHGGGLVNFCGGGSVSVFGFPIQHLKTQAFPDSKRKQSFGVIKASVAKTEVAVLRIGTRGSPLALAQAHETRDKLMASHPELAEEGAIEIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPEKTILPCNLPREDVRDAFISLTASSLAELPAGSVVGTASLRRKSQILHRYPSLKVEENFRGNVQTRLRKLNEGVVQATLLALAGLRRLSMTENVTSTLSIDEMLPAVAQGAIGIACRSDDDKMAAYLASLNHEETRLAVACERAFLLTLDGSCRTPIAGYASKDVEGNCVFKGLVASPDGTRVLETSRKGSYSYEDMILMGKDAGKELLSRAGPGFFDF >OMO66240 pep supercontig:CCACVL1_1.0:contig12567:6385:7893:1 gene:CCACVL1_21249 transcript:OMO66240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSLLTVLSMENHHPSTLLSMDSSASSHDELDLEMNRQIVLSRPPDINLPLSAERSPPPQPWNSDQCDILDVGLGSQAYETESFLTVPKPGRKCAKRVDSIWGAWFFFNSYFKPALNEKSKAKIIRDSNGVSGFDKSDLKLDVFMVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGERPFPFSVDRGFVRSHRMQRKHYRGLSNPQCVHGIEVVPSPNLMALDEEERKRWIELTGRDFNFTIPPEASDFGSWRNLPNTDFELERPAPPIKSVSNSNSKKLLNGSGLNLSTQPSAHSNGDGMDLSLVSNKRRKDFFPHSNDEDCYLAVIPPSDRIPDMEVHPSEPHWLNDFSGVMKNIYGPVTAAKTIYEDEQGYLIIISLPFVDLQRVKVSWRNTLTHGIIKVSGVSTSRMTFIKRHDRTFKLTDPASEHCPPGEFVREIPLSTRIPEDANIEAYYDGPGSVLEIMVPKLRVVPEEHEVRVCLRPNLGGSDLMLT >OMO66241 pep supercontig:CCACVL1_1.0:contig12567:10284:12827:-1 gene:CCACVL1_21251 transcript:OMO66241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MNPINTVFDAKRLIGRRFSDASVQSDIKLWPFKVIPGPGDKPMIVVTYKGEDKQFAAEEISSMVLIKMREIAEAYLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVKDEKIGAKLTPADKKKIEDAIEAAIQWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGAGGEGGMDEDAPAGSGGAGPKIEEVD >OMO66242 pep supercontig:CCACVL1_1.0:contig12567:16181:19699:1 gene:CCACVL1_21252 transcript:OMO66242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEAKRKDDSSWHPCRVSLSSSGDSLIVNFGSQEMEDMVLKKEEVLMHIRFRSMPLQFDDCCHIEEGERVLANYNSKSKNLFLDAEVEKVERIRHSKRGCRCTFLIKWLDHDLKGQSVSVPSSSIMKLATKSISSHQVVHKLLKQEKVSCLSLTSPLSAILEGTDDEDLNKLLEKQIEKISKLADLPQKEFPEDILWRNRAENVTTGVANSSAESKTAVLSISRAKKSSANANLHSTAPIRLTRSAAQKGAIISNDGIQVEIRDDDMKRKIPGKKNRSSQPAVCQGNENLANAEENDLTHATDSDSSNGKIAAAESNVAIRLTRSAARKGAINPNDGIQVEIHDDDMKRRIPGKKNRSSQPAVCQGNENLGNEEENDLTHVTDSDSSNGKIAAAESKVATTKSTTSENTKAAFTPCNAEIHMLTEERNKSKETDSGRTNQGQKRKAVPPAKQDRRVSPRNFLPRTRSQHKAQTGKYMGIISS >OMO53966 pep supercontig:CCACVL1_1.0:contig15088:3517:3642:1 gene:CCACVL1_28171 transcript:OMO53966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPISLLSMTQLRPTPLADRQPLKTEGSSYLKAQRPRPCRLT >OMO53970 pep supercontig:CCACVL1_1.0:contig15088:18013:18084:-1 gene:CCACVL1_28175 transcript:OMO53970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMSDGALPMSCHLMQLSSPQL >OMO53969 pep supercontig:CCACVL1_1.0:contig15088:14363:15855:-1 gene:CCACVL1_28174 transcript:OMO53969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MFLRAEDTEDQEDELLSLSLAIVTDHPGGEKNRKRKRSRLNIVSNTQKPLNDSNYNNNSSTSSSYEELYQSVSLMGDSVQRVVAYFADGLAARLLTRKSPFYDMIIKEPTIEEEFLAFTCLYRVSPYYQFAHFTANQAILEAFERDQDINNRALHVIDFDVSYGFQWPSLIQSLSEKATSGNRISLRLTGYGRNLEELQETETRLMSFAKGFRNLVFEFQGLLRGSNSKLINLRKKKNETVAVNLVFHLNTLNKLLKISDTLKSIHSLKPSIVILVEQEGSRSPRSFLSRFMESLHYFAAMFDSLDDCLPLESGERLSIEKNHLGKEIKSMVNCDNKEEEEEDDDEADQKKSCPRYEKMETWKGRMESHGFEGMKLGSKCLIQAKLLLKIRTHYCPLQCEGEQSGGFRVFERDDGKALSLGWQDRCLLTASAWQCV >OMO53968 pep supercontig:CCACVL1_1.0:contig15088:11720:11962:-1 gene:CCACVL1_28173 transcript:OMO53968 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 5' nucleotidase MMRPNANKRKLNSGSARWKRWGDRDHSEESNSCEKKKKKANPKLGGVAMLCSQISNPTVELSHNSENETLNVELKEDSIW >OMO53967 pep supercontig:CCACVL1_1.0:contig15088:8045:11382:-1 gene:CCACVL1_28172 transcript:OMO53967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFGAVESSKDVSDGSSGKDVAIEQCPCKSKGGFDGLTVSRVGLVGSHEAVKGKSNNGKVMDMVAKICREECKAHEPLMPQWYYQYHIWVGYPDFGNFTEWDHVIPPLVPQQQNS >OMP11875 pep supercontig:CCACVL1_1.0:contig00750:278:769:1 gene:CCACVL1_00230 transcript:OMP11875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKSKLFLFAFYMALTSPSMEAARPLHQSTDPNPNFEPSIAEGDFYSDPNRSFPTAPGAGGLGHASSTVGYGDTFVPVGSLGHASSTVGYGDTFGSTSATNYGGASSRPFHSASVAGSSVVGCFVTTGVAGHSGNTYAGVAGYGGAYGPVNGVINRYYIPK >OMO87406 pep supercontig:CCACVL1_1.0:contig09242:24055:33143:-1 gene:CCACVL1_09048 transcript:OMO87406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESKPQSDPVQNPRVTWEGCSVLLDINDGDRLVFARLSAGATLKIGNKTCSLQPLIGCPFGSMFQVESGQEKPYLSRFTPSTEENNVQDEGKQESRDNRALIDNNQAQSLTSEDIDALRRQGATGNEIVEALIANSTTFDKKTQFSQEKYRIKKQKKYAPRVLLRRPFSRSICEAYFKKYPARIGFLRVDALSLLLSMANVTANSDVLVVDWVGGLLTGAVAERLGGTGSVCNTYLGGKPHSMEIIRMFNFTNEICKRILRCSLDDLCSVQSGTDEKADQQETVRTVEIRSTEQTSVSVSMEEVDLSTDNGVGDLLPENDLSTVSKTCKAPKAGDKASKESMKLWRENGFSSLIIAAPEQDPWSLVKHLLPLLSYSAPFAIYHQYLQLTNQNQNQNQPLSYGKPLFPAYLARQPLLFSSFDHSNSSLDSAHSAFATPPLNQTREVKSVEAQTSGRQDVTGHGKVINHPLPGSEHEKGGKHNSKAKGKKNAKSGTQKLDADSPNGLNTTGNCRYDSSLGLLTKKFVNLIMEAKDGTLDLNHTAEVLEVQKRRIYDITNVLEGIGLIEKTSKNHIRWKGSDDKALELDDQVTRLKAEVQRLYVEECKLDNHIRERQESLRALDEDVNYQKYLFMTEEDIMNLPCFQNQTVISIKAPQASYIEVPDPDKDIVFQQRQYKMIIRSHNGPIDLRLLSEYQDNVKGLSGGSPELCSDEKASQMNSSKIQLTPTMGDMEPEAGQPKQERSRTRWTPSLDKIFADLVVKQIQLGNRPNNVFDKKTWNNIRDEFNRQTELNFNNNQLRKHLDVLRTRFYNLKSAYDQNDFAGMEDSCCIGFDLWEDIGAQPRPEPVKVKDCPIYEQLCTIFTDSSADGKYAQSSHFEGLDKAVGNDNGGLNSCPEGGSAQPDNSSASRLPQNNSLPEQLTKTTGERKRKRPSEAQSSLDQSRKDEETSVAMAGAMFDMLDAWRSRMTVATNRSDDKFSITNCIKALDEIEGIEDWLYFAALDLFEDSNLRETFICLKGGTARLTWLQGKCVSPALTSF >OMO87422 pep supercontig:CCACVL1_1.0:contig09242:97726:100854:-1 gene:CCACVL1_09065 transcript:OMO87422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Brain/reproductive organ-expressed protein MSSDGFPPFISAQLRYLLDHFPHKIRVEQVRSGGSGGLDRFTLLIPYCLDYIKWDVIYNAEYPFAPPDIVFGPEDDDFHPLLMTGSEGEGNLNSGSRNILSDWNNKDPTKLQALIQELRDQYMFYQRRRAGEVDDDRLKFEISTILPREGIEMHISSVAEKAEEVKFAVPLMDMNINKMVHACPWRHPQKIYLQVIYPVGRKYASTASAPRLKLMSTPELRALFSVDDVKLPSWLDGMCMAEYLPNLEESLEKQVREAVSLIDIRRRFIEALVPFFGRPLEADPVFCGKATFLASSGSFVFLVHFLISTQFPKQQPALMLQSLLHISPQGVPKKSPLLKDYPWSPRWEASQMAERILDFAADECLNFKRFCSDSQPQPQPQSQH >OMO87424 pep supercontig:CCACVL1_1.0:contig09242:105441:110619:1 gene:CCACVL1_09067 transcript:OMO87424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSGGTRPKDRSIKPEKKNKTGVSGKLKSKTSFSKQKGNSNPHSYANGDGFDKYRQRHDSGDFGLQFSRELKPSTPARTAVSKVGHKGSFLGRAGIMGLERAVDVLDTLGSSMSNLNAGSGFITGLASRGNRISILAFEVANTIAKGANLMQSLSEDNIQFLKKDVLHSEGVQKLVSTNIKELLSIAAADKREELDVFSREVIRFGDLCKDPQWHNLGRYFSKLDVENSLNRQSRAEADMTLQELTTLAQHTSELYHELNALDRFDQDYRRKLEEVESLNLPKRGENLMILQSELKQQRKLVRSLKKKSLWSRTLEEIMEKLVDIVTYMHQVIFEAFGEPVRKETTANPQKLGVAGLALHYANVIHQIDNIVTRPASLPPNIRDTLYHGLPPAVKKALRSRILSMDSKEERSISQVKDEMEKTLQWLVPVATNTTKAHQGFGWVGEWANTGNEFGRSAAGSNSLTRLQTLYHADKQKTDAYILELVAWLHQLISLVKQRDHGFRPQPVRSPTYKGLEFHSKMQRYLSFNGGIKPPRVELSQEDRNLLNKGHSLIPAGTSEPTTNQQQIPDGVPQGEGTNAPLLPSAPNNNIPKIPFAKPTGNGNQGQQQQSQLGFQQQNPNYPQSGFNQPYPSTINQPYTGLNQPFSTINQPFGATGQPGFARNAPFENGVSKEKSCIQGVVFVSLLTVMFTFV >OMO87423 pep supercontig:CCACVL1_1.0:contig09242:101200:103696:-1 gene:CCACVL1_09066 transcript:OMO87423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVLFPKPSSIIDFIHGAV >OMO87414 pep supercontig:CCACVL1_1.0:contig09242:66813:67304:-1 gene:CCACVL1_09057 transcript:OMO87414 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MAISKALIASLLISLLIIQLVEADQLVNDKDAKYPQKIDCGAACKARCKLSSRPHLCNRACGTCCARCNCVPPGTAGNQEMCPCYASLTTHGGKRKCP >OMO87417 pep supercontig:CCACVL1_1.0:contig09242:82326:84388:1 gene:CCACVL1_09060 transcript:OMO87417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEDSKPVKKEEPEDDDAKSLKSMMANRNKKPSSNSNNAAASNSKSRPKEAKVKKEESVDDDDHHKDEDFKKPINGSSSASRAKATKPKKEESDDEDKKPINGSSSASRAKATKPKKEESDDEDDKPISRRNSAIKPDEKRDTPEERDPLRIFYETMYEQMPHSEMAQIWMMESGLLPLEEAQKVFEKKQKKNLNSPMKAASALKSCTKSVTVKKSPVSSNKKKTDSKVAAKQTKKRKADDDSDDDFEEVLPKTKKQRAK >OMO87401 pep supercontig:CCACVL1_1.0:contig09242:8949:9041:-1 gene:CCACVL1_09043 transcript:OMO87401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCPSTHMKAGHSPLQYVPKLSYYQADTH >OMO87420 pep supercontig:CCACVL1_1.0:contig09242:90398:93333:-1 gene:CCACVL1_09063 transcript:OMO87420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin MREILHVQGGQCGNQIGSKFWEVICDEHGVDPTGRYNGDGSSSDIQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMMTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYISLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMSSTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEDEGEYEDDHPDDGEYET >OMO87430 pep supercontig:CCACVL1_1.0:contig09242:143373:146094:1 gene:CCACVL1_09074 transcript:OMO87430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDGFHFPAEFQGSKVGSMAAVVWGTRK >OMO87419 pep supercontig:CCACVL1_1.0:contig09242:89868:89960:1 gene:CCACVL1_09062 transcript:OMO87419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGPRKTVLESEINPVNSFLLASRDMDHP >OMO87428 pep supercontig:CCACVL1_1.0:contig09242:127890:129405:1 gene:CCACVL1_09071 transcript:OMO87428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-associated, YjgA MARLIRPFRQWPLLQQHQPCCSRAALDHFLSTPLPLTVSTKTTSHCFSFATSTSSRENARHRPRGVRLPNAPPPSGIQEGETSDSDSDIRKSRNQKKREARRAVRWGEDLASFSNSQIKRILRVASIEEDVFDALMLVKRLGRDVREGKRRQFNYIGRLLREQEVEPELMEALIQATKVGDQKTLQALSGSKTEVLEEEEEDDEEDEYESEEIQYEMSEEYVNTANRWFDGLISKDIDITNEVYAVNSVEFDRQELRQLVRRVQMTQEQSQTVTEENKAKVEAAATKAKKSLTRFLRTLARQLPIEQSFD >OMO87410 pep supercontig:CCACVL1_1.0:contig09242:47502:52550:1 gene:CCACVL1_09052 transcript:OMO87410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexokinase MSVAATTTATWPAVGSFRDRRSTRGAPRFRMSVRSSAISVAPMLTKLQQDCATPLPVLRHVADSMSDDIRAGLAVEGGSDLKMILSYVDSLPTGNEKGLFYALDLGGTNFRVLRVQLGGKEERVIDTEFEQVSIPQELMFATSEELFDFIASVLANFAQGEGGNFHLPPGRKREIGFTFSFPVKQTSIDSGILMKWTKGFAVSGTPGKDVVACLNEAMDRKGLDMRVSALVNDTVGTLAGARYWDDDVMVAVILGTGTNACYVERMDAIPKLQGQFSPPDRTIVNLEWGAFSKGLPLTVFDRDMDAASINPGEQIFEKTISGMYLGEIARRALLNMAEEGALFGDNVSKKLSTPFLLGTPHLSTMQQDSTYDLQTVGSILYDVAGIDSDLNSRKIVLEVCDTFVKRAGRLAGAGIVGILQKIEEDTKGTVFGKRTVVAMDGGLYERYPQYRRYLTEAVSELLGPEKSQNVVIEHSKDGSGIGAALLAATNSKQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLESIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKLE >OMO87404 pep supercontig:CCACVL1_1.0:contig09242:18034:18923:1 gene:CCACVL1_09046 transcript:OMO87404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSEETEVFLEKIAGELKFGAAKVTTANRYEVGGSGQS >OMO87408 pep supercontig:CCACVL1_1.0:contig09242:42941:43339:1 gene:CCACVL1_09050 transcript:OMO87408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYMHRPQRESFAIQVSETLEEAGQAPNTNISPKFNNLSSMKLFNRFRKILMRLIFSIPSRSRSSGSSSSSSMAGSKQKNNYCDKFDPPKTSCSSYYSSQSHYSEAIADCIEFFNKSSQEGILDGRKSDVFV >OMO87411 pep supercontig:CCACVL1_1.0:contig09242:53414:57623:-1 gene:CCACVL1_09054 transcript:OMO87411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSRDVRRGTSRFSRQQINTTKQQQQQSRPNLLFGIDGGVGVNGNGGDFVCLEKAKKEKIRRLSSVNGNRRSHSPGPNSNQHFETEMGTACCCDLSSDNRENQCPSHSQHSPLIANSAAKRFKIPKKFFDDCNVVDHASVPRKLRSAMKKRSRESISPPLPDSKKLNHALGGVESHKKDGGKKSKLNMKQGKPEWSKKPTISGPITKDEEEVVETLYALAGMFPDNDTVDKNKLSGESMQVKPLSPPEAVESPPSSIEDKNSVSCSQAAEPLPSSTIEESSNEVAKFDSLNEPTAQDKPDFIDSKKSYMDPDSFMSQMRLNTAIPSLAKTEPDAEKSSYISGNSHVFSELSLETGLKQPKQHLATTFERKPEMAFGLAAIESQIAQQHMIKEPRKIDLALWPGLSSTVPLGTRSPSSSPSSATIIPAWLDAAMSGPRPCSLESGSSTGKVSKGPMDRKSVKRCAAHVYISCLTRNLKMQDSKDNILQQSLELKSRVGLKRTAVLYPSNCNNSRNGINGTIPSSNSGNYAPDRNPYEARSGMLQPKVLHQDQPQVASASGKNSSQRQSFDFLSLSAGSISMEANYTSNKAGKGIDSFPQLQVPYLHSFPQHQSRVTFPTHSTYTSAYTDQLSTATAAQQVQLQIPQYLNNPFCSPPYSSHSGAAKQQQQQQQQRFWAAHLAAQYRGGGTSGVLTQFPSWQNGKPESSSTLMPCAQTVMPLQPHSTRDAIGPPKYNTATQHQQPIVTISSSLPPARLKRPDHHLPSVYEESSGGFRAGGPLPLQLLCNERL >OMO87402 pep supercontig:CCACVL1_1.0:contig09242:9740:13054:-1 gene:CCACVL1_09044 transcript:OMO87402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MGKGSKSRGCVGWFLVVVILALVVGAVVYTVKKKIDRSRANKPEPVPGPPGAIDKKYADALKIAMQFFDVQKSGKLENNKISWRGDSALKDGSEASLDLSKGMYDAGDHMKFGFPLAFTATVLSWAILEYGDQMDAVNQLEPAQDSLKWITDFLINAHPSPNVLYIQVGDAVADHKCWDRPEKMTEKRPLTMVNTSVPGTDVAAETAAAMASASLVFKTSDSTYSSTLLKHAKQLFTFADKYRGIYSEQIPDVATYYNSTGYGDELLWAASWLYHATGDQSYLEYVTGENGEEFANWGSPTWFSWDNKLAGTQVLLSRLSFFDSKVASGNSGLGNYRKSAEDVMCGLLPKSPSATSSRTDGGLIWVSEWNALQHPVASAFLAGVYSDYMLTSQTAKITCGSDSFKPSDLRKFAKSQADYVLGSNPLKMSFLVGYGDKYPQYVHHRGASIPTDATTGCKDGFKWLDSTDPNPNVAIGALVGGPFLNETYIDSRNNSMQAEPTTYNSAVIVGLLSSLVTTSSIAKSLT >OMO87429 pep supercontig:CCACVL1_1.0:contig09242:136021:137709:1 gene:CCACVL1_09073 transcript:OMO87429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MAQLTFIAAFSVLIFSHSLISGVQATTFTIVNKCDYVVWPGILSNAGVPTLSTTGFTLQRGETKTITAPASWGGRFWGRTHCSQDSTGKFSCLTGDCGAGKLECSGNGAAPPATLAEFTLDGAGGLDFFDVSLVDGYNIPMLVVPQGGTGQNCTNTGCVVDLNGSCPSELKVMSTDGSSGVACKSACEAFRQPQYCCSGAYATPDTCKPSSYSEVFKTACPRAYSYAYDDKSSTFTCANADYTITFCPSPNTSQKSSQGQETTTTTTTSPLINSTMVYEGALDESAASPSTCNHVFAGIIGMTLAIWWSWQLF >OMO87409 pep supercontig:CCACVL1_1.0:contig09242:44511:46030:-1 gene:CCACVL1_09051 transcript:OMO87409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMQEGEGGVVMMKRAEIDTRAPFSSVREAVALFGEKVLAGELYATKLKEMNGEASGNGPSRLGTVTAELEETKHNLLKAREESMVMANCLSSLKEELDRTKKELQQMKERESEKLMMEFEVEHVKSTVIPDSTRLFDQVKKTQTTNYEQGTEFQKKRYVTFANPPSLAQVIVPQGVEKLERHPSLRKKKKKPLIPLIGGIFSKKKGSPEVASSRSP >OMO87413 pep supercontig:CCACVL1_1.0:contig09242:64176:64748:-1 gene:CCACVL1_09056 transcript:OMO87413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MAIMISKTLFWASLLFSLFVLSTFAESSEPNQVITNMVEKNSLITEDKIDCDGACGVRCKLSSRPNLCKRACGTCCKRCNCVPPGTFGNYDVCPCYRDMKTHGGRRKCP >OMO87432 pep supercontig:CCACVL1_1.0:contig09242:153950:158219:-1 gene:CCACVL1_09076 transcript:OMO87432 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MRKWAIPSALVLLCLLSLLSDQGRKVQVNAEEGAVDPPKVEEKIGAVPNGLQTDSDVVKRPWTRLGSFHSQTKKFWAKVTLPSWRSRYVWESKADGAFAISEDTWNEPLGRGTEIRLHLREEAQEYLEESKLKGLVDSDTLPLNVSREMLQAHSSLKTIKKKLIRKALDMIRKIAEEDPDESSGKDEKEVEKSSDDDEKKGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRVIYFTDPVDEYLMQYLMDYEGKQFQNVSKEGLKIGKDSKNKELKESFKELTKWWKGSLAGENVDDVKISNRLDNTPCVVVTSKFGWSANMERIMQSQTLSDASKQAYMRGKRVLEINPRHPIIKELRERVVKDPEDESVKQTAQLIYQTALMESGFNLPDPKDFASRIYSSVKSSLNISPDATIEEEDDVEETETETKEDTPKSEAEPTKDDAEPSDLKDEL >OMO87403 pep supercontig:CCACVL1_1.0:contig09242:15441:16832:-1 gene:CCACVL1_09045 transcript:OMO87403 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein MIGSFLTRGLVMIFGYAYPAYECYKTVEMNKPEIEQLRFWCQYWILVAVLTVCERVGDAFISWVPMYSEAKLAFFIYLWYPKTRGTSYVYDSFFRPYVAKHENEIDRNLLELRTRAGDMVVLYWQRAASYGQTRIFDILQYVAAQSTPRPNNAQAQGPRRQPAGVPNRQSSAKTQAVQPEPEEPPSPTSSTSSSQHQKEIAEEVGPSKVPSKVPSKVAKPATPSASSNSQKADTASESTSQPAETEAESMQIEPASATSANESANPPPKETLMEESIRVTRGRLRKTRSGTR >OMO87416 pep supercontig:CCACVL1_1.0:contig09242:78508:80454:1 gene:CCACVL1_09059 transcript:OMO87416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKTRSQPSSERQKWDKIFDGLVKMLKSQQQQLETLAKERKILEDRIKMQYERWVSDVRLYEDHISQMKRDVELKEMARVLESAKSDMMVGLKHREAFLCKLRLEETEDELADFRVWFDFLSKNSIDISQRDPKKGKSGRKDSGSKSLEGEMRKLKLEYENLVSEKKALLAENKFAWNQFNLLDSQFTDKLNSKNSELEDANRKIEALISNMEELRSCNAEKDQLIERLKVELSQKEADASRVHEGVSKKSREVESLKKSKSASSTPVIKRCTAGKTSVMGNKNGGLNGVNVTVKKESAPDLLKDSGMGTRSSKRKMDEVIPLSETPKLFTSKFKVPRLKAASSSRSR >OMO87431 pep supercontig:CCACVL1_1.0:contig09242:149419:150244:1 gene:CCACVL1_09075 transcript:OMO87431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MDLIFSTCLFTLLSFFQGISGTTFTLVNKCDHPVWPGILGNSLLGSTGFELQPGGAQSFQAPPSWSGRFWGRTGCTLDQTTGQFTCQTGDCGSSQMECNGKGASPPATLAEFTIGSSGTQDFYDVSLVDGYNLQMIVEATGGSGTCLTTGCSSDLNRQCPNELRVGSGQACKSACEAFNTPEYCCSGAYATPDTCKPSVYSEMFKAACPKSYSYAYDDATSTFTCTGADYTITFCPSSTR >OMO87415 pep supercontig:CCACVL1_1.0:contig09242:71255:76844:-1 gene:CCACVL1_09058 transcript:OMO87415 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor MCPLGLDVSQNGNVKTLESAGTIFEIDDSEILRNLERPRAINVDRNRTFDQERPSNECLSPHGRRSGFNTPRSHTCFETHAMVAEAWENLRRSIVYYRTQPVVTIAAIDHSVEEELNYDQVFVRDFVPSALAFLMNGEEEIVKNFLLKTLHLQSWEKRIDQFMLGEGVMPASFKVIHNPERNREILIADFGESAIGRVAPVDSGFWWIILLRAYTKHTGDTSLAEMPDCQRGMRLILTLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKQDQEGKEFMERIVKRLHALSYHMRSYFWLDLKQLNDIYRYKTEEYPHTAVNKFNVMPDSLPNWVFDFMPTRGGYFIGNISPARMDFRWFCLGNCVAILSSLATPEQSAAIMDLIEARWEELVGEMPLKICYPALESHEWRIVTGCDPKNIRWSYHNGGSWPGKKKQTRRELTEEETMKASRNPIHAVSTWIRRQPPKMKVFLGVMSAIVALVFLSLVVEDHDNLFVAAEAVHAIGTCVLIYKLSQEKTCAGLSLKSQELTALFLAVRLYCSFVMEYDIHTLLDSATLCTTLWVIYMIRFKLRSSYMADKDNFGIHYVVIPCAILSLLIHPTTSHHLFNRICWAFCVYLEAISVLPQLRVMQNVEIVEPFTAHYVFALGVARFLSCAHWVIQVFDTRGRLLTALGYGLWPSLVLISEVVQTFILADFCYYYVKSVVGGHLVVRIPSGVV >OMO87425 pep supercontig:CCACVL1_1.0:contig09242:111238:113132:-1 gene:CCACVL1_09068 transcript:OMO87425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MRRNSWQDGLQETNCPILPGENWTYSFQTKDQIGSFFYFPSLLMQKAAGGYGAIRVNNLPAVPIPFPRPFKEYDVLIGDWFNADYRGMRASLDRGNLLPQPDGILINGQGPEKARLEFEPGATYRLRISNVGLKTSLNFRIQGHSMLLVETEGSYTNQKYYDNLDIHVGQSYSVLVKAKKKTNGKSYFMVASSRFTTSEQVGIGIISYPDSVANPLKPLPKGPALSDFNSSLQQARSIRWNLTAGAARANPQGSFHYGSINISRILVLENNLMLLGNKARFTVNGVSFVHPDTPLKIADYFQLEDVFQPGSFPDRPTAGPPSLGTSFIDVLYRDYYHIVFKNPLSELQTWHIDGYNFFVVGMDWGVWNESKKAGYNMIDAVSRSTIQVYPSSWTAILIQLDNMGMWNLRSQNAEKWYLGQELYLRVKGVGNDDPSTISPRDEAPMPKNVIKCGRAINL >OMO87405 pep supercontig:CCACVL1_1.0:contig09242:22259:23214:1 gene:CCACVL1_09047 transcript:OMO87405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAPSSLPRLHSPFLCCPLKHSSPSLSFKCGGNQRSPPSYPCIRAADLDQNTIVAVSVGLVSVAVGIGIPIFYENQIDSAAKRENTQPCFPCNGSGAQRCRFCMGNGAISVELGGDEKEVSKCINCDGVGSLTCTTCQGSGIQPRYLDRREFKDDD >OMO87427 pep supercontig:CCACVL1_1.0:contig09242:125105:127245:1 gene:CCACVL1_09070 transcript:OMO87427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase FAD/NAD(P)-binding protein MAVTVNAAVSLPSSKSPSLSFKSSISIPERINFNKSVLYSRNVSSGGNVISIKAQVTTEAPAAKKEKISKKNEEGVIVNKYKPKEPYVGKCLLNTKITADDAPGETWHMVFSTEGEVPYREGQSIGVIADGLDKKGKPHKLRLYSIASSALGDFGNSQTVSLCVKRLVYTNEQGEIVKGVCSNFLCDLKPGADVKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTTSSLLYKDEFEKMKEKAPENFRVDYAISREQTNEKGEKMYIQTRMAQYAEELWQMLKKDNTFVYMCGLKGMEKGIDDIMTSLAARDGIDWLEYKRQLKRSEQWNVEVY >OMO87407 pep supercontig:CCACVL1_1.0:contig09242:35928:38842:1 gene:CCACVL1_09049 transcript:OMO87407 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid binding protein MALLTFLPEAAAAVEPKKQPSTKRRKKQTKEKQPSSWDQIKNLLTCKQIEGSKVHDPSKSNLPQHHGYSKLGSSCNSICSFRDVVHGNTRVVHRADNSPESSTVGQETGLLRRKPVNGSTSTRSLSGSTRSNHSTATSTYPTSSSSRAMQFRKLSGCYECHMIVDPSRFPSSRTTISACQQCGEVFPKIESLELHQAVRHAVSELGPEDSGRNIVEIIFKSSWLKKDNPICKIERILKVHNTQRTIQRFEDCRDAVKTRALNSTKKNPRCAADGNELLRFHCTTLTCSLGARGSSNLCSSVPGCGVCTVIRQGFQNKGGAVAAAEFKGVRTTASSGRAHDSLKCTDGRRAMLVCRVIAGRVKRVTDDAPLEEDNSSNVSAGSYDSVAAYAGVYSNLEELVVFNPRAILPCFVVIYKALES >OMO87412 pep supercontig:CCACVL1_1.0:contig09242:59154:59439:-1 gene:CCACVL1_09055 transcript:OMO87412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATWRRSTSTAINGRGRDNESVTRRFQWAASCDEATTSDVADT >OMO87418 pep supercontig:CCACVL1_1.0:contig09242:85490:86936:1 gene:CCACVL1_09061 transcript:OMO87418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRYDGGFTRDDFEVAKILKELPYLILDYESRPRIPFSWGGKRKRSALAKEDFPMKSLPPVEIPPPSPELPSSSVVVLSSEVAAEKEKVLTSSPATPLSFSPSESDEKPLPSQKRTSSVNALKRKKQQLLEMIDDFTQRNESLKKDIENKKLFLDQQKAKNMELKAKKEELSLSILMAKEPPLEPMETEYIRGVHHHQQVISTKIYQQPLNMDQTVWKLAMNSNSEYPYNSNSRIISWSNSNSGGLSKVHDNIGPLGLLDLNVSAEEAYGFSSPAPVDPIYTAKARAAAARSNRIKICRSKSQFNSAYRARYPFR >OMO87421 pep supercontig:CCACVL1_1.0:contig09242:95723:97078:1 gene:CCACVL1_09064 transcript:OMO87421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVHSKNKGRKAAGKNDAAAAAAKKGLFEVDVEAFSVSNDVDIFTRLPVKSLLELSQRVPKVWESIVENPSFVDKHFSHSLNRTGGGRMLVSFSNLDGSKHYFFSVGLYGGSGVHEVTLPGCNKGPNKPCHCHVSESVKGLFCFFNGRDLYVFNPATRSVQPIPKSLESAKRYESVETTRILDKSYSAYAFGCDSCTNVFKVLHIMGITKSGMKVYDLECEVYTVCTPSRRSNDEKPENLTFSWKKIAHVPPCPYPFKSQGVCVNGFIYWLGMTAPAVEGVVRFDVANEKFQMISLPTEISVIPILTRVGERLALFGSSNNNNNNKDANSSVEFWRLDDDDENKLGWSKESFEVPCSHRRLKHPVALGNTCSGDVLLVADVISEEYLLIPFPSNKANCSSKRKINIRGLPGFLEFNGVKHANFNITNYVESFVNVEPRLLRLGYTCFYV >OMO87426 pep supercontig:CCACVL1_1.0:contig09242:120521:121027:1 gene:CCACVL1_09069 transcript:OMO87426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSAFATFGSSAAGFMGSKVSVKDTTSMPTRVQFRPLRVSAACSSTAERTVSHIASPSSLYEVLGIQMGATCQEIKSAYRRLARVLHPDVSANGQNNATAHEFIKVHEAYATLSDPEKRADYDRTLLFRTRRSFAMSASAASMASSAASGFSGYTRRSWETDQCW >OMO87400 pep supercontig:CCACVL1_1.0:contig09242:5750:7955:1 gene:CCACVL1_09042 transcript:OMO87400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG MENQQQQLHLHHHLQVQQQQQQQQQRQPSSMKSRFRRVCVFCGSSPGKNPSYQLAAIQLGKQLVERNIDLVYGGGSIGLMGLVSQAVFDGGRHVLGVIPKTLMPREITGEPVGEVRAVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHEKPVGLLNVDGYYNSLLSFIDKAVDEGFIAPAARNIIVSAQTAHELMCKLEEYEPKNSGVAKLSWEMEQQLGFTAKSEIAR >OMP12362 pep supercontig:CCACVL1_1.0:contig00083:908:2299:-1 gene:CCACVL1_00022 transcript:OMP12362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAHQPSIYVLDTPGVLVPSIPNIETGLKLALAGSVKDSVVGEDRIVQYLLAVLNTRGTPLHWKHVTNQMEEIAQISEEKPDYNLKDLRPKRKKPPNASDLLYVKDLVTEVQHALYVTRSEFSGNIEDENDLECLIEHQFEMLQKALKIPHKPSEARLMVAKKFLTLFRT >OMO72772 pep supercontig:CCACVL1_1.0:contig11381:5566:5652:-1 gene:CCACVL1_17603 transcript:OMO72772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLTALRFSSKSAQLQTLATILLNSIELE >OMP01480 pep supercontig:CCACVL1_1.0:contig06442:31475:33578:-1 gene:CCACVL1_03090 transcript:OMP01480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPIRIGIVGCAEIARKVSRAIHLAPNATVAGVASRSVEKAANFAKANGFPPEAKIYGSYESLLDDPDIDAVYLPLPTSLHHKWAILTAEKKKHLLMEKPVALNVAQFDDIVKACEENGVQIMDGTMWVHHPRTQKMKEFLNNKELFGQLKSVNSCFSFYSDPDFLKNDIRVKPDLDGLGALGDAGWYGIRSILWAADYELPKTVAALRGPVLNEAGVILACGASLHWEDDKVATFHCSFLANLTMNLTAIGTFGTLNLTDFIIPYQEHEASYTTSAKPGFNELVTGWVPLPSEHTVNVSLPQEACMVREFASLVQNIKKNGAQPDKKWPTISRKTQLVLDAVIQSIKNGFEPVEIVS >OMP01479 pep supercontig:CCACVL1_1.0:contig06442:29840:29956:1 gene:CCACVL1_03089 transcript:OMP01479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLQRRHYLWSTFVLGPPTNPRRVQQRRIVSVAPSNG >OMO79580 pep supercontig:CCACVL1_1.0:contig10397:9238:10214:1 gene:CCACVL1_13563 transcript:OMO79580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKQAKPAGRPMGPGGDRPRGPPRFDGGERRFGGDRDGYRGGPRGGGDFGDKGGAPADYQPSFRGPGSRPSFGRGGGSYGGGGAGPAAGAGIP >OMO79581 pep supercontig:CCACVL1_1.0:contig10397:13021:13647:-1 gene:CCACVL1_13564 transcript:OMO79581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDEESEEEKQKREEKKKSFENLCKTIKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSAYMSSKKTMEINPDNGIMEELRKRAEVDKNDKSVKDLVLLLYETALLTSGFSLDDPNTFAARIHRMLKLGLSIDDDDTASDDVDIPALEEETNEESKMEEVD >OMO79583 pep supercontig:CCACVL1_1.0:contig10397:17343:20596:1 gene:CCACVL1_13566 transcript:OMO79583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M48 MEFPVIQAVVGSMIALYVFEMYLEMRQYALLNKTKDKKEMKRSSTYIIKKSHMRFVHETTTTIIDCIMLSCNLLPWLWKISGEFVLYVGFNAESEILHSLYSWLLFVFRLRFMNCHIPCTQLLSLRLLMAAIRKEVHFWHSIYGYWIVSFVIALGLSIVYPHLIAPLFNKYTRLRDGELRNKIKVLASSVGFPLEEIFVEDGSTRTTHSNHVAMPPQRLISFLMNFLSRYSEFQADAFAKLLGLGDALKEAMPKLRVLNKNNPKP >OMO79585 pep supercontig:CCACVL1_1.0:contig10397:25753:28365:-1 gene:CCACVL1_13568 transcript:OMO79585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MWTTPRRNQVLDSILLLVGIVLVLININGVESRKVRLSESLGYPTIGCNRKYSASLTDFGGVGDGVTSNTEAFKSAIDNLSQYGSDGGSLLFVPPGKWLTGSFNLTSHFTLYLHKDATLLAAQDENEWAVIDPLPSYGRGRDADGGRYISLIFGTNLTDVVITGENGTIDGQGPSWWDKFHKKELKYTRPYLIEIMFSNEVQISNLTLINSPSWNVHPVYSSNVVVQGLTILAPVTSPNTDGINPDSCTNTRIEDCYIVSGDDCVAVKSGWDEYGIRFGMPTKQLLIRRLTCISPFSAVIALGSEMSGGIEDVRAEDIVGINSESAVRIKTAIGRGNYVKDIYVRRMTMKTMKMVFWMAGNYGSHPDNDFDPNAIPVIQNINFRDVVAENVTMAARLEGIPGHPFTGICISNATIGLTKKPKKIQWNCTEIAGVSSDVSPPPCNLLTDQGPENACNFPDDSFPSFKI >OMO79584 pep supercontig:CCACVL1_1.0:contig10397:21264:24759:1 gene:CCACVL1_13567 transcript:OMO79584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKESSSEGSNIKGVLTHGGKYVQYNVYGNFFEVSSKYVPPIRPIGRGAYGIVCAAVNSETREQVAIKKIGNAFDNRIDAKRTLREIKLLRHMEHENVIALKDIIRPPKKETFNDVYIVYELMDTDLHQIIRSEQQLTDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIVTREPMFPGKDYVHQLRLITELIGSPDDASLGFLRSDNARRYVRQLPQCRKQQFSAKFPNMSAGAVDLLEKMLVFDPNKRITVDEALCHPYLSSLHDINDEPVCPRPFSFDFEQSSCTEDHIKELIWRESVKFNPDPVH >OMO79582 pep supercontig:CCACVL1_1.0:contig10397:15831:16022:-1 gene:CCACVL1_13565 transcript:OMO79582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRRFQIHRLEERLQSKGKTGNRKVWRMEEKSRTPRKTDFQNLPVTPLLYIIPPPCLLCLSS >OMO65570 pep supercontig:CCACVL1_1.0:contig12640:1912:1992:-1 gene:CCACVL1_21489 transcript:OMO65570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLLQLSALPVTALPSKFDISILS >OMO65571 pep supercontig:CCACVL1_1.0:contig12640:9258:14376:-1 gene:CCACVL1_21490 transcript:OMO65571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEELPGSLPGMSIHHINDNKVRTRHHHTLTLIRKLTEFQAHEAFIAISGG >OMO65573 pep supercontig:CCACVL1_1.0:contig12640:27546:30334:1 gene:CCACVL1_21492 transcript:OMO65573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSVGFCLNLPANSQAALIPPVSLNPLLVSHISAISPLSAKIAAFHHMKKLKFLTTQTRASAEGIPSELVEESKFVPLNADDPTYGPPVLLLLGFEVEEAQKIRQFLKEMDGEFLEVIFCTEEMIDDSLWNAVNTRQPNLEEVKVAESLPRICFFSGLSGEEMMMFIDAFPESGLEPAIFAAMVPNSADKPVAELIDEIVGDHEMMTAQRQSGST >OMO65572 pep supercontig:CCACVL1_1.0:contig12640:17698:21153:-1 gene:CCACVL1_21491 transcript:OMO65572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MAKLKFSSLLSFSLILVISIYSAAASSILDDRQLGGSNFPSVHAKKLIRELNLFPKEDVNVVDGGKVSLPGGPKLVEKRFKFPNLALPGGVSVEDLGHHAGYYKLPNSHDASMFYFFFESRNSKKDPVVIWLTGGPGCSSELALFYENGPFTIADNMSLTWNEYGWDQASNLLYVDQPIGTGFSYSSDRRDIRHNEDEVSNDLYDFLQAFFAEHPELVKNDFFITGESYAGHYIPAFAARVHKGNKAKEGIQINLKGFAIGNGLTDPAIQYKAYTDYALDMGVIKKSDYNRLNKLVPICEMAIKLCGTDGTVSCMASYFVCNTIFSGILALAGDVNYYDIRKKCEGSLCYDFSNMETFLNQNSVRDALGVGGIDFVSCSPTVYQAMLVDWMRNLEVGIPALLEDGIKLLVYAGEYDLICNWLGNSRWVHAMEWSGQKEFVASPEVPFEVDGSEAGVLKTNGPLGFLKVHDAGHMVPMDQPKAALEMLKRWTKGTLSEAAASEKLVAEM >OMO96622 pep supercontig:CCACVL1_1.0:contig07423:236:1198:1 gene:CCACVL1_04860 transcript:OMO96622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSLRYSGDSKALRIHAKEEFPIDSKTHLQVRGELDTRTGVPGSFCAMIRHFYPDLHTSLGVGMRYDKRDKVRYTVRGKKSFLVTNDGLVNFIVKGRYDVDQEFKGVGAALIFKALFYKSANI >OMO96623 pep supercontig:CCACVL1_1.0:contig07423:4025:4162:1 gene:CCACVL1_04861 transcript:OMO96623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFCPLIESLNLKSDDSSVFTGARHWLTGPGYKKLLPTPSMEMR >OMO86138 pep supercontig:CCACVL1_1.0:contig09531:7450:17364:-1 gene:CCACVL1_09776 transcript:OMO86138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MDKKNSRSDLLVAGRKKKKDGKGSSSQGKSSKKSNKSEQHESDAGATSSAAKPTVSSQVPEGQTAAVDLSVSQSMESSLPSGVDTAAVVSSLESVASETGNAEIILANNDGLPIEVVAHGDDNVESSVPKGGQSTQTIDNERSTEIPSSTADIPILEGDKKHDNAPDPSTLVDTIEGTVPKLDAASVNKERGQNPLLSQDDFPDMSLSQTRGDQEADGLGLNQFDRGTETNFEVDGRLPFSEHEHAEHLEGATSEVTSMEGPSFEAQQAIGRDDASLSVLEANSSQIDGSFAESPRMTNKHIEDVAPCSPVEDDKEMCSSYVDCGDGKTVKENQQKLRKGSFVPQDESLETSLQRRWELLSDPTLSIPRDGSPVRLSQLVQVVRALNEDEYKLLLNSQEVVSVANVGKDGLAPSCHPDLFEKLKEELYLTVFMKDVFYLQLSEQSDLQVESDRHCHQLIDEISVLNSSLNEVRNRNACLEEELAQCRSELQVFASGREELQNQFHTALAQAEGFSTRANELQSSLVKSQEDLSSLLSELADYKNQVAALQVDNDNLNGTLHVLTEERKTIVEEKESSLHENENLSMELARCKDSLVTLQEEIEQLSVTIASLTEEKKTFMDEKLLSLHKNKKLQTELADCKAMFASLQVDHSDISKNLALLTEEKMKLEEENEFLAHEKKKADLVLEECQGLLIPMEVEKSNLNGNFALIMEEKEHFVHENQRLASELLVVQEQLTTEHEQYMQLEAELKQVTVRLEQLMEENGFLSASLDVYKAKMVEIDSREMRDVEAGSRVVSMDVGSRVHENATENENSYQSAWKRDHEVSPMLLEKPLPEDVVAGLSLAVHEQDVFDDSSGFLVLKGRLKEAEIILQKLEKAVDQVHSHSASLQRSKSKLAAQGVSKLIQAFESKVQHDEPEIEERDLTENKSPGDLFKSIKEATDNLRAVLKLLDQDANDASALYIGERDCRKSANFTFEELRVQHDTLKEYSDTMEATNIELGVLYEAAMQHAFSIESKNSELEVHCEALKQRESSLSSKNSELGDNLRECQLRISEMQSQLSDLCQRSDEMASANQRLETLQKEAADRALMLELEWKSTVTQIVETVRRLDEVVGGVSNLTFSNDNNEMLDTNSHVATSVTSAINIIQDLQGKLEAAYAGHDAISSSYKEVNEQYTDLLRKNEMMIEILYELYNDLKKLVIGSCVPMGEPRINSQLEKLPDPLDYSKYKALIEQLENVLGERLQLQSVNDQLNAALMDRTRDFEELSRECLNSNAIEKLVEHIENVVKLEGYEADSDNGLGSRLELLVSLLVKKYKEINEQVSNSREEIEAKVVELTEVQEKIHQLDALKLQHELEILTLKESLRHAEEALTSMRSDLQEKVSELEQSEQRVSSVREKLSIAVAKGKGLVVQRDGLKQSLAETSAELERCSQELQVKDAWLHELETKLKAYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPEHFHTRDIIEKVDWLARSTTGNAVPPTDWDQKSSVGGSHSDVGFVTVDNWNEDAQPSTISGEDLRRKYEDLQSKFYGLAEQNEMLEQSLMERNHLVQRWEDLLDRIDMPSQLRSMEPEERIEWLGGALSEANFDRNSLQKKIDNLEDYCGSLSADLEESERRISVLEADLQSVTLEREHVSERLENLTSDHHNLVAKAAHLELENEKLQTKVSGLQEEMAKRIEEGERLLKMEGDIKRLLDLVGDVLQEQDPEAKDLVSVGGSTACLEGLLKKLIENYTSLNSVNPEVVNFEMDQTKLVDPTLDEARSIDVTAQNDVISLKNELEEALQDLNQVKEERDGYLGKLQLLLHEVQALERKREELQEQLNQEEQKSASVREKLNVAVRKGKSLVQQQDSLKKTIEEMNVELENLKSELRHRENVLADYEMKIRDFSAYPERIEALEGDNLFLRDHLTKTERVLEEKGHILGRVLTIIANIDGGDEIDTSDPVSSATSNSAALLGRIEKVCQDLHAAVASAEQESRKSKRAAELLVSELNEVQERNDCLQEDVTKLASELTGVMKERDVAEAAKLEVLSRLEKLSTVHSEGNRKLYSELMMLQSSAIELRKGFHDIHNLFSDASLKELEFLQHLEVNMKLYLEGNDAQDVAGLPYITSNNFKNKENFPSLDTWSDTNMHESTDENAIVEVSIVIRHHLRELMTEITALKEKLIVHSKSLYEQCHSVWNVLGTLHRDRNSQKESFEAMRRKIMHIESIGKEKDREILVLRRNIGSLYEACAKSVLEIENRKAELLGSNLATADLGTDLKPVTLADGGLPFSGENTPFSEEHIKTMGDKLFSTMKEFSSLKAEIAEGSQREMKTTIANLRKELQEKDIQKDQICMELVGQIKSAEAAATNYSRDLQSSKKLVQNLQSELEVMREEQKSLQQRLKELQDVQVNTVELQDRVKSLTDVLLSKDQEIEALMQALDEEEVQMEELTKKIEELEKVLQQKNTALENLEASRGKLVKKLFITMSKFDELHNLSEGLLAEIEQLQSQLQDRDAEISFLRQEVTRCTNDVLVASQMSNKQNSDEINEFLTWFEAIISHVGVLDLQFDAKNSQVPEYKELIKKKIISIISELEEVRGVAQSRDEMLQAERSKVEELTHREETLKKTLHEKESQLNLLEGVGDMGPADSFNTEIVEVEPVINKWAVAGTSTTSQVRSLRKVNNDQVAIAIDADDGSKSRLEDEDEDKVHGFKSLTTSRVVPRFTRPISDMIDGLWVSCDRALMRQPALRLGIIIYWAVLHTLLAAFVF >OMO86139 pep supercontig:CCACVL1_1.0:contig09531:26048:27795:1 gene:CCACVL1_09777 transcript:OMO86139 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MAVDLMSFPKMNDQMAIQEAASQGLKSMEHLIRLMSHQKSNHVDCTDLADVTVSKFKKVISLLNRTGHARFRRGPVQSTSSSSSPSSSSASLTVPNSHKILSLTPAPISTPATVNPATVATPVITPASFVQSQPQSLTLDFTKPNLFSSSAKSTELEFTKESFSVSSNSSFMSSAITGDGSVSNGKLGSSLFVQPAPAVSAGKPPLSTAPYKKRCHEHDHSEDVSGKFSGSGSGKCHCSKRRKNRVKKVIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTIRGCPARKHVERAPDDPSMLIVTYEGEHRHSQSAMQENVAPAVGLVFEST >OMO86136 pep supercontig:CCACVL1_1.0:contig09531:1240:3315:1 gene:CCACVL1_09774 transcript:OMO86136 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MSSEHAPYAPKRILITGAAGFIASHFTTRLIKNYPNYKIVALDKLDYCSSLKNLQPCLSSPNFKFVKGDLICADLVNYLLVAEDIDTIMHFAAQTHVDNSFGNSFEFTNNNIYGTHVLLEACKVTNQIKRFIHVSTDEVYGETDLETDIGNPEASQLLPTNPYSATKAGAEMLVMAYHRSYGLPTITTRGNNVYGPNQYPEKLIPKFILLAMKGAQLPIHGNGTNVRSYLHCHDVAEAFDVILHKGVIGHVYNIGTQRERRVIDVAEDVCKLFGSNPKEAIRFVQDRPFNDQRYFLDDQKLKKLGWQETTSWEEGLKMTMEWYTKNSDWWGDVSAALSPHPYLSVMTTCSNDDALLLQSGNCKSKMEGCSVHAGVTGRPNVDWCESHKVETIRTNVIGTLNLADVCREHSLLMMNFATGCIFEYDDKHPQGSGIGFKEEDKPNFAGSFYSKTKAMVEELLRNYDNVCTLRVRIPISSDLSNPRNFITKISRYNKVVNIPNSMTVLDELLPISIEMAKRKCRGIWNFTNPGVVSHNEILEMYQKYIDPKFKWENFSLEEQAKVIVAARSNNELDAGKLKKEFPELLSIKDSIIKYVFIPNKKT >OMO86137 pep supercontig:CCACVL1_1.0:contig09531:5420:6646:1 gene:CCACVL1_09775 transcript:OMO86137 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD dependent oxidoreductase MEEFDVIVVGAGVMGSSTAYQLAKRGRKTLLLEQFDFLHHRGSSHGESRTIRATYPEDYYCGMVKESYQMWEEAQSEIGFRVYFKAQQFDMGPADAKSLLSVVATCQNKDVPHQVLNRQQVADKFSGRIDIPDGWIGVSCEHGGVIKPTKAVSMFQTLAFKYGAFLKDNVEVNGITKDAAKGGGVIVSTAGGEKFWGRKCVITAGSWMKKLVKTVSGIELPIQPLETNVCYWRIKEGHESKYAIGNDFPTFASYGKPYIYGTPSLEYPGLIKVAVHGGYPCDPDKRPWGPGLIPDSLKQWIEETFKGLVDSNAPAATQLCVYSMTPDEDFVIDFLGGEFGKDVVIGGGFSGHGFKMAPVIGRILGDLALTGEANGVELKHFRIARFQDNPGGNVKDFEDQVGLLTSSL >OMO53499 pep supercontig:CCACVL1_1.0:contig15189:10497:10658:-1 gene:CCACVL1_28593 transcript:OMO53499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRSTPNAKQPFICTNGRKKNKAVGLNSGRVSSFLRQMGIAGKKTTESINEG >OMO53497 pep supercontig:CCACVL1_1.0:contig15189:4776:4838:-1 gene:CCACVL1_28591 transcript:OMO53497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVAREARPKRMGEIVTWHM >OMO53498 pep supercontig:CCACVL1_1.0:contig15189:5401:9782:1 gene:CCACVL1_28592 transcript:OMO53498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase-like protein MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHSTIEAACAAHLTADVFINFASFRSAAASSMAALKQPTIRVVAIIAEGVPESDTKQLIAYARSNNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALREAGAVVPTSYEAFEDAIKETFEKLVEEGKITPVKEVKPPQIPEDLNTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQRFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKPEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >OMO53500 pep supercontig:CCACVL1_1.0:contig15189:11785:24709:1 gene:CCACVL1_28594 transcript:OMO53500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFHGHAAGQAHQPLHHQAESISKAIAQYTVDARLHAVFEQSGESGKSFDYSQSVKTTTQSVPEQQITAYLSKIQRGGHIQPFGCMIAVDEPSFRVIAYSENAREMLGITPQSVPNLEKIEVLTIGTDVRTLFTPSSIILLEKAFGAREITLLNPVWIHSKNSGKPFYAILHRIDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISQLQSLPGGDIKLLCDTVVESVRELTGYDRVMVYKFHEDEHGEVVAESKRPDLDPYIGLHYPATDIPQASRFLFKQNRVRMIVDCHATPVRVIQDDGLMQPLCLVGSTLRAPHGCHAQYMANMGSIASLAMAVIINGNDEEAIGGRNSMRLWGLVVCHHTSARCIPFPLRYACEFLMQAFGLQLNMELQLGSQMSEKRVLRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYQGKYYPLGVTPTEAQIKDIVEWLLAFHGDSTGLSTDSLADAGYPGAASLGDAVCGMAVAYITKRDFLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFKDAEASNSKAVVHAHLGELELQGVDELSSVAREMVRLIETATAPIFAVDVEGRINGWNAKVAELTGLSVEEAMGKSLVHDLVYKEYQETVDKLLSRALQGEEDKNVEIKLRTIGSEDSKKAIYVVVNACSSKDYTNNIVGVCFVGQDVTGQKVVMDKFIHIQGDYKAIVHSPNPLIPPIFASDENTCCLEWNTAMEKLTGWSRGEIIGKMLVGEVFGSCCRLKGPDALTKFMIVLHSAIGGQEADKFPFSFFDRNGKFVQALLTANKRVNMEGQVVGAFCFLQIASAELQQALKVQRQQEKKCFARMKELTYICQEIKSPLSGIRFTNSLLEATELTEDQKQFLETSAACEKQMLKIIRDVDLEIIEDGQVMLLLRERNLQLIRDIPEEIKTLAVYGDQARIQQVLADFLLNMVRYAPSAEGWVEIHVRPALKRISDGLTTVRTEFRMVCPGEGLPPELVQDMFHSSRWMTQEGLGLSMCRKILKLMNGEVMPSDVFVSTCNKISYPALVVEIYLNLDENSDIKIRYVYKIGTLKTLTEKIREAKKMEGPPTEELLRKIQDLEAGHAHLKQEMSKLKQSGGESKPSSTSRQRSHSTSPQRPRFPGAAAAAAAAAWKRGSTSGSLRHSSPLQRESKSKDTVNGGGRTGNIGPAAVNFTNSQYLNILQSMGQSVHIFDVNGRLIYWNKTAEKLYGFSPEEALGQDVLELLVDRRDHPMGHNIIDRVMMGESWTGQFPVKNKMGEKFSIVATNTPLYDDDGSLVGQICVSTDSRPFEQMRDVLLAEKQSESESPLIRSKNAVSAKLGLDPQQPLQTAIASKITNLASKVSNKVKSRMKTGDNCADREGGSGDSHLSDHGFSEAAFSDHKEDATSSGASTPRGDAHISSFGVFVPFDEKSPGKTSRDSGDESEGKPAIQKIITLMNKKGISWPWKGNGRDGSEARTTRFAWPWIGNDQESETFQQKNPFSGTKSEVHVNESNRNEASGSWSSSVNVNSTSSASSCGSTSSSAVNRVDMDYDCLDYEILWEDLTIGEQIGQGSCGTVYHGLWYGSDVAVKVFSKQEYSDDVIHSFRQEVSLMKRLRHPNVLLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNTTKLDWRRRVHMAQDIARGMNYLHHFNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETFLNTKTGKGTPQWMAPEVLRNEPSDEKSDVYSFGVILWELATEKIPWDNLNSMQVIGAVGFMNQRLEIPKEVDPRWASIIESCWHSDPQCRPSFQELLDKLRDLQRQYTIQLQQARNSAGEGSQKES >OMO53502 pep supercontig:CCACVL1_1.0:contig15189:28413:29329:1 gene:CCACVL1_28596 transcript:OMO53502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVSKRVGVFVVLFAFAVLVAECRKLEKETLGGGFGGGAGGGFGKGGGIGGGAGGGLGGGGGAGGGFGGGAGGGAGGGLGGGGGAGGGFGGGKGGGIGVGGGAGGGAGGGLGGGGGAGGGFGGGKGGGVGIGGGAGGGAGGGAGGGFGGGKGGGGGIGGGAGGGVGGGAGGGFGRRKSGKGGGIGVGGGAGGGAGGGAGGGFGGGKGGGIGVGGGAGGGAGGGFGGGAGGGGGLGGGAGGGGGLGGGAGGGGGFGGGAGAGGGGGFGGGAGGGFGGGH >OMO53505 pep supercontig:CCACVL1_1.0:contig15189:40511:42151:1 gene:CCACVL1_28599 transcript:OMO53505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily MGQSSPEKLILVNREPDGIATITINRPGSLNSLTRPMIVDLAQAFKALGRDDSVRVIILSGSGRAFCSGVDLTAAEQVFKGDVKDPEADPVFQMEHCPKPIIGAINGFAVTAGFEISLACDILVVAKGAKFMDTHARFGIFPSWGLSQKLPRVIGPNKAREVSLTATPLTAEQAEKLGFVNYVVEESELLKKARQIAGAIVKNNQDLVIRYKSVINDGLKLDLHHALALEKERAHKFYDGMTTEQFKKMQEFIAGRSSKKPSSKL >OMO53503 pep supercontig:CCACVL1_1.0:contig15189:30277:31734:-1 gene:CCACVL1_28597 transcript:OMO53503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MYWKMHLLAFAAILAIAICSFPGFANGKLILKSKETVIDSPLLTEKIATNRTILVDVNGNGHFTSVQEAINSVPKGNNQWVIIHLRKGVYREKVHIPKDKPFIFMRGNGRGKTALVWSKSSPDNKASATFTVEAKHFVAFGISFKNEAPTGVAYTSQNQSVAAFVGADMVAFYHCAFFSTHNTLFDYKGRHYYDNCYIQGSIDFIFGRGRSIYNNCEIFVLQDKRVRIHGSITAQNRESNKDNSGFVFVRGKVYGIGNTYLGRAKGAYSTVVFAKTYLSRTIVDHGWTDWSYEGGPDHVFHAEYKCHGPGADTKGRVPWAKTLTDQEAAYWTSLNFINGEDWLPAWL >OMO53504 pep supercontig:CCACVL1_1.0:contig15189:32673:33895:1 gene:CCACVL1_28598 transcript:OMO53504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MFFLLQLRFGIKASSEGGLSYHFYEKSCPQVEDIVKDGLEAVFLMDPTSPAALLRLMFHDCQVQGCDASILVDSREGGEMASSKNFGIRKRELISMLKSIVEAQCPQQVSCSDIIILAAREAVALTGGPRIKVPLGRKDSTRTPSYEFADQLLPPATTGVANMLNIFAKKGMTLPESVAILGAHTLGVTHCSSIQNRLYSSENGELSVMEPSFAAFLRLSCPQGPLTSNLSFVVNDPTSFVFDNAYYVSAMEGRGVLKIDAEMVLDPETARVMQRFAVNQDDFFREFSSAFAKLSCSGVLTGEQGVIRKNCNAIN >OMO53501 pep supercontig:CCACVL1_1.0:contig15189:26226:27248:-1 gene:CCACVL1_28595 transcript:OMO53501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Huntingtin-interacting protein K MEAGDGGVDRVVDSKDLQQQSKAFDKLTDRVEDRQLDSSRAQSAMASIAASAEAEKNAMRLREKELAAVKINAADVDIIANELELDKKVAERTLREHKGDAVAAIRSLLH >OMO96065 pep supercontig:CCACVL1_1.0:contig07539:37383:38134:-1 gene:CCACVL1_05088 transcript:OMO96065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 16 MAAIHHQTQPIKEIAIDYCPENCTHCPDSNSITLTFASDRRGSRWRSTTRFLYGTFSSLIQCPKGNTSGLNFNIYLSSEEGDKSQDEIDFEFLGKDKTIVQTNYYTTGTGCREQIHDLGFDFSNGFHEYTIKWNPDSIEWLIDGKLVRKAEKKGGEGFPQKPMYLYASIWDASYIDEARWTGPYIGSDAPYVCLYKDIRVPVATAV >OMP11062 pep supercontig:CCACVL1_1.0:contig01610:602:3265:-1 gene:CCACVL1_00692 transcript:OMP11062 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase, family X, beta-like protein MLLKTDLVGTDSGVDTYFGLCTYPGRELRHRIDLKVYPRDIYAFGLIAWTGNDVLNRRLRLLAESKGYRLDDTGLFPATHGSGGKRGARGTTSLKLETEKEVFDFLGFAWLEPCERNL >OMP00956 pep supercontig:CCACVL1_1.0:contig06579:4054:4302:-1 gene:CCACVL1_03220 transcript:OMP00956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGYTKHRKSSSFSILSLFTSCCSNGEDDMMSEEGYVRGIWRSDEDGRRWTAEPGIDRKASAFIDRFRTRVSDPERQTLAL >OMO82260 pep supercontig:CCACVL1_1.0:contig10057:229:1901:-1 gene:CCACVL1_12004 transcript:OMO82260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVCKAKCKRVIESTSDDHDEEDDTTLQVDEVHQMPAIIVTEQGPQLFDPNGIYLTVELGDFHEYLVQPVNNTDEDEFEDSTPISRSLDLGCLSLQIFRSWMFEFVQFVESVYSVCLMSFEFTIDWGFHHHIEMDFLCGFRINQGGFRITHLSVVGESSQKRKRRGVARARDSWERFETPIQRIQITMPNPDQDLDDEIQSISQRLPKASSDELLFFDKKFFLFAATTEIGDLNPYEEARQKRIQENQK >OMP07655 pep supercontig:CCACVL1_1.0:contig04286:471:563:-1 gene:CCACVL1_01255 transcript:OMP07655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPPLSLFGIHKPFQPTVKISSNMFLNSFET >OMO94944 pep supercontig:CCACVL1_1.0:contig07769:5121:10019:1 gene:CCACVL1_05682 transcript:OMO94944 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 30S ribosomal protein S5 MEKEGAADDDNDKDDENDNDEEFDDMRVKDNILLEKLDAIDKKLDEKLAELDHTFGRVEAYLNTLEEEENEAWEVNQGENEAEKEEKTKSVSTSKRVELDPEEGRTGQKNLLSQPLEGSNSTFLGVETETFQDKLNEITFSVNQPQLLAKPTLSGNSASEGNMVDSSSPIEFLRCGNQDFLGIEKFLKFGCFNGGDSYDEWATMEPFFINDDACKFNNVAKMLRMQEESKVNFVHSKKLLHKHTTATTKALTLLAIHGVPSSKIGDFLPYLFNFILFLYVHIFRFCSYLIFALRWKDPP >OMO97002 pep supercontig:CCACVL1_1.0:contig07329:1969:2976:1 gene:CCACVL1_04713 transcript:OMO97002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSESENEAISLGVQQIASSVTHERRVLRIPFQTEELEPHRTHWRICLLGFFHDVRRFSTDYLQNCINKEWGTSRPSTVVGRSGNHYVISFNTDYDVGWVTQEGPWSFDGAFFFTEPWTPNQPITERSMQKVEIWVELWGLPLEYQQDDAARKIAKAAGEVIRVDWADTPTRNIRFVRVIIAINPWKPLMPGCFVTRDDGVDMWVPFKYQRVFKLCTTCGIIGHKRSMCPYQHSQVEEMINQQIREAAERVDVPAVHIPNLNQFSTEMKAYNRRISRRTTTFYYLGMGVMAPALAKKGQQMKLSKILHPNTYPQRYHTKYHQLSHHSNNRMTQK >OMO83970 pep supercontig:CCACVL1_1.0:contig09811:11206:11505:-1 gene:CCACVL1_11067 transcript:OMO83970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAERLNDYSESSTKHKSAPSSNGGNSSFNGGRPAKMGKSSSGGADNRQNSRDSTPTTSSAPTFKAKQPLACFLCNGPHRVRECPHRRVMSALQASKQ >OMO83971 pep supercontig:CCACVL1_1.0:contig09811:14994:15068:1 gene:CCACVL1_11068 transcript:OMO83971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSPQNLEIDDEDLKQEGVQAGS >OMO83972 pep supercontig:CCACVL1_1.0:contig09811:20625:26487:1 gene:CCACVL1_11069 transcript:OMO83972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPALPRRSHPSPPCPASFFLGRSGCVLGKAWAKDLVWAS >OMO89756 pep supercontig:CCACVL1_1.0:contig08629:1912:8628:1 gene:CCACVL1_07656 transcript:OMO89756 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSDDGNDAAPPRYFLELMELIKSNDQKASERIAFMEKSNAIMEEQASNGGRGAEGTPKETPPPPPHFNSTPILVDNDTEYVTKEQLEKIFQKKNVASSEFDLKLPYSKRVAMKQYPKDYVSPKFKQFDGKSGDANEHVMKFVETLGVAGSVESWSHMCTLFGEKFFSTQERVTLIDLGREHQRRGEDLMDYIQRFRERVLDIHEQHDEKELVKVCLQGMFDEYRVHLENLSLYTFAVLVEAARRTNNTVTRQREAQQRVNHPFAECRNMRRLLDRKVRNGEVVVDNRGVRNNPLPVHGGDVAAVIHSVHDEPHTEEEDDTRVAAYVIATIATSLLKTPNVRNFFDQLGFSEDTRKEAAEALVHIADKYHGEGGLVDSSMKRMSRAYRNAIVFTEADMCTPNPNHNKPLYMESIINGVKVRRTFVDDGSGVNLMPLSTMYALEIDIKSLRHPMTLNSFSNQEIRTLGYTTVNFKLGNIQEQAIFDLIDANVAYNQGKEVFIPATKAPFEKYEVRYAEAAFFDEVAEEGEGVLSRPVGLRLSRWEEYAEEGKAGGEPSFKRTRRGGRRKRGRTEGSEMVKQTKESPEGDVTCMQVETEAVVGEVAPAPETFQDKPKPQGDELEETNLAMEGGTSKPLFISKSVTGEQMDTLIALLKEYEDVFAWSYEQMPGLNENLVTHELHISPSSKPPIHHPTWLANVVLVKKKNGSIRVCVDFRDLNKVCPKDDFPLPNIDTLVDATAGHEMFSFMNGFSGYNQIKMCKEDAEKTAFRTPIGNFYYTVMPFGLKNAGATYQRAMTAIFHDMMHVCIEDYVDDIVVKSKRVSDHFSDLRRVFERCRKYKLRMNPLKCAFGVTSGKLLGFMVHRKGIDVDPAKVAAIRELAPPVNQNLLKKGVPFIWGEPQKKSLERVKEVLASPLTITPPIKGQPMMLYLTSTNESIGCLLVQEVEGVERPVYYLSRCLYGSERNYSPIEKHYLSLVFAIQKLRHYLLTHKVTVVTKSDPIRYILYRQILVGRAAKWLLLLGQFDLSVAQPKAIKSQALSDLLAYFPTQAEEVVTIDSMPGDIDGEVCCNQPLIGEWTLYFDGSATATEGEFEALILGLNTAKIIGVTELCIIGDSNLVVKQTNGEFALKEPTLAPYRELTRVMLDHFQSVRCEHTPRSSNRYADALATLASKIHIPGQKEEISLLVQRWSVRGPLARMTEYYLGEVSKGTDWRTPIIEQLRERKSSNLRFLKNYTMIQEALYYRGPNGILARCISPEEAKERLRASHKQWCGMVGPPLYRRMQRAGYYWPTMSGDCANASMPALGFQSPQMLMIVTLWVHGNAIHAPAVELHSVTTPWPFHTWAFDLIGPINPPAKGHIWILAATECFTKWVKAVPLKKATGPAVASFIKENVVCRFGIPRRIISNNGTPFVNKDVRKLLALYDIYHVKSTRYYPQGNGQEEATNKTLLRVLSKMVHKDPKLWPDAGSIIAGTPFSLVYGTEAVVPSELLVPSARLAIDAGLTHDEMRGVELKALEGRRDKAKKNFQVYQRRISRAYDKMLHMRSFEPGFQVLRAADHVMRGAPPSHKFSEKWEGPYIVHEVHNSGYYTLLNPKNNNAFITPINFHYIKKYHSGKKINKTLKEAQQRARGDFPAARARGDSPSSAPGETPPAARAPGETPPATRARGGSCAPGEAPLVARAKGGSPAASQGRYS >OMP01049 pep supercontig:CCACVL1_1.0:contig06548:4523:4591:-1 gene:CCACVL1_03185 transcript:OMP01049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDQLSSFKHGQFNKQNPRVE >OMO62760 pep supercontig:CCACVL1_1.0:contig13169:31025:32667:1 gene:CCACVL1_22652 transcript:OMO62760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAVGMERCVDKTQTLLSANITNTMKFSDNKRSEEEIRRE >OMO62759 pep supercontig:CCACVL1_1.0:contig13169:10497:13646:1 gene:CCACVL1_22651 transcript:OMO62759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSTAIACTSEYIHLAQMLASGRRLALGQLVLSAVCRGLFNITEKPLGPSNGPIWILQIWAWLYFPDRAPSQQELVAESSYGILCANVLPKFEDFESTFLHFWIKHLFTPDEVLFDAHEIVSEDRVDAPYKIPPFTAESSQSFDTWWLSCWASAMEDTDETIVARLAPPTFAKKRKKSTPTPASQDDVVAFHNSIHPATIASNQATTSNKVTKSKEVAVESNATKSLAQKSKSSLPSKMTLSGAILNSSTASTTLAEPSTLLTLDSSPLVAPTKENSFTPLATPKSSTWGTTTPVPPLLLGSSLATKAKKSLDFGDGGIMETDTQDVASISPDNAATTLTPDALDDSFISTNPDDPMLVAMKRCNFLKTLISKALPPSDNFSPDREPEIPSRIDLEIAFGTLRLFLSDVHEGPAEKNMELIFYAARTLCDLIEKETKELIASKKEYIQVNRDHYYADLQLSRKEAEFYAWNKYLPAEIIDSEEEEEENDEEDDNEDGDDGDA >OMO93582 pep supercontig:CCACVL1_1.0:contig08093:9124:17783:-1 gene:CCACVL1_06438 transcript:OMO93582 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MNSMASNLTVLLTGSLCLYLLISLITNFLQKYWFTPLRIQRVMNLQGIKGPSYKFIHGNNKETLKMMKEAESKPIATLSHDILPRVQPHIYSGLKSYGKCYLSWRGTIPELYITEPELVKELLKSNDGSGAFPKRTSRERKKIEEDFVFKLIGDGLVTAEGQKWAKQRKLANYAFHGESLKNMTPAMIASVETMLERWKQFEGKEIEVFQEFRLLTSEVISRTAFGSSYLEGEKIFDMLRKLAVLVNKSFFKTKLPPLISKFWKTADSIEADKLVKGIHNLVMKMVKKREEKVISGEANTFGTDFLGLLLKSFHDPDKNNRLSVQDLVDECKTFYLAGQETVNSALGWTVLLLAIHPEWQEKARKEVIEVFGNQNPHSEGIGKLKIMTMIIYETLRLYTPATNVVRRVENEVQIGKLILPADLHLIIQIRALHHDPDTWGDDVHLFKPERFAEGIAKATNFNAGTYLPFGLGPRSCVGMSFALTEIKTALSMILQRYTISLSPTYSHAPWLLVTLMPQHGIQVMLHPLLGHFLVHTTIRKPPHSNKTKVHPHIYSRIKSYGKCHLSWRGSTPELYIIEPEIVKELLKSNDGSGVFPKRTSRERKIKNEEDFVLKLLGDGLVTSQGEKWARQRKLANYAFHGESLKRMTPAVIASVETMLKRWRQFEGKEIEVFQEFRLLTSEVISRTAFGSSYLDGEKIFDMLKRLSALAGRNFFKTKLPPVISKFWKTADSIESNKLVKGIHDSIMEMVKKREEKVFSGEANNFGTDFLGLLVSSFHDPDKNNRLSVQDLVDECKTFYLGGQETVNNTLAWTVLLLAIHTEWQEKARKEVFEVFGNQNPHSEGIGKLKIMTMIINETLRLYPTANNIVRKVEDEAQLGKLILPADLYLIIPILALHHDPETWGDDVHHFKPERFAEGIAKATKFNAASYIPFGLGPRSCVGMSFAMTEIKTALSMILQRYSISLSLTYSHAPSVIVSLQPQHGIQKYWFTPLRIQRVMNLQGIKGPSYKFMHGNNKETLKMIKEAQSKPMATMSHDILPRVHPHIYSWLNLYGKCYLSWKGSMPELYITEPELVKELLKSNDGSGAFPKFTSRERKNNEEDIGLKLVGDSILTSQGQKWAKLRKLANYAFHGESLKSMTPAMIASVETMIEKWKQFEGKEIEVYQEFRLLTSEVISRTAFGSSYLEGEKIFDMLGKLAVLAKRNIFKTKLPPVISKFWKTGDTIESDKLVKGIHDSVMKMVKKREEKVFSGEANSFGTDFLGLLLKSFHDPDKNNRLSVQDLVDECKTFYLAGQDTVNSALAWTVLLLAIHTEWQEKARKEVIEVFGNQNPHSEGIGKLKIMTMIIYETLRLYTPVTTIARRVENEVQLGKLTLPADISLFVQITALHHDPKTWGDDVHVFKPERFAEGIAKATKFNAATYLPFGLGPRSCVGMSFAMTEIKIALSMILQRYTISLSPTYRHAPSLLVALQPQHGIQVTLHSLYN >OMO93584 pep supercontig:CCACVL1_1.0:contig08093:47409:50032:1 gene:CCACVL1_06440 transcript:OMO93584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRMIATLSEVYQVTVRIVQVRVGHVLNILIFLEYFCFYLHGRTLVSADNLAYVPLENIFLNCGSSAEQSLFDGRNWSTDVGSQYAASNSDSNSSVSLASKGTAIPAVPYTTARLFYSKFSYNFDVTPGPKFIRLYFYSDSYLGLDASEAFLTVTAGRYTLLRNFSSYLTSKYLNENYFFKEFIVHVENQSLELTFTPSSNSPNAYGFVNGIEVVSIPLHLYTRGDDVPIPFVGFLPNMLTVDNNSALEMVYRANVGGQTIPPDQDTGMFRTWNADESFIFGAAYGQIDFDNTLSIQYPKTVPAYTAPGDVYRTARSMGQYNEINRNYNLSWFFPVDTGFKYLIRLHFCEIVPGMTLENQRVFFIFINNQTAERQADVMVWSKGHGVPVYRDYVVMIPQQAVSKQDLWLELHPNIRVKPEYYDAILNGVEIFKISDYFGNLAGLNPPLDEANALPSPSTSKKSKKKGLQKQIKYSLVGAFLLISIFVLVAFVLIIKRKGKMKMKKTDKDSSHCRIFPINDIRKATKNFDKSRVIGKWDFGEVYKGYIPGIDTAVAIKRGMKATSEGSLNEEIKELYQIRHNNVISLLGYCKEDLEIILVYEYTDNGPLSDHLFSIDPRKKPLTWNQRLEICIGVARGLHHLHTGEKRPILHCDISTSNILLDKSWRAKISNFESITTSYDSNGSDVNGSFSSLNSDCCSKNDMESFGLVMLEVLTGRPAPINPKAEDDENGDSYDDNKSLIPSVSYCLDNGDAVDIHLRGKVPSESLLNFKKITKQCLAKIKVKPPTLTEVLNNLEQLVVRGDSDSNASPKGLYYPQSNSDLMFGVEFSENMMSTGR >OMO93587 pep supercontig:CCACVL1_1.0:contig08093:65088:68272:1 gene:CCACVL1_06443 transcript:OMO93587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGLSEFQEFLSCPLSPPTMSPNVQAWSLNNETKLEGSKVSRVSMSGTPGDLELLKTSACIFKTQSNRTVPVSPGPKFVRLHFYPISCSSLNISKALFNVSIGNYTLLSVSESSYSKGAFDFEYIIKEYCVPVDGNVLNILFTPSLGYPDAYGFVNMVEVVSIPPKLYLGDMQLPLINGHPNQFYSMKSRALETLYRVNVGGSEISAGHDTAGMSRSWSGDKGNFLFPNTIIVNFDKSEINFGPELPANTVPKEVYSTARKNILNPYGNLTWSLPVDSGFFYLIRLHFYMPKHLSGIEENQMVIHINGSSQEDNGHAEISLILGLGVPIYRDYLVNLTGKFPAMKFLSISIQFNQSALYSVPILNGFEILKLSDDSNSLSGPYPFKARKVSDTSDNWNTKITFFILAGLVVSSSILIGGLIICLRLWSYYLMWKGRRVKHLQSSVDCPQFSLADIKLATNNFSDALLLGSGGFGKVYKGYIIDIVGKTTIVAIKRANPNSHQGLNEFQTEITTLSKLRHGHLVSLIGCCMEDNEMILVYNFMAGGTLCDHLYKTKKSPLPWKERLKICIGAARGLHYLHTGGKQTIIHRDVKTTNILLDENWVAKVSDFGLSKVGPNMITESETHVSTLVKGSFGYLDPEYYRRRKLTEKSDVYSFGVVLFEILFARPAVLPLVENEEEHDKVCLAEWAKHCYQTGTMDQNIDPFLLGQISPVCLETFTTIASKCLADKGSDRPSMGEVLCNLELAWKQKQRISQLEASSSLVHGNLPPVIDGQRCLPACNLDRTPGVEFSEIMFPTGRLQ >OMO93583 pep supercontig:CCACVL1_1.0:contig08093:44522:45404:-1 gene:CCACVL1_06439 transcript:OMO93583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1 MAEEAAAETSRAAVSPETVGKAVNALLKWKDEQSSQSQKAQLLEKDELFNLIVTLKKIPENPRVNPHKVPLPHPLLSEPAAAEVCLIIDDRPKSGLTKDTASKKIKSENIPISKRIIPLLPRLLGKPFFKKKKIPVAVDLKHRNWKEQIEKGCGSALLFLSSGTCSVVKVGKLWMGKEQIVENVIAAINGIVDIVGSNIRSFHLKLLDSLAIPVYQAVPDLRRFKIAPETDQLSSKEEEEVVEEEDASSHQKKKKKTKLTVH >OMO93586 pep supercontig:CCACVL1_1.0:contig08093:62158:64718:1 gene:CCACVL1_06442 transcript:OMO93586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNLRRKRNNVMFDREKLKETDEYKRAAQEEEASRQQVLRIQDEENMKLKDQVRMEVRKELSKLEMACIDMASLLRSLGILIGGSLCPKEVHAAYKRALLRFHPDRASKTDILQQVEAEEKFKLISRMKEKSPCH >OMO93585 pep supercontig:CCACVL1_1.0:contig08093:58961:61552:1 gene:CCACVL1_06441 transcript:OMO93585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNFLLIPYCNLMDSVFNLNYSIFSKRKTADVIRSLLFAEILIFLSFLFIYPISASIPSYVPIDSISLDCSSSNFPSSLKMSSSPSEFKNKSSSVVSRIIDSNSFQNRRKPYPCIFRKQTTYTVTVSPGQKFIRLHFEPIPYPVLDISKALFSVSVAGYTLINISKFSQHNLLVDYAVREFCININDQTVNVTFSPSLKISDSFAFVNKIEVVSMPSNLYIQKEFSMPIVGSPYGCFLENSTALEMMHRVNIGGDLVPPEEDSGMSRLWLEDSNYLMSDKRSARIMKSRMAINISSSIMPALYAAPEKVYASARIVDIKGNLAKWSFPVDPGFCYLVRLHFCDISGWIQGEEGVFHVSINNHTVENHANVFQWSHGAGVPTYKDYIVNFSRHSDDGIKYLSVAIGLHNQSEGLAILNGLEIFKLSDESNNLAGPYPFGIINDPTLYETEDLKWLIITIWSFLGFDMVLILLCLMILQLPYESWPSLLVPLPPFKQQKQENTTFMMQQQTSNSCQSFTFAEMKAATNNFSNSLLIGAGGFGKVYKGTIKGYTMQHVAIKRANPDSHQGLNEFQNEVSLLSKLRHGHLVSLVGYCLENKEMILVYEYIIRGTLRDHLYKTNNPPLPWNQRLKICIGAARGLHYLHTNANHTIIHRDVKSTNILLDENWVAKVSDFGLSRIGPNLLTQSNTHVSTVVKGSFGYLDPEYYRRRKLTEKSDVYSFGVVLFEVLCARPAVLPMEDENQVEMVNLAEWSVHCCQLGTIDQMIDPYLQGKIDPTCLKTYVDIARMCLGDRGSERPTMGEVLWNLEQALLQQQKRNHFQELNTDNDHVADKTYIEGQGICFGDSDPTPGLEFSDIIIPTGR >OMO62699 pep supercontig:CCACVL1_1.0:contig13206:3258:8832:1 gene:CCACVL1_22686 transcript:OMO62699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLKEALKSLCGVSQWSYAIFWKIGCQNTKLLIWEECYYESALTTAPPHVTGLEKCELPFGEWEGCWGSDTSSQLGSQPWDKVYLLINKMMNNRINIVGQGLVGRAAFTGNHQWILANNYIKDTHPPEVTNEVHLQFSAGMQTVAVIPVQPHGVIQLGSSVPIMENMGFVNDVKSLILHLGCIPGALLSNSYGANECIEKIGIPISLGKPISMDSAGIYRSTNSLTSVTEVCNQQSNSSQASMVIGQSPLLIKQIQENSQGTFTSQLPGLIKTLAKSQDDHCGSKICPEMKPGQTFKSQMDCGVIGAEVIPSNPNLWLNPQVSFGNSQSGYSCQSIIGQSIASHSSVLDAGLQSNAISSISASNSQMKPKSNQATVPNSQKLDVTHLAGSEVQKVGSSRAEVPLSILTNQSTSTGMISGVSNQGHDCENSKFTQADLVPKTESMDNDLFQAFNIPLLHADDVMPFSEQLPSAIPDSLKCENESSSSRSFSVKYESVCVQPPSGDDLFDVLGADLKSKLLNGKWNNVLTEGPDSKMQILSKDTSIVRDMQNMFSDIFSANEGISDRGIYSGVGTDHLLDAVVSSAKSAGKQISDDDMSCRTTLTKLSNSSVPSTSPTFGQVNISDQVQGELLGGLPKPLLKGGSLPSSSYRSGCSKDDAGTCSQITSMYGSQISSWVEQGQNTRRDSSVSTAYSKRNDEMTKPNRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLEKTIKHMLFLQSVTKHADKLKQTGESKIKENFEGGATWAFEVGSQSMICPIVVEDLNPPRQMLVEMLCEERGFFLEIADLIRGLGLTILKGVMETRNDKIWARFAVEANRDVTRVEIFMSLVHLLEQTVKGTTSSANAFDSNNMMVQHSFAQAASIPATGRASSLQ >OMO89295 pep supercontig:CCACVL1_1.0:contig08789:35736:35927:-1 gene:CCACVL1_07933 transcript:OMO89295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMTVRVIIVVMTLRISFVIFRMAIVAVVLLALMVFMNSRQFMASIGNIYKFMVLMMTFVIM >OMO89294 pep supercontig:CCACVL1_1.0:contig08789:25144:33761:1 gene:CCACVL1_07932 transcript:OMO89294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQNAINFKNTAGNSKSQTILRPPATAYNLAGAF >OMO89293 pep supercontig:CCACVL1_1.0:contig08789:9875:21904:-1 gene:CCACVL1_07931 transcript:OMO89293 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-alcohol phosphatidyltransferase MSSPSPGVTPTPATPAPTSPPSNNTSPTPPAASPPPTTTPSPPPATPSPPPATPSAPPPSTPASSPPPPAASSPPPSSPTTPTTPTTPSTPPTADSPPPPPSSTPSPPTPSTPNPTPPGTPSAPPPPRSSGTPSPPPPSSSSSSSDSSSGVSTGLVVGIAIGGVAILLVLSLLFICCKKKRRRRRDEESYYVPPPPAGPKDDHYGGQQYHWQQNPPPRADQYAAAPPKPTPPPVTAWRPPSPGRHSPTPPMPPPPPPPPFMSSSGGSGSNYSGSENPLPPPSPGIALGFSKSTFTYEELARATDGFSDANLLGQGGFGYVHRGVLPNGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGATRMLVYEFVPNNTLEFHLHGKGRPTMDWPTRMRIALGSAKGLAYLHEDCHPKIIHRDIKTANILLDYKFEAKVADFGLAKFSSDVNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGHRPVGSSFMDDSLVDWARPLLSRALDDGNYDSLVDPKLQKEYNHNEMARMVACAAACVRHSARRRPRMSQIVRALEGDAQLSDLNEGIRPGQSNVYSSYGSSDYDTSQYNEDMKKFRRMALGTQEYGASSEYSEPTSEYGLYPSGSSNEGQTTREMELGRMKRNSQGFMFLVTSGLLGYIYSPHLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFETMAFGSTAMCGRDSFWFWVISAVPFYGATWEHYFTNTLILPSGAVFDDSIWRYTYSCFQAQLLDLLLHSVDLSLDNYNFMLQLKPLMLPFWHSCPMCIAEAKVSMNETVVSVSLGISNVHKVVQARKGSMLLALAMSLLYLPLAIANALTARLNDGVPLVDEFWVVLGYCLFTGLLYLHFATSVVHEITTALGIYCFSGLVQYQLVWFLGAVGKVLESCFRAFIPEGTKSRGPCTVSLDCPRCGEAVSAPVDEVLRKAADQTRRYMTTAKQVPAPVVEDKAKASGNN >OMO89298 pep supercontig:CCACVL1_1.0:contig08789:47352:54792:1 gene:CCACVL1_07936 transcript:OMO89298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MVSSSLSLVSSTSSFPSTSNSDSSSASTSLRPISFNLSKPSPKLSKPLKYQSLKLLNCSSNDSVEDGSAEQFFKNNSIADFMRFKTGSRRGSGELQTAVVSYRKRFPWSILYPFLQVDLVSTIHIADKEYFETLQKELAPYDCVLYEMVASRESLENRRNPAKAKRLKSSRSRGFNILGCIQRQMARILMLDFQLDCLDYQADNWYHADLDYETFKLLQTEKGESFFTFARDMTLKSTKALVQSASIPEDLDPWRSKLLWASRVLPMPLLGLVIIGGVCADVGSQASDYPELEALSRLDFGAAMKVFLAKRLTSEFTQVTADVEERSVIIGERNRAAIEALRRSIEDGHNKIAILYGGGHMPDLGRRLREEFDLVPSRVQWITAWSITKRDLDSDSLPFLKTMAEVSGWPLNRYQTLALLIFSSVLADLDKEQHYYHPLPDSDLQNGKSQKKGRSRFNKFALAGAILASTNSILLGYDIGVMSGAVLYIQDNLKISSIQSEILVGSLNVCSLIGSLASGKTSDCIGRRFTIVLAAATFLIGATLMGLAPNFIFLMAGRVIAGIGVGYSLMIGPVYTAEISPAMTRGFLSSLPEVFITIGILLGYISNYAFSDLPENINWRLMLGVSALPAIAVALGVTVMPESPRWLVMKRRFVEARQVLIKTSDSEEEADMRLAEMAKAAEILEQEPSSSSWSGQGVWKELLLKPSKPIQRILIAAIGVNFFMQASGNDAVVYYSPEVFKDAGISDRKQQIGVSIIMGIAKTCFVFISALFLDRFGRRPLLLIGSIGMVISLGGLGFGSKYLEQCQDKPEWAIALCIVAVCAYVSFFAIGLGPITWVYSSEIFPMRLRAQGSSLAISVNRVVSGIVSMTFLTISEKITFGGMFFALAGIVAVGTVFFYFFLPETKGKSLEEIEVLFEDKPLDGKQ >OMO89296 pep supercontig:CCACVL1_1.0:contig08789:37386:40752:-1 gene:CCACVL1_07934 transcript:OMO89296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MAAAVTKIHLCTFQKDQHFCFREQQRSWLKRGCSVRLPVITRYRYGGYVQKCRSFRGEDGGEVEEKEVESERKYGILKENEVKLEKEDGFWKSLKSAVFGVNKFGAQSRDEYNKAVVKVEEVFSPIALQIGRYIVTMMSTGVILLTGFQLSGGDSQMNSLIWYSWVGGIIIGTMIGSNMVLDEHCRAGPRNVVITGSTRGLGKALAREFLLSGDRVVVASRSSESVQMTVKELEENLKEGIAAGGSSSKNLERAKVVGIACDVCEANDVEKLAEFAIDEFGSVDIWINNAGTNKGFRPLLQFSDEDIKQIVSTNLVGSILCTREAMRIMKNQPKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLHASLLKECKRSKVGIHTASPGMVLTDLLLSGSTIKNKRMFNIICELPETVARTLVPRMRVVKGTGKAINYLTPPRILLALVTAWLRQGRWFDEQGRALYAAEADRIRNWAENRTRFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSTGSTFPGT >OMO89297 pep supercontig:CCACVL1_1.0:contig08789:43751:46472:1 gene:CCACVL1_07935 transcript:OMO89297 gene_biotype:protein_coding transcript_biotype:protein_coding description:CbxX/CfqX MQNRQDQRSRSAKPTTIHGFAQSGDLVGLQKLLKDKPFLLNERNPVMAQTPLHISAGYDRAEIVKFLLDWQGPEKAELEAMNMYGETPLHMAAKNGCNEAAKLLLARGAFIEAKANNGMTPLHLAVWYSIRSDDYATVKTLLEYNADCSAEDNEGMTPIKHLSKGPGSEKLRELLQWHFEEQRKRRALEACGQTKAKMDELEKELCNIVGLNDLKVQLRKWAKGMLLDERRRALGLKVGVRRPPHMAFLGNPGTGKTMLARILGKLLHMVGILPTDKVTEVQRTDLVGEFVGHTGPKTRRKIQDAEGGILFVDEAYRLIPMQKSDDKDYGLEALEEIMSVMDSGKIVVIFAGYSEPMKRVIASNEGFCRRVTKFFHFSDFNSEELANILHIKMNNQTEESSLYGFKLHSSCSVDAVAKLIEKETTEKQRKEMNGGLIDPMLVNARENLDLRLSFDCIDADELRTITLNDLEAGIRLLTQ >OMP05237 pep supercontig:CCACVL1_1.0:contig05469:7550:7654:1 gene:CCACVL1_01988 transcript:OMP05237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKSHISTLQKRICKKYYENYRHFATGAASHSFMK >OMO50351 pep supercontig:CCACVL1_1.0:contig16206:3551:6700:-1 gene:CCACVL1_30496 transcript:OMO50351 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MNFVMYCDTPSKNTDIEMGSYRQGCREFGCTLSCFGDIAEMSLPPDSVVFAMHGFDVISGMDWSGYHQLKIKAEDVSRSAFRTRVFKDYLDKFVVVFIDDILLYSKSMEEHGEHLRLVLQILREKKLYAKFKKCEFWLDSVAFLGHVVSKDRILVDPKKVMAIVEWSRPTNATDVRSFLGLAGYYWRFVGGFSSIAMPMTKLTRKGAKFEWTDECEKSFKELKERLTSANGVTVPDGSGGFTIYSDASKKGLGCVLMQNGKVVAYASRQLKPYERNYPTHDLKLAAVVFALKIWRHYLCGEKCEIFTDHKSLKYIFTQKEINMRQRRWMELLKDYDLTISYDPRKENAVVDALSRKNHGSLAALLTSQRSILDDLRRMEIGVRKYGNEGMLASLRIQPTLIERIKEAQLVDSALQKVRANIETSAPSDFRIHNNGSLRFGDRLCVPNDVEIKKVILDEAHYSGYTVHPGGTKMYMDLKETYWWNNIKMEIGEFVGYSLEKLAALYVREIVRLHGVPMSIVSDRDSRFVAEFWGSLHKALGTKLNFSTAFHPQTDGQSEGTIQILEDMLRACAIDMKGAWDDHLPLVEFAYNNSYQASIQMAPYEALYGRKCRSPVCWDESRQKSYTDIRRSTLEFDVGDHVFLKVSPTKGVMRFGVRGKLSPRFVGPFEILEKVREVAYRLALPPLLSGVHNVFCVSMLRKFTPYPNHVIELAPLPLREDLSYDE >OMO50240 pep supercontig:CCACVL1_1.0:contig16247:10136:16775:-1 gene:CCACVL1_30554 transcript:OMO50240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPERLNDNRESSSKCKRPPSSNNENSPFNGGKTRKDGL >OMP04264 pep supercontig:CCACVL1_1.0:contig05766:101:181:-1 gene:CCACVL1_02173 transcript:OMP04264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTEYATIWPLLNMQLYGPYEYATI >OMO49430 pep supercontig:CCACVL1_1.0:contig16506:1880:4824:1 gene:CCACVL1_31003 transcript:OMO49430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGGGKRDSVAGMNVDSNKGGDDNWNLIENSPEIEVVVNQDDDGGGGSKPCVGMEFETEDAGKTFYDAYARQLGFSTHVGQFTRSKPDGPIVTWDFACSREVFKRKNVESCNAMFRIERKEGDKWIATKFVEDHNHSMVTPSKVHYLRPRRHFAGATKSAPETLDSSNDVYVSMDGNHVSYDATRVRNASVESNRFVRNMGPAGYLRPSSQRRTLGRDAQNLLNYFKKMQAENPGFYYAIQLDDDNRMTNVFWADARSRSAYNYFGDAVTFDTMYRPNQYQIPFAPFTGINHHGQMVLFGCALLLDESESSFAWLFKTWLSAMNDRPPVSITTDQDRAIKAAVSQVFPETRHCICKWHILREGQERLAHIYLAHPSFYGKLYSCINFSETIEDFESSWTALLDKYDLHKNEWLKAVYNARKQWAPVYFRGTFFAALSSNQGVSSFFDGYVNQQTTIPLFFKQYERALEHSLEKEIEADYDTICTTAVLKTPSPMEQQAANLYTKKVFSKFQEELVETFVYTANKIDGDGIVSKYRVAKYEHDHKAYFVMLNVSEMKASCSCQMFEYSGILCRHILTVFTVTNVLTLPSQYILKRWTRNAKSWVGLDEQHADPQGIETLTTRFNNLCQEAFKLAEEGAVAPETYNVAISALREAGKKIAFVKKNVAKVTPPSSHSHEDGSKKTTSPVSEMVPSLWPWQDAVPPRFNLNDVGAPLADLNQPSMVPVSIHRDGGHPDSTAVLTCFKSMTWVIENKNAMEAGKAAVINLKLHDYGKNPAGETEVQFNLTRATLEPMLRSMAYISQQLSTPVNRVAVINLKV >OMO99810 pep supercontig:CCACVL1_1.0:contig06802:1260:1511:1 gene:CCACVL1_03616 transcript:OMO99810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MSEVEPAVDEGKEAPKPLPPRKKCRVKAYCWNHFTKYLDDNNLTRAKCNYCDAHFAAEPKRNGTASLNSHMYDCPYSPLYIAL >OMP11238 pep supercontig:CCACVL1_1.0:contig01455:1530:3223:-1 gene:CCACVL1_00608 transcript:OMP11238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MFDESKFLFKGLNAGSIAYGDTFNTWNPTIDTNPINIVPFSQPANTVPAPNTIPAPNTVPALNTDCVPVVPSSAIVPLPASILAAAPLDGPCAPPSVCVDLSPATTESPSYQDTIEPTCATHAIKDQNWKQAMLEDFEALNKNVARYKARLVAKGFVQRPGVDFTETFFQVVKHVTIRLILSIAVTNRWSLKQMDVNNVFLQGNDSNFLADFSQALASQLSLKYLNQLHYFLGIEVFQTSQGLFLSQSKYIADILSKANMSGAKKCTFPLSTSTTLTLHNGTAAVDDNEFRKIIGSLQYLTLTRPDICYAVNKLSQFMHKPTTLHLQALKRVLRYLKGTIQHGFFISPTAKPTITVYTDSDWAGNIDNRKSTSAYIIYYGKTPISWCSKKQKTVARSSTEAEYRAIAMSVSELTWI >OMO81298 pep supercontig:CCACVL1_1.0:contig10211:20663:35760:-1 gene:CCACVL1_12490 transcript:OMO81298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLNPSCYLHRDSHLAPPRELDGNRDDLATVRWQGFSSLSH >OMO81299 pep supercontig:CCACVL1_1.0:contig10211:42518:47130:1 gene:CCACVL1_12491 transcript:OMO81299 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein 3 and Serine/threonine-protein kinase CTR1 MNPYLWLMCNEVEEGSRLPSLMSLKEIEPSEISMEVVLVDKRGDRRLKELEEKAQELYCASENTLVLADKLGQLVAIYMGSTNYPNPSNSNIIARQILPNHHHPTPYLPSPCQLSLKKEVIDKLPTVFFDEELRIRNSQIYKVHDEAKDKAFELEMSWVCDESKAQSNNIRRKNLMMMSLATPQLGPQCASKHNLRIHKEPSFTAKVNYQTEVNYLKSLPGYPVESCMPNTRSSGIKDLVFNSEPERTPFALRRENLTQEQGGDSSTPSSQANSPHSTTSSSSLESQPPRENMGEENNNRTLRELVAPNVATQRLAIQYSTNEENFEIKSGFIQLLLKFHDMPGEDPHRHLTYF >OMO81297 pep supercontig:CCACVL1_1.0:contig10211:3104:3430:-1 gene:CCACVL1_12488 transcript:OMO81297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQGSNNPDGKNEGKKRSRRSGVVAKEEGDKGKKTDGGMKLEHLLGWEEWPWMRGVADEQMSWGSLWSPFWDVDFVDKAYAAFFNDVAWDDDIWNLKSIMEIPTPNP >OMO90643 pep supercontig:CCACVL1_1.0:contig08453:3539:6435:1 gene:CCACVL1_07328 transcript:OMO90643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDMLVVSASDPIEKLHLIFSLYRLGISYHFENEIEDYLNHLFISLPNQLIDDKDYDLQTISIVFQVFRFHGYKMLSDVFSKFQDGDGKFKKELIDDVKGIISLYEATHFRMTGEVILDEALTFTTKKLEEIIENQSSDVPPHVRKRIANALFRPYHHSMQRIEARQFISFYKKDESKNDVLLKFAKYDFNRVQLLHQQELSILSSWYKESNMKSKLPYARHRIVEALFYVVGVYFEPRYARARNILCKLTTLIGFLDDTYEAYGLFEELQHLTDAIQRFEIVPMDELPADLKPMFETLLNVHDEVEDQVREEGRSYSVCYTKDEVKKYSTAEQLEARWRHEKYVPTFDEYLENGMYSGCSRMAFAQIMDEDGRGFSTGWTCYMKQHNVSRNETIEAFREKIAGAWKCINEEYCMKPTTVPRAILRAALNYQRMLDFAYRDNDEYTKPELSFKSIIPKVILHPISL >OMO63726 pep supercontig:CCACVL1_1.0:contig12910:3562:3681:1 gene:CCACVL1_22328 transcript:OMO63726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNRVCTEYWDSRPYSRPQVQILQHSPIPDSGAKCQLN >OMO79389 pep supercontig:CCACVL1_1.0:contig10427:2194:3264:-1 gene:CCACVL1_13705 transcript:OMO79389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MASTSVLCVTERISELLSEGADVYFKGVKEQVDGVVGALRATQSFLRHVETSSKRDEGDDVNGWDAYNKIRDLAHDAEDVIDTFLLKTRFGLGIKTRIIQQTRSEIDKLITEITRSAPKVVELGKSETDHDGELGLGASNSAYYDKNRKDYIHVLEDRFVGFENVREMVSVLVDDDHRRQVVSIVGMGGLGKTTLAKMVYRHEQVRNHFKGRAWVFVSQRWHTRKIWEQILDSLGYDDESESGGKQTEQELAEKLYNFLKDNKFLIVLDDIWTVEAWERIAVAFPNPEESKTKILLTTRNKAAAHALDPAGYTWELAFLNPEESWTVFENTAFPTGEIPGNSLLHPLMFLEGKTMP >OMO95188 pep supercontig:CCACVL1_1.0:contig07724:2280:2465:1 gene:CCACVL1_05509 transcript:OMO95188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVYADEIHVREKNLQDICPECVRPHMGGNQQEAWEENLVKDKREMPNQIGRNQNEKADPF >OMO61771 pep supercontig:CCACVL1_1.0:contig13414:1462:1524:-1 gene:CCACVL1_23256 transcript:OMO61771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGHGKSPIFPCFGRYVIT >OMO61774 pep supercontig:CCACVL1_1.0:contig13414:17267:22207:1 gene:CCACVL1_23259 transcript:OMO61774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEGEVGGEGSRQEKAWRWKVREQVPTKAKGPGFLSGEVRRSLIIKKEWSPQSSKFRAKSLSLISCDRRVVIKKSCLSFWVGLLESK >OMO61772 pep supercontig:CCACVL1_1.0:contig13414:6311:9312:1 gene:CCACVL1_23257 transcript:OMO61772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLRRIAVVGDVHDDWDLEEDTKALQFLKPDLVLFTGDFGNENVELVENVAALNFPKAVILGNHDSWSTQKFSGKRKDRIQLQLECLGQEHVGYKRLDFPSLKLSVVGGRPFSCGGPQIHRKRLLSARYGIEDMDGSAKRIYEAAIRTPEDHLVIFLAHNGPTGLGSEVNDICGKDWVFGGGDHGDPDLAQAITHLKETTTISVPLVVFGHMHKELAHGNGLRKMIAIGTDNIIYLNGAIVPRVKRHTNEQQTVYRRSIDNETSLHASNSYGTKRAFTLVEISNGQVNKISESWASVVGNETTLEEEHILFKSNGQSSL >OMO61770 pep supercontig:CCACVL1_1.0:contig13414:113:1099:-1 gene:CCACVL1_23255 transcript:OMO61770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKLRSFLFLITLHFMLLLQLQFSCAQNNVRAGYWAAGSEFPVSNINSTLFTHLFCAFADLNSQTYQVTVSSANQAQFSTFTKTVQQKNPCVRTLLSIGGGISDPSAFASMASQASSRKSFIDSSIRIARSYGFHGLDLDWEYPSDPTQMTNLGLLLNEWRAAVINESTAVKNGLDWINVMAYDFYGPGWSNVTGPPAALYNPGTQVSGDYGIATWIQSGIPANKIVLGFPFYGYAWRLVNANNNGFFAPTSGAAITPDGSLGYGQILSFISSNNATKVYNSTVVSNYCYSGTTWIGYDD >OMO61773 pep supercontig:CCACVL1_1.0:contig13414:13358:15967:1 gene:CCACVL1_23258 transcript:OMO61773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVTSSVAAKFAFFPPDPPTYDVYREENGKLVMPGISADKNMDVHLLDTKGGNKIVATFWKHPFARFTLLYSHGNAADLGQMHELFIELRAHLRVNIMSYDYSGYGASSGKPTELNTYYDIEAVYNCLKKEYGVKQEDLIVYGQSVGSGPTLHLAAQLQKLRGVVLHSAILSGIRVLYPVKMTFWFDIFKNIDKIRRVTSPVLVIHGTNDDIVDWSHGKRLWELSKEKYDPLWVKGGGHCNLETYPEYIKHLRRFINAMEKLSITKPTKQLTSNPSITEAKHNKCLRLKKKLVVSKKESVGR >OMO58815 pep supercontig:CCACVL1_1.0:contig14127:10576:17690:-1 gene:CCACVL1_25341 transcript:OMO58815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWKKVEDILKADPSLRRSNEELALIDSYATIKSNLIISSGRGIQRKRARAFIDEVLDDDEYDSNESDDGGPQCPQCGAEIDGFRCNQHTIHLQCQACGGMMPSRADMNETQHCLGCDKTFCGAYWHAHRVTQSDLHPVCNYETFRPVSEHTITRIPFLAHEMNRHEQDITEKCIRQSGRTLQEVVAEWISKLSNREIDRTRMPLNHAERITAETHVCRDCYEKLVAFLLYWYRISMPKYRRRWGRRRPEFASPKAIVLREYVSVITIVVSFVGMKASPMAGAVASATAASVPAAVNHFIFHVAPSL >OMP12307 pep supercontig:CCACVL1_1.0:contig00153:785:886:-1 gene:CCACVL1_00047 transcript:OMP12307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GITARLSGRGACNVNYLGQMCKAIFNHIHQKLAE >OMO86872 pep supercontig:CCACVL1_1.0:contig09397:32125:36105:1 gene:CCACVL1_09409 transcript:OMO86872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-glycan biosynthesis class S protein MPEITQLPESDVSKPLPDLDPKTMRKTQPGLKRLFLTFSVLFSFLLGFPFFWNSVEIYRSPLPFREIDSLSTHLRSDTLRFPLHFHALFIGFHSDPDRLRLSLLPQITKLTSQNPKCSYNFTLSVIVDSASACLRSPNTSPPSSLYQCGSITPALFDPKDDEAVDQKLESVFGAKKEYTVVVVKGENERAVVGKYRHAWMLVSAGDQVEMAAVKVAEVLVKVFVNGGREEGSIRNEFMPVGPDGRIVLSFNLLNADPRDWVFDWDFQKIDETLLAPMIEALNPVANITVESQVLYHTPKASFSHWNQKLGSHVFSTNDLPFFVNSNEWHLDTSIAAGGRSKILQFVVYVPSAKECPLLLQLPNGEISKTNGFISPMWGGVIVWNPQSCLKDSESNPVDRRMIPLQDLEEMFEVFIGQFRQLFGFKSINVYTGASGVWNLLPSERGFTEWELDVLSRQHTCFNLHSCATTLGSLSRLVQSLPRMIIKDEIGKQVKFSLEAARLAQSNASNGVYESSAASSRQARSLAEDAFFHPSIMSVSYYSFEHCFAVYSPFFLPVAMHVVLAALRELRRYKKEKAKYLAWKAKAN >OMO86871 pep supercontig:CCACVL1_1.0:contig09397:15805:16902:1 gene:CCACVL1_09408 transcript:OMO86871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDDALKCLRIGKEALDAGDRARALKFFNKARRLDPTLPIDNLLSEAERESDDRPAPESPGSPKDPSKSSQSKPSDQPSIRQRNTSTGSAASASSSASYTEEQITIVRQIKKKKDYYDILGLEKTSSVEDVRKAYRKLSLKVHPDKNKAPGAEEAFKAVSKAFQCLSNEESRKKYDLVGSDEPVYERRASAYRGGGGNGFTGYYDTDFDADEIFRNFFFGGMPPATTQFRSFHFGPGMGARTGDNGSAGFNIRMLIQLLPVLLILLLNFLPSSEPVYSLSRSYPYEYKFTTQKGVNFYVRSTKFEQDYPVDSPERARMEERVERDYYSVLAQNCRFELQRQQWGFIRETPHCDLLQKFQSGA >OMO86873 pep supercontig:CCACVL1_1.0:contig09397:37214:40139:-1 gene:CCACVL1_09410 transcript:OMO86873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYADRVEAVVKRSVKERLNVNSADNSIRRRQITGKRQRQDDKWEHDLYQDEAPRISNRKVDPRDLRLKLQRKSLQQVSQSGRGAVSGVRDLREKLSGTMNTQPLNADPPKSKVEVAKPARKSVVETPEPEPKRPATAARKKAQQKADTSVDGFLQSLGLEKYAIIFQAEEVDMTALVHMTDEDLKALGIPMGPRKKIILALESRG >OMO54903 pep supercontig:CCACVL1_1.0:contig14865:4664:4747:1 gene:CCACVL1_27478 transcript:OMO54903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDDLAPGFEWAVMADGGFLLGERGN >OMO97606 pep supercontig:CCACVL1_1.0:contig07224:44361:44453:-1 gene:CCACVL1_04506 transcript:OMO97606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRGKRKERMSSGERLDSGVSGDGGIDER >OMO50541 pep supercontig:CCACVL1_1.0:contig16135:124:186:1 gene:CCACVL1_30379 transcript:OMO50541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKDPNNPRKQIGANKNLA >OMO81345 pep supercontig:CCACVL1_1.0:contig10199:14307:15693:-1 gene:CCACVL1_12465 transcript:OMO81345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTKEATFLIITKAPYYLLVQLREKKSKEKAPADGER >OMO81335 pep supercontig:CCACVL1_1.0:contig10203:35805:35906:-1 gene:CCACVL1_12474 transcript:OMO81335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKQDPDRHVSSIARANQDFELISESQSAVKS >OMO81337 pep supercontig:CCACVL1_1.0:contig10203:40658:40741:1 gene:CCACVL1_12476 transcript:OMO81337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIYKRLQAAIKSLPLRRKAPKSIAL >OMO81338 pep supercontig:CCACVL1_1.0:contig10203:48166:51460:-1 gene:CCACVL1_12477 transcript:OMO81338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTESTKVVYNRIQQLEPENVSKIIGYLLLQDHGDRDMIRLAFGPDSLLLSLINKAKTELSLNKSAVSMPISPTQVSPAPVTDLPVQFTPYSPASARAAVSSPATIRAATPFWDPQVTAEQHVNNLEFVPQGYSDAVAEDYRLQNQMHLLNMEDQLESANSVSSEFLSNYYYSEPVVGARTSRRSPSLPEFPFKICHYFNKGFCKHGNNCRYFHGHPMPESFSQLFGPNSNDAGNDDHVVSPGTLEKLELELTELLKSRRGLPVSIASLPMLYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHSVILAEDAPKYLEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSNYFNKFGPVQDVRIPCQQKRMFGFVTFVYAETVKQILAKGNPHFVCGARVLVKPYREKSRLVDRKFAEKVQHPMYYNPHFVDGDTDLQSMPRLCDNSRLLRKQLMEDHEQALEFERRRFSELQLAPKQHLTSHSYFGYSMDELKSTDEQADFPSAERFNYLLDVLNTGSTSEDRIRHITTNYGDQDSQGFNLPESPFASPIGGGISTVI >OMO81333 pep supercontig:CCACVL1_1.0:contig10203:13517:15437:1 gene:CCACVL1_12471 transcript:OMO81333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLGTQTKLDYLSVEGTNSYGHIPASLKNLTQITVLRFGENHFSGRIPSWIGNLTQLVQLSLIENELQGPIPQSLLSLVNLVDLNLALNYLSGTINLEQLLHLKNLERLQLSENDFSPSSQAFLLTAHQDELGLLSLADNKIVGRIPEWLPWGLSAKSLVVLDLHQNFLTGFDQPNVVPQWTTLKNLDLRFNKLQGSLPIPPLSVYHYLTSNNFLTGEISPVICTLTSLTVLNFSGMLPPCLSNLSTSLSILNLKNNSFVGPIPQTCKEGSKLRMIDFSQNQFQGQIPESMVHCSMLESLNLGNNRMKDTFPSQLGKLAELKILILRHNEFHGAILGNPGTDDGVFPKLRILDLSFNKFACPLPSQHFQRWEAMKVVDSSKLTYLESAESFDAPGGETWAFYVSYLMTMTKKGVETKYDKIQEFLVAIDLSSNQFEGSIPQDIQILKAVQLLNLSNNFLSGAIPPSLGELTNLESLDLSQNKLSGGIPQELAQLTFLGLFNVSHNQLTGPIPQGKQFPTFDNSSFEGNSGLYLCGNPLSKKCHNSEASPPPWSTPNKDGGDILFEFGWKIVVLGYGSGLVVGLILGYTFNPWKHKWFLKYFGGNKQQTRKPRN >OMO81336 pep supercontig:CCACVL1_1.0:contig10203:36597:38705:1 gene:CCACVL1_12475 transcript:OMO81336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHKEEALKAKEIAEKRFCERDYAGAKSYALKAKSLYPGLEGISQMLSTFEVYVASETKFNGEMDYYSILGLKPSADKEAVKKQYRKMAVLLHPDKNKCVGADGAFKLVSEAWTLISDRIKKSSHDSKRNKQVPPGVVQTSTYAAGIAGVSNCSNSTSQGRLDTFWTVCTSCKVQYEYLRKYVNKRLSCKNCRGTFIAVETGSAPVNGSFPYCPWSYVTTSNGYGSHGYDGVTYVPTNATFFTGNGVSGYHSGHGYEYVSNMSFQWSSFSGTSTGIVGHNGVSAISTDAVYQTNGDTRGAGLKVKSGANGKRSTKNFATQTTPVCNGYNESSGSKTGRVEKKRKVVVDSSFRNGYEDKEAKHCEARLANGNGFEHDPKQSIPSEPPNRRCSMAAAFDARKLLIDKARTEIRKKLEEIRLASEAAAAASTAKLGSQDQPQVAGKALKRTNLAVSVEQSAANKSAPVSITVPDSDFHDFDKDRSEECFQPKQIWALYDEDDGMPRLYCLIRQVVSVKPFKILITYLNSKTDNEFGSVNWVDLGFTKSCGHFRAFNSDIVDQVNVFSHLLRGEKAGRGGCVRIFPKSGEIWAVYRNWSPDWDRSTPADVRHQYEMVEVLDNYSEELGVCVAPLVKLVGFKTVYQRSTDMDAVRWIPRREMFRFSHQVPSWLLKGESSNLPDRCWDLDPAATPDELLHAATEAKA >OMO81332 pep supercontig:CCACVL1_1.0:contig10203:12610:12930:1 gene:CCACVL1_12470 transcript:OMO81332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPACWDSERSALLQFKESFILNESVSDFPLAYPKVSSWKVEGQGGGGDCCSWDGVECDNSTGHVIGLDLSSSFLYGSIGSNSTLFHLNHLTSLNLSDNHFNGSAIP >OMO81334 pep supercontig:CCACVL1_1.0:contig10203:28840:29355:1 gene:CCACVL1_12472 transcript:OMO81334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHHRNRQRQDQELIVVEENDKEKRPKFFINDHVDILVEILKRLDGRSLGVAACVCRLWCTIARNDYLWEDLCFRHVYPPPSSVRSIVVALGGYKRLYMACVRPVLSRLGGVRRPSWTRDEVQLSLSLFCVDCYERLGGGFGGNNNSNNNGRLVGESSPSSLMFLCKPVNV >OMP11514 pep supercontig:CCACVL1_1.0:contig01216:179:2334:1 gene:CCACVL1_00471 transcript:OMP11514 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase MNHEKPAVCVLDASTYVGFWILKGPETEIEKKIKEIERVEERLAVFSVDILDYQTILVALKGCSALFCSLDSPDGYDDIIADMEVRGAINVVEACAQTESIEKIVFSSSLTAAIWRENLCSEKDVDERCWSDQHFCRKMKLWYALAKTLSEQAAWALAMDRMLNMVSVNAGLVLGPAVAQQNPRSTMSYLKGAPQMFENGVLAVVDVEFLADVHIRAFEDSSTCGRYFCFDQIVNTEEEAVKLAQSLSPLLSLPPKYECQGSEVYAERLRTKKLSKLVEGTA >OMP11515 pep supercontig:CCACVL1_1.0:contig01216:4572:5822:-1 gene:CCACVL1_00472 transcript:OMP11515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQEPEFQVPIGGEDDRQLQDSINLPLLRLDSFKINQQNCHPCTTCASNPMKPNFPESTSEEPKSRKPSLSGFSKLPLPQLGRSMSDHYSYNPPSTNLSENSKAMEEIPFGKANVSGSALPLRRSISDHFASPVKCLSKSSSSNDLGAPESIEEDRPSAKRLKKMKEWMQEMNQWVDEEGITEDGDVCNAEAAKDNVEQADCEEATRVEKIGDCLDLHFKCPCGKGYKILLSGKNCYYKLI >OMP00685 pep supercontig:CCACVL1_1.0:contig06640:449:544:1 gene:CCACVL1_03318 transcript:OMP00685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKTIQNSTIGPRKARNNKCSE >OMO88299 pep supercontig:CCACVL1_1.0:contig09043:17495:17701:1 gene:CCACVL1_08475 transcript:OMO88299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEGQAFEGSNVDTGGPSVVNDINEEMVEGDVDNSEEASSDTDDENDDNFVLEVDVDDLVHLDFNVA >OMO88300 pep supercontig:CCACVL1_1.0:contig09043:32924:33751:-1 gene:CCACVL1_08476 transcript:OMO88300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLPPLPEIHLTNNLTGVIEILEGIVGITYPNRPCLPMDQMMRNLCLLYIGRVNQCDRQVARHLMVWLGMRGFAPEPEEVMDVLQQTKIVEELMVQGLMHKIPREFPITTDQLPNPHPILYLRHEDPPRAVTFTSSRLKVAFVQGDEIQRLTVEARSSAIPQRGITKHRLQFQVEPDDQPSIEERLAWLTGNSWAQAVDTYAPMRVLSCNARGPANPAFDQTMEALRNEHQPDLFIITEVRVFGDRAHAIRNNLGFDEMFEIKPEGFSGGIWFL >OMO76040 pep supercontig:CCACVL1_1.0:contig10936:25625:28258:1 gene:CCACVL1_15952 transcript:OMO76040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >OMO76041 pep supercontig:CCACVL1_1.0:contig10936:34914:36125:-1 gene:CCACVL1_15953 transcript:OMO76041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSYNGPICPDIQEKLKKLKQDSFSCFSTPAGRMKYEVECGTTSHVVNLAEKTCRPKKVRRRAVDEPQNPYKLSRKNKESRCGNCGRVGHNVRRCNASVIGETLWQRRMMLKGFRNVAQVSQDENSASFSKQSAVRGRGESSKGKSTGRARGRPIGVVGIELQALTGGQKTTFIAARGRGRTTNSIGRGIATGNRGRDRNFGSGNVGQVAVQITGRARGNVKAKVPPNRGRGRTIATTSVEVISSQASSQVANLQGSQTNSNLATSSKGVWVQSGESSFMLFSCLDLLLLFFCTKKVRPFITLVI >OMO76039 pep supercontig:CCACVL1_1.0:contig10936:3478:5142:-1 gene:CCACVL1_15951 transcript:OMO76039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin tail 2 MNRYKAQRRVQIDESETPKYDDDVEDDDQEEENSMRLRPSDSNVTEDQEPFMGVKVRRKASLRREYKGDYLDVPSRPFLMKILQKQGDKQVLFADKVLKFTSTGKMKRRILMITDFAIYIVDPDNDALKRRIALAAVDKMCLSELSDNFFAIVIPTEYDILMASTRKTEIVTVLVEATKSASEYELEVVFSNRFEYNASADLIKEVEFEEVEGGVRTKILRK >OMO52123 pep supercontig:CCACVL1_1.0:contig15596:2717:13747:-1 gene:CCACVL1_29348 transcript:OMO52123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MLVPHSDLTDNHQPMEVVAQPETANTVENQPVEDPPSSRFTWKIDNFSRLNTKKHYSDVFVVGGFKWRILIFPKGNNVDHLSMYLDVADSANLPYGWSRYAQFSLAVVNQMHNKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPCRGYLVNDTLIVEAEVIVRRIVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVESLEGDNKYHAEQYGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRERLKKEQEEKEHKKKEKAEAHLYTIIKVARDDDLAEQIGKDIYFDLVDHDKVRSFRIQKQMTFNVFKEEVAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTPLEETQTVGTLREVSNKVHNAELKLFLEVELGLDLRPIAPPDKTKEDILLFFKHYDPEKEELHFVGRLFVKSTGKPSEILSKLNKMAGYAPDEEIDLYEEIKFEPSVMCEPIDKKITFRASQLEDGDIICFQKSLPVESTEQFRYPDVPSFLEYVHNRQVVHFRSLEKPKEDDFRLEMSRLYSYDDVVEKVAQKLGLEDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVIIHTIRLPKQSTVGDVINDLKTKVELSRPDAELRLLEVFYHKIYKIFPPNEKIENINDQYWTLRAEEIPEEEKNLGPNDRLIHVYHFTKETAQNQMQILNFGEPFFLVIREGETLAEIKVRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDSDVVSSRFQRRDVYGAWEQYLGLEHSDNAPKRAYAANQ >OMP07552 pep supercontig:CCACVL1_1.0:contig04343:80:295:-1 gene:CCACVL1_01285 transcript:OMP07552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKDIEAAGCRNDQMLELYKAAKYGCVVTLTSLLENDSLILHKVSLDSPLHLSALAGHLDFTKSLLNKKT >OMO70921 pep supercontig:CCACVL1_1.0:contig11770:5576:6034:1 gene:CCACVL1_18566 transcript:OMO70921 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Disease resistance protein RPS5 MTAKVRARQRGICRGVKRRIRYVIVYEKKVEQFVQQLEVLKEERASLQQEVHAAKRNGEKIKADVEIWCNRVDKTIDQYLKIVEVLKTKAKTKCFIGLCPNIKSRYQLSKKAEEAVMDLNELILQGRSIRPVGYRDIPEALALVDSSPTTVT >OMO70928 pep supercontig:CCACVL1_1.0:contig11770:70127:70240:-1 gene:CCACVL1_18573 transcript:OMO70928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGTTQRMQSGMATSGNPLEMSVLNLDDSDMVPMAR >OMO70931 pep supercontig:CCACVL1_1.0:contig11770:104093:106709:-1 gene:CCACVL1_18576 transcript:OMO70931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MAGIKNTGSILITIFLFALVLSPMIPCDATRPIDHPARTSTKAFPGPTIRAKAGDTIVVELTNRLHTEGVVIHWHGIRQIGTPWADGTASISQCAINPGESFEYRFKVDRLHFSDQKMSPIFNSSATRSTYLKAPQI >OMO70929 pep supercontig:CCACVL1_1.0:contig11770:72557:82087:-1 gene:CCACVL1_18574 transcript:OMO70929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFHSVTGCAYKADAYDNIWLSYNIPNSVAINTSLDIDTRASTYGLPVGVLMTACRSLNLSYSLSFSFSYNSASSTSQYFTVIHFAEIAEDARNKLTQFNISFNDFDNTKRTITLEYLKALSLSFQNLTTDGDFSLTLVATPESDLPPILNALDIYQVLHFPNSPTHQSDGT >OMO70927 pep supercontig:CCACVL1_1.0:contig11770:56640:66707:-1 gene:CCACVL1_18572 transcript:OMO70927 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MVSGRQTLFIVLNNLLLPIFICLIGISSSWDTPIITEAIKEVDVGIRRTLATQDHPGSVAINTSLDIDSTNGLPVEVLRTAVRPSNGSNSLTYSFESNSPTDQYFVTLHFAEIEGVAQNLLREFSIFMNGFKSKPIRLEYLKSLSLSFQNLTIQGGVNFTLVATKDSDLPPILNAFNIYKIRQFSLSPTNQSDGEISSSFSDLQALEFLNLSGNKFTGSIPQSLKDKSNYGSLVLSFADNPDLCQMDPCPLHHEGKTSNVPVVASIAASVIVLIVLSILLVFCMIRRRRKGETLTRTTQRMQSDMTTSGNPSEMSLLNLDSDMVPIARGGYAQESTRLVPAIMSFGDSGTDVGNNNYLPTIFKANYPPYGRDFANQKPTGRFCNGKLATDITAETLGFTTYPPAYLSPEASGKNLLIGANFASAGSGYDDKAASINHAITLTQQVEYFKEYKGKLAKVAGSNKSASIIKDSIYLLSAGTADFLQNYYVNPLLNHLYTPDQYSSMLIDSFKTFVKNIYGLGARKIGVTSLLPLGCTPLARTLFGYHKKECVAQFNTDSQQFNKKLNSAAASLQKRYPDLKIVIFDIFKPLYDVVKSPSNYGFVEATRGCCGTGKVETTWFLCNPKSSGTCPNATQYVFWDSVHPSQAANQVLADALIVQGIALI >OMO70923 pep supercontig:CCACVL1_1.0:contig11770:20253:20396:1 gene:CCACVL1_18568 transcript:OMO70923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLVAANVRNARSSNKIQHQKYTLLHSTSIDPRQGAAGVDAQEMEL >OMO70926 pep supercontig:CCACVL1_1.0:contig11770:47994:49467:-1 gene:CCACVL1_18571 transcript:OMO70926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MDAYSRRLMCLVFALLVSKGYAQESTSLVPAIMTFGDSVVDVGNNDYLPTIFKANYPPYGRDFANQKPTGRFCNGKLATDITAETLGFTTYPPAYLSPEASGKNLLIGANFASAGSGYDDKASYINHAITLTQQVEYFKEYKGKLAKVAGSDKSASIIKDSIYLLSAGSGDFLQNYYVNPLINHLYTPDQYGSMLIDSFKTFVKNIYGLGARKIGVTSLPPLGCIPLARTLFGYHEKGCVAQFNTDAQQFNKKLNSAAASLQKQYPDLKIVVFDIFKALYDVIKSPSNYGFVEATRGCCGTGTVETTSFLCNPKSPGTCPNATQYVFWDSVHPSQAANQVLADALIVQGIALI >OMO70922 pep supercontig:CCACVL1_1.0:contig11770:9656:12748:1 gene:CCACVL1_18567 transcript:OMO70922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MENLVSTILNQLAMIALEEVNLVRGVDAELEKLTDNLQAIQAVLEDAERRQVMEATVKLWVEKLKDISYDIDDVLDEWNTALLKLQNSEDVKKVCSLPTHPSGFCFCQVGLRHVIAVKIKELNARLVDIVNQKNAYNFASGTGTGRGNQETSRLLTTSIIDVPKVFGREKDTDNIRNMLLSGSSTEGATLRIISIVGMGGLGKTTLARLAFNDAGVESHFDKKIWVCVSDPFDDSRISKEILESLGTGNTDLVGMGAILEEIGKGISGKKIKILLVLDDVWTEDSTRWEPLRNSLLKIASPGSKILITTRKEKVAMVMGSRTTDMYHVGILSLEKCWDLFTHLAFSERTGEDRKKLEGLGGEIVKKCKGLPLVVKTVASLLRFKKSEQQWQNVLESELWNIEKVEEDVFPALFLSYYDLPSILKHCFSYCAIFPQDHVIKKGELIMLWMAQGFLNKTTQHNMMDVVVGSKKGKETKDMEMVGEEYFHELATRSFFQDFEKDENGEIVKCKMHDMVHSFARFLMRKECCLVKVDGLEKPWKANLPSKTIRHLALMMEAEVTFPIPRYNWEKVRSLLIQSDGSNSRRENNLLNSIDKLTCLRTLHLNLGADLQKGMLSRKIGKLIHLRYLNLSYNNVLKTLPEAICDLYNLQIFDLSGCSKLRRLPQGLGKLHNLKYLENKETGKLEFIPKGLKRLTNLRILRKLRVDTNKNSFKLGDLGNLANLQGSLMIRGLYNVKKASEAREARLSTKIGLRHLDLVFDSLNESGGENEAAVVEALQPPPSLQRLEIWYSNGPTLTPSWITYLTMVKTIVLSDCFNWKTLPPLGKLASLESLCIQNMKKVEKVGQEFLGMDTSQEEEDGATTAFPNLTKLAVDNMAVLEDWEYVNPSSKSSGTGVIIMPRLHSLTIKRCPNLKALPHHLFQHQLRELSIEGCPILSQRFEERKGVDWPYISRIPKCSIEPTPETLSLTVPSSC >OMO70925 pep supercontig:CCACVL1_1.0:contig11770:43308:47411:1 gene:CCACVL1_18570 transcript:OMO70925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPPPPQPLSRPSTQMRPPPQLVATVAGGNPLHKVSVSLYVGDLDPDVTENDLFKEFSAVAPVVSLRLCRCTSTGKSLRYGYVNFVSHSDASKALACLNHSKLKGKPMRIMWSHRDPSPRKSGLGNVFVKNLDPSITCTDLEEIFCRFGTILSCKVAEENGMSKGFGFVQFDSEESAKIAISTLHDTVLKGMKLYVSKFVKKSERTAVAEEAKFTNLYVKNLVDGVTEDHLQEMFSKYGKVCSAIVMKDGKGSSRGFGFVSFQSPDDAKKALDAMNGVQLGSMNLFVGRAQKKAERTELLKHKYSDMFNCRFEKYKDSNLYVKNLNLSVDDKRLHELFCQFGKITSAKVMCHDNGISKGFGFVCFSTPLEAMEALHRLNGIFFEGKNLYVAVAQRKEDRLLKLQHYHVENTLVQSSYQPSYNAITPQFHPFYFNFPPCPPLHPLLRHPSLYQPCIPNAGLRYPYATTHDRRTFSHDATRHMHPCNAGIRRDWACRQSELDHGNNGSKKAGFRKKNNRKGATAANSSAATVAAIQPFKPATSPGNSKKSNENLTPPLAENLQPGTVSKVTGVLLEMNNSDVNVIKLLNTPHSLVEQDKPVQGLNDANVRASRDAVTFANPKTTARCLSY >OMO70930 pep supercontig:CCACVL1_1.0:contig11770:90300:90636:-1 gene:CCACVL1_18575 transcript:OMO70930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNNAGSILITIFLFALVLSPMLPCDATRPIDHPGPVVKRVICPDCVCCTPPPPGSCCKCCASPIQTQSSLNALK >OMO70924 pep supercontig:CCACVL1_1.0:contig11770:39971:42759:1 gene:CCACVL1_18569 transcript:OMO70924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLKEKRDLRPLLVKFGVAIALSFAGFLYSRLKTRKIKPYLPPPPSSGISERDIKVDSPREDLCEDDVPALNMSSTCKPEDKQASVDNASAGHSPSIRRSGDGFLLSEFNDLVEEYDIYATGAGPSPKKELETPRSDVDTSRAFKSAEKDDYEKEIKHLRNMVKTLRERERNLEVQLLEYYGLKEQAATALELQNRLKINNMEAKLFTLKIESLKSENQKLEGQLADHAKVVAELEAARSRIKLLKKKLKLEAEHNRQQILNLQKRVTKFQEQEQTAANNQDIDLKLQRLKVLEGEAEELRKSNRILQIENSELARKLESTQILANSVLEDPETEALHEMGNCLRQENEELTKQVEQLRADRCADVEELVYLRWVNACLRYELRNYQPPSGKTVARDLSKSLSPKSEEKAKKLILEYAQTEGIGDRMNSIDFDCDQWSSSQTSIGTDNGEPDESLFDTTPATKPANAGKHKFLKKLRRLLKGKESNYQSQASSVCKTDQAEDVDSPFWSSSRGNDLMSIAQSQSDRFTTPSQSSSRPSLDMPRWRSLNVVDHHSRRNSDSSSNGYKRYLLGRDDPSDSSLENEFDQVSESLLKSDLVKFAEVLQVPESGRGKTHKKSASII >OMO70932 pep supercontig:CCACVL1_1.0:contig11770:108288:117662:-1 gene:CCACVL1_18577 transcript:OMO70932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med6 MATPPVPPPQAAALGNFEAPPPPAMQPPGTDMTGICFRDQLWLNTYPLDRNLIFDYFALSPFYDWTCNNEQLRMRSIHPLDISQLSKMTGLEYMLSEVMEPHLFVIRKQKRDSPEKVTPMLAYYILDGSIYQAPQLCNVFAARVGRALYYISKAFTTAASKLEKIGYVDTENENEISESKGGKEAIDFKEVKRVDHILASLQRKLPPAPPPPPFPDGFIPPATAEAEKDPENQQSVVEAQPPAIDPIIDQGVEAVANKIDNVGEKELVLDGGFKVPETNSFGHTFRDYHVESERQQGVENFYRINHIYQTYDFVKKMREEYGKLNKVEMSIWECCELLNDVVDESDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTGLIHDLGKVLLHPSFGGLPQWAVVGDTCPVGCAFDKSIVHHKYFEENPDYHNFAYNTKYGVYSEGCGLNNVLMSWGHDDYMYLVAKENGTTLPSPGLFIIRYHSFYALHKSGAYKHLMNEEDVENLKWLHIFNKYDLYSKSKVRVDVEKVKPYYLSLIEKYFPAKLRW >OMP06125 pep supercontig:CCACVL1_1.0:contig05066:3421:3588:1 gene:CCACVL1_01700 transcript:OMP06125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCERLHFAIESAALLCYSVVEVRVVPSFINLNVLKESDSTVSLSIPTESANLNP >OMP06126 pep supercontig:CCACVL1_1.0:contig05066:8884:9156:-1 gene:CCACVL1_01701 transcript:OMP06126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQGFRKIDTDKWEFANEAFQRGKKQHLLKNIQRRKSPRSQQVGSYLGTSTEAGRSELEGEVEKLTKEKSMLIQEVVELQQQQSTANRT >OMP06127 pep supercontig:CCACVL1_1.0:contig05066:13687:14870:1 gene:CCACVL1_01702 transcript:OMP06127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNLGPNPSYVWRSIMEGRSVLKLGTRWRIGDGQSVSILNDTWVPGLPVERPNSMVPNVLDHGIVAELIDQEERTWKEELLRSLFDPNEVETIMDIPLSLQRIEDKLIWTESKLGVFTVRSAYHVAIIFLEREVTDMELRNLVLKLLWTANVIPKVKFFNWRPMHGFVPIRSILRSRHIEVEDECCVCGTVSLGFVVRNGMGDVVLGGISRVAGVESVLHAECMAIVFGMESAWEQGYNQVLVESDSMVAIEEIGKGKDSL >OMO95221 pep supercontig:CCACVL1_1.0:contig07715:28:288:-1 gene:CCACVL1_05489 transcript:OMO95221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAEQAWPIHKRQKQYICKSSGIRTGIQNLFMVATKKPISKKETRQSKFREENKQDRSIATGFNYKYFISRKKQNLIEPIQAFH >OMP11548 pep supercontig:CCACVL1_1.0:contig01168:835:2905:1 gene:CCACVL1_00448 transcript:OMP11548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbP, oxygen evolving complex MASVVSSSSLYCCYFSGSSCFDKKATVLNATISSSRRKQPILCCYNSFQYDEQKKKSCSICIEQVEEQEEGHNSTATTSGRRQLMLQAPLIAFSFPQLFWSCAFAATGNDMPQDFQVYTDEVNKFKIFIPQDWQVGVGEPNGFKSVTAFFPEEAAANSNVTVAITGLGPDFTRMESFGKVEAFADTLVSGLDRSWQRPPGVAAKLIDCRAANGIYYIEYTLQNPGESRKHLFSAIGMASNGWYNRLYTVTGQFVDEEAEKFGSQIEKAVSSFRFI >OMP11549 pep supercontig:CCACVL1_1.0:contig01168:3313:6199:-1 gene:CCACVL1_00449 transcript:OMP11549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAPVTEAAPPSSDSTLTSTPSHFKPPPHISTKSTAPPLKPDLPSTPTPTSTSHTPPPAQSSDADAINAPSYSRWFSWDKIDPCEVRFLPEFFDGRSPSRTPNLYLYYRNSIIKLFRKNPSRKISFTDARKTLVGDVGSIRRVFDFLDLWGLVNYSPAPTKPSKDNKSTEAISLDSPSSPALPSKQASSSSQRYCSACKSLCTIACFVCDKYDSTLCARCYVRNNSRVGLRDADYRRVEIADEVKADWSEKETLQLLEAVMHYGDDWKKVAQHVGGRSDKDCVAHFIKLPFGEEFLGHPSSNKAESGLETNKRMRLTPLADASNPIMAQAAFLSALAGVDSAEAAAQAAVTTLSGEDDSAASKGGHASLPRNTKREDVSSNGDTNINSLERECADVNSLLDKEERDIERAISGIVEVQMKEIQDKILHFEEMDLQMEKEWQQLEAMKNLYFVDQLNFLFRRRHALKSEERKADIVKIDVS >OMO91464 pep supercontig:CCACVL1_1.0:contig08339:16595:17621:1 gene:CCACVL1_07106 transcript:OMO91464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGFLRIPWFGVNPKRDSDSTLASTSSLVDGVNPRRDSDSTLASTSSLVDGVNIKRDSELTLASTSSLLEYHKQKASFEIRLWGWTLVTVPPRAVDGSNKIGAPTTINKGLRRRAQQRGVIEPNGGTTIRFRPYVCKVPWHTGARAFLSQLFPRYGHYCGPNWSSGKEGGSLIWDRRPIDWLDFCCYCHDMGYDTHDQEKLLKADLAFLECLERPHMSTKGDPLAHLYKTMCTAGLKNILIPYRRHLVKLQYGQPLIDFGWLSTVRRRNWIFQKT >OMO91463 pep supercontig:CCACVL1_1.0:contig08339:1867:1947:-1 gene:CCACVL1_07105 transcript:OMO91463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVGSRTGGDWLMVVMKGGGAGKR >OMO91465 pep supercontig:CCACVL1_1.0:contig08339:30413:30715:1 gene:CCACVL1_07108 transcript:OMO91465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MATNDDANDNSQQFQEENVAIPAKAAEHAKKVQKRPRPSLVWEEFETNNNENGVLMGTCKHCYKSYKADSYYGTSNFKRHLKHCPVLKKKSTRPGVEIDQE >OMO71856 pep supercontig:CCACVL1_1.0:contig11534:1344:1466:-1 gene:CCACVL1_18039 transcript:OMO71856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLKINSKTVLQVAMSFEHMRCNQDSRRKERQDNAQSEQ >OMO78679 pep supercontig:CCACVL1_1.0:contig10551:1536:2492:-1 gene:CCACVL1_14209 transcript:OMO78679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDIDGEVCCNQPLMGEWTLYFDGSATATRGGAGVVLVPPEAERAYEEVVSMAFKLDFQCTNNQAEYEALVLGLNTAKIIGVTELCIIGDSNLVVKQTNGEFALKKPTLAPYRELTRVMLDHFQSVRCEHSPRSSNRYADALATLASKIHIPGQKEEISLLVQRWSVSGPLAGMTEYYLGEVSKGTDWRTPIIEQLRERKSSNLRFLKNYTMIQEALYYRGPNGILARCISPEEAKERLRASHKQWCGMEGPPLYRRMQRAGYYWPTMSSDCANAQYACPRCSEPPDVNDCHFVGSVGDWRRPYIEYLKNGVLPTNH >OMO51532 pep supercontig:CCACVL1_1.0:contig15795:8648:16087:1 gene:CCACVL1_29737 transcript:OMO51532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEEERSPSPVVWVAEMAKCIASHGLGLPSTELGQVLVSHLFRTNTKTKTNSLWKFLHHALSSHLLSPLHVLSLLTCRVIPYRQSHPEAFRLYVELLRRFALSFDPSIPDDSKHKIVESVNVTLQLSQNYSAQVVELGHAFVLFFFTIITALIDCALDDWGLQMASLDVRNGAVATGSMDYQHMDIDPGGACHIERKQCQEHMRSINPFLAIEIVGQLTESIRAMVLLRLVYLNMPEKFNGLLHKLHFVEANNLVSSSLKSANQNLARLFANVKQIPSFEYQLKKHRFIGMLMDVGSCKPVSCCNFGPIQSACWVPFDIYMENAMDGKQLSVKSAIVLLTETIYTLRVFNRASWKETFLSLWLSALRLVQRERDPLEGPIPHLEARLCILLSIVPLAIANVFEDEAKLHSFQESRYEEGIDGKGYDATKQGLISALQLLGSFSGLLCPPASILAAANTAAAKAASYVSKNHMDGVDSSSAIETCLIAGGNMRHLIVEACIARNLIDSSAYYWHGYVSSSTVSSEPLPIKKSPWSSFMEGAPLSGHLVNSLLTTPASSLAEIEKLYHIALSGPAEEKPVAAKILCGASLSNGWNVQEHVVHFVVKLLSPPVPPGYCEPMNHLIDHMPMLSAVLFGASSIDTVHILSLHGVIPEVAAALMPLCETFGSLVPTPCSKSSTRDEPSSYLIFSAAFLFLLRLWKFYKPPLELGLTGGAMGGELTLEYLLLLRNSHIASQNPAAEDETDSNMDQFQFASDKPVYIDYFPKLRAWYCQNRSCIASTLSGLCSGNPVHEVANKIVSMIYWKMTKSGASQGNSSTPSTSSNCGSPISNAEDVYQIPILPAWEVLEAIPFVLEAILTACAYGRLSSRDLTTGLRDLVDFLPASLAVIISYFSAEVTRGIWKPVPMNGTDWPSPSAILPLIESEMKQILAAAGVNVPSCSFGTSLMLPLPIAALVSLTITFKLNKSLEYIHAVVGPALENCASSCPWPSIPIIGSLWAQKIHRWHNFIVVSCSRSVFRQNKEAIAQLLRSCFASFVGSLHNSTLSTNQSSVNGLLGSIIATAGDCPSVAPGFLYLRSCRTIQDIQYVNDVIIGLVAEYARESASRWTSKDTRSLKSGNSSLFFAASIAREVAMLGASLLCVSGGFQLVLELYRETIPTWLLSSKGDKLGKVSSVACIMEGYAMAYLLVMSGSFAWGVGAKTPSSATSKRACMVGVHMDFLARVLEGQISLGCNPATWRAYVSCLVGLIVSCAPAWIQQVKLETLRKLASGLRGWDEYELALSLLERGGVPAFGSVAELVNVIN >OMO51531 pep supercontig:CCACVL1_1.0:contig15795:3659:7133:1 gene:CCACVL1_29736 transcript:OMO51531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, UBP-type MSSSSSTVTGDDKFRQPETVIAGDFSASTMSTEAISFSSGNPRIEETRGVMHLFSNDAVSPLPVERKPLVSVLGVPNHMTYADFCQFCASFIHHIMQMRIVRNDGMDDRYSVVMTFESQDSADKFYHHFNNRQFNSLEEEVCRVLFTVDVQFTGYSGSVDCVQSPPASSTEQPSCPVCLERLDQGTSGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSTCFICQTSENLWLCVICGFVGCGRSKGGHAIIHWKETQHCYSLEVETNRVWDYVGDNYVHRLIQSKTDGKLIELKSHCVHANDGCGSCDCVDSGIDEDIFQAEIVNEYNELLHTQLENQKLYFETLLQQVHEETEREIADAVHRAIMQKGQKMQSKLERCLKEKKFLEDLNENLLKNQELWKAKLLEVEEREKKSLRMKDDKIQALQEQLSDLMAHLEAGEAVERLSISNETNDR >OMO51533 pep supercontig:CCACVL1_1.0:contig15795:21727:34677:1 gene:CCACVL1_29738 transcript:OMO51533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MECKGNEIGESESKKREEAEGKVPDNEEEDDDEEEGEEEEEDDGFRFKSGINPLDLVGDNASGLQIYQQFERLEYEALAEKKRKALADSDLEGPTKKARQEDISEATMDEIMQVINYGGRRKSRKPKKRGRRKGSRNKLSPEIVSMLGDATLHYANGRYKEAISVLNEVVRLAPNLPDSYHTLGLVHKALGNNKIAFEFYMLAGILKPKDSSLWKQLFTWSIEQGNVSQTCYCLSKAITADPTDVSLRFHQASLYVELGDHQRAAESYEQIQRLSPDNIEALKSGAKLYQKCGQIEHAVSILEDYLHGHPSEADLSVINLLVDILMEINAYKRALSKIEQAQVSYYLGKELPLNLKIKAGICQIHLGDTEKAEIFFSVLKFGEMHDQADLITKVGDSFMSLGHFSFALKYYHMLETVDGFDDATLHLKIARCYLSLKERVEAIKFFYKGLEKLENDIDARLDLSALLVEDAKEDEAISLLSSPNIDPNSDKPKPWWLNEKIKLKLCHIYRAKGMLENFVDTILPLVRESLLVESRQLKVKVKKRLRESVLFQRVKKVDDQQSDGVFCGSRPIVTPADRLKASRARKLLQKKAALKEEKKAAAIQEPVKEPPLQNLLRDEEHQCLIIDLCKALASLQRYYEALEIIKLTLKSGHNILPVEKEEELRSLGAQMAYNTMDPKHGFDCVKHIVQQHPYSFAAWNCYYKVISRMGKSYSKHSKFLRSMRAKCKDCVPSIVISGHQFTMCSQHQDAAREYLEAYKILPENPLINLCVGTALINLTLGFRLQNKHQCLAQGLSFLYNNLRLCESSQEALYNIARACHHAGLVTLAASYYAKVLASSEKDYPIPKLPNENWDVVENQNQGYCDLRREAAFNLHLIYKKSGALDLARQ >OMO51530 pep supercontig:CCACVL1_1.0:contig15795:3185:3271:1 gene:CCACVL1_29735 transcript:OMO51530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKANFYILLLTSLRSSHHALPRPEDQQ >OMO54386 pep supercontig:CCACVL1_1.0:contig15003:41044:55803:-1 gene:CCACVL1_27818 transcript:OMO54386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSGRGKSNKAKAEKKKKEEKVVPSVLDITVITPYESQVVLKGISTDKILDVRRLLASHVETCHLTNFSLAHEVKGKRLSDKVEVVNLKPCLLKMVEEDYAEEAQAVAHVRRLLDIVACTTKFSKPKKIRSQSSSSAPSDSKSKKNTSKPHQLNNIAPPAPSDGGGATTETTSVSAAISESMDMAAIHPTPKLSEFYDFFSFSHLTPPILNLKKCDLKDVEERRDGDYFGMQIKICNGKLIQVNASVKGFYTVGKHFFQSHTLLDLLQNLSQAFANAYESLMKAFVEHNKFGNLPYGFRANTWLVPPPVADSPSNFPPFPSEDENWGGSGGGQGRNGEYDLRPWATEFAILASLPCKTEEERIVRDRKAFLLHSQFIDVSIFKAVAAIQHVMNSRLNAKGTLNCNKNSILHEDHVGDLSIIVNHDLGDANLKPEVNVTRHQSSGMTAKEIAQRNLLKGITADESVLVHDTASLGTVVVRHCGYTAVVKVVGDVKKESCESKDIALDDQPDGGANALNINSLRLLLHKSCTAELTGGGQLHQSNLIDSEASKCLVQRVIKESLTKLEEKSVAPERSIRWELGSCWLQYLQKQETSTDGNSKGPDNDREKEPAIKGLGKQFKSLKKRDKKPSNVTSTIEEENDAGPCGMDVKSDFGHQSNGESSNEKELKSLISREAYSRLEESGTGLHLKSADELLKMAYNYYDDIALPKLVTDFGSLELSPVDGRTLTDFMHLRGLQMRSLGCVVELAKKLPHIQSLCIHEMVTRAFKHIIKAVVASVDKFEDLPAAIASALNFLLGNSIVEGNDQISDDDYVLKVRWLRKFLAAKFGWTLKDEFQHLRKLSILRGLCHKIGLELVPRDYDMECPEPFKTCDVISMYPVCKHVGCSSADGRTLLESSKVALDKGKLEDAVNYGTKALTKMIAVCGPYHRTTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIEMALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLKILQAKLGPDDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDATIASKGHLSVSDLLDYISPDQDSKGSDVQRKQRRAKVLQISDKTHDTHHDSVTDSDAILDVLDKFVDPEDSDAVGLVASIHPEEPEETNDITKIEPTVTSEVVEETTSDEGWQEAISKGRSGNAAGKKSRRKRPVLAKLNVRSSEYSNVRESSSRRAMISPVRKTASKNVAKEVLPVKQSKSRSSSPGGNSLSLQSSAPKGFPSPANLSAIASKSLSYKEVAVSPPGTVLKPSQGQVEESNGKTESQLCTIPPETIKVEDGKNTSVVDDVADDDGDETEGTHDSENPSEETVPESDKVSSCNQEKPVETKGSKLSASAEPFNPGALYMNHPINSVAVTSVYDVTASQGMLAEPVLPPVAARVPCGPRSPLYYRNSHSYRMKHGFPRYQTPIMEQSGFGPPRVMNPHAPEFVPSKVWQTPGSADSRSDELTLSEAMNAEVKEVEKKSMKEVKDSNLKKSSPEEKAELARQILLSFIVRSVKQNIDPTSQPAVTDKSLNHSANPSDAVKNDSAIIKILYGHEGKDLDSQSSSCEEALDVNKNKAGDGEGFIVVTKRRRNRQQFANGVTGLYNQQSICASVR >OMO54401 pep supercontig:CCACVL1_1.0:contig15003:153686:155522:1 gene:CCACVL1_27836 transcript:OMO54401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKKPITFFNGTNRIPSSKVIIPAAAASVAATAMLVHSFARDVVPHELRDYIFSKIRNFLVSTFSSELTLVLDEYDGLNQNHLFKAAELYLEPTIPPGTKRIRVTMPKKEGKISLSLEKNEEIIDNFNGVQVKWRFFSKTIPAKYMQGSNPYNPEIKTEILFFELRFHKKHKEMILKDYMQHILTKAKELKEKKKTLKLFTLSYDRMCGVRGGDMWQSVNLDHPATFQTLAMDSELKKNLMDDLERFVKRKEYYKKVGKAWKRGYLLFGPPGTGKSSLIAAMANFLNFDIYDLELTDIRGNSELRKLLISTGNKSILVVEDIDCSLELQDKIARASNSFRDPRMQQYPQYQLTLSGLLNFIDGLWSSCGDERIIVFTTNHKDKLDPALLRPGRMDMHIHMSYCTPCGFKMLASNYLEIQEHPLFLQIEELLKITQVTPAQVGEQLMKAEEPEIVLQGLIEFLERLSLDKVKKLQLMNHKQQKVGKEKIEQSKLGESKQTLIIQ >OMO54390 pep supercontig:CCACVL1_1.0:contig15003:88365:94492:1 gene:CCACVL1_27822 transcript:OMO54390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDSSFSPTDHQALSSSPNLHLTIEEGSQNSEQLFDEDGNELEMEGDDLEIEGDDVDIDSNGLGIDGNGLDIESNGLQNDCDQMLEIEDNHESNGVDTTAVDLENGMSQVKDYPPPLVGMEFESYDDAYNYYNCYAKELGFAIRVKSSWTKRNSKEKRGAVLCCNCEGFKTIKEANSRRKETRTGCLAMIRLRLVESNRWRVDEVKLEHNHLFDHERAQNCKSHKKMDAVAKRKVEPTVDVEVQTIKLYRTPVVDPVGYGSSNSLEGEFSENVDRSKRLKLKKGDSQIIYNYFSRTQLTHPNFVYLMDLNDEGYLRNVFWIDSRSRAAYGYFGDVVVIDTTCLSNKYEIPLVAFVGVNHHGQSILLGCGLLADDTFGTYVWLFRAWLTCMSGRPPQTIITDHCRAMQNAIAEVFPRAHHRLHLSHVVQSILENLGELQESGVFQIMLNRTIYDSLKVDEFEMGWDDLIRRFGIVDHAWLRSLYEERERWAPVYVKDTFFAGLCTFRNGESMSSFFDGYVHKQTSLEEFFDIYELVLQKKHKKEAVNDLESRDSSPMLKTRCYYELQLSKLYTNEIFRRFQDEVVMMSSCLSITQVHANGPVVTYMIKEREGEGDPRDIRNFDVIYDKAGLEVRCICSCFNFNGYLCRHGLCVLESNGVEEIPFQYILSRWRKDFKRLYIPDLGSNNVDIANPVQWFDHLYRRSMQVVEEGMTSQDHYMVAWQAFKESLNKVRLVADKHCPSSLRNFSRSLTRRPPSILISTSAPVTPFFNNLRLRSAAASRRPGRRRSRHTQMEMEEEEAHHLSHEQLAEGKCEGDSISELVCEPTELDLDGQNGLLEEGKKEFVAPAVGMEFESYDDAYNYYNCYAKEVGFRVRVKNSWFKRNSREKYGAVLCCSSQGFKRIKDVNRLRKETRTGCPAMIRMRVVDSKRWRVLEVTLEHNHLLGGKIYKSIKKMGSGTKRKTQSSSDAEVQTVKLYRALVIDAGGNGNSNSNAREVRNFSEHPNQLNLKKGDSQAIYNYLCRMQLTNPNFFYLMDFSDEGRLRNAFWVDSRCRASCGYFGDVIYIDNTCLSNRYETPLAALVGINHHGQTVLLGCGLLAGETAESYTWLFKAWLTCVSGQCPQTIITDRCKALQNAITEVFPKSNHRFSLSHIMKQVPEKLGGLRNYDAIRKTFVKAVYETLKVIEFEAAWGFMIQHFGVTDHEWLRGLYEDRAQWAPVYLKETYFAGMSAARPGESLSPFFDKYVHKQTPLKEFLDKYELALQKKHKEETLADIESRNSSPTLRTRCSFELQLSKLYTREIFKRFQFEVEEMYSCFSTTQLHVDGPIIIFLVKERVLAEGNRREIRDYEVLYNRTAGEVRCICSCFNFCGYLCRHALCVLNFNGVEEIPSKYILSRWKKDYKRLYVPDQGSNNVDVVDRIQWFNQLYRSALQVVEEGAISLDHYKVSLQAFEESLKRVHDIEEKQEQHTL >OMO54393 pep supercontig:CCACVL1_1.0:contig15003:101540:103578:1 gene:CCACVL1_27826 transcript:OMO54393 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA splicing factor, thioredoxin-like U5 snRNP MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDVTEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWAMKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >OMO54404 pep supercontig:CCACVL1_1.0:contig15003:160091:160372:1 gene:CCACVL1_27839 transcript:OMO54404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETEQDAATMVELPRQNNQKQAKQVEKEKGPFGTWMVAQPQRREKTKKKQSATMAVGETSVNNGLIFIKYRDSDCYSKNNNTVMLLTDATYE >OMO54398 pep supercontig:CCACVL1_1.0:contig15003:142533:146309:-1 gene:CCACVL1_27833 transcript:OMO54398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAQLGGSISRAIQQMSNATIIDEKVLNECLNEITRALLQSDVQFKLVRDMQTNIKKIVNLDDLAAGHNKRKIIQQAIFNELCKILDPGKPSFTPKKGKTSVVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPMDQQPELLQKLSEGNFTLRIMYDQFQNILKMGPIGQVFSMLPGSSAELMPKGREKESQAKIKRYMTMMDSMTNEELDSSNPKLMNESRMMRIARGSGRHIREVMEMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQNLMKQMGSAKDMMGMFGGGDK >OMO54395 pep supercontig:CCACVL1_1.0:contig15003:104877:107207:-1 gene:CCACVL1_27828 transcript:OMO54395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type METALVPVAPAVPVTPITPTDNNEPLSSEVQPNKRRRKKSIVWDHFTIEQVGDGCTRACCMQCKKSFAYITGSKLAGTSHLKRHISMGICPVSRQKNQQTPEAKTGNSTYEPRKRYRAASGFANIPFNQELCNHELAKMIIMHDYPLNIVEHPGFVDFARTLQPQFNMVSFNSIQGDCVSIYLKEKQRLLNFISEIPGRVSLTLDLWTSDQTVGYVFLTGHFIDADWNLRRCLLNVVMVPSPDSEGALQQAVVACISDWNLENRLFALTLDQSFSNENINGSLRALFSVRNPYMFHGQLLVGNCFARVISLLAQEALWTLGQSVKKIRDSVKFVKTSDTHEETFIHLREQLKVPSMKDIFIDDQTKWNTTYDMLVAACELKQVFLCLETSIPDYKIAPSLDEWKQVEILCTYLKLFFDAVNILTAPTYPTASAFYHEVSKVQLELTHAAMSNDPFISNLIKPLKEKFDRYWSDCFLVLASAVVMDPRFKMKLVEFSFTRVYGDDAGIWIKTVDDGIHELYLEYIAQALPPPETFVEGGNASISHEGNGGISHEGGGISHEENGGINHEENGGISHEENGAISHEGNGGIIPEENGGIIAEGNGGIISEGNGGIISKGNGGIIPKTEPPEEGLSQEVSHQETHLEEVAPQDHLITIGDGLSDFEVYISEISGGQPMKSELDQYLEESLLPRINDFDILGWWNLNKTKYPTLSRMAADILSIPFSTVGPDSVFDTQRKNMDNYRSSLRPVTLEALICAKDWLQYGASRDSSSFVKMEF >OMO54396 pep supercontig:CCACVL1_1.0:contig15003:123930:124271:1 gene:CCACVL1_27831 transcript:OMO54396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKMFLERARREGLAPPRTLRWGRRKPRKFFGFFIDPATSPVQGRLYDGSKSIKQSMKNMVLKWHIQNPTKEDEELFNGEEEEDDETEEKKRRQKTPYRGRRLVRLSEKQNH >OMO54387 pep supercontig:CCACVL1_1.0:contig15003:64781:69453:1 gene:CCACVL1_27819 transcript:OMO54387 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA glycosylase/AP lyase MPELPEVEAARRAIEENCLGKEIKKVIIADDSKVIEGVSASDFEASLLGKTIVAAHRKGKNLWLQLDSPPFPTFQFGMAGAIYIKGVAVTEYKRSVVKDDDEWPSKYSKFFVELDDGLELSFTDKRRFARVRLLKDPTSVPPISELGPDALFEPMTVDEFTESISKKKTAIKALLLDQSFISGIGNWIADEVLYQARIHPLQISSSLSKESCATLHKCIKEVIEKAVEVGADSSQFPSSWIFHSREKKPGKAFVDGKKIDFINAGGRTSAYVPELQKLSGTQAAKAGGKPRKQASKRKGGEDKDNDEDDKSDEPTSEEEETTKGAKSKKGGNTRGRSKKPPLKRKSEESDDENDGSEDGSNEDDEDEDEDAKKKARNGRNNKSKQAKTSNTTKRAVNNQNSKKPKKKAK >OMO54391 pep supercontig:CCACVL1_1.0:contig15003:96547:98535:1 gene:CCACVL1_27823 transcript:OMO54391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVSLNTDPVGDDDADGFEIEGDCGMSECIGQNGVIQGENPLPPAVGMEFESYEDVYYFYNCYAKEQGFGVRVSNTWYRKSKERYRGKLSCSSAGFKKKSEANRPRPETRTGCPAMVKFRLMENKRWRIIEVELEHNHLISPASGKFYKSHKHLGIGTKRALQLDAPEEVQKVRLFRTVIVDVEGNGTAEVSNGEFQNSDNQCNQLRLKEGDAQAIHNYFSHMQLVDPNFFYVVDLNEKGCLRNLFWTDARSRVAYGYFGDVVAIDTTCLTDKYEVPLVSFVGVNHHGQSVLLGCGLLAGETIESYAWLFRAWLTCMLGRPPQAIITDQCRNLQAAVVDVFPRASHCLCLSRIMQKVPEKLGGLYEYEAIRLALNSAVYYSLRPEEFEAAWEDMVHHHGIRDHIWLQTLYEDRKRWVPVYLKEIFLAGMFPTQPNEVVGSFFDGYLDRHTSLKEFLDKYDQALQANHQLEALADIDSRNAGFMLNSRCYFELQLAKLYTNDILRKFEREVEGMYSCFSTRQINIEGHIITYMVREQIEVEGNRETRDFEVFYNATEMEVLCICGLFNFRGYLCRHALSVLHQNGMEEIPPQYILSRWRKDIKRSHVLNHSSGGIDINNPVHRYDHLYKCIMQVVEEGRKSQDHFKDTVQALDEILSKLHVS >OMO54403 pep supercontig:CCACVL1_1.0:contig15003:158909:159591:1 gene:CCACVL1_27838 transcript:OMO54403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLPPKGQSGGDKSCRPPAQKRSRIEDPGEVAMAEISPQKWRLYPSKEACIQGAGSSTLTWEEAIGDDPDWDCYLPKDEQPNEGSLPEVIITAEEYKRLWEPWRKTLIVKLLGKTQAFNLLLSKLRSLWNLKAKFSLVDLGNDFYLVKVENPEAYLRILTEGPWVVGGYYLTVRKWKPFFNSSEETISYTSVWDN >OMO54383 pep supercontig:CCACVL1_1.0:contig15003:34221:34781:1 gene:CCACVL1_27815 transcript:OMO54383 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MHHHLADPTSPNTTFVQADPSTFRTIVQQLTGVSDDSSAQKLPLTGHPPARPAGSSDAVAAMGPKKHVFKLHERRQTMKKLEIKLNNHNSINIGKNESPSDFLFVRRKGFLVSPVSTLDFWSRVSPTSVGGSENLRSPAEEEEERAIAEKGFYLHRSPLSTPRGGAEPELLTLFPLTSPRESDEHN >OMO54388 pep supercontig:CCACVL1_1.0:contig15003:75483:75887:1 gene:CCACVL1_27820 transcript:OMO54388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MDDDEEPTPSDPLLRSQIEATVYRYLESRGLRRRQLGDQFEEIGSSSNDDHHGMVPADESSIKNMTLNNKVIICKEEISDQQDCCAVCLEQLDSVGSEVSQMPCSHQFHTACILTWLNHSHYCPVCRYVMPTPP >OMO54385 pep supercontig:CCACVL1_1.0:contig15003:39669:40513:1 gene:CCACVL1_27817 transcript:OMO54385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MGLVKRGVVFLMMMMMVALHGVSRAAEYKVGDSIGWTVPADGFSDYKNWAAQHRFYPGDVLVFVYNMQFHDVQQVHVEDFKSCNSKSPITSFNNGTDNITLSTSGEYYFLCSYPGHCPAGQKIHITVNSAAQSPSHNASPAPPNNAAQSLHAFKHS >OMO54397 pep supercontig:CCACVL1_1.0:contig15003:135969:141791:1 gene:CCACVL1_27832 transcript:OMO54397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYAHDLSLFEFANFSDNLILDHHSLFPLRDDFNGRDYGDDDDGSERASAANRRSPPKHRHDGTSPLPLGMDWSTPPRNWDGRDTVWPHNPHSGWSYCATIPSWTLQPKSRGLDPVVFYRVQVGIQSPEGVTAIRGILRRFSDFLRLLSELKKIFPKKNLPPAPPKRILSLKNSSLLEERRCSLEDWMEKLLSDIDISRSASVATFLELEAAVRSFFDDTNQSDAVSSISDVVPSYLSKTNSDVSVNIGCSIASHNNASSEEISELGTPRHGKYGFADPSMEPSSSEPTVIEPTRKIIKHGIFNKENLENVSKKKMQSGREIDIAGGRLNENTSDTGFFCGDGAEHLPELEYCKMDGHVRRLSTESNGSDLSSVRASEISNLGVASLFGDGVLDLPENAEAPGNLNPLSSDLQFHRDLLVFQSDKRHKLKRVINTLQRRLATAKADMEDLIARLNQEVAVRQFLETKVKDLEVELETTRENCDENMQQAVLLERERFTQLQWDMEELRKQCLEMEMKLKIEQDEKERLESAKLLIIQESQKLLQEVDATREQLENLHKHHEELEMKSKADVKVLVKEVKSLRSSQSEFKQELSHVMKEKLELERLLQKEKQRMEHANAANTKLLRECNLLRDRLQECSVNFLSEEEDKLIVDTSSPSDALDLLTTSDNRIGLLLAENQTVFSTDFFKHGQAQLLAQDVENSVARSDESHNTKDSDRRTDDELRKMLTDIFVDNARLRKQVNTVLRCALNTYVKSDEDEEEDEAPLRKTVLSKFL >OMO54402 pep supercontig:CCACVL1_1.0:contig15003:156207:157202:1 gene:CCACVL1_27837 transcript:OMO54402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASATGSGAPKLCYSKPSRRRLNPATKLKSTTKFLIDSKSCSSSTSFQRSFPIRAVDSQTEEENPSFDEPNTSFIPQEDLNYLWKLGAGSIIGAAIIKYGSIIFPEITRPNIILALIMISAPVVIAVLLLIKQSRVKQ >OMO54400 pep supercontig:CCACVL1_1.0:contig15003:152387:152742:1 gene:CCACVL1_27835 transcript:OMO54400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKSKQSGSSNQQSMRQQSTTTFFVPPRHVQVVNRGKESEYVIARPAGNVQNFTTIRKLKEDARKRINDRAVGTSNVREVPTQESRNP >OMO54389 pep supercontig:CCACVL1_1.0:contig15003:84000:87892:-1 gene:CCACVL1_27821 transcript:OMO54389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MASTLQLSAQLLNLQAFSASTRRVQIKTQRAAKLVTRASSNSDDKSKDDKGFTPFGFVTDNPSSRSAIQLPESPAEDGNVGQMLYRIEDKGKEYGSYIKSGKLRWFVRETGSPQSRRGTVVFLHGAPTQSYSYRVVMSQMSDAGFHCFAPDWIGFGFSDKPQPGYGFNYTEKEFHEELDKLLDVLGVESPFFLVVQGFLVGSYGLTWALKNSSRISKLAILNTPLTASSPIAGLFQKLRIPLYGEFTSQNAIIAERFIEAGSPYVLKLEKADVYRLPYLASSGPGFALLEAARKINFRDISSQIADGFASGRWDKPILVAWGIADKHLPQSVAEEFQKANTDSVELKLIEGAGHMPQEDWPEKVVDALRRFF >OMO54384 pep supercontig:CCACVL1_1.0:contig15003:38015:39216:-1 gene:CCACVL1_27816 transcript:OMO54384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation release factor pelota-like protein MKILSHRKPVANGAGTVKMIPVESDDLWVVYNLIAVGDKIRAPTASKAAGSSTGDVAVILMQEGLANLLLVDQFLRHLLSEAQRRNLKSITENKSKIILAHSSSGFKHSLKDLMDDPSVRNMIKDTKAAMEVRALKEFFAMLSKDQNRVCYGTKHVEIAHERLAVQTLLITDELFRNSDVLMRQKYVKLVDSVKDAGGFVHIFSSMHVSGEQLAQLTGIAAILRFPLLELEDIEM >OMO54392 pep supercontig:CCACVL1_1.0:contig15003:99859:100818:1 gene:CCACVL1_27824 transcript:OMO54392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Checkpoint protein Hus1/Mec3 MKFKAFLTEHGVSLLEKRFLPALDKMGKICHLFLTRDHAIFLHNLLNSDGVQCIAQFRKEALFDDYRISSQNEDRIAFAIDVSLLLRAVRSSVSICSEFGNGPSANRLQIKLVKKLPPNCTQPMPFLTFETKGYKSAVIQDVPISKPLSRAQVLELQTALDTAQDLPHTLVQVPDLNQLQNFVERMKNVGDLLNVSISKYGDLHVQISTTLITLGAEFRKLLVIGEQAEAPSEDRNLSAQTRSERAISRGDAQSVQVSVKHFSRSLQCHLAKPDCAFYGIAPLGACLTVIFQFFIPGTHQTDKSISLHCRLPVLDPGSN >OMO54394 pep supercontig:CCACVL1_1.0:contig15003:104249:104323:1 gene:CCACVL1_27827 transcript:OMO54394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQECTSRESNPGLYRGRVLFYH >OMO54399 pep supercontig:CCACVL1_1.0:contig15003:149534:149872:1 gene:CCACVL1_27834 transcript:OMO54399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAETEKDAANDDYDYLNWFPHIVPDIVEEPPPMKLVYHHGGGFIAEPKLSYNGEVRVFDWFDVDKLCTWRLLNIAMSIGYKMEDIERLQYCDNDDALETGLKELDGDEAV >OMO54535 pep supercontig:CCACVL1_1.0:contig14967:5084:5849:-1 gene:CCACVL1_27752 transcript:OMO54535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSHNKRILEDESKRNDRRTDVKDGWRSLLGCNSRDKTL >OMO54536 pep supercontig:CCACVL1_1.0:contig14967:12147:15193:-1 gene:CCACVL1_27753 transcript:OMO54536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTSGGYTAGESAANLPFTAITNGVCPDTPKSSLLFTDLCHQTLKTSSENMNLKARNLTLLCPANRPGDNPSKLRCYNP >OMO53358 pep supercontig:CCACVL1_1.0:contig15222:69438:94322:-1 gene:CCACVL1_28700 transcript:OMO53358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSTTPAAAAAPKSDAETEELLDRMLTRLALCDDSKLQPLLSKLLPLTISSLSSSSQPVRNKVLEILSHVNKRVKHQPEIGLPLPELWTMYIDANATPMVKNFCIVYIEMAFERAPLKEKENMSPMLVVNISKLPQQHQEILMRIVTKVIGECHASRIDDEVVVKYKLVNDSQDRDLFLEFCLHTILYQPTTQGGGSPPGLSIAQANRVAGKVPLKGDMLLTRKLGILNVIEAMELSPELVYPLYVASSADSHEAVVKRGEELIKRKASGANLDDPRLISSLFLLFTGTTSAENTAVDLRVNPGNAALKVKLMAVFCRSITAANSFPSTLQCIFGCIYGTGTTTRLKQLGMEFTVWVFKHSKVDQLKLMGPLILNGILKLLDGYSSSESDSVARDTRIYSFQAIGLLAQRLPQLFRDKIDMATRLFDALKAESQSLRFIIQEATNSLAAAYMGASAAVLMGLEALLLNNCQVEQSEVRFCAVRWATSVFDSQHCPSRFICMLGAADSRLDVREMALEGLFLGKDIGRTINQNMDHRYPKLGDMLEYILKQHPTLLDSYEMREQKLLFPSKTYVAMIKFLLKCFESELAQNNSLGRSSEFLSSVERLCLLLEHAMAFEGSAELHSTASKALVTIGSYLPEMVASHFASRISWLKQLLNHVDMDTRESVARLLGVASSCLPVDASSGLICELVASLGGTNKRFEAQHGALCATGYVTADAVSKSPSISEELLQSTLKCLVDVVNSENATLASIAMQALGHIGLYGPLPLLVSESSSGIILEVLNEKLSKLLSGDDTKAIQKIVISIGHMCVKETSTSHMKIALDLIFSLCRSKVEDILFAAGEALSFLWGGVPVTANVILKTNYTSLSMTSNFLMGDLKLSLSKYSSDEKSEGSEDCRIMVRDTITRKLFDSLLYSTRKEERCAGTVWLLSLTMYCGHHPTIQQMIPEIQEVFSHLLGEQHELTQELASQGMSIVYELGDASMKKNLVDALVSTLTGSGKRKRAIKLVEDSEVFQEGTIGESLGGGKLSTYKELCNLANEMGQPDLIYKFMDLANYQASLNSKRGAAFGFSKIAKQAGDALQPHLQSLIPRLLRYQYDPDKNVQDAMAHIWKSLVADPKRTIDENLDYIFDDLLTQCGSRLWRSREASCLALADIIQGRKFDQVGKHLKKIWLAAFRAMDDIKETVRNAGDKLCRAVTSLTIRLCDVSLTEASDARQSMDIVLPFLLAEGIMSKVDNIRKASIGVVMKLAKGAGTAVRPHLSDLVCCMLESLSSLEDQGLNYVELHAANVGIQTEKLENLRLSIAKGSPMWETLDLCINVVDSKSLEMLVPRLANLVRSGVGLNTRVGVATFINLLVQKVGVDIRPYTSMLSRLLFPVVKEEKSTAAKRAFAGALAIILKYATPSQAQKLIEDTAALHTGDRNSQISCAYLLKSYSSTALDVLSGYNTVIIPVIFLSRFEDDKHVSGLFEELWEESTSGERITLQLYLGEVISLICEGITSSSWASKRKSAQAICKLSEVLGDSLSSYHHVLLESLMKEIPGRLWEGKETLLHAIGALSKSCHEAITKEDPVLPGTILSLVSSACTKKVKKYREAAFFCLEQVIKSFGNPEFFNLVFPMLFDLCEAASPNKTGRVPFASETTKAESGDAEDVSVPIDKLMNCITSCIQVASVTDILEHKKKLMDAFLISMSPGFQWIVKMSTFSSVKELCSRLHTSLDEFQETSLYAGIATFVEEIFLSVSPKVVECISTIKISQVHIAAAECLLEITELTGGISAANSTDVGIKGEVLHLLEIEKNEQAKSLLRRCINALEKLEQSSEEELLVVVGGGAAGVFGAIRAKTIAPNLNVLVVEKGNLLSKVKISGGGRCNVTNGFCADNLVLADHYPRGHKELRGPFFNMHGPVDTMSWFVDHGVELKTEDDGRVFPVSNSSSSIIDCLLSEAKRRGVSLQTGKVVTCASVGAGGKFLLKVEKRTLNFMELLEVDYLLIASGSSQQGHSLAVQLGHSIVDPVPSLFTFKIEDSQLTELSGVTFSKVIVKLKLENMKRNVPQLTQVGPMLVTHWGLSGPAILRLSAWGARYLYSSDYKGKLVVDFVPDLHIEDLKSMLSQQKKRFAKQKVLNSCPTELGLVKRFWKYILDREGLVGDTLWASVSNNALISIAQLLKHCTFEVKGKGQFKDEFVTAGGVPLSEIHLNTMESRIQPNLYFAGEVLNVDGVTGGFNFQNAWSGGYIAGTSIGRKAGTGSLEGAI >OMO53356 pep supercontig:CCACVL1_1.0:contig15222:63977:66437:-1 gene:CCACVL1_28698 transcript:OMO53356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEREFQRLLELFPVVRPRDYNLEDRQDASNNGERKELENQGTDQHDGFWRKLKVTAESKVSEGLSSDAAQKFLNSQTAEE >OMO53351 pep supercontig:CCACVL1_1.0:contig15222:16983:23403:1 gene:CCACVL1_28693 transcript:OMO53351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIKNEIATMKLIKHPNVVRLFEVSLSLSLSSLSLCVMGSKTKIFIVLEFVTGGELFDKIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFDDSNLMTLYKKISAAEFTCPPWLSFSAMKLITRILDPNPMTRITIPEILEDEWFKKDYKPPVFEEKEDTNLDDVEAVFKDCEEHHVMEKKEEQPTAMNAFELISMSKGLNLGNLFDSEQQGFKRETRFTSKCPANEIIHKIEEAAKPLGFDVHKKNYKMRLENLKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTCLEDVVWKTDDDMQEVK >OMO53353 pep supercontig:CCACVL1_1.0:contig15222:55120:57391:1 gene:CCACVL1_28695 transcript:OMO53353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHYSDFSSHEEGSRRGNMRENSHLKKGPWTSAEDAILMDYVKKHGEGNWNAVQKHSGLSRCGKSCRLRWANHLRPDLKKGVFTPEEERCVIELHARLGNKWARMAAELPGRTDNEIKNYWNTRIKRLQRAGLPIYPPDVCLQVNRSQEESHNAASVPAGDSYASNLLQADAFEIPRVEFENLELNQRFLSYTPGLLDIPANNTMLKQVAGSPCSYGLMFPIARPNKRLRESVSNYLSTPNQLTENCYKKHLDEPFKLSSLYDSVLSTNEQSSFGIPPSSNSLLGSNLSPSEPMSGPNKLELPSNQYSDTQQDSWGNPPFPQPLIESVDTLIQSPPMEQASDGLTPQNSGLLEAVLYESQKFSKDDSCQQSSTASVLDDIGNHSHKQREMEYEAHCDSNSPLAHSAASVFSEYTPVSGSSSDEHQFVDSILGENILHPINLMACTFIYININTILTLHAGCNGKTETSKQTAVSGIDDFLGTGQFGHINGCAGNKPIVTDAIAALLGEDNCCGY >OMO53350 pep supercontig:CCACVL1_1.0:contig15222:4285:11702:1 gene:CCACVL1_28692 transcript:OMO53350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPWRIIGDGTSVKIMEDTWVPTLPLEKPSYIAPNMLAYGTVVELIDHDERCWKEDLLNSLFHPNEVEAILDIRLSSSRVEDKLIWAASKMGMFTVKSAYHVAKIFLEHEVDEVELRNPLWKLLWTAKILPKFNRNLCLLEGKCMLSTVLVRKVELLVKEYEEGLQNIYGEKMLPRSRVSSCWKPPRQGVLKLNCDAAFNQHSGITTLRVVLRDVAGSIVLGGVSKIEDVDSVLHAECLAILFGLEVAWEHGYTSLLVESYSKQAIEEIRKGNNSLWMSASIIHDVLHLSAQFEFISFDHVNREVNGREELKVTEIRKKNKGGRDGVQKEGDDEKDDKEGGGERLGTPSEGATPKMHSRQQSRHESCQRRPLRWPAHPVRQTGLYVFSSFAIIVYLIKSINFWNLADADMEDYGFEYSDEEPEEQDVDIENQYYNSKGLVETDPEGALAGFAEVVSMEPEKAEWGFKALKQTVKLYYRLGSYKKMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFGLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMNKILKELHKSCQREDGTDDQKKGSQLLEVYAIEIQMYTETKNNKKLKQLYQKALAIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEIIEFEKILKSNRRTIMDDPFIRNYIEDLLKNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEQLLVSLILDNRIDGHIDQVNRLLERGDRSKGMKKYTAIDKWNTQLRSLYQTVSNRVY >OMO53355 pep supercontig:CCACVL1_1.0:contig15222:61407:63018:1 gene:CCACVL1_28697 transcript:OMO53355 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like protein MARRLIPSFNRILVEKIIPPSKTNSGILLPEKTPKLNSGKVVAVGPGARDRDGKHVPVNLKEGDTVLLPEYGGTEVKLGDKEYHLYRDDDILGTLHD >OMO53357 pep supercontig:CCACVL1_1.0:contig15222:67343:68814:-1 gene:CCACVL1_28699 transcript:OMO53357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKDKHKQQMDGGVDDRLNVRDIMKDIEFLGSSHMTWKERKQLENKKVVSLGGKPPKKQRLPLSVARAVMKNQKKREEKMLKENMILGQFRGKLGGGAKRSEEKRRPEDRVLRSSEGNFKNGVLNVKHFFQKSPAQDNDSGGQAIHKGKKKKGGGKKNRGKKKGGGGGGRKRH >OMO53354 pep supercontig:CCACVL1_1.0:contig15222:58387:60945:-1 gene:CCACVL1_28696 transcript:OMO53354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATNYESVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGIILGGYDRDGPQLYMVEPSGISYKYFGAAIGKGKQAAKTEIEKLKLSEMTCREGVLEVAKIIYKVHDEAKDKAFELEMSWVCDESKQQHQKVPDDLLEEAKAAARAALEEMDAD >OMO53352 pep supercontig:CCACVL1_1.0:contig15222:26441:30572:-1 gene:CCACVL1_28694 transcript:OMO53352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 26 MNYLVGAFKPPCNIFISFADGRSRKQVPLKKENGKTVMVALFQSQENIVGEVVIEPIHGKKVEHNGIKIELLGQIELYFDRGNFYDFTSLVRELDVPGELYERKTYPFEFSTVEMPYESYNGVNVRLRYILKVTISRNYVSNIVEYQDFVVRNYTPPPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIVGKIYFLLVRIKLKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITVYRLLESS >OMP05591 pep supercontig:CCACVL1_1.0:contig05313:4994:5116:-1 gene:CCACVL1_01863 transcript:OMP05591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARQSRANYYAACDTKRKRSNQMLTVIWRATTTKAARSL >OMP05592 pep supercontig:CCACVL1_1.0:contig05313:5712:5981:-1 gene:CCACVL1_01864 transcript:OMP05592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKRTGRSTTSHSKQEEQEQVDRPHHIQSKKNKNKSIDHIAFKARRTRTSRSTTSHTKQEEQEQVDRPHHIQSKKNKNKSIDHITFKSKK >OMO91596 pep supercontig:CCACVL1_1.0:contig08321:10876:14026:-1 gene:CCACVL1_07068 transcript:OMO91596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding Lysin subgroup MPNRKPSLFSFFKALFFMVLANVALVTSKSTIEPCSNSDSCNALLGYTLYTDLKVAEVASLFQVDPIAILTANAIDISYPDVENHILPSQLFLKIPILCSCVDGIRKSVSTKYKTRPQDTLASIADSIYAGLVSADQIREANSIADPSILDVGENLVVPLPCTCFNNTDNGLPAIYLSYVVKPVDTLAGIAARYSTTITDLMNVNAMGSSSITAGDILAVPLSACASNFPRYASDHGLIVPNGSYAITASHCVQCSCGPGNRNLYCMPASLAVSCSSMQCKSSNLMLGNATVQQSSAGCNVTSCSYGGYSNGTILTWLSSSLQPRCPGPQQFPPLVAPPTYVTRDSLFAPAPAPQSDGATTTVPRTSTVPSTGLLPGLPPAGAPIGSFSDASSLLNSVATLPIALMIYLLINLISPLSL >OMO92801 pep supercontig:CCACVL1_1.0:contig08168:19490:22248:1 gene:CCACVL1_06743 transcript:OMO92801 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSVSGSPTTPITDRSTSLMDNLLGLLRIRVKKGVNLAVRDVRSSDPYVVVKMGRQKLKTRVIKKDVNPEWNEDLTLSITDPSLPIKLTVYDHDTFSKDDKMGDAEFDIKTYIEALKMNLAELPSGTIISKVQPSRNNCLAEESTIHWADGKVVQDICLRLRNVECGEVEIQLQWIDLPGSRGL >OMO87029 pep supercontig:CCACVL1_1.0:contig09358:1404:6080:1 gene:CCACVL1_09307 transcript:OMO87029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C14, caspase catalytic METARIVCQRCRQKFSASSNAETVPCPHCRKLNPNQTENKRISTSRDHGGVSQKIKKLLCGDRIQQQPPVGDSRLRSLPAASKNNSKSKVCGLDYRGPWAKKRAVLCGITYKKWKYKLKGTINDVLNMKDFLIDIFGFREENMVILTEEQTDARLFPTKANIEYCLKWLVKGSQSGDSLVFYYSGHGLRQPDFNNDERDGFDETICPVDFLKEGMILDNDIYAMIVKPLTQGVTLHAIVDACHSGTILDLERVYDRQQGKWIDNRPPSGVRKQTSGGKAYCISACEDDQVAADTSAFNSKTMNGAMTFILIEVVRENLNVTYGDLLDEMQERIEKVNKQGCSGNSRILSRIFGPNLTQGLPPSYVSIGTFASNQKPSPTFSKLWAMLLNHEARLENVDSIPTKHSTNSLGDHSTIIKSSYHLLLRPIPTLLVLLNRYQMHHEGEVAVREANEDVVFLTTINHLGWRYLNLEPGEVVVFLDHLHYFDLNLILNSLAIAISVVLLVMLWLSVLMHLYRTLHLNLLVSPLCLIQSVLVYGYRCLFSYDTGASSHMTNSLGNLSFLSPYNDSANVTITDGSPMPINSNGNMTLAI >OMO71934 pep supercontig:CCACVL1_1.0:contig11524:4:1499:1 gene:CCACVL1_18018 transcript:OMO71934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHDCHVFMQRLIPIAFREMLPAEVWGPLTELSLLFRIICSSALDINKLLDLEDKAAIILCNLEKIFPPAFFNSMEHLIVHLPYEAIVGGPVQFRWMYPFERFLKQLKKTIKNKSAVEGSICEAYIAYEINTFSLHYFELDVRCTSRRPRRNDEVVNDPSKPPFSIFNYPGRFHGRPRVRMLNDEEKKVAHTYVLRNCPEVDPYLEMFVDYLKHEGHTSDEIDQGIESLIGSNSMENAVTDPLLHTLAWGPSNKATSWPAYLVNGYNFHTFVHGQGKTTINSGICVRGSDANDPSHDFYGILKDVVQIEYCGSTFSMNVVLFEGDWFDPINGMKVHPLYKLVDVNRKKFYRKYDPFILAQQAIQVYYCHYPSMKKDKVDWMVVCETKSRRVIDSASKEESD >OMO71940 pep supercontig:CCACVL1_1.0:contig11524:46816:54925:-1 gene:CCACVL1_18025 transcript:OMO71940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component Sec10-like protein MKERSKSSSASNLSLILDIEDFKGDFSFDALFGNLVNELLPSFQEEEADSADGHGIGGTDALPNGHIRGSSDATKFAQGLSAPLFPEVDALLSLFKDSFRELVDLRKQIDGRLYNLKKEVSTQDAKHRKTLMELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIARGVPSVVGSASASRGLEVAVANLQEYCNELENRLLSRFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVIGEQSSQASPSNVARGLSMLYKEITDVVRKEAATIMAVFPSPNDVMSILVQRVLEQRVTALLDKLLVKPSLVSPPPVEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSAHKDEYPELEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGAAVASSHQQISVAVVTEFVRWNEEAISRCTLFSSQPATLAANVKAVFTCLLDQVSQYITDGLERARDSLTEASALRERFVIGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAIQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERLLSAEQKPTDYRSPDDGMAPDHRPTTACTRVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPTVDEKFELLGILANVFIVAPESLSTLFEGTPSIRKDAQRFIQLREDYKSAKLASKLSSLWSS >OMO71945 pep supercontig:CCACVL1_1.0:contig11524:79192:80454:-1 gene:CCACVL1_18030 transcript:OMO71945 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MGVETTVAAAPAAGDQAALKKNRIQVSNTKKPLFFYVNLAKRYIQQHNEVELSALGMAITTVVTIAEILKNNGLVVEKKVLTSTVGMKDENKGRVVQKAKIEIVLGKSDKFDMLMNAANNNNVAPETPPKDPKDTKDKE >OMO71944 pep supercontig:CCACVL1_1.0:contig11524:72408:77255:-1 gene:CCACVL1_18029 transcript:OMO71944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDQGYVEQGEEQGYVEQGEEQGYVESGEEQLQETENLEHNLDLEQNPNLEHDLNLEQNLNLEHNINLEQNIDVEQNINLEENQEENLEEHNLEQNLQLESQHQPKQEHEDEAVAGGGEKKWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSGKEEPDSSLPPAMDGLLRVHKRIIDGLEGDSSHGNMAAGTKVSTRLLVPASQAGSLIGKQGTTVKSIQESSNCIVRVLGSEDLPVFALQDDRVVEVVGDAAGVHKAVELIASHLRKFLVDRSIIPLFEMHMQNPQMDHMPPHQSWGPPQGVPPNAVGGAGFGHNPQYMPPPPRQHDSYYPPADMPPPMEKQPHQGISAYGREAPMGGHASSNPQSAPSMITQLTQQMQIPLSYADAVIGNAGSSISYIRRISGATVTIQETRGVPGEMTVEISGTASQVQTAQQLIQNFMVDAGSGQQQPGGGADQAYNPYAAHSSVYPSPPSNPGHAGHAGGYGSVYGSNYGY >OMO71937 pep supercontig:CCACVL1_1.0:contig11524:29383:30136:1 gene:CCACVL1_18022 transcript:OMO71937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVERDTLNPGDHIYSYRQMHAFSHHGIYVGKGTVTTLNNEEVEISDAVIHFMGLGKFSNQTPCEICGHIPGRATGVVITCLDCFLQRHSLYVYRYDVSYLKLRFKRSGTCSTNPSEAAEEVVETAFDYLKNQSFGKYNFFFRNCEDFATYCKTGEAQSNQSAGFIFGFGLGGLFGYNVIKALYEGSSDQDN >OMO71939 pep supercontig:CCACVL1_1.0:contig11524:33346:34555:1 gene:CCACVL1_18024 transcript:OMO71939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKVKQKIEKGKRIFTHRKDSCRVKPGDHIYSYRGFGSYSHHGIYVSEDCVIHFIPTASDHDINGVSGTEEEEEEESAPCPKCGYQHNVHLGVVKSCLDCFLSHGAHLSESLHVYEYEESSTLKKLKRAGSCSTSKSFPPETVVKLATALHQENCFGLYNLIDNNCEHFATFCKTGIRSSEQVHSVMNKPIIPILVNLIKNATSTLANADRSMLQN >OMO71935 pep supercontig:CCACVL1_1.0:contig11524:3412:7548:1 gene:CCACVL1_18019 transcript:OMO71935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MAKSKQGDGSKTDPKKDKEKGKRKVNPQPPPPSAPKKTLRMPPAMNGAVKTRGTATASATSPPANLHPLQDHDEEEEQEEDEMGEEGVDRDGEDPGVPPLEISNDMLYGYDFHFRRIFTGTIEGYYCGAWPGWSFIPRDAAWDIIRAYWRSPQFKALQEQNKRNRNADGFASTSGYHGGRISTYTHRQRYIIEKKKKPTRLQLYERTHGKKNRSIPPGRTAITIEKFKDALQRAKDAARGDREALQAIDEDAIFDDVAGGTKGRRLGLGNIARAERCGVVDPCSSLTQENQELKETIRSLSQDYEATKAKQIQSDQKLDKMQEYLQTMCAALAQQNIHFPPLRILEQVSESSGTTRQQPQQPGPVENSPDKDNNIQDEDLEAHDEENNIGIYVGNDKVIHLLGKNKKTSDDSCRCDKCGFKHEGEGIVETCLDCFLQGHSLYRLDYVSFSFKNLYLYCNWNWNWYWNWKNYKSSRRGDGHFVIFKSKPADKVVEIAYDILQKQNFGKYNFLLNNCEVFAFFCKSHSSMRISLQVMMPWNCLRKSTPASSSLNVPDRVNEQFVRYWSRKAIML >OMO71936 pep supercontig:CCACVL1_1.0:contig11524:26388:26447:1 gene:CCACVL1_18020 transcript:OMO71936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGHGKGTPGGRLRHGRA >OMO71942 pep supercontig:CCACVL1_1.0:contig11524:67358:67879:-1 gene:CCACVL1_18027 transcript:OMO71942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLNNEEVEINDAVIHFMGVGKSNNQTPCQKCGHSSRRIGVVITCLECFVEGHSLYVYQYDVSYLKLRFKRSGSCSTKPCKAADEVVETAFDLLKKQSFGKKYNFLFNNCEDFATYCKMGVAQSNQLAGMVFGFGLTGLVGYNAAKGIYQGIDEDQQIVFMGKVLGKKSEGD >OMO71938 pep supercontig:CCACVL1_1.0:contig11524:31312:31404:1 gene:CCACVL1_18023 transcript:OMO71938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEWMELKDPAAAAYFRKGQISKVQRSI >OMO71941 pep supercontig:CCACVL1_1.0:contig11524:60735:61763:-1 gene:CCACVL1_18026 transcript:OMO71941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MYGPLHLTSVDWNNEHHRRSVAASLAYGVYILESDRQRKHRRSELLAPPWWEFFYFKLLRQLVDDEDDDHFTIFGAIFEYKKSNSFDRSPHYVIAFRGTLMEPDSFVRDLELDVQIILNGLRKTNRFGIAMKAVQDMVDLIGDCSRVWITGHSLGAAMATLAGKNMAKKGKFLEAFLFNPPFISAPIERIFKDKKLNHRIRFAGSVFTAGFAITSALNGDERFKDNNNWFSAISGWIPWVFVNRVDYVCSEYIGYFEHRKKMDEIGFGAGAIVKLAAKYSLGGFVMSMAGIKGVEIEEPLHLLPSANLIVNLNPPLKFLQAHGLCQWWRPDLNLKCTVYKYK >OMO71943 pep supercontig:CCACVL1_1.0:contig11524:69649:71789:-1 gene:CCACVL1_18028 transcript:OMO71943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSFLEKKGFGKGYHPVYNNCEDFEPSKGSSPACQQCGYDRNCSGRVIKTCLDCFLDGHNIDFHNYGGLSLGFIRERSKSAEEVVKMANYFLQANAETYKSIAKNCKDFAVYCKTGKAFIIDEQLALLHTTGSGPTYLGGFRDYY >OMO64092 pep supercontig:CCACVL1_1.0:contig12866:30300:31481:-1 gene:CCACVL1_22055 transcript:OMO64092 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthetase METFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRSIGFVSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGAKLTEVRKNGTCPWLRPDGKTQVTVEYYNENGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCLVQVSYAIGVPEPLSVFVDSFGTGKIPDKEILQIVKENFDFRPGMITINLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQS >OMO64091 pep supercontig:CCACVL1_1.0:contig12866:16146:16550:-1 gene:CCACVL1_22054 transcript:OMO64091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVIGEETRLQLAEDRLSQSSLPAQVGLVIGKLSSTFDRSRGFVFDLVPTPLNDAGQPACFVLEPAKSDREKGSKPKSHTSDSSSSLVIDKDWVAEHARQ >OMO64090 pep supercontig:CCACVL1_1.0:contig12866:6504:6772:-1 gene:CCACVL1_22053 transcript:OMO64090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MARSTTDRQVACVCAKQSAARIPAIREDDAASLPAKCHLPVDFPISKTTDCTKIH >OMO64089 pep supercontig:CCACVL1_1.0:contig12866:5454:5615:-1 gene:CCACVL1_22052 transcript:OMO64089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGVEATVTCPQDTSALPPCLAYLYYGGRSAPSFVLLCFGEPLKNGIIRRR >OMO73444 pep supercontig:CCACVL1_1.0:contig11229:5:445:1 gene:CCACVL1_17251 transcript:OMO73444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSKRFSIQELEPQPCSFHQKVRAPSPAFAWFKCEREEDKDCNAVLNSVNVTGRDGSLFGSESRYVRLSLVKNDDDFDLLLQRLQMLVSQENTDNIKIMPQNETMGSMATTRLNASYNWDQVNSKYNLEELATHFLDEATMSSYI >OMO73446 pep supercontig:CCACVL1_1.0:contig11229:12623:13456:1 gene:CCACVL1_17253 transcript:OMO73446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGDISPDHSEASVSNSHDFDRLADQVGNSEVHHSSRKTVLLESSTPQINMQGMGENIVVKPHPKALPLSAPEFTRFSADKVIEDGQLQLALILWESIQLKIARTPFDQVHLLVDEVSKIFAAIEGIKAVDSAALKGRVEEYFSQIAKFTDLESSFSSRMSSKDQADKLQNLATRLEESVSKERQAVVCHDKLTSELTKVEKEISALQEKKTKLESSLKENDKALEVVRAHVSHIREQMASAESCPILSEADAKALKVLEDILRSSREDLKNLKWKP >OMO73445 pep supercontig:CCACVL1_1.0:contig11229:1006:7037:-1 gene:CCACVL1_17252 transcript:OMO73445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MDPHTVSSNVSTSQSISAEGLRYKICDPLKGKNKLSASGCAGEEKPFDFLRLFYESVIAGATAGVVVEAALYPIDTIKTRLQAVRGGGKVVLKGLYSGLGGNLVGVLPASAIFLGVYEPAKQKLLKAFPDNLSAFAHLTAGALGGAASSLVRVPTEVVKQRMQTGQFASAPAAVRLIVAKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAARRDLNDPENALIGAFAGALTGAVTTPLDVIKTRLMVQGSAKQYKGIFDCVRTIMKEEGSHAFLKGIGPRVLWIGLGGSIFFGVLEKTKQLLSEKRPEDHRSLSLKQN >OMP06524 pep supercontig:CCACVL1_1.0:contig04906:6411:14220:1 gene:CCACVL1_01533 transcript:OMP06524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 51 MGTDDVPLDDKAKRMRDLLSSFYSPDPSSTTNVPSKYGTLDAINTTSFDADQYMSLLVTKSNLEGLLQRHVEMAAEIKNLDTDLQMLVYENYNKFISATDAIKRMKSNIVGMEANMEQLLDKIISVQSRSDGVNTSLFEKREHIEKLHRTRNLLRKVQFIYDLPARLGKCIKSEAYADAVKFYTGAMPIFKAYGDSSFQDCKRASEEAVAIIVKNLQGKLFSDSESIQARAEAAVLLKQLDFPVDSLKAKLLEKLEQSLGDLQLKTDDIENVSMESNDPSKQGKDSDSNPTTAHEASVREFAEAIRAYQVIFPDSETQLIRLAHELVIGHFQTTEQYVKRRISSANLLVVLRTIWTDVLLMDEVLHEAVLPDFSLEAAQVAVKQYVASAFTHLLQDISDALLKVNVSPKDAAEEHPLQVALEASKKAVLQGSMDVLLDFRQLLDDDLGLLVRLRDFLIDWVQEGFQDFFRALDGRFLLLSGRNNSSSQDQGVTEGTHGEKVLAGLEIAASFSGGGARGFEKGPAFVPGEICRIFRSTGDKLLHHYINVRTERVSTLLRKRFTTPNWIKHKEPREVHMFVDLFLQELEAIGGEVKQILPQGHLRKHRRSDSNGSTASSRSNPLRDDKMSRSNTQKARSQLLETHLAKLFKQKVEIFTKVEYTQESVVTNIVKLCLKSLQEFVRLKTFNRSGFQQIQLDIQFLRTPLKETVEDEAAVDFLLDEVIVAAAERCLDPIPLEPPILDRLIQANPLRLAKINGEIDAMSRIICGPLDSQRLVMKLMQSPIRMLCGPLRLAKVNVDIDALPRARKLVKLMQCPIRTICSPLGVAKVNVEIEAMSRIICGPLDSQRLVMKLMQSPIRMLCGPLRLAKVNVDIDALSRTRKFGIDSYL >OMP06523 pep supercontig:CCACVL1_1.0:contig04906:2794:3231:-1 gene:CCACVL1_01532 transcript:OMP06523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAQKAASSGSDSDPRYANVDERKRKRMLSNRESARRSRMRKQKQLEDLVNEASALQKDNSRVSEAINVATQRYIEMESANNVLRAQAMELTERLRSLNSVLHIVEEVSGYDVEIPEIPDPLLKPWQLPCPVQPIMASADMFEC >OMP06522 pep supercontig:CCACVL1_1.0:contig04906:535:927:1 gene:CCACVL1_01531 transcript:OMP06522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSSLNLVTPRVVANVPDSTRVGPVKVPCLNQPWKRVSQLGSRRMQVFRPVRAAPDQISEKVEKSIKEAEEACSGDPASSECVAAWDEVEELSAAASHARDRQKANNDPLENYCKENPETDECRTYDN >OMO86525 pep supercontig:CCACVL1_1.0:contig09466:17308:19589:1 gene:CCACVL1_09577 transcript:OMO86525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee-like protein MGRLFVVNLEGKVYSCKHCRTHLALCEDIVSKSFHCRHGKAYLFSKVVNVSSGEKEDRLMMTGLHTVADIFCVGCGSIVGWKYEFAHEKNQKYKEGKSVLERFKVSGPDGSNYWVSHEAHIGGSDADDV >OMO86526 pep supercontig:CCACVL1_1.0:contig09466:22237:25664:-1 gene:CCACVL1_09578 transcript:OMO86526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNEASSSNSREESVVADCGRRRSSCGYCKSSGRTSISHGLWAHSITVHDYQDLLDRGWRRSGCFLYKPEMERTCCPSYTIRLKASDFVPSKEQLRVYRRIQRFLDGTLEERKPIEPSISSSAAEDSPSCGKKEENKAEEFMRHLSDKIDKVVLTYIESGEFPSGIQMPKASVKKVLPAKRKLSVEGSEDLLYSSNIAFQIAATLRRKQSTDMDVQQSRKSRHSAAENDLCPKSVAEKLVSSLNQLANLSRLSIRACNGHINFYSAGKSACSDGDVQIVALPEESGSGSKSSSAKKHKSSEHPQAKKRKLEIRLKRSSFDPEEYELYRRYQIKVHNDTPDRVTESSYRRFLVDTPLLFVSPSADGKVPPCGFGSFHQQYIIDGKLVAVGVIDILPKCLSSKYLFWDPDYAFLSLGKYSALQEIGWVKENQAYCASLQYYYLGYYIHSCNKMRYKAAYYPSELLCPLRYQWVAFHIARPLLDKKKYVVLSDFASLQDGESSQSCIPESVMESQHDNIGVDDSNDVRMDDNEEMIDFESDSSDDELDPETSSMESSAIDVGDLTNVLIGLRGSRLRYKDLQRAFGPTERNYLEAQLHSYQRVVGPELSERMVYSLG >OMO86523 pep supercontig:CCACVL1_1.0:contig09466:9100:10835:-1 gene:CCACVL1_09575 transcript:OMO86523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKATRSRAAARKDTKEAVKPVEERIGKRKAAIKADNSSKKRTKNVKLAKKDPNKPKRPPTAFFVFLEEFRKIYKQEHPKVKAVSAVGKAGGEKWKSLSAAEKAPYEAKAAKRKSEYEKLMAAYNKKQASSDDEEEAESETSKSQVNDKDDEESEEEEEDEDDDDDD >OMO86522 pep supercontig:CCACVL1_1.0:contig09466:3017:5673:1 gene:CCACVL1_09574 transcript:OMO86522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKGVKLSRPGVLVFWTGQPHETRGDKMQD >OMO86524 pep supercontig:CCACVL1_1.0:contig09466:12784:14616:1 gene:CCACVL1_09576 transcript:OMO86524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein, plant MATAAAAATSHFFGTRISKPNLNSGKIQARFGFGTKKKAPPPPKKVAKPSSDLVWFPGAKSPEWLDGTMIGDRGFDPFGFAKPAEYLQYDLDSLDQNLAKNNVGELLGVITESSELKPTPFQPYTEVFGIQRFRECELIHGRWAMLGTLGAIAVEALTGVAWQDAGKVELVEGSSYLGQPLPFSLTTLIWIEVLVIGYIEFQRNAELDPEKRIYPGGYFDPLGLASDPEKIDNLKLAEIKHSRLAMIAFLIFGIQAAVTGKGPISFIASFNN >OMO98347 pep supercontig:CCACVL1_1.0:contig07121:39014:39277:1 gene:CCACVL1_04242 transcript:OMO98347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIIQDLISDILLCLPVKSLVRFHCLSKSSRTEIDSETFINAHLTRSIETKTHRSLLLGRVDRLNKCTVVGLDELEQGRLVVQVIS >OMP06527 pep supercontig:CCACVL1_1.0:contig04904:1432:2184:-1 gene:CCACVL1_01530 transcript:OMP06527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSFSRFQEIPQLQSVRLFYWNTSLPIPQPDGGDGQFLFLMKDRTLGSPAEWNSKEFSRPIAHNVPFDFESLSSEKDIEELVYDALWDLEKFKEEENITALGKSISEFLFKNYGLGISNPNSPKPVIVVEFNQTWVIDRSGEGMGEVAIFDRCNYDEAADEEFIELRLRHLLGVPVESEPLRLTQVPSLDSFKQFESAGDPDDGTCAICLEGFSVSGNSRFKLPCSHVFHGDCVTRWLWRKRSCPLCRFQL >OMO95362 pep supercontig:CCACVL1_1.0:contig07690:806:2293:1 gene:CCACVL1_05424 transcript:OMO95362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MAKICFLSGFLLLGLLCICRAEEVNVYELKRGDFSAKFTNFGAVMLSVLLPDKNGKLDDVVLGYDCVEDYKNDTTYFGAIVGRVANRIGGAKFSLNGEVYKVVTNEGKNTLHGGSKGFSDVIWGVKDYQNDSHITFTYNSFDGEQGFPGNLAVAVTYMLIGSNKLGVKMEAKALDKATPVNLALHTYWNLGGHSSGDILSHTLQLFGSGITPVDDELIPTGEIEAVQGTPYDFLKPHEIGSLFNQLPDGYDTNYVLDKSSPKHLRKVAVVQESKSGRKMELWTNKPGVQFYTSNMLKNEKGKNGSLYSLHAGLCLETQGFPDSVNHPNFPSQIVNPGQTYKHFMVFRFTAK >OMP10581 pep supercontig:CCACVL1_1.0:contig02162:700:1011:-1 gene:CCACVL1_00862 transcript:OMP10581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTTTPLFVPRAEHVAADDTAPWTDLLIERAPPMQHEKGMGQRFVLGDEQAKLIVLKRTSDWGKQKVLRHVLCEKRVLRVSRNAFPRNLLDSSFTMRVPQN >OMO98456 pep supercontig:CCACVL1_1.0:contig07106:17153:17710:-1 gene:CCACVL1_04219 transcript:OMO98456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MASFAKCLLIATSLVIILIINPSAAAKPSPNVTNADINSICNKTLAPSFCFRVLTNQTLHANETTLFGLANISINIALASANETQFAIAPLIKQAKNFTVREAYTLCSHNYKEAAVAVRDAQRLLAKHNYRGMRISALSAVEEAKACENHIKSPAVCSHSPLHDKNRDFKRYCNIIWAISNRLVQ >OMO98454 pep supercontig:CCACVL1_1.0:contig07106:1707:2105:-1 gene:CCACVL1_04217 transcript:OMO98454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MAYFAKCLLIATSLVIILIINPSAAAKPSPNVTNADINSICNKTLAPSFCFRVLTNQTLHANETTLFGLANISINIALASANETQFAIAPLIKQAKNFTEREAYTLCSHNYKEGAVAVRDAQRLLAKHNYRG >OMO98457 pep supercontig:CCACVL1_1.0:contig07106:20813:21364:-1 gene:CCACVL1_04220 transcript:OMO98457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MASFARFLLIATSLAIMLFINPCAAKSRPLVTYADINTICSKTDDQSFCSRVLTNPSLHPYETNLYGLAKISINLALTAAYDTQQAISPLLNQAKNYKEREAYTLCSNNYKEASASVRNANRLLAKHDYRGVRVSALSGVEEAKDCESHAKINPNSPLVQKNGDFKNYCNILWVISNRLVEYY >OMO98455 pep supercontig:CCACVL1_1.0:contig07106:11076:11186:-1 gene:CCACVL1_04218 transcript:OMO98455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLKVHYVAKASLSQKMARPIMIIKASQGIMPPVI >OMO79008 pep supercontig:CCACVL1_1.0:contig10522:11679:11744:-1 gene:CCACVL1_13951 transcript:OMO79008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYSAWFCAPTSSIPNEAAA >OMO79019 pep supercontig:CCACVL1_1.0:contig10522:85264:91804:1 gene:CCACVL1_13962 transcript:OMO79019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDKHSAGLLPTLRMDRVRTILTDTYPYPHEHSRHAIIAVVVGCLFFISSDNMHTLVEKLDNNIKWWSMYACLLGFFYFFSSPFIGKTIKPSYSNFSRWYIAWILVAALYHLPSFQSMGVDMRMNLSLFLSIYISSILFLLVFHIIFLGLWYLGLISRVAGRRPELLTILQNCAVISIACCVFYSHCGNRAMLRQRPLERKTFNWLSLWKKQERNTLLAKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGELACSGSCPGSSDEISPIYSLWATFIGLYIANYVVQRSTGWALTHPLPVEEYEKLKKTQMKPDFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMSRVQEGAKQDDLFYDHLSEKQDFWFDFMADTGDGGNSSYTVARLLAQPSIRLARDDSVLTLPRGDLLLIGGDLAYPNPSTFTYERRLFCPFEYALQPPPWYKPEHIAANKPELPDGVSELKEYSGPQCFLIPGNHDWFDGLNTFMRYICHKSWLGGWFMPQKKSYFALQLPKRWWVFGLDLSLHADIDVYQFKFFSDIIKNKVGENDSVIIMTHEPHWLLDWYWNSVSGENVSHLIRDYLKGRCKLRLAGDLHHYMRHSSVPSEGPAHVQHLLVNGCGGAFLHPTHVFSNFNKFYGNTYECKAAYPSFDDSSRIALGNILKFRKKNWQFDFIGGIIYFILVFSMFPQCKLDHILQEDSFSGHLRSFFGTVWDAFIYVLEHSFVSLAGVVLLLIAAFSFVPSKLSLKKRTIIGILHLLAHLSSALILMLLLELGLETCVRHKLLATSGYHSLYQWYRSVESEHFPDPTGLRARIEQWTFGLYPACIKYLMSAFDVPEVMAVTRSNICKEGMESLSRGGAVIYYASVFLYFWVFSTPVVSLVFGSYLYVCINWLHLHFDEAFSSLRIANYKSFTRFHITSDGDLEVFTLAVDKVPKEWKLDPDWDGEPKHPQQLSHRRKYPSKWSASAGQQDPLNTVRVVDHFVIRKTEPESLSSNGAVTSNGSVNH >OMO79021 pep supercontig:CCACVL1_1.0:contig10522:107531:109526:1 gene:CCACVL1_13964 transcript:OMO79021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin/Phospholipase A2-related protein MEGAKEHLQQPHQSDEDLITILSIDGGGIRGIIPGTILGFLESELQKLDGEDARLADYFDVITGTSTGGLVTAMLTCPNEQNRPLFAAKEIKNFYLENCPKIFPQPECPLFAQTRKVIKALSGPKYDGKFLHSLVKGKLGDKRLHQTLTNVVIPTFDIKNLQPTIFSSFQVKKEPALDALLSDICIGTSAAPTYLPAHYFKTEDQNGDVKEYNLIDGGVAANNPTLVAIGEVTKNLDLFTTKCKPMEYGKFLVISLGTGSRKEEEKYSAKDAAKWGILGWFTHGGSTPLIDVFTQASGDMVDLHLSVVFQALNSDKYLRIQDDTLSGDVSSVDVATKTNLDELVKVGEGLLKKQVSRVNLETGRFKPYTQETNEEALKRFAKLLSKERHRRRSKSPQGKAEAHQNGFKMN >OMO79022 pep supercontig:CCACVL1_1.0:contig10522:111993:114012:-1 gene:CCACVL1_13965 transcript:OMO79022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin/Phospholipase A2-related protein MASPRTPLQAPTYGNLVTILSIDGGGIRGLIPGTILAFLESELQKLDGEEARLADYFDVITGTSTGGLVTAMLTTPNPEQGNRPLFAAKDINDFYLEHCPKIFPQNGTPFAPAANVVKSLMGPKYDGKYLHKIVKEKLGETRLNQTLTNVVIPTFDIKQLQPKIFSSYEVKNDHSKNALLSDICIGTSAAPTYLPAHHFKTKDSNEQDKEFHLIDGGVAANNPTLVALNEVTKEITRGNPDFFPLKPNDYTRFVVLSLGTGSQKCEEKYHAHLAAQWGLLGWLTCDHSTPLMDVFMQASSDMVDFHIATVFKALQSEDSYIRIQDDTLCGTVSSVDIATKENLQNLVKVGEELLKKPISRVNLENGKFEPANHHCTNERALIRLAQVLSKEKRLRDMRSPHPKKELMMIK >OMO79012 pep supercontig:CCACVL1_1.0:contig10522:37219:38370:-1 gene:CCACVL1_13955 transcript:OMO79012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMVPAASIMDFEFNSARSSPRSTAPSTPRRFGDCYFSAPTSPSRMSELYLEFERYSMMNDRQSSIGNSSLAIPFDWEEKPGTPKSPKSAVKDVHHEEDDDDDFAFDFSAETSLPAEELFDGGKIKPLKPPPRLQVDEHNQKSPLLSSPRSPRSPLAQGKKIIREAFSPRKKKERDPFATAIESSRNSSNTEQGRGRERVQERNSSRRVTRSLSPYRVSEYPWELEEEEQQKQRHETKTAAKQSSFSSKSSLSSNNSSKGSSSSSSSSKKWRLRDFLLFRSASEGRAADKDPLRKYSSAFFKKPEEIKNSSFRSTDSSGSNGGSRRKVSAHELHYTTNKAVSENMKKKTFLPYKQGILGRLAFNPAVHALANGFGTLTRSSS >OMO79013 pep supercontig:CCACVL1_1.0:contig10522:41981:45860:-1 gene:CCACVL1_13956 transcript:OMO79013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRARTTLQSRKVPGKNEKEKVEMQGSKPAIATTAIKNRRASSKEKKMALQQDVDKLKKKLRLEENIHRALERAFNRPLGALPRLPPYLPPSTLELLAEVAVLEEEVVRLEEQVVHFRQDLYQEAVYISSSKRNIENSADLSEPCLDKSPRLEQPKNSSRNAPIAMHLRSKSGRLLGYDGRGKENQSCTNSTKTNKGSLVHKPQSIRTPVKRPQIDSKPAEKRLEPQKLQLECKVRDQDNAEARITTSTTPDEKMVGEDGPNKISEELVKCLSTVFLRMSSTKRKSAAECFPSLSMLDSQESNYETDFRDPYGICSSVGRRDIGPYKNLFSVDVTSINPNRTSNSLFLLHRLKLLLKRLASTNLKNLNHQEKLAFWINIYNSCMMNAFLEHGVPESPEMVVELMRKATINVGGHSLNAITIEHFILRLPYHSKFTFPKGAKNDEMTVRSLFGLELSEPLVTFALSCGSWSSPAVRVYTAAQVENELEVAKKEYLQAAVGISSTKFAIPKLLDWYLLDFAKDLDSLLDWICLQLPSELGKEAIKYLERAKRKP >OMO79020 pep supercontig:CCACVL1_1.0:contig10522:92199:103499:-1 gene:CCACVL1_13963 transcript:OMO79020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type MLRHCLRTRRRCYFLYRRQISSSSQNPVDSSTNLNQPHLVPSQSSQPPTPPQNSETRLSTRPSASVSRKSVFALSATLLSAVLASVAIFSVNRGNKSSDTNPNRQYSPLYDSIEHTIHKTNESFKRIVHHAKQTSVAATVLWQSLSSVMSSANHEVRAGFEVRVAALLADIVAANAGRRAAIVSAGGGAVVDWLLDTVSVAKSDGCGTQAEAARALAYLIADPDVRKDVLGRPRAVPNLLRFIFSCQPQNKSKRQHSRRSSFDNSDSLKGRSMLVAAIMDIVTSNCESVEKASFKPSLPGNAEMRDIAAAIQVIEEGGMHLDDGDRNDDDDDGGGRGMKGIGIKILEGTTVLGLSRTSELMNLDRSDDAPVESDRGTPKTLALLNKHDSSDGQASLSAAVVPGLWDDLHCQHVAVPFAAWALANWAMASEINRAHIEELDQDGQAVMTALLAPERSVKWHGSLVARLLLEDRNLPLNDSVSDWASSLLSTASHGSKNEDISLSQMALSALLVAIERSKEARRTVMEKGLELMRVTAKRTEKHLQVQEALAKALELLLTEDMHLSLEESQKWSGILLSWVFGKSSSNAIRTSAIRILSCILEDQGPSSIPISQGWLALLLSDILSSCKASSVKAGSQPKSDKTKTQIDQSNIVSASQTATQLAVAVVNLVANQLGTTTNSVDTFPLADLLSLEPFAGPFKTLKKDNPPKFDVADSALATLKAIKALTEICAEDSLLQDNIADLGVLCLLRRFLLRDDYEKLAAREAYAASRAPESQERGSSNGGESSPSNTNDPSSVRVPPTAHIRRHAARLLTILSLLPKVQKVIAADETWCKWLEDCANGKISGISDLKTRSYARATLLNVICNQQIHIDSVNSVPETRSRDGTSMCPLYDDMIFLINPELPHWKCPGKDQSTSRKDESLSSEVDSMNSDDSPVTQVSDGESSSSSNVSNNNSESGIPQMDIVFVHGLRGGPYKTWRIAEDKTSTKSGLVEKIDEEAGKLGTFWPGEWLSADFPPARLFSLKYKTNLTQWSGASLPLQEVSSILLKKLVAAGIGNRPVVFVTHSMGGLVVKQILHKAKAENMDNLVNNTIGVVFYSCPHFGSKLADMPWRMGLVLRPAPTIGELRSGSPRLVQLNDFLRQLHKKRMLEVLSFCETKVTPIVEGYGGWAFRMEIVPIESAYPGFGELVVLESTDHINSCKPLSRTDPSYTEALQFLRRGIFVFVQSKLGRRETMQLSCCRSELPMDIAFRQPIFRPTALMHCQVSFPFFLNLNLEPRNVRLRHTILCSSNSSTTTTNMSGLPLKKKRKRYRKQYPGENEGITEEMRFVAMRLRNVNGKKVTSNPDSDTESENSQGEEDGQGDKKSMEEGGDGEAETWKPSMEGFLKYLVDSKLVFSTIERIVDESSDVAYAYFRRTGLERSPGLSKDLEWFSQQNLVIPEPSTPGVSYVTYLEELAEKSAPLFLSHYYNIYFSHIAGGQVISRKVSEMLLEGRELEFYKWEGDEQELLKGVRENLNILGEHWSRDDSNKCLKEAAKSFNNQDSQHERLELYVMLRNINDMGLMEHHQEIVKYFKKNGVSAIFLFRRNLLRRMISVLANSYDKDAKLLNGTHKSHVHSSAEAQILAKFKPTIITRQLIPELKQAEESIAKAVEYFNSTRHIVLYYEDLVKNPKKLRDVQEFLGLPYRELTSRQVKIHRAPLSEQVANWDDVEKAVKNSSYQSFLHSDYKM >OMO79009 pep supercontig:CCACVL1_1.0:contig10522:15211:15978:-1 gene:CCACVL1_13952 transcript:OMO79009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRCSSSTSSSDTSSSESSFSARGGASNKAEKIKGPWSAEEDRILTRLVERYGPRNWSLISRYIKGRSGKSCRLRWCNQLSPNVEHRPFSQAEDETILAAHARYGNRWATIARLLPGRTDNAVKNHWNSTLKRRAREGQHQQLQQQQQYQYQEQQLLLNQQEQIIGSHHQKMESTCDNNALGSVDMMMDEEALTALTLAPPGSGGVGSGSAAAEERRRDERVPAEFWDVMRDVIAREVRDYMSSTLSAETSGFH >OMO79015 pep supercontig:CCACVL1_1.0:contig10522:61329:72621:-1 gene:CCACVL1_13958 transcript:OMO79015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNSGSDSQPQPSQEQNQNQNQSQNQSQQQQQRSQSQPQWVAMQYPAAAMVMQHQMMQPQHFMAPPPPPQHYMPYPHHPQQYQPHHGGHVQHSQQQQQQQGSGGGGENKTVWVGDLHHWMDENYLHSCFASTGEIASIKVIRNKQTGLSEGYGFVEFYSHATAEKVLQNYGGIFMPNTEQPFRLNWATFSTGEKRSENGPDLSIFVGDLAADVTDSMLHETFANKYPSVKAAKVVIDANTGRSKGYGFVRFGDDTERSQAMTEMNGVFCSSRPMRIGAATPRKSSGYQQQYSSQGGFASNGASNHSDGDSSNTTIFVGGLDPNVSEEDLRQPFSQYGEIVSVKIPVGKGCGFVQFANRNNAEEALQKLNGTVIGKQTVRLSWGRNPANKQFRGDYGNQWGGAYYGGQVYDGYGYAMPPPHDPGMYAAAAAAYGAYPIYGSHQQQAEHGINCLELPLIQHAQGPDLDRLSSVLSETAFDWIVITSPEAGSVFLEAWKAAGMPSVRIGVVGAGTASIFDHVIQSSKRSLDVAFAPSKATGKVLASELPKEGNKRCTVLYPASMKASNEIEEGLSSRGFQVMRLNTYTTVPVDHVDQIVLEKALSAPVIAVASPSAVRAWVNLISEPDSWSNSVACIGETTASAAKRLGLRNVYFPTRPGLDGWVGSILEALQAHTSL >OMO79005 pep supercontig:CCACVL1_1.0:contig10522:5355:8657:-1 gene:CCACVL1_13948 transcript:OMO79005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MALERGLSRVGVHRNRTSGSRLPIVILVFFSVLAPLIFFVGRGFISLVIDVVTTSTADMGPVSLDSFRKGNLAASWKVIGVESSVEDNASSEDPSKHLFHLVTDELNFGAMNVWFLLNPPGKATIHVKNVDEFKWLNSFYLNGAAETCGPVDDKYRNFSNPYISRNFNPNAWGGGGGGGAYGMNMFDLKEWKKRGITGIYHKWENMNDDRVLWKLGRLPPGLITFYGLTHPLEKSCHVLGLGYNPSIGMREIERATVIHYNSNMKPWAPEGLSFNYQVSTFSLSFLGVGAPEGWCYPHRFGSVAAPQKGLNEGGSQAQWFIDGKSAFEEIASSIEKAKSEIFITGWWLCLKLSMRRPFEGNSSSRLDALLESKAKEGVQIYILLYKVVSIALKINSFSTRICFVTF >OMO79017 pep supercontig:CCACVL1_1.0:contig10522:78699:79031:-1 gene:CCACVL1_13960 transcript:OMO79017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKINLILLAAALLLVLLFSYGVTFSEERVLKAADDNVESAGNHVMIMSGHKSNLNRDILEDGTDDHVPKAAASANNATAAYDADDFRPTTPGHSPGAGHSTGPTSNNKN >OMO79010 pep supercontig:CCACVL1_1.0:contig10522:22252:22962:-1 gene:CCACVL1_13953 transcript:OMO79010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPIHSSPYFQMDNPAILSLLRPTTGDHHHNQKHRKSSSGGGLLRMFKLFPMLTSGCKMVALLGRPRKPMLKDSATTGTIFGYRKGRVCLAIQDDPHCVPMFVIELPMLTSVLQKEMASDMVRIALESETKTHKKKVLEEFVWAVYCNGRKMGYSIRRKQLSEDELHVMQLLRGVSMGAGVLPSPNEKETAADGELTYMRARFERVVGSKDSEALYMINPDGAPGPELSIFFVRAH >OMO79006 pep supercontig:CCACVL1_1.0:contig10522:9052:9216:1 gene:CCACVL1_13949 transcript:OMO79006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRALGLGGTEGDRQREREREWGCLEKVSVSGQGERGDPDHEIGGGGEAGIVD >OMO79007 pep supercontig:CCACVL1_1.0:contig10522:10331:10804:1 gene:CCACVL1_13950 transcript:OMO79007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MAASLFAVTQADTVVVGGSENWRYGYNYTEWAADNAPIYFEDTLVFKFKKTPAHSVYLLPNLYSYLTCDFSKAKLLANPSQGHGDGYAFVINQWRVFYFASAEGNDCKDGLMKLIVVPWPRY >OMO79011 pep supercontig:CCACVL1_1.0:contig10522:27768:32517:1 gene:CCACVL1_13954 transcript:OMO79011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSILTRFITTSTPPPFSSALIFNHFSKTRIIFTIAATRRKTRFHNFRTMATSQAQDNKTTAPYGSWKSPITADVVSGASKRLGGTAVDSHGNLYWLESRPSEAGRAVLVKEAEKPGDEPIDITPKDFAVRTVAQEYGGGAFSISGDTVIFSNYKDQRLYKQSISSKDSSPLPITPDYGGPVVSYADGVFDSRFDRFITVMEDRRESSINAITTIAAVPLNGGDIKEPKVLVSGNDFYAFPRLDPKGEKIAWIEWSHPNMPWDKSELWVGYISENGDVYKRVCVAGFDPNIVESPTEPKWSPTGELYFITDRKNGFWNLHKWVESKNEVLPLYSLNAEFARPLWIFGMNSYEFIKSELEKTLIAYSYRQNGRSYLGILDVQGSLSPLNIPFTDIDNITSWNACLYVEGASAVHPSSVAKVTLDDNKVNVVDFKIVWSSSPDCLKYESYFSLPELIEFPTEVPGQNAYAYYYPPSNPLYQATQEEKPPLLLKSHGGPTSETRGMLNLSIQYWTSRGWAFVDVNYGGSTGYGREYRERLLGQWGIVDVDDCCSCAKFLVEKGKADKERLCITGGSAGGYTTLAALAFRDTFKAGASLYGVADLGLLRAETHKFESRYLDNLVGSEKNYFERSPINFVDKFSCPIILFQGLEDKVVPPDQARKIYQALKEKGLPVALVEYEGEQHGFRKAENIIFTLEQQMVFFARLVGHFDVADPITPIKIDNFD >OMO79014 pep supercontig:CCACVL1_1.0:contig10522:53967:60915:1 gene:CCACVL1_13957 transcript:OMO79014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MGNAALIIIIWIKNYDPCGEYHVDSYLNLAKVQAALHVKPTNWSGCSDVGWTDSPKTVLPEIQQLSRDIRVWIYSQNKQSLCNGCVKTSQLLLTFISLAYNFKKFAPQKQKLSYRHYQTIKFVIWPMGKG >OMO79018 pep supercontig:CCACVL1_1.0:contig10522:81332:81526:-1 gene:CCACVL1_13961 transcript:OMO79018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIFSRIPLCLLDYGKNFLNSRSQPGCSHRATPGLAPSTLKTDQSNKVEGHGTELFNTIIAFI >OMO79024 pep supercontig:CCACVL1_1.0:contig10522:121219:124464:1 gene:CCACVL1_13967 transcript:OMO79024 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MSGMERLQRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >OMO79023 pep supercontig:CCACVL1_1.0:contig10522:116490:118440:-1 gene:CCACVL1_13966 transcript:OMO79023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin/Phospholipase A2-related protein MENTQKYTIESIQKHIIPKFNFFSDSPRSPLQPPTYGNLVTILSIDGGGIRGLIPGTILAFLESQLQKLDGEKARLADYFDVISGTSTGGLVTAMLTTPNPKEGNRPLFAAKDIKDFYLEHCPKIFPQDSSAFAPARSVVKSMMGPKYDGKYLHDIVREKLGETKLHQTLTNIVIPTFDIKQLQPKIFCSYEVKSDPCKDALLSDICIGTSAAPTYLPAHHFVTQDPTGKPIEFNLIDGGVAANNPALVAINEVTKEILRGNPEFFPIKPTDYARFLVVSLGTGSAKCEEKYHATQAAKWGLLGWLTSENSTPLIDIFMQSSSDMVDFHIATVFQALQSEDSYLRIQDDTLSEQMSSVDIATKENLENLVKAGEELLKKPVSRVNLGTGQSEPASKVTNEEALIRVAEVLSKEKRLRDMRSPNRNLVTKASPTT >OMO79016 pep supercontig:CCACVL1_1.0:contig10522:76186:76494:1 gene:CCACVL1_13959 transcript:OMO79016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKNNPILACVFVIILVSSYGIRLSEEARLLKFEKVDIEDSGNRVTRSSSHNYGGKHEDAPTVSGNLVTEEYDTEDLHPTSPGHSPSIGHSTGPATHDHN >OMO57240 pep supercontig:CCACVL1_1.0:contig14422:5689:7629:-1 gene:CCACVL1_25885 transcript:OMO57240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCQLLLWLLLLLLTVMATASGRKRNIAEECNPGDLKALTGFKNGIHIDTSGRLAKWVGLSCCRWEGISCNNATGRVTEIRLPGFISTNDMIFQSQMEGRLSPSITLLTSLEVLDLGELVGLTGKIPPAIGHLQNLRELYLYKNKLRGPVPESIGKLLKLEQLHLHENQLSGFIPPSLGSLENLNAIYLHSNRFTGTIPESFSNLKSLMHLDLHSNSLTGPIPESIGELQLLKELDLSENFLRGRIPPSVNNLTSISVMYLDSNHLEGEIPFPSSFGLLPSLAFLRLQNNNLGGRIPPNFGYLVSLQRVTLANNKLEGTISPSLGNLEALTELYLSGNRLSGVIPKSIGQLSHLILLSISHNLIQGPLPHEMSALQNLQTLDLSFNLLNLISIPKWVAELPSLSRIYLAGCGIRGQIPDFLRSTPSPIQELDLSVNHLNGGIPSWIGSLTQLYSLNLSRNGLVSKIPDSVADLQDLGVLDLHSNKISGSMNQVFKIGNSFPDGSLTYVDLSDNSFTSGTEQIGVGAQRRIQYLNLSHNLLDGKLPTSIGKLKALQSLDLSCNKFGFSLAEAIANLSLLETLKLQRNHFTGKIPIKFLNLKKLKDLDLSDNLLEGEIPAGKPLSDFPQSSFTGNRGLCGKPLSPCMS >OMO57242 pep supercontig:CCACVL1_1.0:contig14422:13442:14045:-1 gene:CCACVL1_25887 transcript:OMO57242 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER glycerol-phosphate acyltransferase MEMCKEGYFVRAEPEAKAVTSEKLPRKPVIFHDGRLVQKPTPLMALLIILWIPIGFLLACLRIAAGSLLPMPLSWPPELTCGSGKSSHMR >OMO57241 pep supercontig:CCACVL1_1.0:contig14422:9099:12379:-1 gene:CCACVL1_25886 transcript:OMO57241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 51 MSIGDSAELESKLSLSDRLKEFKSSQFDPDAYLMSKCHAMTEKDIKHASSHLMDLRKASAEEMRKSVYANYTAFIRTSKEITVLEGELLSMRNLLSTQAALVHGLAEGIIPDSLSTGPEDPEEEDIYDVESTKLTKTDKWFVEYLDNIEVLLAEKRVEEAMAALDEGEQLAKENKSKHTLSPDTLLKLKNALTVLRQKLVDQLAEATSQPFTRGAELRSAILAIKKLGDGPRAHTLLLNSHQQALQRGMQSLHPSSTSHGGSAFSSGLSQLVFSTIAQAASDSLAVFGEEPAYSSELVTWAVKQTEAFALLLKRHVLASSAAAGGLRVATECIQICLGHCSLLEARGLSLSPVLMRLFKPSVEMAFSANLKRIEQCSAALAAADDWVLTYAPVGSRPFSSTSVSISQPKLSSSAHKFISMVQDFLEDVGPLESLQLDGPALEGVLRVFDSYVNLLINALPGSTETEEQLEGTGGKIVRVAENETQQMALLANASLLADELLPRAAMKLLPLSQSNNRVDATPRRGSDKQSRLPEQREWKRKLQRSVERLRDSFCRIHALEIIFTEDGDVRLNSQIYISLDGNAEEPEWFPSPIFQEFFEKLTRMASIATDMFAGRERFATILLMRFTETVILWLSDDQPFWEEIERGPTPLGPLGLQQFYLDMEFVMIFASQGRYLSRNLQQVIKTIIERAIEAVTETGIDPYSVLPEDDWFAEVAQIAIKMLTGKANFSNMDRDTSPTASVSAKSISSVLSHGSN >OMO60394 pep supercontig:CCACVL1_1.0:contig13723:15572:16492:1 gene:CCACVL1_24184 transcript:OMO60394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Restriction endonuclease type II-like protein MTRFYAVHRKAVVPSSVRRRWRNGSQRTFSTGIATHISPATPLIVRSPSPLVLAVNLTPSDLPQRSDEWFALRRNKLTTSTFSTALGFWKGNRRPELWHEKVYASETQVLETSKRYAMEWGVLNEAAAIERYRSITGREVSSLGFAIHAKEQLDWLGASPDGLLGCFHGGGILEVKCPYNKGKPETALPWSTMPFYYMPQVQGQMEIMDREWVDLYCWTQNGSTIFRVLRERSYWDLIHGILREFWWENVIPAREAILLGKEEEAKAYKPAATHKQTALVISKSIKLASESKMLCREIAGHVEFYR >OMO60400 pep supercontig:CCACVL1_1.0:contig13723:50697:54254:1 gene:CCACVL1_24190 transcript:OMO60400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory B subunit, B56 MIKQILGRLPRKPSKSSENREFGGSSAPPLSSSNLRGSEIVGNHRLVFDSAPLSGPNSTSGLGYSHGSKPAQDVNHKLNGNSVTAPYEALPGFKDVPSSEKQNLCLRKLNLCCAVFDFADMTKNSKEKDIKRQTLLELVDYVSSPNGKLSETVMQEAVKMVSVNLFRSLTPQPRENKVLQAFDLEEDEPLMDPAWPHLQIVYEFLLRFVASPETDAKLAKRYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFHFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALIPLHKPKCLPMYHQQLSYCVTQFVEKDCKLADSVIRGLLKYWPITNSSKEVMFLSELEEILEATQPAEFQRCMVPLFHQIARCLSSSHFQVAERALYLWNNDHIENLIRQNRRVILPIIFPALEKNGHNHWNQVVQSLTLNVRKIFSDIDPELFNECLNKFNEDEQKLEEIKMKHEATWKRLEEIAVSKAANGEAFLVPHNLASRIMSTYGA >OMO60396 pep supercontig:CCACVL1_1.0:contig13723:19113:21110:-1 gene:CCACVL1_24186 transcript:OMO60396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNFSHRPIFPAHLTEDNLVSPMRMANGYLVEGITEKNGDGYSKSWHSNLEMEDCFDYGRNRSGDLCGSQESVSNDIIDLLPSDPFGMDIKTTFTAITGWLEDLEVDYGHYVRNGTGTSDGSYQLFAGLNFIWNNAMMFQTFPGSTGFECKGSVSGGFGGSSQVKEGGDVSDGAGLEPASNVEDSLFFRNEDTVSVDEDNEEFQDCEVSSDEDEGAPHEALILALGYLGVRDLFVVEKVCTSLRSTVQNDPLLWRSIHIDQPLSEKITDDVLLQVTSRAQGSLQCLSLVDCQRITDEGLKRVVENNPKLVKLSVPGCTKLSIEGILNCLKALKSMGTNGVKHLRIGGLYGGTQKHFEELKFLLGMDDQVQQNVQKPHFYNRGNVYLSCEDDRPIDIDMCPRCQNMRLVYDCPAEGCQQKEHNAQLCRACTLCIARCVQCGRCINDSEYEETFCLELLCSNCWRLQLARQNRMTGPSNLPALQQIGNIHLHG >OMO60395 pep supercontig:CCACVL1_1.0:contig13723:16997:18651:1 gene:CCACVL1_24185 transcript:OMO60395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKHLRNSREKRRERRKGEMDSGLSWADQWDNNPDPLPGESNDKKKKDGSGKSKLGKSLLSFKWMKELRKKSQEKNDAGDPKNRYHMQLLRFPSSEKKGSINRLLQNRFNGVDFEHGLPCKVLHSKETDLVKSIQQERGKNYFSIYSNK >OMO60399 pep supercontig:CCACVL1_1.0:contig13723:43999:47543:1 gene:CCACVL1_24189 transcript:OMO60399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory B subunit, B56 MLKQILSKLPRKSSKTSDSREHGGFHAIYSSVSGGSRSNDFGTGKSGNLSVSSLTAPNSMADSGWKGSNLKANGNNMYSSYDALPAFKDVPASEKQSLFIKKLNLCCVIFDFTDPTKNLKEKEIKRQTLLELVEYVTSATGKFSDAVLQEIVKMVSTNLFRSLTPQPRENKVADGLELEEEEPSMDPAWPHLQIVYEFLLRFVASPETDAKLAKRYVDQSFIIKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAEFLEILGSIINGFALPLKEEHKAFLVRVLIPLHKPKCLAMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLNELEEVLEATQPAEFQRCMVPLFRQTARCLNSLHFQVAERALFLWNNDHIENLIIQNRKVILPIILPAMEKNARNHWNQAVHSLTLNVRKIFYDLDPELFKECLHKFQEDESKENEIEARRKNTWKRLEELAQKKASGSQAVVPRKANANG >OMO60403 pep supercontig:CCACVL1_1.0:contig13723:67880:69362:1 gene:CCACVL1_24193 transcript:OMO60403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MFWTSQLPSASKLSCKYPNAQFVIERNSANQGKNKSEMVDHENIRKQIIKPSSPTPLQLKTFNLSLLDQLAPMIYVRLIFWFRPDPPEDDYHFVKAEARSQVLMRSLSETLTRFYPFAGRMISNSSIECNDQGVDYIETRVNCRLQDILKQPDDESFTKVLPSRMEYKEAATTPLLLVKVNFFECGGMAIGISFFHKVADLSTMKLFIKTWAAMAQGSSSEVVLLLPDFKTIPSLFPPLDLPVNAKEKEMDPLIQVPKVGFEEPTRVEAVAALIWKCAMAAATANRGGFKKQSWLRQVVNLRKRLNPPLLEHCVGNAFSRFVAQSQTLDCETETELQSLVKQLRNGMSDQFSESAMRKLQGSGAISEVLLGFKQVGSLLQRDDLDAYFCSSWCRFDLYESADFGWGKPRWVTSCIGLGDNNMSKPNGYVLMDSRDGEGIEARVSLVEGEMAFFECNKELLAFASVNPCVKL >OMO60398 pep supercontig:CCACVL1_1.0:contig13723:32999:40580:1 gene:CCACVL1_24188 transcript:OMO60398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M3A/M3B MSSKRKIGSNIVPLTGAAALLSLAVGLAISVINNRRKSKKKDLPGCTFRVNLLASEILKLADRIIAKSKEVHDAVASIPLDKVTYKNAISPLAELEAQQFPLVQSCVVPKLVSTSDKVRKASAEAEKKIDAYVSSCSKREDVYRVVKSFAAKGEWMGPEAKRYVQCLIRDFERNGLNLTATKREEVQRLRAQIDELSLQYVQNLNDDSTSLLFRENELAGLPTEFLKTLEKVENGMFKVTLKSHHVAAVLELCKIGKTRRLVAMSYGKRCAKVNLSVLEDLVQVRHRFARLLGYSNYADYALNLRMAKTSSKVLEFLEDISSSLSDLANKELAVLKELKKQEEGELPFGVEDLLYYVKKVEQQEFNLDFGALKQYFPVNLVLSGIFKIFQDLFGLRLEEIVDADVWDCDVRVFSVFDLSSGELLGYFYLDMYTREGKYGQTCVVALQNGSVAFNGARQIPVALLISQLQKDSSGVPGLLRFSEVVSLFHEFGHVVQHLCNRAAFARFSGLRVDPDFVEIPAQVLENWCYESFSLKLISGFHQDITKPIKDEICKSLKQWRYSFSALKLKQEVLYCLFDQIIHSAENVDIVELFKHLHPKVMLGLPMLEGTNPASCFPRCAIGYEAACYSRIWSEVFAADIFTSKFGDGLLNQYVGMQFRNKVLAPGGAKDPVEILSDFLGREPSIQTFISNKIECSL >OMO60401 pep supercontig:CCACVL1_1.0:contig13723:57034:58389:1 gene:CCACVL1_24191 transcript:OMO60401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MAMEFIVEIIHEEIIKPSSPTPLHLKTSKLSLFDQVAPMVYVPLIFCYRNDDNNDFAKAEARSQVLKTSLSKTLTRFYPFAGRLSSSSSIECNDQGVDYVQTRVNCRLQDILKQPVGESLAKLLPSSQMGSKEAATKPLLLVKVNFFECGSMAIGINFSHKVADLFTMKFFIKTWAAMAQQGSSSDVVLPVPDFKTIPSLFPPLDLPVASESDALGQLRKGVASRRLVFDASKISMLKAQVVSRDVPQPTRVEAVAGIIWKCAMAAAKTNRGGFKKQSGLLQVVDLRKRMNPPLPQHSTGSLQSFLVVETLDCETELQSLVKQLRNGMRDRSSESSMSKLQGSDAVSEILLGFKQVGSLLQRDDLDVYFCSSWCRFELYESADFGWGKPKWVSNGIGHENNPSKPNSFILMDSMDGEGIEARVNLVEGEMAFFECNRELLAFASVNPCVKL >OMO60393 pep supercontig:CCACVL1_1.0:contig13723:9438:12940:-1 gene:CCACVL1_24183 transcript:OMO60393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSEKPRPIDLYKEEGPATARDMIIEVTSNGDLPPHHHAPPHQQQQQQMILGDSSGEDPEVKAPKKRAETWVQDETRSLIGLRREMDGLFNTSKSNKHLWEQISAKMREKGFDRSPTMCTDKWRNLLKEFKKAKHQDRGSGSAKMSYYKEIEEILRERTKSAYKSPTPPPKVDSFMHFSDKGFEDTGISFGPVEASGRPTLNLERRLDHDGHPLAITAPDAVTASGVPPWNWRETPGNGGDCQSYGGRVITVKFGDYTRRIGIDGTADAIREAIKSAFRLRTKRAFWLEDEDHIVRSLDRDMPLGIYTLQLDEGLPIKVCLYDDSDHIPVHTEEKIFYTEDDYREYLVRRGYTSLREIDGYSRNVDNMDDLRPNAIYRGVN >OMO60392 pep supercontig:CCACVL1_1.0:contig13723:7133:8427:1 gene:CCACVL1_24182 transcript:OMO60392 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding HORMA MASRTVAKDIITLRGSAAIVSEFFGYAANRGVYPEESFSKVKKYGLPMLLTQDEGVKSFISNLTAQLSEWLEAGKLQRVVLVIMSKATNEVLERWNFSIETDNEVVEKGVSREKSDKEIMREIQAIMRQIASSITYLPCLDEPCVFDVLAYTDKDVAVPFTWIESDPKLIANPQMVKLHSFDTKIHKVDTLVSYKNDEWDEE >OMO60397 pep supercontig:CCACVL1_1.0:contig13723:23997:27705:-1 gene:CCACVL1_24187 transcript:OMO60397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MAPKAKDKPKAAPSPSQPPPSIEELFSTLKKHIERSEFTLAVKVANQVLSVEPRDEEAIRCKVVALMKADEFEEALSAIQAAPKVSFDISFYKAYCLYRQNKLDEALAILEKQDKTQPSMLLESQVLYRLGKMDACVDICRNLQRANIDLLEINLVAGLVSAGRASEVQGTLDSLRVKATSSFELAYNVACSLIEGNKHKDAEQLLLAARRIGQETLTEENYADDDIEIELAPISVQLAYVQQLLGHSQEALGAYTDIVNRNLADELSLAVAVNNLIAVKGPKDISDSLRKLDRLKEKDSQKFQLEQSIDLKLSPKQKETIYATRVILLLHANKMDQAREIVSILPELFPDSVLPVLLQAAVLVRENKAGKAEELLGQFAEKFPEKSKVIFLARAQVAAAAGHHQIAAESLSKVPDIQHMPATVATLVALKERAGDINGAADVLDSAIKWWKTAMTEDDHLSVIMQEAASFKLRHGKEEEAARLYEELVKSHGSIEALVGLITTVARVNVDKAEAYEKQLKPLPGLKGVDVDGLERTSGAKHIEGAPHGELAEAQEEGKTKEKQKKKRKRKPRYPKGFDPANPGPLPDPERWLPKRERSSYRPKRKDKRAAQVRGSQGAVVREKSEASASATSSNSSNAKSNQATSSKGASQNAEQSSRPQSKSSRKKSRN >OMO60402 pep supercontig:CCACVL1_1.0:contig13723:63991:65220:1 gene:CCACVL1_24192 transcript:OMO60402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MAMEFIVEKIHEEIIKPSSPTPLHLKTSMLSLFDQTQPIGHVPLLFCYPNNGLAEGEARSQLLKKSLSETLTRFYLFAGRLSSSLSSMECNDEGVDYVETRVNCRLQDMLKEPDCESLAKLLGSPQMAATGPSPVIIVKVNFFECGGMAIGIRFLHKVADVSTIKLFIKTWAAMARGSSDHAVPDFTTIPLLFPTISDFSVRSDDVPGQLREVAFRRLVFDASKIPMLKAQVASRDVPQPTRVEAVVALLWKCARAAATTNRGGFKKHSGLLHVVNLRKRMIPPLPELCAGNALSMMAAQTLDCETELQSLVKQLRDGMRDQFSESSMRKLQGNGAVFEILFIPSGSLLIQRDDLDLYMCSSWCGFELYESADFGWGKPRWVTVCIGRKKYNTSKPIPINFIFDGFIGW >OMP02374 pep supercontig:CCACVL1_1.0:contig06264:314:409:1 gene:CCACVL1_02816 transcript:OMP02374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKTIQNSSNGPRKARNNKCSE >OMO57636 pep supercontig:CCACVL1_1.0:contig14346:9998:10696:1 gene:CCACVL1_25725 transcript:OMO57636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEIDTSCSPIKLTVYQLVFHHGGVIVESPTLAYVNGQTKVIEWHDPEKLCVWTLLSLAASIGYHANSIKRRRFCPPGVSLDEGLKLVFDDDSVALLCKHLEEDEKLVNIYVEHGDSVITGEVPLPAGFNEPVEVVAGVGDEHGGSGDEMNDVDHSSSEEDAARVVEQNEEEEEEEIALVNVDLDSDGGEDPEIVSALNKVKKALEKEQQKRKELEELERNEFHEIPVQQR >OMO57637 pep supercontig:CCACVL1_1.0:contig14346:15257:15349:-1 gene:CCACVL1_25726 transcript:OMO57637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGASANPKAKQPSSSQPNPSASKRPMHQGF >OMO94416 pep supercontig:CCACVL1_1.0:contig07894:1985:3514:-1 gene:CCACVL1_06013 transcript:OMO94416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSCNAESAVAVCDPYNWDYYRRRKAKKTKPRKTRNNVGIRRFHYTDLLTATNDFSSDSFLGKGSHGSVYRAVLDNGKLIAAVKKTTANCNSPADNEIEILSRVYHPRLVNLIGFCSDTLCKNKLIVVEYMPNGSLYDLLHSSSRPPGWTRRVRFALQVAKAVQALHSANPPVIHRDIKSSNVLIDGNWNARLGDFGLALRGHVEDVRVKCTPPAGTLGYLDPGYLAPSDVSTKSDVFSYGILLLEILSGRHAIDLNYSPPSVVDWAVPLIKGGDFAAICDRRVGPPVDKEVIRSLAMLASRCFRSTAEKRPGMAEVVECLKMVRKRVHAGPVWSNLRRRVKCVEKPSAKNQALEASEEVVVRNSRSGSRRNSRKVSSVTSVEQEREVIGDRVVRSKSIGSFGEAETMMTLLKMGPAETDVDGDHVALVRKKAAGVTVKMPVMKLSKSRSMGVLQSPRLLNQNSKKHIYETAKRRNYSNDFDLSKLVISFDDEKSERKMSEKPLVLL >OMO96830 pep supercontig:CCACVL1_1.0:contig07369:13640:21499:1 gene:CCACVL1_04761 transcript:OMO96830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVEIPKWLKGMPLAPEFRPTDTEFADPIAYISKIEKEAGAYGICKIIPPMPKPSKKYVFNNLNRSLSKCPELGRDVDVSKNVASVLSSGDSGDDEGEGRAVFTTRQQELGQSGKKARGTVSSQQCVVQKQVWQSGEIYTLEQFESKSKTFAKGLLSVLKEVSPLHIEALFWKAASEKPIYVEYANDVPGSAFGEAEGQFQYFHRRRRRKRMSYRRESSGCKKDEIDTVKNSHLDDSKDASVKRDPDTCLETPKSCTTPSILASDKNSHSKRKSGNASCDMEGTAGWKLSNSPWNLQVVARSAGSLTRFMPDDIPGVTSPMVYIGMLFSWFAWHVEDHELHSMNFLHTGSSKTWYAVPGDYAFAFEEVIRTEAYGGNMDRLAALSLLGEKTTLLSPELIVASGIPCCRLIQNPGEFVVTFPRAYHVGFSHGFNCGEAANFGTPQWLEVAKEAAVRRAAMNYLPMLSHQQLLYLLTMSFVSRVPRSLLPGARSSRFRDRQKEEREVLVKKAFIEDMLTENKLLSLLLKRGSSYRGIVWHPDLLPYTSKDSEFSSGTAISTTPQENVLDIHSENNTNQTSLLDEMNLYMENVNYLYLNDDDLSCDFQVDSGTLACVACGILGYPFMSVLQPCKGEKVELSPVDHLSIQGPTASELKNAHFYPDLDNPVECSVSDNDHHAADLSLPSKDAPSPSITKFPEGWDTSNKYLRPRIFCLEHAVQVEELLQSKGGSKLLVICHSDYQKIKAHAIPVAEDIGVPFNYNDVPLDAASQEDLNLINLAIDDERDEIGEDWTSKLGLNLRYCVKIRKNSPFKQVQHALPLSGVFSDKNGSSELFNIKWKSRKSRSRGKLSHPSPSKPRESVELKVDEVLVEKRDGNITDNEKKLICYSRRKKRKPDYSTKAGGGLELVKHDLTRDDYAASCQLLDVHGGNTCEANATSESSRLYSTPGASRGQFEIQTASIVGVVQEDQGQNLEDSNLYGGSYSLVDGVSSEKKGDVKLMDTTSENDKISLADKCSRCCDSTAYERLVGSTCAEREVCNPLSEGQCEKHADSYDLMSPSNTASSHPAEPSAGRFDPELDDKAVEKSCVNGGVSSFMTYNNKMEQETDGHCKNSDEEILCDNSLMNKPHLGSEDCSSALYLGDEVQQETDARSGRQVEPFFSSPMLTMRPNTNSVEKGSEVPRQPGTAAESCDGAMSNNQAEKLGVLNASKEDTLSVSIAPVAVDLPTTSSEAVDSAISKNPCAKEDMHTDVTLDVVGLQEIQDTKATCVDEEVMSRSELPIKGKQSSPTVMETCSKGHRESSDQEKSCHEATADDDRHGKDLIRNEKNEEESVSCFVTPINETSPVPIQKYSRTRRESHATGNMNNGGTGLSVENRELESAVVDCRSSAVNGRKRRREVEETPEKVDGNGFIRSPCEGLRPRARKDATSSIDVGKTALEMLPEKDTKKTSIHTCSKKITKKGSHRCDLEGCHMSFETKEELRLHKRNRCPYEGCGKKFRSHKYAILHQRVHEDDRPLKCPWKGCSMSFKWAWARTEHIRVHTGERPYQCKVEGCGLSFRFVSDFSRHRRKTGHYADSSA >OMO96829 pep supercontig:CCACVL1_1.0:contig07369:4444:11600:1 gene:CCACVL1_04760 transcript:OMO96829 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MGLKKFKVSTLWSLSIWLFVVLSFLVIMVQCQDVNDYNLGNPGVLPFATALVNSRLSNLTLVYSKEISEKAGFCIKDQQADWNKAFNFSSNMDFLASCIQKTNGSMMRRLCTAAEAKFYFDAFLQGSTTLRPNRNCNLTSWVPGCEPGWACSVGPNEQVDLENSRVIPDRSHECQDCCEGFFCPRGLTCMIPCPLGSHCPTATLNKDTGICEPYNYQLPQGNKNHTCGGANIWADIRSSREVFCSEGSYCPTTTQEKPCSSGHYCRMGSTSEKPCFKLTSCKAKESKQDLHYYGIMLIAAMITLLLIIYNCSDQVLTTRERRLAKTREAAARSARETAKARQRWKNAKNAAKKHASGLQTSFSQTFSLKKPAKHPEELKILDQKRSETDEHLYAPTHTSPSGEYFPPPAPSKGKQMEPGNLMRMMHDIEEDPENYEGFDVGNHDKKSKGQTSKAKQPNTHSQIFKYAYSQLEKEKEKALQQENKNLTFSGVISMATNPEIRKRPLIEVSFKDLTLTLKGKDKHLLRCVTGKIKPGRITAVMGPSGAGKTTFISALAGKAIGCKMTGLILINGKNESIRSYRKIIGYVPQDDIVHGNLTVEENLWFNAKCRLPAHLSKPDRVLVVERVIESLGLQTVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSLQLLRALRHEALEGVNVCMVLHQPSYALFQMFDDLVLLAKGGLTVYHGSAKKAEEYFAGLGIHVPERINPPDHFIDILEGIVTPSASSGVNYKELPVRWMLHNGYPVPPDLQQSAARLSSASAGPVLANGTNPAGAGTEERSFAGELWQDVRSNVVLQRDSIRHNLLNFKDLSSRRTPGVLQQYRYFLGRVGKQRMREAKIQATDYLILLLAGACLGTLSKSSDESFGAAGYTYTIIAVSLLCQIAALRSFSLDKLQYWRESASGMSSLAYFLAKDTIDHFNTVIKPVVYLSMYYFFTNPRSSFAENYIVLLCLVYCVTGIAYALAIFFQPGPAQLWSVLLPVVLTLVATQNGDGKIVKTISKVCYPKWALEAFVIANAKRYYGVWLITRCGALQKSGYSLHDWTLCLLILILTGVISRFIAYVGMITFQKK >OMO96831 pep supercontig:CCACVL1_1.0:contig07369:22597:23304:-1 gene:CCACVL1_04762 transcript:OMO96831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPPPPPSAVPEPSMGPPETAPIGHPLFTRIRLATPLDVPYIHKLIHQMAVFERLTHLFSATESSLSSTLFNSAPFQSFTIFILELSSSPIPPLPSPSPAFTPIEKTFNFDLPINDPEKDAFSVHYGQDQVVIGGFVLFFPNYSTFLAKPGFYVEDLFVRECYRRKGFGKMLLSAVAKQAVKMGYGRVEWVVLDWNVNAVKFYEQMGAKVLPEWRICRLTGDALQSYETANI >OMO51149 pep supercontig:CCACVL1_1.0:contig15954:30119:31951:-1 gene:CCACVL1_29982 transcript:OMO51149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRWNRRPRHRWFHSNRGFHRRFREDFYRNRIWNSRSFTWQPQRHSPYNHCYAHDSFKRSLPWRPSYFSKLNQRGHRYGFVRFVDSPAADSLLSALNQIWIGCFKLRLHRAIGSNSHVKPRFSCEHLNPPKFNPNDEAGKDVRKDSSDCVTSPNYEETVDPTKGANVKFHSPKVASLNHHVIDDDENQTQDLDADLNKDAPLATPCLPPMQSSMSMENGFLVIRINIADLLGSPKSDLHLKLDSKSVSTNTVAQGNGLSSVLPHIEKLDLPPLSFPLCLSRVVLEDGTTYNSAKFVVESNVQVDHIPETDSDSSSTLGSPCFSVHPRSPEEINMILAHELNYKEVSFEMGSKFDSPDVVEYDCEEVNSVEYGLLDKSTAPIDSSLELAIMPSMNDQVWHVALDIDDKVTSTPSTAHPSDCMETSKTLKLKFHKKKKKRAKHNWSRKKTHSKAINGTSCGSEILEADNYISDEDIQFRNNMFRREAIETLKISQLLGLSFVDNDEDIIDKLVELEYEDWKTHSTK >OMO51148 pep supercontig:CCACVL1_1.0:contig15954:3585:4644:-1 gene:CCACVL1_29981 transcript:OMO51148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEGENVNPIENGDDEVQVVSTDDSKIWSIEKEKIFIELMEEEVKKGNRPTTTFNKEAWKTIRIELSKQAKFNYTELQLRNKFNQLRSRHTNFTKLLKETGIGYVAATGQVIGTEDTWQHLYGVHKMAKKFRKHGCPLFDKLRVIYGDTTASGFNARPSTHSPSDSEENVGNPSMPEEDRNQPLSDEDDDFGDVPNTSQGHVRPNTRIAIKAKTTNALTSILHSYNENTKRKVDVWEKLLESSSISRTSNQDVTSEAVSESRSPRRKLLKESLEALDALDGIDGASYAKAVEKFHDDELWREIFLQLPTNRKKDWMFNLK >OMO51147 pep supercontig:CCACVL1_1.0:contig15954:264:374:-1 gene:CCACVL1_29980 transcript:OMO51147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMPQSSPPLVAQPPSQPTVFLLARTTFFSLDRLR >OMO78329 pep supercontig:CCACVL1_1.0:contig10598:117:1357:-1 gene:CCACVL1_14480 transcript:OMO78329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MEEAKHPLLSAREVDGGNNRQDQHLLPKPSDTSFSSATFNANSDDIPPINTVRDFFREFMIESKKLWFLAGPAIFTSICQYSLGAITQVLSGQVSTLALDAVSVENSVIAGFSFGAMLGMGSALETLCGQAYGAGQYDMLGIYMQRSWVILNSTALILCLIYIFAAPLLKLIGQTENISKVAGMFSIWMIPQLFAYAVNFPMSKFLQAQSKMMVMAVIALAALVLHTVFSWLLMLKVGLGLVGAAIVLNASWIFIDVAQFLYIISGTCGRAWTGFSWKAFQNLWGFVRLSLASAVMLCLEVWYFMALILFAGYLKDAEMSVAALSI >OMP06532 pep supercontig:CCACVL1_1.0:contig04901:2819:4988:-1 gene:CCACVL1_01527 transcript:OMP06532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MEKNEKKDDEPEINYRGWKAMPFIIGNETFEKLGAIGALSNLVVYLTTVFNLKNITAATMINVFDGTTNFGTLVGAFLCDTYFGRYKTLGFATIASFMGLLAIQLTAAIPELHPPQCAAQGECNGPTVGQMAFLLTGLGLVIVGAGGVRPCNLAFGVDQFNPKTESGKRGIDSFFKWYVFTITFSQMISVTLIVFVQSNVSWAIGLGIPAVLMFLACVIYFVGSKIYIKVKATGSPFTGVAQVIVAAIKKRQLKPLDQPWLSLFKYIPPKSINSKLPYTDQFRFLDKVAIVTPQDQLNPDGSPVDPWRLCSMQQVEEVKCMMRVLSIWCSQILYMVALTQQHTYAVFQAVQADRRLGNREFEIPAASYAVFQMLSLTLFIPIYDRVIVPFLRRARGNEGGITILQRVGIGMFLSIITMLVSGIVEQHRRTIALTKPTLGVVPRKGAISSMSALWLIPQLALAGLTEAFANVGLTEFYYKQFPENMRSVAGSLFHCGQAGSSYLSSLLIFIVHLTTKGAWLLEDLNKGRLDYFYYMITGLGVLNLGYFLLCSSWYKYYKANHDTLEPEPEPELQLEANVD >OMO51344 pep supercontig:CCACVL1_1.0:contig15871:2875:3195:1 gene:CCACVL1_29838 transcript:OMO51344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPPPSFISNGIVNYNFLLRIRILRLTTW >OMO51345 pep supercontig:CCACVL1_1.0:contig15871:15859:19801:1 gene:CCACVL1_29840 transcript:OMO51345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MPPKETGKKVESSHSMALRGHESDKEHADPPENQEMFTFMAKLQAMMQANEAMMRDLKIDLERKMESQRTQTEDNLRQYLREIIHAQGGINVAIGDVEIPPPPLGVDNVLERQDLVLPIEEVADNVNEVNRAEVAAYVPPRVRGNGAGNGHHGNHNGHNGVRNGGNGNANGNNHHPHAAPRQQQQQPRGALAPAHRAPIMEAFHQEEPAQAPLNANQVMEMIQDVIGPGFRRMNRQIFQKPYPEAFDQLHPFPRGYKIPDFNPTFSGTSSDLSTVEHVGRFSIQCGEANTGFQKLRLFPNSLTGVAFTWYINLPPNSVTTWEEMERLFHTQFYKLEPEISMVDLSRLSQRKGESAKDYIARFKKLRNRCRIALPEQEFVRLAQNGLDLELRKKFEGMEFRDYYEMSTKVARYERLLHEELERRSSSYGTYYHEPNYELGVAEIKTDRPIECPALVKTGMRHPQTQEYLATAYQRQAEKKPHDGNRIYSFDVSKTSDVFDYLYKSGMVKFPPGHNIPSPEIIKGKEYCKYHDSWRHSTNSCTVFRNIVQEKIEHGILKFPEPSKKDMGVDKDPFPAAVNMVSINFPKDGRLTKEEKAKDVLEEGQVASMKKKSVVHFDQEPCDKPKKQRYGYANSRKIDFVPNQIGRPIPRPVALNMTPRRKVELTPPTARHVVSRAENYLPNKNVPRRLNFDHDQGRSMHRHTDEKPKDQVAYASAKEVCFANPKPKQIMPHPMVPSTSKAIQSSSFMLNENVKFPTKPRSVRPRSELRPWLEGRKEEYRVRSINQEVQRRESYSIRKFQPRMVRPANGVPYGIWQKVEHPKFPNPPLQRNRKTWRRRELRRRARARKGMEMNEGFDNWNSKPQKCNDNIDGVEEGSSNDAHPMLKVTHDMSFEQLVMAGLEPLRAKVVLEQARLDEQEAKIKRKEAELDIRTNVLADMIIALNKARDEFNRQKMGDVDQDKVDNKKDDVNVVDLVNALCENTSFDEEMDLSEPLPNGDVEDEILLPCKSEKCSGGKDNEAKAITFLKPNENATRHIKPLYIKAHFDGIPLNRILSGAVSKTRGILPTSLTVGSKTSVSALFVVDSSSTYHALLGRDWIHSNWCVPSSLHQFLMFWNGNEVEIVHADNKPFKVESNAVEARYYDESVGTIRFLGQDKYGRPMPMVSSMQTRKIGVKEAMEDLVRPNAIIPYRPLGCAPIIEEIS >OMO53073 pep supercontig:CCACVL1_1.0:contig15343:5:301:-1 gene:CCACVL1_28899 transcript:OMO53073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIIFKVVEMEDLPGEKTNINAYFSIVPKADNSGSAPAFYH >OMO68763 pep supercontig:CCACVL1_1.0:contig12178:2503:2805:1 gene:CCACVL1_19846 transcript:OMO68763 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H protein MCLLLDGIPPLAAMVKINVDSAFDEAGGRAVIRDTNSEVLACAIKKCAFVHDSLFAEAFAIRMGKQFAKDEGFHHCVIESDCLVAISTINRKDAAHSKEA >OMO55589 pep supercontig:CCACVL1_1.0:contig14660:29098:29618:1 gene:CCACVL1_27167 transcript:OMO55589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKADLGPTFMALEPLRAILA >OMO55587 pep supercontig:CCACVL1_1.0:contig14660:23094:24425:1 gene:CCACVL1_27165 transcript:OMO55587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF2/IF5 MSLQNIGAANSDDAFYRYKMPKMQTKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGTCLVNGSHDTAKLAGLLEIFIKKYVQCYGCGNPETEILITKNQVIQLQCAACGFVSDVDMRDKLTSFILKNPPEQKKGSKDKKALRRAEKERLKEEAARQRIQEQLSAVTADMVMLSTNESEKKEKVISKASNKPNGISTPDLHATLVEELKANLRKGVSANQLKSHVGTLSGSDQEKLSALFEALFDGIEKGFAKEVVKKKNYLAAAINQDEGSQLLLLKAIESFCGKVSTTAALKEIALVLKALYDADLLEEEYVLQWYQEGLKGANKDSQIWKNAKPFIEWLQSAESESEEE >OMO55588 pep supercontig:CCACVL1_1.0:contig14660:25429:28175:-1 gene:CCACVL1_27166 transcript:OMO55588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MGDHFVLLVDRLLTESTLEAAIESRNQSQQGMSSASKDDMIDFSSYMMDEIVSSSPRKLVECRICHDEDEDLNMEYAHRKCVQRWCNEKGDISCEICHQQYKPNYTAPPPLFHYGGVPMNFRGNWEISRRDLPAPRFITMVTTDRDFLESDFDDYSAPSPRSLMCCRIIAIIFMVLLVLRHTLPIIISGAGDYSLTLFTLLMLRTIGILLPIYIMLKAFTAIQRRRHHQDSRFPLSTSDEESELPQLQQPQSRFIRIQ >OMO55586 pep supercontig:CCACVL1_1.0:contig14660:19215:21078:-1 gene:CCACVL1_27164 transcript:OMO55586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIRGIRPLKIVLVFPHSKLMNPKPLLIYTVSFSVSAVSYSLGLRTINCANTKLNFSAIIDEFDLQLGR >OMO55585 pep supercontig:CCACVL1_1.0:contig14660:1574:5976:1 gene:CCACVL1_27163 transcript:OMO55585 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-lysine methyltransferase See1-like protein MAGIRLAPEDSPEITQQHPPQQQQLQTGREVASDDERSVAADSWSIKSDYGSTLDDEQRHADAAEALSSAANFRAASDYSSDKDEQDADGMTSMLGLQSYWDAAYADELTNFREHGHSGEIWFGADVMDTVTSWTKSLCIDIAQGHLPNHADEAKPEPVEQGDKYLPSWSVLDIGTGNGLLLQELAKQGFTDLTGTDYSEGAVDLARSLADRDGFSNIKLLVDDILDTKLERRFQLVMDKGTLDAIGLHPDGPIKRMMYWDSVSKLVAPGGVLVITSCNHTKDELVQEVENFNQRCIAQEPNTLKDQETHTVLPPFQYLNHVRTYPTFMFGGSVGSRVATVAFLRN >OMP04183 pep supercontig:CCACVL1_1.0:contig05791:20335:22882:1 gene:CCACVL1_02182 transcript:OMP04183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARINRLFGVGVGVVLGQGGRPLAYFSRALGPKHLSISVYEKEMLDVLMAVKKWNSYLSAIDIAQLFMDNVLKLHGMPKTIVSDRDKILMSTFWKELFRKQGASLKAFTAYHPQIDGQTEAVNKCLKSYLTCMKPPFRHLYLVGTSLVETVDRSLKAREAAMNMLKFYLSRVQNKLKLLADKKRSDREFKEGDWLVKRIRAMAYQLLLPPLAKIHPTFHVSQLKKHIGESPATLQLPNLSSDGTMAKKPVSVLDRRMVKRSNLAITEVLVNWSNTFLEDAIWEV >OMP04182 pep supercontig:CCACVL1_1.0:contig05791:8808:9070:1 gene:CCACVL1_02181 transcript:OMP04182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFSVVVVSFDNKPAHKGNGAQESDEEESTGSAAVTEIDCDDLGQTEAG >OMO84390 pep supercontig:CCACVL1_1.0:contig09749:32994:33419:-1 gene:CCACVL1_10833 transcript:OMO84390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B MAPKAEKKPAEKKPAEEKKAEKAPAEKKPRAEKKLPKEAGDKKKKRAKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >OMO84395 pep supercontig:CCACVL1_1.0:contig09749:69812:71895:1 gene:CCACVL1_10838 transcript:OMO84395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L9 MATATAASTLSWLHSFGGTQNETTKVKDRNRDFVVFAQKKTKKTRKVILKEDVEYVGKKGQLLDVKAGYFRNYLLPMGKAQIVTPVLLKEMKMEEERIEVEKQRVKEEAQQLALMFETVGAFKVKRKGGKNKQIFGSVTAQDLVDIIKAQLQRDVDKRIVSLPEIRETGEYIAELKLHPEVTARVRVNVYAN >OMO84391 pep supercontig:CCACVL1_1.0:contig09749:48006:48689:1 gene:CCACVL1_10834 transcript:OMO84391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDISVNKNGDVKLAVKQSCAPDEDLNSHYQVYLASLIHFMCKPDISAKPPTPPMVLRPEIRKFLILSDIVESFESHRELILKHPIFWNGDKLMQFFMALRVHHFKIPKSYDSIKILPCCEHQNLQWRDKVKACFRPVGFGLYLGECYGYDNADDTKYTDTVGGFLWFLRNLCTHIYERIPTIGLRLTVVEGFSEMMRSQFPVFFSDLVNECYFLLEFSNLLESLLD >OMO84396 pep supercontig:CCACVL1_1.0:contig09749:76639:77574:-1 gene:CCACVL1_10841 transcript:OMO84396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MQAQEEFVGSNDHQALIMKRKRTKRQRSSSPFGLAVTSSSSSACGGGGGGGGGGVAEEFNSISSPTTSSGEIYESTEEDEDMANCLIMLAQSDGPKRIHKNIEKFNTTRKFSEIATTTNKAGFYVYECKTCNRSFPSFQALGGHRASHKKPKAAAAMGEDKKPLGLAVKDDDEEGQNRESPVLALQVSNNKVNSHGNNNKGSKIHECSICGSEFNSGQALGGHMRRHRAAAAAAAAAATNIQAAMSADTTSTTNDSSSDHIHHEIKHRNILSLDLNLPAPEDDLRDSKFQFGGIPKQALVFSTPALVDCHY >OMO84394 pep supercontig:CCACVL1_1.0:contig09749:59963:60142:-1 gene:CCACVL1_10837 transcript:OMO84394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATTIVKKDGELIEVAAHRFFQTLHLCYRQTEFPKPSKSYSGRSKSGLSINRDIILKK >OMO84388 pep supercontig:CCACVL1_1.0:contig09749:26921:27229:1 gene:CCACVL1_10831 transcript:OMO84388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRARNIIVAGGLLAFAAGGLAFPFYMATSKRPVIDSSKPLPPQATFRGPYINTGSRDVGPDDQTYQKK >OMO84386 pep supercontig:CCACVL1_1.0:contig09749:20876:21720:1 gene:CCACVL1_10829 transcript:OMO84386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase-like protein MQDDYNHEGQNSANLSNQGSSCEISDWLGEYGISQMFLVADTLYSDLVVTKFIAKLESNTKSSVNFSSFKELEGERQTIGDYCFPKSLVSTFEAIMNAHGDVARNSHFGPRLIEDAYVLFCAAIKEMDDLPLHQVTEETVFKWRDAIKDAMRVGCDVEFAWKHLETIAYAYFGLKARNDRKGLEQSIAKLTQVEKSLRQELEMKVNEVKVLKAKEEELSSPQCNKCQEIADCFQNKTVGLFRS >OMO84387 pep supercontig:CCACVL1_1.0:contig09749:24815:25498:-1 gene:CCACVL1_10830 transcript:OMO84387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPPPRSPNGQFSRVFSESDEIQILKSLIKATKSLPSPITTIAAEIVDRIAERLNYKFTSSQINGKIRKLKTKYHKHAKSKSLVRTHHDRKVFKLSKRIWGKKTAPKKKNETQGGEIGNGKADDDGVGNLGKFPVAAQNEESRVVDGVGNLEKFPYLVAEFSRVLPENEVWKERMNGFDEKKLRKMDQEWVALKVEEAKLVAKKAELMQQQIMMIMGEAGSTNGLN >OMO84385 pep supercontig:CCACVL1_1.0:contig09749:19733:20535:1 gene:CCACVL1_10828 transcript:OMO84385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSFVAQPNDMSCIRSFSTLISLIQSNPEELTQQFSSQPEVDPASVQRKRRVRQPAEYLTEEDKRERKNARDKRYRERVKVEMNKAKEDLERMKPEYDRVMKIASECGGIDEMETQFRRLQQMVSKYGGFEEIEWKMNKLEQIEAESSIFEKVKTMFGGIDNIVPGLDRLKKTEFQHTVSKPNQNERPSYIDFIPTSPEILQALLSPSISLSF >OMO84389 pep supercontig:CCACVL1_1.0:contig09749:29010:32578:1 gene:CCACVL1_10832 transcript:OMO84389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase MAVFLATTASTAHIATSFIASSQSNKTHPFISPRKNLTPRRITKLTPLNVAAPPSSSSAAPTSDQKQKEEYVVGEEFGEEGSDSKFSWRDHWYPVSLVEDLDPAIPTPFQLLGRDLEGRLDENGHLQCSYHGWSFDGCGSCTRIPQAASQGPEARAVQSPRACATRFPTMVSQGLLFVWPDENGQEKASATKPPMLPDDFEKPEFATVNIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLTFKVESSGPWGFGGSNEGNPKISAKFVAPCYSMNKIEIDTKLPIVGEQKWKIWICSFNIPMAPGKTRSIVCSARNFFQFTVPGPAWWQVVPRWYEHWTSNKVYDGDMIVLQGQEKIFLSKSLESSEDVNKQYTKITFTPTQADRFVLAFRNWLRRHGNSQPEWFSTIPQQPLPSTVLSKRQMLDRFEQHTLKCSSCKKAYNSFQTWQKILIGATVAFCATAGIPSDIQLRIILAVLAVVSAGVAYALYELQKNFVFVDYVHAEID >OMO84393 pep supercontig:CCACVL1_1.0:contig09749:57940:58011:-1 gene:CCACVL1_10836 transcript:OMO84393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTLTWLCAAMGVWVLGLGTDW >OMO84392 pep supercontig:CCACVL1_1.0:contig09749:53848:55107:-1 gene:CCACVL1_10835 transcript:OMO84392 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MISGTASCFPNASQMYNPVADQDIVSSSLEAASSNADAHSTSLLYNLSILKDKVHQVQSLVSIIVSPDHQTTQPESTSLALANMGSLVQEIIVTASSMIFVCQQMSLGTASSISAGNNNNQLHQQHVIRVPEKADYNHNIVQERGQGFYSTTDQTICSWLDKNDNDGNGSTLQVSFEDHKVQRNNNKAELGQRRETSEGSRSQGISSNSYDIIELDAADLLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKTSAALTNPMKNHSEGNGDMGMGPNCSTKLPKKYSCPQEGCRWNQKHAKFQPLKSMICVKNHYKRSHCPKMYVCKRCNRKQFSVLSDLRTHEKHCGDLKWLCSCGTTFSRKDKLMGHVALFVGHSPVVTSSNNSLSKPAGKLENQATAATMQIDDRYEFMKQFHLNK >OMO84384 pep supercontig:CCACVL1_1.0:contig09749:11107:18088:-1 gene:CCACVL1_10827 transcript:OMO84384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEAAHHDATVKLFQGGFRVLDRIINRTDD >OMP08746 pep supercontig:CCACVL1_1.0:contig03621:91:947:1 gene:CCACVL1_01095 transcript:OMP08746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 TLRFDFVNKSTINRITSINSKNAHFNIFASHDITIQNVTLSAPGDSPNTDGIKIADSTGIQIFDSNIGTGDDCVAMLPGVENINISNVNCGPGHGISIGSLGGKPNEKNVTHITVRNSNLTGTLCGLRIKTRPLPYSSIVSDLTFENINVNNVTNPILIDQNYCPSHKCKQGESGVQIEEARFRNIKGSSFLKIAVNLQCSKSTPCEKIELRDININYRGGKATSSCSNCKGVAIGLQNPLPVFQKNETTFPT >OMO96907 pep supercontig:CCACVL1_1.0:contig07349:23680:33366:-1 gene:CCACVL1_04746 transcript:OMO96907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGCAVSTTLNSTLYPFSNSNAKPAFPACFHFRYDFPKKLLVIKSNRKAVTSIHCSASNDDTNSKFRAAQVENFVVVNFYRFVFIKDPLHEVAKHRSFLEGLNIHGRIYINEQGINAQYSGPSEDAFAYIEWLKKDEKFSDILIQTSPALNGHAFPKLKLRYKPSLVQFEGGVSHLPLLDPVMRATALAPSEWRKRLEAVNNNDQPSNSNPSSDFILLDVRNGYEWDVGHFQGAQRPDVDCFRSTSFGLSSTEDVSSDLLSNVDKEKTDIMMYCTGGIRCDVYSTILRKQGFRNLYTLKGGISNYLKTEGPIKWVGNLFTFDSRLSLPPSAFHQTRIEASTTQQDFQNDKFAKCYVCGSDVSELRHRNCANLDCNLLFLKPQ >OMO96906 pep supercontig:CCACVL1_1.0:contig07349:10747:13413:1 gene:CCACVL1_04745 transcript:OMO96906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MNSSYLHLGFPFPVKGKAQVDEIRVCTNRSCRRQGSMQTFQTLTALAPPDVSVKSCGCLGRCGAGPNIALLPLAQIVGHCGTTAAAADLLLGLCYEGSGVKSKTSLDALALRMRAEALIDEAHFSEAERLLAQAIDLKPFGGIHILYKLRSVARLRIHDYSGALEDATQSLTLAPNYAEAYIRQGDAFLAMDQYDAAKKSYSTCLQIDPSIGRSKSFKTRITELEEKLATINSQQGSNSET >OMO96909 pep supercontig:CCACVL1_1.0:contig07349:49183:62174:-1 gene:CCACVL1_04748 transcript:OMO96909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRWEGGEKKALVWEGDENESKPSFLVESKSILGLFEFGWLEIVIAAERGSGAATLERKGSAVKERN >OMO96908 pep supercontig:CCACVL1_1.0:contig07349:35353:37391:1 gene:CCACVL1_04747 transcript:OMO96908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase MTWTYHVECFVFKTKEVTEAIMGIGRPPGQMDPKAFLLQKFNASARERDDSLVKGKVQFNS >OMP03103 pep supercontig:CCACVL1_1.0:contig06150:15438:16148:1 gene:CCACVL1_02564 transcript:OMP03103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSFPQGLNSEVLLLENQQGLLESFSSNNNELITFPNQDFLSFDIIDSKEFHQPPDHHDHWSWSSRELSSTSSSSGSSWRFVDEENLGSKKQQQQPKKEKCYRGVRKRPWGKYAAEIRDSTRRGVRVWLGTFESAEAAALAYDQAALSTQGSKAVLNFPVERVRESLRNMNYCCRDGASPAIELKQRNYVQRRSTSKNKMKQQSQSHSQQAKSVVVLEDLGSDYLEKLLSSSETA >OMP03102 pep supercontig:CCACVL1_1.0:contig06150:9576:10325:1 gene:CCACVL1_02563 transcript:OMP03102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSLFQYSTPEFSPESSFGSPEPFSCWDELLLEDNRNISLPNFNFNDAEEMRWIDMLAAEGPKESSESNSTVDGSAVKEEEVSSSSASKHEQGSKKLEKTYRGVRKRPWGKFAAEIRDSTRNGVRVWLGTFDSAEAAALAYDQAAFSMRGSMATLNFPLEVVKESLQDMKHRFEEGCSPVVALKKKHSQRKRSKNKKISKQITEARQQVQQGNNLLVLEDLGTDYLEQLLISSCESTTSPWGKNFQFS >OMO59956 pep supercontig:CCACVL1_1.0:contig13833:3609:3686:-1 gene:CCACVL1_24511 transcript:OMO59956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEASLKLFNSSGRAIRPLCIKYA >OMO59955 pep supercontig:CCACVL1_1.0:contig13833:2013:2120:1 gene:CCACVL1_24510 transcript:OMO59955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPLMARYRWASFGFRVSNCQRATKKAKLRSSVQES >OMO87240 pep supercontig:CCACVL1_1.0:contig09272:448:5144:-1 gene:CCACVL1_09175 transcript:OMO87240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MGRNSSPPSLDGNGSENGKNNNSDNNNDQGFHSIRDRFPLKRNPIHTRERTKHSSILDRPLVRNRPRFNRKGILLFPFRGIYLFYFLIFFSVFAFAMASMLMQSSIAAMVFRQGGERGWKRSVREGLRFGSSLKFMPVGISRWLAEGGGLDRMRSMARIGARGPRLALILGNMKKDPLMLLTVVSSLQRLGYVIKIYAVENGKGHSMWEHISGQISFLGPEQFGHVDWSIFEGVIADSLEAKEAISSLMQEPFDTVPVIWIIQEDTLAKRLPVYEEMGLEHLVSNWRSAFTRANAIVFPDFALPMLYSVLDTGNFLVIPGSPADVWGAEYYFKTHTKLQVRKNYGLSMDDMVVVIVGSSFFYDELSWDYAIAMHTIGPLLMRYARRNDAGGSFKFVFLSGNSADGYNDALQEMASRLGLSQGSVRHYGLDGDVNGVLLMADIVLYGSSQEEQAFPPLIIRAMTFGIPVITPDFPVMKKYVVDGAHGVFFPKHHPDALLRAFSLLISNGRLSRFAQTIASSGRLLAKNILASECITGYANLLENILNFPSDVLLPGPVSQLEQGSWEWNFFRKEMEQSDGDISRNFSVVYALEEEFTKHIISLNISKNGAETVDLDLPTEKDWDIVMEIDAFEDYERLELEEVKERMESNPGAWDEIYRNARKSEKLKFEVNERDEGELERTGQPVCIYEIYSGAGAWSFLHHGSLYRGLSL >OMO87531 pep supercontig:CCACVL1_1.0:contig09224:2158:2807:-1 gene:CCACVL1_08948 transcript:OMO87531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDWLLVRPVRMSKRSEVTGQEPNERKRSGPLPSLFGPRDSGSF >OMO87532 pep supercontig:CCACVL1_1.0:contig09224:6223:13082:1 gene:CCACVL1_08949 transcript:OMO87532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTIKVGRTQNGFPVGSAN >OMO87533 pep supercontig:CCACVL1_1.0:contig09224:14479:16836:1 gene:CCACVL1_08950 transcript:OMO87533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLTGLPGPPAGAPSTPSLLQPTTLRTTT >OMO87535 pep supercontig:CCACVL1_1.0:contig09224:24505:27544:-1 gene:CCACVL1_08952 transcript:OMO87535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MFKLVEDPCYYCLCFYAVLVLGALLCLASQLWKMLKQLNDEEESRGVEIPPGSLGFPFIGETVQFMAAINSGKGFYEFVRVRSLRYGNCFKTNIFGETHVFVSRTESAKAILNNELGKFTKRYIKSIAELVGNQSLLCASYHQHKLLRGRLVHLFSTNSISLLVKQFDQLILNNLNCWEDGATVIVLDQALKITFKAMCKMLLSLESGQELEDLQEDVGHVCKAMLAFPLRFPGTQFYKGLQARKRIMSTLEKIIRRRREGLDSKNEDFLQHLLAEDENSCSDGLMYRLSDAEIQDNILTMIIAGQDTTASAITWMVKYLGENQKVLDQLKAEQLQLAEKTSKKLFLTLDDLNEMPYASKVVKESLRMASVVPWFPRLVLQDCEIEGYKIKKGWNVNIDVKSIHLDPMVYSEPNNFNPSRFDDESKPYSFLAFGMGSRTCLGMNMAKAMMLVFLHRFLTTYK >OMO87541 pep supercontig:CCACVL1_1.0:contig09224:59934:63600:-1 gene:CCACVL1_08958 transcript:OMO87541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESENVHHHHHQHQLQDQLVVASSSSSSSLPSYGVSSTHSWSTPSTPSFTLNNSDFNPSYNNGAMLNSEGSFTTSHDLHLSKIKDELSESLTKFTEMLTQNSNDVVQGSPPPHHHHHQLQQQKDLHDLSEKLLLKTISSGFPMFSAGEFYSSAAQNCSIPGTTGNLPSRGSFSQIYPSINISNLNQAAANNPSNSFDMNLEALDLLSSARFSRSSSFNSHPSNSHDHFDHLGIYKDSPYNNFGLHHYHQHMQQSNQRPTYNSSPSKISTTSFPSSTEITEAKRASISPAEPKATAAAGAAKKSRLDSRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKSSRNKTCRPKQGGSIMEDGKEEAKRDLRSRGLCLVPLSCMSYVTNDSGGGIWPPPNFTGGT >OMO87538 pep supercontig:CCACVL1_1.0:contig09224:44002:50324:-1 gene:CCACVL1_08955 transcript:OMO87538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGIASNDERKERKSDFEISEDDRKTTIGSLKKKAMKASSTFRRSLKKKSRRKSDSQVPSVSIKDIRDIEELQTVDAFRQALIAEQLLPSKHDDYHTLLRFLKARKFDIEKAKLMWENMIQWRKDFGTDTILEDFEFNELNEVLKYYPQGYHGVDKEGRPVYIELLGKVDPNKLMRVTTLERYVKYHVQEFEKCFATKFPACSIAAKRHIDSSTTILDVQGMGYKNFGKSAQDLIRRLQKIDGDNYPETLYRMFIINAGPGFKMVWRAVKSLLDSKTASKIHVLGSNYQNKLLEIIDASELPQFLGGTCTCADQGGCMRSDKGPWKDPNILKMIANGEALFTRQIVTISNSEGRVIAYDKPCYPVSHIKSSDTSAAESGSEVEEIASPKTTRSYLHPLLDPVSEEARMAGKAITAGGSCEYDEYVPMIDKVVDSESEIQVSRQSPYNSAGKPLLLSDQTPKGIYGRISAALIFFLTLFTFIREMVLRLIKKQNVTDLTSSVPDQHVEPIYKEETRPPSPAPGFTEADLLSSVVRRLGDLEEKVEMLQSKRFGMPHEKEELLNAAVCRVDGLEAELIATKKALHEALMRQEELLAYIDSQEEAKTRVLPSNGVSNFIPRSSSSHFLRGFNEAANHKFPAIWGDSLRSYQVVVAATREMGIGKDGKLPWKLPSDLKFFKQLTTTTSDCEKKNAVVMGRKTWESIPLEYRPLPGRLNVVLTRSQSSKIPDEENVVKCGNIPSALQLLAKPPYCSSVEKVFVIGGGQIFRETLNAPGCEAIHITEIETNIECDTFIPAIDSSSFRLWYSSKPLVENDIRFSFATYVRVRAKATGSPSSNEVESDDSSNPHNFEVKDYTFLPSMILERHRD >OMO87537 pep supercontig:CCACVL1_1.0:contig09224:41606:43026:1 gene:CCACVL1_08954 transcript:OMO87537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSTKSVPSYETLLSAAASLTASAILLRTIANDFIPESIKDYFFSRLEKISSSLSSQLTVVIEDSDGLTSNQMFHAANLYLGEKLSSSTRRVKVNKPEKEEELRLTTDKNQELIDVFKGVKLKWVLLSSRINQKSVSNKKNSSNKEETWYFELSFHKKHREIVLSSYLPYVLQKAKEIREEKKTLKLHTIDYNGTDYWGSIKLDHPATFDTVAMDPEMKMALIEDLDRFKSRKEFYRRVGKAWKRGYLLYGPPGTGKSSLVAAMANHLKFDVYDLDLKEVQCNSDLRRLLIGTGNRSIIVLEDIDYSLDSAEDDKVTLSGLLNFIDGLWSTCGDERIIVFTTNKKDRLDPVLLRPGRMDLHLHMSYCTFSGFKTLASNYLQIQDHPTFKEIERLLEEVQATPAEVAGELMKSELPYVVLHGLIKFLSDRAKAAMQQES >OMO87536 pep supercontig:CCACVL1_1.0:contig09224:34846:39772:1 gene:CCACVL1_08953 transcript:OMO87536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVVLQKKDAADWVYRGEGAANLVLAYTGSSPVFIGKVMRIQKSQRNGKSGVNANGTLTTHERLLWGENKELLASPSREIVEQLYVTHVMSPLLGPTHVDSGMLVLVTKEFLESVAKNVICLRPAWRVDSSQVDANRDSVLIISDHSIFRNGTHKAGPCISVEIKPKCGFLPISRFIAEENAVKRTTPRFRLHQALKLHNHEISEFSKYNPLDLFSGSRDGIAKAIEALYATPQNNFRVFLNGSLVFGGLGGGTENTTVRVGEAFEEALKSVIKEDKGLRTTSFIQLVTDAVYSSGVLNQLLEVQKLDTYDIEGAIHAYYNIISQPCVICRELSKDKKAHCYASLHTIPLDESLKIVKDFLIAATAKDCSLMICFTPKEDGKLQSGSSFDTVSLRATNQVFKYKKTTLSVELLCPKCRRKVMRVISDVVGITSIVLDPSKNTVTVTGEADPVKIIKQVRKFRKHASIISIGAAKSDDKKDSDKKDVMFYGTTGLASSAPPKICQRCDVWYVVGDDFYSYCSIL >OMO87540 pep supercontig:CCACVL1_1.0:contig09224:57248:57322:1 gene:CCACVL1_08957 transcript:OMO87540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAENIKILDDRHVYSDSLKRSG >OMO87542 pep supercontig:CCACVL1_1.0:contig09224:72080:74837:-1 gene:CCACVL1_08959 transcript:OMO87542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRCAASVCLSEQPDSSTRKWYFSREEIENHSPSRKDGIDLGREEQLRKSYCSFIQELGMKLKVPQVGIACAMMLCHRFYMRQSHAKNDWKTTATACTFLASKIEETPRSLSDVIVVAYEIIYKRDPSAPGRIREREVYDKQKQLILTGERLLLATIAFDLEIQLPYKPLVAAMKKLEVGPNLLKVAWNFVNDWLRTTLCLRYKPHYIAAGSMRLAAKFQNVKLPMENGRVWWLEFDISPKQLQEVTQQMVKLLEQDKKRALPARHEKPSQSCTLDAPLAKDQSGAEAENGELRTSVNDCVRKVSLNEVFQHQTSDSGASSVVEDSNENNQKRTEVPGQNRSSKVVSSQAFGNKQVSLKENLTCQTSDSGASTVVDNGDGECERRIEESHVKPSFGIVSARNTLSKIDADRIRETLKRRRCDKAANSKRVRSIDDEADSEAWIERELESGIEMESSSSQKQRKVS >OMO87534 pep supercontig:CCACVL1_1.0:contig09224:17262:18152:1 gene:CCACVL1_08951 transcript:OMO87534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFEFDNVKAEKEDALWRYNMERKLKTIGLNFCGVFSVLFLLSWSFFPTLIPDTLGVAEDFLRRFISTFNKPLFTFLLLNFIILVVYLLSNQKQTQKQITNPDIYDEYVSSRRSAPASAVSAAFVPYSPPPEETVVDKQIVLVENAVAVSPVKQQRSTVIETVKETKRSLSPVKEQPTTITAVNTKHNKPAVPYPTEEFIIKTKEYRRSRSMVSESRNQRPHREFRRSETAVGRELVVSDREPPRKSMDEMSSEEFQSIIESFIAERKKTLLQENTAHFTRRKEKEKCMSIVVMN >OMO87539 pep supercontig:CCACVL1_1.0:contig09224:52385:54719:-1 gene:CCACVL1_08956 transcript:OMO87539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQGPVICPAVRSKQVGVYTLPVNGPFPRARLIRSDIWGFRGELDGKSKARAISRQLKLRKCRTTVHFEVRSGADGFMIKMRDGRHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVQIARPTMYQVVKDMIDRMGYTVKLVRVTKRVHEAYFAQLYLTKVGDETQSVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIESGKLSMQSPATDGLLFTELDRPSGKPCLDTEEFNIVCDLNEAINQERYQDAGTLPISSPAY >OMO99967 pep supercontig:CCACVL1_1.0:contig06760:7264:7503:1 gene:CCACVL1_03521 transcript:OMO99967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLNSNLYLQNCYIIKENERLRKKAQLLNQENQALLSELKQKLSKANSKGKGGNSGNAIPDLNLSLTSNPNPSNSSKP >OMP10541 pep supercontig:CCACVL1_1.0:contig02251:466:591:1 gene:CCACVL1_00886 transcript:OMP10541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEPSSFMISLCIDLGDMKTPYRKAEIVPLEQPGRTEQLPTF >OMO62656 pep supercontig:CCACVL1_1.0:contig13222:11441:11658:1 gene:CCACVL1_22706 transcript:OMO62656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHSKVEGNMANSHIKIERNWWANRVSTATLKSEHHQVAKGPSPPFI >OMO98751 pep supercontig:CCACVL1_1.0:contig07033:7056:8427:-1 gene:CCACVL1_04074 transcript:OMO98751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTSSGSALKFEIEKFNGTNSFQMWQSTVTDLLVQQGLGDALEADKPAAMNDSKWEIFNEKQELFGLKMKVGTSLRAHLNEFNRLVTQLASVDEVMKEIGETEKKDEENGSLTVERGKTNGRDYKNDARSNGRFNERGRSSSRPRVDMSSKECYYCHEMGHIKVYCKKLKEDLADFMKFKEKNKGSVNVAAADTEEYSDEDVVLMVQEEKKDTRNMWVFDSACSEHICTKKEWFSKFEKCDKPVYMANNGEEKIEGIGSVKLRLHDGSVKMLGNVRYVPNFTRNLISLGKLDSLGYGYSCRGGDLKITKGSMIVMKGVKNSKNLYELIGSTIRGDGSVSSHQLEKKDVDLPIKKKVTFTDLVKGWNN >OMO98753 pep supercontig:CCACVL1_1.0:contig07033:15058:18741:-1 gene:CCACVL1_04076 transcript:OMO98753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITMAEEKERKIPVFTVIKNGAILKNIFVINKPQEIEENEESEEILVVGRHPDCNIMLTHPSISRFHLQIHSKPSSQKLFVFDLSSVHGTWVSGKKIDPGAMVELNEGDSIKLGGSTRVYKLHWIPMSRAYDMENPFVSPMDVPMEEEDEEENSVKSHQEGKALSTKKCTDGKDSLLVEGKEEETSQSFAPAKAEELHSMDWILEGIFSLFSDESSEVLVKKEIPSAPPIPENMNCSIHEEDESTWMIILGLSEMELLGPQSELSSNIFGEVTLESQNQQFGKDNESILLETVMESITKGENTGRSFRKSELNTDNSENIDPSSVEQVENYSATKIVEETENKRPLQESQQFTDISPFSTDPHLIESINSTLPEEDGLLNVKSQKVHKEQQTPKPLSTWKPKAERENKENAAADQQTMLMNLDSTCSDGYSGNLYPSRKDYESENTSFYSGTLPSESVNSSFPTGEVLSEIMDKRNPTPQSLFAPIMMSEDENLDSSPLRLEKRSNMHTIWSRRGKPASVLQIQTRRSTGKADESTNNAENKSISKSPFLSSEEEKEEIHTPDKENFTPNTLLMKALKRKGKLEETKHPSKVISSPGLQPEDDVIASDKENQTPKVIKELKSAKKASQNGSVEEEDRMGIKGKAVRVPFQSLMVDSACKSVSEASVPNTTARSSNSNAFNFKTIEKRIGHPSLKKSVGEGRRIWTMVADATSLLDKESRKSLQLLQGLKGTRLIIPRMVIRELDRLKRHGCLFRRTTEASAVLEWIEDCMLKTNWWIHVQSSMEEGGPVAPTPPATPRSHFSEGSLSNSFGTIWSAPFSARGSLMEIGSPTAEDHILDCALLFRKLKSDGQLVLLSNDVTLKIKAMAEGLICETAKEFRESLVNPFSERFMWADSSPRGQTWSVLDDVVLRDKYNRCPLKKPSKGDVKGLKLILLHNSHYKQISSVR >OMO98752 pep supercontig:CCACVL1_1.0:contig07033:12205:13686:1 gene:CCACVL1_04075 transcript:OMO98752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MDLKERLSGDLQNKEHPSFTNFDTQNQQKWEDPSMLDYSTRIEPPHFLGFNQSSQTQPSNNQIKVPGQDGPVNEALQANKVQEWDPSTMLNNLSFLEQKIHQLQDLVHLIVGRRGQVLGRPDELVAQQQQLITADLTSIIVQLISTAGSLLPSVKHTLSAATPSIGQFGQFGGVVFPPGQGMNGGVQPQNASASKVSEQPNTVDVSSNSGNEQNHIIEEHDMKDEEDAEDGENLLPGTYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKESSSEPTIIKRYSCPYAGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYTCSRCNTKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLDENKGSIGSCNYTQKSGEE >OMO67622 pep supercontig:CCACVL1_1.0:contig12410:12950:17479:1 gene:CCACVL1_20416 transcript:OMO67622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MGRGGENYGKRENCGIDSSKQDTFPAWARDVKQCEEKYQVNQETGLLSAEVEKRRQIYGLNELEKHEGTSIIKLILDQFNDTLVRILLVAAIVSFVLAWYDGDEGGEMEITAFVEPLVIFLILIVNAIVGIWQESNAEKALEALKEIQSEHANVIRDGKKVSNLPAKELVPGDIVELRVGDKVPADMRVLRLISSTVRVEQGSLTGESEAVSKTVKVVPENSDIQGKKCMVFAGTTLVNGNCICLVTQIGMDTEIGKVHSQIHEASQHEEDTPLKKKLNEFGEVLTMIIGVICALVWLINVKYFLSWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAIGSRPGTLRPFDVEGISYDPFDGRIQGWPAGRMDANLETIAKICAVCNDAGVEQSGNHYVANGLPTEAALKVLVEKMGLPEGHGSSSGHGDPQRCSQLWNKMEQRIATLEFDRDRKSMGVIVNSSSGRKSLLVKGAVENLLERSSFIQLLDGSIVELDQYSKDLILQSLHDMSSDALRCLGFAYKEELFEFTTYNGDEDHPAHQLLLNPSNYSSIESKLIFVGLAGLRDPPRKEVRQAIEDCRAAGIRVMVITGDNKNTAEAICREIGVFGSHEDISSRSLTGKDFMEHPDQKNHLRQSGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGIAGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITAWILFRYLVIGLYVGIATVGVFIIWFTHDSFLGIDLSGDGHSLVTYSQLANWGQCSSWEGFSVSPFTAGSQVFKFDANPCEYFHSGKIKASTLSLSVLVAIEMFNSLNALSEDGSLLTMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVIAVAFPVILIDEVLKFIGRCTTGLRYSGARKAPKHKAE >OMO67620 pep supercontig:CCACVL1_1.0:contig12410:1720:4215:1 gene:CCACVL1_20414 transcript:OMO67620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCNKPFRLLFWVSLVLCLECFAKGFTPADNYLIDCGSPTNTTVGLRVFMADNLASKLLSAPENVLGSTSKALTSSGDSPIYQTARIFTGVSKYTFSISQPGRHWIRLYFYPFVYDKYNMSLAKFDVSTKDHALLSSFSVQAPLVKEFSVNVTTNTLVITFTPSQNSFAFINALEVVSVPDQLIPDTTKSFKSSDEFHGLMWQALETVARVNMGGPTVTFDNDTLWRTWVPDQRFLVEKNLAVSVSNIRAVKYVDGGSTQDIAPNYVYGTCTRMNSLNDPTSNFNVTWEFDVDPGFQYLLRFHFCDIVSTALNQLYFNLYVDSSMVLQDFDLSTIMMNVLAAATYMDYVTESATSSKLRVSIGPANMNNAYPNAILNGLEIMKMNNSDGSLTGSGIVNTSSSSSKRNVGAIVGVSVGAAFGVLLAGVLFMFCRKRRRLAQRRQSKTWMPFSINGGTSHTMGSKYSNGTTASLNSNASYRIPFLAVQEATNNFDESWVIGIGGFGKVYKGELNDGTKVAVKRGNPRSQQGLAEFQTEIEMLSQFRHRHLVSLIGYCDEKNEMILVYEYMENGTLKSHLYGSGNPSLSWKQRLEICIGAARGLHYLHTGYAKAVIHRDVKSANILLDENLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPTLPREMVNLAEWAMKWQKKGHLEQIIDTNLAGNIRPDSLRKFGETAEKCLADFGVDRPSMGDVLWNLEYALQLQEAVVQGDPEDNSTNMIGELSPQINNFSQLDPTVSSAQFESVDDLSGVSMSKVFSQLVKSEGR >OMO67624 pep supercontig:CCACVL1_1.0:contig12410:21641:26334:1 gene:CCACVL1_20418 transcript:OMO67624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFDLNIPYTDSTPPNTANSSAAKTARIKIVIKAMELGYTGIAYNRTIKGVMSDRDRCSIPLLTLSSLLKAAPFLSSSVNLHRDLLGVPRSSPFRQYTRLTVCVDNASQSQALNSGNPVLKTYDIVAVRPFNQITFDHACEKAEVDIISIDFSDRVPFRLKLPMVKAAIKRGVYFEIAYSGLIVDVQLRRQMISNAKLLVDWTRGKNVIFTSAAPSVCEVRGPNDVANLASLLGLSVERAKAAISKNCRSLLAAALRRKHFFKEVIRVEAVSSSVPFDSGKPLSADWLKWDPISSGEGDLLLDDMAKSFSASSNVSKTVKAIDFDSVVDNMPSHGFQVKDLISGTKTASLSPTLLSTNEPVEFSTTTNQTSEKSSTLNLLPETDKALSDDRPSEHLTFIFGDSQKLYMANDATKALTDSEEVVTNSTTTKEELETQNDSHVACVSLETEGQGLQSENSSPSYEQNTVLVNEDVRIEASGNEQNVALGSLTSQDVSVMPIENEASQTSAMDIELNAAIEISPPSEDNSLPPTQNKDTNSSKESHVDLGAQSIKVDDEAVHMDTEMTHQENTPLSTNNVSLPENISERISWRVPEDAAVSADQISFQQSDDEVRVKNDSLVANNENQVEAVMNIPPSVLSDPWHENAIVREPTTLPGDAGGLAGSNPCPNSDEEMKAIDISSRTIDEIQEVSSEGQKHGEHDSKSNELTLGQHISANSEMALIPNLLGNLRNTIIDPSSLDAFDPFKNMDYPSVATMLELSRLRGDQINSAAIAIAGPRVDWKETSEGHMFMAEVPGLKKEEIKVQIEDNRVLQIIGEKKVDKEEKNDTWHCVERSSGKFSRRFRLPDNAKISHVKASMENGILTVTVPKLETKKPEIISVHISG >OMO67623 pep supercontig:CCACVL1_1.0:contig12410:18261:18572:-1 gene:CCACVL1_20417 transcript:OMO67623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OMO67621 pep supercontig:CCACVL1_1.0:contig12410:7744:8202:-1 gene:CCACVL1_20415 transcript:OMO67621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTCISNCINDTRVPVRPTYVNLYKWPESDAEFVRSLSADSRKSGGSGVVGGRPHPRVVDSISCRQMYLRSYTFHRNDQRESEKTKCFGRVNNNKKEKGAAKSPRRKRNKSKKKKKGVVRRAREVSCAALLAMFRRLLSCTTKVDVADHGD >OMO90589 pep supercontig:CCACVL1_1.0:contig08457:353:10513:1 gene:CCACVL1_07333 transcript:OMO90589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDPLLPAGRVVAAMAHRGKAPHGKTDWWLRLDPSEIPRPHVIFKTLRDPSVINEMCKRSVLNLAFPTLAMDIDSPGHQIIHVQVKIDLEINAPNGSLSYGMMWTLVKDEYARVIIITETRDGLEGAQRLVVDHGHILVLIVPPMLASGGVWVELEEANEKEGPEFEDAISTITEVEKFISNRLKTLDMVINL >OMO90590 pep supercontig:CCACVL1_1.0:contig08457:17893:20223:1 gene:CCACVL1_07334 transcript:OMO90590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTSKSSNMGILEIITSILLLNVLFLISFTLANSNTYIIHMDSSAMPKAFSTHHSWYSSLLSSVSETTSETEDDSSSLATCKHLYTYTNTINGFSAILTLSELESLKNSFGYISHTRDASLKLHTTHTSQFLGLTSVSGAWTAPNQGEDVIIGLLDTGIWPESESFSDEGMTKVPQRWKGICQFNSFLCNNKLIGARFYNKGLIADNPGVKIPFLNSPRDSNGHGTHTSSTAAGNYVKNASYFGYALGTAKGMAPRARIAMYKVSYKYGTYASDVIAAMDQAIADGVDILSVSLGYDADERLLEEDPIAIAGFRAMEKGVPVVASAGNDGTIYYRLLNGAPWLLTVAAGTLDRQFYGVLTLGDGVEINFQTLYPGKYSLNQMPLVYNEKCDNETELRKLMKNKIIVCKDNFSLSDQYKIAESAKVSAAVFIFNDSSWSEFSTRYYSRSSFPAAFLSIQDGGDTLINYIKKNSDPRGSFQFQKTTKLGTKPAPHVADYSSRGPYLRCPSILKPDIMAPGTQVLASWSPIGEVTTIRSRSVYSNFNFNSGTSMATPHVAGVAALIKKAHPDWSPAAIRSAIMTTASVLDNTNSPIKDPSMPGIPAASPLDMGTGHISPIKALDPGLVYDATTQDYIKVPCAMNYTSRQIRIFTNSSYSCKSRSLDLNYPSFIAFFPDDQNNSTSNQTVVHVFQRTVTNVAKGGMAYTAKVTGMDGIKVKVEPQKLIFKQIYEKQSYKLSLEGPKSLKKDIIFGYLSWVDDGGKYLVRSPIVATNMMP >OMO50667 pep supercontig:CCACVL1_1.0:contig16086:8118:8668:-1 gene:CCACVL1_30324 transcript:OMO50667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSSPATSLSYASRQCYNLFSFPSWKQPHLISFASFSRSRSISISAPSCSSASPLLSLSENDNIPDFGGGESYENLVKGSKTLLKGMNYAELQEWAQSHGFRPSPLMEVLDFDVDEAFYYGAANELSSISPMPWHQLQFTNIYAVKTD >OMO87713 pep supercontig:CCACVL1_1.0:contig09194:16454:16951:1 gene:CCACVL1_08809 transcript:OMO87713 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MKPQNLMNPTSSSSLKSRFTTRFLRALSRINARKQFTSTSSPREIFQRYRRIKVAADKSMAYSVRSKRRWSRVMLSKLRSRSSSNSFSSVRLRRVKKSSATKTGHEKEAKIKSGGDQEEADELRELVPGGEAMDLCNLLDETAHYIKCLNTQVQVMRKIVDFYSP >OMO87712 pep supercontig:CCACVL1_1.0:contig09194:9768:10519:-1 gene:CCACVL1_08808 transcript:OMO87712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKATEPSPQQGLASIPPDKGGTKRGRDVMEKDSGGEDFPPLVKDGKPSAFVSKGLYAATLTIKDEAVASDSEEEGEIHEEYDSDDDVLQIGTEGPLKQLWSLSGTIQLIDLDNGYYCVKFSNSKDYDYVFTGGPWVITDHCLTVRRWTPYFRFDEATIDKVATWIRLPLMPIEFYDDWILRKIADLLGSLFVLTRPLHRHLVGNLRGSVLK >OMO56682 pep supercontig:CCACVL1_1.0:contig14489:1492:4141:-1 gene:CCACVL1_26343 transcript:OMO56682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFIYLLNKDTVIIKPPKKSPLFLRTIVLLFAMVCGVYICIICLKQITSVTKIKFHNIQVIERPSADSSIVSRLEAQVPTLHYPKPETFSRGECTQNPVRFFAILSMQRSGSGWFETLLNSHINVSSNGEIFSAMERRKNVSTIVQTLEKVYNLDWFTSASKNECSAAVGFKWMLNQGLLEHHREIVEYFNHRGVSAIFLFRRNLLRRMVSVLANSHDRYAKLLNGTHKSHVHSQEEAKALSSYKPIINSTSLISDLKEVEMTALKALGYFNSTRHMVVYYEDLVTNHTKLRDVQEFLGLPHMELTSRQVKIHKGSLSDFVKNWDDVNKTLNGTEYESFLRADY >OMO56695 pep supercontig:CCACVL1_1.0:contig14489:65765:67456:-1 gene:CCACVL1_26356 transcript:OMO56695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MSDQGIAISITTPLLHAEECVKDDASAEKQDFFQCQCKAWRPSLSKVVEEIKQLYAIALPMIFTGLLIYGKSAISMFFMGKLGKEVLAGGSLSIGIANITGYSVISGLAMGMEAISSQACGAKQWPLMGQTLQRTIAILTLTCLPISLLWLNIEPILLFCGQDPVITSVASTYLAFSLPDLLFQSLINPLRIYLRTQNITLPLMLSAAFSLALHGPINYILVYHLSLGIQGIAVAVALTDFNLLITLLLYLFFSGICDKTWQGWSMECFGEWKPILCLAIPSCVSVCLEWWWYELMIVLSGLLTNAPEAVATMGILIQVTSLVYIFPSSLSLAVSTRVGNELGASQPSKAKTSSMVALTCAVFTSFIAMSFMTTMRNAWGRIFTNDKAILTLTATVMPVVGLCEIGNCPQTTGCGVLRGSARPTLGANINLGSFYAIGLPIAILMGFVMKIGLLGLWLGLFAAQVVCAVVMIIIVAKTDWSVQAKRAKQLTGINAGNEEEEESKIRD >OMO56700 pep supercontig:CCACVL1_1.0:contig14489:99568:100790:-1 gene:CCACVL1_26362 transcript:OMO56700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding protein MAQRSVPAPFLTKTYQLVDDPVTDDVISWNENGTSFVVWKTAEFAKDLLPNCFKHNNFSSFVRQLNTYGFRKVVPDKWEFANENFKRGQKELLSEIRRRKTVTSSPANGSANGKSTAGAGPSSPTNSGEDLGSTSTSSPDSKNPGSVETTTPAVVTQFSDLSDENEKLKRDNEMLSSELAQAKKQCDELVAFLTDCVKVGPDQINRIMRQGSCGSTRDRSHGVDNQDDDDDDEKGGEEGNGSLKLFGVWLKGAERKRAREEKIVYGGPHAKEMKTVDFGHVHLMKSGKVCN >OMO56699 pep supercontig:CCACVL1_1.0:contig14489:93562:98045:-1 gene:CCACVL1_26360 transcript:OMO56699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAVVFRHFLNSPLPSLSSSSSSSSLCLLSSFSPPSPRPQIFASSAQASSAMDITPKKFMDFPFVSAPHRNLMIDLVSTVETRLESQLKPSSLPPDVQYYHNQSGTSQGSLHIRSGHISSQVDFILGSWLHCELPTGGALNITSLSAYLNASIDAPNFLIELIQSSPTSLVLILDLPPRKDLVLYPEYLQTFYENTKLDSLRQTLEKLPEVQPYYSSALYIRCVTSPTSIMIRVNAEGAGPGRMEEIVKDHIDPVAKQALAIWLDQCACGQRNVDEADKAYLEKRDGLIKNKTIEIDLGSSFPRLFGPDIANRILGVIRDVYNASLYRATSRRDKPRGRHHGLSQQKRQEIKEAFELFDTDGSGTIDAKELNVAMRALGFEMTEEQINQMIADVDKDGSGAIDFDEFVHMMTAKIGERDTKEELLKAFQIIDQDNNGKISAQDIKRIAKELGEHFSDKEIQDMIEEADRDHDGEVNMDEFIRIMKRTTYGY >OMO56685 pep supercontig:CCACVL1_1.0:contig14489:25146:32002:-1 gene:CCACVL1_26346 transcript:OMO56685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGASSFQPRIISSFVGDRLVQSKQPLSQLFGYHPGCKHVSMQLPRRLSGLTNLLFNRRSDLEEVPNSQRKRLRPGKISPRRPVPNHIQRPPYVKTRMAPGIASGPEVHDLKGIECMRASGRLAAQVLNYAGTLVKPGITTDEIDQAVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFFCGDVDEEARNLVKVTKECLDKAISICRPGVEFKKIGKTIHDHADKFRYGVVRQFVGHGIGRVFHADPVVLHFRNNDGGRMVLNQTFTIEPMLTIGSINSVMWDDNWTVVTKDGSLLGVLPSSYGQRITVLSIDGGGIRGIIPATILSYLELKLQELDGENARIADYFDVIAGTSTGGLITAMLTTPDENGRPLYMGKDIAPFYLKHCPNIFPRSNYRRMIMKIITLIRPKYNGKYLRKIICKVLGNRRLHETLTRVVIPTFDIKLLQPTVFSTFEAKIDTSKDALLSDICISTSSAPTYFPAYRFKTKDSEGNDREFHLVDGGIAANNPALLALKPTGTAFPGDQEGSLGRALNYENYLIISLGTGTSKMEKKYNAKMAAKWGILGWLYREGSSPLVDAFTSAGADMVDLHMSLIFRSINCEQNYLRIQDDRLSGDASSTDKATQKNMKNLVEIGERLLQKPVSRMNLDSGIFEPFEKEGTNQEALSRFAKLLSEERKLRWAEIEKQLGNN >OMO56694 pep supercontig:CCACVL1_1.0:contig14489:63917:64474:-1 gene:CCACVL1_26355 transcript:OMO56694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recoverin MSRMSFIEFKYGGFQKKLSRKPTHQLERQKSNVSSKTYQPNVEEMKWVFDKFDTNKDGKISKEEYKSALKVLGKGMAETEMAKAFSAIDTDGDGFVNFKEFTEMMRNMGEGVNANDIQSAFRVYDLDGDGKISAEELMKVLKKMGERCSLDSCRKMIRAVDADGDGLIDMNEFMTMMTRTMKPSK >OMO56693 pep supercontig:CCACVL1_1.0:contig14489:62635:63524:1 gene:CCACVL1_26354 transcript:OMO56693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSSHGNAKYTPAGYPATAMNVPHHPHVSPFHGTAASAAGWSTGLCHCCDDPANCVITCFCPCVTFGQIAEIVNKGSISCAASGAVYGLLALTGLSCLYSCVYRSRLRGQYDLEEAPCADCLVHFCCETCSLCQEYRELKNRGFDMGIGWNANMDRQGRGVTVAPVVGRMAR >OMO56703 pep supercontig:CCACVL1_1.0:contig14489:120981:123142:1 gene:CCACVL1_26365 transcript:OMO56703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALEWPVVHVGQLVPPELAYKAPGRPFSSFGPPKRAETAYQTHILLLHVL >OMO56683 pep supercontig:CCACVL1_1.0:contig14489:14214:16789:1 gene:CCACVL1_26344 transcript:OMO56683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin/Phospholipase A2-related protein MATRSSSSRIQPPTFGNLVTILSIDGGGVRGIVPGVILAKLESELQKLDGEDARLADYFDVIAGTSTGGLITAMLAAPNEDGRPLFAAQDIVPFYLKNSPRIFPRPGGIMSPAVMLLKALGGPRYDGKYLQKLIRDSLGETKLNQTLTNVAIPTFDIKKLQPTIFSNFQIPINPNIDALLSDICIATSAAPTYFPAYYFKNNDDEFNLIDGGIAANNPTLVGIREVMKQIMKENPDYSAMDPLDYTRFLVISIGSGSNKLEQKYNAKMASKWGVISWLFENGHTPIIDCFGEAGKDMVDYHNVVVFQALNSEDKYLRIDDDKLQGDLASVDIATKENMDNLVKVGEDLLKKTVSRVNLDTGLYEPIQNGGTNEEALQRFAQLLSDERKLRESNTPKANGSTVSIGLTNGST >OMO56698 pep supercontig:CCACVL1_1.0:contig14489:87620:89484:-1 gene:CCACVL1_26359 transcript:OMO56698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMFNFTRRGPETKPRAEAAGEVD >OMO56688 pep supercontig:CCACVL1_1.0:contig14489:45022:45090:-1 gene:CCACVL1_26349 transcript:OMO56688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNKAANGVCVGKGQQIQEGW >OMO56690 pep supercontig:CCACVL1_1.0:contig14489:50522:55035:1 gene:CCACVL1_26351 transcript:OMO56690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRVKKEAEDVQQRTTSFYGRRLKPTAEDDLTIAQLSHTPKLKPSSSGNQKKGKALTEIKEKQKRSQSESIIKPAVSDSGGKQTSSSKNKGVGDGKGTPEIKSPALIRAEEVQSNLEPQFPSFAKSLVRSHVGSCFWMGLPGPFCKVHLPRKDTMVNLEDENGQQFYVKYYADKTGLSAGWRQFCTAHSLLEGDALVFQLVESTKFKLAGAYRPAAHHLCGTALLFFLHHILHKNNEDRRGGKYIAHDGTLDDAEIGTSGCKSTKRKRPKSLPLAVVQKKNKRPGLQTLACNLGQPAEQSENDSEEVGSEVLEGFKLSVPTTQFKDITSFDNFNILVDGMAIDSELSEDVRNKYYKLCCSQNSFLHENIVHGMNFKLIVGAISETVNIADAIRACKLTTPRDEFDSWDKTLKAFELLGMNVGFLRNRLRRLVNLAFESEGAADTRRYIEAKTERAQTENEIRNLEAKLAELKDASKTFGVEIESLQSKAETYELRFEEEVTAPW >OMO56692 pep supercontig:CCACVL1_1.0:contig14489:59073:61543:-1 gene:CCACVL1_26353 transcript:OMO56692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAIKCIEFHPSREMSMTVDEFKAWLHNFDADRDGRISQEELKDALQSLRVRFGWWKAQQGMKECKTKTIMLGREKRSARDHVISLPLPAYNIEKN >OMO56701 pep supercontig:CCACVL1_1.0:contig14489:110670:111348:-1 gene:CCACVL1_26363 transcript:OMO56701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPHAPPPPPPPPLPRHREKQLAVAFEPSSSSSPPQLPALMMPPLLPLYKQRSWSPDIFRDEAWLKRKGNSKNRRSKSVTDEDLDELKACIELGFGFDSPEVDQRLSDTLPALGLYYAVNKNYNDTVSKTSSPSAQSDCDSIPSPVGSPHAIFGPDDEEQAETMGASGCLYREAKHVKIRK >OMO56696 pep supercontig:CCACVL1_1.0:contig14489:73308:75184:-1 gene:CCACVL1_26357 transcript:OMO56696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDAIEASSPPSQFPSNFSSSNQLRHFYVAVDRLQFKMETLIDLLGVAGRRTGLPIVVCCSSRDELDAVCSAVSNLPYISLSSLYSDQAEAERSSILEKFREATAKWNQQVTVQTGDGHEVGNDEQESCMIVVTDTCLPLVASGESPISARVLINYELPTKKETYTRRLTSCLAADGIVINMVVGGEVVTLKSLEESSGLNIAEMPINISEIL >OMO56686 pep supercontig:CCACVL1_1.0:contig14489:39602:39682:-1 gene:CCACVL1_26347 transcript:OMO56686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRAGSAGSKGSKTLPAGGMVSTES >OMO56684 pep supercontig:CCACVL1_1.0:contig14489:17619:21998:-1 gene:CCACVL1_26345 transcript:OMO56684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAPVNWEALDALIIDFAKSEKLIEVSSPPSSPSLTSPSSPSLSSSSYHSRLIIRQIRRSLEAGDIDAAIDLLRAHAPFVLDDHRLLFRLQKQKFIELLRKGGTKDRGFAIDFLRKSFAPCALNAYPEAYEEFKHVLLAFLYDKDDQTSPVANEWAEKRRYDIAGLMSSVLRAHLHAYNPIFSMTLRYLISIHEGFCFRQGISSPISDLTERLLLEERDPPAIPQESLYEAPPFDEVDVQALAHAVELTRQGAIDSLRFAKGDLFQAFQNEICRMRLDASMLDELVREYCIYRGIVESGMHVLSEPSKVNQPDKQESGYSSSQDCSHDVDYSGAKCSNSQTSGITDPSSMQGTDGELRYASEPANNLEDCSTSGSNQSENSRILRNRSHVTGERSKRKRWRGRHDDLDFISDIDFNRSCKQEGLESMNGENKYEIVLGMKELASRGMAAEVVEEINGLDPAFFAQNPVLLFQLKQVEFLKLVGAGDHSGALRIASSHLGPLAASDPTLLKPLKETLLSLLRPNEDALVTGLPLHALATSLQVAFGKRLGIEEPQLMRIMRATLHTHTEWFKLQMCKDPFESLLRIDSLKENSSPVLTSLATSKSINDSCTLGSSQATISSTTRISDDVGSPNQASSRDVICDENAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >OMO56697 pep supercontig:CCACVL1_1.0:contig14489:77949:86496:1 gene:CCACVL1_26358 transcript:OMO56697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPGVGNKFVSVNLNKSYGQQSSKHHYHSNHSGPYGSNRARPGVGGGGGGGMVVLSRPRSAQKSGPKLSVPPPLNLPSLRKEHERFDSLGPGGVPASGGIPGSGPRPGSSGMGWTKPGTVALQEKEGLVAAVDHVNDGVDQGLNNGEGVSRGSSGVYMPPSARAGVAGPTSSVSTSVQAFPPLEKASVLRGEDFPSLQAALPIVSGTEKKQKDGLNQKQKQSAIEELSNAHRDGSRLSSVIDMRPQLQSGRVSLGNRLNENGGEGHGASSSSLLEQGRKQDEYFPGPLPLVRLNPRSDWADDERDTGLAFKDRGRDQGYSKSEAYWDRDFDMPRAGVLPHKPAHSPFDKWGQRDNETGRTPSSEVAKLDPYGRDAKTPSREGREGNAWRASSPLPKEGIGAQEIASDRNGIGTRPSSMNRERENKYSPSPFRDKAQDDVGRRDVGYGHGGRQSWSNPVDSFSSRGPERNTRERYGNEQYNRYKGDAFQNSSLSKSSFSLGGKGLPVNDPILNFGREKRPLSKNEKPYLEDPFMKDFGTTGFDGRDPFPGNLVGVVKRKKDMLKQADFHDPVRESFEAELERVQKLQEQERQRIIEEQERALEQARREEEERLRLVREHEEQQRRLEEEAREAAWRAEQERLETLQRAEEQRIAREEEKRRIAMEEERRKQAAKQKLLELEERMAKRRAEASKGANDLSSGADENNSGMTKERDVSKAADLGDWEDGERMVERITTSASSDSSGLNRPFDTPSRTHFSNASSAFVDRSKPFNSWRRDVFENGSSSAFSGQETENGHHSPRRDGSIGGRPFPRKEFYGGTAYMPPRPYYRAGLPESNMDDFGQAKGQRWNVSGDGDHYGRNSEIGSEYHENLAENYADGTWGQRSRGNIYPPYPERFYHNPEGDGLYSFGRSRYSVRQPRVLPPPSLSSMHKTSYRGENEHPGPSTFLESEMQYNHATRVGSAMERVYDSGHQDDLAQHGVIDTRPENTENVVQKVDRNAARCDSQSSLSVSSPPDSPVHLSHDDLDESGDFTAEAGKEVDLSGQGIDALVLPAEGGKENVQTASSSISVGDDEEWTVDNNEQLQEQEEYDEDEDGYQEEDEVHEGNDGNIDLTQEFDELHLEDKESPDMMDNLVLGFNEGVEVGMPSDEFERSSRNEDSTYAMTQVSVGSVEEKVPFDGMHGDRKALRSVDGPSHGSVDSSPRILRETEKAMQDLVIQPNTASQASPASELMEHVDTSGSTGVLAEHTLPSSVSMASHSSSGGMPTAASVPNQAEAPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPQVGSSLTQMHPTQPPLFQFGQLRYTSPISQGVLPLAPQSVSFVQPNVPANFPLNQNPGVSLPVQPSQDTSVHNLLKNEVSSLIDNQSGLPRSLDLSHQSAMKEENSIPARKNVVTQQGHAEISSVGDSRSGSGFPAEDPGHLNLVRRNLKAVSSKQSEVKGSNTRSAFLPSEASHQESSGYQRRARRPRTEFRVRENSDKKQSTGMVSSNLSHHLGLDERSNANGRNNGFSTRNGVRKVVVVNKSKQTIESEHSSSAAGSSREIESGNRNEKGSGRESSLRSQTIPQSAEGNLKRNMEEDVDAPLQSGVVRVFEQPGIEAPSDEDDFIEVRSKRQMLNDRREQREKEIKAKSRVVKAPRKPRSTPQSTSAAANSNRKFASTSEVVNNVRSEFVGNEGRNLTNSELSAGFGATIVSQPLAPIGTPAIKTDAQADTRTQAVKSLQTSSLPATSGGGPNLVSGFMFESKNKVLDNVQTSLSSWGNSRINQQVMTLTQTQLDDAMKPVQFDARTPIGDRTSSVTESSMPTSSILLKDKSFSSAASPINSLLAGEKIQFGAVTSPTVLPPGSRAVSHGIGPPGPSRSEIQISRNLSAAENDCTLFFEKEKHSNDSCVHLEDCEAEAEAAASAVAVAAITNDEIVSNGMGTCTVSASDTKSFRGADIDVITKGDSDQQSASQSKAEESLSVSLPADLSVDNPPISLWPPLPSPQNSSSQMISHFPGGPPSHFPFYEMNPMLGGPIFAFGPHEESSSTQAQSQKSSTPASGPLGTWQQCHSGVDSFYGPPAGFTGHFITPPGGIPGVQGPPHMVVYNHFAPVGQFGLSFMGTTYIPSGKQPDWKHNPASSAMGVGEVDVNNLNMASSQRNSNMPAQIQHLAPGPGSPLLPMPSPLAMFDVSPFQSTPDMSAQARWSHVPAAPLQSVPPSMQLQQQQTEGVLPSQFSQGPPVDQSLTSNRFPESQTSTPSESSRKFPVATDATVTQLPEELGLVEPSAQHVAKSSSLTAVAGSGKTDVQNSVGIKSSGQSQSTSSAFKGQPSQQKNISSQHYNNSSGYNNHQRGGVSQKNGSGEWSHHRMGFQGRNQSMSGDKNFPTSKMKQIYVAKQQTTNGTTGSQQ >OMO56689 pep supercontig:CCACVL1_1.0:contig14489:45769:48751:-1 gene:CCACVL1_26350 transcript:OMO56689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGKMDIGSYMQAADWLKVIYVVFAFCSALFLGALKGLLVGPIAGLILIIGNVGVILGLLPAHIAWTVYTIIRTNRFDAPLKVAILIGLPALFGLWLALSIAGSVIVGVGYGFLTPWVSAFEAFRLEDESKRFYHCVVDGTWDTVKGSCTVVRDFADLCYHSYLLYLKELRESPVSNELRPLRLIHIPGLIIVGLLGLIVDIPFYTMIAIVKSPYMLFKGWFRLSHDLISREGPFLETVCIPIAGLTILFWPIVVIGSILVAIFSSFFIGLYGSVIVYQERSFRRGVAYVIAMIAEFDEYTNDWLYLREGTIFPKPRYRKKTGSELEYSVGGLGGKFSSVSGEAPAMLMPSLVHTVSVREAFQEVKMVQIWTHMMKSCEIRGKELLDTEVITPADLCEWLKAKNGNEGNIIGVGLPCYSFLHTLLNSIQSGSNGFLILDNVEVNHLNRPKDKLLDWFFNPIMVLKEQIRVIKLTDSEVKLLEKLVLFGSDIERMDGWENGSSVPQDSLRAAQIEGISRRMLGIARSISKFPTYRRRFRQVVKELVAHGSEKQDISRCGSMTSTSFSEQV >OMO56687 pep supercontig:CCACVL1_1.0:contig14489:40959:42141:1 gene:CCACVL1_26348 transcript:OMO56687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor MGRKCRGIGEIAVMELADVGVRTRAMASTETAKNKRRRLNDDEEEEEAEFKVTSSTTSTTSYIQLRSRTIPVDHHHRLNENRCSSPNLDHDDDVSCCSSNMGSNDKRIIELPDLEDESIEVETSTHFSSRESGRETTPTSELRAEPEDLDSTSRPSEASSRRRSTVEKMPTEAELEEFFVAAEKKLQKQFTEKYNYDIVKDEPLEGRYEWVRLKP >OMO56702 pep supercontig:CCACVL1_1.0:contig14489:116952:118083:-1 gene:CCACVL1_26364 transcript:OMO56702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ionotropic glutamate receptor MVVKAKADELNKLWWFLTPFTPNMWLAVVALITFSGFTIWKIEGQYDYGHGPSWIEALLFFGQRQLPRNNLTYLVMVPRLFLFLVLISVYTSTLTSMITSSLETDQASCFDIQNLIKTNASIGCDKNKFKYLVRILGFQSKNIKDISESSIDDYEKALSNGNIEAAFFSVAYGELFLAKFRKGFSAWEPIRGSTSSTMVFPRGSPFVKEMSKLNEMPNVIVSLPDCSSSTVNGSKSSGIGPGPFLGLFILCDGASALALFIMLIRLIIKRCEGLWIQRMLLGRGFWICFTTLFSRNQRGKEIQFRL >OMO56691 pep supercontig:CCACVL1_1.0:contig14489:56436:57062:-1 gene:CCACVL1_26352 transcript:OMO56691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNSEHKSHHHHYTPMSPRISFSNDFVETQQIMKQERSSIREAPVSSDFEFSVTNYSMMSADELFFKGKLLPFKDNCSNQMQRTLREELLVEDDDVNVTFRPPKGSTRWKGFLGLKRSHIGSKKAEKSEASSVERMGDSKRSGFNVHEETHVSKTSQELLSEGGSSCRDVEIGI >OMO71780 pep supercontig:CCACVL1_1.0:contig11557:43350:49064:-1 gene:CCACVL1_18073 transcript:OMO71780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSAWITSVSCSSSVIDSSGETSISVVFQWLGFIFLSPCPQRALFSAVDLLFLFTLLCFAVYKLYSSSNSHGNPDINKPLIRVNRSRRPTTTTTTVWFKLSLIATLVLAFCYTIICVLSFTRSSQEPWKKFDGIFWLVEAITHAVIAILIIHEKRFEAVNHPLTLRIYWVANFIIVSLFTVSAIIRMLAVENSRDQTLRLDDIVSLFSFPLSVVLLFVAIKGSTGVAVSREAEPAMDDEEEKLYEQLINKSNVTGFATASVVSKAFWIWMNPILKKGYKAPLKMEDVPFLSPEHRAERMSRLFETKWPKPEENCSHPVRTTLLRCFWKELAFTAFLAIVKLCVMYVGPILIQSFIDYTAGKRSSPNEGYYLILTLLCAKFVEVLSTHQFNFNSQKLGMLIRCTLITSLYKKGLRLTCSARQAHGVGQIVNYMGVDAQQLSDMMLQLHSLWVTPLQVVVGLVLLYAYLGAAMVTSVLGLLGVLIFAIYGTMRNNWYQFNAMMNRDLRMKATNEMLNYIRIIKFQAWEEHFNKRIQSFREKEFGWVSKFLYTIAWNITVMFSTPYLISTLTFGTALLLGVKLDSGLVFTATTIFRILQDPIRNFPQSMVSLSQAMISLERLDTFMMSKELVNASVERQEGCDGRIAVEVKNGVFSWDDVSGEETLKNINLEVKKGELTAIVGTVGSGKSSLLASVLGEMHKISGKVRVCGSTAYVAQTSWIQNGTIQENILFGLPMNREKYREVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDVYLLDDVFSAVDAHTGTEIFKECVRGALKGKTILLVTHQVDFLHNVDLIVVMRDGMIVESGKYNTLVDSGMDFGALVAAHETAMELVEAGNNMSEEKSPHKSKSYKSVSNVGEENGENSSSQDQPKPDKGDAKLIKEEERETGKVSLQVYKTYCTEAFGWWGVAAVLLLCFAWQGSSMAGDYWLSYETAADRATSFDPTLFISVYAGIAVLSFVLSTFRALFVTLVGLKTAQIFFGNILQSILHAPMSFFDTTPSGRILSRVASDQTMVDIIIPIFLGMLIPMYLSVLSILIITSQYSWPTVFLIIPLLYLNYWYRGYYLSTSRELTRLDAITKAPVIHHFSESITGVMTIRAFKKQDAFCHENVDRVNSSLRMDFHNNGSNEWLGFRLELIGSVFLCLSAMFMILLPSSIVKPENVGLSLSYGFALNTTLFFAIYYSCFLENKMVSVERIKQYSNLEAEAAWRIEDRVPPANWPAHGNVELKDLQVRYRSNTPLVLKGISLSIKGGEKIGVVGRTGSGKSTLIQVFFRLVEPTRGKIIIDGIDISMLGLHDVRSRFGIIPQDPVLFEGTVRSNVDPIGQYSDEELWKSLERCQLKDSVASKPEKLDSPVVGNGDNWSVGQRQLLCLARVMLKRSRLLFMDEATASVDSQTDATIQKIIREDFAACTIISIAHRIPTVMDCDRILVVDAGRAKEFDTPTRLLESPTLFSALVQEYANRSAGL >OMO69842 pep supercontig:CCACVL1_1.0:contig11963:9726:9907:-1 gene:CCACVL1_19231 transcript:OMO69842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVFVDILQMLRRRCSFNNNGAIPHAFFLFKVQHAFMQCKSRAKLSSFCHECWGVLANCSA >OMO69767 pep supercontig:CCACVL1_1.0:contig11991:25454:27644:-1 gene:CCACVL1_19291 transcript:OMO69767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MKRMLEDGSSANNSLFEDVTIDPVVRGFIRDWDAMEDLLHHVLYTGLGWEVGNEGQILFTDPLCTPKAVREQLVQLLFETFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVLEGAVQHIASRRFEIGGIELTKLLAQELGKSNPMVNLSMSDVEKLKEQFSCCAEDEVAYDKTLKSCEIEEHTLPDGQVIKIGRERFTVGEALFQPSILGLEAHGIVEQLVRSTSTVSSENQKQLLENTVLCGGTTSMTGFEDRFQKEASLCSSAIRPCLVKPPEYMPENLTVYSAWVGGAILAKVVFPQNQHVTKADYDETGPSVVHRKCF >OMO69766 pep supercontig:CCACVL1_1.0:contig11991:19063:21926:1 gene:CCACVL1_19290 transcript:OMO69766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MSLKGKRHCVCLPLIVLIITLISLCVGSHGDHQDPFQFQFDFGDRPTTFAPSTYGVSHHNNPNSYQTWSSLARAPAPKPKPKVVNVDAFGAKADGKDDSQAFKKAWKYACSSSQGAVLVVPRRKIYHVKPIDFSGPCKSALVFKPCKEAPTAVTFNECRNLQVGGVRIKNAQQMHLTFRNCFNVKASNLLVKAPGNSPNTDGIHVSGTQNIIIQNSVIATGDDCISIVSGSKNVRATAITCGPGHGISIGSLGAKNSEAYVSNVIVDKATLSGTTNGVRIKTWQVSAVQVSNVLYQNIRGTSASEVALKLDCSQSFPCRGIYLQNVDLSVPQNQHNNNNIAEASCSNVRLTYQGNVSPPCST >OMO69765 pep supercontig:CCACVL1_1.0:contig11991:9772:14575:-1 gene:CCACVL1_19289 transcript:OMO69765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MGEAAEAMNGGGGGGIVEVQPKPQKGLSSKLIDWLETLIVKLMYDSSQPQHYLSGNFAPSPAETPPTQHLPLQGHLPECLNGEFVRVGPNPKFAPVAAYHWFDGDGMIHGLRIKDGKATYVSRFVRTSRLKQEEFFGAAKFMKIGDIKGLFGLLMVNIQKLREKAKVLDLTYGNGTANTALIYHDGKLLALQEADKPYVLKVLEDGDLQTLGMLDYDKRLTHSFTAHPKVDPITGEMFTFGYSHEPPYITYRVISKDGFMHDPVPITIAEPIMMHDFAITENYAIFLDLPLCFRPKEMVKEKKLIYSFDASKKARFGVLPRYAKDELLIRWFELPNCFIFHNDLNSFNDFLSLANAWEEDDEIVLITCRLENPDLDMVNGEVKEKLENFSNELYEMRFNMKTGVATQKKLSESAVDFPRVNENYTGRKQRYVYGTILDSIAKVKGIVKFDLHGEPEEGKAKIEVGGNVQGIFDLGPGRFGSEAVFVPREAGTACEEDDGYLIFFVHDENTGKSSVNVIDAKTMSADPVAVVDLPHRVPYGFHALFVTEEQLQEQAKY >OMO69764 pep supercontig:CCACVL1_1.0:contig11991:4967:7573:1 gene:CCACVL1_19288 transcript:OMO69764 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding transcription factor, subunit B MPAKAGNGDGQLDHDHGARSMLHSTVYSEPWWKGVGTNQLADASKASSTEQLNGSVANGAVLSHAHGSLGNGDGRSGQDRQNLKHVPSALAEHLEPNSQMELVGHSIVLTSYHYTDPQYGGILTSYGPQTMVSPHLYGMHHARMPLPLQMEDEPVYVNAKQYHGILRRRQIRAKAELEKKVIKVRKPYLHESRHQHAMRRARGCGGRFLNTKKLDQDKSSPTSETGMDSDSNLSTKHDHLSGSERLSANATSKFKSLYDQQEGNGSLVMGFQKAEHLAKGNTNGHGLSSSKDAVEGNYFGQQRDVVLPLPGNKAQHGASLNK >OMO58319 pep supercontig:CCACVL1_1.0:contig14250:5741:7476:-1 gene:CCACVL1_25492 transcript:OMO58319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEVCARVRGELARLLRFSLQFCLWS >OMO58320 pep supercontig:CCACVL1_1.0:contig14250:11754:12163:1 gene:CCACVL1_25493 transcript:OMO58320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDRAEDENYTATLICFTLWNLWKSRCDFLYKRAPLNPMALVYRARYNAGEFLQAHVKDQEAGHLRCCSKTEIWRLPEEGTMKINSDGAFNSTDSKAGYGVIARDVQGKVIFGKHGQCRSSSALQTEALAMKEAV >OMO78705 pep supercontig:CCACVL1_1.0:contig10548:110470:115231:1 gene:CCACVL1_14207 transcript:OMO78705 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MHMTSAKFFRRTSVSLESSSTLPEDLNETVHPNRNWSSVLMEDVGLKSISPVDPQKAVFRAPEEVYESSSAEWKHCILAQFLSFKELRIDFGGVMGKWEPGDTRMDIDLRKFPLWLTLKHVPIEPYTKLGLSFIASRFGTPLYMDRATAMKQKISTGKVCVEVDLEAKLLDKIAVELRDGTAVTIDVEIPWRPTQCSSCKVLGHTDCSLPKQAPKQRWTPKKASADQDIAATNTIIVDTHTVNIVQPTLTDSSSKSQTSGSKLSAADTGKTSTSKQSANRFTILQNVEAPDAISAPVIETRVKVINSAASLSRLFPGWNYFCNYDHAPNGRIWFVWKDCCQVDFIDSCAQCITCKVTSYGKQFYVSAVYGANFEIERKDLWNHLLHLSGVIDHAPWLVARDFNIIFTLEESSDYNGSQLPGSDVLAFTKCISQLDLVDHIYTGPLFTWWNKREEGSIFMKLDRALVNIDWFFNFWSEHDDFLAIVAQSWSQTVSGRNPMIKLFRKLKRLKIVLRQFNKDKFGQLSNHVHSKREEIAQLQNSILQYASPQLIVDMKVKELELKELSIAEEKILQDTVPEEMKQTLVAPITPDEIQKTLFSMSSDKAPGPDGFNAHFFKTAWSVVKQDVIETILHFFNTCDLLPAFNSTAITRVPKVPNPSYITEFRPIACCIIIYRCITKILANRMQPCLPFLIADNQYAFIKGRKLVDNVLLAQEIVCGYNRSNISPRCALKIDLRKAFDSVDWSFLVNILQAHDFPDVFINWLSNCFMTPHFSLSLNGGLIGYFAGARGVTGRSHLSLSLCPCYEYDLLIFTKGNADSITGIKSILNLFYSYSGLKLNCTKSELFTVGISVEHVQELQTLSQFKIGTLPVRYLGLPLVSRNLSEKDCGVLIKKIKQRISNWAVKYLTFAGRLQLIQSVLFSIQNFWCQSFMLPGAVIKKVNQLCSSFFWKGTSTNAKGARVSWDWISHPKSEGGLSLKNMTIWNQACILKHLWSIFVQSGSLWIAWIHAYILKGSNIMEIPSLQRYSWNMKKLLKLRVKAAYFIHNGDWSQSTYIYKISLIYNQLRHRQPHVSWHRLLWFSYNYPRHSLITWMVILDRLPTKDRLRQWNLQLDSMDCVLCGQHTDCRDHIFFTCDYSKKVWMKVLAACRLHRTVGNWHYEFQWALSKLKGKSLLSLILKLAWNAFCYVIWRERNRRLFQRQMQTVEQSFQCIVDAIRIRLLGLRNVVRDPVNDFLCKSRCLQLVW >OMO78684 pep supercontig:CCACVL1_1.0:contig10548:7942:8883:-1 gene:CCACVL1_14184 transcript:OMO78684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKTEAGTSKQFRWTKPMERILLEILAEEAQKGNKPSNVFKPCSLHRVAAAISEKFNVTCESNHVENHLKTVKSTWLLISKIRGSSEFGWDENLKMITATKKVYDEALEKLEMYDEMALVAGKDMATGSFAKSFADIDLDNINVVDQSMPIDLEPIVDEEVKGKTSSSVGTSNVRSHRKRKNTQEAGDQDVIRYMADQLGEIANAIKLMVGESYLDSLYEQVMAMEGYSEDDLEAAYDYLMANQIEGKAFLRKSHNLRTRWLQRFFDGQV >OMO78685 pep supercontig:CCACVL1_1.0:contig10548:11882:17037:-1 gene:CCACVL1_14185 transcript:OMO78685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MAEHDLTEALLSPRSSDEPQTVLTILEEEEDSEPSDKPPSSRLSPLGNGIPRQNHIQFRINHENGHVSYPNPYDFLGSGQLSVPAPCTVDPFRNGTPFISGLYEVIKIVLCLPIALVRLVLFGLCLAIGYIATRIALEGWKDKQNPMPKWRCRIMWVTRICARCILFSFGYQWIRRKGKPAPREVAPIAVSNHVSYIEPIFYFYELFPTIVASEAHDSMPFVGTIIRAMQVIYVNRFSPASRKNAVNEIKRRASCDTFPRLLLFPEGTTTNGKVLISFQLGAFIPGHPVQPIIVRYPHVHFDQSWGIISLATLMLRMFTQFHNFMEVEYLPIVMPPDHQKQNAIHFAERTGQAMASALNVVQTSHSYGDLLLLMKAADSQQEKPWSYMVEMARIESLYHISSLEAVEFLDKFLSMNPDTSGRVKLHNFLRVLQLKACTLSEEIFGFLDVEKNGSITFKQFLFGSAHVLNQPSFRQACELAFAECDVEGDNFCMEKELEDKFRLAIPNLKEDEVSAT >OMO78704 pep supercontig:CCACVL1_1.0:contig10548:107225:107956:-1 gene:CCACVL1_14206 transcript:OMO78704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRWKGKGSEAKAIADPMSKIVSQLQSSLMQSEARGLLSSCSVLVEVDAEVADLLNRACFGRPRITAEKEKQWFQLDMEEAFYLGFSLKCLEVVSKDGCTKSDEELWEYMKSKKEVFPISYKAYSHLRNKNWVVRSGLQYGVDFVAYRHHPALVHSEYAVLALSEGENDINGRLRVWSDVHCTVRLCGSVAETLLILNVNDKGQGAISPSCLEHYTVEERTITRWNPEQSRENQGVLENQTK >OMO78694 pep supercontig:CCACVL1_1.0:contig10548:62183:63093:1 gene:CCACVL1_14196 transcript:OMO78694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTLEFGGGLELLCQSVKIHNVNVDLPKGAEKLTMKDLLSWVSTNLIKERPEMFMKGESVRPGVLVLVNDCDWELSGQLDTTLEEKDVVVFISTLHGG >OMO78696 pep supercontig:CCACVL1_1.0:contig10548:67688:70911:-1 gene:CCACVL1_14198 transcript:OMO78696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFGKKLKESQIQEWQGYYINYKLLKKKVNRYVQQLEVGAEDHRYVLKDFSRMLDNQIEKIVLFLLEQQGQLASRLSELGEQHDVILQQSDQSRISELQEAYRGVGHDLLRLLFFVEMNATGLRKILKKFDKRLGYRFTNYYVKTRANHPYSQLRQVFRHVGIGAVVGAISRNLADLQQHQGNYVSIYDQPALSHPDPVVHSIKAAVNRLSNSTTFLEFLGKHAFIMQEDLPTPSEEDVAEQRYHFMSLLLNLVNTFLYMVNTYIIVPTADNYSLSLGAAATVCGVVIGSMAVAQVFSSVYFSAWSNRSYLRPLVFSSIVLLIGNTLYALAFDLNSIAVLLVGRLFCGLGSARAVNRRYISDCVPHKLRMKASAGFVSASALGMACGPALACLFQTDFKIYKLTFNEDTLPGWFMALSWLVYLLWLCTCFREPPKEIQEIIVPQQVHPGSLANYAVENGFTQPLLSNTEAKQQGQNDQDQYDDGDEDSSEESPKPVTSIVSAYRLLTPSVKVQLFVYFMLKYAMEIVLAESSVITAYYFIWSTGSVAIFLACLGLTVLPVNIIVGTYISNMFEERQVLLASEIMVLIGILLSFHIGIPYSVPQYVGSALITFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADGTITLSGYLGVSRLLNTTLIPSLLICVSSIVATCFTYNSLY >OMO78695 pep supercontig:CCACVL1_1.0:contig10548:63941:66864:-1 gene:CCACVL1_14197 transcript:OMO78695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arsenical pump ATPase, ArsA/Get3 MADDLDLPEASVQNILEQETLKWVFVGGKGGVGKTTCSSILSILLSQVRSSVLIISTDPAHNLSDAFQQRFTKTPTLVNGFTNLYAMEVDPTVENEEVGGPDGMDNLFSELANAIPGIDEAMSFAEMLKLVQTMDYSCIVFDTAPTGHTLRLLQFPATLEKGLAKMMSLKSKFGGLLSQMTRLFGVDDEFGEDALLGRLEGMKDVIEQVNKQFKDPDLTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNIIINQVIFDDNDVESKLLKARMRMQQKYLDQFYMLYDDFHITKLPLLPEEVTGVEALKAFSQHFATPYQPSSEKGTVEELEERISSLKEKLADAEAELEKVRKGKQKV >OMO78702 pep supercontig:CCACVL1_1.0:contig10548:97703:99521:1 gene:CCACVL1_14204 transcript:OMO78702 gene_biotype:protein_coding transcript_biotype:protein_coding description:XPG/Rad2 endonuclease MGVGGNFWELLKPYARQEGFDFLRDKRVAVDLSYWIVQHETAIKNQATNPHLRLTFFRTINLISKELLELMGMPVLKAKGEAEALCAQLNKDGYVDACITADSDAFLFGATCVIKCLRPNSKEPIECYRMSDIEAGLGLKRKHLIAISLLVVNDHDLKGVQGIGLDKALRFVRKFSEDEILDKLCQIGKGDLPLFQDGIICVGDVTPCLDDCSTKPKQSHCSFRGHPGSKKSHLKLSCEYCLTDGNVGCLKKSPNFKCNCSSCDKVRKEKDQKKHDNWWIKVCNKITMEPNFPNDEIIEMYMCNNHAMLTGWSCIFSHILIFVFKSKALTLQFLVRALKGIRFSLYALILLFCSFDIVLC >OMO78683 pep supercontig:CCACVL1_1.0:contig10548:929:4885:-1 gene:CCACVL1_14183 transcript:OMO78683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIIFSPRGKLYEFASSSMQATIERYKKHTKDSRPKPNEQNMQHLKAEAANMVKKIELLEVSRRKLLGEGLGSCTLEELQQIEKQLERSVSSIRARKTQVFKEQIENLKEKEKALATENARLCEKCGMKAWQGSNPNEQMRENSNDNNVATYDHESSPSSDVETELFIGPPESRTRSFLQPN >OMO78691 pep supercontig:CCACVL1_1.0:contig10548:52948:54018:-1 gene:CCACVL1_14193 transcript:OMO78691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MAAIQKQEVEEDEDTRAVDLSINSGGADGAAASKYPKEEPDTEAAIGVMPMAAVHVPSALPMPLATPPAAAPKRASTKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIIAATGTGTIPAIAMSVNGTLKIPTTSNANPDPGDPNKKKRKRPANSEYVDINDTVSVSSGLAPPHIFTFPATATPLINISARPMSSFVSAMQHGGVSLAAAATPVGQLQSNVAVTSSTLPASKTVKTTSVMAPSSSSAATNTSTSTTTQMLRDFSLEIYDKQELQFMTRSSKH >OMO78698 pep supercontig:CCACVL1_1.0:contig10548:82753:86247:1 gene:CCACVL1_14200 transcript:OMO78698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTKMASPEEAKLELFLQWLQVNGGQFRGCKIKYCDCEKGFGIYSSDDSPEDGVLLVVQLNLTITPMRVLQDPLIGAECRAMFEEGEVDDRFLMILFLTVERLRKNSSWKPYIDMLPTTFTNPLWFTDDELLELRGTTLYRATELRRKDLMSVYEDKVKDLVKKLLVLDGNSERANSVFWSRALNLPLPRSYVFPQFQEDQDISCPVDKNSEVSTSQTSSGETVTENDGKSEGFDAHANDTEVTGVTSTSGQGETVWVEGLLPGIDFCNHDLKAVATWEVDETGSITKVPFSMYLISALQTPLPVDKEISISYGNKGNEELLYLYGFVLDNNPDDYLMVHYPGEALQNISFSDFKGQLLLAQNAAMRCLLPKNLLHRGFFPIGSSSNKANNTCEADKIRNFSWSGQRRTPSYLNKLVFPEDFMTALRTIAMQEDEVSKVSSLLEELVGSEGERQPSETEIRTAVWEACGDSGALQLLVDLLQKKMMDLEEASGTEDCDSEILENAQIVECPEQNTREKNDSTRNKLMSRNRWSCIVYRRGQKQLTRLFLKEAEHALQLSLSEGN >OMO78699 pep supercontig:CCACVL1_1.0:contig10548:86428:87658:-1 gene:CCACVL1_14201 transcript:OMO78699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEYDDEEISIVETTIPMLEVEPEAEIENRRRERPREPCWKGETVKSIVYAGLDAIVTCFSLISSISASHLSSVDVLVLGIANLVADGISQSLGEFLSTSTKEDLAAKERVVTEWDVNNHKIDKLEKLLQQYQNLGMDINDANMVVNILAKYDQILIEENMKTEKGMPPPDEQGGKKSWKNGLITFFSFVGFGVAPLLSFIILKPFTDNDFVMFIGACFMSALALALLGLARAKIAGKNYVLSVGVVLLNGGVAAAAAYFLGWVLRKDGLDEPITTKVE >OMO78701 pep supercontig:CCACVL1_1.0:contig10548:94306:96241:-1 gene:CCACVL1_14203 transcript:OMO78701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRQRKHFPLERRPRMLKDFLLDDSNSCSSNGFKSFPRKPCHDSSSVIRNLIQIDLNSDHAKANQGQRLQRSRSKAAATTISALQAMINAVKAIHFITSVKSPSILPRTLSRKLSKKSSAKETEVKITVRVKDIIRWKSFRDLVDEKSPPSDFASSPHHCTTTTVTTTTTGSTCTPCSSNGSSWCESDFTSEYLPFEELSGRQHEVYVVGKKFLPCVGNGNDPMETTTQEAANTAVGPKYASEDEKEQNSPLSVLDYEYEEDDEEPLSSFNRSLATIRRKKQKLMQNIQRFESLAKMEPFNLEKWIETEEENGEDDYVEEEEEVEEKAWQLLNHVKETSSLKSYRDVSIEKLLLDLFREELATKWNQTREQEVENDLIRQAKAWIKGEQKERAKWGVGEKREAYVRDMEREGKWSKFGEEQEELAMAVESKVMNILVDELLNDLL >OMO78686 pep supercontig:CCACVL1_1.0:contig10548:17690:17965:-1 gene:CCACVL1_14187 transcript:OMO78686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNTIQKKQSKIVCECEPQAKSRVGTARLGSVIGVSQLVLPWLRRLISPYYYSCYRHGVHNSSADCYRKMATVLPGQLLQTPPNRAETQV >OMO78688 pep supercontig:CCACVL1_1.0:contig10548:28201:30498:1 gene:CCACVL1_14190 transcript:OMO78688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKIFDAMLLLVAIIAILSTASADSRQTYIVRMDKAKITNTFINSIGDSKPWHEGVLDSIADHVSTQQEATPPELVYSYETAFFGLAAKLSAKQLESLKKVDGFVSAIPDQMLSLHTTHSPQFLGLEGSKGSLWHSSNFESSDVIIGVIDSGIWPEHDSFQDHGMPPIPARWKGSCEKGSNFSPSNCNKKLIGARYFSKGYLASGGKINQTEENLSARDDIGHGTHTASTAAGNHVANASLFGLANGTAAGISYTARIAMYKACWGNGCSASDILAATLAAVEDGVDVLSLSLGSHADPYFEDHNAIGAFFAFTSGVFVTFSAGNSGPFESTAANTAPWIMTVAASTTDRSFPAIVKLGNGEIFEGTSLYSGKATKELPIVYGETAGSVSKGAEYCMPGSLNPKLVKGKIVICGFIGTTEMGEQVKLAGGVAMVTLNFRDEDLLADAHILPEASLGALASDAVMKYVNSTKLPTASIAFKGTSYGNRAPMVAAFSSRGPNSVGLDLIKPDVTAPGVNILAAFPAVISPSFLKSDKRRSKHKNWSPAAIKSAIMTTAYTIDNRGKPIADLGVRGSEATPFPIGSGHVDPVKASDPGLIYDITTVDYLNYLCSLKYSAAQMALFSEGFTCLEKATMQPGDLNYPSFAVNFKSKAHNTTFTYKRTMTNVGIPRSTYKVSVQAPKGVSIIVKPSVLSFKKLGEKLSYKVSFVGLKTGETGGSSFGSLVWVSKKYRVRSPIAVTWR >OMO78700 pep supercontig:CCACVL1_1.0:contig10548:88051:90152:-1 gene:CCACVL1_14202 transcript:OMO78700 gene_biotype:protein_coding transcript_biotype:protein_coding description:UAA transporter MKNEEQSRSLFGISLTDKPKWKQFLICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQGFVYLFLIYLQGFSPEQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQLMFKSTKVLPVMVMGAFIPGLRRKYPAHEYVSALLLVVGLILFTLADAQTSPNFSMIGVVMVTGALVMDSFLGNLQEAIFTINPETTQMEMLFCSTVVGLPFLIPPMLFTGELFKAWNSCSQHLYVYGVLVFEAMATFIGQVSVLSLIAIFGAATTAMVTTARKAVTLLLSYLIFTKPLTEQHGTGLLLIAMGITLKLLPIDSISKPVAYRRVSSSSTNGKTGNPSTSEEMRRTSEIEEEKRPLV >OMO78703 pep supercontig:CCACVL1_1.0:contig10548:104938:105669:1 gene:CCACVL1_14205 transcript:OMO78703 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-splicing endonuclease MKPRWKGKGSEAKAIADPMSKIVSQLQSSLMQSEARGLLSSCSVLVEVDAEVADLLNRACFGRPRITAEKEKQWFQLDMEEAFYLGFSLKCLEVVSKDGCTKSDEELWEYMKSKKEVFPISYKAYSHLRNKNWVVRSGLQYGVDFVAYRHHPALVHSEYAVLALSEGENDINGRLRVWSDVHCTVRLCGSVAKTLLILNVNNKGQGAISPSCLEHYTVEERTITRWNPEQSRENQGVLENQTK >OMO78689 pep supercontig:CCACVL1_1.0:contig10548:32426:50738:1 gene:CCACVL1_14191 transcript:OMO78689 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MIKIFDAMLLLVAFIAILSTASADRQTYIVRMDKAKITNTFMIDSKPWHEAVFDSIADISSQEVQELEAASPPELVYSYETAFFGFAAKLSAKQLESLKKVDGFVSAIPDQMLSLHTTHTPQFLGLEGSKGLWHSSDHLQTSDVITGVIDSGIWPEHASFKDHGMPPIPARWKGSCEKGSNFSPSNCNKKLIGARAFYKGNLANGGKINQTEENLSARDDTGHGTHTASTAAGNLVPNASLFGLANGTAAGISYTARIAMYKACWVNGCSAADVLAAILAAIEDGVDVLSLSIGFKAEPYYGDHTAIASFFAFASGVFVTFSAGNSGPGESTAANTAPWIMTVAASTTDRSFPAIVKLGNGKIFEGTSLYTGKATKQLPIVYGKTAGGEGAQYCMFDSLNPKLVRGKIVLCEQGFINFAAKGEQVKMAGGVGMVVLKFRDEDVNAHAHILPAATLGASASEAVEKYFNSTKSPTASIAFRGTTYGNRAPMVAAFSSRGPNLVGLDVIKPDVTAPGVNILAALPPVTSPSLLKSDKRRVEFGIMSGTSMSCPHVAGIAVLLKSKHKNWSPAAIKSALMTTAYTTDNRGKPIADLAARGSEATPFAMGSGHVDPVKASDPGLIYDITTEDYLNYLCSLKYDAAQMALFSEGFTCLEKATMQPGDLNYPSFAVNFKGKARNTTFTYERTVTNVGIPRSTYKLSVLAPKGVSIIVKPSVLRFKKLDEKLSYKVGFYKGSCPSAEMIVRKSVEKAMSRDPTIAAGLIRIAYKAGGIYYAVPAGRRDGFFSSSNNVFPSLPGPDHNVTILVEKFANKGMSIDEMVTLLGAHSIGVSHCSSFSKRLYSFNDTHAQDPSLNPDYAELLKTRCPPGATSTTTVPLSGGLAPENRLDNKYYVGVRNGLGLFTSDQTLMESSLTSKIVEEYEKDGASWGRDFAKAMVHLGSLDVLTGEQGEIRTICSKIPSHSFSSAVPMKTATMMWKTALVLLVFMAASSTSIALMNKQTYIVHMDKTKVTASDHWYETVMDSITQLSADEEEDDDESKSTSDPQLIYVYKTAISGFAAKLSPKQLESLKKLNGFISATPDEMLSLHTTHTPQFLGLELGKGLWSASNLESDVIIGVIDSGIWPEHQSAHLPYPPPESNSQAPSTYQPPAHYPPPESNSQAPSTYQPPAHYPPLESNSQVPSTYEQQPAHYPPPESNSQLLSSHHRQESTSSLGTDEGSTPSHASAYPPLDDLLSNVHLSDSRPTAPASPPAPSRPLLATSSSTTPLQSPVYGHANSFSSRYEGSYMARMDSSNHSAFSHSASFGATQHSQTMQIVPFQKGSLRVLLLHGNLDIWVCDAQNLPNMDMFHKTLGDVFGRLPVNVTNKIEGSVNRKITSDPYVSIAIGSAVLGRTYVISNSENPVWMQHFNVPVAHSAAEVHFVVKDSDVVGSQLIGIVPIPVEQLYSGEKIEGIYPILNSSGKPCKPGAVLRLSIQYIPMEKLSFYHHGVGAGPDYFGVPGTYFPLRKSGKVTLYQDAHVPDGCLPNFKLDHGLTYVHGKCWHDIFDAIRQARRLIYITGWSVWHKVKLVRDAGPTSDCTLGDLLRSKSQEGVRVLLLVWDDPTSRSILGYKTDGIMATHDEETRRFFKHSSVQVLLCPRIAGKRHSWIKQKEVGTIYTHHQKNVIVDADAGENKRKIIAFVGGLDLCDGRYDTPQHPLFRTLQTVHKDDYHNPTYTGNVNGCPREPWHDLHSRIDGPAAYDVLVNFEERWLKAAKPQGIKKLKKMSYDDALLRIERIPDIIGVTDFPDASDDDPEAWHVQNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFIGSSYNWNSHKDLGANNLIPMEIALKIASKIKANERFAAYIIVPMWPEGNPTGAATQRILFWQHKTMQMMYETIYRALVEAGLEGAFSPQDYLNFFCLGNREVDSFPSSGLESPSTPSTANAPQRLSRKSRRFMIYVHSKGMIVDDEYVILGSANINQRSMEGTRDTEIAMGAYQPHHTWARKLSHPHGQIYGYRMSLWAEHLGIVEDCFTSPESIECVRRVKQMGEMNWKQFAADEVTEMRGHLLKYPVEVDRKGKVRPLPGCETFPDAGGNIVGSFLAIQENLTI >OMO78692 pep supercontig:CCACVL1_1.0:contig10548:56996:58250:-1 gene:CCACVL1_14194 transcript:OMO78692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPALKSVHGPTFVGPQVPPKFRLPTAALTSRKEPSQVDNKRITGPRPSKGKTEKGGKGDHFEKRQVGLWPYPIVVAYPALTYPTNISTIHNQLTTKR >OMO78687 pep supercontig:CCACVL1_1.0:contig10548:19634:21989:-1 gene:CCACVL1_14188 transcript:OMO78687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTRLSRQKQQPISTTVILLARLLSTSTASSKLRDHYAFQPPPSLSPDLRRVNPNPTPKKKQKPRYRPPSSLDNIKPQRSNLPFDFRFSYTESSPNVRPIGLREPKYSPFGPGRLDREWTGVCAPAVDPKVTSLEGSEDPELEERRWQEREMIQGAPLTEAERKILVEKFQKHRTKRQINLGRDGLTHNMLNDIHNHWKLAEAVRIKCLGVPTVDMKNVCTQLEDKTYGKIIQRHGGTLILYRGRNYDYKKRPVIPLMLWKPHEPIYPRLIKTTIDGLSIEETKEMRKRGLATPVLTKLAKNGYYGSLVPMVRDAFLVSELVRIDCTGLERTDYKKIGCKLRDLVPCILVTFEKEQIVVWRGKDYKPPEDGHFFTNRETFDDPSGDAERFDSSDEINDQ >OMO78697 pep supercontig:CCACVL1_1.0:contig10548:75562:80638:-1 gene:CCACVL1_14199 transcript:OMO78697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSLILQDVIKFDSNLSKVMFESPTGIPSSHSPHLRKPGSKPVSPDLGAGEPGNSIQEGYVFPVMLGEMKSTSSPLHASAILPSPVFLWRFKVILFLLWGCTCCKIGWDSVMRMSADLRDLFLYEAFLYYNPLLLVTMMVWLWGVCLWVFSQSTVNYAKIFDLDQSHLTHREIWKCSIWMTIIVPTSMTAYLYLYSHGEVSLAASQPVILYIAVAMVLIFPFDIFYLSSRYFLLRTLWRIALPLQPISFPDFFLADILTSMAKVFSDLERSACRMVHRQVATIAWFEADSVCGSHSAAIPVVLVIPYIWRLLQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVQPDQWTYVYRRLWLFSSLVNSLYSFYWDVTRDWDLSVFTRIFKFNRPSNVSYLLYGRQWETASHRPIIEDDDEVVVRKGSQFLERACDEIYVVGEGETLHSISVKCGDPFIVEQNPHIHDPDDVFPGLVIKITPTINSIS >OMO78693 pep supercontig:CCACVL1_1.0:contig10548:58599:61076:-1 gene:CCACVL1_14195 transcript:OMO78693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome membrane protein, Pex16 MEAYKTWVRRNRDYVHSLESLANGLTWLLPERFSTSEIGPEAVTALLGIITAINEHIIDTAPNERHAGPADPSPFPYGLCISALKDLETLVEVVAEQVIVRLALFRNGGYKMLLHGGETPNIEKDSDDTSSQQQIGGFPKPGGHHGSGWLQNHHGQNSWNPQGRAMSALSRFGESARMISNPLWMQRIEHQHAIMAPPTQTIKRPTLSEFLSEKGFNGALFVLGEVLFITRPLIYVLFIRRYGLRSWKPWFISLAVDFIGVGFISHVMQSGKGGREQRFNLSASEKDEVKRRKLLWALYLMREPFFEKYTRRKLESTEKILEPVPLIGTLAGKIVELVVGAQSRYTYMSGS >OMO78690 pep supercontig:CCACVL1_1.0:contig10548:52362:52553:-1 gene:CCACVL1_14192 transcript:OMO78690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQTGHLIGLSCDEGRGTQWWERKTGPYGTVFALFPRGAAWWSLPGGGGVGFGGPIETCGPKA >OMO99613 pep supercontig:CCACVL1_1.0:contig06848:13228:13326:-1 gene:CCACVL1_03705 transcript:OMO99613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGLDVEINGNNVTSVKSRRVGPDDMALQAHVP >OMP06639 pep supercontig:CCACVL1_1.0:contig04869:17267:18204:-1 gene:CCACVL1_01490 transcript:OMP06639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTALKKSYEVCEEIGRGRFGVVFRCVSLDSGESYAVKTIDKRKISAGDSLDTQCLFNEPKILSLVSSHPNIVHLHNFFEDDFHLHMVLDLCPVSQDLYNLIVDNGTLSESQARPIISQLVEAVAHIHKLGVVHRDIKPENVLFDSKGSVKLTDFGSADVAVEGMEGVVGTPYYVAPEVLGGKEYGQKVDVWSCGVILYIMLAGFPPFYGETVVEIFEAVLRGNLRFPSRVFQSVSPAVKDLLRKMLCKDVTRRFSAEQVLRHPWITSGDY >OMP06637 pep supercontig:CCACVL1_1.0:contig04869:6162:11511:-1 gene:CCACVL1_01488 transcript:OMP06637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVAVLLLSLREDENSKAKPARAGRLTSLLYSEDLRVAVLLSFSGKTEIQKAMPADRLTSLLYSDQRKRLLFS >OMP06635 pep supercontig:CCACVL1_1.0:contig04869:121:1740:1 gene:CCACVL1_01486 transcript:OMP06635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate permease MAREQQQIGVLSALDAAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHVEGAPKPGTLPPNVAAAVNGVAFVGTLTGQLFFGWLGDKMGRKRVYGITLLLMVVCSIASGLSFGKSPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFVAAVFAMQGFGILGGGIVALIVSAAFDHAFKAPAYSVNALASTVPQADYVWRIILMFGAFPAAMTYYWRMKMPETARYTALVAKNAKQAAADMSKVLNVTLEAEEEKVIKLTTNQTNSFGLFSKEFAKRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFSAIGWIPPAATMNAIHEVYKIARAQTLIALCSTVPGYWFTVAFIDKMGRFAIQLMGFFFMTVFMFALAIPYHHWTLKPNRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKAGAIVGAFGFLYAAQDRDPAKTDAGYPPGIGIRYSLIMLGVINFFGILFTFLVPESKGKSLEELTGENEDEAGETELQSGPHRTVPV >OMP06638 pep supercontig:CCACVL1_1.0:contig04869:14364:15911:1 gene:CCACVL1_01489 transcript:OMP06638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate permease MANDHLQVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISMVTKLLGRIYYHKEGAATPGTLPDNVASAVNGVALCGTLAGQLFFGWLGDKMGRKRVYGMTLMLMVICSVASGLSFGHEAKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGMVAIIVSAAFKANYPAPTYEMNANLSTVPEADYVWRIILMFGALPAGLTYYWRMKMPETARYTALVAKDAKQAAADMSKVLQVDLEAEQEKIEQKKGNEFGLFTKQFLLRHGLHLLGTTTTWFFLDIAYYSQNLFQKDIFSAIGWIPKAKTMNALEEVYRIARAQTLIALCSTVPGYWFTVALIDKMGRFKIQLMGFFFMTVFMFALAIPYHHWTLPDNRIGFVVMYSFTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKAGAIIGAFGFLYATKGIGVKNALIILGVINLLGLLFTFLVPESKGKSLEEMSGEAEYENGTETQSGQP >OMP06636 pep supercontig:CCACVL1_1.0:contig04869:3978:4938:-1 gene:CCACVL1_01487 transcript:OMP06636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMGKEVEDAKDWSKVNEDAFIQLLISKVREGKLQSSTFKKEVWSEINDELREVIGEDYGIYRLKGKFNHLRTRHRQFSELIGHTGVKWDVMSNVVNATQNIWEDFFKISTNFRRFKKQGCAEYELLGEIFNTTTATGKLQLSFEDLLTDTQERRLEEEFLSGSMHVDLHAENSEVEGDERGKKRSSDSSERRNVKVSKMDKMDAFLDRCTVTLTAIEKAYKADRYKSSSSSGSDDPHSISVCMDILEKVEGVSFTSYNKAIKKFLSADWRQIFVGMSDMRRKEWLDSLS >OMO87992 pep supercontig:CCACVL1_1.0:contig09142:29615:32531:1 gene:CCACVL1_08613 transcript:OMO87992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGVLQSSPVQQMMAGINPNWWSINTMRPPPTHQQPLAASPFFPPPPLPPPAFFPQYTPTSSSSSSSSSSLPNIPSWHDNNNQDQLPESWSQLLLGGLVGEEEKGGMGQFLQAQGNKKMENWEQEQALHQASINVEVKQENSASSFVNYGHHANNEDFETTTKPTWSHQVMPVSSPKSSCVTSFSSNMLDFSGNKLATDARHPPPDRSSECNSTATGGAMKKARVQPSATQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGSGSSGNIRQQQSVQGERNCIFPEDPGQLLNDNCMKRKGGPDHQQDCHEEPKKDLRSRGLCLVPVSCTLQVGSDNGADYWAPAALGGGFR >OMO87991 pep supercontig:CCACVL1_1.0:contig09142:6268:14946:1 gene:CCACVL1_08611 transcript:OMO87991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNHLKGEISVEYCELDHLRILDLSAEPGLARTQGHGHGWD >OMO83567 pep supercontig:CCACVL1_1.0:contig09875:34356:35800:-1 gene:CCACVL1_11336 transcript:OMO83567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLAFIVQQNFEKFAPNQILVVAIAIAIATCGERVMEEMIVWVDDNKGERAATVAGVRRNHCTEDH >OMO83570 pep supercontig:CCACVL1_1.0:contig09875:42567:43364:-1 gene:CCACVL1_11339 transcript:OMO83570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSFVKDKKKPSGLKKLWKYFVSTFSLNRRKPQIALSKQNSAPPRFLLTAPISSSSSSSLQHHHHHHHHHHYYVKPNHNHDQKLLEAPQKSLSQDVIAYDETSSNNQDQKLLEAPPNRLSQGVIAHDETSSNNQDQKLLEAPPKSLSQDVIAYEETSSKEESNSLGTEIIKIVPQKIEDKVTHIRHVTPEFEFFDDEEGEDEGPGNRISRCDSKYITLSQFQLLGIDARAEEFIQEKKEIWRFEWQKSEQELEQEFREMLARSV >OMO83568 pep supercontig:CCACVL1_1.0:contig09875:39435:39791:1 gene:CCACVL1_11337 transcript:OMO83568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MEHYNMQYVSNAAFLLTVYSDHLQASNQRLRCDQGEVGPEEIFAFAKSQVDYILGANPMGMSYLVGYGLRYRQRMHQRGASIESYKENRGFIGCVHPGAEACLFGLLFGLFCKYVSLP >OMO83574 pep supercontig:CCACVL1_1.0:contig09875:83244:84477:1 gene:CCACVL1_11343 transcript:OMO83574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MSGVTNQEEDKKPADQTAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVEFNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGAMA >OMO83575 pep supercontig:CCACVL1_1.0:contig09875:85128:85859:-1 gene:CCACVL1_11344 transcript:OMO83575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific protein Stig1 MNRLANAFIAILLPILIVEANGERKLVHQDATNGSLPSRWLRNVANPRPRPGGCMFRPWICEEGEHPPTARMRCCGNQCVDVNSHDAYCGSCRIRCPFTWQCCRGVCINTNINPFNCGSCGHRCPWRVRCIYGMCGYAQPPPPWPPHPPRPPHPPHPPHPFPPHPPHPPEPFPPHPPQPFPPHPPYPPEPFPPHPPHPPHPFPPHPPHPPHPWPGPFPPHPPRPPGVCPPHFHQPPGGGEPPK >OMO83573 pep supercontig:CCACVL1_1.0:contig09875:76529:78629:-1 gene:CCACVL1_11342 transcript:OMO83573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MASQAFSLLILLLSAMAFSSDAGGSIGVNYGRVANNLPSPEKVVELLKSSGIDKVKLYDTDATVLTAFKDSGIGVMVALPNEQLESAAADQSFTDNWVQSNITKFYPATKIEAIAVGNEVFVDPHNTTNYLVPAMKNLHASLVKYNIDSDIKISSPIAFSALQNSYPSSAGSFKQNLTEPVIKPMLDFLRQTESFLMVNAYPFFAYSANSKDISLDYAVFRQNPGVVDSGNGLKYYSLLEAQIDAVHAAMSAINYNDVKIVVTETGWPSLGDENEIGASTANAASYNGNLVRRVLTGNGTPLRPEDPLNVYLFALFNENEKFGPTSERNYGLFYPNEQKVYNIPLTKEELENGQSSPDNGTTSQPPVAGEVSKTSVAQTWCVANGNAGEEKLQAALDYACGEGGADCKPIQSGSTCYNPNTLEAHASYAFNSFYQKNARASGTCDFGGAAYVVSQPPRYGNCEFPTGY >OMO83566 pep supercontig:CCACVL1_1.0:contig09875:24962:31237:1 gene:CCACVL1_11335 transcript:OMO83566 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nuclear matrix constituent protein 1-like protein-like protein MGIAGKFSGEMDNRSSSGSGDMFTPQRKTWGGLTPKPPTNAKTGEKGKTVAFLDDPRKLPPPPVVSLSSPLNVGLEDEGMEDWRWFKEAGLLDEASLERRDHEALVERLSKIEQELHNYQYNMGLLLIEKKEWTSKCEELKRELAEAEEILRREQAAHLIAFSEVQKREENLAKALAVEKQCVADLEKALRDIQEEHAQVKLSSDTKLANATAIVAEIERKSAEVEEKMLAADAKLAEVNRKSSELDMKLREMEARESVLQRERLSLTAEREAHQETFYKQREELNEWEKKLNKGEERLSELRRILNLREEKVNENDRLLKQKERSLEEAQSKIDISTFKLKEMEDDVSKRLADLVTKEEEAESMRSILEAKQKDLAAFEEMLTTRERVEIQKLVDEQRGILDAKLQEFELELKEKRKSVDEELESKVQEVKQQEAEIHHKQEKLKKQEQSLDKKLERVKEREKDLEVRLKTVKDREKFVKTEEKRLELEKEQLYSAKESLQALKDEIDKIGAETNQQELRIREESQKLKLTEEERKEHIRLQSELKQQIDNCRRQEELLMKEHEDLKQQRERFEKEWEILDEKRAEITMKQKEIVEEMEKLEKLRHSEEERLKKEDHVMQDYICREKESIRLQKESFEATMKHEKSVLLEEAQNERIKMLQDFELQKMNLETEYQNRFDQMQKDLQDRIVAFEEAKDRELANLRCSKEDCEREMEEIRSQRYAVEREKQEVALNRNKLKEQQQEMRKDIDELGIVSSRLKDQRQQFIRERHSFLEFVEKHKSCKDCGEKTRDFVLSNFQLPDAEDREIVPLTRLADELLQNRQGYLDGSGVTNFKGSPEAYSQYPESAGRMSWLRKCTSKILSISPTKRNESKAEESGVLTAKESGGNIHVEVEAPSLKIQSDSINNQLLQSDNIREVDNSSGPSLSIDHSYIDSKVQELPEDSQQSDQKSGRRKRGRKPKSGPHRTRSVKAVVEDAKLFLGGSPEEPEPSESVQPHDISHVHEEFAGTSTHSEKGLHNNGRKRQQPQNSKVTDSEMDAADSEVHCDSIPAGGRRKRRQTVALGLQTPGEKRYNLRRPKTMVTATAAQAASDVLKTTEDADGGGGEGGGIDIENRKSDLVQVAISKSVEIIEDKFKAGDVDDNANVAKSVGNVELSEEVNGSGSAENGDEDQSGSTMNEEEEDYGDETEQPGEVSIGKKIWTFFTS >OMO83572 pep supercontig:CCACVL1_1.0:contig09875:61105:61797:-1 gene:CCACVL1_11341 transcript:OMO83572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGRGAAAAAAAAATAVATKPAVEHNGSIKEPRYRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEEAARAYDAAARSLRGPKAKTNFPINSSNIPAFPFENHHHNNEGFIDHHRLYPMGDFQDPEVNPQRPTRSSMSSTVESFSGPRPAQPPQISSDFAVVSTRNYQPRPSPVVMPDEDCHSDCDSSSSVIDDGDIASSSCRKTLPFDLNFPPLDEVDDLQCTALCL >OMO83565 pep supercontig:CCACVL1_1.0:contig09875:21903:23396:1 gene:CCACVL1_11334 transcript:OMO83565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MACNVVVFTLFLFLNLLWQVPKTSAIVPAIIVFGDSTVDPGNNNQISTVLKSNFEPYGRDYFGGQPTGRFSNGRIATDFVSEAFGIKPTIPAYLDPMFDIKDFATGVSFASAGTGYDNATSDVLSVIPFWKELEYYKEYQTKLRGFLGTERANQHLSESLHVISIGTNDFLENYYIFPTRASEYSVDQYQDFLIGIAGNFIKELYKLGARKIAIGGLPPMGCLPLERTTNFFYGSRCIEEYNNVAKDFNKKLQSRVTELNKELNGIQLVLSNPYDKLLELIQNPSHFGFENAETACCGTGFFEMSYLCDKMNPFTCSDANKYIFWDSFHPTEKTNFIMADYVFKNVLAVFR >OMO83569 pep supercontig:CCACVL1_1.0:contig09875:40311:41705:1 gene:CCACVL1_11338 transcript:OMO83569 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/kelch-repeat protein MEGKENVDDEEEKKRCKGLCLCCVIPRDVVKIILTYLSSVKDYMSFRGVSKCWRLAFSNCAASTEFMSPKRAELPWFLVLSKKSEEKLRDYGVDYGPDWEPDLIYQYRPILHTTGKLGNISDWSYDQDQSECGCKYATHSAPELQGTRILLSRLGWLLLFNQGNNDNSSSELFFFNPFSKAKIVLPFMDVSKLMCPVFDISAPPTSPDCTILVASSENHQDEYQDKKFGKRRYIRIDMCRRGDSSWSCFFKSKHRGPAIANSFFVNGLIWYLLDSDGQILALDVANRSLRRKLEVGVKLGDHDKEDDTYYTDNKRVSYIVKRGGQVFLIIHGEWHRYEFELPKDLPPDSHHLAATKTQYSTLFLENYEQDSQYLFRVDVKKAFTKEREVVSVDHCFNMDAWCAINVGVAGNKIFPRWHRSLANYMMFHMLRKTIPYCHVHGCRSQFVMWLDPIWVEPSPNLTWN >OMO83571 pep supercontig:CCACVL1_1.0:contig09875:45633:49342:-1 gene:CCACVL1_11340 transcript:OMO83571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGTGRIGSCSKEHQKIYQEWFSFADSDNDGRITGSDAIKFFGMSNLSRQDLKQVWATADSKRQGYLGFKEFVFAMQLVSLAQDGREVSHDLLNSDVDFEKVKPPVMEGLDSLIMRRKNQSLKSSSPDHNGTSPMETSPAAQWFSSKSSKKISLSSVTSIIDGLKRLYIQKLKPLEVTYRFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKSSYPGAHIGPEPTTDRFVVVMSGTDERSVPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLDHITFVDTPGVLSGEKQRTQRAYDFTGVTSWFAAKCDLILLLFDPHKLDVSDEFKRVIYSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPINEAITGPLGKELFEKEQEDLLSDLKDIPKKACDRRINEFVKRARAAKIHAHIIGHLKKEMPAMIGKAKAQQKLTDNLEAEFGKVQRDHHLPPGDFPNVDHFRDVLSGYNFDHFEKLKPKMIQAVDDMLGYDIPELLKSFRNPYD >OMO94097 pep supercontig:CCACVL1_1.0:contig07974:36512:52652:-1 gene:CCACVL1_06171 transcript:OMO94097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDYGVDKYDIGTGFGHFGIAVEDAFSMELLRTRDNPEYKVHSIVKASCSSLISSKEVSWHATPYEERLKKALSDESHLSEELTCSASLRTNKSALSGVVFQPFEEVKKAEIAIPITPHKFYLLTRNMKINVKPQSSHIRGPSCAEGCAGGEKERD >OMO94096 pep supercontig:CCACVL1_1.0:contig07974:20706:35098:1 gene:CCACVL1_06170 transcript:OMO94096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEEALELARAKDTKERMAAVERLYQLLEGSRKSLTSSEVTALVDCCLDLLKDNNFRVSQGALQALASAAVLSGDHLKLHFNALVPAVVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGSFAWTHRSWRVREEFARTVTSAISLFASTELPLQRAILPPILQMLNDSNPGVREAAILCIEEMYTQAGTQFRDELHRHQLPASVMRDINARLEKIEPKVRSSDGILGGFSAAELKPTILNPKKSSPRAKSSSRETSLFGGESDITEKPIDAIKVYSDKELIREFEKIASTLVPEKDWSIRIAAMQRVEGLVYGGATDYPCFRGLLKQLVGPLSTQLSDRRSSVVKQACHLLSFLSKELLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRSAILRARCCEYALLILEHWPDAPEIQRSADLYEDLIRCCVADAMSEVRSTARMCYRMFTKTWPDRSRRLFSSFDPVIQRIINEEDGGMHRRHASPSLRDRNVQMSFTSQTSAPSNLPGYGTSAIVAMDRTSSLSSGTSLSSGLIMSQSKSLGKGTERTLESVLHASKQKVSAIESMLRGLDIEKQRSSSLDLGVDPPSSRDPPFPAAVPASNSLTSSLGLESNTSSVGKGSNRNGGLIMSDIITQIQASKDSSKLSYRSSAATEALHAFSSYSSKRASERQERGSLEDNNDIRDARRFINPHIDRQYLDTPYRDVNTRDPQNNYIPNFQRPLLRKHVAGRMSAGRRKSFDDSQLSLGEMSNYVEGPASLSDALTEGLSPSSDWSARVAAFTYLRSLLQQGPRGIQEVVQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSMTLEIVSKTYSIDSLLPALLRSLDEQRSPKAKLAVIEFAISSFNKHAMNSEGSANLGILKLWLAKLTPLAHDKNTKLKDAAITCIISVYTHFDPTAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLINYLQSKKERQRSKSSYDPSDVVGTSSEEGYIGISKKSLLLGRYSAGSIDSDGGRKWGSTQDSTLITSSIGQATSEETQENLYQNFETISNMDTHLSKTKDLSYMVNSMGQNLGSRTSRVENLESSVNLEGLSTPRLEINGLSRSDSLGAIEGVVHNNETSPELDLNLLKPAAVKISCMPDTGPSIPQILHLICNGNNENPTASKRSALQQLIEISVANELSSWSKYFNQILTAVLEVLDDSDSSIRELALSLIVEMLKSQKDAMEDSVEIVIEKLLHVTKDIVPKVSNEAEHCLNTVLSKYDPFRCLSVIVPLLVTEDEKTLVICINCLTKLVGRLSQEELMAQLPSFLPALFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQLRLVTIYANRISQARTGTPIDAINHD >OMO67696 pep supercontig:CCACVL1_1.0:contig12404:18610:20694:-1 gene:CCACVL1_20375 transcript:OMO67696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B MAPKAEKKPAEKKPAEEKKTTVAEKAPAEKKPKAGKKLPKEGGAAAGDKKKKRTKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGLSFFTTLEELRRLFSPYGVIKNVNLVRDPETQRPKGFGFVTFEAEKDAEKALKAMNGRIVRGRLIFVEFANVRSPENDTES >OMO67692 pep supercontig:CCACVL1_1.0:contig12404:5137:7869:1 gene:CCACVL1_20371 transcript:OMO67692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTRYHRPLKASNFFSNKRFCSASKFSTQDNDENSRDAQIISNLKLIVRGRQSWKVELNGTVFLKPHHVEEVLIQTLDDPRLALRFFNFLGLHKNFHHSTASFCVLIHALVNVNLFWPASSLLQTLLLRGLSPSEVFDALWKAYEKCKFHSSSGFDLLIQNYVQNKRAFDGLMTFRLMRKQNFYLLPEIRTLSALLNDLAKIRRFDIVLELFDEIVKAGVSPDIYINTVVIRSLCELKDFVRAKEMVSQMEINGSELNVMVYNVLIHGLCKSQRAWEAVEIKNGLIQSGLRADVVTYCTLVLGFCRIEEFDVAMGLMKEMMELRFLPSEAVITSLVDGLRRKVKIEDALGLVKKVGAVGLAPNLFVYNALINSLCKDGRFNEAELLFNEMGSKGLYPDDVTYSILIDSLCRRGKMDVALTFLDKMIDAGIITTVYPYNSLISGHCKVGNLIIAESFLGEMIKKGLQPTVITYTSLLSGYCNEGKLHRAFRLYHEMTGKGIAPNTYTFTALISGLCRANMMDEAIRLFSQMVERDVMPNEVTYNVLIEGYCRDGDMVKAFKLLDEMVEKGLVPDTYTYRPLISGLCSTGRVSDAKEFMDSLHKDHHKLNEMCYSALLHGYCKEGKLEDALRACRDMVARGIDMDLVCYGVLIDGTLREHDTRKLFGLLKEMNDQGLSPDIVMYTSMIDSSVKAGKLREAFGLWDVMVGEGCIPNIVTYTALINGLCKAGFMDKAEVLLKEMLVSNLLPNQITYGCLIDHLTREGNMEKAVELHNAILKGVLANTVTYNILIRGFCKLGRLHEAMELLVGMTDNGIFPDCITYSTIIYEHCKKGNLQEAIRLWDSMLNKGIKPDAVVYSFLIRGCCIAGELNKAFELHDDMMRRGVKPNQVTHDSPVHVTRWKKLYMDKNV >OMO67694 pep supercontig:CCACVL1_1.0:contig12404:10839:11000:1 gene:CCACVL1_20373 transcript:OMO67694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVRDNGERKDGLSNDVTTRGLKLKCRKCPAVRLLSRSVFGFRLGYGTYTDP >OMO67699 pep supercontig:CCACVL1_1.0:contig12404:26056:26133:-1 gene:CCACVL1_20378 transcript:OMO67699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGDGEINDGDDEDGDHDEHTQNES >OMO67695 pep supercontig:CCACVL1_1.0:contig12404:15097:16914:1 gene:CCACVL1_20374 transcript:OMO67695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGAKVKKGAGGRKGGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYALRVGSGAPVYMAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGSFSKLLLAGRRTQKNAEFERRGLSYDTNETVLKDAFEKHGEIIEVRVICHHVTGKSRGYGFVRFASEAAAISALKEMNSQVLDGRNIRVEYAHKG >OMO67698 pep supercontig:CCACVL1_1.0:contig12404:23991:24754:1 gene:CCACVL1_20377 transcript:OMO67698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >OMO67697 pep supercontig:CCACVL1_1.0:contig12404:20906:23403:-1 gene:CCACVL1_20376 transcript:OMO67697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASVLLDEREERTSRIQRRKRKPTQQSASRTIVTNIQTIWAVN >OMO67693 pep supercontig:CCACVL1_1.0:contig12404:8987:9541:-1 gene:CCACVL1_20372 transcript:OMO67693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MNAYVVHPMLLQANAASGMAVHDDCKLKFLELKAKRTYRFIVFKIEEKQKQVIVEKLGEPSQSYEDFTASLPADECRYAVYDFDFVTAENCQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIRSRAS >OMO67691 pep supercontig:CCACVL1_1.0:contig12404:890:1345:-1 gene:CCACVL1_20370 transcript:OMO67691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B MAPKAEKKPAEKKPAEKPTEEKKTTVAEKAPAEKKPKAGKKLPKEGGATGDKKKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >OMO59425 pep supercontig:CCACVL1_1.0:contig13971:12579:31709:1 gene:CCACVL1_24834 transcript:OMO59425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTRALMEVSLELLDQNREAVIIQKRRTGKG >OMP07371 pep supercontig:CCACVL1_1.0:contig04487:292:507:-1 gene:CCACVL1_01318 transcript:OMP07371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTDTYTKTDDTDTYTKTDDTDETDDTDDTNEPKDEEPPAEVALIRYSEQPDFRRDIIRGSMRVQRRKTVISE >OMO61290 pep supercontig:CCACVL1_1.0:contig13539:23563:25117:1 gene:CCACVL1_23618 transcript:OMO61290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDALPPSSKRPKVFEGQDGSQGKDAFSRLSDEILVHILSFCSTKEAVRTSILSKRWKCIWMSVGRFIVMIDRHVPGSCVHSFLSAITKHKARSLMLCLPKKGEFVLPDSLFISESLRTFFLSMHCTLNLPRFICFSSLESLSLGDVVFPDDETMQQLFSGFIVLKHLTFSDCDWKNIKEFTIGSSTLRSLIFAQMVFDKVNFFNCKIIISALKLETFKVYCYLMVELLPCNRLSLVEASIDINQLIVRQPKHNHRLLKLLCGLQNVKSLHLSDQVLESVSFFRLNSSRRNLPLFNNLTKLKTCYRYIGRAILPMLQQSPNLKSLILSKGVKAEYQDMIGNIIPGCFKSCLKSVLIFNMSGDAGELCFLKYLYENASVLERLGIACSASSKLFEDVKKQEEIHHQLQQLRGGSCSCKIEFHEHPSDLLKTFGF >OMO75791 pep supercontig:CCACVL1_1.0:contig10979:13844:16031:1 gene:CCACVL1_16038 transcript:OMO75791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSPGDSGEEVVIELATNRDEVRVITKFALLGKIVVDRNLNRRGVINVLRSIWSPKDLLDVRDLGGNLYGLSFATAKSLVFAMENGPWSVLGHCLILKKWDVSCANARRIGSVIGRLIEIENPSWSLGVGRVFLRIKVELDVNKPLLSGFWVPREDDDRIWAELKYERLADFCYACGKLGHTEKGCVKEDSFNKDPKFGPWMRVGPLKDKGRGDGVWKRWDEQGCAMLEFDQEQEVNNKWGPGAGSKSDKSGWQDRGKQKIVDSSCNKEYDEGFECRGFEEEVSSRYRQEKEESVHVIGDERSQKCVGQRNDQRKGSIFVNTTKIFEHLFHDLNSTKQPVEPFQQTHKAKNISEKQLPKLPPAEPFQQTHEPTNNNPFPEKQLSQLPIPNENLVDKPSENIYIVTLPEEDTEDVGYKEELQRENDVDNEVQKEKACQEEVIERGLVPVFQRLVHKRGVNIEDGESVHNRRNKARMVEKEGLVLRRFEEENTSEQVDVLVTTKEVHQAPKIVAPRKKTKTVGMGIKKYRRKGRNDNKENFVENLWEVPISQDSSLDALMVKQMGCTFHSDLKVSGWPEAATKDP >OMP05567 pep supercontig:CCACVL1_1.0:contig05320:5372:5458:-1 gene:CCACVL1_01867 transcript:OMP05567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KFVFDSFPMIEAASSATTLQIKGFCRRS >OMO61411 pep supercontig:CCACVL1_1.0:contig13491:40454:42793:-1 gene:CCACVL1_23536 transcript:OMO61411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MGEQSLQVVRHGNKDVVFSSSGVKIECSFSHKRVSLKARGFFASVNVNQAGIGFAISPNPPSSLEATAKFPYANLQTRFVSVSEPELQLEKVPEFVWDEELEVTGRVVKKKKKGQKGGFKLKIKIANESLRKLISGGVAGAVSRTAVAPLETIKTHLMVGSCGNSMNEVFSNVMRTEGWKGLFRGNLVNVIRIAPSKAIELFAYETVKKQLTPEHGEQPLLPIPPSFIAGAVAGVSSTLCTYPLELLKTRLTVKADYKNLLDAIVKIVQAEGPGGLYKGLTPSVIGVIPYAASNYFAYDTLRKAYKKAFKKEEIGNIMTLLIGSAAGALSSTATYPLEVARKQMQAGAVNGIQYQNMLHVFTTIVEREGIPGLYRGLGPSCMKLVPAAGISFMCYEACKRILMEKEDLP >OMO61414 pep supercontig:CCACVL1_1.0:contig13491:56984:60356:1 gene:CCACVL1_23539 transcript:OMO61414 gene_biotype:protein_coding transcript_biotype:protein_coding description:MMP37-like protein MDDEKRDNRLLNFLKVIPPVDFCCVYGSTLHSTNHDKSTMVDYLLGVSDPLQWHSENLEINRDHYASWMVLLGGAKLITGVADNIGVGVHFNPYVTWNNKMLKYGVVRMHDLIQDISNWERLYLSGRLQKPVNVLVDNLDIRNVNSVNLRAAVSAALLLLPPKFTEEDLYAKICSLSYMGDVRMLFAEDRNKVKKIVKGQFDLFQSMYKPFLEEYEGKGFLRFSSSENHHANISQDCGLPVARSHVYSLPQTIRNQMEIKLGSKKVISESGKVLHEVTIGSKEQAAKCMERVLRRTVMVSSARQAVSGLLTVGAVKAARYVTKKMEKAWRSWT >OMO61417 pep supercontig:CCACVL1_1.0:contig13491:80441:80563:-1 gene:CCACVL1_23542 transcript:OMO61417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPWEFYSYAPNANTSKTPPSYPMCGFQKGAKRVQITEGR >OMO61408 pep supercontig:CCACVL1_1.0:contig13491:31781:32790:-1 gene:CCACVL1_23533 transcript:OMO61408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFREWRRGFVRRRRRVLTFHVKRLRGERAKEERNSHRPQP >OMO61412 pep supercontig:CCACVL1_1.0:contig13491:44256:46888:-1 gene:CCACVL1_23537 transcript:OMO61412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal biogenesis regulatory protein MAMEMENQKPIQVDLGHLLAFNPFHNFPSLPTSRDELVKECLEEGTKLVQAVADSLFNLPSTEDVDGPLVKLPPPTTKLPREKHLPKPKPPTKWEEFAKKKGIKNRKKDKVVWDEQTGTWKRRFGYDRVNDDKDVPIIDAKMTDEPGEDPFAKRKDDKKKRVEKQEKNRLQNLKQAAKVGALPSHIQLAATALPITGTQAPAKKVTKDELGNVAGMAATSTASGGKFDRKLPGEKPAKKQGKHRKFLPVVEGSGIGSREKEQNDNVLNKLISKHSHEILNVGKAVTMYNVKKEKKYRNKRDQEGKSSSSSSKLKPKKQINKKSAKKGPGSAKKGSSSSKKGKAK >OMO61413 pep supercontig:CCACVL1_1.0:contig13491:49026:50624:-1 gene:CCACVL1_23538 transcript:OMO61413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILSQMWSFLGLLTVLQNILPTQLLSLLHSFYESLQDLFSPYSYFEIPEFNGYCGVDLNELYRHVNLYLNSVNPSAATCRRLTLSRSKSSNCISFTVAPNHTIHDTFNGGHRLSWTHHVETVQDSLEEKRSFTLKLPKRHRHLLLKPYLDHVTSKAEEFERVSRERRLFTNNGNGSSYESGWVSVPFRHPSTFDTLALEPELKKQITEDLTDFANGKEFYHRVGRAWKRGYLLYGPPGTGKSSLIAAMANYLCYDVYDLELTKVTDNSELRSLLIQTTNRSIIVIEDIDCSVDLTMDRIAKRSSSRSRQPPPHPSLNRDAEQENGRVTLSGLLNFTDGLWSCCGEERIIVFTTNHRDNVDPALVRCGRMDVHVSLGKCGFHAFKALARNYINIDSHPMFQMVETCINSGGSLTPAQIGEMLLRNRWNPDMAMKEVVSAMQLQLQSQTQHNNNNQGGGEVEYDEIMLTRSPESILAAGSPEQWDSSPIKVGGGGGGRSGKKRKEGTSNGEKKVNFLVRLRSLTKYDSDRRVA >OMO61403 pep supercontig:CCACVL1_1.0:contig13491:1748:2698:-1 gene:CCACVL1_23528 transcript:OMO61403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPLQLKCLNHVSIVCRSIEKSVRFYVKVLGFFPIKRPGSFDFSGAWLFNYGIGIHLIQSENPDNMPEVGRINPKNNHISFQCESMATVEKKLEEMRIEYVKGGVEESGIRVEQLFFHDPDGTMIEVCNCDNLPVVPLPRSDSMQSCSSLISCNIQQIRQQDHIEQALKI >OMO61406 pep supercontig:CCACVL1_1.0:contig13491:20874:23569:1 gene:CCACVL1_23531 transcript:OMO61406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMLQPPLVDTTACLCRVDAGLKTVAGAKKYVPGTKLCLQPDIKPSIHPTRNKPARGDRSRHQSPLLPGLPDDLAIACLIRVPRVEHRKLRLVCKRWYRLLCGNFFYSLRKSLGIAEEWIYVIKRDRDKKISWHAFDPIYQLWQPLPPVPKEYSEALGFGCAVLSGCHLYLFGGKDPVKGSMRRVIFYSARTNKWHRAPDMLRRRHFFGSCVINNCLYVAGGESEGVPRSLRSAEVYDPNKNRWSFISEMSTAMLPYIGVVYEGKWFLKGLGPHRQVLSEVYRPEADSWYPVYNGMVAGWRNPCTALNGHLYALACKDGCKLRVYDEVTDSWSKNIDSRMHLGNSRALEAAALVPLNGKLCIIRNNMSISLVDVSKSDDLRGATAEHLWETLAGKGHFKTLVTNLWSSLAGRNRLKSHIVHCQVLQA >OMO61416 pep supercontig:CCACVL1_1.0:contig13491:63798:79720:-1 gene:CCACVL1_23541 transcript:OMO61416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDGVALACVISGTLFAVLGSASFAILWAVNWRPWRIYSWIFARKWPRVLQGPQLGMLCGILSLSAWAVVVSPVVVLIMWGCWLIIILGRDIVGLAVIMAGTALLLAFYSIMLWWRTRWQSSRAVAFLLLLAVALLCAYELCAVYVTAGSSASERYSPSGFLFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDSIEMGPMSCLPEPPDPNELYPREFSRASHLGLLYLGSLAVLLVYSILYGLTAKDAHWLGAITSAAVIILDWNMGACLYGFQLLKSRVVALFVAGSSRVFLICFGVHYWYLGHCVSYAVVASVLLGAAVSRHFSATNPLAARRDALQSTVIRLREGFRRKEQNSSSGSSDGCGSSVKRSSSVEAGHLTNIIEDSSKSIVQCSADANNWNNLVMYPTASVQDGINSDKSMDSGRPSLALHSSSHRSVVQEHEAGTSDKILDHNNSLVTCSSSGLDSQGCESSTSTSANQQMLDLNLALAFQERLNDPRITSLLKKRARHGDRELTNLLQDKGLDPNFAMMLKEKNLDPTILALLQRSSLDADRDHRDNTDITIVDSNSVDNAMPVQISLSEELRLQGLEKWLKLSRLVLHHIASTPERAWVLFSFVFIIETIIVAVFRPKTIKIINATHQQFEFGFAVLLLSPVVCSIMAFIRSLQGEETAMTPKPRRYGFVAWLLSTCVGLLLSFLSKSSVLLGLSLTVPLMVACLSFAIPIWIRNGYQFWVPQVQCAGHAGNSRPPGTKEVVVLTLCITVFTGSVIALGAIVSAKPLEDLGYKGWTGEEKTFTSPYASSAYIGWAMASAVALAVTGVLPIISWFATYRFSLSSAICVGIFSVVLVVFCGASYLNIVKSRDDQVPTTGDFLAALLPLVCIPALLSLCCGLLKWKDDDWKLSRGVYVFVTIGLLLLLSAISAVIVVIKPWTIGAAFLLVLLLIVLAIGVIHHWASNNFYLTRTQMFLVCFLAFLLGLAAFFVGWFQEKPFVGASVGYFSFLFLIAGRALTVLLSPPIVVYSPRVLPVYVYDSHADCGKNVSAAFLVLYGIALATEGWGVVASLKIYPPFAGAAVSAVTLVVAFGFAVSRPCLTLKMMEDSVHFLSKDTVVQAISRSATKTRNALSGTYSAPQRSASSAALLVGDPAATLDKGGNFVLPRDDVMKLRDRLRNEELVAGPFFRRMRYRRRFHHEPSTDVDYRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLNLFLDSIGFSDLSAKKIKKWMPEDRRRFEIIQESYIREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISSIPNAGSREAAAMAAAVRAVGGDSVLEDSFARERVSSIARRIRTAQLARRALQTGITGAVCILDDEPTTSGRHYGQIDPSMCQSQKVSFSIAVMIQPESGPVCLLGTEFQKKVCWEILVAGSEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIITMTVDADIGEASCFLDGGFDGYQTGLPLSVGNSIWEQGTEVWIGVRPPIDMDAFGRSDSEGAESKMHIMDVFLWGRCLNEDEIASLYAAISSSEFNLIDFPEDNWHWEDSPPRVDGWDSDPADVDLYDRDDVDWDGQYSSGRKRRSERDSFVVHVDSFARRFRKPRIETQEEINQRMLSVELAVKEALSARGELHFTDGEFPPNDQSLFVDPGNPPSKLQVVSEWMRPAEIVKEGRLDSRPCLFSGAANPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGEWVPVVVDDWIPCESPGKPAFATSRKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHVSSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSSEWTDRMRHKLKHVPQSKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYNSWHQNPQFRLRATGPDASYPIHVFITLTQGVSFSRTVAGFRNYQSSHDSMMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLEPDPKGYTIVPTTIHPGEEAPFVLSVFSKASIILEPL >OMO61415 pep supercontig:CCACVL1_1.0:contig13491:61239:63558:1 gene:CCACVL1_23540 transcript:OMO61415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKKEKDFVLQWGIKKGPRAFNTNNKLKKQERFGNRNINNTKSTTAKESSLFPRKQMASSSSPSPVKNHLNNRNSDNKTRSAALIPAEKEDRYYATRGSGSLGLDENTKVLMENHQIKEETGFVWPRLFITLSSKEKEQDFMAMKGCKLPQRPKKRAKLIQKSILLVSPGAWLSDLCQERYQVREKKTSKKAIFAAESPVEPVPARQDNFKSVKLSLTTTRINKIWLF >OMO61418 pep supercontig:CCACVL1_1.0:contig13491:86223:91818:1 gene:CCACVL1_23543 transcript:OMO61418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYLQYMKTLRSQINDVEDQAANTSAQEQIHLSTIQTMQNDLLSAKSETKQLGEDTEKMLRVKGQICSQIIAKQRKIASLESDSSTLTQTLELIQQENMSLGSKLTEKRTGSNLGKSTEKSKSMVWYFATSSMLFFDKTNTELIVIMLFEMLMIRDLQVKNNHDEQMTESEGTFSVENHLIKEHENNEAVNDLIVKLDSAKAKLDGIAQRKAKFVTDNGKIKVSIEQAKHRTSNFKPELLALSIMTLEEEYKALLSDKDGETEYLCSMQYKI >OMO61410 pep supercontig:CCACVL1_1.0:contig13491:38057:39608:1 gene:CCACVL1_23535 transcript:OMO61410 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MEDITQGVNNINLGADAHKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTIAEILKNNGLAVEKKITTSTVDMKEDSRGRPVQKAKIEILLGKTENFDELMAASAEERDAVEVEEQS >OMO61405 pep supercontig:CCACVL1_1.0:contig13491:12078:15038:1 gene:CCACVL1_23530 transcript:OMO61405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGTPVAGGYMRQRHSQGYASGNEDVDDDACSRLQPLSPATSRSRTWTEILENLLWVASALFIIYFGDRHSNLIYILWHDERIRSSGVCKLSMALDKVLYSICFGEVKVLPSHLQIVAEMHEPLNYFDFEQFMSCNMEYFAPQYRFCFPNIQFVVVHCSALRYCALLCSVADLGFLNPSSSVHTVYGWLGCISSYHD >OMO61409 pep supercontig:CCACVL1_1.0:contig13491:33188:36255:1 gene:CCACVL1_23534 transcript:OMO61409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNDTFTGSPRKPTRPTRPRYLPPHLRPQNAGSVFPDTDTPEFTRSRSNRFNSPRPARPTGGGRGRGRGPRITVTITNRQNANWVSNEKFDELDVTDDSSEVTNGINFDAYEDIPVQATGENIPAPVKAFEEIDLGDALKQNIKRCKFLKPTPIQRHAIPVTVAGRDLMACAQTGSGKTAAFCFPIIRGVLKRRFSPAGRGGRALACPLALILSPTRELSCQIYEEAKKFAHGTGVKLAVAYGGTPIAQQLRNLEKGVDILVATPGRLVDMIERERLSLRMIKYLTLDEADRMLDMGFEQQIRKIVEQMDMPPPGRRQTMLFSATFPNNIQRMAADFLSNYVFLAIGRVGSSTGLIVQRVELVEDMAKRTHLLDLLSAQWSNGTCTKHALTLIFVETKRGANELESWLSRKGFPAVAIHGDKIQMEREQALRSFKSGATPILVATDVASRGLDIPHVAHVINFDLPRNIDDYVHRIGRTGRAGKCGLATAFFSEKNMSLAKSLTELMMEANQEVPSWLSQYADAENYSFSGGGRDKGRFGGYDFRKGSPSRSESNYYSRGSYNDYSPVPSIGDYHAAAAASTSDGFYNDHCSTSANADYWVDNSNASLYAAGPHQYFNSYETVVASGWE >OMO61404 pep supercontig:CCACVL1_1.0:contig13491:5627:9628:1 gene:CCACVL1_23529 transcript:OMO61404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med17 MDETLKISLDKLPVKRLDAIEENGVEKYPPESSYDEKRVSLIRRIDFGWAVEDDEERERKKKQKKKSSKDASATWQWQGMVENLQLAHQELSVIIDLINTVEANDAVTVAGMTRPKPLPNEVLSDLAVCAATKLQSYRQLGKYFKQSAKALEQQIAREARFYGALIRLQQNWKVKRQRVAAPASSNEGFTIDLFDNSLYDSAAMSRRPSLSAIRVEHDSAGMLAINLPPNSCRSLHFGFLGLHSADISKESSKIRMHSSVEQQTRDTEKESIGDDECIKESHLNLREVHQSIFDEQVFDMVNREAFNQSVGLSVTGIRENYLQLSIGQGTSLFISLMPSSKGDEQVVGPANSQNLDSAIVPMDSFDDLKSGEGKHDSTRKKLGFPNRISCEIYLQQIVHEHGFIKSEDRPNLSGTRASGQSGKDGCGLVGHFCLSLAHRIFSNRVLMELENVVCRVPYLHLMTHPTWHSRTSSWTIFMKIPQSILHAESRSPKSDFQNMKDAIKSQFRTKVVVHDDRINVEGEGAPNVVGLFKRSSEDICSINKYDCDLADLPIIILQQAASQVIRWLHEEALTVGIKTTREFLCLTFELEQGETVSLVAHVDPEDVQGCISWWLVMEDGFAEDWKLQMDMSTGSSEYRKFLGHLSLDVLYSTLMDLVSLCGGSGNH >OMO61407 pep supercontig:CCACVL1_1.0:contig13491:24475:28510:-1 gene:CCACVL1_23532 transcript:OMO61407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATKKICTAMEIQAKQNNTNSTVDDSIPHSHLSVGTSLSFPVMVPRLIALCKDLFGKWSKLDDSCFSVETVSGGITNLLLKVTVKEENGDNVSVTVRLYGPNTEYVINRERELQAIKYLSAAGFGAKLLGVFGNGMVQSFIDARTLIPSDMRNPKLAAEIAKQLRRFHQVEIPGSKEPQLWVDILKFFEKASTLQFEDIDKQKIYETISFKEIHKEITQLKELTGLLNAPVVFAHNDLLSGNLMLNDEHDKLYFIDFEYGSYNYRGFDIGNHFNEYAGYDCDYSLYPSKDEQYHFFRHYLQPEKPYEVSEKDLEALYVETSTFMLASHLYWALWALIQARMSPIDFDYVSYFFLRYNEYKKQKEMSLSLAQSYLSGSKKA >OMO84780 pep supercontig:CCACVL1_1.0:contig09699:6875:7726:1 gene:CCACVL1_10662 transcript:OMO84780 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein gamma response 1-like protein KKVEALQQELGEKTQEVAHWKKLSEYVLKKIESQALDSMHNEEQLIECKKEKKLLMANGPEDKEVFNGEEEEDDDDDVKKEKKRR >OMO84781 pep supercontig:CCACVL1_1.0:contig09699:13148:15566:-1 gene:CCACVL1_10663 transcript:OMO84781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 16 MAVLFRMPVVLGLFVGVMVLGLASSAKFDELFQPGWAPDHFIFEGEQLNLKLDNSSGAGFASKSKYLFGKVSMQIKLVEGDSAGTVTAYYMSSDGPNHNEFDFEFLGNTSGEPYLVQTNVYVNGVGNREQRMNLWFDPTKDFHTYSIRWTQRQVVFLVDETPVRVHTNMEHKGIPFPKDQAMGVYSSIWNADDWATQGGLVKTDWSHAPFIASYKGFEIDACEAAVSVSADDNAKKCSSSGEKRFWWDEPTFSELSLHQNHQLLWVRANHLVYDYCSDTARFPVMPVECEHHRH >OMP10653 pep supercontig:CCACVL1_1.0:contig02075:1023:1330:-1 gene:CCACVL1_00834 transcript:OMP10653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPYYDSEVYRKDGGDGTGHWVYEKQEDIEESARAELWREELIEEIEQKVGGLRELEEAGRK >OMO69049 pep supercontig:CCACVL1_1.0:contig12126:13064:16795:-1 gene:CCACVL1_19670 transcript:OMO69049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation, RCC1 MAHSCHRLSTSLSRRLSSTFSTGTGTGNTKGKVPLLYKSPEINEDTQKEIVTLQVLSWGRGASGQLGGGIEEIRIYPSPVANLLLPTASFSLSPTPGKIFNPKQTQSEKEKTLHSVGISCGLFHSGLVVDGKLWMWGKGDGGRLGFGHENPAFLPSLNPYLDSVSSVALGGIHSVALTSQGEVFTWGYGGFGALGHHVYHRELIPRLVEGNWSENISHIATSGTHTAAITESGDLYTWGREEGDGRLGLGPGRGPNEGGGLSIPSKVKELPSPIAAVSCGGFFTMALTREGQLWNWGANSNYELGRGDRAGGWKPKPIPTLESTHIIQIVGGGYHSLALTDEGKVLSWGHGGHGQLGHSSIENQKVPVMIEALADKRVVHIACGGSTSAAITDDGKLYMWGNAKDSQLGVPGLPEIQPSPIEVNFLMEDDGLGPHNVLSVAVGACHAMCLVLRSPC >OMO69047 pep supercontig:CCACVL1_1.0:contig12126:4604:5776:1 gene:CCACVL1_19668 transcript:OMO69047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVDLSALSAIKDSLTDIPGSRFFSSWDFAAPDPCSTFAGVTCSFNNRVVILSLGTGFSDSPGLAGSLSPALYNLTELTQLILFPGLVTGPIPPQLGSLTKLRVISLTNNRLTGPIPTSISALPYLHTLDLSSNRLTGSTPPGLTRLPSLKVLILSSNELSGELPKRVSAELFHLDLKKNNISGPLVASSLPSTLRYLSVSENSMWGPLNGLESLSELVYLDVSMNQFSGPIPASLFFNPTLSSLFLQRNNLSGGLPAVNSEGPTFQPSYGEGSIVDLSHNFLTGEITPVLAGVETLFLNNNHLIGAVPEEYVKSVYSGTTRTLYLQHNFLSGFPLPRGLALPDTASLCLSYNCMVPPVGLSACPASAGSQLSRPESQCSVFSHHRSMD >OMO69052 pep supercontig:CCACVL1_1.0:contig12126:35280:42119:1 gene:CCACVL1_19673 transcript:OMO69052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQEEQERESLIIASAKVVEYLEPLMSRELLCKFPDNSAFDFDYTQSSLWSPLVPRAYSPADLDLDFDSITPKKLAFDQFGFGLELEKSKNSGKKFRPNIRKKIATSALNMNLNLLRNRNKKRKKMASDFSPTPVKGGCAPIISKGWSKMLKAASKHFKRQKKDSSVHHVKLPNYLRDHSTQWPIVIEVVRSCKYWPLGTAAAEP >OMO69050 pep supercontig:CCACVL1_1.0:contig12126:18224:23178:1 gene:CCACVL1_19671 transcript:OMO69050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MISYEGLKPYGICIFVNICSAGFNIISKVSLNNGMSGYVLAVYGCALGTLTTALFALIFERKNDSKISMVILRDIFFLGVFAVVLGRTLFYVGLKDTSATAAAALANLLPSMTFILAVLCRMEALNIGKQRSAQAKVGGTIVALAGATLMILYKGPAVFSPHFSAIPSHHSKTSSSSNLPLNKAWVLGSFLIMVSYISASAFFVLQALTVKKYPAPITLTSLTCLSGTILSAIMAAALDHKASSWRLSWDINLVAVVYTGIVVYGLVFYLQILVAQSKGAVFMTAFRPSGTVFTILMGLLILGDALFLGRITAAERKGERSEVSEMLTSMKMEGDEQQQQENAGISGRNRKRKVVVVMSWLNPYAICILLGICSAGFNVISKVSLDTGMSSYVLVFYGCIIGTFTTALFALLFERKKESIKISMVMLKDVFLLGVLGIVLARTSFYLGLKHTSPTAAAALANLLPSMTFILAVLCRMEPLDMSKRSAQAKVGGTLVALAGATIMILYKGRVVFSPHFSAIPSHRSKTSNLPLNKDWIIGSLLIMLAYLSAAAFFLLQSRTGKKYPAPMTLTSLTCLSGTIVSTIIAAALDHKASSWRLSWDINLVAVLYSGIVIYGLTLYLQLLVAKTKGAVFMTAFRPLTTVFTILMGLLILGDALFLGSIVGALLIVIGLYLTLWGKEKEKEKKVLE >OMO69048 pep supercontig:CCACVL1_1.0:contig12126:10439:11714:1 gene:CCACVL1_19669 transcript:OMO69048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHAKKPTFNTYDRVCEQGDSGLVLTTDPKPRLRWTVELHERFVEAVSQLGGPDKATPKTILKIMGVKGLTLYHLKSHLQKFRLGRHPQRDFHDQSVRNSRKVSELDFSRNDAIGIRACCFNDHQIVQHTGEVSLEMELGSRLNEELEQVQKHLQMRIEAQGKYMQKILEKAEENTKYFGIEKFGNIGTMDTIDFASHLNFPSLEELHYIPASEATTMEKQWKNTESPMVIWSNDFQPQDIVNETPDFHSDGESFQDDNI >OMO69051 pep supercontig:CCACVL1_1.0:contig12126:24099:32663:1 gene:CCACVL1_19672 transcript:OMO69051 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Auxin-induced protein 5NG4 MKVMMNWLNLKPYAICIFLNICSAGLNIISKASLNNGMSSYVLVVYGCIVGTLTTALFALLFERMEPLDIGKLSAQAKVGGTLVALAGATLMILYKGSVVFSPHFSAIPSHHSNKTSSSSKLSSNNKDWVKGSLFILVAYVSGAAYFLLQVAVGTGSTDKPPLLSRQPSVVAGLVVNLKKLSVGKASLP >OMO65263 pep supercontig:CCACVL1_1.0:contig12679:20846:23966:1 gene:CCACVL1_21575 transcript:OMO65263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIESEIKLNTNVMDSILGRKLVMDSILGRKLVSNCKDYSEVENKAF >OMO84260 pep supercontig:CCACVL1_1.0:contig09761:3822:3884:1 gene:CCACVL1_10925 transcript:OMO84260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDVCEEKPIKQRLPIIGP >OMP09798 pep supercontig:CCACVL1_1.0:contig02992:323:397:-1 gene:CCACVL1_01035 transcript:OMP09798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IQNKSKRAYGVYPIVLSNCSTQKFS >OMO86024 pep supercontig:CCACVL1_1.0:contig09547:42933:48387:1 gene:CCACVL1_09868 transcript:OMO86024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MKNGRNCSHSFYSITNGSSPINLGLNAIPYAFRKSRRAKRGAEKGTKVVNTPEVSSGKSTMADDDYNDMDMGYEDEPAEPEIEEGAEEDVDNANDDIPAEPIETEDKEDQEANAKTSRKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGADNIQQIYRDQGLPVPTYTTNGDNDNHIDHLYGNYNHGSSSSSYVDPGHISADEALARYLQEEEYGFQKISFNDAETEGWDSEEETSIREVSELGEILGDGNNVEKGLSVEQMSRLPTYKFKGPSKKKTKSAADDDSECVICKMEYDKGDLLMTLPCAHKYHEDCIKIWLKDNKSCCVCKEEVSV >OMO86021 pep supercontig:CCACVL1_1.0:contig09547:29001:35346:-1 gene:CCACVL1_09865 transcript:OMO86021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MNRDEGDLGSAGDEDPERAQTSDQLDLNVEQNCHSPKVSHVNATQSSVPLQEETNADGVLGVGIVFESDEHAYKFYDKYARLLGFRVRKDWVNRSKVHGQVVSRKFTCSKQGYRRKDHRDVNVKKHRKATRTGCLAHMIITRLPDGKYRVSHFEANHNHDNINPNNEQTLQLQKDLISAHASETDQPNNSETQNPSFGLMNRRSLVRESLDCFALDYDNHLRSERVRDMKEGEAGRLLHYFQRQHFENPSFFYAIQLDIDDKVTNIFWADDNMILDYTFFGDVVCLDTSCRTNKEYMPFVQFLGVNHHNKVIIFAAALLYDDTVESLKWLFHTFLEAMSGKKPKVILTDQDATVVEAINSVLSETSHCVCVWQMHQKALKHLGHVLEDSSAFDNDFRSCIYDHEDEDSFIHAWEAMLVKYNLKQNEWLRWMYREREKWAVVYGRNTYFIDMKHSHLGESLSNELRVYLNSDQDVLQFFKHFERVVDEQRYKEFEASAEMSHCKPQLMANVILLKHASEIYTPKAFEVFQHEYEKSLNVVADQCSQNGYLYKVKTFGQNKEYNVTFDPSNDTVICSCMKFEYVGFLCSHALRVLDLRNIKVVPSRYILRRWTKDARIECAETDSDFVIKENSLLVAARRYKEMCRSMLNISARAAESDEAFQFASSQLTEVMKGVEKILTLKAEEAQVVTSSCSTANASNSENEEIFLDGNAIVGQDESRAQSKDENEVVVPHRRKLKNEQERGSKTKRIWNEKSNSPKTATRISSPPPAYVSPQSSGPAPVMQGSFNFEVNQAVQCIYQQANMVLDQQPSAEMYQQSNFYTDQHVSPSQTQLVQGMELDAQPPHSSSCLFYDHRYRTSDTPFLGPKSETLLGLDYKMALFSLSFPVSSITIMEFPIWTKSAVGKSDLESGINSKFNPIYAAVSVLISCQVKKMNLFLPCARVETEMWCSLPCISIFLAIALWIYKWRNPKCDGKLPPGSMGLPFVGESFHFFAPYTSSDVSPFIKTRMERYGSLFRTSLVGRKIVVSTDPDVNRFIFQQEGKLVQSWYMDSFDDIVGKDNVLSSHGFLHKYLRNLVLNLFGSENLKERLLSEIEELTSKHMQFWSCQNEVELKQAMSTMIYDYSVKKLFGCDESKFAEKLRDCYSAFLDGLISFPLNIPGTAYWKCLQGRNKAMKVLKSMLKERRKSPKRQQEDFLDMIVEEMNKAETILSEQTALDLLFALPFAAFESASSAIVLSLQYLQNNPLALAELTQEHEAILRDREMKDSGITWKEYKSMTFTHMVINETIRLGNIVPAIFRKVVKDVEIKGYTIPAGWIILACTPAVHLNPNKYEDPLTFNPWRWKGRELNAGSKFFMGFGSGARLCAGAEFVKLQMSIFLHHLVTKYRWKVIKEGVALRQPGLVFPEGFHIEILKKNAHENVAAWR >OMO86014 pep supercontig:CCACVL1_1.0:contig09547:699:2117:-1 gene:CCACVL1_09857 transcript:OMO86014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFLAYTSNLLKPRTPTPLRPLPAATLLCNFTSKKLMSQSTSIPKKQERVRDHGYDNYMEVEKKTRKVLKFQSLILSQPNGTLPIPRFDFLARRLGLGFKQNEAGAYLLKFPHVFEIYEHPVQRILYCRLTRKALSQIEQEKEALNAQIPDAVTRLRKLLMMSNTGRLRLEHIRIARKEFGLPDDFEYSVILRYPQFFRLFDAKDTRNKYIEIVERDPNLAICAIEKAREREYREKGCDAEDIRFSFIVNFPPGFKIGKYYRIAVWKWQRVPYWSPYEDVSGYDLRSIEAQKRMEKRAVATIHELLSLTAEKKISLERIAHFRMAMNLPNKLKEFLLQHQGIFYVSTRGNYGKLHTVFLREAYRRGSLIEPNDLYLARRRLAELVLLSPRKAKVDRELVSFRNREADEMEHARRDYVENYFGVEGKVEQDGEVKENLDLDLVSDIESHYSDDDDDDFDETMGEKEGDCVTE >OMO86017 pep supercontig:CCACVL1_1.0:contig09547:12202:13582:1 gene:CCACVL1_09861 transcript:OMO86017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L24e-related protein MVLKTELCRFSGAKIYPGKGIRFVRGDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAELMSKQQKTQSKGNMPKGAAPKGPKLGGGGGKR >OMO86022 pep supercontig:CCACVL1_1.0:contig09547:36957:37360:-1 gene:CCACVL1_09866 transcript:OMO86022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVKSVVSLLVVLVVVATVQTQTAKAQSATCSSDLTNLNTCAPFVVPGAANPSPACCSALQAVQPDCLCNTLRIASQLPSRCNVQPFNCATAQPTLA >OMO86023 pep supercontig:CCACVL1_1.0:contig09547:37962:40909:-1 gene:CCACVL1_09867 transcript:OMO86023 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MAAGSNSSYQATSKPIKVTSSRKRSIFSLAFILCLCTFISLPCIPNPNSTATSDTLDFSTHHVADADTESVLADNVKTYPSCSVKYSEYTPCEDQKRSLKFKRERLIYRERHCPDKTELLKCRVPAPYGYRNPFRWPKSRDLAWFANVPHKELTVEKAGQNWIRYEGKHFRFPGGGTMFPHGANKYIDDIGRLINLEDGSIRTAIDTGCGVASWGAYLLSRNIITMSFAPRDTHEAQVQFALERGVPAMLGVLSSKRLPYPSRAFDMAHCSRCLIPWHLFDGLYLIEVDRVLRPGGYWILSGPPIRWKKYWKGWERTREDLNDEQTRIETVAKSLCWKKLVESGDIAIWQKPINHLNCKVNRKLNQNPPFCPAQDPDRAWYTNLETCLTHLPEVSNNQETAGGQLAKWPERLNAIPPRISKGTVNGITAEIFRQDSEIWKKRLSYYKTVNNQLGQHGRCEAMSTYPRTYDFIHADSVFSLYENRCEMEDILLEMDRVLRPEGSVIFRDDVDMLVKIKKIADGLNWDSQIVDHEDGPLNREKLLFAVKVYWTAPASAL >OMO86015 pep supercontig:CCACVL1_1.0:contig09547:3667:7906:-1 gene:CCACVL1_09858 transcript:OMO86015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPSELGMIGENFDPGLGVRMREDGYESRSGSDNFEGASGDDQDAAAADGPAAKKKKKYHRHTPNQIQELESFFKECPHPDEKQRMELSRRLGLESKQIKFWFQNRRTQMKTQLERHENIMLRQDNDKLRAENDLLKQAMSSPICNNCGGPAVAGEISYEQHQLRIENARLKEELNRICALTNKFLGRPLSSSASPIPSQGINSNLELAVGRNGFGGLTNAGTTLPMGYDFGDGAMVPSMKPMVSELPPYDRSAFVDAALAAMDELIKMAQMDEPLWIKGLDGGLETLNYEEYRRTFSGMKPNGYTTEATRETGLVCLRGLALVETLMDVNRWAEMFPSMISKAAIVDVLSSGTGVPRDNSLQVMDAEFQVLSPLVPIRQVRFLRFCKQHSEHVWAVVDVSIDPCQDAANAHIFPNCRRLPSGCLIQDMDNKYSKVTWVEHTEYDDNVVHPLLRPLLSTGFGFGAHRWLATLQRQSDCLAVLLCPDMPGEDSTGITSAGRKSMIKLAQRMTRNFCSGVCASSIHRWEKVNIGSLGDDVRVMTRKNVSDPGEPPGVVLSATTSVWMPVTQQRLFDFLRNERMRSEWDILSNGGPMQEMVNVAKGQGHGNCVSLLRGSAMNASENNMLILQETWCDSSGALVVYAPVDVSSMNLVMNGGDTTYVALLPSGFAILPGISPSFHGAQPNGALLKPDSDGSGGCILTVGFQILVNSLPSAKLTMESVDTVNNLLACTIQKIKAALTAT >OMO86016 pep supercontig:CCACVL1_1.0:contig09547:9785:9940:-1 gene:CCACVL1_09860 transcript:OMO86016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFTASCRRVYRGMQNSGPYPSSKRQHHTRDARALRKAKKGGHPEPSFGK >OMO86018 pep supercontig:CCACVL1_1.0:contig09547:17092:18882:1 gene:CCACVL1_09862 transcript:OMO86018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S54, rhomboid MARDDIENRGGVKRNSYNSNNTSSGYTSSSTYLVEDTETQWTSWLVPMFVVANIAVFIVVMYINDCPKHRHTRFVGKCVARFLGRFSFEPLRENPLFGPSSYTLKKLGGLDWSKVVQNQQGWRLITCIWLHAGVIHLLANMLSLVFIGIRLEQQFGFVRIGILYLLSGFGGSVLSSLFIRNSISVGASGALFGLLGAMLSELITNWNIYTNKAAALLTLLVIILINLAIGILPHVDNFAHIGGFLTGFLLGFILLPRPQFGWLERRNIPSNTRLKSKYKPYQYVLWLVSLVLLIVG >OMO86019 pep supercontig:CCACVL1_1.0:contig09547:20087:20777:1 gene:CCACVL1_09863 transcript:OMO86019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MRGQTHNITILVLVLVSWATSIGAAVDCITVTGLVSTCSSFITYGTPDPFPGSPCCEAVANLGLIADSTDNRRSLCICLMALITTYTTHSTAIATLPGICGVTLGFIIDPNTDCNFIE >OMO86020 pep supercontig:CCACVL1_1.0:contig09547:22149:26483:-1 gene:CCACVL1_09864 transcript:OMO86020 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGLFRRLFGAKKPSNPRPDKDKKRWSFTRSSHPTSSRSHLDALSVSCDDTLDANKHAIAVAAATAAVAEAALAAAHAAAEVVRLTSGTNSTAGGGCASASNRRLAVEVEMAAVKIQSAFRDEEGRKGREREQEQKQKKRRHCNVVISPSGFFKGTDSPVYLFSPSSLSLFARRALRALKALVKLQALVRGHIVRKQTADMLRRMQTLVRLQARARASRTYVTKSFDSAGKMSHLRNTVHATSIKDEFRAYSTKYDGPSILKRCGSNSNLRDIIQLEKERMGSNWLDRWMEESLWNNQRDAPVRHGRVDDEKSDKILEVDTWKPRLNSQQSSRNFRVSQHGSAMDYNQSYMTYDSPRKQSGKASNPLQNLTAAEVFSLSTLKYPGGKDEAGLRTADNSPQVHSASSRPGSSARRSPFTPARSECSWGYLSGYSGHPNYMANTESSRAKYRSQSAPRQRLEFDKYGSTRRTFQGLWDSGTNSERDFPQNADFRYRAHPESGRLNRIGSANQRE >OMO80706 pep supercontig:CCACVL1_1.0:contig10282:3344:4673:1 gene:CCACVL1_12801 transcript:OMO80706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMPLPSSSSPSSSHSHQLLSSCNQISPSLLHFHQLYSNPLRSARVKHSNPSHGYLAIKAYMENPNSISGFANKVIGSLPIIGLVARIFSDEGGVGSDIIDFAEFRRRVGKKCTVTDSRAFYEFQERRGRAGDPLYVLLCCWLAAVGAGLLKSEEILEGVARLRISNDIEFEEQTFIAMMAEARERRAKLNVENPTVPMEVRVEKALDAVYVCCFGRDPIEKEDESLLNVMLTAVFPTVEQTKIQSIIKDKAVRVAEGSDEDIVPEPKPLSKEAVQLQMKDLEFLKQNSDN >OMO80708 pep supercontig:CCACVL1_1.0:contig10282:14538:14927:1 gene:CCACVL1_12803 transcript:OMO80708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHEDSLYRIYPKKGEVWAIYENYFDGTRRPADVKSEQCRIVEIVTDLSEQSGIIRAVSLIEVPGWKSFFQRVQKQPDGDHSVSRKEMMFFSHQVPAYTVEGSDSHGIPKGSWHVEPDALPLRITTIY >OMO80709 pep supercontig:CCACVL1_1.0:contig10282:16244:17605:-1 gene:CCACVL1_12804 transcript:OMO80709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFVVASKTQIEQTAKGLGPIWIFSLQKHKECPDDLKEATSGLIFASSRCGKFPELLHIRKVLQSTFGKEFVARAVELRNNCGVRPKIIQKLSRLPPSLESKLKVLKEIASEKGITLNLESEQLDVDVSEKKDYGPIAKKSANCDDLNENLCEMLMLMQQQYLLNSQQLNPTTLIQMMKLQEQLLNSQEVNPTTLIQMMKILALSMINP >OMO80707 pep supercontig:CCACVL1_1.0:contig10282:5608:8456:-1 gene:CCACVL1_12802 transcript:OMO80707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKKVASSAIKALANSGSSSSLLTRQLHASPGSKKIVGVFYKANEYYEKNPNFVGCVEGGLGLRDWLESKGHQYIVTDDKEGPDCELEKHIPDLHVLISTPFHPAYVTAERIKKAKNLQLLLTAGIGSDHVDLKAAAEAGLTVAEVTGSNVVSVAEDELMRILILVRNFLPGYHQVITGDWNVAGIAYRAYDLEGKTVGTFGAGRIGRLLLQRLKPFNCNLLYHDRLKMDPELEKQTGAKFEEDLDAMLPKCDIIVINTPLTEKTRGMFDKERIAKLKKGVLIVNNARGAIMDAQAVADACASGHIGGYSGDVWFPQPAPKDHPWRYMPNQAMTPHISGTTIDAQLRYAAGVKDMLDRYFKGEEFPAQNYIVKAGELAPQYR >OMO71354 pep supercontig:CCACVL1_1.0:contig11661:25585:25773:-1 gene:CCACVL1_18266 transcript:OMO71354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVGVWRVGRQTTYDCLHSTTTAQQNKHSPSPEIQSKVSPDGTLYSDLYAAFKINLGQQSL >OMO71353 pep supercontig:CCACVL1_1.0:contig11661:3412:8590:1 gene:CCACVL1_18265 transcript:OMO71353 gene_biotype:protein_coding transcript_biotype:protein_coding description:mucin-2 NPGHSELSSQPPQTSSTSGSPSSAALPPIIPELVLTSKPVATVNTDSTLAVMLP >OMO91750 pep supercontig:CCACVL1_1.0:contig08306:1392:3998:1 gene:CCACVL1_07036 transcript:OMO91750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFSASRLDIPNAWQMPQGGIDDSEDPKAAALRELKEETGVSSAEVLAEAPYWLTYDFPPEVREKLKRQWGSDWKGQAQKW >OMO98366 pep supercontig:CCACVL1_1.0:contig07118:13270:13530:1 gene:CCACVL1_04235 transcript:OMO98366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPSLNPDYMIYNIFLNLPVKTLLRFHCVSKSYCMEIDSPVFINAHLNQSLRTKIRLKLLFGEDHVILEAVDLHQRTAVQLNNLNQ >OMP07458 pep supercontig:CCACVL1_1.0:contig04419:606:773:-1 gene:CCACVL1_01300 transcript:OMP07458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 GTRRGTPFAAQTAAGNAIRAVVDQGMQRAEVMIKGPGLGRDGALRAIRRSGILFRF >OMP08283 pep supercontig:CCACVL1_1.0:contig03899:1454:1697:-1 gene:CCACVL1_01135 transcript:OMP08283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSHPTTFRFAVHSPLRACGLATGKEGAKPNLQIIDS >OMO96641 pep supercontig:CCACVL1_1.0:contig07414:611:3201:-1 gene:CCACVL1_04847 transcript:OMO96641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol/phospholipid:diacylglycerol acyltransferase MGGGEEQERRRLVLALVAVLLLGSFQVSQGELKGDYSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWCIEFGIEANAIIAVPYDWRLPPSLLEERDLYFHKLKLTFETALKLRGGPSVVFAHSLGNHVFRYFLEWLKLEIAPKHYIQWLDEHIYAYFAVGAPLLGATETVKATLSGNTFGLPVSEVTF >OMO96642 pep supercontig:CCACVL1_1.0:contig07414:3774:9060:1 gene:CCACVL1_04848 transcript:OMO96642 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 51 MESSNSASVPRFRFRDHDRQEMEGAAHSDTSSGMSTTVSSDLDPEPELESMTGKGIKHLCSELLELKAESDEDFHRNIFSNYASFVRIIDEVEGMGNELKQLKGQVLTQKRLVKDLIDGIHLKLLSEETIDSILQESEFAEPTPLSKLEVHIENISETLEILMLENRMDEAIAILEIEDENLQRVQLEDNFPVDVVLSYNQVVSEKKAMLILQLTLSAENPRISAAELQKVLAGISRLGDSHLATQLLLKYYHSRIQTGIHNLQCSCSQSFLDSLYVKELAKFVFSMIFQAARSFVLLYGETSPYASELNQWAREETKVFVGSFNKYVNAHSNISSGLSTAVEAMQYAMSYCSLLKSQRLFLRPYLIKHLRPCMEEVLQIQIDHFKKVISMFTGTDTWLLGRYLMSGILSEGNYMIVGQQPEYCLLTNSGRKLVTLLQAIIADATPLLAIHMEGSILKGLMNLFTDYIAILEKTITFEAHISEKVSRTSVESLPQKICILANLSTLQHIFLNIIRSLFRGTGHISSELRKKKSIDFHQKELDDSILFIQEAAAQLRTHFCQQYINRIMSLETSSKLMQKTCTDNCEDPNTFHEAMPSVAFQVLFLELRKVDKLSEDNVFEEDWLMELLRELIEAIFSWIANNKEMWRSTNENLPVQLSDIISQFVLDMHFLVEIVKLEGYFSDNPLILRSLLDSAFTSAGLDSERDIDSYGWAKSAATEAILKLLEIEKMQPFSRDDSVDIIDEEPDKDQYEHGNDRIEGGSRSTMNLEDDSSTTDPVEVAIAMETVMKAESSPEGSLSFADVEDFSAAEDAVVLADSFSGLEDVECQSGISKAFDELHLQEKMDFSDISSSDGTANASEVRVDKKDVVHEADATGSIIND >OMO98755 pep supercontig:CCACVL1_1.0:contig07032:38089:45625:-1 gene:CCACVL1_04073 transcript:OMO98755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKVRTSHRSKYTLNIAAIDRQNCLHARQTISLSSTRRRLCFIFRTTRQA >OMO98754 pep supercontig:CCACVL1_1.0:contig07032:9854:9922:1 gene:CCACVL1_04072 transcript:OMO98754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIENYGCGDLQQLVVEAATHY >OMO59595 pep supercontig:CCACVL1_1.0:contig13916:4942:6634:-1 gene:CCACVL1_24737 transcript:OMO59595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIEELKGGEGVERIGEEEDVNNSCFGKNEALGVESSVAEWNAKRVLIGAGARALFYPTLLYNVVRNKIQTEFRWWDRVDEFILLGAVPFPTDVPRLKDSGVRGVITLNEPYETLVPTSLYLNYGINHLVIPTRDYCFAPSLTSICRAIDFIHGNTARGQTTYVHCKAGRGRSTTIVLCYLVFYKQMTPDAAYDYVKSIRPRVLLASAQWQALQEFYYLTVKKVGLHGHVADVVMRTSATAPSQDLVAFDDGSVVVVTETDLDGYDQNLESGAVRSTVWADLSVVYRVRVAGQAAMARISCLWLRCEAHQKISAQKLGRESNLLGGISVDIHVY >OMO59596 pep supercontig:CCACVL1_1.0:contig13916:11290:14528:-1 gene:CCACVL1_24738 transcript:OMO59596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Palmitoyl protein thioesterase MAFPSKFVAFISFFVLALTQISHSIPFIVLHGIGDQCSNRGVKQFTELLANYSGSKGYCLEIGDGTWDSWFMPLEQQVKKMKELKKGYNIVGLSQGNLIGRGVVQFCDGGPQVKNLISLGGPHAGTASVPLCGSGIMCLIADKLIKSEIYTDYIQAHLAPSGYLKLPNDIPHYLEKCRYLPKLNNELPGERNSTYKERFTRLQNLVLIMFENDNVLIPKETSWFGYYPDGAFKPVIVPQETKLYTEDWIGLKTLDDAGRVHYINVSGGHLGISRQDMQKHVVPYLKDQASKAKSIQSSYAEVHRFHHKVRQSRKGKLGLPSLQGKESLELILDGSSSFHWPLSVQSFIKELLGPEEDKQ >OMP07701 pep supercontig:CCACVL1_1.0:contig04258:703:819:-1 gene:CCACVL1_01242 transcript:OMP07701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKSRGTELTHSEHPLKDYVVRLDRINPYRIKVQPPVNLQ >OMP11190 pep supercontig:CCACVL1_1.0:contig01493:156:3822:-1 gene:CCACVL1_00632 transcript:OMP11190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDRILANFTPLAICGFVVAKLQIHWRICLWIQSQRPQRFLRTLAEVADSLENLSLDSKPKTTKVPEAVKEQGYAYMPPSSPLLAMGHDALHALQEYHYPTYYQPPLQTSQASASQVEVSTSGVGDQASLSVDTNKGNSSTITSAGGLSGNNGSGSLKSTFKSSSLNPNASYKKGGYSTGNLSSGYTDPRFSYDGIQSPIPWLDMSMSSNGQLEHVANGGFSSYTNNLSSGRNQNLHHFPHVMNLHNARPSSGLAQAYGYMNHMYANSMTYGHGANSFRMGSGYGSYGHDTWKKGQGWYNMGNQNKSRGRGYGKENMDGLSELNKGPRVKGYKNQDGFGSATLAVKDQNLPLTESNKENDASLVPDMEQYNKEDFPETYSDAKFFVIKSYSEDDVHKSIKYNVWASTSNGNKKLDAAYREAKEKPDGCPVFLLFSVNTSGQFVGLAEMVGPVDFDKTLEYWQQDKWTGCFPVKWHIVKDVPSTSLRHITLENNENKPVTNSRDTQEVNFEQGVQILKIFKEHSSKTCILDDFEFYEARQRIIQEKKAKHQLLQKQVSDGVPNDDDDVTNKQNLQQTSENSVEAASIEEQPAEVVKSNGDVKPVEENGSVVATEDGSKKPVCAASAC >OMO89790 pep supercontig:CCACVL1_1.0:contig08608:105:179:1 gene:CCACVL1_07624 transcript:OMO89790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKQQIEAFEVKNKFIYKEFDPTIP >OMO53792 pep supercontig:CCACVL1_1.0:contig15154:6731:7849:-1 gene:CCACVL1_28342 transcript:OMO53792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFKSVFADDVESEPTDQPTDPNPNPESTAAWSFGGIMRTIAVKSESVIESYRKDLEELGSGLKKETEIIRSVASRAVNDSLEIGATVAQEKLESVGQAIDDIGSSVWKSTAQIISNGKDTFLSLSDDDNSDSENPDTNRLNISNSNINEKRYSRFEMQIRALQSDRSTYCQEPEDLNDFENWKSGFNLEDRKGEIESLFDQNPVIEDIFMDVASDELESRRYWTNYFYKVSKLQKAEEARVKLVKRAISGEEEEDLSWDIDEDDEEESGNVEEEKKKNLTEDGEKCLKNEEEKAGSSKDSDVSVVSSHVSMPEEEGWDEIEEIPSSDENKGEHVGNANKVDLHKRLSVTEEDEDLSWDIEDDEDDQPVKA >OMO53791 pep supercontig:CCACVL1_1.0:contig15154:557:5160:1 gene:CCACVL1_28341 transcript:OMO53791 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor 1-related protein MQTLARARSQITPTVHHLLSSGASAAPSCGFRTLSHAHAAFNPLPNPRAAEPSTATPTSFPSFSPLNGHRGQLQPSTHSLARATHVALKNDEETDKIEAKDQLEMITKRQAFVGKVYGILASQLAATAAVSAVMVFNPSIPESILSSPGLVIGLFCCKSLLKVYPLNFITLGAFTLMQSILVGSCCALTDGRVVLEALATTTVALTTLTAYTFSAAQRGKDFSILGPILIPTLVASVVIGLIDIFVYPVPGIDSIAINALGALLFSGLLVFRTDHIIKRYPCDEYISAAAGLYLDNLNLFLRLMRILSKLRNRSGFILLLKRDKALNFTSPIAAYCSETVTLYGHCSQKSHHNAIPCYQYPNPPPPSLLLRRLRRTNLSFRTLCHAHTAFTPALSNSHAKHSTLKLKVNGHRRLQPSESNHSLALARSFCFFQQYSSTERKGGKPQQGTVSHATAIGETQVETEEGKDDQLQITKRRQAFIGKVYAIVATQLAATAAVSSVIVFNPSIAQFVANPGLLIALFFASLFTLWKLDVYKKEYPLNFIALGAFTLMQSLLVGSCCAFTDGKVVLEALAATTVAVTSLTGYTFWTAKRGKDFGFLEPILFPPHGHNWIDSLPGIHSIAYSAFGALLFSGYLVLSTDDLIKRNKYDEYIPAATGIYLDIINLFLRIMRILSKLKKK >OMO53793 pep supercontig:CCACVL1_1.0:contig15154:9069:13427:-1 gene:CCACVL1_28343 transcript:OMO53793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSFSFFKPSRPKTPQEVAKAIKDSLMALDTKTVVEVKALEKAMEEVEKNFVTMRCMLSGDGEVEPNAEQVLQLALEISKEDVLSLIIHKLSILGWEARKDLVHCWSILLKQQVDSTYCCVEYIENHLELLDFLVVCYDNKEIALSCGTMLRECIKFQALAQYILNSASFVLFFKFVELPNFDVASDAFSTFKDLLTKHGTVVSEYLTAHYDEFFDLYEKLLTSCNYVTRRQSLKLLSEFLLEPPNSHIMKRYILEVRYLKVMMTLLKDSSKNIQISAFHIFKVFVANPNKPREVKIILAKNHEKLLELLQNLSVKGSEDELFEEEKEVIIKEIQRVSRVPILGS >OMO76254 pep supercontig:CCACVL1_1.0:contig10916:3335:3718:1 gene:CCACVL1_15807 transcript:OMO76254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dual specificity phosphatase MEAANNMSESEAVPNPKPKLIYRCKKCRRIVASEESIVPHERGKGEECFKWKKRSVEKEPTQCSSIFVEPMKWMQPVQEGYVEEKLQCIGCKARLGSFNWAGMQCNCGAWVNPAFQLHKSRLDECYM >OMO76256 pep supercontig:CCACVL1_1.0:contig10916:7117:7635:1 gene:CCACVL1_15809 transcript:OMO76256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMRVHMDCEGCATKIKKALGKLKGIDSVDIDMDIQKVTVIGWAAADQKKILKTVRRTGRRAELWPYPYNPQNQFYYEYYQSQPITSSTTTYHAKGGLSSYNYYKHGYDGHDHGYYQPPPYSTLVDEEASAVFSDENPHACSIM >OMO76255 pep supercontig:CCACVL1_1.0:contig10916:4138:4548:-1 gene:CCACVL1_15808 transcript:OMO76255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEGGIVKKGHDEGIKMATALLEEFGLPAGLLPIADVIEVGFVRNTGYMWISQKKKVEHNFKMISKLVSYDTQITGYVSNKRIKKLKGVKAKELMLWPPVNEIIVDDPPTGKIHFKSLAGVTKTFPVEAFAAGQ >OMO75358 pep supercontig:CCACVL1_1.0:contig11051:68993:71239:-1 gene:CCACVL1_16212 transcript:OMO75358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic pyrophosphatase MAAAARVIAVAGNSTAASSCLLFKSPFALKRGCNALRFNQGKRLSKRLFSCNAIYNPQIQIKEEGQAETLDYRVFFEDTSGKKISPWHDVPLQLGDGVFNFIVEIPKESSAKMEVATDEPFTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSLANAEVEGAFGDNDPVDVVEIGERRGKIGDILKVKPLGALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANKFGLGNKAASKDYALKVIIETNESWAKLVKRSVPAGELSLV >OMO75345 pep supercontig:CCACVL1_1.0:contig11051:2900:4235:1 gene:CCACVL1_16194 transcript:OMO75345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLSQFTFLSDQALQDKNFDPSTIEDLMKLFEIESYKAWAAMELEQENEVKEAEITMQQAEDYLDSVMESAMDEFRRFEEEMDRMAKAELDGLEQKAERARKMGNLMEKAATIASKKYIEAAVNSATASMKSAWKGLSSNKPQEDEQEE >OMO75355 pep supercontig:CCACVL1_1.0:contig11051:50877:61946:1 gene:CCACVL1_16209 transcript:OMO75355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase MrsB MGLNSILKTTPFSSSSSKALLVTTATAAKPATFSRFLISYSEIASNSHFPCNSRLRFPFSSPKAIACSLPLSGSGRFLHQSKRGFRGSRFVAMAAPGSAQKSEEEWRAILSPQQFRILRQKGTEYPGTGQYDMFFEDGVYNCAGCGTPLYKSTTKFHSGCGWPAFYEGLPGAINRNPDPDGMRIEITCAACGGHLGHVFKGEGFRTPTNERHCVNSISLKFVPANSSESRIYSAVDVASAVDVINDLGLDTLTFLGVTVMVVPAFRIIKASPILGFFFAGVVLNQFGLIRNLTDVKVLSEWGILFLLFEMGLELSLARLKALAKFAFGMGLTQVFLSTLAFSAFELPPNGAIGTRILQFLFHSRDDLVNIRSVDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNLIEDSIWPVLVQESLKALLGLGLLSLGGKYILRRVFEVVAETRSSEAFVALCLLTVAGTSLLTQKLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLFREWPNVLSLLAGLIVIKTLIITAIGPRVGLTLQESIRVGFLLSQGGEFAFVVFSLANGLGVLPLELNKLLIIVVVLSMALTPLLNDVGRRAAEFIDDKFDSDKAAEAVNFDASEPVVIIGFGQMGQVLANFLSTPLVSGGEGDSMGLNYVAFDLNPSVVKASRKLGFPVLYGDASRPAVLQSAGISSPKAVLIMYRGKKRTLEAVQRLRLAFPAVPIYARAQDVKHLLDLKKAGATDAILENTETSLQLGSKLLKGFGVMSDDVTFLSQLFRDSMELQAQEELTKTENQEVDMMRPLQARMAQARASISSTSSEDNLSSKSQVDGAQVSRLQGGVDQIDEMSTSSEGESSRNNLADRTQVSQLQGEVEQGRQDSILLQSDNSDPRGVLYCELDTKNGLPVNIADNEEERSTVTATEER >OMO75353 pep supercontig:CCACVL1_1.0:contig11051:46345:46836:1 gene:CCACVL1_16207 transcript:OMO75353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRIEFFSPKILRQPMKLTNHPFTAISVITNNRPNKARNITCTKGNLSDTDLALDLAVTVEKIDTHLKRKEEAMVKSKELLFSEFCQYLSLTEEEVNTKWRKLKEEDKLVLVKEFVNEWGANFHPLSARSVKEMVDEYLLDEKFSSVLFPGLKRMLGFPQDS >OMO75360 pep supercontig:CCACVL1_1.0:contig11051:82010:82411:-1 gene:CCACVL1_16214 transcript:OMO75360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPSVKLCAIVVLCMFVVAASRDLLDHPQDADHRQDSAHDHHLPNDAAAKGDVVNIHQTAKAVSRVGKVRLGLGLNV >OMO75352 pep supercontig:CCACVL1_1.0:contig11051:43268:45405:1 gene:CCACVL1_16206 transcript:OMO75352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKLSSSLLPSVIFLSLVFLFIYSTFLPFFTNNPPSAPTKKFLASSSSSSCNLFDGNWVLDRTKPKPFYDDSCPFHRNAWNCLKNKRDNMETINSWKWVPKDCSLERIDPWRFLGLMRNRNIGFVGDSLNENFLVSFLCVLSAADSGAKKWKKKGAWRGAYFPHYNVTVAYHRAVLLAKYKWMPKESLVSDQGGLKGIYRVDVDVPADEWIGITDFYDVLVFNTGHWWGYDKFPEETPLVFYRHGQPISPPLGLLDGLQVVLENMLQYIEKKVPKNTIKFWRLQSPRHFYGGEWNQNGSCLLDRPLEEDQLNLWFEPSNNGVNKDARTLNYLIKETLEGRDIQLLDLTHLSEFRADAHPAIWLGKKDAVSVWGQDCLHWCLPGVPDTWVDILVQLIYNSLETG >OMO75347 pep supercontig:CCACVL1_1.0:contig11051:11001:11168:-1 gene:CCACVL1_16197 transcript:OMO75347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRFARYKTSKGKGGVSVSNGRGGETNSPSNEEPKLIADVDASNKLIFFQFHPP >OMO75351 pep supercontig:CCACVL1_1.0:contig11051:35844:36758:1 gene:CCACVL1_16205 transcript:OMO75351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDFVCWCISISLLLALMSAQAGYARKITHRQDMEESSMAVHNMDPSVNIYFKINDLNIGKTIPVYLPSKNLSASPHLLSREEANSIPFSSKNLPQLLDFFSFSKDSTQAKAMEYTLKQCELEPIKGETRFCATSLESMLDFARSVFGLDSGLKVLTTTFLKKPTSVNFLQNYTVLDMPKQISASRMVACHTLPYPYAVFYCHSQKSENRLFQVSLGTENGDRVEASAVCHMYTSQWDIDHVSFRVLKIKPRSSPVCHFFPPDNLVWVPLPA >OMO75357 pep supercontig:CCACVL1_1.0:contig11051:68250:68495:1 gene:CCACVL1_16211 transcript:OMO75357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAAMLILSHQSKTTSPSPPLQLKLPAALQWVPLSSLLVRGRFGSKSKDSEVEVDRPSSSSADSALEKRFIEALELNWW >OMO75359 pep supercontig:CCACVL1_1.0:contig11051:74228:80810:1 gene:CCACVL1_16213 transcript:OMO75359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MASSEIEVVSSESQPKTQQIAIIDVFTASAYGDFDKLRKFVEEDGASVTTPDGNGYYALQWAALNNFLDIAQYIIEHGGNVHASDNNKQTALHWAAVRGSIAVADLLLQNGARVEATDVNGFRAVHVAAQYGQTAFLNHIIAKYHADYDVPDNDGRCPLHWAAYKGFTDTIRLLLFRDASQSRQDKEGCTPLHWAVIRGNVEACTILVHAGTKQELLVKDKAGFTPIQIASDKGHRQIALFLSHAARTNSNHLMDKFCSGRVGDVGYAPILFCAIIVEVILFINSVLAAPNLPRITAIVGLWGWIGVSLAIGSLIMFYRCSSKDPGYIKKHGGLDGFEDTEDPLLNIDMNNSSGWTGDWSQLCPTCKIIRPVRSKHCPLCKHCIEQFDHHCPWISNCVGKRNKRDFFFFVCLGTLTSFVGASVAVHRIWTGIPALPADETWVHYVIIHHPGIVAFLFLDAIILLAATTLAIVQASQVARNITTNEFSNSIRYGYLRGPNGQFRNPYNHGCRKNCSDFLIRGYTEDEIAWPPLQRVAT >OMO75361 pep supercontig:CCACVL1_1.0:contig11051:84281:85996:-1 gene:CCACVL1_16215 transcript:OMO75361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MAVAAMPTPKLELRPLGSTGLKLSSVGFGASPLGSRFCPVSEEDAIATVREAFRLGINFFDTSPFYGATLSEKLLGKGLKALGVPRNEYIVSTKCGRYREGFDFSSERVTKSIDESLERLQLDYIDIFHCHDIEFGFLIRVPPGTVDVILSYCHYSINDSTLEDLLPYLKNKGVGVISAAPLAMGLLTENGPPAPDRHPAPPELKSACQAAAAYCKQKGKNISKLAMQYSLSNKDISTVLVGMNSVKQVEENVAAARELELSGIDQQSLSEVEAILKPVKNLTWPSGIQRS >OMO75362 pep supercontig:CCACVL1_1.0:contig11051:86962:89366:-1 gene:CCACVL1_16216 transcript:OMO75362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MAAPKLELRPLGNTGLKVSSVGFGASPLGSVFGPVSESDAVASVREAFRLGINFFDTSPYYGGTLSEKMLGKGLKALGVPRNEYIVSTKCGRYREGFDFSAERVTKSIDESLERLQLDFVDIFQCHDIEFGSLDQIVNETIPALQKLKDAGKIRFIGITGLPLDIFTYVLDRVPPGTVDVILSYCHYSINDSTLEDLLPYLKSKGVGVISASPLAMGLLTELGPPDWHPASPKLKSACQAAAAYCKEKGKNISKIAMQYSLSNKDISSVLVGMNSVKQVEENVASATELALFGKDQETLYEVEAILKPVKNQTWPSGIQRS >OMO75346 pep supercontig:CCACVL1_1.0:contig11051:4946:5074:-1 gene:CCACVL1_16195 transcript:OMO75346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGFCPFCSSFHTYALLEMANVYAYKRVIVILTSLEASAIS >OMO75349 pep supercontig:CCACVL1_1.0:contig11051:26350:28856:-1 gene:CCACVL1_16203 transcript:OMO75349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MSGDCSDMSSESGVSGGIMELLKHLSRDEILEVLSQGFCQHCEALLQQRVHNILNNKVSVISTSEDGSEQQQATMAVDNIPTPPNSSMSREQLVRPFTNSDILLNDGFGGTSSAIDNGLSEEQKEQIRFSQVGRKKDFVHMENINGRGTNVLKGLELHTQVFNAEEQKKIVECVYDLQRMGQKGQLRERTYSEPRKWMRGKGRVTIQFGCCYNYAEDQKGNPPRILRDEEVDPLPPLFKQMIKRLVRWHVLPPTCIPNSCIVNIYDEGDCIPPHIDHHDFLRPFCTVSFLTESNIIFGSNLKIVGPGEFSGPVSISLPVGSVLILNGNGADIAKHCVPGVPGKRISITFRKMDESKLPYNFSSDPELSGIKPLIVSPSVNSAQQGHYRRPVPQSSFLRAVVLQNQRRNEQLNKDKSEESAKTTNNDSFLSRSDDFPPLGRVSKVARSKQ >OMO75354 pep supercontig:CCACVL1_1.0:contig11051:47305:48459:-1 gene:CCACVL1_16208 transcript:OMO75354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MELNTIKDAFDRVAKRQKLSCKSQEVVDQVGHEIEQALAKIETINDPLSPGDLKSILTELKLKLNTSGPLNQLEGLQKENNTNLSKYPKLLDKAFNPDISKAYRNVDFDFHIVNQIIASHFYRQGLFDLGDCLINEAGEPEDIAIRSHFLEMYQILEALKVKNLEPALKWITANREKLMQTGSSLELKLHRLQFMEIVQKGSKADALKYARTYLAPFASLHMNEFLKLVVCIVWVGKLDSCPHAELMAPTRWEDFTEELTQQFCSLVGQSCHSPLSVAIAAGIEALPTLLKLANVMAAKKQEWLAMKNLPVPLELGKEFQFHSIFVCPVSRDQGSEENPPMLMPCLHVLCKQSIMKLSKSSSRSFKCPYCPSEASAGQCRQLHF >OMO75348 pep supercontig:CCACVL1_1.0:contig11051:18671:24093:-1 gene:CCACVL1_16202 transcript:OMO75348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase, core MTRLKNLFDEDFEFNAEQLGPVSCTNVENIERLNEKAFSNGDFYVGDFKEIIPHGKGKYTWSDGTVYEGDWEAGKMTGKGLLVWPSGAIYKGDISGGYLHGFGAFTATDGSTYEGQWRMNIRHGFGRKKYSNSDVYEGEWKEGVHEGNGRYFWNNGNKYTGNWKRGKMHGRGVMEWVNGDQYNGCWLNGFRHGSGIYQYADGGYYFGTWTRGLKDGKGTFYPAGSKRPSLKKCCISLGHDTGNKSVLSQCSSLNVEECMVKKPSVMRSFSEKISVSGVLRSSGRISNKTGGSSRYSDSSREFIRHNSSGTFSLDSDAGQSEVQENAAVVYEREYMQGVLIKEKIRNYSELSQKAEKKSKSKGLAKETEQSSCVGIFRGKNSYHLMLNLQLGIRYTVGKITPVPKREVRTADFGDRARITMFFPSRGSQFTPPHKSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLTEISSPGKSGSIFYLSHDDKFVIKTLKKSELKVLLKMLPKYYNHVKEYENTLITKFFGLHRITLPGRRKVRFVVMGNMFCTELRIHRRYDLKGSTHGRCTDKDKIRENTTLKDLDLSYEFQMDKSLRKFLFQQLSVDCMFLKSQQIIDYSLLLGLHFRAPEQLNGLLEPPNMMPNTESSPAGQGLTTEGDLLFPSKGLLLVAHEPSSVSTEPGPHIRGRALRAFSLGDKEVDVLVPGTGRLRVQLGVNMPAQANHKLCRDEADLAEVELFEVYDVVLYMGIIDILQEYNVRKKAEHACKSVKFDPVSISVVEPELYAKRFIEFLQQKVFPEQP >OMO75350 pep supercontig:CCACVL1_1.0:contig11051:29707:31300:1 gene:CCACVL1_16204 transcript:OMO75350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase biogenesis protein Cmc1-like protein MCAEIIEEFQKCHLDHPIAKFFGECTELKIKLDRCFRQEKAVKRKANFEENTGLVWYIITLCLLSKSLTKVFIFFAEPKAEEEEEAGS >OMO75356 pep supercontig:CCACVL1_1.0:contig11051:65425:66985:1 gene:CCACVL1_16210 transcript:OMO75356 gene_biotype:protein_coding transcript_biotype:protein_coding description:NmrA-like protein MEDSVRSKILIFGGTGYIGKYMVKASIKLGHETFVYTRPLTPQSNQNKVNLHKEFQSMGVTIVQGELEEHEKLVDTLRRVDVVISALPFPQVPDQIHIVEAIKVAGNIKRFLPSEFGVEEDRVKGLPPFEACLEKKRKIRRAVEEAGIPYTYVSANCFAAYFVNYLLRPHDQDREDIVVYGSGEAKVLLNYEEDVAEYTIKVANDPRTCNRIVIYRMPKNILSQLELISLWEKKTGRNFKRIHVPGEELVKLSETLPHPDNVPVSVLHSIFVKGVLLNFELRENDLEASCLYPDHDYTTIDQLLDVFLVNPPKPASAAF >OMO96005 pep supercontig:CCACVL1_1.0:contig07554:2716:2959:-1 gene:CCACVL1_05122 transcript:OMO96005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRQVVLKSGRSSSTSSSVIRNVRYGECQKNHAANIGGYAVDGCREFMASGDEATNGALTCAACGCHRNFHRREVETEVV >OMO96007 pep supercontig:CCACVL1_1.0:contig07554:24170:33006:-1 gene:CCACVL1_05124 transcript:OMO96007 gene_biotype:protein_coding transcript_biotype:protein_coding description:cobaltochelatase CobN subunit MAQISWPIWDNLVRRILDWPGLLGLLTCRTRTETPTRVS >OMO96006 pep supercontig:CCACVL1_1.0:contig07554:21909:23290:1 gene:CCACVL1_05123 transcript:OMO96006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MPPTMNGAVTTRGTATASVTTSQQQPEPPSRSVFRLQPPPRQTTTSPLEENINSSHSQLPTTNGAVTTRDSVTNSDYDEEKEQEEDEMDEEGVDHDGLEHDLKAEKDTLDVQHDQQQQPVKLPPYPGLRGPPVEPLPHRELPEVPPLELRDNMLYGYDFHVWRILTGTIEGYYRGAWPGWSFIPRDVTKQMFETFKTIEKGRKPKRVEIYARTHQRKDGTFPSGRTAITIVSLV >OMO92274 pep supercontig:CCACVL1_1.0:contig08243:6083:13844:1 gene:CCACVL1_06889 transcript:OMO92274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSGETASSSAIVLEGIDDVEDYVWANEGVGSLPWDRYSHVYDHVSNGNRAFRENRFEEAVNNYSRANNMKPGDPVILGNRSAAYMRISQFLKHRSPTASEYRPLSGLDMKTLAELALKDADRLMSLQSNAVRSYILKANALILLERYEMARDVILSGLQLDPFRASLRNLERIPSSLTRIRGHEVPERSDDFDCTLCLKLLYEPVTTPCGHSFCRSCLFQTMDRSNKCPLCRTVLFISPRACAISVVLNNIIQKNFPEEYAERNSEHDSLINFGNDLIPLFVMDVVIPCQKFPLHIFEPRYRLMVIRDQATDSVADFACEVEITECEPLPDGRFVLEIESRRRFRILRSWDQDGYRMAEVEWVQDIPPRDARDREDLQELTNNAAAYAQSWLNSAKEATRDRRRLEALYNVEVMMPNPQDPERFSFWLTTLSNRRPSERLELLRIRDTGEVWFFDYVQDKKGANIPQSRSTRL >OMO78322 pep supercontig:CCACVL1_1.0:contig10600:3731:9579:1 gene:CCACVL1_14484 transcript:OMO78322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MASTQEKATPCCIPKTNDDIAKNSSSSSSSSTEVLQNWSLAVGSGSEDPVPKTASMATLIRPVEPISDPPATKAPTTKGISIMPRAQTSHPLDPLSAAEISVAVATVRAAGATPEVRDSMRFIEVVLVEPDKHVVALADAYFFPPFQPSLIPRTKGGPVIPSKLPPRQARLVVYNKRSNETSIWIVELSEVHAATRGGHHRGKVKSSKVVPDVQPPMDAMEYAECEAVVKDFPPFREAMKKRGIEDMDLVMVDPWCVGYHSNADAPSRRLAKPLIFCRTESDCPVENGYARPVEGIHVLVDMQNMVVIEFEDRKLVPLPPADPLRNYTAGETRGGVDRSDVKPLQIIQPEGPSFRVNGNFIEWQKWNFRIGFTPREGLIIYSVAYVDGNRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFVCTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGEIRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPGETFNQVVEVNLKVEEPGKDNVHNNAFYAEEELLRSEQQAMRDCNPLTARHWIVRNTRNVNRTGQLTGFKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYAREEMYPGGEFPNQNPRVGEGLATWVKQNRSLEEADIVLWYVFGVTHVPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPSASDMELKDNDIATKPIQNEIIAKL >OMO78321 pep supercontig:CCACVL1_1.0:contig10600:78:716:1 gene:CCACVL1_14483 transcript:OMO78321 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiazole biosynthetic enzyme Thi4 family MSKLLARPNVKLFNAVAAEDLIVKENRVAGVVTNWALVSMNHDTQSCMDPNVMESKVVVSSCGHDGPFGATGVKRLKSIGMIDSVPGMKALDMNTAEDAIVRLTREIVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGQPNEIDGTLSEAGRIQPEFVLASAETEEIVDA >OMO78323 pep supercontig:CCACVL1_1.0:contig10600:11561:13396:1 gene:CCACVL1_14485 transcript:OMO78323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MGSTEEKRIEDELSYPILLAERVRLAADEAESFKVDCGEVGKQVDRLSQMLRNLVRFTTSAQFLYERPIRRVVSEVSKNLERALTLVRKCKRQSILRRVVRITSATDFRKVLNLLDASIGDMKWLMGVLDTENSGIFLSLPPIASNDPIISWVWSYIATVQMSQLTDRIEAATNLASLAQDNDRNKKITVEEGGVPPLLKLLKEGSSTEAQIAAANALLVLANEQERVRSIVDEMGVPIVVQVLGDSPMKVQIPVAKLVARMAEHDPLAQEDFARENVIRPLVTLLSFETFEEDSRSQLGSVLNSRRITETKGLLCLAKLVEKEQGELQYNCLMTIMEITAAAESNSDLRRAVFKTNSPAAKAVIDQLLRVIKELDSPILQVPAIKSIGSLARTFPARETRVIGPLVTQLSNKDQEVAMEAAIALQKFASPENFLCMDHSKTIIEFNGVPPLMRLLRGEKTQLHGVILLCYLAIHAGDNEAMEQARVLNALEGADRTVVAQQPDLKELVSKAIYHLNLYHTGVHPQRPLYGP >OMO78325 pep supercontig:CCACVL1_1.0:contig10600:15274:16883:-1 gene:CCACVL1_14487 transcript:OMO78325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKTWPDRTDGSRFTAFPFSKPCNGGGEWKTKYSRLSKFSSKPSSSPPPTAPDFSALPFDILMKIAAPFNFPSLLAASLVCRSWRDALRPLREAMLLLRYGKRFKHGRGGVRRNLEKALDSFLKAAARGSTLAMVDAGLIYWERGQKEEAIALYQKAAALGDPAGQCNLGISYLNAQPQNHKKAVKWLHEASVGGHVRAQYQLALCLHHGLGLDRNLQEAARWYLKAAEGGYVRAMYNVSLCYTFGQGLSHSIRHAKKWMKRAADRGHSKAQFEHGLSLFSEGEMLKAVVYLELATRSGETAATHVKNVILQQLSATSRDRAMLLADSWRALPSSR >OMO78324 pep supercontig:CCACVL1_1.0:contig10600:14384:14467:-1 gene:CCACVL1_14486 transcript:OMO78324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNQLAAWRSPWVPSWDALIVGHWDL >OMO78326 pep supercontig:CCACVL1_1.0:contig10600:21077:21145:1 gene:CCACVL1_14488 transcript:OMO78326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGLAAPAPAATPSSPISSPT >OMO80735 pep supercontig:CCACVL1_1.0:contig10278:7359:20306:-1 gene:CCACVL1_12791 transcript:OMO80735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MYYVPAENRAKLWYSTDYGMFHFCIADSEHDWREGTEQYKFIEKCLASADQQKQPWLIFIAHCVLAIAGELPAGKSHRVFSTERSQSGCLIVRKKGDSSGGAGSMGTRKIYESKKEKKRPRMIMSDSGSSDELVMPPRRRVGPETIQVCNGLAGYEESEIGRKRNREEKIRRSEEGLIGRNGVDLSESKRNRLDVFDFNEYDGLDDEITMRRNRFDYAGDEVQGRRMLGSMPTAARRSFEVDYESGPSRHVFLEKKKKNMYFDKSGGMSREEHDDRNRFRKDRDGGRVNYSLLRERYMVDSDEPIRVQGKNGVLKVMVNRKKKVGEPLKSFDHLEVEEARGGSRIDNTVRRNLHVRPALYSETEVLEKPVSLSRKEKNKMNVLKTPSAKKNKVPDWDSEDSDASLKLQPKNTEASNSTKGISKQERIEAEQLLSTRTKEGKVRRGCGTEKQKLRERIRGMLQDAGWTIDYRPRRNRDYLDAVYINPAGTAYWSIIKAYDALLKQQEEEDGGKAAVDGAFTPLSDEVLSQLTRKTRKKMEREMKKKRKVDSDSENAIEAGARKSSSTRHEGGSMDSLSHEEKLSSYMKQGKSSKNRMNENGAFSASSKGQSSLHVHDSYEKPSSISKSHLVHGRKSRKLGRCTLLVRGSNVGQSSDSDGFVPYSGKRTLLSWLIDCGAVQLSQKVQYMNRRRTKVMLEGWITREGIHCGCCSKILTVSKFEIHAGSKLRQPFQNIYLDSGVSLLQCQIDAWNRQGESERIGFHSVDIDGDDPNDDTCGICGDGGDLICCDSCPSTFHQNCLSIESLPPGDWHCPNCICKFCGDGRDIAQVEALIDCALLSCSLCEKKYHKSCIKAVDDEVHTDSNSLLLPFCGQTCRELFEHLQKYLGVKHELEAGFTWSLVQRTDADSDTTARGLPQRVECNSKLAVALTVMDECFLPIVDRRSGINILNNVLYNCGSNFNRINYGGFYTAILERGDEIISAASIRFHGTKLAEMPFIGTRHIYRRQGMCRRLFHAIESALCSLKVEKLVIPAIAELTHTWTAVFGFTTVEDSLKQEMRSMNMLVFPGIDMLQKLLMEQENAKENLAVTGVNQTESGSEKCSTPELANESKPGSSSGHDPQECDDGHVSGLNSENAAANSDSQCPNVSVNDTCGTSGSLDASLEHNVSVSAEETALTNCPTGDKMDEFASDSKDDVKPSSSYDALEVEKKTGLESPAEDNTQSCKEGMDDTSAVGVMESKVATSEDGTIGTGSRSGDKAAESASDSKNPDTSSIDYSAVDMRNKTVSDSPIEEKVKSCEDPELDAAHTGDNVAADIKTELTVEETRSSEDPESDVAQAGVNVAAASDIKTELTVEETICTNSQSGDKPAESPVGKSLIVSDESHGDTLEMENKRISDSPDEDNIQSSKGIDVSSPHPADNVAVPDELEAGVGVSVENTARADSESRDKLAESASPEKSVISAMSANPDVLEDKSALDLPSEDVSQSSKEQNDLCDKSLSPINNGGSSSSPQ >OMO80733 pep supercontig:CCACVL1_1.0:contig10278:3230:4107:1 gene:CCACVL1_12789 transcript:OMO80733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II Pbs27 MALNLVAKISVPTVSNTMETVLRPENECKQLQFKYHVVNPSKEALSSRRCIIAHTGASLLAFLTFNGSLTPLPVWAESDEQKEDEDEGVVGTIKSLFDPNEKTKSGKVLPKAYLKSAREVVKTLRESLKEDPKDNAKFRRTADSAKESIRDYLSNWRGQQKVANEESYIELEKAIRSLAGFYAKAGPSAPLPEEIKNEILNDLSTAEEFL >OMO80734 pep supercontig:CCACVL1_1.0:contig10278:4534:6912:-1 gene:CCACVL1_12790 transcript:OMO80734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazoleglycerol-phosphate dehydratase MELSLPSRLLSSSSSAAAAAAATSLLKSKSRVLLRPLSFDLLPPQRSLSPFTLKHMNNNSSLSPLSCSSLAPNNGSPPSTSPSSEQGRIGEVKRVTKETNVWVKINLDGTGVANSSTSIHFLDHMMDQLASHGLFDVHVKATGDTYIDDHHTNEDVALALGTALLQALGDRKGINRFGDFTAPLDEALIHVSLDLSGRPYLGYDLNIPTERVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDPRRLGTIPSSKGVLSRS >OMO71810 pep supercontig:CCACVL1_1.0:contig11547:5649:8346:1 gene:CCACVL1_18059 transcript:OMO71810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPAGKNLRFRPGCFIKPSVGSEYSMNEETDASIPRDALSCLPSVFEPRKWALNVKFQCWRHPLENWKAWVVKMADRHQSTWKKAGIFEAIMNSTYRIHRNIDLVFGVAEKWCHETKSFIFSWGEATITLEDVMILGGYSVLDSPVFSPVQTEEMEEIREKLNNARKEITKTSSKKASLSQWMKKFMDSGSPVEHEAFLAFWLSRYVLPSSFDAVAENVLHIAIHLARGTRIALAPAILAKIYTDLTLLKKKIVATTRLESNGNDGNLLQPEPITLWSPLTLVQVWIWERFLELKPKPNLIKNGEPRFALWHDIKCKEQDVRSVLELAKEKFEWCPYVRKVLVLTNSDGAKFHRDKAIGISLSDDELESFARCLRPSELVGLDCIEQYLPHRVALQFGMDQDIPGTVSRSNDSYGIAWADYNKSVGGGKLNRIFEAGVTTRYLRWWNRSLLSMAEASKDGLEEKTSFKIKRKGTEEMNKSDCSTSFKMIPKGLKRAKEGDGSSKMIPKKFKGMRNSNAFPGPKGLKRSRLLSNQKEKGKKATCDLGSSRKRLKKLVEIPERKREVHTAQSSKAKKKDVLRPHESPPKGSEVSNEDKNVANSAARLSNASSPQFVTKMELNNSPFPPGFFPQTKPCTSQAPPGFPSKGLNEDEITPNGINADEVILAAPPVDSPTCINENYEATPGFSPTCIGEDKDESTMVEVSHSINEVEESVDGDKLTATQVLNISEDSDDEDQLTISEVLKSHRRSENVGFSNAREGKNSSGHCQNSSSSIADKVPKTLVGMGNAIPTMAASGGSSRATNTIEDKTESCGRTSNGIPGDKAAAAATVNCNSDEVETDVPILEKRIGRLEILVAYMKARNKLKAI >OMO71811 pep supercontig:CCACVL1_1.0:contig11547:9736:9997:-1 gene:CCACVL1_18060 transcript:OMO71811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKESFGGAENAADLYGKRDGIKTIPSSWARYQSFAHEMGQKLAMAGYLTKQFNKS >OMO54935 pep supercontig:CCACVL1_1.0:contig14851:13523:14331:-1 gene:CCACVL1_27463 transcript:OMO54935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WTKILCFSFANGSIDGHSVPGMPSLYSIEHTYKLDYTDQETKVFGLISKLVGHNRGPLLHNPTFRHENFNGVYVPMFVDNLKEFFSTYSSPDFAGFSVGFPYKEAVVEFCDEVHPLAE >OMO54934 pep supercontig:CCACVL1_1.0:contig14851:8940:9008:-1 gene:CCACVL1_27462 transcript:OMO54934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGRTRAELRALNFGVSARFGS >OMO54936 pep supercontig:CCACVL1_1.0:contig14851:19178:19294:-1 gene:CCACVL1_27464 transcript:OMO54936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSRFRNAKITPSLPSILSGELETSFSINEFRSAYGC >OMO89537 pep supercontig:CCACVL1_1.0:contig08681:18067:22283:-1 gene:CCACVL1_07778 transcript:OMO89537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKVGRKRKTQSASNVSKQPNIPSEQVLPTEPAHASDLQQNGQIESNVETQDANVNSVDIDSVPPKKVRGPTRGVGLEKLIKGKNKLVIEIPKGKGRPVSEVQSAKLSSEIGLIARKFISVPTKWRALTEADKLHFLERLRNKFDINLNDEYIKRSVLSIFAKLCKQQRYKLHQYYKSFNTDEETRQKLLSNFNMTEENWEKYCDMFSDADFKEKCEKNKISRQQVKFTPNQGSRAFVASRYAKGEMQRLLSAPIEEGQQPKTIDSIVDEVLGTNPSYIKGLGYEPKPEGKQTSDAATSSKDESEINKYKSNFELLRDYIQKVNEAMLAKGIAVPMPNFSGSFCFILGLGVKRLAKILAYGWMAEMGFLLGYSSDDKVGMGEGLKDCRIGHSLVDK >OMO76786 pep supercontig:CCACVL1_1.0:contig10868:11301:17385:-1 gene:CCACVL1_15420 transcript:OMO76786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGVSLKRSVRMLLLQLVVFVAGFQDCLSSPLEHLKGYMLTDGGAGAFLPEITPSASPQPFLPLLAPSPLSPFTNSSVPKLSGLCMLNFTAAQSLMSMTAIDCWAAFAPLLANVICCPQLHATLVILVGQSSKDSGALALNRTLAEPCLSDIEQVLAGQGAGDNLKQVCSIHPSNLTEASCPVKDVDEFESIVNSSELLASCGKIDPVKECCDQVCQGAILEAARKLALKASDPLSMDGPHVLPEHSTRVNDCRTVVLRWLASNLDPYQAKEVLRGLTNCNVNKVCPLVFPNMKHVANSCGNGISNQTACCDAMDSYISHLQKQTLITNLQALDCATSLGLKLQKYNITRNVYSLCHISLKDFSLQVSGCLLPSLPSDATFDKFSGISFICDLNDNIPAQWPSLSQLPASSCNKTVRIPALPAATNAQSGLESRIDLNWFAGWRGPGGVKASQLMVALAVPQDAVAIELRETKENTLPVELTLLPFLEKLYLDNDKLSVLPPELRACGTETVCQAGGIISLEYNKLDRPLLDFRAMAKLRILRLFGNPLELLHGYLAITQASSLVPHQYQDCGR >OMO76787 pep supercontig:CCACVL1_1.0:contig10868:19691:22151:-1 gene:CCACVL1_15421 transcript:OMO76787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGKSRRRDAGSGSSTQESRVDPGENQNRNPGVPLFELSPDDSSDQEEQFWRRQRRSVSVRQQTPDFVPAPLIDPQQHPNFTHLVTPSRSRVIGSSSRLRTRGDDEEERQIYVSRLHEQVTPYDLRAAFETIGDVIMVIFLAHANRNLGSAILGFAHKEVATRALQEMQNFKFFGQSCSIYPVKDVCSLYLGHINNSWTKEDMEACLARHNINNYVSLTLMPNHHSPDLNRGFGYLQFSCHSDATEAFHNCVDHSIYFGHHDGNKGKVEYADPPQILDPNIAQTLTKVIIDQLPYGYFKHHNEYYLHQALLRYGQVKTVELAINMHNRKRVNYAFVDFATHEEAVACVEGLKNDGLGYDNSQVIARLLDPIPNNIGARDQHVPDRRYQHGLRSRSLRDFGRHGGW >OMO61468 pep supercontig:CCACVL1_1.0:contig13469:12635:18496:-1 gene:CCACVL1_23493 transcript:OMO61468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MKFYISTTGIKRVTISNTSTGGGGGGGGGKGATVAGTGAAAARGRLTSRTVLPLVLVLGIVLPFLFVRVAFLVLESASSTSCSSAIDCIGWRLFSGGDTSQRLREELTRALMEVKDGNSLEGGIEGSLDSFNELVKEMTSKQQDIKAFAFKTKAMLLGMEQKVQSAKKRELMYWYLASRGVPKSLHCLCLKLAEEYAVNAMARSRLPSPEHVSRLADTSFHHVVLLTDNVLAASVVVSSTVANAANPEKLVFHILTDKKTYTPMHAWFAINSIKSAAVEVKGLHQYDWSQEVNVGVKQMLEIHRLIWSHYYKNLKEENFEYDGEHRSCLEALNPSCLSLMNHLRIYIPELFPDLNKIVFLDDDVVVQHDISSLWTLDLNGKVVGAVVDSWCGENCCPGRKYKDYLNFSHPIISSNLNQDRCAWLSGMNVFDLAAWRRTNITTSYHKWLKLSLNSGLTLWQPGVLPPALLAFEGYVHPIDPLWHVAGLGYRSPSAGGEILEAAAVLHFNGPAKPWLEIGSPEVRSLWNRHNQNRR >OMO61469 pep supercontig:CCACVL1_1.0:contig13469:22988:25119:-1 gene:CCACVL1_23494 transcript:OMO61469 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MATPYTPKNILITGAAGFIASHVCNRLIRNYPDYKIVVLDKLDYCSSLKNLNPSCSSPNFKFIKGDIASADLVHFILLTESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGTNQFPEKLIPKFILLAMSGKPLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTTKERRVIDVARDICRLFNLDPESQIKFVENRPFNDQRYFLDDQKLKTLGWYEKTSWEEGLRKTMEWYVSNPDWWGDVSGALLPHPRMLMVTGIEGQFNAPDTSNLDSGSVTNKFSQNGMLVPSPKSTTNSKSSLKFLIYGRTGWIGGLLGKICEKQGIPFEYGKGRLEQRAQLLADIQSVKPTHVFNAAGVTGRPNVDWCENHRPETIRTNVVGTGIGFKEEDKANFTGSFYSKTKAMVEELLREYDNVCTLRVRMPISSDLSNPRNFITKIARYNKVVDIPNSMTILDELLPISVEMAKRNLRGIWNFTNPGVVSHNEILQMYKEYIDPKFNWVNFTLEEQAKVIVAPRSNNELDATKLKNEFPEMLSIKDSLIKYVFEPNRKTFKW >OMO61471 pep supercontig:CCACVL1_1.0:contig13469:45077:46120:1 gene:CCACVL1_23496 transcript:OMO61471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNRGTRLTAWLALQKCPVMVQIMLVVSIIVALVLLFHKSDENPIPVNVLENQKWNRFESLVQFNPEREFRNGTDLIWQIPDSPKAVIFLAHGCTGRAANFWDRSSKCPKCVGLPEERFITLHALARKYAVLTVSSAGECWTFDKEKLIVEDIIRWWVKRQKLEKLPLVALGASSGGYFVSALANDLKFSSITLMIIEGLFDQMDIIEGYPPTLFVHMPKDVSRRQKITEFMQVLKNKGVEVSEIECMELPLSPTFLSDRIPGLNQTVSARLFNLFKEKGFIGEDGYMKRDGRATSWRKALQESKITLLKKDLEHPVEEELNLAFAYHEMTSLQSEQIFNWFESHMT >OMO61467 pep supercontig:CCACVL1_1.0:contig13469:7322:9462:1 gene:CCACVL1_23492 transcript:OMO61467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MCSSSSKCCELILADTYIYISPQFYQQEASKLRRQIRDVQNMNRHILGEALSSLSFKELKNLEGRLEKGISRIRSKKNELLFAEIEFMQKREVELQNDNMYLRAKIAENERAQQQQQQQSNMIQGSVYQTVTSQPYNRNFLPVNLLEPNNEYSTQDQTPLQLV >OMO61470 pep supercontig:CCACVL1_1.0:contig13469:43302:43364:-1 gene:CCACVL1_23495 transcript:OMO61470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAALLEKINQTQTSSSL >OMP07223 pep supercontig:CCACVL1_1.0:contig04576:89:1114:-1 gene:CCACVL1_01343 transcript:OMP07223 gene_biotype:protein_coding transcript_biotype:protein_coding description:HC-Pro MAGRPGKCADRWALHGRILPLFVQNVELIDNHVSEVGRRDTDAHESGEIVEFKRVGYRNEASRTCVKFKRLVVRGEVAEIFDTQLRQDVWRVERFLRSLSSSISARKIGRCIVDSVTNPSPVAALAFLDDAWVFGDDVRVQTDCATHVQRVHDVHDSPQSNAISIVTPTIVQCVRNEPRRGGDDRGTGNVFREVLNVDVRNDSHASAIGKLEWRAVDDGLVVIVARLKVRLVRAAMPVTYTFPRNDYAKTVLNCIDGGGAYAATRRAAR >OMP10999 pep supercontig:CCACVL1_1.0:contig01727:702:2321:1 gene:CCACVL1_00736 transcript:OMP10999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSPSAALDRDNSLSDRFSFLSLSDELPDDEIAPNWDYTAEIPDECLAYVFQFLGPGDRNRCSLVCKRWLRVDGENRQRLSLNAQTEIVTSLPSIFTRFDSVTKLALRCSRKSISLNDDALVMISIRCQNLTRVKLRGCREISDEGMLAFAQNSKNLRKLSCGSCMFGAKALNAVLDNCKNLEELSVKRLRGIHDGPEVIGPGAAASSLKMICLKELVNGQSFEPLVVGSKNLKTLKIIHCLGDWDRVLQLIGNQYRNGQDSLDINNGNNTGCSLMEIHLERLQVSDIGLYAISKCAKIENLHIVKTPECSNYGLASVAENCKLLRKLHVDGWRTKRIGDEGLVAVAKHCPNLQELVLIGVNATHLSLAAIASNCLKLERLALCGSATIGDTEIACIAEKCLALKKLCIKGCPISDAGIEALAWGCPSLVKIKVRKCRGVSNWAGEWLRDRRRSMVVNMDTYETDAGFGASTSDAGVHEHGMEFPLAVSQVNGSDASTSSNGRLALLRSKFGLFAGRNLVACTFRRWSNGDDNFSSNL >OMO53882 pep supercontig:CCACVL1_1.0:contig15119:7462:7587:-1 gene:CCACVL1_28268 transcript:OMO53882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLNEQIDSTRTRITKLAQKNNDPIQKPKKELVKGNLNQN >OMO77840 pep supercontig:CCACVL1_1.0:contig10708:2693:2887:1 gene:CCACVL1_14776 transcript:OMO77840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEGEKTGGESQRVLENNKSIEFIDAKVSKYRQRNTPKEPPHSHSSVSPPRDMGMKQGMRSED >OMO77841 pep supercontig:CCACVL1_1.0:contig10708:3490:7690:1 gene:CCACVL1_14777 transcript:OMO77841 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MDGQRNHSKTYKVSFSSRKRSVDVDGLRRPPNNPGEKDDMYIKLWHACAGPSVYVPRVGEKVLYFPQGHMEQVDAYVNQEGTMEMPIYNLPSKILCRVVHVHLNAEPGTDEVFAQIALIPEAEQDVLSEQHRNYEALPRKGYSRFFSKKLTPSDTNTHGSFSIPKKHADDGCLPPLNMSQQTPQQELVATDLHGFQWHFRHIYRGQPRRHLLTSGWSTFVSSKKIVAGDSFIFLRGGNGELKVGIRRATTLPSNTATSVISGVSMRHGILTSAFHAFSTRSMLTVYYHPWTTSSEFIIPLDQYIKSMEIDYSTGTRFRMKLEGDEPGEQRALGTIISNEDVDRIRWPNSEWRSLKVEWDRAQAATDAILRQERVCPWNIEPIGFTNKKKIPYDPRHQKRTRIDGVSSPGFSSLLMNAASLGLQNNWPPTFSNNALARRTFSFPNVNSQAWMTLESRNENETSISDPNSRNKCIIFGVSLANGSPELPSPQVLTSSEHESLIGSIPPTSQSSVSEPSEIKSSKHCTSCHSLSNRSCTKVLKHGSALGRSVDLNRFDGYESLISELDHMFEFKGKLTDGSSGWRITFTDDDGDLLLVGDYPWELMKIKANATL >OMO77842 pep supercontig:CCACVL1_1.0:contig10708:8132:8886:1 gene:CCACVL1_14778 transcript:OMO77842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSICFSFGTVAATAAISNSDYLRRPLIRNPTSILTPNFPLRRTQRSLIIPRPRSSSESKGNQQGQDPETLVEDLRVPDQWLLPSKALEESEWLRVTLHKWLDDEYCPEETNVEISKVAARAYYQSLLEKETDIGEILLKMARELESISYQESFHGAFSSANAAVNLIMQRIEEQ >OMO77845 pep supercontig:CCACVL1_1.0:contig10708:20545:21793:-1 gene:CCACVL1_14781 transcript:OMO77845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-type MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQQVGAAFNQHLMAQRPRLPVLPTPIMPIPGAPLPVNQPMVPGMRPPVLPRPMMGAPGYAPAPGMPPMMAPPGAPPLPGQINGLPRPPTLAPPTTVPGTATTPTSSNGAPTMAAPAPYQSTPAAPTSGGFDSFSASAQPSEANH >OMO77839 pep supercontig:CCACVL1_1.0:contig10708:1775:2095:-1 gene:CCACVL1_14775 transcript:OMO77839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLLDNEYNAPRRLNYHRFAVKTLTTHAKTKGQAHCSGAWALPSAALGRVQLFTVHNGVILGFAARPCQAKTKSLVQSMTRACWPILRTCRLRLFCRSYHRIYRP >OMO77844 pep supercontig:CCACVL1_1.0:contig10708:14877:19153:1 gene:CCACVL1_14780 transcript:OMO77844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHRNLPHTLLFESQSPSGSKFDENGYGELQNTSVFDDTMVVDSPLDEMGTQLEDVCFDAEVVDHFDDDESIGNLGDLKAESLLCELDTEIVLDSEDEGICKTMNQRTPERSERNALGLRERQIKTPNLDSASIDEQSRAGNKGLANNLDTIDGKNDVPQLSECDHETGRLECADSQEPEELSQANALSFVDNFLTFNDMDMCREGEERTSSRKKSPLVSSAKATQRLAKIIKGGSPVKRMGTFDWFDSNQHGEADSLSRMMTASSEYGDFDQRTDKSLQNPNNGEKSLRNKYKEKNEIAVLHKDIRGPSYSPSNFIEQGAKVRISVEQESERNIMNGSVKELDEFMQTEPSWEKFEGNASARDIPDMFDVGIGTQIAAEAMEALFYGPPPTWKTGDECERREDNIADLPDCETEKRANLDQHSLQNIAASDFGDIAKQSIRRKRSSRRYSKVVSSSSWKCNYQELNQKIKPKPCKSRQSKVNKNVSSNNQEKCESYASAPISDKDLGIFKSRHLQVGASMKGTKDQPDKPRVVTNNVEERSMLTYKRKRKRVVADPPKLLSGKQKCSKLYSNASAEASNYKLNKQGQINHQKDALARYFRLDAWKCPKGKRTHRKVPIHSSGASNKLESFTSVGADVHEPHSLGSQKVPEDDENASNNLNKKGLMRTALTQLSLENNSEEGLSRQSCNEEVTGIVTNKDSAVTNSRMSAWDLDRSKAIQTGQPGHADTPTIINGLESHIFENSQRKIIDQAGTECYTAVSCTKKVNEASFNSVSYVYRRRPCNKNLPKPSLLKELTGLVVPESISNFTRIRTRRQGAYVRVLFSQHLPDDIIKQQKKIAGRLGVSITSCSMDATHFIADEFVRTRNMLEAIALGKPVVTHLWLDSCGLVSCLLDEKNYILRDSKREKEIGFSMPVSLARARLHPLLKDKRVCITPNVKPNKEMITILVKAVGGQVVETSQKLAAKDQKILDNVLILSCEEDLAICLPLLDKGAAVYSSELLLNGIVIQKLEHERHKLFSDFVKRKRTISERDRQTRRLSKR >OMO77843 pep supercontig:CCACVL1_1.0:contig10708:11118:14316:-1 gene:CCACVL1_14779 transcript:OMO77843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTKPKKPDWSDHLPIDLLGLIANRLGLIDLLRFRVVCKNWNFVSSNASAEIEALPNNDPWFLIYDYDSKCMLKTDESKKIYRAVKIPEMNGATCIASNHGWLLLFKTPGSGSMCFFCPFSRARIEIPKFPLMVLNNMLREAQLMFLFTTGENSKNVFTSRASSLAQNVFNRKLSTQTGSDPDLSDF >OMO77846 pep supercontig:CCACVL1_1.0:contig10708:22326:25097:1 gene:CCACVL1_14782 transcript:OMO77846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MDGNSASRNSSSSGTVKVNEKQSWATSPSGALYHFGTSGTSVAVATAITHPIDVLKVRLQMQLVGQRGPLIGMGPLCVQVLKSEGPKALYLGLTPSLVRSLIYGGLRLGLYEPSKSVFDWAFDSTNIFVKIASGAFAGGIATALTNPVEVLKVRLQMNSDLKQRGAIAEMRSIVSREGVGALWKGVGPATARAAALTASQLATYDEFKRILRKWTPLEEGFYLHLSSSTIAGLVSTLITAPMDMVKTRLMLQRERDGSYRNGFHCAYQVLRTEGVRGLYKGGFAIFARLGPQTTITFIVCEELRKLAGLNAI >OMP12056 pep supercontig:CCACVL1_1.0:contig00491:1143:1250:-1 gene:CCACVL1_00159 transcript:OMP12056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLRKGLIQKGKKKRMGGIMLKRIGERELIEKSV >OMO87122 pep supercontig:CCACVL1_1.0:contig09322:49606:55138:1 gene:CCACVL1_09257 transcript:OMO87122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERLRLAGGILALVLRRSSVKLK >OMO87121 pep supercontig:CCACVL1_1.0:contig09322:23318:24818:-1 gene:CCACVL1_09256 transcript:OMO87121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKIGVKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTEQEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKLQTGVDGENQDGFSSSQSVSKGQWERRLQTDIRMAKQALSEALSLDKPNSLTDSKDFNLSNNPSQSQSQSQSQTYTYASSAENISRLLQNWMKNPPKSSGGGTNSAETMTDQNSFNVTTTTTAGSSSSDEGATTAEGFDSFFSFNSCNSSDASVDEQNGNLSSATPENSVFQDESKPNLETVPLTLIEKWLLDDASGQAHEDLINMSLEDSAAALF >OMO87123 pep supercontig:CCACVL1_1.0:contig09322:55748:58337:-1 gene:CCACVL1_09258 transcript:OMO87123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSISSFSYSPFSLSCKSYRPFLPQTALSLKPISIKASAAYLDYSATSSIIELKPSKPAKTNCWEWKFKDNVIHIYYEEHETESTDPPKNILMIPTISDVSTVEEWRAVAQDILRRAGKVNWRATIVDWPGLGYSSRPKLDYDADVMEKFVVDFINEISTPENDLVVFAGGHAATIAVRAAKKGLVKPKAIAAVAPTWAGPLPIVFGRDSSMQTRYGLLRSTLRAPAVGWMIYNMLVNNEGAIQSQYKSHVYANPKNVTPEIVQSRFKLTSKKGSRYVPAAFLTGLLDPVNSRDEFLELFTELDGEVLIMVMSTEGSPRRSKAEMEALREVKGVSKFVEVPGALLPHEEYPNMVAEELYQFLKENFEVDA >OMO87120 pep supercontig:CCACVL1_1.0:contig09322:15185:15247:-1 gene:CCACVL1_09255 transcript:OMO87120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHSSVDFNFSGDVETFGD >OMO86842 pep supercontig:CCACVL1_1.0:contig09402:7816:8335:1 gene:CCACVL1_09429 transcript:OMO86842 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin-like protein KIF2C-like protein MEHQLDKKIWAPRVTSCTAGSSAPGLKKESDGPLSPNPLRSPLPSRVWELHRVRVLPPDQIDRNQF >OMO86846 pep supercontig:CCACVL1_1.0:contig09402:35262:47737:-1 gene:CCACVL1_09433 transcript:OMO86846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQKSILSFLQKPSPASQNGAGGKLKGQGDSQFASKQQDQNVAACGSSAEVTGTDTPPEKVPRKVLPANFAANAETNGSSSLFSSIMHKFVRVDDKENASQRNQKRENSRSVKELPKVGLSAQPNEKANVSLSNIESDDDLGPETPAMKPGVSRLKRIQNDLPKLETGKRVKLVQESTVVNNNLRNGTDDASKFEWLHSSRIKDANGRRPGDPLYDKKTLYIPPDALKKMSASQKQYWSVKCQYMDVVLFFKVGKFYELYEIDAEIGHKELDWKITISGVGKCRQVGISEIGIDDAVQKLVARGYKVGRIEQLETSEQAKARGANSVIQRKLVQVITPSTTVDGNIGPDAVHLLAIKEGNYGVDNSSTVYGFAFVDCAALKFWVGSISDDATCAALGALLMQVSPKEVIYENRGLSREALKALKKYSFTGSTAVQLSPALSVTDFLDAAEVRNMIQSNGYFKGSPNSYIHALDGIMNHDVALCALGGLVSHLSRLMLDDILRSGEILPYQVYQGCLRIDGQTLVNLDIFSNSADGGSSGTLYKYLDNCLTSSGKRLLRSWICHPLKDVDSINNRLDVVDKLTSHSEIMLLIAQYLRKLPDLERLLGRVKASSQSSVSLVLPLIGKKVLKQWVKAFGTLVKGLRIGMDLLRLLQDDADVVSVLSKVFKLPMLGGTNGLDEFLTQFEAAIDSDFRNYQNHDLTDKDAETLSILIELFIEKAAQWSQVIYALNCIDVLRSFAVSASLSSGAMIRPLLFPQSSTATLNKETGPILKIKGLWHPFALGENGGLPVPNDIFLGEDLNDYHPRALLLTGPNMGGKSTLLRATCLAVLLAQLGCYVPCETCVISLVDIIFTRLGATDRIMTGESTFLVECTETASVLQNATQDSLVLLDELGRGTSTFDGYAIAYAVFRHLVEKVHCRLLFATHYHPLTKEFASHPHVTLQHMACSFKSTSCSKGEELVFLYRLTDGACPESYGLQVAIMAGIPKDVVEAASQAAQVMKRSVGKSFRLSEQRSEFSTLHEEWLKTLVNVSQSQVEQNKKKEMGKSKRKELGLSCMLNTEVGAVLAVIRRPDPTSQFMSPQEDYGDTQIQHSLKALRGLIFNPPQEWRAIDPCIYLSPFIDVIQSDEIPATATGVALSAILKVLKLEIFNEKTPGAKDAINFIVSGITSCRLEKTDPASEDVVMMKILQVLAGIMRHSCSFLLTDQSVCTIVNTCFQVVQQSATRGNLLQRSGRYTMHELIQIIFSRLPDVDENEGESSGSETDDMDENSGYGIRCAVDIFQFLCSLLNVVELVETEVSPWHTADEDIQLYALVLINCAIELSGDGIGKHPKLLRMIQDDLFHHLIHYGTCSSPLVFSMICSTVLNIYHFLRRFIRLQLEAFFTFVLLRVGALGATLQLQEVAVEAIINFLRQPTFVIEAYTNYDCDPICRNIFQEIGKLLCKHAFPGTGPLTTLQVQAFEGLSIMIHNISENIDKEDDSSTSEPYPVEITEFRPYWVEKQKDDLETWVEYIRFRKVQKKKMLIAGNHFNRDVKKGLEYLKQSQLVSEPPNPKAFAFFFRYTPGLDKSMIGEYFGDPDDFHLQVLREFTATFEFQGMILDSALRTFLETFRLPGESQKIERILEVFSERFFDHQSSEIFVTKDVVFIFCYSLIMLNTDQHNPQVKKKMTEEEFIRNNRAINGGKDLPREYLSELFNSISNHAISLDGQSGQVDMNPNRWIELINRAKIIQPYLLSDFERRIGRDMFASVAGPVVATLSAFFEHADDDEMLHECIEGLISIARIAQYGLSDTLDELVASFCKFTTLLNPYASAEETLFAFSHDMKPRLATLAVFTIANNFGDSLRGGWRNIIDCLLKLKRLKLLPQSVVEFDASDVTDASKSDSGVIFPNQDAKFSKRQHSGMVGRFSHFLSLDSMEDSISMGMSEFEQNLKVIKQCRIGSIFGSSYNLPTDALMNLGRSLIFAAAGKGQKFSTPVEEEETVAFCWDLIIAISLANVHRFQVFWPSFHENLLAVAQFPLFSPIPFVEKGIIGLFKVCLKILASYQVDKMPEELIFKSINLMWMLDKEILESCREIIIQSVSKILIEYPANLQTPLGWKSVINLLSISGRHPDTYDQAVETLIMLMSDAFHISPMNYAYCIDCAFGIIAMKTSPLDKTLKILDLMSDTVNLLVQWHQNNYTDAGNNNYSIASNTSTSSMDDTSKATGSNNLAMNLFIKLGEAFRKSSLARREEKRNKAVSALGKSFELAENLELSSTNCITCFNLVIFAMVDDLHEKMIDYSRRDNAEREMRSMEGTLKLAMELLTDVYLQFLKQIAENPGFRTFWLGVLRRMDTCMKADLGEYGETKLQEVVPDLLRKMIKSMQEKEILVSKDGDDLWEITYIQIQWIAPSLKEELFPENEM >OMO86847 pep supercontig:CCACVL1_1.0:contig09402:48302:48733:1 gene:CCACVL1_09434 transcript:OMO86847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-turn-helix type 3 MPSRFGGAVSQDWEPVVLHKSKPKAQELRDPKAVNQALRTGAPVQTIKKFDGGSNKKTAGPVVNARKLDEGTEPAALDRVSVDVRQAIQKARLEKKMSQAELAKLINEQPKVVQEYENGKAVPNQAVLAKMERVLGVKLRGKK >OMO86844 pep supercontig:CCACVL1_1.0:contig09402:16989:18316:1 gene:CCACVL1_09431 transcript:OMO86844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFRFAKTKKSNGNLIHTSKPM >OMO86841 pep supercontig:CCACVL1_1.0:contig09402:2359:4701:-1 gene:CCACVL1_09428 transcript:OMO86841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKTNFKLHFSSHLSFLLLISFFIGFCSCEQKQHSISSHGGLTEQEVLYIKQRQLLYYRDEYGDRGEKVTVDPSLVFENPRLRNAYIALQAWKQAILSDPSNYTGDWVGSDVCNYTGVFCAPAPDNKKIRTVAGIDLNHGDIAGYLPEELGLLTDLALFHINTNRFCGTVPHKFINLKILFELDLSNNRFAGKFPEVILRLPKLKFLDLRFNEFEGTVPKELFDKDLDAIFINHNRFRFNIPDNFGNSPVSVIVLANNNFHGCVPSSLGNMTGLEEIILMHNGLRSCLPEEIGLLKNLTVFDVSFNELMGPLPDSIGGMVSLEQLNVAYNKLSGKIPASICQLPKLQNFTFSNNYFTGEPPVCLRLQAFDDKKNCLPDRPLQRSAAKCKSFLSRPVDCGSFRCKPFVPSLPAPPPPSPPIPVPSPPVVVPSPPVVVPSPPVYTPQSPPPPPPPVYSPPPPPPVYSPPPPPPVYSPPPPPPSPPPPVYSPPPPPPVYSPPPPPPSPPPPSPPPPPPPVYSPPPPPPSPPPPSPPPPSPPPPVYSPPPPPPSPPPPSPPPPVYPSPPPPSPPPPSPTPTPPYCVRSPPPPPPNSPPPPQYSPPPPPLFSPPPPIPYYYNSPPPPQYSPPPPPHSPPPPPHSPPPPIYPYLSPPPPPPVYSPPPPVHSPPPPSPPPCIEPPPPPPPPCVEYSPPPPSPSPPPPIHYKPPPSPSPPPPPIYHSPPPPPPVVTYSSPPPPPVHYHSLPPPIVYQSPPPPAPVYEGPLPPVIGVSYASPPPPPFY >OMO86843 pep supercontig:CCACVL1_1.0:contig09402:11363:11497:1 gene:CCACVL1_09430 transcript:OMO86843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSHFKLKFKRLIQVSKHRVGPLMTRKKASPLEPDKCQLISS >OMO86845 pep supercontig:CCACVL1_1.0:contig09402:20510:24074:-1 gene:CCACVL1_09432 transcript:OMO86845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSSVETNNQVNFSAPRGFATTFKSDCKETFFPDDPFDNFKDGKPLVRAKKTLQYFVPCFEWLPKYNFKLFRYDLLAGITITSLAIPQGISYAKLGNVPPIVGLYSSFVPPFIYAIFGSSKHLAVGTVAACSLLIYETIGAKVDPKDDPTLYLHLWRWQSALAGVIFLIFLQITRYVRQKKPKLFWVSAMAPMVVVIVGCVFAYFVHGDKHGIQIVGPLKKGLNPPSVHLLNFDRRYLGAVVQAGPFSKTAVNYNSGCRTQMSNVVMGFCMMLVLLFLAPLFSYTPQVALSAIIMSAMLGLINYQEIIHLYKVDKFDFCICIAAFLGVSFISMDVGLMLSVGLGIIRALLYMARPATCKLGKVPNSSLYRDTEQYPGSTSIQGILVLQLGSPIYFANCTYIRERILRYIREEQGNSDSKSDVVEHLLLDLSGVSSIDMTGLEAFVELRRIMQGKGIKMGIVNPRIEVLEKMTLAHFVDTFGKENFYLSIEDANQTCQFQLDTNKDKDGEPTNEQEGA >OMO86848 pep supercontig:CCACVL1_1.0:contig09402:49065:52991:-1 gene:CCACVL1_09435 transcript:OMO86848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNCNGNSHHFKIPEIKFTKLFIDGEFVDSVSGKTLETIDPRTGEVITRVAAGDKEDVDLAVKAARQAFDHGPWPRLGGSERAKIMLKFADLIEENLEELAALETVDAGKVITFCKMGDIPSAARALRYYAGAADKIHGTVMKLSKGLQGYTLKEPIGVVGHIIPWNFPTVMFFMKTAPALAAGCTMVIKPAEQTPLTALYYAHLAKLAGVPNGVLNIVNGYGETAGAAMSAHMDIDKVSFTGSTEVGRKIMAAAAASNLKPVSLELGGKSPLLVFDDVDVNEAANIAFNGMFINKGEICVASSRLFVQEGIYDKFVNKLVEKAKSWVVGDPFDPKVNQGPQVSKEQFERVMSYIEHGKRQGATLLTGGKRLGQKGYYIEPTIFTDDDMIIAKEEIFGPVLSVMKFKTVEEGIKRANLTSYGLAAGIITKDLNIANTVSRSIRAGIIWINCYAAFDIDCPYGGFKMSGFGRDFGVEALNQYLQIKSVVTPVQNSPWF >OMO58833 pep supercontig:CCACVL1_1.0:contig14111:2628:3252:1 gene:CCACVL1_25327 transcript:OMO58833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase/uridine kinase GVYALHPEIRKSLDLWIVVVGGVHSHLISRVQRDKSRVGCFMSQNEIMMTVFPIFQQHIEPHLVHAHLNIRNDFDPVLSPESSLFVL >OMO77907 pep supercontig:CCACVL1_1.0:contig10672:23705:24164:1 gene:CCACVL1_14740 transcript:OMO77907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type KGKGKEKTVERDDNALMASDDERFNCCICFDMLDRPIPCPCRHNLYLKCFVQSIKKLGKRNCPVCGSLIPCEMADQPRIN >OMO98928 pep supercontig:CCACVL1_1.0:contig06982:11186:12499:1 gene:CCACVL1_03999 transcript:OMO98928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MASLFQIFHFCLLLFLSPSITLASFRPKALILPVFKDTSTLQYLTQIKQRTPLVPLNLTIDLGGQFLWVYREKDYVSSSYKQGTCRSAQCSLARALLCQDCSSASPNPSPGCNNNTCTLLPDNNVIRTATFAELSQDVVPFNLPMEPVPVRSFRCPSFSFTCGATFMVEGLANGVTGMAGLGRHPISIPSLFSAAFSFDRKFAICLTSSTKSKGVIFFGNGPYIFHPNIDVSKDLIYTPLILNPVSTDAIYYEGSPSTEYFVQVKSIKINGKTVPLNSSLLSIDDQGNGGTKISTVNPYILLETSIYNAVIKAFVQEINANVSQVAAVSPFGACFSSNNIGKTRAGPAVPPIDLVLQRVVDGGLNPRTSIVIGGHQLEDNLIQIDQATSRLGFSSSLLLKQTTCSNFNFTSIA >OMP10893 pep supercontig:CCACVL1_1.0:contig01795:432:551:1 gene:CCACVL1_00755 transcript:OMP10893 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP GLPPDIHTISVGLSASMGSFILVGGEITKRVAFPYARRQ >OMO99467 pep supercontig:CCACVL1_1.0:contig06889:636:722:-1 gene:CCACVL1_03793 transcript:OMO99467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRKQTIYRKGRGNFTGDPAHPSPHVL >OMO82811 pep supercontig:CCACVL1_1.0:contig09969:1655:4263:-1 gene:CCACVL1_11754 transcript:OMO82811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALNSSLRFSTNVEEIYISDSHLPNNTLQSIGVFSSLKSLTLRNCSGLSGTLPTQGWCDLRNLESLDLLDNELEGTFPSCLDNLTSLRHLDISYNCDFQVPASLMSFANHSSLKELRFTGNEIVTDIDPISQTWVPNFQLKSLTMNSCSPSKGLPKQLPKFFYYQYNLRLLHLSNNNFGGNFPSWLMDNNTRLETLGIVGNSFLDTLQLPRHPNFEMGVIDLPHNEIQGEIPRNICLTFPKLRVLSLFQNGLRGNIPPCLGGLKSLQVLDLAYNNFSGGIPDEVGKSNSLETIRLSNNNLSGKLVPTIFTSSILAALYLDGNHFDGEIVEPQVDFDFSVSYLVYIDLTNNQFSGKLPRWFGKMFQLKRLPLSNNHFDGPIPFEFCHLDKLSVLDLSHNNLSGSLPSCFNLSKTEHIHLRGNRLSGPLPRALYNTSSLVTLDLSQNEFTGEIPHWIGTLSALSILILKSNHFGGEIPSQLCRLESLKIIDLSRNELFGPIPSCLSNLTLELKDGDSPYISLLSEFNYFSSIYGWDLSVILSLHMENAIADAYVEEQVEIMTKRALLMYAGNILINMSGIDLSCNRLTGQIPLELGNLSELHLLNLSHNNLTGFIPSTFSKLKQIESLDLSYNSLSGRIPNELTELNFLEVFNVSYNNLTGSIPDQKGQFGTFDENSYMGNPFLCGPLLHKKCSPTHTPPTPPNGEEESGLAVDCFSFWVSFSISCAIVLITMAIVLFVNPYWRQAWFYFIQQCIDTCHFFVEDNLVKLYIYRRRLRRIIV >OMP08026 pep supercontig:CCACVL1_1.0:contig04059:1075:1330:-1 gene:CCACVL1_01176 transcript:OMP08026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPKPWDDLPKGKFPVPTYWDYLGAPVDNGQPCRFRFRYRRCGGSGRSCGENQQP >OMO77220 pep supercontig:CCACVL1_1.0:contig10801:11339:13720:-1 gene:CCACVL1_15155 transcript:OMO77220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A22B, signal peptide peptidase MLARLFVYDIFWVFFTPVMVSVAKSFDAHIKLLFPTADSARPFSMLGLGDFVIPVLYDFTLPLWMYFCSTSIKIDVSRGKQSQYFKSAFLGYTVGLVLIIVVMNWFQAAQPALLYIVPSVIGFLATHCIWNGEVKPLLEFDESKTAATSQEEAYDALGPTGNITIKWDVISCTLDGYVAVVTM >OMO99993 pep supercontig:CCACVL1_1.0:contig06753:2095:8798:-1 gene:CCACVL1_03507 transcript:OMO99993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDVVLKVKEEVKGSLKQDSFVPQGGHHQK >OMO99994 pep supercontig:CCACVL1_1.0:contig06753:16811:18696:-1 gene:CCACVL1_03508 transcript:OMO99994 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein RERSCKFVSGTGEGVAKVAFRTRNELLDDGYKWRKYGQKVIKGNPYPRSYYRCITKGCPAKKWVEQDTSDTSFSFVTYKGIHSHDSPYGKGLNNCLSQVCNDHGPNNMENAAEGAKAVFNVKKERVKMEDMPPEFRFYPTEEELVSFYLHNKLEGNRNDLNHLMNRVIPVVDIYEFNPWDLPQFSVLLCHKDPEQWFFFIPRQESEARGGRPKRLTSSGYWKATGSPCYVYSSNSRAIGVKRTMVFYNGRAPTGKKTEWKMNEYKAIEGEAPSSINGPPPSLVPSVQESKCLRSFDRRPPPEVVLMTGDFAVPHDHGRRFGGEMSRQIGAAGAEGMATATASSPESSSSGDHN >OMO99995 pep supercontig:CCACVL1_1.0:contig06753:20737:24529:-1 gene:CCACVL1_03509 transcript:OMO99995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYERVERKGKLITGIFIGIVKEMKLINQKLTQIP >OMO59828 pep supercontig:CCACVL1_1.0:contig13867:12303:12581:1 gene:CCACVL1_24591 transcript:OMO59828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLSFKFPFPLEKSSRPSERISLLALPQLNSGHGFCLSRKYSGFRGLSVNAIQEEVIQSTNSETTLHSQTTLPSSSKLVLVVGATGGVGM >OMO59829 pep supercontig:CCACVL1_1.0:contig13867:13201:13311:-1 gene:CCACVL1_24592 transcript:OMO59829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EIWSILKPNVLKHCMETAAWQANAKLTVRTDVEQMV >OMO59827 pep supercontig:CCACVL1_1.0:contig13867:8501:11989:-1 gene:CCACVL1_24590 transcript:OMO59827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine-5'-phosphate glycosidase MASSSSSSSSSSAAALNRLSNLSNHLRPTNLNALVKLSAEVSEALWSGKAVVALESTIISHGMPYPQNFETAKEVEAIVRENGAVPATIAILDGIPDHEKSILMVHGLNLEQLKRLARLGKSVQKTARRDIAHVVATKRNGATTVSATMFFAAMVGIPVFVTGGIGGVHRHGEHTMDISSDLTELGRTPVTVISAGVKSILDIPRTLEYLETQGVCVAAYKTYEFPAFFTETSGSKAPCRVDSPEDCARLIGK >OMO55721 pep supercontig:CCACVL1_1.0:contig14598:6868:7119:-1 gene:CCACVL1_27060 transcript:OMO55721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYLMKFPSAFVCCRACEVLSMLLACAFSFANASCTRRAYQSLITQQVNFSLPN >OMO55720 pep supercontig:CCACVL1_1.0:contig14598:3412:3882:1 gene:CCACVL1_27059 transcript:OMO55720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELESEDTGVIEVSDETVEDNSRIDNEKAGNGSKILDEDVGGSDVDDVDTGVTAELKIEDSDLQSERELKIKVDTDLIVSEDVSIEPSIEPNIEHIVTATGESGDSIITTTTSEYCDKDEADVQKDSNFDDKASSSHVDDKASSTHVDDKASSSPVR >OMO70467 pep supercontig:CCACVL1_1.0:contig11823:1161:5937:-1 gene:CCACVL1_18895 transcript:OMO70467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MGVTSKKKKGKVRWSNLYSFSCFRPSTLEPSAAQELIGQPGFSRVVFCNEPHLHRKKPYKYPYNNISTTKYNFLTFLPRALFEQFRRVANFYFLLGAVLSLVSLAPFSRASLIAPLLFVVGISMLKEAVEDWHRFLQDLDVNNRTVKAHDSNGVFVDKLWKELRVGDVVKVNKDEYFPSDLLLLSSSYEDGVSYVETLNLDGETNLKVKRCLEATLCLNEDEEFSDFKATIKCEDPNPNLYTFVGNLEFENESSYPLSPSQVLLRDSKLRNTDYIYGVVIFSGHDTKAVRNSTRSPSKRSRIERKMDKIIYLLFSMLLFVSLVSSIGSSLFLKHDMVDWWYLQLQGNDDKFFNPSKPVKSAFLQFIRAIILYGYLIPISLYVSIEVVKVLQAMLINKDVEMYDEVTCKSVKARTSNLNEELGQVEMILSDKTGTLTCNQMEFRKCSIAGVSYGGAVTEVDLAASRRINVDFEACDELSTDEFDQISQSYEVFEFSVSDFSTQKAVLGREVTDNTNKGNPRLTKAEHKSVIKGFNFRDDRLMNKNWIHGSNLSDITMFFRVMALCHTGIPLEDDKNNKLRYEAESPEEVAFLIASQEFGFQFCRRTQSVIVLKEFDPYSNMEVEREYKLLNLLEFSSSRKRMSVIVCNEEGQIFLLCKGADSIIFDRLADNGRTYEQATTSHLSNYAQDGFRTLAFAYRTIEAAEYERWNTIFTQAKTTVGHEREQLLEEASEMIEKDLMLLGVVAVEDKLQKGVPECIDKLAQAGFKIWLLTGDKRETAINIGFACSLLRQDMKQFHLTLSREVESKKQVKAMKKDILHQIESSLRVMSNKEAPFALVVDGKALEIALEGDVKDKFLQLAVNCASVICCRVSPKQKALITRLVKQYTGRTTLAIGDGANDVGMIQEADIGVGISGMEGMQAVMASDFSLPQFRFLERLLIVHGHWCYQRIAKMVLYFVYKNVAFGLTLFYYELYTSFSGEVLYDDWYMTMFNVMLTSLPVIALGVLEQDVSSDVCLQFPALYQQGPRNVHFRWSRIIGWILNGVVTSLVIFLANIYILSPNAFRQNGHVADINSLGAITYTCIIWTVNCQIALITSHFTWIQHVSIWGSILLWYIFLVLYGALPPDTSGNAFKVFIEAIGPAPLFWMVTLLVVVVSLLPYFIHIVIQRTFYPMDDHIIQEMKHCLRDDHHNEMWLREQRNSKRSTHIGFSARVDAQVLSFTQQLHRKKKSIYKSVTNSPIYRSMTSSSSS >OMO70468 pep supercontig:CCACVL1_1.0:contig11823:8868:12852:1 gene:CCACVL1_18896 transcript:OMO70468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGVGSGSWSGKMTGPRSRICVKNLPKYVEEKRLRGFFSQKGEVTDAKIIRTPDGKSRQFAFIGYRTEQEAQEAIRYFNKSYLDTSRIICEIARKVGDANTPRPWSHHSLKKQENVAETGEKTDGAKGSGVISSKGGKKVVDNSENDDPQLQEFLQVMQPRARSKMWANDIVIDTPAIQNSNVTEKITRDEKEGRRASAPVDVEIDKADIALDDDGKKASNNLARDEVISDMDYFKSRVKKDFSDSESDDNEENDDEDDSDSDQKREHNAPSDNENQDQIQEGSSKDADGKNLDPENPPSGLKDDIDENLESGRLFVRNLPYTATEDELTEFFSEFGYLSEVHLVIDKDTKRSKGIAYVHYKVPESAVRALEEVDQSIFQGRLLHVMPAKPKNPTSKQETNDSANHGSQTFKQKREEERKAAEAGGDTRAWNSLFMRPDTVVENVARKYGVSKSELLDREADDLAVRIALGETQVIAETKKALESAGVNISCLEEVAAGKTDGMKRSNHVLLVKNLPYGSSDGELAKMFGKFGSLDKIILPPTKTLALVVFLEPAEARAAFKGLAYKRFK >OMO84757 pep supercontig:CCACVL1_1.0:contig09705:5408:5551:1 gene:CCACVL1_10671 transcript:OMO84757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTPSSILKIKEFTQIWMENGRESYPFELVDMLLEAELAKEELITPHT >OMO89382 pep supercontig:CCACVL1_1.0:contig08743:10510:10698:1 gene:CCACVL1_07868 transcript:OMO89382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKRPLESEEGYQRWYADNQKVKRWLLMSMKPEIMKRYLRLSTAREIWSALSKAFHDGGD >OMO63658 pep supercontig:CCACVL1_1.0:contig12925:51580:55027:1 gene:CCACVL1_22368 transcript:OMO63658 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter MYSSLILAYMGQAAYLSQHHHTSYQISFYVSVPESVRWPVLIVAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVIHTSDKIHGQIYIPEINWMLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWRKPPILALAFLLFFGSIELLYFSASLTKFREGAWLPILLALILMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVPPAERYLVGRVGPATHRSYRCIVRYGYRDVHQDVDSFETELVAKLADFIRYDWHRSQQTYPCIEDDASHSNESTSDCRLAVIGTVAFSGTPAYETEDSVQPASVSVGFPTVESVTDVIEMEPVGVVQRRVRFAIDDESESDARTDMEVQLQEELEDLLAAKESGTAFILGHSHVRAKQGSSVFKRLAINFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVVQKLNRSSAFRVTAKKDSTAEKEEPKKNKQSLFSSVTEALDFSQVRSEKDAELLQEAREATQSGERMSREQYGALRRKIGGTYKDFFKSYVDVDGEYVEEGWVDKTCKVCKQDTRGEPRQKDQFGRYVHVACLEKSKSGNFFTKLFSR >OMO63657 pep supercontig:CCACVL1_1.0:contig12925:22819:23190:-1 gene:CCACVL1_22367 transcript:OMO63657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTQQQLTATLFFLIIFTATSLSSARLLNDFVSHGLAATEPTLNLALPLVDVNDEPVTTEEQRDRKPALLPCDHMVPIKNSHVGLRRPPRLAGKYGPMILSMLPKGPVPSSGPSKGTNDVKN >OMO63656 pep supercontig:CCACVL1_1.0:contig12925:9052:20305:-1 gene:CCACVL1_22366 transcript:OMO63656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, clan GH-D MGTMGEQNRAYESTKQKQPIDMELGSFSQVVDLSNQKQITVDTPGRNLNSRVAELPCKHYFQEIEVANTEGILCSPSKVIKSMLNLSNVFRCLSIKRGSYEGREVESSSNKQRIYDLGPGVFDGVKIDELSKTKYEDQAVCFSLGSLMTGTTRKRRGRPPKKNTSKYKFKEIREENDLQLVEIPVQTASFLLDESVASCCRVEFFGFPACVAWRWLAILRMETVSDENSCDGPMIMLLLLKVRDYRSTDKQSSVGFLIKNADDSQKWARPQMYWDETDFDSAFDKFANPTVVPSVYILMVLTVWLTDVGIEGRQLSVLEKHRKPTSGFDFGFSKSFNSIYNNSRYGMFHLNNGLALTPQMGWNSWNFFACNITEDLIRETADALVSTGLADLGYVYVNIDDCWSAASRDSEGQLVPDPKTFPSGIKALADYVHGKGLKLGIYSDAGAFTCQVRPGSLFHEVDDAELFASWEVDYLKYDNCFNLGIDPKKRYPPMRDALNATGRTIFYSLCEWGVEDPALWARGVGNSWRTTDDINDTWASMTTIADLNDKWASYAGPGGWNDPDMLEVGNGGMTYQEYRAHFSIWALMKAPLLIGCDVRNITDETFEILSNKEVIAVNQDSLGVQGRKVYVSGEDNCLQVWAGPLSGNRLVVALWNRCSKAATITAKWEALGLESSTSVSVRDLWQHKDLTDNAVSSFGAKVASHDCHMYIFAPKTAAHSAI >OMO96737 pep supercontig:CCACVL1_1.0:contig07387:4642:5610:-1 gene:CCACVL1_04801 transcript:OMO96737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLDWEKLLKLQFKDGSFLFSPSSTAFAFMQTKDENCLAFLNKTVQRFNGGVPSVYPVDLFEHIWSVDRLQRLGISRYFQPEIKECLDYVYRYWTEDGICWARNSRVHDIDDTAMGFRILRLHGYEVSADVLRHFEKGGEFFCFEGQSNQAITGIFNLFRASQVMFPGDKILEDAKRFSSNFLREKQASGQLFDKWIITKDLPGE >OMO96738 pep supercontig:CCACVL1_1.0:contig07387:6120:6200:-1 gene:CCACVL1_04802 transcript:OMO96738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADADEFALDYLEAWDRHKLRSVTS >OMP08232 pep supercontig:CCACVL1_1.0:contig03921:980:1144:-1 gene:CCACVL1_01142 transcript:OMP08232 gene_biotype:protein_coding transcript_biotype:protein_coding description:ribosome biogenesis regulatory protein AVTMYNVKKEKKYRSKRNQEGKSSSSSSKLKPKKQLNKKSAKKGSSSSKKGKAK >OMP07320 pep supercontig:CCACVL1_1.0:contig04525:482:574:-1 gene:CCACVL1_01328 transcript:OMP07320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPPLSLFGIHKPFQPTVKISSNMFLNSFET >OMO95812 pep supercontig:CCACVL1_1.0:contig07602:8139:8357:-1 gene:CCACVL1_05234 transcript:OMO95812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVLLLSELLRHQEPNFAFDGQPSSSPPSCIASISSVVAMKTLINKVESAEIVEDVPQELSVRVHDLVWP >OMO57351 pep supercontig:CCACVL1_1.0:contig14397:4305:4382:1 gene:CCACVL1_25815 transcript:OMO57351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVVLLIQALLATHSTINIARGEKKK >OMO99791 pep supercontig:CCACVL1_1.0:contig06810:6427:6504:1 gene:CCACVL1_03633 transcript:OMO99791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LHQLLLRTLPVLSHTEAELESISQLL >OMO54511 pep supercontig:CCACVL1_1.0:contig14981:37808:37882:1 gene:CCACVL1_27759 transcript:OMO54511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRKPNNQHKKVQIKDPEANGPI >OMP10877 pep supercontig:CCACVL1_1.0:contig01822:1984:3991:-1 gene:CCACVL1_00764 transcript:OMP10877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMDRISELPLPIIHQIMSYLSRKEVAQTNLLSKTWKNNLRPSFPILAFNHEDFVAVKGEPHSYLHRLHWHALFFRYAERFIGTMDDFARYVDTTLVNFCECETKLKMLKFELYIGIHNNFEHWSTLVNRWIKLAVENHVGHLELGFWLLEKGLYPLPETIFFANSITRLSLRRCKLDHPNPNPNDAAAPFNFPSLEILVLIEVCLDELMINKLTSNSPMLGCIVMWDCNGFRQCNLPRLERLKTLVFDFLLTFPRGSQVLNSIEVEAPNLERCTIDCYNTEGPSSISSLFNSHHMRVLSLSGNLITDPVFEDDLFFRMFPLLEEFKLENSDILRRINISSPRLKQLNIRYCQGLEAIHIDTPNLQGFVFVFRGNLVPIASIKAPCPGNFIVSCQRRRLGTLWYINLKKFLMGNPKHEGLTSSMELREHSFDPNEFRQSSPSPPCEVQNLTLGAFKIPESEYEAMLNAHLSICYPKTLTLSIIGVRHHVIHGEDDVQRGVGFCMRLYEILSSREVYRCDCSYVHCWRHYLKRITRITIKPTKYFKEIPVILEGDALMNARPHLENLKIGGSVDFDLEWCF >OMP00134 pep supercontig:CCACVL1_1.0:contig06721:2364:7223:1 gene:CCACVL1_03457 transcript:OMP00134 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MSLWARVHWKQRSGGLSLWRRQRCCLEEKKVNRRRKRKYKEKRIAEREKTKRDSSKKGRFGSWLLVEQQRRCWSWRRSAGFETWKLGFDRIEGSDPPDLHVATPTNVKELKSFLGRLSYIRRFIPGLAASTTIFTPLLRKGEPYEWEHHHEEAFIHLKKQLQNLPTVQSSAAGKPLRLYLAMTDIAIGGLLAQHYFLAHEIHLITKSNQIRYILAKPSLSGRLANWLLQLSQYSISCHNPSAIKGQVVAYLLAQFPGDDSAALSKEIPGMEEQVLTAESVQYHTLYFDGSSTAEGGGAGIVILDEKSQAFTSSYKLDFPCSNNVAEYEAYLIGLLAAKEKGIKYLRVKGDSNLVISQVNGEFALKEPTLAPYRAMERELEKLFETFEIVHIQRGENRHADSLVTLGSKLIFFGNSAQFEVVTRVSPVTDQPKQGPTAEKEDWRSPIKKALLSDDSPSIKELKDYILINGELYRRMPRGILPDMRKEASTVQQGYASCNPTFGDKECLALDAAGDWRANLGILIHGVLPQDTKKAYALRRSAVRFFVDNGILFRKGFTGEPLRCLSAAEAIKVMAEIHSGDCGEYQGKKKLYQQLISCGYYWPQMKKVSKEYVKRCSTCQFHGGMIHTHPSVLQDIQTPWPFNTWGLDLIGPIHLASDGKIWILLATKYFTKWVEVEALGKATGPAVSRFIKEAIICRFGAPHRIITDNGTPFVNQHVGKLLDDYEIRHRRSTAYYPQGNGQAEATNKVLLKILSKMVYEYKKGWTTHLPDALWAYRVHLRSSTGFSPYSLVYGAEAILPVKIIKPTARVLASTNLDSSLAICGGQRLVELEAVDEIRE >OMO73134 pep supercontig:CCACVL1_1.0:contig11297:64409:65943:-1 gene:CCACVL1_17456 transcript:OMO73134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKKGTKVEVLSKKEVPSGSWHCAEIVGGNGHSYTVRYESYPGATDKRIMERVSRKAIRPCPPTLIVSDDWVPGDVVEVFDNFSWKMATVLGVLEKNCILVRLLGSSLEFKVSKCDIRVRQSWQEDEWLVIGKGSGSCEDGKYGGNSTVRYKQNSSSQFQNGIRRRNGHVKGECHPINVIYQESVNASSKTLKRGSFSQVEARTGAGQKCRAVEKEGRLYRLVAANPFIHEQVDAVAFPRNMLGEKYMHAINNRLGLSEVDADRRKPNGAVGCYCAEHLENNDADSVTCSVGSCSVSSNNLYRLSHHVSTGPIEDVDGQCSDAESFCPRGDGEGNCILPTNKELAAEIHRIELHAYRCTMEALHASGPLSWEQEALVTNLRLSLHISNDEHLMELKNLISADSGIPIR >OMO73131 pep supercontig:CCACVL1_1.0:contig11297:14502:16311:1 gene:CCACVL1_17453 transcript:OMO73131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MALPNQQTVDYPSFKLVLVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYINGQCAIIMFDVTARLTYKNVPIWHRDICRVCENIPIVLCGNKVDVKNRQVKAKQVTYHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGKSDLIFVGELALRPPEVHIDMAEQQKIDAELKATANVPLPDDDDDFIE >OMO73130 pep supercontig:CCACVL1_1.0:contig11297:8743:10516:1 gene:CCACVL1_17452 transcript:OMO73130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVHIDLAAQQQHEAELQAAASQPLPDDDDDAFE >OMO73132 pep supercontig:CCACVL1_1.0:contig11297:17312:17668:-1 gene:CCACVL1_17454 transcript:OMO73132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAKEDECVGESRLMWLPLELQQKILELLPASDLARLTCVSYELKTLCDSQHDLWNLKFRERFPNHPTPISLKLLKQKLAAFSKYRNYSKPSIYGYKVRGISIRYFHFGLRLSKIKV >OMO73129 pep supercontig:CCACVL1_1.0:contig11297:1301:1690:1 gene:CCACVL1_17451 transcript:OMO73129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNLLTFRPTGIQACAIPGHLKPDPNRRKSTSAPSSANWWGPLFGWSSEPDYIDSDKKTEAKEKREGESETDLGPKQSSRSKFQGCFTEEKAKQLRMMTSNGSAFHDVMYHSAIASRLASDFKNRSDQ >OMO73133 pep supercontig:CCACVL1_1.0:contig11297:51535:62484:1 gene:CCACVL1_17455 transcript:OMO73133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MSSNNSGEIEVEVCEFFQTLTEDVITRTAFGSSYEDGKAIFRLQAQQMVLAAEAFQKVFIPGYRFFPTKRNISCWKLDREIKKSLMKLIDCRKNNSGNIVQENGPKDLLGLMMQASISSPNITIHDIIEECKSFFFAGKQTTSNLLTWTTILLAMHPQWQVQAREEVLRVCGSRDIPTKDDVVKLKTDPAEQVKFRITLELETRIVLKPTRQITRKKGGKRTICNIIKSRAEEKGNEGGDGEQRDPCTSLVELSLQQTTIRLHAHQS >OMO71467 pep supercontig:CCACVL1_1.0:contig11626:32:40723:-1 gene:CCACVL1_18212 transcript:OMO71467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNIQRKEADTERVKPGEALIQAQASDPRSHLLLTVLSGEIVRACFWQGQFDLLPVQFIRDLGKKPIIIVAGTIVKEYSVRSTIKGRPGEAAVNGETGWGEDELTSGHFDFRLGGFGSSLLYKEETEEV >OMO76086 pep supercontig:CCACVL1_1.0:contig10925:3657:15175:-1 gene:CCACVL1_15928 transcript:OMO76086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSQFLKKLRVPPFILWFSAEITCPKTTVSLASPPLPPKSATAPPPTAPVSSTTAASSGELIEATPRINKFFAASSKEVAGANGNNVTAYGVAQCVETIDEKGCEECLKVAYGNIQRCPPEADGRAVDTGCFLRYSELPFFGANYTIDLKPYLKSKDSTKKKALIGGLVGGGGLLLLLSIFFVWFKVFRKDKAVLQDDVEGAPELQGPMTYTYKELTSATTNFSEENKLGEGGFGAVYKGVLKNGRVVAVKKLAISKSERVKTEFNTEVKLISNVHHRNLVRLIGCCSKGPELLLVYELMANGSLDKHLFGEGHGSLNWKQRFDIILGTAKGLAYLHEEFHVCIIHRDIKPGNILLNKDLQPKIADFGLVRLLPEDQTHVSTKFAGTLGYTAPEYALHGQLSEKVDTYSYGVVLLEIISGQKNTETSLDPTAEYLLKRAWGLYQDNMAMEIVDKSLDPSEYNKEDMKRIIEIAFLCTQSSAALRPTMSEVVALLKTLSSLEPRQPTRPAFIDSERRIVTEDNRSTSSGSNATNSITQVSEAMEELKNGYVSPSSSPPTPPSPLPISVGPGNQKYFFSPSPSPSPPFSPSPSNHASAENLPLLHHYNRPSAPLKQVTSAFSLDRKDPDELEDKSSSCLKDFAVAWYGKLNAIACASKTCARIPSSNVNPQFWIPIHIVVPERPTEFAVFNVIAESEQDKVQAPGRYKRPRALVSESWQASGEMLSSIDPEAMAVDRALVQSIQAYVDAVLDLASHLRCYARIWSSTIVPHLRIPGLNAPILYAAGFVYHPVGWGKSPVDEYFAERFFYWKIPRGVTDPKELEVLDLGYKNFSRPIPPELGSNLSLMIFWLDFLPFGAFSVKFSLLLLSLCSSLSLFLSVLVKERGMLKMEAATLNGISCGNEERGMIEIGAATSNGLWSTSVSSCVSCPRASQSVAVLRASLLRAVVLLVYLRVCRVREPRSRWPF >OMO76087 pep supercontig:CCACVL1_1.0:contig10925:20001:26066:1 gene:CCACVL1_15929 transcript:OMO76087 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthesis ERG4/ERG24 MAASTEAKTVHSPALTYFSMLSLLSLCPPFVILLWYTMVHADGSIGQTWNYLMQHGLQGFIEIWPRPTATAWKIIFVYGAFEAALQLFLPGKRVEGPISPAGNRPVYKANGMAAYFVTLVTYISLWWFGIFNPTVVYDHLGEIFSALIFGSLIFCVFLYIKGHVAPSSTDSGSSGNIIIDFYWGMELYPRIGKNFDIKVFTNCRFGMMSWAVLAVTYCIKQYELYGKVADSMLVNTILMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSVYTSPGMYLVNHPVNLGTQLALYILVAGILCIYINYDCDRQRQEFRRTNGKCKVWGKAPSKIEATYTTTSGETKTSLLLTSGWWGLSRHFHYVPEILAAFFWTVPALFNHFLPYFYVAFLTILLFDRAKRDDDRCRSKYGKYWKLYCTKVPYRVIPGIY >OMP06163 pep supercontig:CCACVL1_1.0:contig05045:3080:3208:1 gene:CCACVL1_01692 transcript:OMP06163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSESSGTTHPQPQQLGPVENSHDEDHDQEEDLEAHDQEKNLG >OMP10585 pep supercontig:CCACVL1_1.0:contig02157:439:681:-1 gene:CCACVL1_00861 transcript:OMP10585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTDTYTKTDDTDTYTKTDDTDTYTKTDDTDETDDTDDTNEPKDEEPPAEVALIRYSEQPDFRRDIIRGSMRVQRCKTVISE >OMO62261 pep supercontig:CCACVL1_1.0:contig13288:10431:10826:1 gene:CCACVL1_22927 transcript:OMO62261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSVAVLDCKTVTGFMEAKEAFGNCVDKYFKMLDSNGDGAISPNELEEGLGSIFTMEFKSKSKEDINQFYRTFFERFDEDRDGKINSKEFASLMKEIMLAMARGIGGIPVIVALDQDSLLMMAVKHELARKA >OMO62262 pep supercontig:CCACVL1_1.0:contig13288:15294:15509:1 gene:CCACVL1_22928 transcript:OMO62262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPEKRLMRKSLSSVASAPSATLSPSPSCSSVLQWAEESSDGGRSSCSSSTRSYGSNGGFSLLLYAWKKD >OMO62263 pep supercontig:CCACVL1_1.0:contig13288:18452:19381:1 gene:CCACVL1_22929 transcript:OMO62263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose/galactose isomerase MADPATATAPRPLKVIAGADPFGAELKDAMVSHLRSLNIEVEDLGTTSYYNIGAEVGRRISSATNDSPAVETRGLLACGTGIGVGMFANKFPGVFAATCLRPEDARNSRSINNCNVLALSGTSTSKETAKEIVDTWLNTPFKSPCPASGTQPWPEEISKFLDESMTEMPKIGTSETTQAESCAICCLVKNRELNPIDIIPGGSMKILRETPTSAIVRFKAGSVEPAHHHTFGHCLVVMEGKKSVWNLTKEEKYDLTVGDYLFTPAGDVHRVKYYEDTEFFIKWDGKWDMFFDEDLETAKAAIEKELANK >OMP07079 pep supercontig:CCACVL1_1.0:contig04686:199:354:-1 gene:CCACVL1_01381 transcript:OMP07079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATPGFELGNKGFAVPRLTARPCRQKTYKQKTESTPPRSFFIIVLSVLNSFFS >OMO72153 pep supercontig:CCACVL1_1.0:contig11499:31605:38757:1 gene:CCACVL1_17916 transcript:OMO72153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKRAYKLQEFVAHSSTVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNIVKVWDLTAGKLLHDFKDHEGQIQCLDFHPHEFLLATGSSDRTVKFWDLETFELIGSAGPETTGVRCLTFNPDGRNVLCGLHESLKVFSWEPIRCHDGVDVGWSKLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAVGNGNRVNGHSEAKSSSVGNLSVLNENTTKATMGRLSVSQNPDPLVKETKSLGRLSVSQNSDPAKESKNLASTGSVPSTPQRVNLNTAPKTTHPSSVTASTVAAPKRSSVRASSAVNVPVFNKSDVIPVIVPRNDTRLEQAAESRKEVGMSGRSLERAAESRREAGISVRSLEQAAESRREVGIAGRSLEPAAESRREVGIAGRSLEPAAESRKEAGIVGRNLEQGTDSRKELGIVGRTMPFSLQSKTASFRKFQNSREDMDRPTISDPSETAGSKATEFSSVLDRNIFPAVKGSIQGMSAAERNVREDRYISSGKSEPNSLVDLPSSYRDENHDAQVQKTNRDAYPFESQRGGRTRSVAINWEKRGRSSNYDGSTLSISPGNASTANMPSFNAFKQRGYPPTVEKEMPSASDEDAVADVMEQHDQFISSMHSRLAKLQAVHRYWERNDVKGAINAMEKMADYAVLADVMSIVTEKMDIVTLDICTCLLPLLSGLLGSNMDRHLSICLDMLLKLVRVFGSMIYSTISASAPVGVDIEAEQRFERCNLCFIELEKVKRSLPALTRRGGSVAKSAQELNLALQEVS >OMO72154 pep supercontig:CCACVL1_1.0:contig11499:47778:51311:1 gene:CCACVL1_17917 transcript:OMO72154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRFSPWDLPMPYGSNHSNLQSFLQCITPSVPTRLLSPSSSSKKCNGSLEVPIVKNKVEGFTLGDLWHCYSEWSAYGAGVPILLNNGDGVVQYYTPSLSAFQIYTNKPFSSSKSRLNPVDGKFWKVENRAKPEDKDSCCDENKKDKIEKPLSNGSCLNSDNNSLGRKDQCGYLYCQYNEISSPYHRVPLKQKINELAKTYPGVLQFRSMDLSPYSWMAIAWYPLYQIPSARNVKELSACFLTYHPLSTLCQGTTDEMLEKENVEDMSCRGEERRSDKRKCEVSLPPFAAVAYKLSGSLWINPETSDQDSIICQQTAACCWLKQLQFQHHDFDFFMSHQF >OMO72151 pep supercontig:CCACVL1_1.0:contig11499:4002:17154:1 gene:CCACVL1_17913 transcript:OMO72151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTHGISEVEEEKRDVIEDEKKNGEAANAALPFYMLLSYADTLDWMLMALGTLGCIVHGMAQPVGYLLLGKALNAFGDHINNIDGMVEALKKIVPYVFYMAIATFPAGVLEIGCWMYASERQMVRLRLAFLRAMLGQEIGAFDTDLTSGKVISGMSYHMSIIQDAIGEKLGHFFSSFATFFSGIIIAAICSWEVTLLILLVTPMILLIGATYTKKMNVISATKMLYISEATSMVEQTVSQIKTVFAFVGENSAIKAFSECLEKQFSLSKGEALVRGVGTGMFQTATFCAWALIIWIGAVAVTSRKVKGGDVIAAVMSILFGSVSLTFAAPDIQIFNQAKAAGYEVFKVIQRKPAISYDSKGKEVEKIGGNIELCDVHFAYPSRPEKLILQGFSLSIPAGKMVALVGSSGCGKSTIISLVQRFYDPSKGDVLIGNCNIKELDLKFLRKNIGAVSQEPSLFSGTIKDNIKVGNMDASDKQIEDAAMMANVHSFVSQLPNQYSTEVGQRGFQLSGGQKQRIAIARAILKDPPILLLDEATSALDSESEKLVQEALEKAMQGRTVILIAHRLSTIINADIIAVVENGQVKETGTHRSLLDSSKFYNNLFNIQKNIAQTRESRATESTEESGTTEEGISTLEIEQKEETRSLDGHLSESSKQEQQKGSKSTMIFFRIWFGLRKRELVKIATGSIAAAVAGISKPFFGYYIITVGVAYYKKDAKKIVGKYSIIFALIGLLSLFMHTLQHYFYGIVGEKAMTNLRKALYSGILRNEVAWFEKPENSVGSLTSRVINDTSMVKTIISDRMSVIVQCVSSILIATVVSMVVNWRMGLVAWAVMPCHFIGGLIQAKSAKGFAGDSAAIHHEVVSLASESAANIRTIASFCYEENIIGKAKMALEKPKKRSRKESIKFGIIQGISLCLWNIAHAVALWYTTVLVDRRQASFENGIRAYQIFSLTPLITPIGYVDAFRLPPTPISHQVHCARRFYENLVPSFTIYDVECPDHSFRKFTDDGQYFISFSRNHQDLIVYRPTWLSFSCKEEDCDNTHDLPPKAKRFESFFTQLYVRSLASCNELICKDFFLYMESNQFGLFATSTAQVQDAPAVGGAIQGVPSIEKITFHLLRLEDGVILDEKVFHNDYVNLAHNMGVFLYDDLLAVVSLRYQTIHILQIRDSGHLVDVRAIGAYCREDDELFINSSSQPNTNNSFLSGIKQRLLSFIFREIWSEETDQTQRVQCLKKKFYFHFQDYVDLMIWKVQFLDRQHLLIKFGSVDGGVSRNTDQHPAFFAVYNMETTEIVAFYQNAADELYLLFEQFCDHFHATSINSLYMNFISSHSNNVHALEQLKGMKIKATGFSQFVKKMLSSLPFNCQSLSPSPYFDQSLFRFDEKLISATDQHRQSTDHPIRFISRRQPNVLKFKIKPGPEFGSADGRSKKISHFLFHPFLPLALSIQQTLFLPPSVVNIHFRR >OMO72152 pep supercontig:CCACVL1_1.0:contig11499:18960:25468:1 gene:CCACVL1_17914 transcript:OMO72152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSGSLSRSNNSPSVSLPSPQSQQSLRRLSLCSQIATHSSPIVFPEKRSKKLKASSKRGGEAPDADDQTDKSKREEFRIDIGGGDEKSDLLGYVVYSGKLIFDKRKNAPTKTNTADVEQNSSTDIAKQEGLDAKLTSKALVWGSHVLPLDDVISVSYNVGVRHFTVHSYPLKKGSCGLSCFIKPKRSRKDFRFLASSVEEAVQWVGGFADQQCFINCLPHPLVSSKKQASSELFPMDAPPELVFRCKNPPKMLVILNPRSGRGRSSKVFHDIAEPIFKLAGFKLEIVKTTSAGHAKKLASTVDISRCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPVSAAIAIVKGGLTATDVFAVEWIQTGLIHFGMTVSYYGFVSDVLELSEKFQKRFGPLRYFVAGFLKFLCLPKYNYEVEYLPAAKEDQEVVDISDLYTDIMRRSNTEGMPRASSLSSIDSIMTPSRMSGGEMDTHASTEPSEYVRGLDPKTKRLSSGRNIVTAEPEVIHPQLPLSTTPNWPRTRSKSRTDKGWSGLTAAHEHSRCSWGNAAAHDREDISSTLSDPGPIWDAEPKWDTEANWDVENPIELPGPSDDVESGIKKEVAPRLEDKWVVSKGPFLGIIVCNHACRTVQSSQVVAPRAEHDDSTMDMLLVHGSGRLRLMRFFLLLQMGKHLSLPYVEYIKVKSVKIKAGKHTHNGCGIDGSCLITRSTGKSHPDTKTTIITLKSPSFHSPLTTFNVATKPRRMVSCQAVSTASVDKDESVSESAEEAEEEAKVGAKVKVKVPLKVYHVPRVPEVDLTGMEGVIKQFVGLWKGKRISANLPYKVEFVKEIEGRGPVKFFAHLREDELEFLD >OMO72155 pep supercontig:CCACVL1_1.0:contig11499:51981:57374:-1 gene:CCACVL1_17918 transcript:OMO72155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, clan GH-D MAPSLSASCFPVLCGAICFMFISLLLLTNSATVNGTRVTNGDTVKIRRNLLDNGLGLTPQMGWNSWNHFHCDINETLIKETADAMVSSGLAAVGYTYINLDDCWGELNRDSKGNLVPKASTFPSGIKALADYVHSKGLKLGIYSDAGTQTCSQTMPGSLGHEEQDAKTFASWGVDYLKYDNCQNTGVSPKERYPKMSKALLDSGRPIFFSLCEWGQEDPATWAPSIGNSWRTTGDIEDKWDSMTSIADQNDQWASYAQPGAWNDPDMLEVGNGGMTTEEYRCHFSIWALAKAPLLIGCDVRSMDNVTYELLSNKEVIAVNQDKVGVQGKKVKKDGDVEVWAGPLTNHKVALVLWNRGSSPENITAYWSDLGLNPSTMVDARDLWAHSTERSVQNQITAQVDSHAFWVETLFRKILRKPKKPVKLPVILSEEESEVMIVVKKDTNMAAMKGYFCSTNPSKNETLYSHAHLLLRNLSVVAKKPFPSSSILNKTKPVSLRIRSAAAEEEGNSSGNSTSTSQVKGSGTTARGRRLLKIREEKRKREFERLNNYPAWAKVLENACKDDEELRAVLGDSIGDPELMKKRVEERVRKKGRDFHKQKTGSVLAFKVSFRDFNPLDSYIWFELYGSPSDQEVNLIGSVIQSWYVMGRLGAFNSSNLQLANVSMDFDPLYDADKGFKVMPSSFHDISDVEFQDNWGRIWVDLGTADFFSIDVFLNCMTVLSAEYLGIQQIVFGGRSMGDWEEGMTNPEYGYKYFKI >OMO52618 pep supercontig:CCACVL1_1.0:contig15499:6678:7738:1 gene:CCACVL1_29162 transcript:OMO52618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQQQLWETLKHAFTAPYTGNTAIFFSVLALFWTIYCFRFRYLFGSPRDPRQPPCEEDLRQNDVPDDVSPNR >OMO62569 pep supercontig:CCACVL1_1.0:contig13245:4914:7461:1 gene:CCACVL1_22746 transcript:OMO62569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-fold modifier 1 MTTGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGAF >OMO62570 pep supercontig:CCACVL1_1.0:contig13245:18098:23616:1 gene:CCACVL1_22747 transcript:OMO62570 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MAAHIASSMKPKSNTNNTNGNSNNGSNGYSSESSSGTNSNSPSPPPSPPQPRLTPSLSQCRRRLRSKTHSFVRRENNGSGLNFRWNLRYLVVLPLLYISGLFMCVGPVSELLGYAYVPGSVYRSHEKFQRLWDDIRFDNSSALQLSSVWKYKRRAKVQKPCPNSTAKNHFSMYRNSIMESPGSSGYLIVDANGGLNQQRSAAWAPVNYYLGVVHPILMDQGVIRIAPFANRLAMNVPPHIQLLRCIANYKALKFSLPIGTLAEKLVDRMIEKSSRTGGKYVSVHLRFEQDMVAFSCCVYDGGQAEKSEMDSFREKGWKGKFKRKDRIIIPALNRVEGKCPLTPVEVGLMLRGMGFDNNTAIYLASGKIYQAEKHLAPLLKMFPLLYTKESLATPDELAAFEGYSSRLAALDYTVSLFSEVFVTTQGGNFPHFLMGHRRFLFDGHAKTIKPDKRKLVVLLQDMGISWKAFKDEMEAMLADSDRKGMMVPRVKKFNRKTSIYTYPLPECACLRHSQNSTLRLPDSANVLDSYHASTR >OMO62571 pep supercontig:CCACVL1_1.0:contig13245:29230:30805:-1 gene:CCACVL1_22748 transcript:OMO62571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPTQQRKPSKETHQINQKKRCQKNDKLQPSWSVVKGLFFGKQEQHQQQKKQEQQPPPQPQPQQQKQQQQKKKKQKQQQPEQVEETGKKCKKMRCSGSLCSNTKVMHRPETASPELQKKRAALSSNNIDASSRSMKAPALHELNGAVSATNSSLSVSSATVSASASASSSAGGSFRGMPFRRFSGCYECRMVVDPVLGIARDPSLRSSICSCPDCGEIFMKPENLELHQAVRHAVSELGPEDTSKNIVEIIFQSSWLKKQTPICQIDRILKVHNTQRTISKFEEYRDSIKSKANKLPKKHPRCIADGNELLRFHCTTFACSLGLNGSSNLCNSIPNCNVCSIIKNGFKVAQGQESSSGKGILTTATSGKAHDKAAGVVEESNGSNNNNQNEKRAMLVCRVIAGRVKKSMEGSMEDYDSVAGAVGVYSNLDELYVFNPKAILPCFVVIYRGF >OMO73015 pep supercontig:CCACVL1_1.0:contig11322:17514:28186:-1 gene:CCACVL1_17494 transcript:OMO73015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRGSKSKKLGSSNSKAVNSPSSSTTSSSKHFLETSVDGQSSPASSSARSKPQYFYSENLHMDTDRSKENVTVTVRFRPLSPREIRQGEEIAWYADGETVVRNEHNPSIAYAYDRVFGPTTTTRHVYDVAAQHVVNGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGENSEGEAVNLSQLNLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDGRATHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSNTEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRSLKEELEQLKRGIVSIPQLKDIGEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASQSPRIPQRSGPRRRHSFGEEELAYLPHRRRDMILDDENVELYVSLEGNSEIGDDSLKEEKKTRKHGLLNWLKLRKRDSGVGTLTSASDKSSVIKSNSTPSTPQAGSNNLHAESRFSQSLLTASSPPMDLLSDDRQDREVPEDNYIGQETPLTSMKTIDQIDLLREQQKILSGEVALHSSALKRLSEEAARDPQNEQIQVEIRRLSDEVRGKNEQIALLEKQIADSILVSHTKMDKSEISRSIAELVAQLNEKSFELEVKAADNRIIQEQLNQKICDCEGLHETVASLKQQLSDALESQRVAEMKCLQMEKEVTASNDKSDDLLIKAQASEIEELKEKVMELTETKELLEVRNQKLAEDSSYAKGLASAAAVELKALSEEVAKLMNLNERLTAELAAKNSPTQRRTSTLRNGRRESMTKRHDQIGSPSDLKRELALCKERELSYEAAFLEKDQREAELQRKVEESKQREAYLENELANMWVLVAKLKKSNAVDTVSEST >OMO73014 pep supercontig:CCACVL1_1.0:contig11322:4192:4716:1 gene:CCACVL1_17493 transcript:OMO73014 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase mu-like protein MQGQGKGKCGDGESSGSAPSSKRAKIGVEGEATSLWSCPSNCSGAERYGGASNKTLAALRELKGWMPCNVKLNPPGCADCRLIGFGKLGELFAASNPVPVPPMPPLEAYARATLASFTLNRNFTDYTVTWASSGSGTTVVPEDEASSCISEAEDIKNDKTLEADGEVDSAGFKL >OMO87789 pep supercontig:CCACVL1_1.0:contig09174:24326:26171:-1 gene:CCACVL1_08767 transcript:OMO87789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle receptor, beta subunit MEVMEQWKDQFEQLKIQLEPWKNQLEEWLHQAIEYLNQIPPIQLYAAVAVLVLTTLLLLSIRLFKRTKSNTIVLTGLSGSGKTVLFYQLRDGSSHQGTVTSMEPNEGTFVLNSESNKKGKIKPVHLVDVPGHSRLQPKLDEFLPQAAGIVFVVDALEFLPNCRLASEYLYDLLTKATVVKKKIPVLICCNKTDKVTAHTKEFIRKQMEKEIEKLRASRSAVSDADISNDFTLGVPGEAFAFTQCRNKVSIAEASGLTGEVAQVEQFIREHVKP >OMO87790 pep supercontig:CCACVL1_1.0:contig09174:27576:33701:1 gene:CCACVL1_08768 transcript:OMO87790 gene_biotype:protein_coding transcript_biotype:protein_coding description:ESCRT-II complex, vps25 subunit MQKLGDFKLPHFFNYPPYFTLQPVRETREKQVQLWKELILDYCRTQKIFVIGLEEEFLLFSNSVIERTLTHEAREAFLSALVSEGRAEWLDKGHRKCLILWHRIQDWADIILNFVKDNGFEDSVMTVEEIRSGIESRGTELQGIDRTILMRALKLLEQKGKLAIFKGTSADDEDVMLIWEWEAVQVLVLLPLPKVESLRPIEIKRFNGYEIFKGKMEIVESLVESILDIPVQDPPEEEFSSADLTWTKFGTVEHHDDVALIPYARVDEFIIGECSNAECPTRFHIERGRKRSKGSLKEYKDDEYLEYRLYWCSFGPENYGEGGGILPSRKYRLNTRNRAARPQSMRGCQCHFVVKRLYARSSLALIIYNERRHINKSGFVCHGPLDKDAIGPGAKKIPYISNEIQQQAMSMIYLGIPEENVLEKHIECIQRYCGSDAKVNTLASQYVRKLGMIIKRSTHELDLDDQASIRMWVERNKKSIFVYQDTSETDPFILGIQTEWQLQQMVRFGHRSLIAADSTFGIKRLKYPLCTLLVFDSRQHALPVAWVITRSVAKPDVAKWMKALLDRARSIDPGWKINGFVIDDAAMEIDPIRDIFCCPILFSLWRVRRSWLRNVVKKCSNIEVQREIFKRLGEMVYSIWGGINTSVALEELIQDFVDQTSFMEYFKSSWVPKIEMWLSTMKTLPLASQEASGAIEAYHVKLKTKLFDDSHLGALQRVDWLVHKLTTELHSTYWLDRFADESDSFQNVKEEYLASTSWHRALQIPDSAVTLDDRGNLFAKSFREILMDLWKKPMDDSISLDESVAWTHQMLDQIKQLVELNSSNDIGIVVNNMPLKWASKKGRTFVGIPASLPAIPSSSKSTIKNLQKKNRKRKRLSRLR >OMO87785 pep supercontig:CCACVL1_1.0:contig09174:16064:16141:-1 gene:CCACVL1_08763 transcript:OMO87785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVICSAVATLGGSSASETPYIAM >OMO87788 pep supercontig:CCACVL1_1.0:contig09174:21585:23674:-1 gene:CCACVL1_08766 transcript:OMO87788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYNIFGKPQRNITRSIKQLIQKPRQLPKEYVQSSNFSRCILPSGTQEHLITLEIPSEFPKQWLEQGYTHIHFGAVRIALTYHAGKGLPVFARIAVLDSRFFKYRFACLGMVETSLNAGTVFVTLFPNFNMALEDPTLPLVLKVQVQIAGAQMVENAREATLHYQMCYRIHDHAMDLAIPKEPETPLLKFNSHEGVTTCLHMPKKISRPELLKLMPTSWVNNYKKHMGIQKTVKSTDPRLIKNKDGSVTIKFDHDETEAPSPPLFSTQFMMQPCPPDPNIKLDILSFPSMPSQTKMDTVHETSTAHAELVLSTSTSLTTKTTSSSRRDMKQVTLKPTDRDAQGRQLVNSPPEAVLNWQSENAIAQNKALQRIEKNVTQLFAHFNNNLSSIQKSISDIQTRINNLYNQINSSLLTYPVNTQIIAEKEIEIRSLNTQLAELKKLKTNHTGFPTTNTYPEKPSFAPTDLPPTQQQSFPTSFQPRPPFQPTEPQPLPFTQPYSPTNFQKPQPMFPMPPQDTAPTQINDSSERWIMKLREERLHRLQKEREKAKRPIYEQPPSPHSPKPQPGITINPTHTK >OMO87786 pep supercontig:CCACVL1_1.0:contig09174:16746:18412:-1 gene:CCACVL1_08764 transcript:OMO87786 gene_biotype:protein_coding transcript_biotype:protein_coding description:ORF I polyprotein MAVFYQMLDVKKKTVPNTQLLRWSQWFTRYSFTTRHIKSKHNLIPDMLSTPPKPPKESLQVLPVIASIMNYVLGLPPPKKKKDKNEEVKALKTNPFGNKPTQPPPIRSIADPDLAFPPELIEILTFGKFETGAYHHMLKYQMLILRSFGETVIAPLGVNPVYPFVKPLPLVEERCFPEQYKELQKKLCEINATIPTSVMETFEFDAKEHYPDDYKAKINIDRMVFTEKFTRPFNRPLAVDAKANLLQAVAVSWNPLWTPPEVTNEHAPPEDPIPYWEDTECPISLGNNSKHLARKANPGSSSALEPTPEEARRKEKGKEKIKEEYLWPYYHPLDPNFPDSQPPEPTPEEEKLWIDMTLEAEAKYAAERSLPDKLAQLPISQTEPKNTILADTPY >OMO87787 pep supercontig:CCACVL1_1.0:contig09174:18716:21175:-1 gene:CCACVL1_08765 transcript:OMO87787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MGITELQTDPNLTIQELIINFTSRWTGFLKQFWDGIGEQGQAWGRAITSFTKFIDEVKQVFCGQQSYHTEKLRQEFFQLKCCSLKRRDLDRHYQQFCRYFFQLGPPYDANLKYHLINSVPEDLKDRTLLDIEAARIDINSLWVGDIYKLIFKSLDKLCHHNDYIQKVLKDSKRYKSVCKAPNGLQIKCKGDDKCLCGPYKKKSHFRKSRRFQSNFNPARRRSSRRFFQRRGQRRFKYGRRKKEGQKKSSKCFLCGKPGHFSKQCPKSDKKSIKMMQDIALAQGIQLSDDDDLESVFTLDDEQSPNSLFVISTLDSDEFSESESLDDSSINEEEEDVYLEYMPVPFQQIRQKLVLISCAENHSEFLLKCEKPLWKNPEFFISLPFKKSESINPTKSSHSGMNPDHLKIAIEECSQLQSQGLIEPTTSSWSSDKDSHEKLLQRFQQIVQDFGIMLSEKKMTIGTSEIDFLGMHFKEGKYVAQPHIGQALQDFPDENLTKKQIQQFLGIVNYMSDFLLNLAKISNPLRIMLKENPP >OMO87782 pep supercontig:CCACVL1_1.0:contig09174:167:2587:-1 gene:CCACVL1_08760 transcript:OMO87782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide binding protein (G-protein), alpha subunit MEQKEGESWRELVRKMLPPGASLPENSSELDYSIAMEYKGPPVAYDVPRVEPLDVNSHAIPTAEPLSESQRSVANTGPPVIEPIPLPVSYIAGVTSPPTQSPRVSVSSESVVSVLQNPDFSSASPSASPGSVRNRNPPKQVGAEVKRVPVVTFTAVESSERKEVDLEKPVFPEYVGVSKEKKKKKRRNCYRCGKRKWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRLRLGKNSRLLSQLLSPLEVKQIMKAEKECSANQLRPEQLIVNGFPLKPEEMAELLGCPLPPRKLKPGRYWYDKESGFWGKEGEKPDKIISSNLNFTGKLSPDASNGNTEVYINGREITKLELRVLRLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFVCSLFSLPVPHGQPQGQREEVSNYTTVPNYLEQKRIQKLLLLGLPGSGTSTIFKQAKFLYGNGFSSEELQDIKLMIQSNMYRYLSILLDGRERFEEEAMSQLRELGSDDQSEA >OMO87783 pep supercontig:CCACVL1_1.0:contig09174:6521:6586:1 gene:CCACVL1_08761 transcript:OMO87783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSDVAHQSSPHHGPSLNES >OMO87784 pep supercontig:CCACVL1_1.0:contig09174:9627:13635:-1 gene:CCACVL1_08762 transcript:OMO87784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomevalonate kinase, eukaryotic MEVVASAPGKVLMTGGYLILERPNAGIVLSTNARFYAIVKPIHEEVKPETWAWAWADIKLTSPQLSRESMYKLSLKHLMLQCVSSSESRNPFVENAIQYCAAAGRSKLDKNKKDALEKLLLQGLDITILGCNDFYSYRNQIEARGLPLTPESLAALPPFTSITFNAEESNGGNCKPEVAKTGLGSSAAMTTAVVAALLHYFGVVNLSTLSEDSHQQNKDSMDLDIVHMIAQSAHCIAQGKVGSGFDVSSAVYGSQHYIRFSPEVLSAAQAAVKGMPLEDVIGNILNEKWDHERTKFSLPPLMSLLLGEPGTGGSSTPSMVGAVKKWQKADPEKSNETWRKLAEANSELETQLNMLSKLAREHWDAYKCVIDGCSRLKPEKWMEGVTEPIKEAVVKTLLHARDAMVRIRYHMRQMGEAAGIPIEPESQTQLLDATMDMEGVLLAGVPGAGGFDAIFAVTLGDSSSNVTKAWSSHNVLALLVREDPQGVSLESGDPRSREITSAVSSVHIN >OMO65782 pep supercontig:CCACVL1_1.0:contig12620:7946:8461:-1 gene:CCACVL1_21392 transcript:OMO65782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLVTISFYAAGAFIVMFIDSGKNHKREVVYLPTRPVDYWQRSSWDDLKSYAGVISDGFLLPQILLNMFSNSRKDALAREFYIGTSFARLLPHVYDLYSDHSYVQYKGTYIYVNPTEDFFSTAWDVIIPLGVLLFAVIIYLQQKFGGRCVLPQRFRGLECYENFPMASES >OMO65788 pep supercontig:CCACVL1_1.0:contig12620:44237:47727:1 gene:CCACVL1_21398 transcript:OMO65788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSRLNARGRCSGSTPSEESALDFERNCCCHPHLPSFSPPTLQPFASAGQHSESNAPYFSWPTSSRLNNAAEERANYFGNLQKGVLPETLGRLPKGQQATTLLELMTIRAFHSKILRCYSLGTAIGFRIKKGVLTEIPAILVFVSRKVDKQWLSPIQCLPTALEGPGGVWCDVDVVEFSYFGAPEPAPKEQLYTEIVDDLRGGDPHIGSGSQVANQETYGTLGAIVKSQTGSRQVGFLTNRHVAVDLDYPNQKMFHPLPPTLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFSDDFDMSTVTTSVKGIGEIGDVKVIDLQSSIGSLIGKQVMKVGRSSGLTSGTVLAYALEYNDEKGICFLTDFLVVGENQQSFDLEGDSGSLIIMKGENGEKPRPIGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDIVITDEGLKAAVQEQRAASAAAMASTVGDSSPPDGVLLKDRSENKFEPLGFQIQHIPLEVDCNSPETKSSIIKTEFHLEDGINAAPSVEHQFIPSFIGRSPLHKNFSDKAASENLASLRNGCDEDLCVSLHLGDNEAKRRRSEASTSKEEPN >OMO65789 pep supercontig:CCACVL1_1.0:contig12620:48652:51999:1 gene:CCACVL1_21399 transcript:OMO65789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPTRGRRSPSVSGSSSSPSRSPSKSRSRSRSSSGSGSKSSSRSRSVSRSRSGSPSSSRSRSPSKSISSSSPSRSGSSRSRSPPQRRSSADASRRGRSPPPQSKKASPTPRKASPIRESLVLCVDSLSRNVNEGHLREIFSNYGEVVNVDLAMDRALNLPRGLGYVEFKTRADAEKALLHMDGAQIDGNVVRAKFIHPLHPPPKPLASAPKRDAPKSDNTAADIERDGPKRPRESSPPRKSLPSPRRRSPVGRRGGSPRRPPESPRRRADSPVRRRAESPPYRHGDAPPRRRPASPARGRSPSSPPRRLRSPARASPRRIRGSPIRRRSPPPRRRSPPRRARSPPRRSPYGRRRSRSPIRRPARSRSRSISPRR >OMO65781 pep supercontig:CCACVL1_1.0:contig12620:415:1526:1 gene:CCACVL1_21391 transcript:OMO65781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MFSKAHYLLQLRFLVSASNHRFCTDSASKVAATCSTICPNQAEIMTETTIECPRNSSELFKKWGCTENDLLNIFSTQPSLRRAKVTPLLSKLEILSSLGITTSDLVKMIDRRPYLLCGRINKCFHERLEFFMTLFGSPEVLHKAVVKHPSLLTYDFHNRIKPVVACYEEMGIRGNDLTAMLFWRPLLIPRISFNEEKMEFIKKTGVSKDSKLYKYLVVLIGMSRVESIQEKVANLEKYLGCSEHEVWSLLGRLETTLKPRFLLAEKLMEMDLQPQITRSNMIRAMRMKESKFLTVYVKCHPQNVVAELEFYKRVKQLAGASKKAVKGFPF >OMO65783 pep supercontig:CCACVL1_1.0:contig12620:14801:15300:-1 gene:CCACVL1_21393 transcript:OMO65783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKSKLFLFAFYMALTSPSMEAARPLHQSTDPNPNFEPSIAEGDFYSDPNRSFPTAPGVAGYGGAYGPVNGVINRYYIPSDYYNYGGTFGTSASNYGRGSYAGVADYGGAIAP >OMO65785 pep supercontig:CCACVL1_1.0:contig12620:27669:34394:1 gene:CCACVL1_21395 transcript:OMO65785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTANVVAPSRPLLYPGGQLKAPVKDVRCSVRISTQLKPIGLGLQLQHGVKRNRKQSLAVICAAAALNATCSASGQTQTVTRQAPTITQAPVHSKEKSPQLDDGDSGFPPRDDDGGGGGGGGGGGNWSGGFFLFGFLALLGLLKDRESDDEISMSLRAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDPRLHVLSELSKSQRAVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIVHVNGKVDPKSDIDVINLELVFSDLDQIEKRLEKLKKGKAKDSQSKVKEEAEKSALEKIREVLMDGKPARSVALTDFEKDAVKHLCLLTMKPVIYVANVAESEIAEPGNNPHVNEVMNLASELQSGIVTISAQVESELTELPPEERTEYLNSLGVSESGLGNLIRETYALLGLRTYFTSGEKESKAWTILAGMTAPQAAGVIHSDFEKGFIRAETVAYDDFVAAGSLAAAREKGLLRSEGKDYIVQEGDVMLFRFNV >OMO65786 pep supercontig:CCACVL1_1.0:contig12620:39155:39583:1 gene:CCACVL1_21396 transcript:OMO65786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MKKINLILRKCKSLSRQLGRSSSYSSLRSKSAREDHVWDGGNGEDCETIYVGSTRKRYVISSKYLNHPLLNALIERRSTTTTTMNSKENSNKQQHAAAGGDDHDILVKCEVVLFDHLLWMLENSDPNLILDSLEELADLYVF >OMO65784 pep supercontig:CCACVL1_1.0:contig12620:17988:25299:-1 gene:CCACVL1_21394 transcript:OMO65784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Topoisomerase II-associated protein PAT1 MDASEDLKPFGDTSTEGAVFDASQYAFFGKDVLEEVELGGLDDEEEDIPAAGLDEEEFLFDREEGEVLRSLSGIDDLSNTFSKLNTAVSGPRGSGIIGDRGSRESSSVAEWSHGEEFPRWFDQQALENESIPEGKRWSSQPYSSVPNLDSRHLYRTSSYPEQQQQQQQQQQQHQIHQHFSSEPILVPKSSYTSYPPPGGRSPQASPNHHSGHMNIPYMAGGSQMASSPNLSAISNPQLQMPGLHHGSHYGGNMPQFPPGLSVNSRPPNQWGSQANLYSGDNSSVLNNMLHQQLSHQNGLIPPQVMPQPNQQRLQNPVQPSFGHLSGIQSQLFNPHLSPSPPLMNKFEAILGLGDLRDQRPKSAQRNRQNPRFSQHGFDSSGLRGDIGWPQFRSKYMSTEEIEGILRMQLAATHSNDPYVDDYYNQACLARKSAGAKLRHHFCPTHLGDLPPRARANTEPHAFLQVDALGRVPFSSIRRPRPLLEVDPPNSSAVSNNEQKASDMPLEQEPMLAARVTIEDGLCLLLDVDDIDRFLQFNQLQDGGTQLRQRRQVLLEGLAASLQLVDPLGQNGHTDELAHKDDLVFLRIVSLPKGRKLLARYLQLLFPGGDLMRVVCMAIFRHLRFLFGGLPTDQRAAETTNNLARVVSSCVHGMDLHALSVCLAAVVCSSEQPPLRPLGSPAGDGASLILKSVLDRAAKLMIEFRAAGNYNMTNQSLWKASFDEFFNLLTKYCVNKYDTVMQTLRMQAKPNMAIDESEAARAIKREMPIDLLHACLPHINDQQKKLIWDLSQRSMLVEQL >OMO65787 pep supercontig:CCACVL1_1.0:contig12620:40904:42585:-1 gene:CCACVL1_21397 transcript:OMO65787 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase, Rpb8 MSSIVLFEDIFVVNKLDPDGKKFDKVTRIEATSENCDMFMHLDVNTEIYPMHVGDKFTMALAHTLNLDGTPDTGYFTPGRKSLADKYEYVMHGKLYKITDGGSGKGLKAEVYVSYGGLLMMLRGEASHVSQFELDQRLFLLIRKL >OMO66861 pep supercontig:CCACVL1_1.0:contig12500:19207:19308:-1 gene:CCACVL1_20960 transcript:OMO66861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKSFRSRRHGLSNSNLTIIFPGNGKKRLDLA >OMO50494 pep supercontig:CCACVL1_1.0:contig16154:5564:5668:1 gene:CCACVL1_30407 transcript:OMO50494 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle trafficking protein Sly1 (Sec1 family) (ISS) ISQMPEPSDPGRHQLLCIGVGGGCLVAYMTLAEK >OMO50495 pep supercontig:CCACVL1_1.0:contig16154:7955:8628:-1 gene:CCACVL1_30408 transcript:OMO50495 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase ETKENRSLLALDGAKERLQLFKAELLDEGAFDSVVDGCIGVFHTTSPCFYDARDPQAELIDPAVKGTLNVLRSCVKVPSIKRVIITSSLGAAVFIGKPLADGEIVDET >OMO53187 pep supercontig:CCACVL1_1.0:contig15306:20956:25502:1 gene:CCACVL1_28820 transcript:OMO53187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSQTLGPMTNSDSFIHCCIICGAAAHLSCSSSAHKDCKCVSMIGFEHVMHQWAVRWTELTDQPDEASFCSYCEEPCSGSFLGGSPIWCCLWCQRLLHVDCHSSMSNETGDICDLGQFRRLILSPLYVKELNHNSGFLSSITHGANELVRATIRSQSKKHKHNNELTVDTSSNGSICDMTTESTAETPRTLNGSHVTEENCNGGMNVGSPRQEGGMDKKMESKPSFKRSGSINQKDESQVLSMKQRYELIDLPPDARPLLVFVNKKSGAQRGDSLRQRLNLLLNPVQVCELSSTQGPEVGLFLFRKVPHFRILVCGGDGTVGWVLNAIDKQNFVSPPPVAILPAGTGNDLARVLQWGGGLGSVERHGGLCTVLQHIEHAAVTILDRWKVSILNPQGNKQLQSPKFMNNYLGIGCDAKVALEIHNLREENPDKFYNQFMNKVLYAREGAKSIMDRTFADFPWQVRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDDTYENFDPQSMHDKVLEVVSISGTWHLGKLQVGLSRARRLAQGQSIKIQLFAALPVQIDGEPWFQQPCTVAISHHGQAFMLRRTVEEPLGHAAAIVTDVLESAETNHIINASQKRALLQEMALRLT >OMO53186 pep supercontig:CCACVL1_1.0:contig15306:15611:17498:1 gene:CCACVL1_28819 transcript:OMO53186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19e MEAARTVKDVSPHEFVKAYAAHLKRSGKIELPPWTDIVKGGKLKELPPYDPDWYYVRAASMARKIYLRGGLGVNAFRRIYGGAKRNGSRPRHFCRSSGAIARHILQQLQNVNIVDLDAKGGRRITSNGQRDLDQVAGRIAVAHS >OMO53185 pep supercontig:CCACVL1_1.0:contig15306:3870:3962:1 gene:CCACVL1_28818 transcript:OMO53185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIQRKRQTEPPPLGYKQKSIVQKKGRIE >OMO53188 pep supercontig:CCACVL1_1.0:contig15306:26026:26499:-1 gene:CCACVL1_28821 transcript:OMO53188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETSKGRARPPPPPSGKTTRRRETRPQLTSPPFSHLQTLNPIARLSPRNNGSTLYDSYELRAVTYQLNKAMQRSSGSSPAYLCYLKSPFYSEKLVRANRENTRAPKRVLCSHLTCATISRNESNNRAKEVTRGFAAKLWNKLKLGLLRSITQRNNE >OMP11443 pep supercontig:CCACVL1_1.0:contig01284:7074:7592:1 gene:CCACVL1_00516 transcript:OMP11443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQRDVEPAIYEETTSNSLLQNTRCCFCFPCFSSRRSPTVGIAFWERIRAAQVQSDTSLWSRSVRAFQKVREWSEIVAGPRWKTFIRRFNRNKSGTGGLGGRHGQFHYDPLSYALNFDEGPGPNGNFEDDSEYGGYRAFSTRYAPVSGSDKTAGTTPPSSIEVQKDVAVFG >OMO70024 pep supercontig:CCACVL1_1.0:contig11896:10172:10642:-1 gene:CCACVL1_19141 transcript:OMO70024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELARGIYQSQIELLEAIQEARPRTTNRGQSHDGSRRPTPPGGDDMLARNTTETQQQNEPSQRYATLSDLTTLLEREKAKLFRPSFFFMKDPPDPKYLVDKPYSPNYEASTFQLYDGRKGNAVEHVSKFLDAMGTHSMNPELFLREFSKSLTRRA >OMO70025 pep supercontig:CCACVL1_1.0:contig11896:15512:17441:1 gene:CCACVL1_19142 transcript:OMO70025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MDESNGTLLVKVSHVLYPITSDVLNQIFSPYGMVEKISILPEAIDFQALIQFQQYHSVVMARTSLQGRPIYDGCCWLDIKFSQPPIVTQSTKETFDQIFDRIQLAIKEFAEAYAASLEEGSEEDEPIESEEVSIDQDKAETDVKPELKNARGNVESDGEFDRKFPIEALFANFSNCLESNNCKDSVVEELRQATMELPLEVFIGFRPGEFELANEIDTNTGALHLFGKNPQLDFIGILEYFKPEVDIHWLDNNLKRDIVYVCLIDDMLSLSLPNFEMHLEILKDKNSLVFIGFRPGGIDSCKEVDNVCYMTYTLTRMHMSILSIPFAASMASSHPALEFVDSNFASELKLDDHHAITWDASMANWTCLDYAAQTELNVHNSIALLLWSIMFASLIGILEGQPPQHITLSLMDKPLRYSFGGYEAWWNMSIAVFLASTLRTRLS >OMO70023 pep supercontig:CCACVL1_1.0:contig11896:8052:8678:1 gene:CCACVL1_19140 transcript:OMO70023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKNDGKQPQESLSQVKNTMAPNLSKRAESPDPEIFIWRSLEAIAVTLNKIDKSQNRLAQTLAQQRTESQDSIQNLINRMEQAPPIRQGVEQQVVDGENFNVLLPYPALNLAEMVANNNEEVNNAYSSSVAYVPPHVRNGNGIRNDNSRHPQQRPPQAAVPIPNSPVEAQGKVN >OMO80852 pep supercontig:CCACVL1_1.0:contig10266:12571:12756:1 gene:CCACVL1_12724 transcript:OMO80852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVKKGRFIVHSAQVTTTRKYDYGDGQSLRQTNPSQMKGFYDEHCDELVKGAFYKEKKFPKL >OMO80853 pep supercontig:CCACVL1_1.0:contig10266:30099:33868:-1 gene:CCACVL1_12725 transcript:OMO80853 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEALSKPMATLSHDIFPRVLPEIHSDLNLYGKNYLSWAGNMAKLFITEPELVKEVLKNSERIFPKRTSRERKRNQDNIFDKIIGDGVFSSEGEKWAKQRKLANHAFHGENLKNMTPAMIASVETMLDKWKQFEGKEIEVFQELKLLTSEVISRTAFGSSYLEGEKIFDMLTRLAVLASRNPSQTKIPVISELWKTGDSIESDKLVKGIHDCVMEMVKKREEKVFSGEANSFGTDFLGSLINAFHDPDKNNRLSEQELVDNCKTFYFGGHETSTAALAWTVLLLAIHQDWQEKARKEVFEVFGNQNPHSEGIARLKTMTMIINETLRLYPPASALPRRVENEVQLGNLTLPTDLLVMIPVIALHHDPKTWGDDVHLFKPERFAQGIAKATNYNASTYIPFGFGPRVCVGMSFSLTEIKIALSMILQRYTISLSPSYIHAPCIRLTIQPQHGIQIFLLAKQSSWSVLRKLKKLVDKEGFISKAINKGKQVVKEAAPKGNKPILNMGQAINALKKGEFVFQAEASTSGPKVGSSSKKATQTREIMENEVFLGGGNLELQDDVTPLEIGVAVVEHGAQGSTSQATTLVQEKQDDSMQVDAEIKADVKVVEEMSKTLKFSNFFDVPSQGMSGGIALFWNDSSLKLDILVNTIMKFRGRWDACDLLDAGSSGCRFTWIKRVGGRIILQEKLDRVLWNVAALSKNPFAKVVVVRKFLN >OMO78471 pep supercontig:CCACVL1_1.0:contig10581:178:261:-1 gene:CCACVL1_14363 transcript:OMO78471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVGFPPAPRVTSPRPTPILTQTSSPS >OMP00903 pep supercontig:CCACVL1_1.0:contig06590:3246:4023:-1 gene:CCACVL1_03260 transcript:OMP00903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GWGMRYAAKKLTNTHRTPITSKTNTKLAREGRDARDTA >OMP00902 pep supercontig:CCACVL1_1.0:contig06590:383:906:-1 gene:CCACVL1_03259 transcript:OMP00902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHYWPTGPSNCIAHGKSCDACQRPIQRKPASELYPIIKPWPFRGSGSSPKKFGPLLDFRFRKSLILSSLYRAVTGFR >OMP00420 pep supercontig:CCACVL1_1.0:contig06676:423:1539:1 gene:CCACVL1_03349 transcript:OMP00420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem antenna protein-like protein MGLPWYRVHTVVLNDPGRLLSVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGRLEYYRGHYNKSGYLELRRRGRSPYCLFRLVLLGSYLALGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQPVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSAGLAENQSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGRELFVRRMPTFFGNISGCFW >OMP00629 pep supercontig:CCACVL1_1.0:contig06649:256:357:1 gene:CCACVL1_03325 transcript:OMP00629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGHKQRKDKLCGLVKYEDDSKQALMGPGNGPGN >OMO53591 pep supercontig:CCACVL1_1.0:contig15182:104194:107312:1 gene:CCACVL1_28531 transcript:OMO53591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASSSAAWKTGDVVPDQFPAGLRVLVVDDDPTCLMILEKMLRTCLYEVTKCNRAETALSMLRENKNGFDIVISDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDGKSVVMKGVTHGACDYLIKPVRIEALKNIWQHVVRKRKNEWKDFEQSGSVEEGDRQPKQSEDADYSSSANEGNWKSSKKRKDDEDDADERDDTSTLKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHPGNLNNSFISSQDATFGPLSTLNGLDLQTLAATGQLPAQSLATLQAAGLGRSSAKSGLPMPLVDQRNIFSFENPKLRFGEGQQQHMSNNKQINLLHGIPTTMEPKQLASLHHTAQSIGNINMQVASHSAQGSQNNSLLIQMAQPQSRGQLLSGDSVGSHAPRLPSNMGQPILSNGIAATRNGIPEIRGSGYNPISQASSMLNFPINQTSELPGNGFPIGSAGISSLTSKGTFQEGSAGFMPSYDIFNDLNQHKPQNWELQNVGMPFDASQHSSSVQSNLDIPQSVLVQQGFSASQVNGQNRGVGVVSKAMFSTVDSTEQGNGQNVNHHLNNLLVDNTVRVKSERIVDAGPANLYPDHFGQEDLMSALLKQQEGIVPTENEFDFDGYSMDNIPV >OMO53586 pep supercontig:CCACVL1_1.0:contig15182:55898:56891:-1 gene:CCACVL1_28525 transcript:OMO53586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPAELDQKPRVTEIQVRMDCNGCVQKIKKALHGIQGIYEVYPDIAQQKLTIIGWADPERIVKAIRKTRKIATICSHSQPSEAPAAQPPEQPPEGGAPPPGTAAEDTQIAKFSTMNHRLINRLRLTNRLPS >OMO53583 pep supercontig:CCACVL1_1.0:contig15182:23114:27778:-1 gene:CCACVL1_28521 transcript:OMO53583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKNQQTQKPKKRKQISSAKVERDSSKSKKPKLLASNPSKNPPNKPFKKPFKSPQQKHGRPNDSKFQKSDSGNENKELSKRERRLQAKELAEARKKKRKPHYTLEQELASLWEKMRRRNIVKEDRSKLITEALQKMKGKIPEIASSHVSSRVLQTCVKYCSPTERDAVFEELRPHLLTLACSTYAVHLVNKMLDTASKTQLAGIISSLRGHVASLLRHMVASVVIEHAYQLGNATQKQELLMELYSTELHLFKDLASIKESRLIDIISRLDLQKSSVLRHMSSVIQPILEKGIVDHSMIHRVLIEYLSIADKSSAADVIQQLSGPLLVRMIHTRDGSKIGILCVKHGSAKERKKIIKGMKGHISKIAHDQCGCMVLVCIVSMVDDTKLITKIILRELQTTLKEIALDKSGRRLLLQLLHPNYSRYLHPDDLASLNLSVPSLSDKNESEVKSQNISRDEESNEEEVGSDNDMTASESGKSDTPAESLHSVEGGKKDPSLRRRELLISSGLAEKLVDVCIENTGELLRSNFGKEVIYEVAMGGSDGILRPSLDEKLNSLHEAIATLAAKVKSEESEEEHVLENFHSSRTIRKLVLDCPAFASTLWKEALKGKCQLWAQGHSSKVVSAFWESSDSNVRKLAKKELQPLIDGGILKISETKQSGNEG >OMO53588 pep supercontig:CCACVL1_1.0:contig15182:81633:82394:-1 gene:CCACVL1_28527 transcript:OMO53588 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N-7) methyltransferase MLENKSNPTLNKTTGLPRKRFYRARAHSNPLSDSHFPVPLSPSHVDYSLHYPQYFPSSDKVDGSKKVQFADIGCGFGGLLISLSTLFPETLMIGMELRDKVTEYVKERISGLRVAHPGQYQNVSVVRTNSMKYIPNYFEKGQLSKMFFLFPDPHFKEKNHRRRVISPHLLDEYAYVLQVGGIIYTITDVEELGDWMKSCLENHPMFEALSNEELEADPAVKLLSSATEEGQKVARNGGQTYQAVYRRITPAAS >OMO53590 pep supercontig:CCACVL1_1.0:contig15182:89443:91217:-1 gene:CCACVL1_28529 transcript:OMO53590 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought-responsive family protein MESNSWSFRFSNSSSSSSSSSRRHQSRSDLFLGGGYEEVEVDEDFKTEFLCPFCAEDFDIVGLCCHIDEEHPVEAKNGVCPVCAKRVGMDIRKRRLRKGGSNLTFSMLRKELREGNLQALLGGSSCIVSSSNVEPDPLLSSFIFNPPTADEPVSLQPLSIAEASDVKESSNREFLERKPQQSQLSDKDHEEKARRSKFVQGLLMSTILDDSL >OMO53585 pep supercontig:CCACVL1_1.0:contig15182:45768:54650:1 gene:CCACVL1_28524 transcript:OMO53585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MKMPVALLLLLALCNAIKVYGGESNLRCDQKLTLDPRPHSVSILEFGAVGDGKTLNTIAFQNAIFYLKSFADKGGAQLYVPPGKWLTGSFNLTSHLTLFLEKGAVILGSQDPTHWEVVEPLPSYGRGIELPGRRYRSLVNGYMLQDVVITGDNGTIDGQGSVWWDWYSSHSLNYSRPHLVEFVSSEHVLVSNITLVNAPAYNIHPVYCSNVHIHNISVYAPPESPYTAGIVPDSSDSVCIEDCSISMGHDAIALKSGWDEYGIAYGIPTTDVHIRRVQLQSSSGSSLAFGSEMSGGISDVLVEHVQLYDSLSGIEFKTARGRGGYIKEIIISDVDLLNIHTAFSAIGDYGFHPDDKFDPDALPVVEKITLQNIVGSNITIAGNFTGIQESPFTSICLSNISLSINPASSTSWLCSYVSGFSDKKPNVKEKHKLQIALSRDRHLSLSFALSSLHRPASRKRQLPSSLSPFPSFWRLSYRLLQVMGSSNIRDILTAFSPSLDYFAISSGDGRIKIWDTLKGQIQTEFADIASSEATNIYAKAERGHLSVDYKCMKWLSFDKKKKRKLGSSLLVLGTGGGDVLALDVSAGQLKWRVIDCHPGGVSAIAFSTNGSCIYSGGADGMVCKIDSLTGNLLEKFRASTKSISCMAVSSDGNMLVTAAAQLKTFDCSNHKKIQKFSGHPGSVRCMIFTEDGKFILSSAVGERYIAVWRTDGGKKQSASCVLAMEHPAVFVDSICVNNGDIYVFAISETGVCYFWYGQDVEKLREAKSTKVSLSLEDSFSKMHKASLPAIFAAKLQGVVKPASVHAFLAHGLLVKPSFQKIVVHYGEDMMLSSSPNGVLLPMSQSLKKSKKGSDAQNRVIALDRAHAEEALLPIPKIFDLDKEKERHRSLSVDGKGTSDMMQVESDSKVCMEDKLRSEGILDDLTSNSSLDSMILDGINLEADLPPKKMRAAVSAMVPSEVPKLLENLVGLWQSRSSSGKYVLPWIYTILLTHGHLAMSESLNQMLNSLLKNTRSRGSALPSLLQLSGRLQLVTAQIDKAAQNKSQLSASDHQMDESEDEDEDEDDVEDVLYGEEDDESQISSDDDN >OMO53592 pep supercontig:CCACVL1_1.0:contig15182:108660:111149:-1 gene:CCACVL1_28532 transcript:OMO53592 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant PYRFESVLTVLNNGDEKLKSWRVFVGFKNDELLVSASNAVLADGSSLPANVGNGTIFAGFPMSDLDTAIDTAGDLTQMQVQVKLVGTQFGVAPPNAPLPDTIQLANDGFVCPKATLQGKNEMQVCCTKDPDFKTNITVDEEFLPRQSGDLTIMYDVTRTYDSSYWAQVTIANHNPLGRLDNWKLSFDWMRDEFIYSMKGAYPNVVDSTDCIFGPQGTYYRDLDFANVLNCERRPTLIDLPPTKANDTTLGLIPKCCRNGTILPPTMDPSKSSSVFQMQVFKMPPDLNRSELSPPQNWKINGTLNPDYKCGPPVRVSPSQFPDPSGLPNTTAVASWQVVCNITQPKGASPKCCVSFSAYYNDSVVPCRTCACGCPSNTAQTCSANASAVLLPPEALLVPFENRTAMARAWADLKHYPLSNPMPCGDNCGVSINWHVVTDYNRGWSARITIFNWDETAFPDWFAAVQLDKATPGFQKTYSFNGSALELNGVNNTIFMQGLPGLNYIVGETDGANPQKDPRVPGKQQTVISFTKKDTPGIKVAAGDGFPSKVFFNGEECALPPIFPTNNSNRKGSTTVLSVLLAVLVFMLVQQ >OMO53581 pep supercontig:CCACVL1_1.0:contig15182:5693:13476:-1 gene:CCACVL1_28519 transcript:OMO53581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19/L19e MAAGTMATAAGAAVILYYVWLRKSAEKGGAGMEDEDEELSKSSRSVKRRLARRPAQAPATWLEAISTISETLRFTYSETLGKWPIGDLAFGINYLMRRQGNLQVASVYAGNNCVELKGQEIKEELNNLLRLLTLCMLFSKKPFPVFLESAGYSMEDVLLHKPKAELLKPAFTIIRDKESKCFLLLIRGTHSIKDTLTAATGAVVPFHHSLLHDGGISNLVLGYAHCGMVAAARWIAKLSAPCLLKALGECPDYKVKIVGHSLGGGTAALLTYILREQKEFASSTCFTFAPAACMTWDLAESGKHFITTIINGSDLVPTFSTASVDDLRTEVTASSWINDLRDQVERTRVLNVVYRSATALGSRLPSIASAKARVAGAGALLRPVSSSTKVVMKGAQNVAQAVVRSRTSLSSWSCMGPRRRNVGPALSPKGDDMAEVSVISERSSEALVTEVVTIDKVRTQVDSNSSGGAGSDDTDEDEPLITVDRVISTSTEDEIAGGEIWYELEKELKRRESEVDLQARAEEAAAAKEITEEENVLADVSESKHTISSSDSSENLRFYPPGRIMHIVSLPSSDAANNLNDDGSNEEHVGIYETPRELYMALISEKLLSSASLVFFMLTHSTGSSLKAIQEKYSYADLEMVRLLQPQMYADIASLVCISSDALAVYLCTRTHPERETRRRESDGVLKLQKQLASIILKCGHGKVWLGPNEINELAVDNSTMIVRDLFRISENIVEEMIDLESEFLLTPS >OMO53582 pep supercontig:CCACVL1_1.0:contig15182:20797:22572:1 gene:CCACVL1_28520 transcript:OMO53582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIGLESADNIATTTKSELVLEICSMSTSHIACSHKNHFNNPVKSHFIDWYRLLGVAEDAGLELIKKRYHKLALQLHPDKNKHPKAEVAFKLVSEAYACLSDSVRRRAFNSERWKYFCIHCNKIPYTSGNSSIAINSQFGSKPPRAQDPAYFSRSGRGVQSFKDIRERLKEEVRVIENCLRVNSLSKKESPLFNPSNNLSQTQSSKIRHNKSQRETPIFEPTDYVFEGYPHSRSQVYKKPENYWHLQRGKGTYQSPIFENASATVILRGMLKSKSVCIHS >OMO53587 pep supercontig:CCACVL1_1.0:contig15182:64264:67028:-1 gene:CCACVL1_28526 transcript:OMO53587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor eIF3 subunit MEDWEEEIPPLLSKEQPKSKWDDEDVDDNDVKESWEDEDEPEPPKPVEKPVQEKAPKKPSSKATEKKGKSVEVAKEEPLDPVSEKLRQQRLVEEADYKSTTELFAKKGGDDKTLDNFIPKSESDFAEYAELIAYKLRPYEKSYHYNGLLKTVMRLAMTTLKAADAKDIASSVTAIANEKLKAEKEATTKKKGNKKKQLHVEKADDDFAAVSGGYDDGDDFDFM >OMO53589 pep supercontig:CCACVL1_1.0:contig15182:85592:87819:1 gene:CCACVL1_28528 transcript:OMO53589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSVGVSIARSRGGENRFYNPPPMRKQQQQQQQQQQQMLMQKREQRSVISKSLTEKRTDHEECATSSPSSSSVTNNSNNNSKRNDDSLTNLDRFLEFTTPVVPAQDLPKTSIRGWRGRDRGLECPPPYFVLDDLWESFKEWSAYGAGVPLVLNGSESVMQYYVPYLSGIQLYIDPSRPSPRQRRPGEESDTESSRETSSDGSNSECGTGIRASNVVQGAWGQVEIADANIQRLSRLSVRNKPSGGSSSDESDISNPPGQLIFEYLEHDQPFSREPLADKISVLASRFPALRTYRSCDLSPSSWISVAWYPIYRIPMGPTLQNLDACFLTYHSLATPSPGTGNEGLPSRGFTAREFHGAHMSKLPLPTFGLAFYKFKVSVWNPDGVHECQKADSLLQAADNWLRLLQVNHPDFRFFVSHNTYWR >OMO53584 pep supercontig:CCACVL1_1.0:contig15182:34233:34328:1 gene:CCACVL1_28522 transcript:OMO53584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPNPRNQSKYDQFSTLKASLFYLSCSSRR >OMO51574 pep supercontig:CCACVL1_1.0:contig15786:291:2518:1 gene:CCACVL1_29711 transcript:OMO51574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AMEANKAEWDTLFEPFAFFEAYKNYLQIDISAEDDDDLRKWKGWVESRLRQLTLKIERHTYNMLQCHPHPGEFQDKSKPLHCSYFMGLQRKQGVPVNEGEQFDIRLTVEEFKHSVNMYTLRKPGMEIRVTHVKRRSIPSFVFPGGVRPSRPSKVTWDSKRISDTKVSSHAGSDKSGEVKVFADGQDDGKKRKRVDDNTDAQLRNSKHVTAVPSSSPELHVGSPVSTVSSCSAKGDHSDATGFFEPIREKAESNIVNGFINSSSVEEFSSHNGEVDGSAGSTPPNKGLLVTTDVSSCKEAENLAIEKIMSGPYGAHQAITQELEELEDDLEVRNQVRSVRNTKTGPVESSMSDSAGAAPVSSSNGAGPSTGLHANGGIEELEPAELTVPIANRIPSAAPVAQRKPLIRLSFTSLGKASEKSG >OMO99241 pep supercontig:CCACVL1_1.0:contig06923:28702:28794:1 gene:CCACVL1_03875 transcript:OMO99241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRLKVNSLDDDEEVEVRGEKYGGFTKF >OMO99242 pep supercontig:CCACVL1_1.0:contig06923:29564:30495:1 gene:CCACVL1_03876 transcript:OMO99242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPNNTGNSRISTPPTAPPAAAVTPPPYAPQPLPNFAPSVPIVSKPKQWSSGLCSCFEDFSSCITTCLCPCITFGQNAEIIDRGTTSCVGAGLVYYLLAHVGCCCLYSCTYRKKLRGLFGLKEKPCADFFLHCCCHCCAICQEYRELQNLGFDPSVGWLANVNRLSRDGAMMAPPTMATTGMAR >OMP05340 pep supercontig:CCACVL1_1.0:contig05430:1447:1563:1 gene:CCACVL1_01944 transcript:OMP05340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEVGGDWALFLGLAVVSSEGGWLSKVRCGSHGGGDGCS >OMO53401 pep supercontig:CCACVL1_1.0:contig15208:5566:7340:-1 gene:CCACVL1_28662 transcript:OMO53401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISLSLSSSLLSSPLPRPGPAAETNSKKKKTKPHLPNSVLFPHAVAAASVSDKKRQRLWKEGEYPGLSVTVIPGSSKKTPLKNLKKKLDRKNNAKAWASTVTETLSDCILKKQWLQALQVFEMLRDQPFYQPKQGTYMKLLVLLGKSGQPHRARQLFQEMVQEGCEPTAELYTALLAAYCRNNLIDDAFSTLNQMKTLPRCQPDVFTYSTLIKACVDTSRFDLVESLYGEMEERLITPNTVTQNIVLNGYGKAGKFDQMEKVLSGMLESSASKPDVWTMNIILSVFGNKGQVDMMEKWYEKFRDFGIQPETRTFNILIGAYGKKRMYDKMSSVMEYMRKLQFPWTTSTYNNVIEAFADAGDAKHMEYTFDQMRAEGMKADTKTFCCLINGYANAGLFHKVISCVQLAAKFEIPENTSFYNAVISACAKADDLMEMERVFKRMNDKQCQPNSITFSIMVEAYRKEGMNDKIYDLELLKQELLDTASLPE >OMO53402 pep supercontig:CCACVL1_1.0:contig15208:8335:10886:-1 gene:CCACVL1_28663 transcript:OMO53402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMGSDLNNTLSKTYIGLQLWVLIVIGLGVVFLFILAISLWLSFRKKSRRANDVLPVRQAPYVSEEIKEIRVDQVSANNAGLNTLNDKLSDRDSDKVLFNVDNGDDSGQSGSFNNVEKDLKGSQPGEEGGTRTVSTYRPSSHPLTAPSPLSGLPEFSHLGWGHWFTLRDLQLATNRFSKDNIIGDGGYGVVYRGNLINGTPVAVKKLLNNPGQADKDFRVEVEAIGHVRHKNLVRLLGYCIEGTQRLLVYEYVDNGNLEQWLRGDMRHKGYLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDNFDAKISDFGLAKLLGDGKSYITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRYPVDYGRPQPEVNMVEWLKMMVQLRRSEEVVDPNIETRPSTSALKRALLTALRCVDPDADKRPKMSQVVRMLESEEYPIPREDRRRRRNQTVNSDADSQRKNSDADRTDDLDSRMESR >OMO91944 pep supercontig:CCACVL1_1.0:contig08283:32251:33858:1 gene:CCACVL1_06942 transcript:OMO91944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKWDELQSRLVSQYRNAASIIGRLQVLQNSKNYGNLNSVEGIEVAVVQKQMDSLQTILHSMKNTMEEFRSVVRSLDKLQNDGKQLAKGGSNQMNRKQLQQKVGVKPSLTNCIDGLVLLHEMHLAEYLLKSSLVSALSAIALNPNSSDLGALQQLLVDQPNIISDEGIF >OMO91949 pep supercontig:CCACVL1_1.0:contig08283:76872:79286:-1 gene:CCACVL1_06947 transcript:OMO91949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MPVTKKKMGEKKNNKTTRTADPSCSTQPIPESSSKRPDNNSPEVDGRIYASQDVKNCHQCRQRTVNNAASCREKIKDKDCTLHFCHKCLLNIYGEKAEEVELLHDWICPRCRGICNCSRCMKKRGHQPTGVLAPAAKAGEFASVSDMLHLNNTESLGSQELTDAAVSSKKGKGKAMDKKIRHFNLLWPFIRSGLDTEVEKTSSSKNKKPVAAQRKVEKIICKIYVERKESDQMFTIQSCFQSYCFDCIGKHVVTRVEENITIIKCPGVNCKAVLELDVCRAVLPQVVIDRWEDALCQEFIDASQKFYCPFRDCSATLLKDDGGKVMMESECPFCHRLFCAQCSVPWHPGINCEDFQRLNEDERGREDLMVRELAKEKNWARCPKCNFYVERTEGCPHIICRCHYEFCYGCEKQWTKDHGGCQRN >OMO91946 pep supercontig:CCACVL1_1.0:contig08283:48078:49010:-1 gene:CCACVL1_06944 transcript:OMO91946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSTFVLWNPCVRKYITIPKSDLIPPQSRWVCCGFGFDSRTNDYKILRVSIKESDEYIEAHIYSLKQDSWKSLPAPKFSIKSDDPMVFVNGVVHWISYRKAENDVFESVVMGFHMGDEVFNMIRLPEAECFNYDNNLGEVYLTMYPEASSIAVMHKDDFSGQCHVWVMKEYGVEETWTKLLSFGKWGEVPEVAAFRKDGGLIVKTFKGEMVSYYPERSEAKKLGIGGEFEYFIVVRHMESLVLHDIGNHEYDDSDLGDSSDESTDTGSDSSNYLTDDSIEDGSDDVSDNSTDEGTDNSTDEGTDFPSDD >OMO91948 pep supercontig:CCACVL1_1.0:contig08283:66968:71670:-1 gene:CCACVL1_06946 transcript:OMO91948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSTKKKEKEKEKEKEKEKENSKTTRTADSGCSTEPESSSKRPRNNSPGVRLVGGRIYDSKNGKTCHQCRQKTVDFAASCKKKIKEKQCTIHFCHKCLLNRYGEKAEEVALLDDWNCPRCRGICNCSFCMKKRGHHPTGILLYTAKASGFASVSDMLHLKISESSGSQEITDAVVSSKKRKAVDKEIEVEKTSSSKKKSSSKLQNSSKQADDKKTATGNAKLTRSKDKDTQNKPTSKSLNPKKKSVKVKSKASDAETQLPQGISLDRIAAIDLPVEDVGHALQFLEFCEAFGEVLNMRKGQSQMLLRELVNGQSKRKLRHSSVVQFHIQLLSVMQKDSGKEYPCLNQDLSESSWLQVLTEYINESQYSLKQQLLDCLDGGDHGYKRLDFSKKLRLLNFLCDEVLGTVDFRSWIDEQNSKFAEKEKRVKEKLVAQRQKERNLEKEMKKKLQDEIAKAVLMKNGTPLSISENEDLVSKIKSEVKQTLEVAHTLASALEVSETVVDEDSRLDAVRSEPIFWYEHAHKFHKFWKLRGYCGETDILLQDIEGIDLVTAKERWYAYSTEQKASIEEYISSFRTQKK >OMO91945 pep supercontig:CCACVL1_1.0:contig08283:38385:41210:1 gene:CCACVL1_06943 transcript:OMO91945 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein MEEENVMEEGEEEENGNDSNSTLEEHEEEEEEGECNYNSNSTSEEEQGEPEPKKLKLLQEEDVISTLPDSIIHHILSLLPMEDAVTQDWGFVEKWLRFATLGKVEELHIDLLYDRQDESEEERGFVPYVMPHFLYENSSLTKLDSRYCSYNPKGPVSWTSLKALTISDASFEEEVLQWILLGCPILEYLELRSCRSICKINASSNPRLKKLVLSDVIGAYEVSIRSVNSLEILGEEYEYCLTTLLDVSSLVDATLDFGELDFWPGYENQHMSQEYQDMVVHVLEKVNHVNQLTLGSVCIQVLSLLELKGLPPPLSNHERNCLTIATGFNNRDLYGVASLLNSLPNLEKLIIKLTLHDKYYSFYSEELEKLDKKKMEHFWEVKGRSFECLVMSLKTVEIVVKSRYWIEFDEHHRQYYIATKHPGLIEFVEFILKSARVLERMVFVAEKSSGLEAHDFYWLAKKVLNLPRASPNAVVLLPD >OMO91953 pep supercontig:CCACVL1_1.0:contig08283:95515:98710:-1 gene:CCACVL1_06951 transcript:OMO91953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease MLWADKLRNEHEQVLVQIVKLIQKRGLEGTKGEWKDFLKAHDKKFGASKSDPSRRTKDDLVSFLKTFDFKFLDRILQGHLNRNIVEQLSRQSLVNESPEQGWIVTKLSKDSQRMMRSSFMLAVDCEMVLCEDGTEALVKVCVVDRDLQVKINEYVNPYKTVADYRTEITGIDAEKLEGVTRSVADIQKSMKKLLSDGTILVGHSLHNDLQVSFASGYSVKDRPRKSDRHLIYIQSVLGYEVRKPGAAHNCLDDACAAMKLVLAKLERGDIPLVQDVPEAEMEKLLLHKIPNNVPKTEISRIIPGEFAIEIKPSKKAQGRHYAAFAVFNSAEEANRAFENTEGTEEQDISGLRQKLVTFQFGKAATASLYIRKMVRGDLQCQVPSKKREFQSEEKFCESKKHKTDEKPGEETRMGNFNRVDDWLKEIGRLKQEVEQKGSEIVAKDKIIAEREKEIKKLKKKVDKLKKNKK >OMO91952 pep supercontig:CCACVL1_1.0:contig08283:93598:94530:1 gene:CCACVL1_06950 transcript:OMO91952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAIKTPPPPPKDHESSSSNGEEDEEESKTGTQNTPPVVGVVGEEDKSGGGESDSESDAGMAAKKSQGTMMISNSKAAQTPSAAAGKRGRESDLAVKKSKAKRAKGEEGEETALNKKTLFQRVFSESDEIDLLRGIKDYYDHESNGNKEMNDAFYEFIMGSIKVSVNKGQVVDKVRRLRKKYNNLAGKSNNGKDPSFSKDHDKKLYKLSKRIWGAKAKDNDEEDMAMEDPKEKELILSSDVVTGTATAMADFNRTEERVLKDCMARLTAEKRDKVEKKLKVIQLESYLKRSGVICKLVESTLRAYKSK >OMO91951 pep supercontig:CCACVL1_1.0:contig08283:80789:86031:-1 gene:CCACVL1_06949 transcript:OMO91951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLVDNALAVTKESVKTFTYESLNNIARLINGVSAILLTVLPGKTNILEGVQGWELRPTFRGPRFPRWMENGVSSFNQLIHELSVDSDTSSIDYSSGEDDLDGICPASPSSHGSRTSRTSSFIKRDWHWTDWMAYIFSWILLPARFLLGIPLLLLRLFNIRGSKAYSDPASPRPINFHSFRKAYATKDHVVHRTTDRRRGVIEDLHLAIEIFIEAIFDLFHKAAHCVLSPSEAFRVFLRWFSSPSTFKDDDDALNASVSTTTLGDNDPALTERNLTLYHPLNTDARTCRDVITELGYPYEAIHVITADGYVLLLERIPRRDARKAVYLQHGILDSSMGWVSNGVVGSPAFAAFDKGYDVFLGNFRGLVSREHVQKNISSRQYWRYSINEHGTEDIPAMIEKIHEVKTAELKISQPDEETNDEQPYKLCAISHSLGGAGMLMYVITRRIEEKPHRLSRLILLSPAGFHDDSTVVFTMVEYLFVLLAPFLAIFVPAFYIPTRFFRMLLNKLARDFHNYPAVGGLVQTLMSYVVGGDSSNWVGVLGLPHYNMNDMPGVSFRVAHHLAQLKRTGKFRMYDYGSASANMQVYGSPEPLDLGEYYSLIDIPVDLVAGRKDRVIQPSMVKKHYKLMKESGVEVSYSEFEYAHLDFTFSHHEELLAYVMSRLLLVEPAPKRQSSPKALRLKKKGQANS >OMO91950 pep supercontig:CCACVL1_1.0:contig08283:79670:80205:-1 gene:CCACVL1_06948 transcript:OMO91950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVIWGYVRIITGTIAGGILGFYVLHRVQVNYKGQAFICRQLARPK >OMO91947 pep supercontig:CCACVL1_1.0:contig08283:63163:65580:-1 gene:CCACVL1_06945 transcript:OMO91947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSGTILLQISCLKDMLDQVNEEIEANIQITREIESEIVREEFTKMCLEFQRDIENGDNSELVTLLSEKELLKNEIHLLEKKNNALSNSMSAFVEEILEDLYTSNAGVTNQDIEHITWMLRGKISCILEYGK >OMO91954 pep supercontig:CCACVL1_1.0:contig08283:100000:108011:-1 gene:CCACVL1_06952 transcript:OMO91954 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MGCDACSWLRPRLPLAMASPPLGQPLPEPIFFSSSFKPSFSSISSSMAGPTNSSSSSTGSYSRRQPTPSFDTSPEILRHWVEVHQPLASQDRFTVASYNILGDRNASKHKDLYLNVPSDYIRWGYRKRVLIEELMGWNSDIICMQEVDKYFDLRDMMEKAGYVGSYKRRTGDNVDGCATFWKPDKFRLLERESIEFKRFGLRDNVAQLSVFEIRYLSSRAQILSNRWGHVPVVLMGDFNSTPQSPIYKFLSTSELDIKLYNRRELSGQRSCHPSQVLGVNRESISPLTIMDRFLNDHWTGEEVRAATGSTDNYLVVHPLKLSSTYATVKGSTNTRDFNGEPLATSYHSKFLGTVDYLWYSEGILPTRVLDTLPIDILTRTGGLPCKVRQTKSSCDSLQTLQCRSLHLQTASFAHYRMLSVDFLADPANNNITRSNPLLQEQVLTSSGQSFELGFFQPNNSVHKYVGIWYKDITPRRYVWVANRQNPVTDSLTSLTIGRDGNLQLLDGSNQVTLWSTNVSISSNINTIAELLDNGNFALKDGLTGQNLWQSFDHPSDTLLLGGSLGFNSKTGERRVLTSWRSENDPSPGSFVLGLNPDQSPPQAYVWKGSVPYWRSGLWDKTTFIGIPDMDSSYSSVFEIKTDNEQGTVYFYANPHNQSVFKNIFMSSVGYLQALVGEGGDSLTINWEVPTNPCDIYGTCGPFGVCKASESPICSCLKGFEPYSDEEWSKGNWTRGCVRRNELLCEANPNSSATKNRGNVDDGFWKMDRMKLPDYSEIVDIDSSQCQQWCLNNCSCMAYAVVYGIGCLVWRGNLTDMQEFSFGGQEFFLRLTLSRASNKTPKEKLIISLTSIFGKHKRISKDFQVESDASSEILPGYTLRSHLRLEDPSELPMFDLDTILVATDNFSIRNKLGQGGFGPVYRGQLHDEKLVAVKRLSSSSGQGLEEFKNEVMLISKLQHRNLVRLFGYCIEKEERILVYEFMANKSLDTFLFDPRKKSELNWARRFNIIQGVARGLLYLHRDSCLRVIHRDLKVSNILLDDKMNPKISDFGLARIFQGTQHLANTHKVVGTLGYMSPEYALGGIFSEKSDVFSFGVLVLEIVNGRKVTTSYSADQYISLVNYAWQMWNQSKEVEFVDEALAKDSISSSQVKRCIHVGLLCVQDHAENRPTMPQVVFMLSSESETDQLPKPKQPAFTFQNALNSAAPDSNSDRIWSINEITESVLVAR >OMO70006 pep supercontig:CCACVL1_1.0:contig11907:2792:5546:-1 gene:CCACVL1_19148 transcript:OMO70006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoate A/B protein ligase MMNIMRFKGLPILQQLHIEEQLLRTSSDNWCIINDGTCDPTIVMGVSGKPAELLEIESVLRDQVPVIRRFTGGGTVIVDCGTIFVTFICNKEAVSDLQPYPRPIMSWSGLLYSKVFQGIGDFHLRENDYVFGNHKFGGNAQSITKSRWIHHTSFLWDFDVRNMSYLKLPKRAPAYRFARGHLDFICRMKDYMPRSTFIDKTVEAASTQFSLRSFELEEIETLSETESNPSTRLLSAEELEADVIAGR >OMO51407 pep supercontig:CCACVL1_1.0:contig15847:14625:14732:-1 gene:CCACVL1_29812 transcript:OMO51407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQYFGDAVTFDATYLTNKYGMPFVPFTGVNHHH >OMO51406 pep supercontig:CCACVL1_1.0:contig15847:13077:14204:-1 gene:CCACVL1_29811 transcript:OMO51406 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine-rich receptor-like protein kinase MSTTQRSESMNKFFKDFVRSSTLLSDFVYQYEEALNARYVKEKEKDVKTMNTKAVLKTCYKFEIVAAETYTRKMFEKFQEELFSSQKWKASKLQQDGGEKLYGVKPCGRESPTYEVAFTKSDNKAGSKSRRKYEHLSLNLQKIREELLAMDDGEGDIIANDGDVEGRTQSQLLTNITPILKDPMHVPTKGRPKSLRQRNPKETIQQGKGKKKCGVCKKPGHTRTTCPVGKQLREDARSNTEVVPTQGHELHQQ >OMO51408 pep supercontig:CCACVL1_1.0:contig15847:18085:21357:-1 gene:CCACVL1_29813 transcript:OMO51408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVLSRTSFGPLLHPQDNSISSSLLNLTNTRKCRLNGVKAAQMETGNPSGRDKNTMVQKHRPTTRQTKQDMKTTNSYLDFSGEKPFTPLLDTINHPKHMKNLSIEELGKLADEIREEVVYSVSKTGGHLSSNLGVAELTVALHHVFNTPKDKIIWDVGHQAYPHKILTGRRSRMHTMRQTFGLAGFPKREESEHDSFGAGHSSTSISAGLGMAVGRDLLGKNNHVISVIGDGAMTAGMAYEAMNNAGFLDTNLIIILNDNEQVSLPTATVDGPAPPVGALSKALTKLHSNRGFRQLREAAKGITKEIGGQTHEIAAKFDSYVRGMMGGSGVSLFEELGLYYIGPVDGHNVQDLIYVLNEVKSMPAPGPVLIHVITEKGKGYAPAEIAADKMHGVVKFDPKSGKQSKSKSETLSYTQYFAESLIAEAEQDDKIVGIHAAMGGGTGLNLFQKQFPNRCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGFDQVAHDVDLQKLPVRFAIDRAGLVGADGPTHCGAFDTTFMACLPNMVVMAPSDETELMHMVATAAAIDDRPSCFRYPRGNGIGTILPKNNKGTPLEVGKGRVLREGSRVAILGYGTIVQSCMKAAELLQTLGISATIADARFCKPIDGDLLRELAQEHEILITAEEGSIGGFSTHVSHFLGLNGLLDGKLKWRPMMLPDRYIDHGSQKDQIEEAGLSSKHIAATTLSLLGNAGKAFTDSTFR >OMP06864 pep supercontig:CCACVL1_1.0:contig04776:7741:7806:-1 gene:CCACVL1_01423 transcript:OMP06864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKEMPRFGKIGEDAMCPNF >OMO64431 pep supercontig:CCACVL1_1.0:contig12827:3324:6239:-1 gene:CCACVL1_21766 transcript:OMO64431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANQKKVKLNKDDGSVLAFWTTLGSGQSFWVRKITSFYFRSCFEDFAHLRISSWDFDFGVGCGFRVEWGALEKKFEGDEAPSNFESVGLIVGVTGIVGNSLAEILPLSHDTPGGPWKVYGVARRPRPSWNADHPIEYIQCDISDSKDTEAKLSPLTDITHIFYVSWTNRSSEAENCKINGSMFRNVLRAVIPNAPNLRHICLQTGGKHYVGPFESFCKIQLHEPPFTEDLPRLNTPNFYYTQEDILFEEVQKKEGLTWSVHRPTATFGFSPYSLMNVIGTLCVYAAICKHEGKPLLFPGNKDAWEGFSEASDADLIAEHQIWAAVDPYAKNEAFNVSNGDLFKWKHLWTVLAEQFGIEEYGFEEGKNLGLQEMMKGKERVWEEIVKENQLQETSLADVGVWWFADLVLSVSAAMAMLSMNKSKEHGFLGFRNSKNSFVTWIDKMKAYKIVP >OMO64433 pep supercontig:CCACVL1_1.0:contig12827:16356:17486:-1 gene:CCACVL1_21768 transcript:OMO64433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKFEEDEAPKSFQSVGLVVGVTGIVGNSLAEILPLSDTPGGPWKVYGVARRPRPSWNADHPIEYIQCDISDPKDTEAKLSPLTDITHIFYVSWVNRSSESENCEINGSMFRNVLRAVIPNAPNLRHICLQTGAKHYVGPFELFGKIERHDPPFTEDLPRLNAPNFYYTQEDILFEEVEKKEGLTWSVHRPNTIFGFSPYSLMNLVGTLSVYAAICKHEGKPLWFPGGKDAWDGYSDVSDADLLAEHQIWAAVDPYAKNEAFNVINGDVIKWKHLWRALAEQFGIEEFGFEEGKNLKLQESMKGKERVWEEIVKENQLQETSLAEVGNWWFGDLMLATATPLSSMNKSKEHGFLGFRNSKNSFISWIDKMKAYKIVP >OMO64430 pep supercontig:CCACVL1_1.0:contig12827:668:2209:-1 gene:CCACVL1_21765 transcript:OMO64430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITNFNGFGVGLGFGVGCGFGVGWGFGGMPLNVLGLGAGGGCGVGFGLGWGFGSVYGSQYRSSRVTFQGIEFGKEDQRQDGELKEIQKSTKQVRSS >OMO64432 pep supercontig:CCACVL1_1.0:contig12827:12681:15809:-1 gene:CCACVL1_21767 transcript:OMO64432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRARSKPIKQNMKKLEGDEAPRNFESVGLIVGVTGIVGNSLAEILPLSDTPGGPWKVYGVARRPRPSWNADHPIEYIQCDVSDPNDTEAKLSLLTDITHIFYVSWSNRSSEAENCEINGSMFRNVLRAVIPNAPNLRHICLQTGGKHYVGPFESFGKIQPHEPPFSEDLPRLDTPNFYYTQEDILFEEVQKTEGLTWSVHRPSVIFGFSPYSLMNVIGTLCVYAAICKHEGRPLLFPGNKDAWEGFSEASDADLIAEHQIWAAVDPYAKNEAFNVSNGDLFKWKHLWTVLAEQFGIEEYGFEEGKNLGLQEMMKGKERVWEEIVKENQLQETSLADVGVWWFGDLVLSVATAMLSMNKSKEHGFLGFRNSKNSFVTWIDKMKACKIVP >OMO64269 pep supercontig:CCACVL1_1.0:contig12844:10050:12592:1 gene:CCACVL1_21893 transcript:OMO64269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MEQETRQKIEETVKDILSKADMEEMTEFKVRVAASERLGIDLFDFSYKKFIREVVESFLLASIEENGDGKEPGTELPEEPKETVKVKKEIEGERERLICKLEDKRNVVVHDFRGRTYVSIREFYVKDGKELPSARGISLTSETWSALKNNFPAIDEAITKMQSNTKLDGDQNGDVSNSESASSHDFSPIETTRFDGKNYHSWAEQMELFLKQLQVAYVLTDPCPSLPLSPEATSEEYAQAKAAEMKWMKDDYFCRHSILSSLSDSLYYQFSQKTKSAKELWEELKLVYLYEEFGTKRSLVRKYIEFQMVDGRPIVEQMRELNSIADSIVAAGMTFDENFHVSTIISKLPPSWKNFCDKLMREEYLPLWILMNNVGVEEESRNRVKQTEHFKSANCHPANNLGPRIREMKKPGVPFWKRQDSEMHSKPMICNFCGKKGHISKFCRNRKHDRVVNGKQNGENPTTPAVSKPLLIQAESIEKFRLEEQTAESRNMEPGAGSQF >OMO64273 pep supercontig:CCACVL1_1.0:contig12844:42338:42403:1 gene:CCACVL1_21897 transcript:OMO64273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKPHFGPPSFQNQTLALHL >OMO64274 pep supercontig:CCACVL1_1.0:contig12844:44527:47425:1 gene:CCACVL1_21898 transcript:OMO64274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPHALSPAGTPARRRIFLIRSSRSSKLRARRAPSRGRAFCRRADPSSRRCQFQESPPAAVCENISLYMEKNEEEFQGYLNDFASAVWSLLTTVSLSSSRDELAVTAMKFLTTVSTSVHHTLFANEGVIPQICQSVVIPNVRLRDEDEELFEMNYVEFIRRDMEGSDLDTRRRIACELLKGIATNYKKQVMDIVSIQIQNLLSSFAANPSANWKDKDCAIYLVVSLATKKAGGTSVSTDLVDVQTFFASVIVPELQSQDVNGFPMLKAGAIKFFTMFRGHIQKPVVFQLFPDLVRFLGAESNVVHSYAANCIEKLLLVKEEGGNARYTSSDITPYVLVLMNNLFTALKFPESEENQYVMKCIMRVLGTAEISSEIAGPCIGGLTSVLNEVCKNPKSPIFNHYLFESVACLIRKACERDASLISAFEASLFPSLQTILSNDVTEFLPYAFQLFALLVELNRPPISPNYMQIFMLLLSPDSWKRSSNVPALVRLLQAFLQKAPHELNQEGRLSQVLAARLWGKMLDSIVTLISRPEQERVQEETTEMPDIAENVGYTATFVKLYNAGKREDDPLADIQDPKQFIIASLATLSAHTPGRYPQIITENLEQANQEALRALCSNYNCTIV >OMO64272 pep supercontig:CCACVL1_1.0:contig12844:37884:38033:-1 gene:CCACVL1_21896 transcript:OMO64272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIVVAELLLPATARRRKMSTRKNSIGSRYSSVPAAQFRPKSRHHRVH >OMO64276 pep supercontig:CCACVL1_1.0:contig12844:61023:64978:1 gene:CCACVL1_21900 transcript:OMO64276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFGRRENLKEKMGVNGDDLYPELWKLCAGPLVEIPRVQERVFYFPQGHMEQLEASTNQELNHQAPLFNLPSKILCRVLHVQLLAEQETDEVYAQITLQPELDQSEPTSPDPCPTEAPKRTVHSFSKILTASDTSTHGGFSVLRKHATECLPPLDMNQATPTQELAAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRDDNGELRVGVRRLARQQSTMPSSVISSQSMHLGVLATAAHAVTTHTIFVVYYKPRTSQFIIGVNKYLESINNGFSVGMRFKMRFEGEDSPERRFTGTIVGVGDVSPHWSESKWRSLKIQWDEPATIQRPERVSPWEIEPFVASASINLAQPAVKSKRPRPVDIPASEITTNSAGSAFWCRGSTQSHELTQVGSTIEVQSNESQVMWPMRQKEMDSSLVNGNYNSRTRPENAWPPSSHVNVSLNLFHDSMDDNNKTGTPRTVLTGYASSVQARPSNDVLHDQVEKGNKTETSTGCRLFGFNLTDSTGAAGPSDKEQASTTVDYNRVKGSIPAASDFDQNPETSKASKEQKQVVSETSNKEMQGKQGATTSMRSRTKVHMQGIAVGRAVDLTVLKGYDNLINELENMFDIKGELCPRGKWAVVFTDNEGDMMLVGDDPWVEFCKMVRKIFIYSNEEVKKMSARCKFPVSSLEGEGTVVSLDSEHKSET >OMO64268 pep supercontig:CCACVL1_1.0:contig12844:6881:8553:-1 gene:CCACVL1_21891 transcript:OMO64268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDFFSLAFALLFTFLVINILHGWFNQKPLIRKTKKLPPGPPRWPIVGNLLQLTSLPHRDLASLCVKYGPLVYLRLGSVDAITTNDPDIIREILLRQDDVFASRPRTLAAVHLAYGCGDVALAPLGPHWKRMRRICMENLLTTKRLESFAKHRADEAQHLVQDVWARARKGKPVNLREVLGAFSMNNVTRMLLGRQYFGAESAGPQEAMEFMHITHELFWLLGVIYLGDYLPIWRWVDPHGCEKRMREVEKRVDDFHEKIIEEHRKAREGKNPEFGKENDGEEMDFVDVLLSLPGEDGKEHMDDKDIKALIQDMIAAATDTSAVTNEWAMAEVIKHPRVLRKIQKELDAVVGPNRLVSESDLPHLNYLRCVVRETFRMHPAGPFLIPHESLRATTINGYYIPAKTRVFINTHGLGRNTKIWDDVEMFRPERHWLADGSRVEISHGADFKILPFSAGKRKCPGAPLGVTLVLMPLARLFHSFEWAPPEGMRPEDIDTVEVYGMTMPKAEPLMAIARPRLAERMYH >OMO64275 pep supercontig:CCACVL1_1.0:contig12844:59022:59099:-1 gene:CCACVL1_21899 transcript:OMO64275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQEKPYRRSGKVNASRLIKAAYN >OMO64270 pep supercontig:CCACVL1_1.0:contig12844:16496:18352:-1 gene:CCACVL1_21894 transcript:OMO64270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEVGIGGGAWNDEDKAMVPAVLGTRAFDYLITSSVSNENLLMTISSDENLQNKLSDLVDRPNASNFSWNYAIFWQISRSKSSDWVLGWGDGCCREPKEGEESEATRILNLRLEDETQQKMRKRVLQKLHTLFGGSDEDNYALGLDRVTDTEMFFLASMYFSFPRGEGGPGKCFASGKHVWISDALKSGSDYCVRSFLTKSAGVQTIVLVPTDVGVVELGSVRSVPESIELLQSIRSSFSSNSALLRAKQMAVAAIPVVNEKKDENSYFSNLGVVERVEGMPKIFGQDLNNTSSHGHSNYREKLAVRKMEDRPSWGAFANGGRLSFSSNQNGLNGSGWPHVHSVKQGGSSEFYGSQNTANNFQELVNGTREEFRLNHYQSQKPVQMQIDFSGATSRPAAAIARPLSAESEHSDVEAPCKEEKPAAADERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYINELQAKLKVMEAEREKFGSTSRESSGLDGNPSLENHVRVPDIDVQAAHDEVFVRVSCPLETHPASRVIQAFKEAQINVVESKLVAADDTVFHTFVIKSQGSEQLTREKLIAAFSRESSSLQQPLPSLG >OMO64271 pep supercontig:CCACVL1_1.0:contig12844:33451:33540:1 gene:CCACVL1_21895 transcript:OMO64271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPCGNAYDRPVQSTHLSEAKGFPNKGVR >OMO54114 pep supercontig:CCACVL1_1.0:contig15053:25209:25301:1 gene:CCACVL1_28039 transcript:OMO54114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKLSLRILLLIARSISGNGFAQTSDHF >OMO54121 pep supercontig:CCACVL1_1.0:contig15053:68459:70914:-1 gene:CCACVL1_28046 transcript:OMO54121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MDDTEEQQQVMSEVHLGCPPGISGPHISRFTISLPSGVESSRFNELFKDEESCTDQDISFDEDGDLVLPRRPQSSRRCFTMKIQHNITSSIPSVGLQVWKAELVLSDFVLHKLCTSTEFDGIVSLELGAGTGLAGMLLANAAKTVFLTDHGNKILDNCVENVELNSGVFHSQAVVHVRELDWTQHWPPKASLGLSSQERYSWSSLELEEVQKASLLLAADVIYSDDLTDALFSILERLMSQGLEKVLYLALEKRYNFSLDDLDVVANGYLHFRSYLRADDDECEGLEHRPVPYFSGRRINIREIPQYVGEYDRGEDVELWQIRYIKGKR >OMO54116 pep supercontig:CCACVL1_1.0:contig15053:43587:45177:1 gene:CCACVL1_28041 transcript:OMO54116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHITTGRSPTVSSSPLPPVDHHQTNNHARKESIDVERELNRTSHVRSQSENIPPSLDNHLSDHQSSGGVTGGSHEISRAKTFDRGSHAELKLPKPRTNKDALSVGERNQLKPILHEASSKAESHQKPSSSNQPNFFSSKRLNSCIIASERTRSFCALLISFLVLVSYIDFPLIGRSQSIIASRPVYIILLTDVTVVLGRLFLNKEGEPEEAAEDEKAGSNLTNRQNWTGAVKLLERGLVAYQTIRALFIDFSIYAAVVICGLSLM >OMO54118 pep supercontig:CCACVL1_1.0:contig15053:50443:51247:-1 gene:CCACVL1_28043 transcript:OMO54118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MATSKPEEPPSPSQSHYYKPLPPQSPDQDYVVLPYYHRRLRRPSCRILCIASLVLFLAALVYTLWPSDPEVKIVRMHINRMQLHTIPIISLDISLFVTLKVKNSDVYSLDFTTLDVAVGYRGKMLGHVTAEQGHVRALGSSYVEAELELNGIEVLSDVVYMLEDLAKGTIPFDTVTEVVGCLGLSFLKFPLKVSW >OMO54120 pep supercontig:CCACVL1_1.0:contig15053:61559:66237:-1 gene:CCACVL1_28045 transcript:OMO54120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSQSKIENEEAVTRCKERKQFMKDAVAARNAFAAAHSAYAMSLKNTGAALSDYAHGEVQNTNLPPGSSVVVGPPLPPQPPLPVDKLFPPPPPPVNLSGDPGVPISRSASMPIQMPSKGKQRETSTSTIMEDEEEEDDVEGSDRLVNRRSRNYRGSGSGGRSRREVVEEAEEVEERVTTTVHARAMQAQPPQDSTYYYFFPTEDSVGVPGTSLEGVEDVRVAESREEGRKFYEEMPKRAEEDVVEEKMREEEELVVEKGQKAAAAVDVEKPPPSMAGVGKGSKKGGKVGVGSSGEKRMSLTVCKSAMQGHIDHSARVMRVITWNRSFRGYKPEDADNAKDDFDSEENETHATVLDKLLAWEKKLYDEVKKYPVAASFVDGKEYRWFKAGELMKFEYQRKVAALNKLKKRGTNSEALEKAKAGVSHLHTRYIVDMQSMDSTVSEINRLRDEQLYPKLVQLVDGMATMWETMKVQHESQSRIATVLKDLDLSHSPKETSEHHHERTIQLLAIVQDWHMQFCKLVDHQKEYIKALNNWSRLNLVPIESSLKEKVSSPPRVASPPIQRLLLAWYDQLEKLPDEIARTAINNFAHVIDTIMQHQLDEMKLKERCEETQKELQKKQRQFEDWYHKYMQRRTPEELDPDRAGDNPNDAVAERQLMVDVVKKRLEEEQEAYQKLCIQVREKSLVSLRTRLPELFRAMTGIAIACSKMYGELRSISRSRNPNHNS >OMO54113 pep supercontig:CCACVL1_1.0:contig15053:17747:18694:-1 gene:CCACVL1_28038 transcript:OMO54113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKLQKSLQDYLSKIKKPSPHIHLPSSNTISSSKKWVLKGCKHPKTLSFAVNGDGNDHQGNSDATDDSATLSDVDRFLFENFKSLYIKDDDEDEEKITQRRGHVREEMISPDRSTIMFESPRFVNPPPDLCGSNRFFIPKGLSSSLIEESRRSSAATNTTMSTSEDMGSTSTSTASTNTGNGSNSYGGTGGGGGDDQQHAKSPSIPEECIAVLRYSPNPYDDFRRSMQEMVEARLRNRSTIDWDFMEELLFCYLNLNDKKSYKFILSAFVDLIVVMRQNDRKIPARTSRSSTSKVVIRDKRSRRTRHINNNNVT >OMO54112 pep supercontig:CCACVL1_1.0:contig15053:4222:15944:1 gene:CCACVL1_28037 transcript:OMO54112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase-like, DEXD box c2 type MPTYRIRGIDVDFPFEAYDCQLVYMEKVIEALQTRCNALLESPTGTGKTLCLLCATLAWRKSLGAFSTGKFSASQSEEGPSQSQSGRPPTIVYTSRTHSQLRQVVQELRRSSYRPKMVVLGSREQLCIHDEVSLLRGKAQTNACRFLCKKRSKRYCTHFPPVADYVKNNRHIGDEPLDIEDLVNIGKRFGPCPYYVSRELHKVADIVFAPYNYLIDRDNRKSLNLVWENSILIFDEAHNLESICADAASFDLSSGLLTACISEAKSCVDLAIARREESNDKSRNPDDFAILRALLLKLQKRIAQVPIESKELGFTKPGPYIYELLADLNITHETSSKLIDIIEEAAQLLEEDKKQDTKGSGCRLESISNILKTIFRDGNNGHADCYRVHIQEAEENATDIFIGKRSRTLSWWCFNPGIAMQEFSKLGVGSIVLTSGTLSPLDSFAQELKLDFPVRLENPHVISSNQIWAGVVPVGPSGRSFNSSYRNRDSPEYKQELGNAIVNFARIVPDGLLVFFPSYYLLDQCISCWKNMGNASSNTIWERICKFKKPVIEPRQSSLFPLAIEDYLTKLKDTSTSGAVFFAVCRGKVSEGLDFADHAGRAVVITGMPFATRMDPKVHLKREYLDAQALSPREGCKFLTGEDWYNQQASRAVNQAVGRVIRHRHDYGAIIFCDERFAHPNRQSQVSLWIQPHIKCHTKFGDVVFTLTRFFRDGGSHCPAKQEQDDHENMDLSSSAANKSVPEESIKESSSGSTPFSLGLELCGLAEMRFMGGLVKFLLKILIPKRLPSGSKREIKTAQPLDKSNPELIPALDVIDLTDDLLLDSQQRKKQQFASCSMKKCKVPLKLDALQHDASSNDYASDAQRLGPSDLPSTVTSVKHENLQISGARSVLNAKVHLLHKDESRAVEVDAKFPDHKSKGVHSSAEPRDAEEKKGSAFLIQVKEKLSPAEYKEFVGFMKAMKSQAMKIGNVLQSIVGLFSGPERLPLLERFKEYVPAKYQSLYEQYLVTSKDMSNNNQRN >OMO54117 pep supercontig:CCACVL1_1.0:contig15053:47901:48251:-1 gene:CCACVL1_28042 transcript:OMO54117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKCCSSGTQELRWSQQGYVKSRYDRMVSINNVLVNRSKLQPRWRMLWRKLMREKRKIFDCSSSTRVHVSYDPYTYAQNFDQGLMSADPDDLSRSFSARFAVSSRVFDKTSLVS >OMO54122 pep supercontig:CCACVL1_1.0:contig15053:71527:74856:-1 gene:CCACVL1_28047 transcript:OMO54122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKMRLETIKKKRNAVEKYLKNDIIELLRTGLDYNAYGRVEGFLVERNRTACYSLIEQFIERISKHVSVMQKQSECPDECKEAIPSLIYAAARFSDLPELRDLRTLFTEKYGNSLEPFINQEFVKKLRAEPPTKEMKLQLMHDLAQENSIEWDSKGLEQKLFKPPPQQNAARHKSSNDANDNGGHKIYGNKINTLAKSDSHDEENGLSNIQERSRPKRNETDLASRGRKDTDDKYKQQSSTEEEETDQDLPKTSSTSVESVSSDTVEPAKPFYHRFIAPPYVRPSLDKEKGIKEAPTPTTAKDNNDKEKNNKLDGSVVESKPKPRSVRRRPSNPPPGHEVLSSNERNEAGNISSSAVKPKEARKGQTEESDQATDKEENMMDGLLMYYSKKKSPYEESASKWKANLAPPPGRQAARGTGGNGLRFRSTKSDLPSPPASTSTKEKKTTASDTKGKHARASSMEPDMIGGHVHPKLPEYDDLLATLNGR >OMO54115 pep supercontig:CCACVL1_1.0:contig15053:36186:38637:-1 gene:CCACVL1_28040 transcript:OMO54115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQATVASALVILCLIVLSSVQSAEDI >OMO54119 pep supercontig:CCACVL1_1.0:contig15053:59365:60727:1 gene:CCACVL1_28044 transcript:OMO54119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MIVNGYNDAVAVKLVLLGDMGTGKTSLVLRFVKGQFYDHQEPTIGAAFFTQILSVTEATVKFDIWDTAGQERYHSLAPMYYRGAAAAVIVYDISSMDTFVRAKKWVQELQRQGNPNLLMALVANKSDLDSRREIDNEEAEQFAQDNGMFFMETSAKTAHNVNELFYEIGKPH >OMO89373 pep supercontig:CCACVL1_1.0:contig08750:28862:29508:1 gene:CCACVL1_07873 transcript:OMO89373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAKQRKGKECNGWWKRKKKLISVMMTMMVPQLQPIVFTKQSDSSSIL >OMO89372 pep supercontig:CCACVL1_1.0:contig08750:23948:26678:-1 gene:CCACVL1_07872 transcript:OMO89372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRSSNSVDANLGVLRERIEQLNNENKNFDI >OMO89371 pep supercontig:CCACVL1_1.0:contig08750:2667:7466:-1 gene:CCACVL1_07871 transcript:OMO89371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEIDVNKLTHHNQMCDADRIRINQDYKSLVPCLCSFATSPAMPFATTVNHR >OMO89374 pep supercontig:CCACVL1_1.0:contig08750:31032:32195:-1 gene:CCACVL1_07874 transcript:OMO89374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGSSISYSRSKGQPRCPLREKYLQKKNSELSDRFIPMRSAMDFSYAHYMLTTEGNKIKESEGEPSAYQKVLAEALNMNRTRILAFRKKPPKPVDFFPPENSSTSVHSTKSKPQRHIPQKSERTLDAPDIVDDFYLNGLDWGCNNVVSIALGNRVYLWDASDSSTSELVTVDDEIGPVTSVSWAPDGQHLAIGLNNSEIQVWDSVSNRKVRTLIGCHSGRVGSLAWKNNNILTTGGMDGQIVNNDVRIPSHVVGTYRGHRLEVCGLKWSTSGQQLASGGNDNLVHIWDRSMASSNSPRQWLHRLEDHNAAVKAMSWCPFQSNLLATGGGEGDGTIKFWNSHTGACLNSVVTGSQVSALLWSKNEGELLSSHGSPENQLTLWKYPSM >OMO72435 pep supercontig:CCACVL1_1.0:contig11444:81111:81899:1 gene:CCACVL1_17810 transcript:OMO72435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIEFYRLFRPPYYPVSPYFVHVTGPEGTWLPVYEPELNGNQANGYVIHYRYKKSVQTPPIPTLEPYRQLLSLCAVAAPAGPFNDFTVDGSFNGGHTAGIIMYKPLLLYDSTNTLRALLYIQDGEHPTPHLPHVHCLDQNLPENHADVGNAQNFPNPDDFAHHQNFYQNLQNQNLPENHADVGNAQNFANPLNFANLHNFHQNLQNFHHPNDNEGGIVGLNNPQNFLLNNNNEELGNNNGIDNNGIDNNGDENEESVGDNMN >OMO72436 pep supercontig:CCACVL1_1.0:contig11444:87713:90387:1 gene:CCACVL1_17811 transcript:OMO72436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTPDRPPIGHYKAALWDEHHRRQHGIVDFEPPSIVLWFPSRHFPSVLE >OMO72428 pep supercontig:CCACVL1_1.0:contig11444:126:8995:1 gene:CCACVL1_17803 transcript:OMO72428 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein ERVIKVILFVLSLQSTARRPSLIISKSTALSIWESEFIRVASSANIIFYNGSKDVRSSIRSLEFYDESGSIMFEILLSSYNIVAEDLDILKGIEWGAIIVDECQSSHMSRYFGQIKKLIADMRLLLVSGQIKECDADYQNMLSLLDSGYELGSDNLKKIDSYTNDYKLKDIFSQYIAFECKLRSSSFVEYWVPVQLSHLQLEQYCATLLSNSMFLASSSKSDPVDVLRDVIISTRKCCDHPYLLDESLQSVVTKGLSAEEKLDVEIKASGKLELLDNILKGTKARDLRVLILFQSIGGSGRDSVGNILDDLICQRFGKQSYVRIDGGQYARSKKKDFVNTFNNKESGISFLLLEDRACHPSIKLSAVDVVILFDSGLEPQNDIKALHRISIGSQFEEIKIFRLYSSFTVEEKILILAKEGTSVDSNIWTLNRNSCLRLLSWGASYLFNKLDEFHGCSKSVSVSDVSCEKSILNAVFLELLTQLPCSGESNHSAMRSFITKVPQNAVYDGNTSLFGEKEIGSMNNELSIFSWQKLLEGRDPQWKWLSDLSPRNRKKVNYLDNPPRKSEFGDGGVIMKSQIGVNSADDRCPKWKFKGKRKPTVPNPKWKVKGNKKATVPNKKRKLAAPSRVISEKDLPCSTDGRKDVNQNNPLLLKLGISKLCEILLLPENVKSIAVSFLEYIIQEYKVSCKSTSTSQAYQLSLCWTAADLLKHKVDKNESLALAKLGLNLDCREEEVKDIYSELQSVAKEFAQCSENLEGDKKSNCSKKVCGDPRYTVQKNIPSSPNCSQSGTVHGASSKDPDRSLVKKTVSSSSTRMVAGHFGSDTEHGGTGTEAIVSEEVRATCDNQQHKVSSSVAQPKYHALTSDSQELQSPLQSGTVHGASSKDPDESLMEKTVSSSSTRKVAGHFGSDSEHGGTGTEAIGSEEVRATCNSEHGGTITEAIGSEEVRATCNLEHGGTGTEAIGSEEVRATCNSEHGGTGTEAIGSEEVRATCNSEHGITGTEAIVSEEVRATCDNQQHKVSSSVVQPKHHALTSDSQELQSPLQSGTVHGASSKDPDESLMEKTASSSPTRTVVGHFGSDSEHGGTGTEVIVSEEVRATCDNQQHEVSSSSVQPKHHALTSDSQELQSPLQPPATESATELSEVPQAQCTAVFAGNDLMISTDATQPNEENDETDAVTSERETVSEMGHHDAAVTRLSGDSNALEFPGTGQFLLEADINTVESNPLLCQETAVSSLLPARPSSAESNISAIPASGVQHDLSSNWHVLCQEAPVPRQESLEVLLDEQSNAPVRNSVTLLPQQPSSSTPMAESETCTDNQRTTSTPSRPPNDLPCQVDTFRPVSVTPQPAVSNPLRIELARLKNFWEKTSKQHEDTISRLKSERDKEVEEICKKYDMLVQDAEMTFTKRRQDYESYCNTVHSNNLLAEILTFNFDNKAVQFAGIVFDSVINHLAQQPTVMLDPRIETSLGAPPPLHMFNHSPSAVVAPQFAPTVCVAESNQVCNVRAPAPHLRALNSPSMSIPPTSALHGRMRNQQIANNPPAISPNLHVSALLGRTPNQQLANNLPAISPDLHLSALQGSMPNQQQLANNLPAISPDPYLSALQGRMPNQQQLANNLPAISPDPHLSVLQGRMPNQQLAHTQLRISPTLPQGTSRLPTETLGLHPVVAGTPVYDRYIPALELLANIGNHVSPDLQHQLRPHHHQNFGLPSYMPNLTDQIATDSLIVPTAAINAETICLSDDD >OMO72433 pep supercontig:CCACVL1_1.0:contig11444:54427:62387:1 gene:CCACVL1_17808 transcript:OMO72433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATSASSLILPSLKPKTLSSSNPRPISVSLFSASYKLHAKPLFCSALQSFDLLAKKSSSSSSSSSKFVRNVAVSSEYGQEEELFGSDEEEDAPSFSDDLKLFVGNLPFTVDSAQLAALFESAGNVEMVEVIYDKVTGRSRGFGFVTMSSAQEVEAAAQQFNGYELEGRALRVNAGPPPPRRSEEFSPRGRRGGGGAPAMGSSNRVYVGNLSWGVDDLALETLFSEQGKVVEAKVVYDRDSGRSRGFGFVTYNSAEEVDSAIQSLDGVDLDGRRIRVSVAESRPRRTPGICSRKLAAAAPLAVLGESANVLFVPAGLGRCGHLTTSVLDRKEPIISIKIFAFFQNAPEKNPIFTSTKKGQISKFMSKMNCKQVPELLPSQVFVKKPAVGKAFHKKELSRVFSEDYRVVEKKILDPRGPEINKWNKLFLVACLVSLFIDPLFFYLPQAKKGMCMTISLPLEIDLTVVRSVVDAFYFLQIFIRFRTAYVAPSSRVFGRGELVIDSSKIASRYLHRDFWLDLIAAQPLPQVLGASWYLLSLERQEECWRKVCSLPHIDCQYKFFDCRFVHDPDRAAWFNSSNISAAAAASSPEQATEQTLPPVAVGFASYAAKMAASTRSRGGSTRSGTDHFDILSSLQKPNEPDFTVEDK >OMO72431 pep supercontig:CCACVL1_1.0:contig11444:26807:33841:-1 gene:CCACVL1_17806 transcript:OMO72431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Natural resistance-associated macrophage protein MEAEIQDANRQPGGLHRMLPAVLPVLLISVGYVDPGKWVATVEGGARFGFDLVAPMLLFNLAAILCQYLSALIGVATEKDLAQICKDEYDKSTCVFLGAQAEFSVILLDLTMVLGVAHGINILFGVDLSTGVFLAALDAVLYPVFATLLDHCRASFLCIYAAGFIFLSYVSGVLISQPEISLPMTGMPAKLSGESAFALMSLLGASIMPHNFYLHSSIVQQHQGPRNISKSALCHDHFFAILCIFSGIYLVNYVLMNSAANVFYSAGLVLVTFQDAMSLMEQVFRNGVLPLVFLLVMFLSNQISATTWNLDGQVVLHGFLGLDIPGWLHRVTIRIIAMVPALFCVWTSGAEGVYQLLIFAQVMVALLLPSSVIPLFRIASSRSIMGVFKISPIVEFLALVIFMGMLGLKIIFVVEMIFGNSDWVGNLRLSAGASMSVPLVVLLVTACASFSLMLWLAATPLKSASSSSRIDAHAWKWDPNRTVSEAAIEREENDASETIYHGEEPVHMQDISTAPGKSIESHSDLSYPDYDLDLPETIMESDQEIRLTTVKENPSKSLYPSPTACKPEESASVIESSSTVVNEVLDDDLPDTKTLKIESMHPVEKTVSLEGDLQIEKDDDDGDTWEPEEPSKPPSGSISSLTPDGPPSFRSLSGKSDDGGNGTGSLSRLAGLGRAARRQLAAILDEFWGQLYDTHGQPTPEAKVKKFDVVLGVESKPLKVDTAAKEYGGHLPSVGGRGSDSFLGSSLYDSPKQMKVQNTIDSPYGNSSRSSSLWSNHMQLLDAYVQNPNHNVDAGERRYYSLRATPSTDAGERRYSSLRAAPSTDSGERRYSSLRAAPSTDSWDYQPATVHGYQIASYLNRIAKDRSSDGLNGQMELPTSKSPSLGPTNYKDPLAFPLGQKLPNGITSSQAPGFQNVAVSRNSPLQSERSYYGISSPGPNDNSGISVNSKKYHSLPDISGVSVPLRDLYMTEKSSQWDGSIGYGSSVGRTNYETAMYSTSGSRGGVPSAYDDLAQSKGYRDPLSLQFSSTADTGSLWSRQPFEQFGVAENRRTAGSEAVGSGLNSVTRDNASGVDAEAKLLQSFRNCIVKLLKLDGSDWLFKQNDGADEDLIDRVAAREKFLYDAEAREMHQVVHMGEPQYLSSERRYGSTPKSDDASFANFSIASVPHCGDGCVWKVDLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGVIDLAFSKPRTPMSPCFCLHIPAEYQQRSSPPVSNGMLPPAAKPGRGKCTTAVTFLDIIKDVEIAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNKPVGTHDGAQGRSERPPQYLGPPVPPTSAPPSPPPPSQPKSADV >OMO72430 pep supercontig:CCACVL1_1.0:contig11444:16125:18922:-1 gene:CCACVL1_17805 transcript:OMO72430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic amino acid lyase METIAQNIAVASAAQNGGLESFCTAEKKSYSPGFDVNDPLNWGVASEALKGSHLEEVKRMVAEFRKPTVKLGGETLTISQVAAIATRDLGVKVELSEDARAGVKASADWVLDGMNKGTDSYGVTTGFGATSHRRTKQGAALQKELIRFLNAGIFGNGTESCHTLPHTATRAAMLVRINTLLQGYSGIRFEILEAITKFLNQNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGESLNAEEAFNRAGIESGFFTLQPKEGLALVNGTAVGSGMASMVLFEANILAVLSEVLSAVFAEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSGYVKAAKKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNARLAIASIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTSEAVDILKLMSSTYLVALCQAIDLRHLEENLRNTVKNTVSQIAKKVLTTGANGELHPSRFCEKDLLKAVDREYVFAYIDDPCSATYPLMQKLRQVLVEHALTNGENEKNASTSIFQKIAAFEEELKTLLPKEVESARVALENGSNVAVPNRIKECRSYPLYKFVREELGTGLLTGEKVRSPGEEFDKVFTAMCQGKLIDPMLECLKEWDGAPLPIC >OMO72434 pep supercontig:CCACVL1_1.0:contig11444:75936:80424:1 gene:CCACVL1_17809 transcript:OMO72434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG MEMGSETQQSKFGRICVFCGSSQGKKSSYQDAAIELGRELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPSARQIIVSAPTAKELVKKLEEYVPCHERVASKLSWEMEQLGYSKTYDISR >OMO72432 pep supercontig:CCACVL1_1.0:contig11444:43980:48684:1 gene:CCACVL1_17807 transcript:OMO72432 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGLVGCLLGTIGFGIGLPFGLLIGFLVFIHKEPADVKEPVIRPIHDMDTNSLLDILPEIPFWIKHPDYERIDWLNKFLSEMWPFLNKAISGIIRSMVEPIFAEYTGKFQKISINLKSLSLGTIPPIIHGIKVVETSQNELVFEPVLRWAGNPDITLVLSILSLKITLQLLDVQVCAYPRIVLRPLVPTFPCFSRIEVSLMEKPQVDFGLKLLGADIMAIPGLYQYIQEMIRKQIASYYLWPQTLDIPILDGSVGATKKPVGILHVKIVRALKLLKMDLLGSSDPYVKLSLSGERLPAKKTSVKMRNLNPIWNEDFKLTVKDPESQVLQLHVYDWEKVGTHDKLGMQVVPLRLLTPHETQEFSLDLVKNTNPNDPHNKKQRGQLIVQMTFNPFKEDNERFSGPLDRYASNGSGVGRLPIHDGSICGAGLLSVLIQRAEDVEGKHNNNPYAVIVFRGEKKKTKTIKKTRDPCWNEEFQFVLEEAPLKETMHIEVRSNYRRLFLRKESLGHVDINLHDVVYNGRIIDKYDLINSKNGVICIEIRWEVT >OMO72429 pep supercontig:CCACVL1_1.0:contig11444:9967:12375:-1 gene:CCACVL1_17804 transcript:OMO72429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate and isopropylmalate dehydrogenases family MATQLLRRASQILSHSSPNPSSPLSFARAFSSATTPIRATLFPGDGIGPEIAESVKQVFQAAEVPIEWEEHYVGDQIDPRTQSFLTWESLESVRRNGVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDNVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNMANPTALLLSSVSMLRHLKLHDKADRIQDAILNTIAEGKFRTADLGGTSTTTDFTKAICDHL >OMO71348 pep supercontig:CCACVL1_1.0:contig11664:15181:15252:1 gene:CCACVL1_18270 transcript:OMO71348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFKAHGVDGFQAWFSTRLIGM >OMO70860 pep supercontig:CCACVL1_1.0:contig11779:47118:53255:1 gene:CCACVL1_18623 transcript:OMO70860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MLTVSVKWQKEVFKAVEIDTSQPPYVFKCQLYDLTGVPPERQKIMVKGGLLKDDADWSTVGVKQGQKLMMMGTADEVVKAPEKGPVFMEDLPEEEQVVSLGHSAGLFNLGNTCYMNSTVQCLHSVPELKSALVKYSHSGRSNDLDQTSHMLTVATRDLFGELDKSAKPVAPMQFWMVLRKKYPQFGQLHNGVFMQQDAEECWTQLLYTLSQSLRSPGSRMHCQESGEESSETESVYSLKCHISHEVNHLHEGLKHGLKSELEKASPALGRSAIYLKESRINGLPRYLTIQFVRFFWKRESNQKAKILRKVDYPLELDVYDLCSDELRKQLEGPRQILRDEEGKKLGLKANEKSSGAKDNDVKMTDAEGSSNASGESSVTTPQEGAPSDKEARLTGIYDLVAVLTHKGRSADSGHYVAWVKQESGKWIEFDDDNPIPQREEDIVKLSGGGDWHMAYICMYKARTV >OMO70855 pep supercontig:CCACVL1_1.0:contig11779:21299:25220:1 gene:CCACVL1_18618 transcript:OMO70855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHFQEQEMEIEALEAILMDEFKEIHSGESGLSTSNRCFQITLSPQDDDTDQATTTPVQLALVFSHTEKYPDEPPLLNVKSIRGIQTSDLKILKEKLEQEASENLGMAMIYTLVTSAKEWLSERYGQDAEAEDAEEEEAKDEVIIPHGEPVTLETFLAWRERFEAELALERAKLMPESALTAPKEKRLSGRQWFESGRASAKGAAPVNEGSDEEDEEDIDFDDDDFEDDEEDMLEHYLAEKSDSSTHSSKRTA >OMO70859 pep supercontig:CCACVL1_1.0:contig11779:37519:45589:-1 gene:CCACVL1_18622 transcript:OMO70859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGSSKLGRGGGGGGRGGGGPRNRSSFPPPPPHRPSSATQTGRLSLGSAPRNRPGIGGGLGPAPAVEESFSLVSGNNPLAFGMIIRLAPDLVEEIRRLEAQGGTAKIKFDSIPTNPGGNVIDVGGKEFRFTWSREVSDHDIYEERQSGEDGNGLLVESGCAWRKLNVQRVLDESTTNHVKMRSEEAERKHKSRKAIVLDHGNPSMKNQIKQLAAAEASPWKSHFKKKEPPPKKQTPQAVVGGPPKSGYKAGLISATNVKGRPSTSPLRSPPERSGPAASPAGIGNITKSHTSIEDAMHPLVKSKESGSEKEIPTRATTAVREMAGHRGNLGDKPMDLQSLLITLLKENPKGMSLKALEKAAGDTSPNSVKKIEPILKKIASFQAPGRYFLKPGVELESLKKPLSESGSSPEDNCHPTPAPVENHEQTATPVPSMVEKVTPAEMDGQTHLDSKLGVESNGLEQLDIQQNSPDVGGERKASDNSEGQAHSASDSGSDSDSDSDSSDSASDSGSHSRSGSPGGSGSGSSSDSESDASSNSKEGSDVDVDIMTSDDDKETKQDMHTSEPGLVSSPIQWQTEHGRALQNGMDENQDGDGSDAVDIEGNGSDAVDVEGHGSDAIDIEKDLLEDEQEVGTSFNSRKDGEKPEEGTKPYSSDHDEIQERQNFIGNLFDDTESIKDSVGHEQYDTSERLSKAKSKRGTDLKHFDEKFERTKRLKSDSLSQPPSSGSRDPSFSGSIRNFSPSRPIDDPYQSSSGQMMSKGDREEHGDFGSQKGHNRVFPRKSTSDIHQSGRRTSDQGARAKVTDTSERPMKNTESSGYGRKFSEKNVHDSYLIQKDNPSRNAQNEDGLMKDKKLLRNPKEGAGGKNAVPSDFQHRKHGETVGKFKDAGQISPKDNNRITGDRYPVNGKSNVLQRELSHLELGEIREPTVEETPTKKQFERKNSFKQSGTRSSISENLNPDQSRGKPVGKTNWDSGKPSPNQSGLKRSPEHNVEDFTRPHHRVVQSQQQHLSRVDRPEVGSQLNKLADTSSKTRQNETAGKLEVGLEGYGESHKKAPASAPQQQESRRGSVSQSIKESKILTSNKTAGVTDVRKDTVLSVGNVNGQKKRASSSDDDFCPYSKYEKDEPENKGPIKDSSQYEEYMNEFREKYESYNDLDKTLQTYRTDFEKMGKELEYLKERDRERYFKTMDQMLESYRQCGMRHKRLKKIFVVLHFELKNLKQRLLEYARSVG >OMO70857 pep supercontig:CCACVL1_1.0:contig11779:27047:33882:-1 gene:CCACVL1_18620 transcript:OMO70857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate/phenylalanine/leucine/valine dehydrogenase MDDMNLIQAQRHVVVEEIDLENGLGDDDPSFASTPSIIGGPLREPSAEVQDENKQMGMASQLPNDDQEMSRAQTTKRKKKVVKRWREEWADTYKWAYVDVKEGTARIFCSVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQTASKDKLVVDKPIYVKAIMSKTAGSIVQAALKRDPHEAEFIQCIQEAVHALERVIAKNSHYVNIMERLLEPERMIVFRVPWVDDRGETHVNRGFRVHFNQALGPCRGGIRFHPTMNLSIAKFLGFEQTLKNALSPYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMNEIFRYFGPDKDLPSEEMGVGIREMGFLFGQYRRLAGHFQGSFTGPRIFWTGSSLRTEATAYGLVFFAQLILAEMNKDIKGLRCVVSGFGKIALHVLEKLVAVGAIPITVSDSKGYLVDEDGFDYMKISFLRDLKSQQRSLRDYSKTYARSKYYDEAKPWNERCDVAFPCGSQNEIDQADAINLVNSGCRILIEGSNMPCTPEAVDILKKANILIAPAMAAGSGGVVAGEIELNHECSVMHWSPEDFESKLQEAMKQTFHRALKAANDFGYQKESPEALLHGSVISAFLAIAQAMTDQGCV >OMO70858 pep supercontig:CCACVL1_1.0:contig11779:36088:36264:1 gene:CCACVL1_18621 transcript:OMO70858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVPSSTANAPTSNCWNESSPGLLTLRVQSHRLAHPIDEANGISEKRRGRRGKRKKE >OMO70861 pep supercontig:CCACVL1_1.0:contig11779:57228:58022:-1 gene:CCACVL1_18624 transcript:OMO70861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MQDPTGFQQMKVPGFPEQEQLKCPRCDSSNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGALRNIPVGGGTRKNAKRSSSSSSSSSANNSSSKRQPNPAPDPTQTQKLPDHPSPPPPTTSSVIPQQVVLNAGVQNQNSCPDADPTRTYGLSVDHHHQERKMMDIGISGSFSSLLASGGQFGNLLEGLNHPNGSGMKTVQMGDFGGNLDSGRGMDQISGRDSGMGESNNNGETYLGVQGGGDASCWTGSSNGWPDLAIYTPGSSFQ >OMO70862 pep supercontig:CCACVL1_1.0:contig11779:69014:75750:-1 gene:CCACVL1_18625 transcript:OMO70862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory subunit PR55 MNGGDEVVAAPAGPPQPLDWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKDHGVPRRDLERLDYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKFWKVQEKKVKKISDMNLDPSKAVGNGSIASSSNSTSPKRCLANGGSPDRSYNYLGNDFSFPTGGIPSLRLPVVTSMETNLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDSHAKLFEEPEAPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAPGSTEATTLEASKNPMRRQVQTPSRPSRSLSSITRVVRRGAETPGVDANGNSFDFTTKLLHLAWHPSENSIACAAANSLYMYYA >OMO70864 pep supercontig:CCACVL1_1.0:contig11779:92917:94509:-1 gene:CCACVL1_18627 transcript:OMO70864 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MQISRLRSKRVLAAEKFEFPHTENTVDWILKNLNKRWRDWKGSLKATYYIPKGKQRVLSKPPKSVLEEQWPGLVRQWYDPRKEREKNEEVPDRLVMWEKTHTKKDGSYVTEDAKKKLKEAKKLQSNSDLSSTSNQRDINEMIFRKVYGEEHSGRVRCLGLGPTPTRVFGVKSNFVYISEASSNVGQETELVMVKDELAEVKVKYAKLSDDFADMKEAVTLLMAERATGQYATQKEVGDAASN >OMO70856 pep supercontig:CCACVL1_1.0:contig11779:26058:26162:1 gene:CCACVL1_18619 transcript:OMO70856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSFPNSRPYTATNATSVSVISSGHHISAFYFA >OMO70863 pep supercontig:CCACVL1_1.0:contig11779:90963:91307:1 gene:CCACVL1_18626 transcript:OMO70863 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MISKEKLESFNLPQYYPTESEIEELIDLNGCFSIEKIETILGPAEYFYNAQIWSMIVRAALESVLQNHFGNEMVEELFQRYPKKHAENLIIFERDDVKKVGQINIVLKRKVYSK >OMO70854 pep supercontig:CCACVL1_1.0:contig11779:11724:13627:-1 gene:CCACVL1_18617 transcript:OMO70854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALITGKRPIKTQASVATFRNSFYLMNVSVVWDFEKFCGVKGFVEARPNRPTYRGRFVLRGLANTIFDDFCKGRVRTEPIRLSSIEVNRYAINLNVGWAKAKDLPEAEVKDKIQNTLDCLVHIVTLMWGGQRLSREARHFRQLCKN >OMO63328 pep supercontig:CCACVL1_1.0:contig12991:2878:11799:1 gene:CCACVL1_22416 transcript:OMO63328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol/phospholipid:diacylglycerol acyltransferase MLGDLFSCPCFDSRSSDDTEVDRDPVLLVSGIGGSILHSKKKKSKIETRVWVRIMFSDVAFKKNIWSLYNPETGYTESLDDNIEILVPDDDYGLYAIDILDPCWLVKLLHMSDVYHFHDMIDMLVGCGYKKGTTLFGYGYDFRQSNRISKLMEGLKVKLETAFNASGGRKVNIISHSMGGLLVLCFMSLYNDVFSKYVNKWITIACPFQGAPGCINDSLLTGLQFVDGFEAYFFVRRWTMHQLLVECPSIYEMLPNPYFSWKKQPEIYVWRGYSEEGETSTKLESYDSTESIYLFKEALKNNELEYNGDKIALPFNFSILNWAAKTRKLINNAKLPSGICFYNIFGTSFDTPFDVCYGTETSPIGDLSEICHTMPQYTYVDGDGTVPAESAMADGFAAVERVGVAASHRGLLCDETVFEYIRKWLGVEQKIKIKKHLKTSKVANASSN >OMO52107 pep supercontig:CCACVL1_1.0:contig15603:5893:6354:1 gene:CCACVL1_29356 transcript:OMO52107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDWLELRQQLKESIRRKNGGTAYVSSQGKDYNLGSFFGPTEMVISERVKALLKDKDISPMLSKMSSQSSQNQNSNSASRPKLGQGHRVRVETPKPSTAFLKAARDYSFLLDGAELPAATSDSDQARSMPPKTKPQLVGEERRPVPPRNGQMC >OMO52108 pep supercontig:CCACVL1_1.0:contig15603:6683:6829:1 gene:CCACVL1_29357 transcript:OMO52108 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin SPT2 MVTNYDEIMKEENRSAKLARKEDAEELRKIEEEERQERLRRQAKKRKL >OMO82700 pep supercontig:CCACVL1_1.0:contig09984:2480:12266:-1 gene:CCACVL1_11794 transcript:OMO82700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ia MTVMRSSPYKVILQRTGSSLRKTTSGNVFYRLFYRGPSMAAKKAAQGQKKEEGGYRHTVNLPKTTFGMRANALAREPEIQKMWDEHQVFKRVVDKNDGGNFVLHDGPPYANGVLHIGHALNKILKDFINRYKLLQKYKVHFVPGWDCHGLPIELKVLQSLDQDARKDLTSLNLRAMAAKFAKETVKAQMSYFQRFGVWADWNNPYLTLDPEYEAAQIEVFGEMALKGYIYRDRKPVHWSPSSRTALAEAELEYHEHHVSRSIYALFKIVTAPSTNDGSLEEFFPDLCLAIWTTTPWTIPANAAVAVNARLEYAVVEAKLLSEDVPTSAGNEKRRLGNVLKEQKKPFLIVALDLVPTLETKWGIKLNIKKTLFGSDLENCRYVHPINNTECPVVVGGDYITTESGTGLVHTAPGHGQEDYVTWLKYGPKKLGSLYSPVDDDGKFTEEAGQFSGLDVLGDGNIAVVKYLDEKMSLLMEELYEHKYPYDWRTKKPTIFRATEQWFASLEGFRKAAMDAIGHVKWIPAQAENRISAMISSRSDWCISRQRTWGLPIPVFYHVTSKEPLMNKETIDHVKSIIAEKGSDAWYYMTVKDLLPEKYRSKASEYEKGTDTMDVWFDSGSSWAAVLGKRDSLSFPADLYLEGTDQHRGWFQSSLLTSIATKGRAPYSSVLTHGFVLDEKRNKMSKFLGNVVNPLDVIEGGQDQKEASGYGADILRLWVSSVDYTDDVTIGPNILRQMSDIYRKLRGTLRYLLSNLHDWDVENAVSYHELPMIDQHALFQLENVVKNMREGYESYQFYKIFQIIQRFVTVDLSNFYFDVAKDRLYVGGTASFTRRSCQTVLAAHLLSLTRVIAPILPHLAEDVWQHLPFKYTTEDGSVAKFVFEAKWPALNDKWLEFPAEEIDFWGKVLELRTEVNKVLEVARSEKLIGSSLEAKVYLHTSDVSLASRLIEMCPASNDADNLHRILLTSQVEVVSSLGNELVETIQHSGEYLVGEDRVWIGVSRAEGSKCERCWNYSTQVGSFVEHPTLCSRCFNVVADTQLMPPMAAVPI >OMO82704 pep supercontig:CCACVL1_1.0:contig09984:27563:27709:1 gene:CCACVL1_11798 transcript:OMO82704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPEIPNPANQTANQDEKPLEAPESASWFSVLYIFCGRSGATESPNS >OMO82703 pep supercontig:CCACVL1_1.0:contig09984:20895:25763:1 gene:CCACVL1_11797 transcript:OMO82703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramide glucosyltransferase MSALDSVDSLIFSLSRAFCTPIAVFFQIQGCVICLLLALGWALAAYVRNREINRMKKSMKAGNSFAFLCHDINELEHSYQINLPRVSVVMPLKGFGEHNLHNWKSQITSLYGGPLEFLFVMESTEDPAYHHVSRLIEEFKDDVEAKIIVAGLSTTCSQKIHNQLVGVENMHKDTKYVLFLDDDVRLHPGSIGALTAEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFRYDRYGVVSGLRDGGYSDDMTLAAIAGAHKRLITSPPVAVFPHPLSSDLSFSRYWNYLRKQTFVLESYIDKVNWIMNKALFTVHCYLSWGFVAPYVMGMVHVAAALQIYIKGYTYEETTCTTRGLILVCCLAICTFTELLSMWNLTRIEVQLCNMLSPESPQLSLGTYNWIMVFMALLVDNFLYTISAFRSHFSQSINWSGIRYYLKDGKISKIERNKEMGPKFTDLAWKHLYGGKKGAAPKASFLSSLSRSLAQWRQPKKYDV >OMO82702 pep supercontig:CCACVL1_1.0:contig09984:15050:16612:1 gene:CCACVL1_11796 transcript:OMO82702 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase, SpoU MQNPKSILSGFRIRSAIQCCSAVRARGLSSLHSMSVGEDRDFNRAGKSLPWLASEKVNKVKESRKVAIAKTNTRCSWEESLDRLAKGNNGSSWEESPKRLEKVAVGRNEVSSRKESGESFYGKEGARSLGFKESRHRKVGMVRDRRSSSHVRRDESDEEKGVTEEDEVVDDPRWDKIKTRYRGMVDVKRDNEKPEFRRWNKQESWGKKTWKEASESTVPKMAGEGVYGVGPVLAALSAGRREFYTLYVQEGLDLSSNNRKKKDKKGFEKVLRIAEKMELSIWEISKHDLNMVVDNRPHQGLVLDASPLEMVKIKELDPVSDEDKSCLWVALDEVTDPQNLGAIIRSAYFFGASGVVLCAKNSAPLSGVVSKASAGSLELMELRYCKNMMQFLVCSAENGWRVIGGSVSSKAVPLNEISPGLPTILVLGSEGTGLRPLVERSCTHLVRIPGNIPVDIAAKEGDDVEATEVDSGCMGEEFRSFLAVESLNVSVAAGVLLHHLIGSNHGINSPIDDKSTDMHH >OMO82701 pep supercontig:CCACVL1_1.0:contig09984:13661:14305:-1 gene:CCACVL1_11795 transcript:OMO82701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDMGGAFGMGAVGGSAFHFLKGVYNSPTGARFIGGTQAVRMNAPRVGGSFAVWGGLFSAFDCTMVYARQKEDPWNSIFAGAATGGFLSMRQGLAASGRSALFGGVLLALIEGAGIMMNKILSDPQNMPIMIEDPGAAGGLPMGLPGQPGNEVGSSSDSGWFGGLFGGGKKKEEAKSGGAKTEILESFDAPPVPAYEFK >OMP11063 pep supercontig:CCACVL1_1.0:contig01609:3359:3667:1 gene:CCACVL1_00691 transcript:OMP11063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MARVSNFIVVFVNTLLLIVGLVSLAFGVVFTVQGDTHCEKVMTKPALILGGFVAAVALLGLIGSLCKSNFFMFIYLTIVFLLIVGLIGFAIFVFLITNPGAGK >OMO61120 pep supercontig:CCACVL1_1.0:contig13584:32004:32084:1 gene:CCACVL1_23733 transcript:OMO61120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIELMQQKKVQDFSIPYKKSFKRKMK >OMO61118 pep supercontig:CCACVL1_1.0:contig13584:7862:8295:1 gene:CCACVL1_23731 transcript:OMO61118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MATSGAATGSSSPPEGEVPKIVWNERQGRFETEDKKAYLGYVLRQDGKVMDIVHTFVPSSKRGMGLASHLCVAAFNHAESHSLSVIPSCSYVSARDLRVEA >OMO61119 pep supercontig:CCACVL1_1.0:contig13584:9847:13362:-1 gene:CCACVL1_23732 transcript:OMO61119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDLTLTVPEELESALRLKTVQYFVTQRPWLDLYGKNVRPVTPFGSLSRRSCVDPSLLHRCLPDELLFEVFIRMTPYNLGRAQCVCRKWRYTIRNPVFWRTACLKAWQLSGVVENYKILQSKYEGSWRKMWLLRPRVRTDGLYVSRNTYIRAGVAEWKITNPVHIVCYFRYMRFFPSGRFLYKNCSQKIKDVVKCMNFRASKADSVFGGHYTLSDDRIEAAVLYPGMRPTVLRIRLRLRGTITGANNRMDLLSLVTSGVNDNEASGHEEDILGVVEGWQDDETHNPDVPAVSHRRGLTPFVFVPFEEVETSVFNLPVEKMDYYVPG >OMO73532 pep supercontig:CCACVL1_1.0:contig11219:3828:16494:-1 gene:CCACVL1_17233 transcript:OMO73532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKFWQNHFSIHNATSPNKTKADNMHRLTQMPYRPDTSVGNSNEMGLEILQVCNKDENADPF >OMO57718 pep supercontig:CCACVL1_1.0:contig14327:4623:5104:-1 gene:CCACVL1_25694 transcript:OMO57718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAAKLIVTDTVPKTYQCFVELSTSDDESLRCKFFQDCKGLAAQLSGTFQGAT >OMO68245 pep supercontig:CCACVL1_1.0:contig12243:4735:4911:1 gene:CCACVL1_20005 transcript:OMO68245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kinase DLELIKDPFGVDLFEVLHGRLVIDPTLPREMVNLAEWAMKWQKKGQLEQIIDTNPETL >OMO68247 pep supercontig:CCACVL1_1.0:contig12243:23285:26086:1 gene:CCACVL1_20007 transcript:OMO68247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLKFHEKKLLKKVNFLEWKREGGHRENLVMQRFHVTGRDDYKKYSSLCRMVQKQVNILKQMDPRDPFRIEMTDSLLEKLYNMGVTSSKKSLGVCERLSVSSFCRRRLSTVLVQLKFAEHLKEAVTYIEQGHIRVGPETVTDPAFLVTRNMEDFITWVDTSKIRRKVLQYNEKLDDYDATE >OMO68253 pep supercontig:CCACVL1_1.0:contig12243:90183:91128:1 gene:CCACVL1_20013 transcript:OMO68253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MAAKLTIFIFFLVIMQILLADAYQNNATRITEAPAPQPAASSNYSNMHGITQGSLRPQECGPRCSARCSRTQYKKPCLFFCHKCCAKCLCVPPGTYGNKQVCPCYNNWKTKRGGPKCP >OMO68254 pep supercontig:CCACVL1_1.0:contig12243:93526:93864:-1 gene:CCACVL1_20014 transcript:OMO68254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQYNFFPTDFFYPRPAQSKPGDAASSTALSLQTQKQRRDINGDESRQQQPGNMVQKGNNKTSVSMRRQGEKLGRDHIIYMQNRGKQVKLPHNSLSWLILIPEELSDSCN >OMO68250 pep supercontig:CCACVL1_1.0:contig12243:47680:50960:1 gene:CCACVL1_20010 transcript:OMO68250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLSTTIVKLSPKLCNNTRKNGEQNPETTLWFFPGLGDKRKKLTRVPTISLAVKNQSFHQTTEKIHGKIAPRRGKNPVLSEGRDEDEHYGPICPGCGVFMQDKDPNLPGYYQKRKVIGKKVVADVDEDDLEELEDELEGLDDDFEDEEEDFIDDIEGNFEGSDAEEDNLGKRGEFDWDSDEWEAKFIKGEDDLEFDGFAPASVGYGNITEETLEKAKRKRLSKAERKKMAREANKEKEEVTVCARCHSLRNYGQVKNQSTENLIPDFDFDRLIATRLIKPTGNAGATVVVMVVDCVDFDGSFPKRAAKSLFKLLEEAQNDPKLSKKLPKLILVATKVDLLPSQISPTRLDRWVRHRAKAGGAPKLNGVYLVSSRKDLGVKNLLTFIKESAGPRGNVWVIGAQNAGKSTLINAFAKKEKANVTRLTEAPVPGTTLGILRIGGILSAKAKMYDTPGLLHPNLMSMRLNRDEQKMVGQAVHVGGLMRLDLSHASVETIYITVWASPNVSLHLGKVENADEIWKNHVGVRLQPPIGEERASELSKWEKKELKVSGSSWDVKSIDIAAAGLGWFSLGLKGEATLALWTYDGVEITLREPLVLDRAPFLERPGFWLPKLISDAIGNQNKVKAQKRKTEEESADYLSEVSA >OMO68246 pep supercontig:CCACVL1_1.0:contig12243:19071:22812:-1 gene:CCACVL1_20006 transcript:OMO68246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor class I/class II MAEGALFGRGPAAAASLTPCHSSPRRSSKTHLLHFTIRASRPFDHNNRVFKQLGLFSLKKKIEDVILRAEMLAPTLELEEARRIQQEEMIRDYNLWDDPAKSNEILVNLADSAKVVDALKDLKYKAEEAKLIAQLAELDAVNYSLFEQAYNASLVVSDMLDKYEVYKLLRGPYDMEGACLIIKAGSGGNQSEVWAEHVLRMYINWAKKQGYKGRLVEKNLSPNGGVKSATIEFEFEYAYGNLSGERGVHFMIRSSQIGSVSSVGVDIVPLFLGTTPDVQISDEDLILSSPLSHGEKQSRTGYMVCVQHIPTGVTVQSSGERSYFANQIKALNRLKAKLLVIANEQGVSSVSSIKADDVLDVWQEETRRYTFHPSKLVQDVKTGLKLPDLNSVLDGNIEPLIAAQINSRQSHYTV >OMO68251 pep supercontig:CCACVL1_1.0:contig12243:53084:53713:-1 gene:CCACVL1_20011 transcript:OMO68251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVAGGRSTLNPNAPLFIPAVYRQVEDFSPEWWQLVTTSTWYKDYWLSQHQDEDGFYDNAEDDGIDGNDIADLLPDTFDFVADDDLSGIDLQFEEFIQSYENEMESASPPLPSNDGFQRDAETLMKNLSLLQPSPRSSAEPAKYAEKPPKNVNPKSSPRFIQQPR >OMO68249 pep supercontig:CCACVL1_1.0:contig12243:43461:46025:-1 gene:CCACVL1_20009 transcript:OMO68249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MGMMDVNSSSTGIEGRLRTEYICMKFPIKVSSAGLVEVLSRKDDDFMDYSGPRLHLQMVVIFALTQSLHNLIFKYLGLPMFISQIVAGIILGPMVFKEHHHSLIAMSDSSIHILGTLGSMGYIFYLFLSGVKMDLSLTQKAGKKAICIGCLTVLVPVAFCLITDVILSQNSDLIKNKNFFLALTYSGTSFPVIHGLLDELKILNSELGRLGLSAAIVSDVVSILLMTIGRCLRSLQVNDPKPVIRDMGFAILFVLIVFLVFRPVMKRMVKRTPQDGNIKGVFMYAVIIVFLISPALVGLGNMFVSIGPFIFGLAVPVGPPLGSALVNKLDSLISGMFLPMFVSTCAMRVDFYHVLLSNTSKYAKHQAIAALVTLVVKFGVSLLLPLLCKMPIADSLALAFIMITKGIVEMGGYALLFDQGVISEDIFAIMAIFIVVLAIIVPFLVRQLYDPSRKFMGYEKRNILNSKLNEDLRIIGCIHVPGNVNSLINVLNLSCPTKESAIAVDVLHLVRLSGQATPIFIAHKKHSKTISKKSYSENVVLAFNQFEREHWEALSIHVFTAVSPPNLMYEDVCNLAMDRLTSFVILPFHRRWCIDGSVESEDQAIRSLNCDILERAPCSVGILVEGFRTIPLGSLAKIAVIFLGGKDDREALALAKRISIDQRVLLTIIHLKPANNLGSILEDDDENNKMLDEEMLRIMKENGNLRYIEQHVHDGPETSAFLKSMVIDYQLVIVGRRHKAEDPRTCGLEEWTEFQEIGILGDLLSTADFGGHYSLLIVQQQQLRINA >OMO68252 pep supercontig:CCACVL1_1.0:contig12243:70115:70180:-1 gene:CCACVL1_20012 transcript:OMO68252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADADAEFFAFTEYCLNLFPR >OMO68248 pep supercontig:CCACVL1_1.0:contig12243:30319:36022:-1 gene:CCACVL1_20008 transcript:OMO68248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKSSSSPSPIPIGNCEVWIEANKYSCNSDPNSLQISISRNTKVKIAVREEMNAKSVNELLPSKSEEKGEEGRCSEVKNQYVFVLVNPKDVDGTTKSYLQEVLKLYNGELPAMNYAANTGKQSMFLDRCVSNGKYCTLLLKYNSIEGFEEVIAAITYQIIPADTHFAEIPLAAVNSIYQHKGFGRILYMELKKRLQSVGIRTILCWGDKESEGFWLKQGFVSIAEVDKRGRARRFPIKADIRKALCFPGGSTLMVSHLSKDALATGEYLGFSFPLKLCENAQSSATDKSLGPKGANVAAKQGSSSSQGAKRKVWEASLSSLKTKKVKGTHQFNSHNNGYSDRNGSRFDGCSISTDKSFLEATTGVSFSNNCIGNEAQEARPPMTPEALATTELQSNTKCFRIMLMNIADDTKKKHLTKVIENLGGTPTSDGSISTHIVTGKVRKTLNFCTALCSGAWIVSSNWLKESFREGKFVDELAYILHDEDYELKYRTELKNSVLRAKARLGALLKGYNLCIAAHVQPPITTLSSIIKSAGGNIIRGVDRVNETSKTIFIACEDDMEEALSAVKKGVWTFSSDWLMNCVMRQELDLGAPQFAVSL >OMO94701 pep supercontig:CCACVL1_1.0:contig07813:32176:33138:-1 gene:CCACVL1_05872 transcript:OMO94701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKQEVAANPIEVPKSNSSKSTANGSKFRSGSNKSSTNGNT >OMO94708 pep supercontig:CCACVL1_1.0:contig07813:66107:67329:1 gene:CCACVL1_05879 transcript:OMO94708 gene_biotype:protein_coding transcript_biotype:protein_coding description:trihelix transcription factor GT-3b-like protein MFGGGGEKEGVGGRISSGLGAGAGGGQWGPEETRELILIRGELERDFTAAKRNKTLWEIVNARMKNTGYIRTPDQCKCKWKNLLNRYKGKETSYPENGRQFPFFEELHAVFTERAKNLQRLLLESEAGSVQAKKKMKRISTDRDRSSDEFSEDEEDDDEDESEEERQNAKSISRKRKAETIGLDKSPRPNSATSITLTNAGLQEMLREFFQQQQRMEMQWREIMERRARERQLFEQEWRQSMEKLERERLMAERAWREREEQRRLREESRAERRDALLTTLLNKLINDNTL >OMO94697 pep supercontig:CCACVL1_1.0:contig07813:1138:12443:-1 gene:CCACVL1_05868 transcript:OMO94697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISTAVKFLNPLKPTTGITLSEYRLQPVHGDGKSQTET >OMO94698 pep supercontig:CCACVL1_1.0:contig07813:13089:17299:1 gene:CCACVL1_05869 transcript:OMO94698 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MTTSEVSTKGNCVNGRGESFSSGYSEPNDARNTMEGQNGHSTRPAAARDAETALYTELWHACAGPLVTVPREGERVFYFPQGHIEQVEASTNQVADQQMPVYNLPSKILCRVINVQLKAEPDTDEVFAQVTLLPEPTQDENTVDKEPPIPPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAYTTRTIFTVYYKPRTSPAEFIVPFDQYVESLKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGMEDADPKRWKESKWRCLKVRWDDTSTIPRPERVSPWKIEPALAPPALNPLPMPRPKRPRSNAVPSSPDSSVLTREGSSKVTVDPSPGSGFSRVLQGQEFSTLRGNFAESNESDTAEKSVIWPPSVDDEKIDVASASRRFGSENWMPSGRHEPTYTDLLSGFGSNADSNHGYCQPLGDQTLSAGNPVRKQFLDQEGKLGTWSLMPSGLSLKLSDTNGKPPMQGSDNPYQARGNGRFSGFGEYPILQGHRIEHSHVNWLMPPPASPHFEQPAHSRELIPKTSLQEHEAGKSREGNCKLFGIPLFSNPVTSESAVSHPNALSKPVGHMQPASHQVCVFESDQKSEKPKVAEDLSAFNEQDKALVQPHTREIQNKPSSVSTRSCTKVHKQGIALGRSVDLTKFNNYDELIAELDQLFEFGGELVASKKNWLVVYTDDEGDMMLVGDDPWQEFCAMVRKIGIYTREEVQKMKPGSLNSKGEENPVSAEGMDAKEVKCPSAFSSETC >OMO94703 pep supercontig:CCACVL1_1.0:contig07813:36942:40820:-1 gene:CCACVL1_05874 transcript:OMO94703 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MDLLFSSPLTSSLSSLQLKHKPIPSFTFPNPKPSFLCFTANSVSSSLKVKTTPNCLKLSVPQTPATAMRGAETDAMGLLLKERIVFLGNSIDDFVADAIVSQLLLLDAQDPKKDIRLFINSPGGSLSATMAIYDVVQLVRADVSTIALGIAASTASIILGGGTKGKRLAMPNTRIMIHQPLGGASGQVLDVEIQAQEIMENKNNVTRIISGFTGRSFEQVEKDIDRDRYMSPMEGVEYGIIDAVIDGDSIIPLEPVPERVKATLNFEVMIKDPKKFLNPDIPDDEIY >OMO94707 pep supercontig:CCACVL1_1.0:contig07813:58335:62560:-1 gene:CCACVL1_05878 transcript:OMO94707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin ClpA/B MAKVLAQSTIVPALVTSRSHGSSKESGKSRRSAKMMCSVQTSGLRIRSFSGLRGLNSLDNMVRFGQDFRSKVAISVSSRQRRASRCVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGEGNEVSVVPGGSTGNTKMPTLEEYGTNLTKLAEELPEEARELEKELRQITKSKNEAVRSQDFEKAGELRDREIELRAQITAIQEKDKEMNKAEAEAGDGGPVVTEVDIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHKRVIGQDEAVIAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVYDRLKGKDIELQVTERFRERVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLAREIKEGDSVIVDVDSDGNVTVLNGSSGAPESLADPIPVV >OMO94699 pep supercontig:CCACVL1_1.0:contig07813:18146:18654:1 gene:CCACVL1_05870 transcript:OMO94699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRFGMGRLARQKDIRLVHSGKEFWSLAEMGIWSNVPSSLVNAFVTKAVDFDYKQWDSSTILVAGGLVGLAPKWGKVQSYGSSKGPSHPFVCR >OMO94700 pep supercontig:CCACVL1_1.0:contig07813:19399:22594:-1 gene:CCACVL1_05871 transcript:OMO94700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRVNGGGVVWMEDKEDEDSVSWNRTQNNNNNSVIMENNKDEMGSLSSFKSMLDDEWYVANNGISISSHQDISFSPSLGDNLLLHHQNQNQNHHSVDSSSSCSPSSSVFNNLDPSQVHYFLQPKPTLSSLLNNPLEHGFDLSEIGFLDNQATSANAATLLNRGNAGVLGSFTDLTHGNQIDNATLCPETQFSSSRMVQLPENSAGFTGFPGFDDNPGFLNRSKLLRPLESFPSVGAQPTLFQKRAALRKNLADNNGGNFGKVNALSASEGDKGKKEMDQENDKRKFGHRDDFEDVSIDGSALNYDSDDFTENTKVEFETLKNGGNTSNANSTVTGGDQKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESNPPSASLTPTTSFHPLTPTPATLPSRIKDELCPTSPNGQPARVEVRVREGKAVNIHMFCGRRAGLLLSTMRALDNLGLDIQQAVISCFNGFAMDIFRAEQCKEGQDIHPEQIKAVLLESAGFHNMI >OMO94709 pep supercontig:CCACVL1_1.0:contig07813:67532:75272:-1 gene:CCACVL1_05880 transcript:OMO94709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/solute symporter MKSRRKEDSKANARVVEIFASHRNAWQEEERQLLQQIEDQRAKIEELERDSRESERRIEELQNMIGLISTKQEEMEEESAAEDTGEDLVNCTVGKSDLSRVPSLMRKQAKRYQALTAKIDDLCRRMQDSDPSGPTLSPEFRAQRQTEFLLEAFQLQQRASETGQKLMALQTEIGKSYYRDDLGSPAKLATKRSMDSIRNNLKEIQRNLEIWLARIVGDLEGILARDSSSRVREYCISRFLVGVEKGQSMSSGSGGCPPFDFSAKYYHVGEGLGCVRQSSFFEGKAVLNQGLGYALILGFGAFFAIVTSVLVWLEKRYVGSNHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWQYGISGPFWYASGATIQVLLFGVMAIEIKRKAPYAHTVCEIVKARWGNGAHVVFLAFCLVTNIIVTAMLLLGGSAVVNALTGVNIYAASFLIPLGVIIYTLAGGLKATFLASYIHSVFVHVALVIFVYLVYTASDELGSPSIVYNRLREISSKSRICHEPVSHIGQSCGPIGGNYKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFALATSLGLGAVALDLPLTEDEASHGLVPPATAMALMGKGGSLLLLMMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPHASGKKILRVSRAVVLGFGCFMGLFALVLNKAGVSLGWMYLAMGVLIGSAVLPIAFMLLWKKANAVGAITGSIVGCIFGIITWLSVARVEYGHINLDTTGKNAPMLAGNLVSILTGGAIHAVCSLLWPQNYNWDTTRQITMVEKEKSDLPAEEFKEEKLRKAKSWILKWGVGFTLVIVVLWPLLTLPAGEFSVGYFTFWSVIAIAWGTIGSAVIIALPLIESWKTIKSVCIGMFTNDRLMEKVEEMNFKMNSIMLAIPEAEKAYLLEKEKAKKKEAMPILEH >OMO94710 pep supercontig:CCACVL1_1.0:contig07813:76398:77794:-1 gene:CCACVL1_05881 transcript:OMO94710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA-binding protein, ACBP MGLKEEFDEHAEKAKTLPETTTNENKLILYGLFKQATVGPVNTSRPGMFNMRDRYKWDAWKAVEGKSKEEAMSDYITKVKQLLEEAAASS >OMO94705 pep supercontig:CCACVL1_1.0:contig07813:48600:50123:1 gene:CCACVL1_05876 transcript:OMO94705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MPLSGHYIPQLAKLMVEFNKKHNLFNLKGIALGNPVLEFATDFNSRAEYFWSHGLISDSTYRIFTSVCNYSRYVSEYYRDSVSSSCLRVMNQVSQETSKFVDKYDVTLDVCISSLLSQSKVLNPQPQQVSERIDVCVEDKIVNYLNRKDVQKALHARLVGVRRWDVCSNILDYQLLNLEIPTITIVGSLIKAGIPVLVYSGDQDSVIPLTGSRTLVAKLAKMLELETTVPYRVWFQGKQVGGWTQVYGNILSFATIRGASHEAPFSQPERSLMLFKSFLEGKPLPEIL >OMO94702 pep supercontig:CCACVL1_1.0:contig07813:33716:35619:1 gene:CCACVL1_05873 transcript:OMO94702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFFIARVTEPCMHWKSFSPSDERHLATNFSNSMKNLGESAPKQGSGVDRAKRRRGLGKISWGEREKMVTFVV >OMO94706 pep supercontig:CCACVL1_1.0:contig07813:51602:57374:1 gene:CCACVL1_05877 transcript:OMO94706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFQKENPPPAVIINSLYLQEKEEEKELDLGSEEPDAPLPLTVTSRVLYMLGDITAGPAYRFTQWLELVRKRSGKYRSSGFPNRPYRLDTMPSTAEDLSVDSQSLLPSEQPPEISLWERLGKAAVLDIDSSSFSWDMLSSLHHTEHSSSTEHSEDEQNKALEVTVNSGGVVFFALFNQPGPDDTSPKEAAAVIKFSSSRMATQSERLGFEFAKWLGIRTPQARVIHNSSPEWLQIKESAQKARVAATLEGDEVGEVTCSELLEALELSRCLFLMSYVHGSPLLESSSAFDSKEASERTAAALGRVLMLDLVIRNEDRLPCRELRWRGNPANLLLADKTSSTNLGSFDEAFDSAIKRFRPRVIRAIQKERRASSVDSRCPHGPTLVSQCSDLSEITESPRSSNMSLLSPTFSDSFDCDSHIVVIDSGVPRRPPVGKRTNDHAIYPKLVELLLNSASFSSNLLHDITCGKLGYATPEDADTTDIQRMEMTSVIQEFRSAFRAALRDLQGFHIFLLTLHQKLDCLLRQFLTILNKTSGDFDKEDFAAPDSPSHPPSTGGVLCPSTPSKERVLGDNQSDYSDSESQRTAPKSSSSGHRESMDSSSPMSREGWHGKFYKGSGEPLRSLRLTAKLRDFHKFAKVDAESSRDLEQWNEMLKTEAVKLCQENNFNTGFFEGSDNNSVVDAYELKVRLEHTLERISLISEAANTEKPSLITSSLFIGGALAARSVYTLQHLGITHILCLCSNEIGQSDSQFPDLFEYKNFSISDNEDSNISSIFEEGSDFIDHVEQVGGRVLVHCFEGRSRSATLVIAYMMLRKNLTLLEAWNALKRVHRRAQPNDGFARILVDLDRKLHKKVSMEWHQRKPMMKVCPICGKNAGLSSSSLKLHLQKAHKKLSSGSVDSAMTMEIQKALDALKMNRAGSVSPSQRASHSVLD >OMO94704 pep supercontig:CCACVL1_1.0:contig07813:42618:44211:1 gene:CCACVL1_05875 transcript:OMO94704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYLFPTNPTSSLLKLLSSPYVKATEFSIDRPTITILSSKFRPKKVKKEMRREEGPLWKP >OMO69405 pep supercontig:CCACVL1_1.0:contig12043:1349:2919:1 gene:CCACVL1_19523 transcript:OMO69405 gene_biotype:protein_coding transcript_biotype:protein_coding description:4'-phosphopantetheinyl transferase superfamily ECGRVCLDFPNFNFNVSHHGDYVAIASEPLCLVGLDIVSHKIPEKETVLEFIQNLRSCFSSSEWDQIVTAGSNDEILTEFYRFWCLKEAFVKAIGSGLAIACGLHKVEFHHTSCTNIFVKVDGVKDANWRFWLSELGKRHLVSVAKGHPRSATENYKRTLKQIQVSQEEYNESLLLPNARFVFRTVEELVSVIHKAKTS >OMO54197 pep supercontig:CCACVL1_1.0:contig15038:13888:19382:-1 gene:CCACVL1_27984 transcript:OMO54197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKGRDLFGNKNGWRPSRNRNWELDASVNYRKKMRMSAENLLGVTPEMRKRDLLWVYRCMRIKVDRSLSFLVSLKFD >OMO54195 pep supercontig:CCACVL1_1.0:contig15038:4432:4603:-1 gene:CCACVL1_27981 transcript:OMO54195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRIDSLALDENDYEEVTSVEYGLLDKSNGPYDSPMELAIVPSSNDRVRDIDFVLD >OMO54196 pep supercontig:CCACVL1_1.0:contig15038:7446:7965:-1 gene:CCACVL1_27982 transcript:OMO54196 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E inhibitor RraA/Dimethylmenaquinone methyltransferase MAAFAIATAEACDSNTSLLLIETRGEGRVLVIDGGGSKRCAVLGGNLAQSAQNIGWAGIIVNGCIRDVDEINACDIGVRALGSNPLKPNKKAIGDKHVPVYIGGTFIHEGEWLYADSDGILISKMELSIWSSC >OMO65684 pep supercontig:CCACVL1_1.0:contig12629:2947:12459:-1 gene:CCACVL1_21442 transcript:OMO65684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MEVLPLFLTSLMSVLKVLLVIVVGVFLATERVDLFGPDARRHVNNLIFYVFFPALIGGSLAHSITIKDLAALWFIPVNISILCTLGLAFGWILAKITRTPRHLHGLVIGCSSTANLGNMLLIILPALCEEKSSPFAKSSTCSVLAKAYASLSLAIHATYSWSVVYFIMGMSAKHAIKEVNTNDSRIATTTSVSSNGSSLECSHQIDMPQTSNKGKEEIPTFKKVKQSLMVVARSKSLKMIFAPTTIAAIVGFIIGIAAPIRKILIGDNAPLRVVYSSAKLIGEAGVPCLTLIVGANLLKGLRGGSGASKSLIIGIIVIKNILLPGIGILIVKVAKYWGLVKSDSFYQFTLMLQYHMPDARKWSEFLVKRFLMELSRLFITSSIPVLKVLLVIAVGLLLATKRVDLLGADARNHLNNLIFYVFLPALIACSLANTITIEDLSALWFLPVNIFISCVIGSAFGWILVKITGTPKHLHGLVIACSSAANLGNMLLIILPALCEEKDSPFGHLSSCSAYGKAYASLSLAIQAIYVWSVLYSIMGISAKHAVKEINRDDSKITATTSPSSNDCSKDCLHEIDLPQTNNEGKEEISTFRKVKQSLMMVAGSKSLKKIFSPPTIAAIIGFIIGIASPIRKALIGDSAPLHVIYSSAELIGEAGLPSLTLIVGANLLKGLKGSGVSPSLVIGILVIKTILLPGSGILVVKVAKHWGLVNSDPFYQFTLLLQYAIPTAMNIGTISQMLGNGEIEFSVLMLWNYLVAPFSLALWTAFYIWLLT >OMO80644 pep supercontig:CCACVL1_1.0:contig10300:8010:8504:1 gene:CCACVL1_12829 transcript:OMO80644 gene_biotype:protein_coding transcript_biotype:protein_coding description:zonadhesin MVQTSKKGDIEDTEKAPSTAVDETNVSETSGETNSAKDNLTLATTKEVIASLEPLATAPASPKGKGNAKPPSHSTSSPTKHSSVVIKPKKKVTKKAATATDEAPIHATESDVVSETTELPPAVPTKRPRTKHPNVVDISETIPTTASKSAKPAGIRQSKRIKKA >OMP05493 pep supercontig:CCACVL1_1.0:contig05357:1183:2805:1 gene:CCACVL1_01877 transcript:OMP05493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERSLPFQAAATPGERQPIVGRCLGIGKQ >OMO77425 pep supercontig:CCACVL1_1.0:contig10759:42738:43732:-1 gene:CCACVL1_15015 transcript:OMO77425 gene_biotype:protein_coding transcript_biotype:protein_coding description:UspA MEEENYVPAPADSMQYYTARMMSPEIVEIGEESKSFAGSRDGSGNDVYVAVGKDDLNVLKWALDHAVSPGARVFLVHVFAPITFVRTPVGKLSQNQLNEEQLKVYVNEENNRRRNLLQKYVRLCIDAKVTVDTMLIESNSMSKAILELIPVLNITSLVIGTKHPPPINSRQRRKQGTGEMLKKNAPDYCEVTIVYNGKKVEDYCQQEPNQLVHSSPQEQGLNSERNFFQCVCFTGKFTV >OMO77424 pep supercontig:CCACVL1_1.0:contig10759:34920:40595:-1 gene:CCACVL1_15014 transcript:OMO77424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSYDRRYGDPESYRQRRSDFMGQPPPVGPPAMVPPSGSSSYPRGGRVSYGGPPTAQPPTFQGRAPAANKYPSFQPPAGRFEMGRGGGMGNGHAGDRKSDGVRGRGSGGFRGGGGGGRGFGGRHGGSSRGDLDNVSLPKQNFGNLVPFEKNFYIESPAIRAMTEQEVMVYRKTRDITVQGHDIPKPIRMFHEANFPDYCLDVIAKLGFVEPTPIQAQGWPMALKGRDLVGIAETGSGKTLAYLLPALVHVSAQPRLAYGEGPIVLILAPTRELAVQIQEGATKFATCANIRSTCIYGGAPKGPQIRDLKRGVEIVIATPGRLIDMLEAQHTNLQRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPKEVESLARQFLCNPYKVIIGSPDLKANQSINQVVEVVTEQEKYNRLIKLLKELMDGNRILIFMETKKGCDRVTRQLRMDGWPALSIHGDKNQSERDWVLAEFKSGRSPIMTATDVAARGLDVKDIKCVINYDFPTSLEDYVHRIGRTGRAGAKGTAFTFFTQANAKYARDLIKLLQDAGQVVSPSLSTLAHSTGFGGSGGNFRSRGRGGYGNRSGSNTIPLGARRPCSSSSRSKAETHFEEPKMTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNIDKLWSLVPQEVKEKAKGSKDSAPLIDVTQFGYFKVLGKGVLPENQPIVLKAKLVSKTAEKKIKEAGGAVVLTA >OMO77423 pep supercontig:CCACVL1_1.0:contig10759:28847:29782:1 gene:CCACVL1_15013 transcript:OMO77423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEDSNWFARWEEELPSPEELMPLSQTLITPDLAIAFDIRNPNHQQRPPSQQAPPPPSAAAQPPSQPTSAEFAADSGDLGSGAAGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSGGGGGGAAGANGGAGGGASADPATDHLFASSPVPPHFLHPGRGNSEHFLPFVPVPALQHHHQQQQIVAAAVGHPHLQSQYHRQMGHFGSSPNGQFEHPFLARQTQQPIHRIGVGGAPPVHNQVPNSYVEDLESANGNGGNGGRKVLTLFPTGDD >OMO77426 pep supercontig:CCACVL1_1.0:contig10759:53760:56547:1 gene:CCACVL1_15016 transcript:OMO77426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b245, heavy chain MGKASILLVLKVSMILICTGWITLWLLKPTNFWTRKWKAAEASAKDTVFGYSGLDFAVYTFPVIALAMIGLVYLNFQRWEQKSRQIIRSPAAVFSNPVVISHLIGILSSLEILAVFFFILFLAWTFYARISQDFKKLKPDESLKLDLWQLKYLRVATRFGLLAEACLALLLLPILRGLAVFRIVGIQFEASVRYHIWLGTAMIFFATFHGASTLFIWGVSHHLQDEITKWQRTGRIYLAGLIALVTVLVMGITSLPQIRRKKFEIFYYIHHLYIIFLVFFLLHAGDRHFYMIFGGIFLFGLDKLLRIIQSRPETCILSARLYPCKAVELFLPKSPGLKYNPTSILFMKINSISKLQWHSFSITSSSSVDNHTMAVIIKCDGGWTSCLYDMIRAQPETDADKMKCIPVAIEGPYGPSSMTFLRYDSLLMVAGGIGITPFLSILHELSSAQSSSRYRLPSRIELIYIVKKPQDIGLLNSISSLLQNHPSQKWHLKLKVFVTQEKQPGATVGEILHYETSQVKTVHFDTKGPIYAIHGPESMLWFAALAGIASIVFLVFLICFNRVFVPSEKKGLHSSKLAVSSSKEKSPKDKNPSWIADIIILSSFIISLACSSLVAIILRWRRLRKEIPLVSQKEEKVKELSLMETKGAIEEHEVYFGGRPDLEDVFSKFLNEPGGSNIGVLVCGPETMKETVASLCQKKSKCCKIADQNKKAYLSFHALNFTL >OMO90574 pep supercontig:CCACVL1_1.0:contig08464:28212:30871:1 gene:CCACVL1_07344 transcript:OMO90574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MSASRFLPFGTLFRAQKPLILPLPPQLLSSKPFPSLSLSFRFRRYTSSTAALDTLTANTAEPLDSVSQPHPWPEWVTFVDKLKSKGYLVEATAATDYSDMNFLKDACLSFARDRFDLFKSLSTSDIETVVGSGCPNLLRKAVNSAKRLRAYVQLDEGDVCSTCNLRGSCDRAYVILKEPEATARTVDIVRILLAYALDPLVISGGEKLPGRERIHESARNLLSDLTELSQTSPAPELPRPAIKASPRKEKTISGNDDEEFHNVEMKRGDWMCPKCNFLNFSKNKKCLQCKEDGPKNVGGDENEMKPGDWICPECNFMNFSRNTWCLKCKAQCPKKVDMQDVQMKKGDWNCPGPIVLGGIQEV >OMO90572 pep supercontig:CCACVL1_1.0:contig08464:13611:17450:-1 gene:CCACVL1_07342 transcript:OMO90572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 26 MSTTRVELKLSRPNRIYRPSETLQGRIVVKAASSFSHYGIRIGLTGSVNLQVRGGSAGVIESFYGVVKPIPILNNIIEIKPSGKIVSGTTEVPFSILLRNPKEDNLERFYETFHGTNISIQYLATVDIMRGYLHKSLSATMEFIVESDKADLLDEPISPEMVIFYITQDTQRHPILPELKSGGFRITGRIATVCSILEPLSGELTVEASAIPICSIDIHLLRVESVLLGEKIVTETSLIQTTQIADGDVCRNMTLPIYVVLPRLLTCPTVFAGPFSIEFKVAIVINFQSELSRLHPKSDPKTPRLWTAMETLPLDLVRPR >OMO90573 pep supercontig:CCACVL1_1.0:contig08464:17814:22843:1 gene:CCACVL1_07343 transcript:OMO90573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sel1-like protein MNRRCHCSKLRLSLFLLLFSLYPLSLFARPFVLVLSQDDLKDAQTDDVSPLGSDSSWDDDDFGGSHVKSDDELDPGSWRRLFEPASTSATTSSSNSDDNAALQFYYDAVDKMISASTTGDARLMDEAASEIQMAASTVGDPHARSVLGFLYGMGMMRERNKAKALLNHYFAAEGGNAQSMMALAYTYSRQDMHEKAVRLYAELAEMAVNSFLISKDSPVIEPIRIHNGAEENKEALKKSRGEDDEDFQILEYQAQKGNAGAMYKMGLFYYFGLRGLRRDHAKALMWFSKAVDKGEPRSMELLGEIYARGAGVERNYTKALEWLSRASKQGLYSAYNGMGYLYVKGYGVDKKNYTKAKEYFEKAADNEDAGGHYNLGVMYLKGIGVKKDVKIACKCFIVAANAGQPKAFYQLAKMFHTGVGLKKNLPMATQLYKLVAERGPWSSLSRWALESYLKGDVGKAFLLYSRMAELGYEIAQSNAAWILDKYGERSMCMGESGLCTDVERHQRAHSLWWQASEQGNEHAALLIGDAYYYGRGTVRDYERAAEAYIHAKSQSNAQAMFNLGYMHEHGQGLPFDLHLAKRYYDQALEIDPAARLPVALALTSLWIRKNYADSFLVHLIDSLPEVYPKLEAWVENVLMEEGNATILTLFVCLLTVLYLRERQRRQAAAAAAREVALQNPPNDHVVPPAN >OMO90571 pep supercontig:CCACVL1_1.0:contig08464:9197:11551:1 gene:CCACVL1_07341 transcript:OMO90571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MAEQSGKPDIFELSNGTMQVKITNFGCTITSLSVPDKKGQLADVVLGFDTVEPYVQGASPYFGCIVGRVANRIKNGKFSLNGVDYSLPLNKPPNSLHGGFKGFDKKIWEVVEHKKGENPSITFKYHSSDGEEGYPGAVTVTATYSLTSSTTMKLDMEAVPENKATPINLAQHTYWNLAGHNSGNILDHSIQIFASDITPVDENTVPTGEIMPVKGTPFDFTAEKKVGTSIHEVGMGYDHNYVLGGGEEKLGVKHAAKLKDPSSSRVLNLWTNAPGMQFYTGNYVNGVVGKGGAVYDKHAGLCLETQGFPNAINQPNFPSVVVQPGNKYQHTMLFEFSVE >OMO50678 pep supercontig:CCACVL1_1.0:contig16079:11949:12378:-1 gene:CCACVL1_30322 transcript:OMO50678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKKKKKETEADRLSDLPDCLLLRILSLVDTTIAVRTGVLSNRWSCLWTRLPILNFDSQLFKTYGAFHKFVIRVFSQRCGSEQFNLVRLNFHSKSGSTTVVDRVIRYAVSHFVQEIHMNHSCLIDRRLISCTSLTTLHLEN >OMP04115 pep supercontig:CCACVL1_1.0:contig05817:9242:9568:-1 gene:CCACVL1_02189 transcript:OMP04115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CLSIDFENSSMLGRKKDFQKYFWPKMIAIGPLHCDDPDLQKAKKLKHKLVAYFIKEHDLEKEMLYNKIKMEIGNLKKCYNSKEMEQYYMMMMRSSAGCSFWMVVHFYK >OMO51005 pep supercontig:CCACVL1_1.0:contig16000:4366:4425:-1 gene:CCACVL1_30071 transcript:OMO51005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIRIFYIMLVSNLALKAK >OMO51006 pep supercontig:CCACVL1_1.0:contig16000:5093:5155:1 gene:CCACVL1_30072 transcript:OMO51006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVGSRLVKDHRLSPPYSY >OMO68098 pep supercontig:CCACVL1_1.0:contig12283:33979:34168:-1 gene:CCACVL1_20082 transcript:OMO68098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLRMLQKHKDIAWGAAENKAFSLLKQKISTAPVLAMPDLRQPFEIETDASGHAMGAVLLQG >OMO68099 pep supercontig:CCACVL1_1.0:contig12283:35881:36454:-1 gene:CCACVL1_20083 transcript:OMO68099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRIEIPIYDGELDPEKLNGWIKRLEGQTVQEYTTEFRKQAMLLGVSLRGAETLAKYKAGLHYSLRTELALFNVKDIDDASVKAMHMEKRAKLFRNQQGSRREAESTKGKG >OMO68101 pep supercontig:CCACVL1_1.0:contig12283:46886:47023:1 gene:CCACVL1_20085 transcript:OMO68101 gene_biotype:protein_coding transcript_biotype:protein_coding description:miraculin-like protein MKMKTARNSLLFVLSIAILLGLANAANEPVLDVDGEEVLTGVEYFV >OMO68097 pep supercontig:CCACVL1_1.0:contig12283:5805:7276:1 gene:CCACVL1_20080 transcript:OMO68097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MEGEHSSEYQRMKKENEELQRQMSMMKHQLAELRGKATQEDHPMLEGQDMAMNIERIGVGQRRSVEASQIDLNQGATVNKQIYILNSKDDGARKMMNERSQGLWDKIDGRIRNFEKGQNHYGTMKVSEMALVSDLVIPKKFKMLEFENLTEPNALETILVGSAAHWYNHLEPSMIQSWDDLARVFIIRYKYLTDLAPTRECLKTVKRMSGETFREFAQSWREKAVEVKPSMEESEISPAFLDSVEPEYFERMLPLVTEHFSKLIRVGELLDVSCKSGRIRSGKRAEAKEDQAGEQSDEEAQVGQQFDYPGSHDSYYPHPPYYPFILPPFPPANSYVNQNPYPYKWVPQPSSQFQDYPQASKKRSSSSFSSEPTIPKDQQKGYICHQEKFKFSQIPMTYTELLYQLIQQGLVEPVYVEPMLPPYPMWYDPNVQCEYHMNVQGHSTENCTSLKKKVQALIKAGLLSF >OMO68100 pep supercontig:CCACVL1_1.0:contig12283:39139:40236:1 gene:CCACVL1_20084 transcript:OMO68100 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid binding protein MINDHLWNYAEYPKIEAEAKVVAEELGIDYAWNDILFGDATKEDEERIQSALNSEDAIPGNGDWTGSSNSSVLTEAGEKQAERCNKPLQICTLINALQVQYPALRPLLKCYGKGGKNHRFSSIL >OMO68096 pep supercontig:CCACVL1_1.0:contig12283:3721:5324:1 gene:CCACVL1_20079 transcript:OMO68096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPQTRAYLSFGRYNSKPSWDSFVPGQRSSVRSLEILKKNGRFCEARMQWLEREWKTMQIDIFELKCKQETMAEELDHGKPEHAAEVATGSDKTEDLGKIAKGMDELTKQMEELKSCMSKVESSGPMQSQKNSVQMNDQPFYPSTYHPPNPGQRRNPSSFNQPQPYHQPSFRSNTSFRPPTHKAKPQPRFQTLSQPPSPERETIELTDLIPIPCTYTELFPALVQQGLIAFLPFSRPMKNPGPSYNVNAYCAYHSGTQGHSTENCLRLKEEVQRLIIEG >OMO49384 pep supercontig:CCACVL1_1.0:contig16522:80988:81104:1 gene:CCACVL1_31045 transcript:OMO49384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYEKKTKHLIWVYKNDKMVLHYCIKYVLGLYKLTRP >OMO49378 pep supercontig:CCACVL1_1.0:contig16522:15146:16423:-1 gene:CCACVL1_31039 transcript:OMO49378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MDKTYQGIPIIDVSDFPRQYENLRKACEELGCFRVLNHKIPLELMQEMKRVVTSLFDLPMEIKKRNEEVIAGSGYWPPSSINPLYEAFGLYDMASSLAVHNFCSQLDATPSQRDTIEKYGQAIHELMMDIGAKMAESVGLDGDYCKEWPCQYRINRYNFTPQTVGTTGVQIHTDSGFLTILQDDENVGGLEVMNKCGEFVAVDPLPGSLLVNLGDMAAVWSNGRFHNVKHRVQCKEATTRVSIAAFLLGPKEAAVEPPPELVDLNHPRLYVPLTYEEYRKLRLTTKLQAGEALQLVRSQ >OMO49377 pep supercontig:CCACVL1_1.0:contig16522:10211:11503:-1 gene:CCACVL1_31038 transcript:OMO49377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGKKNTGLGEVSFSSYVHKLAEPANQLPHPAIIAPSVGIHTPSRMERNKGEEGEISVFGAERYFNMRLDDENPRLDDDHKYGNLRMGNQVDPHQMTPRRWPGTPSSCSEASWNSQSTLLRSSMRNRYENRQKKVDGRSFFSTLSCTGACSDGKSVYVNQNVVDDGSRVHGKVYRQNSHRSINMDRRKLQPQVKFPVKDEFDSQSFDKMSVGSSRVLKPGLQNISVKSSQMDDDDPRKSLEVFGSTAIKKGDITKNLEKKLSMLTWDAIPNAPTMSSISRSSQVCDDIESDASSDLFEIDNISGTGQALFTRQESDGMSSCMTPYAPSETSIEWSVVTASAADCSASFVSDYDERKPAPGSKPASRLANTKDFRAKEAQRGRSGGLLGCKSHKAVMVAETHQHQVPKSVTPRPARLLQAEIKVKDLDSP >OMO49380 pep supercontig:CCACVL1_1.0:contig16522:58519:60972:1 gene:CCACVL1_31041 transcript:OMO49380 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MALKQMLWLISLQFLVLVVKIGAKVPAIIVFGDSSVDAGNNNYIPTIARSNFEPYGRDFNGGFPTGRFSNGRIATDFISESFGLKRAIPAYLDPGYSIKDFATGVTFASAATGYDNTTADVLRVIPLWKQLDYYRDYQNKLKSYLGKGKAEDVISDSLYIISVGTNDFLENYYTLPGRSSQFTIKEYQNFLINIAGNFTKKLYDMGARKISLGGLPPMGCMPLERTSNFMGGSECLESYNNLASEFNGKLNNLVIQQNKDFKGMDMVFSNPYDTMLDIIRKPAAYGFEVTSVACCATGMFEMGYACSRANPFTCSDADKYVFWDSFHPTEKTDKIVADHVVKTSLAKFL >OMO49379 pep supercontig:CCACVL1_1.0:contig16522:17238:17384:-1 gene:CCACVL1_31040 transcript:OMO49379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQSSNRKEGENTPEKKRSKMERERDRGDVRRRIFEVKVRREAGDGE >OMO49382 pep supercontig:CCACVL1_1.0:contig16522:68095:69606:1 gene:CCACVL1_31043 transcript:OMO49382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETRDNDADEEDEEKASDSVTAKVDGKATKEVEEAFTFGGTPEGDQVHSAKCMQQLFEKIRRHKVNINGNVCTVIVTALALEGWQRELDPGYDLLKTIKKVLSDWRWPIHVSYTIAYQMYKERQQEWNNPYAL >OMO49383 pep supercontig:CCACVL1_1.0:contig16522:70920:78800:-1 gene:CCACVL1_31044 transcript:OMO49383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPKNATSSAVDSKSKEKLAIDAPGGFLCEWWSVFWDIFISRTNDKHSESAAAYIEAQQIKAKEQQQLQMQQLQLMCQAQLHPRDPNHPSLGGPVNAIGSKGVLGQSNESALAAKMYEERMKHPNAINSETSQPLLDSRMALLKSATNHPGQLVQGNHGSDIKSEVNLGGAQRSMPMDPSSIYGQGIMQSKPGIANTDEQLGKDFLGSWKSMPVTEDDAMDFSFGTISKGKKKTFNSDKLDMDFNVDSSFDELPSFKMDMPDLDFSSPSKEAAIDKENHKEETTRGKQQEEKKDRFTSSFNFNEDSKAITLESNEISSIQQALEGGKTSKKAETCKDGIEASDLSDPLSTANGANPKAETSKGGIGPCNSIDDSISVKLVPLQGLAHGDPVAGQGSGIPPEKIVDTLVEERPLSNSAVSSELDDQQFLQSSLMDFLSGNISNQETVSNMQAEVCTQGTRTNTSSDAEQNVDDNIITTEGSIHQKYTSSTLSNRAVTSELPDQQSLQSSPLDSLIGNNTDQETVSNMQTEVYSEAEQNIYDKIITTEGSINEKMHWKNSSPPSESDKDDRNTGSGNIPAEIHETQSVQGDIILKDISTASSSEEISDNTGTKNDIQNPTHKLPLVSSNRRDSLILTRQNFLFRDMDFNVDSNFNKLPSFKMDMPSSPSKETAIDKEKYKEETTRGKQQEKKDRFTSFNFNELDGFDCQKSEDSKPIALESSEISSIQQALEGGKTCCITAKLNGANPKTETSKGGIEPCNSIEDSISVKLVPLQGLAHGDPVAGEGSGIPPEKIVDTLVEKRCKSRPLSNSTVSSELDDQQFLQSSPMDFLTGNISNQETVSNMQAEVCTQGTRTNTSSDAEENVDDKIITTEGSIHQKYISSTLSNRAVTSELPDQQSLHSAKVRLVSSNRCSEQTVSETSKRDREAGGICSRFSRRSEETISHLAKPSQPDSSSEVIKAESVLLKCLRAIPSNCNEKTTKSGIQTSVNPKPQVPKIASLHNSDIVKESPDVYSFEGVSAQSPLESLMPKYSDVVNRCKNMAIVGVVGAPLERMRFLLQNQGELLKTGRLTQPYAGMFDCFSRTIKTEGVLSLWRGNTARVSALACSAVLTYCAAPVDRSYPIDSELLYLGFFGVRAISSAAGLSVVYPLYHAATRMAIDVQSGRQFDGFRDVCKKTLRMDGPLGLYRGYPMMLTGMAIASVFTTTFDPWKRFERDIKRESIGYGLLCCGMFARYPFETASRRMTTSSIRYKGSRDACALSDCEV >OMO49381 pep supercontig:CCACVL1_1.0:contig16522:65213:65383:1 gene:CCACVL1_31042 transcript:OMO49381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTPYRKLGKVHPSPPPPTIADSLSLLPETILTLTAALSLEDKQVLAYLISCSGSS >OMP03963 pep supercontig:CCACVL1_1.0:contig05886:1357:8453:-1 gene:CCACVL1_02222 transcript:OMP03963 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MIADKRKQKEKEFINLEKILDDQSALRRGKLRDEKLEKVEASLARARALIREAILNPQSTLDLKDSDYVPRGDIYRNAHVFHRSYLLMEKMFKIFVYEEGEPPLYHYGTCKNIYSMEGLFFSFMEQDKRYRTRNPDKAHVYFLPFSVVMILEHLFDPIIRDKAVMERTVGDYVRIISHKYPYWNRSIGVDHFMLSCHDWGPRATWYVKELYYNAIRVLCNANTSEYFNPKKDASFPEINLITGEIVNLTGNALPPSDRSVLAFFAGNLYHGKIRALLFKHWKDKDKDIQIYEKLPEGISYNDMMKKSKFCLCPSGHEVASPRIVEAIYAECVPVLISKNYVLPFSDVLNWDSFSVQVAVDELPNLKKILMGISEDRYLRMLEHVKQVQRHFLVNDPPKRYDVFNMIIHSIWLRRCYYGHNFKHKGKDNNEARVELIPAILELHVGIKAYVVVRITRKWETIFPRGVIPISVDLLFADEKDIFHRFEDMVREGDVYKICHFLVLDAKPSYNCVSSTYDIYFDTSTGLELITDDIDRFSRFWFRLASLDEINQRGEHDPVLTNVVGMLLSITEVIPVQKTSADLTDKKI >OMO87165 pep supercontig:CCACVL1_1.0:contig09300:2552:4168:1 gene:CCACVL1_09220 transcript:OMO87165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAVDPYLLRELLSAVILFFITRYFIASLVRRSTRTLPPGPKGWPVVGALPLLGSMPHVTLAKLAQKYGPVMHLKMGTCDMVVASTPDAARAFLKTLDLNFSNRPPNAGATHLAYNSQDMVFADYGPRWKLLRKLSNLHMLGGKALEDWTQVRAVELGHMVRAMCESSRKGEPVVVPEMLTYAMANMIGQVILSRRVFVTKGSESNEFKDMVVELMTSAGIFNIGDFIPSIAWMDLQGIEGEMKKLHKRWDALLTKMMQEHAETAPQRKGKPDFLDVIMANTDNSEAEKLSLTNVKALLLNLFTAGTDTSSSVIEWALAEMMKNPSILNKAHEEMDKVIGRDRRLEESDIPKLAYLQAICKETFRKHPSTPLNLPRVSTQACEINGYYIPKDTRLSVNIWAIGRDPQVWENPLDFTPERFLSGKNAKIDPRGNDFELIPFGAGRRICAGTRMGIVLVEYILGTLVHSFEWMIPNGTELNMDDTFGLALQKAVPLSAMVRPRLTPTAYLS >OMO87166 pep supercontig:CCACVL1_1.0:contig09300:5493:9149:-1 gene:CCACVL1_09221 transcript:OMO87166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASMSGGRVRAMAVSRQRFIKFCTSIAWILLKNQ >OMO87169 pep supercontig:CCACVL1_1.0:contig09300:20544:24460:-1 gene:CCACVL1_09224 transcript:OMO87169 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, PpiC-type MLRATHLPPVASPTFFALRQSLVPTLIVSFYPCYSLRKLSAFSPFRSFFSNPLTSGRPFLPSPTLSKVSPMVGHPRLTATASFNSDSSSGDDREILVQHLLLKEDDQKLLLELQQRIAGGEDLSDLAVEYSICPSKQDGGMLGWVRKGQMVPEFEQAAFSAPLNKVVKCKTNFGWHLLQVLSEREESVLKDIQPEEFHAKMQDPSFIEDAQLIDVREPDEVSIASLPGFEVLPLRQFGSWGPEITSKFDPMKDTYVMGFRKIYNLSGGIHAYATKVDPSVPTY >OMO87170 pep supercontig:CCACVL1_1.0:contig09300:31028:31613:1 gene:CCACVL1_09225 transcript:OMO87170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSYDIRLTRERKKVAFESTCACPAGLYQTKGRLLGVQPEPATLAMTSCVDLSTICNLI >OMO87167 pep supercontig:CCACVL1_1.0:contig09300:12253:12561:1 gene:CCACVL1_09222 transcript:OMO87167 gene_biotype:protein_coding transcript_biotype:protein_coding description:seryl-tRNA synthetase MVRKLHQVHVWMRMARRFGITTPNRAGPVFRSRVMVTTGQFPSTYQQVFDDANLTPFQGNSSYISIVSNPLEGSSVEIGGQEEEKFQDLEEQEDGLDLRLKL >OMO87168 pep supercontig:CCACVL1_1.0:contig09300:17480:19818:-1 gene:CCACVL1_09223 transcript:OMO87168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSRGNPAGAPNTNEKGDATPDNNHGGTGSPQDSPSNPPNPKQSHPTPSPGASSKPSKPTPIGPVLGRPMEDIKSTYNIGKELGRGQFGVTHLCTHKATGEQFACKTIAKRKLVNKEDIEDVRREVQIMHHLTGQPNIVELKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQILHTCHSMGVIHRDLKPENFLLLNKDENSPLKATDFGLSVFYKPGDVFKDIVGSAYYIAPEVLKRRYGPEADIWSIGVMLYILLSGVPPFWAESEHGIFNAILRGHIDFTSDPWPSISPQAKDLVRKMLNSDPKQRLTAIQVLAHPWIKEDGEAPDTPLDNAVLTRLKQFKAMNKFKKVALRVIAGCLSEEEIKGLKEMFKGMDTDNSGTITLEELKQGLAKQGTKLSEYEVKQLMEAADADGNGTIDYDEFITATMHMNRMDREDHLYTAFQHFDKDNSGYITTEELEQALREYGMHDGRDIKEIISEVDADNDGRINYDEFVAMMRKGNPEANPKKRRDVFV >OMO78138 pep supercontig:CCACVL1_1.0:contig10630:1757:3353:-1 gene:CCACVL1_14634 transcript:OMO78138 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MAAAFASPQVCINETLTDDELRAILSRLESDKDKEVFGLVCKKWLHLQSTERKKLSARAGPHMLSRMAARFTRVLELDLSQSLSRSFYPGVTDSDLSVIAEGFKCLKVLNLHYCKAITDRGLASIGDGLPLLQSLDVSYCRKLTDKGLSTLAEGCHDLRTLHLAGCRFVTDGLLLTLSKNCKNLEELGLQGCTNISDTGLADLVNGCRSIRFLDISKCSNVGDVGISKVAEACASSLRTLKLLDCYKVGDESMFSLAQFCKNLETLIIGGCRNVSDESLKSLAVACKSSLKNLRMDWCCNISDSSLSCILTQCKNLEALDIGCCEEVTDAAFRDLRNGENDFGLKILKVSSCPKITVSGIGMLLNQCNSLEYLDVRSCPNVTKAGCDEAGLMFPEYCKVNFTGRLTEPDTVLL >OMO78139 pep supercontig:CCACVL1_1.0:contig10630:5136:5608:1 gene:CCACVL1_14635 transcript:OMO78139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILNVLFALLFLSLAAQGYGQCSTSQISVSQSQTGATVGNKPEFQVTITNGCTCSQSDVKLGCNGFQTVESIPSSVLSVSGGECLVNDGQPLGPGVTISFKYAWDNSFSFSPISSEINCS >OMO78137 pep supercontig:CCACVL1_1.0:contig10630:665:1217:-1 gene:CCACVL1_14633 transcript:OMO78137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVADGGKGPESSNPCFKET >OMO78140 pep supercontig:CCACVL1_1.0:contig10630:6317:8649:-1 gene:CCACVL1_14636 transcript:OMO78140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNMGSLSGSIQRPLVAAAAIAVASASSDFSIKLPSPSAETCSTSGQVETAISSSSQESSFSLVDHISTSKLSNLSFVARIRVPVPSVNFPVASSGQNFVPNVLGSSVASSPLLTSLYQSAELAKSSKPAAFPESIPTSAPDILYRWHLPEPTAIDVSSDCSSEKSRTVVVLLGWLGSKQKHLKRYAEWYTSRGYHAITFTFPMIDIISYQAGGKAEQNIDMLVNHLAGWLEEEHGKNLVFHTFSNTGWLTYGAILEKFKKQDPSLTGRIRGCIVDSAPVAAPDPQVWASGFSAAFLKKHSVATKGLATSSESDIGALVGRRELAETKPAVTEAALLLVLEKFFGVVLNLPTVNRRLSDVLGLLSSEQPTCPQLYIYSSADRVIPADYVESFIEKQRRSGREVRACNFVSTPHVDHFRNDPKLYTNQLSQFLDDCVLTCCKPS >OMO78143 pep supercontig:CCACVL1_1.0:contig10630:19947:20588:-1 gene:CCACVL1_14639 transcript:OMO78143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMSREEKLEIEIVDYSSAISDIQECINPRQIMVAETEQTTRIASDHVALRLPIPNADHEEVASSSETTMVVVDNINNIPDDIKLKKKLFELVLVISFTAIVDLWKPFGKNDSAPYSYLIFMMSLMVSFYFAANGILLVHKYPGSNLVRACILIALLTLIVALTLLISTFLPSYLKWLPWILFVFTVGVGVLVFLGGDVNSVYDRLRRQRHY >OMO78141 pep supercontig:CCACVL1_1.0:contig10630:9629:9694:1 gene:CCACVL1_14637 transcript:OMO78141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAATLTWRGRRRVNPTPRLM >OMO78142 pep supercontig:CCACVL1_1.0:contig10630:14082:15756:-1 gene:CCACVL1_14638 transcript:OMO78142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich MLSCGGCRISHQNFGLGFSSTKIVHLSSFMLKPPSSFAAAAIVDNNHNHRFQPRLVSSSSLQSKSPGDIPILPDSFSQRQDDHDHPSEALSSVAGGIVALGKFDALHIGHRELAIQASKVGTPYLLSFVGMAEVFGWEPRPPVVATCDRKCVLYSWAPYCGDVTPREFQVQFSSVRHLTPRQFVEKLSRELGVCGVVAGENYRFGYKAAGDASELVRLCDEFGIGAYIINSVMDRHQDVRNINLCDLKERGQVSSTRVRHALAEGDMKYVSELLGRRHRLFLAVKDCEGLTSTSNKHRMSAPKSFLLNLPPKDGFYENCSLLLGEENPVTCRVSVDTTHIHVVADQVDFCDLDYFQNSQVLGIEFGELRVNSV >OMO62939 pep supercontig:CCACVL1_1.0:contig13099:168:4635:1 gene:CCACVL1_22566 transcript:OMO62939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISAECNARKEIFSKEESAGGSSGLGEQGPEDITNAYQGKNFTLPTERPLVTTLEKSNTEIQHLKEGSPFRLLQDYASDDSLEKDVKIHVQNTSVLFGDNLSRDAGSNLENASSLYKPEKGFGPISLSSVPCAIGSSEVVEGTFTTPITNGNEHIGNKHIQQVSINNASSMEVLHKEIVMFSKDHTQEKENETLGSAQHKVDKFGRLVKDGGSDSDSDDSCHIRRHGNRSRGRSFSPPNRRRIRSPLKRREKRSRSRSWSPRNRRSRSRSPRNRRSRSRSPRNRRSRSRSPRNRRSRSRSPRNRRSRSRSPRNRRSRSRSPRNRRSRSRSPRNRRSRSRSPSFRRAGAFRSENKRQLKGKMPECFDFRRGRCYRGASCRYLHHGSNKSDESRGQRNKQQNLEFPQSSKTNDSLEIKPIPDKEAVQEHGGAGGTEVKLNNNSVGTRDMNIDQERQYSVGGGGSQSTQYHIVKSENSRDISASVFETHSVETKQEGSLNSCDIASQKIHSSFKSSFDQKSLSSPLDPVCQSAGCLPQRSDNLSDSSPYKTSTSSPNRLLESNAHKNTKDLHNHPSHIPSHSLPSSQGLDILHTKQHQTASSRESFPSYMLPNQPSYFALQQNPSLSSLPPPPSLAPQDSMVNAGTVTTGVSSHFQQSYMPLRNDFGSQIVPRPYPAELPAQSQSNGFQHQPYLPIQEANQPMHASLPMYTQPIQQCGAPSMLREDGFMQPSFAQGNTHPQTMPFSHQMLVGNKTQPFPSESLQPGGFRHSSSCMDSYSQQQHPPHSLHHPMVDSNYALTGMVNSSINDTPDIKETKPHHVDIGGSTSSIFPNPHAPTPDQPINSKCSSDVLRQDIDTTYNKTPFSSTHIPVDERGIISQQAMSSPNSARAIGQNFPISTGDQYDPLVDSIEPSSRLSRKFDHIKKMEVTGDSDMLLGFSGSNKPLDMEENNKTEDGGAIASAASADNEEIGETADAEVGAVEYGSPHNPVEINMPTGEIEIDQIKSPGNSKKDKDSRSLKLFKVALADFVKEVLKPSWQKGNMSKEAFKTIVKKTVDKVAGAMKSHQIPKSRGKVDRYIESSQLKLTKLVMGYVDKYVNV >OMO62940 pep supercontig:CCACVL1_1.0:contig13099:6293:9407:1 gene:CCACVL1_22567 transcript:OMO62940 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor MANGGRFEEEGPDSVVELRAGKENSIIDGRQSDCHVESKDNCLIKASVDRLGKEHTTGFSESRPDGVPIGEHAMPEQVREEAFGNNEGSKAAFKHRKRINQLKESLCKDPDQKDVETKTNVEELIPSPLNSAVAKDDATLEDTAKEKKTSLILHSSLERICTNFPKKKLLVLDLNGILVDVVPNPGEFRPDIKLSGKGVFKRPFCNDFLEFCLRTFNVGIWSSRINKNVRILVDFLLRKRKKNLLFCWDRSRCTITKLETLEDKPKPLVLKELRKLWKNVSKLPWEKGEYDESNTLLLDDSPYKALRNPANTAIFPFPYQYTDAEDASLGPGGDIRTYLEGVAAAEDVQKYVEQNPFGQPAITESNPHWDFYRQIIDRK >OMO83150 pep supercontig:CCACVL1_1.0:contig09929:6573:6668:1 gene:CCACVL1_11542 transcript:OMO83150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFLFSATSSAAGEMLDQSNNQFQRVSTT >OMO96311 pep supercontig:CCACVL1_1.0:contig07492:5080:5496:-1 gene:CCACVL1_05003 transcript:OMO96311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKPHHHHPALSLGVRRRKLQKYYHQQLYLSALSLQASSKAPRDVAVGGSTSPPASFFLLLNLELDDDDAGLDDMVVKLWNGEGVIDEGEQKFGRDERLGLFAKAALSSSFKFKSKKKEEKYHQQHNYISRCFRRGS >OMO52779 pep supercontig:CCACVL1_1.0:contig15441:7612:17201:-1 gene:CCACVL1_29089 transcript:OMO52779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLRSSNRVPPQFEAEAQPAGETGRDLSGAQAQEQHQMAVIEEG >OMO52778 pep supercontig:CCACVL1_1.0:contig15441:6387:6632:1 gene:CCACVL1_29088 transcript:OMO52778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLMVFPHITRESKQKTQRDVVGFGPFAMAAVISLSLIFS >OMO52777 pep supercontig:CCACVL1_1.0:contig15441:3387:4680:-1 gene:CCACVL1_29087 transcript:OMO52777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMVPAENLSSKQHILQHLLPKGGGGGGFYGYCGSSYNNKAVAVSGDSFGVMSRVNGYEYQSDQGCLGISDLSGNPMAEDESRTNSLNEAGSSSKDINQEDHHQGWLQLSIGGQGQGQGQGQGQGQGQATRYEYDHHHKHHDHQGDPTAERRGGLVELDLLPGGGGGSHQHQARPLTPIFQMPEFRAPRPPLMHSFSTSLFFQHQQQQASSGEISWAFRPLSQNIAPAPSSSSSSSLVPLGLASYFATPFQVQSGLMDVAGPSSDVRLIHPPTRPHSGIWFILQASQHQAKEPFLPQIPKSYLRIKDGKMTVRLLIKYLVNKLRLDSESE >OMO51131 pep supercontig:CCACVL1_1.0:contig15962:11037:15214:1 gene:CCACVL1_29987 transcript:OMO51131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAPPTPPRPSKKKGRNYSTKMVVKPI >OMO50331 pep supercontig:CCACVL1_1.0:contig16211:4637:7056:-1 gene:CCACVL1_30498 transcript:OMO50331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MEQEARRKDAKDAGRGEEKWVHDSSVDHKGKVPLRASTGVWKASLFIITIEFSERLSYFGIATNLITYLTTVLHQDLNTAAKNVKYWAGVTTIMPLAGGFLADAYFGRFTMVLLSSLIYLMGLSLLTLSEFIQRLKPCNLSNTCQNPRKVHEVLFFLALYFISLGTGGHKPCLQSFGADQFDDDHLEERKKKMSYFNWWNFALCCGLVLGVIVIVYVQDKVGWGVANLILTIVMAVTIIAFYMGKIYYRYRLPQGSPLTPLLQVLVAAIRKRNLPYPSNPSQLYEVPRSSNMSQGRLLCHTSRLRFLDKAAIVEVMESNSTERTHHNPWRLATVTKVEEMKLILSMIPIWLTSLIFGVCLQQASTFFVKQAAIMDRKISENFDIPPASIYSLAAVGMMVLITIYDKILIPFLRKVTGNERGISILQRIGIGMVFFTLSMITAACVEMKRLKAVEKEIIQGGKKGSLSISVFWLAPQYIIYGLGEGFALVGLQEYFYDQVPDSMRSLGIAFYLSVIGVGSFLSSFLIIIVDHITGKAGKSWIGKDLNMSRLDNFYWMLATLIVLNFCVYVFLARNYTYKNVEKRVIVSDCHESDGAAGLVL >OMO50332 pep supercontig:CCACVL1_1.0:contig16211:8822:9106:-1 gene:CCACVL1_30499 transcript:OMO50332 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MAGNKSDLNHLRAVSSEDAQSLAEKEGLSFLETSALEALNVEKAFQTILLDIYHIISKKALAAQEAASSVPHGTTINVSNLSGMENKRGACCSN >OMO50333 pep supercontig:CCACVL1_1.0:contig16211:11383:25131:-1 gene:CCACVL1_30500 transcript:OMO50333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKAFEKKLSDFHREEWSTKKLIHQSMLKAKTLF >OMO68894 pep supercontig:CCACVL1_1.0:contig12159:8993:12665:-1 gene:CCACVL1_19776 transcript:OMO68894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MASSQVEIVSSQPFGCVLRDRGHNRNERYRESNVRAVQAVFEKNFKDLVRDHIHGCISLSSSSSSSHDKSSQDHIHRVASWVSNNEQSNGNNPHKLRLLNKNQNNNNIKTSESLPVTPRLSRVLDRWVTRQAEDVKASSSTTIEKNVTEAEPEPEPLLVASNNASSSSTTSMASNSNTKNVQNPSPSVSQNRGASSLVQIWEARLNRSNSINSNHTQTQNMGPPPNGASSGLSCNENNNAIAIATPIVEEPSKVDSFEEKFDNPIINNEDCSVDWESRSDRTAQSEPPSCSKTFDARERERLRVADIIKRLTNVREEANDNEQTSNVPESLSSLSRERRHHSSTVDQAEPRCFSPIINSPRLRGRQAFNDLLMQIERDRTKELGSLAERQAVSKFSQRGRLQSMLRLRCLQRGQSIQDKFRSKSAGSQVNRLPQKSTISHLRGKFGTSGDNPVTSQNDSAPAKCLHKEVSNKSVQLEKSSTFKMRNEDTHHQNVPMNKSEGVHEQSKPASDHAIQQKTKLEVEPPKPTSTTTTTTMMGQSDKEMEKTQCPNNTQKQKHILLVAKETVETISSLMDVGYTQNGIAEQQDHKRQQQQQQLLLDLQQAIETIETKSFTTCIDNEMGQEENIGNQQPFDLESQGIAETITSYIDQDENEICEELDDDYQQYYGQGNHDWFSNISRPKSYWEGLRQAWYQEMLSTTTTSKDEEIRQLLERGRVSSFLASDFRDKMDRLMLSHVQIQVDQTESQEDKEELDGDRIVHVMSYLQRQHLHPTGAEGEEQSGLQEVDHRQEEEEVYEGEEEEEDEEEEEEEEGRSLISHQFHEAHSYFNQSTSSIQMPSPSLATSWSFQDDNETTGDHSDQCASTYSPPPPPPSQAPYYQDTLKSSPSNHHPSLEMELICNLRSHIEQLHQEMAELRKSVMSCMNMQMKMHQQQSFNWQLHSGAGEGKKSADRAPWIRNCCICNEMPVDSLLYRCGHMCACMKCAQELQWRSGKCPICGHPILDVVRASIPAAQ >OMO98592 pep supercontig:CCACVL1_1.0:contig07078:31075:35582:-1 gene:CCACVL1_04171 transcript:OMO98592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVRLSIIILVAVFCFLLCSNVLADQIFPSHVAGTFGRSSREPKYKIEFHTEDSPYHPDDDQESVFMPNKDGKNYLCFLPKVEKAKTGKPATQHNTSSMIVESEKRVKLKTPDELLEVLKDRCFIRQEGWWSYEFCYQKQLRQLHLEEDKVVQEFFLGVYDEEATAAFNQNLSDISTLKDPRSKDASQRYHAHQYTNGTLCDLTNQPRETEVRFVCSEPRAMISSITELSTCKYALTIQCPMLCKHPLFQEERPVWHTINCNVLPKDYKNTKDEEERITMILESEDQPSYDSTE >OMO98593 pep supercontig:CCACVL1_1.0:contig07078:44752:47184:1 gene:CCACVL1_04172 transcript:OMO98593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MGKHSGKNKKQTGQAGDGNNVKHSKVSDNSSKAYDEDTAVFIAMSQDLKEEGNRLFQKRDHEGALLKYDKALKLLPKNHIDVCHLRSNMAACYMQMGLSEYPRAIHECNLALEVTPKYSKALLKRARCYEALNRLELAFRDVNTVLNMEPNNVMALEISEREKSKKKSNKAEGNKAVDQIDKKSKKKSKKAEEHKAVDQIVDKKVDENIDEKKAEDKRVVEEKISSKTEEPNKNVKLVFNEDIRWAQLPFNCSLLQLREVIHDRFPSSRAVLIKYRDEESDLVTITNDEELRLAERSSESHGSVRLYVVEVIPEQDPFYERFKREEVDSLDIKQVKAAQNGDVKKFMETGKDSCCVDDWIVEFAQLFKNYVGFDSDAYLNLHELGMKEYSEAMEDTVTSEEAQDLFDRAAEKFQEMTALALFNWGNVHMSRARKRVYFPEDGSRESILEQIKTTYDWAQDEYSKAGKRYEEALKIKPDFYEALLALGQQQFEQAKLSWYYAIGNNVDLETWPSEDVLHLYNNAEENMDRGMQMWEELEGKRLCELAKLQKEQPKLQKEGLDGLFKDISVDEAAEQAVNMSAQINLLWGSILYERSIMEFKLGLPVWQECLEVAVEKFEHAGASPTDIAVMVKNHCSNNNALEGLGFKIDEIIQAWNEMDEAKRWQSKIPSYRLEPLLRRRVSTIYHALEHA >OMO98590 pep supercontig:CCACVL1_1.0:contig07078:25760:25858:-1 gene:CCACVL1_04169 transcript:OMO98590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGNGRAKPLRKPQRLPASAKLKNISTQTTY >OMO98595 pep supercontig:CCACVL1_1.0:contig07078:59243:65007:-1 gene:CCACVL1_04175 transcript:OMO98595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MSSEIEVVEEIQPAKQSGGVVAANGNGNGVGINGVVGVVADDDESLRNDVYTAAAYGDLEKLQRLVESEGCSVSEPDGLGYYALQWAALNNRTAAAQYIIEHGGDIHAVDHTGQTALHWSAVRGAIQVAELLLQEGARIDAADIYGYQTTHVAAQYGQTAFLYHIVSKWNADPDVPDNDGRSPLHWAAYKGFADCIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQAGKKEDLMVVENTGLTPAQLASDKNHRQVAFFLGNARRLLEKRCDGNSRLGRLSKLGLAPILWCTIFLMLTTYIHAVIMASNLPKLTAGFGLLAWLGVFLASAGLVLFYRCSSKDPGYIRMNVHDPQNMKDDEPLLKIEINNPALLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCVGKKNKWDFFLFLVLEVSAMMITGGVAITRIVTDPFAPSSFIQWLEYAGTHHVGAISFLIVDFFLFFGVAVLTVVQASQISRNITTNEMANIMRYSYLRGPSGRFRNPYDHGCRRNCTDFLINGYNEDLQASEESAHSEGIGMVQMPRDSHLQNGDLHTHTNGNGHAAINVNSNDTNAHHGHVHSSHCSHGNHNKSKSEGVPLGLGLGLGHGHGRSRSVVT >OMO98596 pep supercontig:CCACVL1_1.0:contig07078:67116:67601:1 gene:CCACVL1_04176 transcript:OMO98596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGELSLISLTSFPFNSLDKSPQLISIYGFSKIESKMR >OMO98594 pep supercontig:CCACVL1_1.0:contig07078:48518:56350:-1 gene:CCACVL1_04173 transcript:OMO98594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MGGVCSRKRDHQVVENGMRTGVSGRYAKSGSSKWLVTSFSRPMVVYQPGVAVCPSLMELCIDKICEDLDRYNSFSVLPKDISQQVFNKLVLSHLLSDVSLQKFRDCALEDVWLGDYPGVQDSWMDVISSQGTSLLSVDLSGSDVTDTGLGLLKGCSSLQALTFNNCQNISELGLKHISGLRNLTSLSFKKSDAITCEGMRAFSSLVNLEKLDLERCSQIHGGFVHIKGLSKLESLNIRCCKCITDLDLKAISGLNNLKELQISNSNITDFGLSYLRGLRNLIMLNLEGCNVTAACLDSISALVALAYLNLSRCGLTDDGCDKFSGIKNLKVLNLAFNNITDACLMHLKGLKSLESLNLDSCKIGDEGLANLTGLSLLKSLELSDTEVGSNGLRHLSGLTRLETLNLSFTSVTDSGLKKLSCLTALKSLNLDTRQITDAGLSALTSLTGLMHLDLFGARISDTGTNYLRCFKNLQSLEICGGGLTDAGVKNIKDLSSLTLLNLSQNCNLTNKSLELISGLTALVSLNVSNSRITNEGLQYLKPLKNLRSLTLESCKVTASEIKKLQSTALPNLVSFRPE >OMO98588 pep supercontig:CCACVL1_1.0:contig07078:2111:9811:1 gene:CCACVL1_04167 transcript:OMO98588 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine-threonine protein kinase, plant-type MPSLKRWEIQYCEVSGNGLSINGLCEFTHVRRLEVSFNNLTGDLPDCFSNLTSLETLDLSSNQLYGDISALESLTSLQFLTLSNNHFKIPSSLGPLFNLSQLKFFSADNNTFFAETEMPSLPPRFQLEYISLSCCGDGGSFPQFLYHQNDLQSVDLSNIYFKGEFPNWLLDNNTNLYRLVLANNSLFGKLQLPSLPHSDLSYLDISQNYFYGNIPIEIGAKLPSSQSLNMSRNHFRGSIPASIGDMNSLWDLDLSNNKLTGALPEHLAVGCSSLNVLILSNNGLEGQIFPSDFNLTVRDGEVKKRLRLMEAKQSIIASSASCGIKRDGCIWVDDSGLASF >OMO98591 pep supercontig:CCACVL1_1.0:contig07078:26589:30340:1 gene:CCACVL1_04170 transcript:OMO98591 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA-like protein MAKLRIGGIWAGVIELELENWTVTMLREEVAKRSNAGVADSINLICAGKLLKDGSENLSQLGIKNNAKILASRVSVDEGKSLENELIAEEERSRRLARVKAAATALSKRHVDGSLPIEDFNIELENQGGQKVQLGTETDQQAVMMGLMLHANAKNLIKRQMYKDALEVLTMGEEAFSLCDPKVLEFVDNVPILQIDMVWCYFLLQDISWLSVAGMRLKKAREGLERCHGKDLSRVRLLQAGCQPELALHMRLELLEGVVAYHSGQFDEARKALNAAQAKFSQLEVPDEALSHVMSMGFKEHDARRALRLNNQDIGSAVGFLFEEKAKRAKKREDDIRHRKELMEQKQYGLTPLKKAVNLEQLEALVAIGFEKSLAAEALRRNENDFQNALDDLTNPETNSAIQLVIESRKRKRQQLTLDRRIEGLVSMGFDRAAVVAAVQVCETMEETMSRLLSGSEPGPNIEANSNENPASEPNNVNPDSLSNDDTAEGPSTSREAERDVEMEDELAREIEDGDALSDYDIEVTKEGEAIKEYLTLLASTNNGKKALSL >OMO98589 pep supercontig:CCACVL1_1.0:contig07078:21161:24784:1 gene:CCACVL1_04168 transcript:OMO98589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFEDSSLPSNFLQAIGIMTTSLKVWSMYSCVVSGNLSTREWQNLTSLEELYLEDSSLPSNFLQVVGTMPSLKRWDIFYCDVSGNGLSINGLCESTHLRLLHMSNNNLTGDLPECLSNLTSLESLVLSRNHFSGTISALESLTSLQYLSLSNNYFQIPISLGPLFNLSQLKRFNADNNTFYTETEMPSLSPRFQLEEISLSCCGDGGISLPQFLYHQSDLQSVDLSNIHFKGDTELLVWLLENNTNLYMLHLVNSSLSGPLHLPFASHLALSSLDISNNLFNGSIPTEIGALLPYLRSLNMSKNCFDGSIPSSFGDMRSLFTLDLSNNHLSGGIPEHLVTGCSSLEVLALSNNTLQGRIFSASFNLTNLIQFQLDKNNFSGTIPDFLSNSSSLSTLDISNNKFSGRIPRWMGNMSSLEEVIMANNHLEGEIPVEFCELDLVILDLSGNSINGSLPSCFSPSRITQIHLSKNRLSGALNALRNSSTLATLDLSDNHFTGSIPSWIGGLSNLSYLLLNNNHLEGRIPIELCNLNHLSLINLSRNNLSGRIPPCLKITTLKDASEEDKVDVPNPNTTAGPTSSFSFDEQIEFTTKNMSYYYKGKILPYMSGIDLSCNNLVGEIPYEIGNFHKILMLNLSHNSLTGPIPPTFANLRQIESLDLSHNFLSGYIPPQLVGLSFLAYFSVAYNNLSGKTPERIAQFGTFDESSYRGNPFLCGEPLPKCSEPPSPFTPKGSSSIDNGPIDMGAFHVTFIVSYMIVLLAIALVLYINPYWRRAWFYYVEISTNTCYYFVIDNILPTKFHCGNM >OMP12409 pep supercontig:CCACVL1_1.0:contig00006:687:770:1 gene:CCACVL1_00004 transcript:OMP12409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEFSLKYNVIASAATELTWVHNLMSELK >OMO93881 pep supercontig:CCACVL1_1.0:contig08038:10144:11740:-1 gene:CCACVL1_06295 transcript:OMO93881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFEKLEKVGEGTYGKVYRARERATGKIVALKKTRLHEDDEGVPPTTLRESLMYQLCKGVAFCHGHGVLHRDLKPHNLLMDRKTMMLKIADLGLARAFTLPIKKYTHEILTLWYRAPEVLMGATHYSTAVDMWSVACIFAELVTKQALFPGDSELQQLLHIFRLLGTPNEKVWPGVGLLPNWHEYPQWSPQSLSSVVPNLDKNGLDLLEQMLQYDPSKRISAKKAMEHPYFDDLDKTYL >OMO93882 pep supercontig:CCACVL1_1.0:contig08038:12646:15156:-1 gene:CCACVL1_06296 transcript:OMO93882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHPTNLGNESVDDPTALDLEHPLPFDHYNVNDALPQPLSTHGDEDPNLEPYEGMEFDSEQAARIFYNSYARRIGFSTRVSVYQRSRRDGSIICRQIVCSREGFRRNAGQHKSKRQRTVTRVGCKAQITVKKQTSGKWAVSKLVKEHNHELVPPDKVHCLRSHRHVSGPARSLIDTLQAAGMGPSGVMSVLIKESGGINNVGFTKVDCQNYMSSSRQRTLGSGGQVVFDYFKRMQTEDPAFFCAVQGDFGNSTGNIFWADAHSRMNYNHFGDTVTFDTTYRTNRYRVPFAPFTGWNHHGQPVLFGCALLLNESESSFVWLFRTWLAAMSGRHPVSITTDQDRIIRAAVAQVFPETRHRFCKWNVFREAQERLSDVYHSHPTFEAEFQRCINLTEAVDEFESCWESLLIRYNLRDNEWLQSMYNARQHWVPIYLCDTFFGEMSITQRSDSMNSYFDGYINASTNAQNLIKQYEKAVLSRYEKEVKADYDTLNNAPALRTPSPMEKQAANLYTKKIFIKFQEELVETLAYPATAIDDTGLEVMYRVAKFGEDHTSYFVKFDVFRKKASCSCQMFEFCGIICKHVLSVFRVANVLTLPSHYILKRWTRNAKSGVEVAACTVELPSNTQESYAARYDNLCLEVMKLVEEGTESIHIFNVTMDALHEAAQKVAFAKKHDSAVIKNCSSNSGLQLPSGSKDAEKKIQELSLELEAASRRCEGYRTKLLAVMKDMEEQKLKISVKVQNVRLILKD >OMO93883 pep supercontig:CCACVL1_1.0:contig08038:15316:15501:-1 gene:CCACVL1_06297 transcript:OMO93883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPCKNSLCSNTSYSARSRLDSLQQQRHHHLPSLQSSSPVSESLPTPTVILQLSAFKCYE >OMO93880 pep supercontig:CCACVL1_1.0:contig08038:7080:9622:1 gene:CCACVL1_06294 transcript:OMO93880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MTAVLDLFIAATIPVMNVLLTTALGLYLALDHVNIMGDETRKHMNNVVFYVFNPALVASNLAQTITYESMVKLWFMPFNVLLTFVIGSILGWIVIQLTRPPSHLRGLILASCAAGNMGNMLLIIIPAVCKEKGSPFGSPDVCQSLGMGYVSLSMALGAIYLWSYVFNIVRMYSTTSINDSTTEPLLSKDLLPPPHHKENQFLLPCTSCKDKAEATLSSKIKHNFNLLIAKMNLKALFAPSTTGVIVGFVIGLVPQIRKLLIGDNAPLRAIQDSTYIVGNGAIPTLTLIMGGNLLKGLRGSGVQKSIILGIIVARYIALPLIGVVIVKGASYIGFVHDDPLFQFILLLQFAVPPAMNIGTIVQLFGTGESECSVIMLWTYALASVSLTLWSTFFMWLVA >OMO80380 pep supercontig:CCACVL1_1.0:contig10329:5190:14165:1 gene:CCACVL1_13000 transcript:OMO80380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMTNQEDEKIGSVKNQLAQLFGASLRVTVPDESDVEPLVAACTNKFGDYQCNNAMSLWSKIKAKKPPFRGPPKLGEAIKDNLPASEMIESVSVAGAGFVNVVLSKNWMAKQKKCGHLRSTIIGDTLARMLEFSNVEVLGRNHVGDWGTQFGMLIEFLFEKFPNFEDATETAIGDLQERFDSDPAFKERAQQAVVRLQGGEDKYRQAWAQICEISRNEFHKVYQRLGVYLEEKGESFYNPYIPGVIQALTEMGLVVESEGARVINVEGHNIPLIVVKSDGGFNYASTDLTALWYRLNEEKAEWIIYVTDVGQQQHFDMFFKAAKLAGWLPKDDSSYPKTSHVGFGLVLGEDGKRFRTRSSEVVRLVDLLDEAKTKSKKALLEHDEDKKWTEEEIESTAEAVGYGAVKYADLKNNRSTNYSFSFDQMLLIDKPGNTAVYSLYAHARICSIIRKSGKDIEELKKKGAVELGNNDERDLALQLLRFAEVIEEACTNLLPNVLCDYLFNLSEVCTKFYNNPECKVVGTDKETSRLLLCEATAVVMRKCFQLLGITPIYKIYNTFLFSYNLLMVPLSLHSFNLTSTPPPHHHQRRRKMSSTESLILKLHEISAVKFGNFKLKSGISSPIYIDLRLIVSYPSLLRQISSSLLAALPPQASFNLICGVPYTALPIATSISLDTSIPMLMRRKEVKDYGTAKSIEGVYEKSQICLIVEDLVTSGASVLETAAPLRALGLNVTDAVVVIDREQGGRETLAENGIKLHALFTLTEMVRVLRAKGKLEEEMEGLVMKFLEENRKVAVPKVEKVRVKSLGFEERAKLAKNPTGKKLFEIMVKKESNLCLAADVGTAAELLDIAEKVGPEICLLKTHVDIFPDFTLDFGSKLRAIADKHNFMIFEDRKFADIGNTVTMQYEGGIFRILDWADIINAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLATGDYTAAAAKIAEEHSDFVIGFISVNPASWPGAPVNPAFIHGTPGVQMVKGGDGLGQQYNTPYSVIFDRGSDMIIVGRGIIKAANPAEAAREYRLQGWEAYLAKCT >OMO80381 pep supercontig:CCACVL1_1.0:contig10329:17360:17584:-1 gene:CCACVL1_13001 transcript:OMO80381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFLVLAEEDVQSLSKADASGLLDAVVKTVNDCLTEAPQFGLNGPIRKRHLGGQMFLKPLVDAIQLEDFGHFT >OMO80379 pep supercontig:CCACVL1_1.0:contig10329:1680:2929:-1 gene:CCACVL1_12999 transcript:OMO80379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKPVTMPPYYPEEIWLEIFRKLPVKSLGKCMCVCKAWKFLIKNPSFISTHFDSLAKFCLGTRKDLFLVTACFPGEGSQAEYSLHVDDQEFSKYAQLQYPPFDVFNYIAGSCNGVICLVDFRSGFSFTLCNPVIKKFIRLPDPYLRSMPLEFSIGFGFDSRRNDYKVLKVTKENVSDKYLQAELCSLKKNSWKKLAPLKYALYSGDCMAFSNGVVHWIAAERVFGSAWKLLLLGFDMGDEVLKEIKLPEHLSISQERSELFVLPYDKLSSIAVIQLQSLHGEGNVWVMKTYGVAGTWTKMFSVNFGRMDTGIMPRVLGFRRNGGLILHSFTDEQVSDQPEGNEIKNFEMRGIYAFVFSFMESLSLLDPVIGARSENDASSSTEGVSKQLMISNDAEGSTSSVLSEGEETTGKYIY >OMO80382 pep supercontig:CCACVL1_1.0:contig10329:19045:19239:1 gene:CCACVL1_13002 transcript:OMO80382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQFQTASQPDPSTDAYNGILRGRLGMSMAVMSSAMVILLSVLVGTVSNPAYADRCNFPSCWK >OMO73104 pep supercontig:CCACVL1_1.0:contig11305:15504:16781:-1 gene:CCACVL1_17472 transcript:OMO73104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MASFPKIKALFLLAIAMMLGASNAQLSATFYAKTCPNVSSIVRSVLQTARGNDVWIYPKLIRLHFHDCFVNGCDASLLLNGTNTEKTEAPNLSTDGYGVIDDVKTALEKACPRVVSCADILALAAQLSVSLSGGPTWTVPLGRRDSTAPHKEKIGNIPSGHESLATIKSLFTTFGFDSTDLVALSGVHTFGRAQCAAFTDRLYNFNNTGKPDPILNATYLTSLKSQCPQGGSPTSLINIDEQTPFNFDNKYFLNLQQRRGLLQTDQELFSTPGADTIALVNKFASSQSQFFSSFSAAMIKMGNLNPLTGTNGQIRLDCKKIN >OMO73105 pep supercontig:CCACVL1_1.0:contig11305:24465:25832:-1 gene:CCACVL1_17473 transcript:OMO73105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MASFPKITALFLLAIAMMLGASNAQLSATFYSKTCPNVSNIITSVLKTAQGNDIWIFPKLIRLHFHDCFVNGCDASLLLNGTNTEKTETPNLSTDGYGVIDDIKTALEKACPRVVSCADILALAAQLSVSLSGGPKWTVPLGRRDSTAPHKEKIGNIPSGHESLATIKSLFKSFGFDSTDLVALSGVHTFGRAQCAAFTDRLYNFNNTGKPDPILNATYLTSLKSQCPQGGNPTSLINIDEQTPFTFDNKYFLNLQQRRGLLQTDQELFSTPGADTIALVNKFASSQSQFFSSFSKAMIKMGNLNPLTGTNGEIRLDCKKIN >OMO73103 pep supercontig:CCACVL1_1.0:contig11305:10528:12346:-1 gene:CCACVL1_17471 transcript:OMO73103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MDEKIMKMSSFRLFTVATLFFTLFLPPGSLTYELSPSFYDQTCPEVFIIIREIIEEALLSDPRIGASLLRLHFHDCFVNGCDASILLDNSDTIESEKEASPNKNSARGFDVVDALKIALENECPGTVSCADILAIAAQESVNLAGGPSWLVLLGRRDSKTANRTLANLAIPTPTETLDELKSKFAAVGLNLTTDLVALSGAHTFGRAQCSSIIDRLYDFNGTGNPDPTLNKTYLETLQKACPQGGNGSILVYLDLTTPNTFDKNYYSNLQAYEGLLQSDQELFSTMGADTIGIVDSFSRKQYLFFTNFVISMIRMGNISPLMGTEGEIRMSCRKVNEEIYSSSRSNKYWNSS >OMP03792 pep supercontig:CCACVL1_1.0:contig05974:6190:6683:1 gene:CCACVL1_02264 transcript:OMP03792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNLSSKERARGFAKRKEWTLSSDCLFDCGFRWMFNKRGFLNYDSSRGKVWW >OMP03790 pep supercontig:CCACVL1_1.0:contig05974:2978:3262:1 gene:CCACVL1_02262 transcript:OMP03790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLLNRIRYSIDRSCSAIAIGNVLPVQNWGIPPTARRLQIRGEETSARVDKV >OMP03793 pep supercontig:CCACVL1_1.0:contig05974:7075:7799:-1 gene:CCACVL1_02265 transcript:OMP03793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEDKRVSSRQRKHPSHTTRARELLAHRLKGRDGSRIDKKASNRRTYYMIFFFECPVPRRRTT >OMP03791 pep supercontig:CCACVL1_1.0:contig05974:4138:4359:1 gene:CCACVL1_02263 transcript:OMP03791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLIKQAQEKETFHFLLERLSSKILPRAILLRSRSLAVNRG >OMO87901 pep supercontig:CCACVL1_1.0:contig09158:15328:18961:-1 gene:CCACVL1_08684 transcript:OMO87901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAKGITITTSLVSLPELFSQTIVSIFDCIHAAKAVATQVESFEKFSNYIEKITSILKELSNSNVNDSESLRNALDILNLEVKVVKQLAFECGTRNKIYLLISCRKILKQLENNTKEICQALGLIPLASLDGPLRISNKINRLRKDMLAAEYSPGMVENEILDKIESGIKETNVDRCYANGLLVSIAEAVGVPNEPLALRKVFDEFKNEIEDSTRGLDTAEARRMEQIVVLLEKADATTSFEEKAKRYFHERNSLPRQPLEPLQSFYCPITMDIMVDPVEISSGRTFERSAVERWFADGNKQCPSTSIPLENLVLQPNKTLRQSIEEWKERNKMITIVSLKPKLQSNEEQEVLQSLCELHDLCVERELHREWVIFEEYIPILIELLIAKNKEIRTQTLAILCILAEDSHDNKERIAKVDQALESIVRSLARQIKESKFALQLLLQLSRSSAVRDVIGAVQGCIFLVVTMLNNDEAQASENSRELLDNLSFLHQNVIEMAKANFFKPLLHLLCSGPDNVRLLMAKTLSELELTEHHKLSVFKDGALGPLLQLLSHDNLEMKAVAVRALQNLSNLPQNGLQMIKEGAVGPLFEILYRHSLSLPSLREQVAVVIMHLAKSTISQEADREQISLLKSDEDIFKLFSLISLTGPDIQRNILQAFCAMCQSSSGPDIRAKLRQLSAVQVLVQLCEADNPTVRASAVKLFCCLTVDGDDITFQEHVNQRCIDTLLRIIKSSTDEEETASAMGIISNLPKDIQITQWLLDSGALDIIFASLTDGNRNAPHKKQEIESAVRALCRFTVSENREWQKKVAEAGFIPVLVQLLVSGTSLTKQNAAISLKQFSESSSALSRPVKKSSPFICCLAATETGCAVHQGICTVESSFCILEANAVEPLVRILGEGDFGACEASLDTLLTLISDERLQNGCKVLDKSNAISPIIRLLSSTSTILQEKTLKALERIFRLVEMKHKYATLAQMPLVDITQRGSGGMKSLAAKVLAQLNVLGEQSSYF >OMO87902 pep supercontig:CCACVL1_1.0:contig09158:21373:26847:1 gene:CCACVL1_08685 transcript:OMO87902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIIC, subunit 5 MGVIKEGRVSGTLPDEESFAVHFPGYPKTTARAIETLGGTEGILKTRSSKSNKLELYFRPEDPYSHPTFGELRPCNNLLLKISKKKSSNGQSAEASSKLQECSTSGATNSENPEHQSQVEEPEPGPGQGQTDLCADIVSRVSEAYHFDGMADYQHVLPVHAEAARRRKRNWAEAEEPPFERGGFMDVDQEDVMMLLPPLFSPKDMPENIVLRPSTILSSKKKQEGVVQTTAEVDLEPGIAIDFNIKDILQIAFYFYAGFSQFLTWMLYRFIFSIFLLDLAFLQIPKKVNWEELITRGSDQWEWQMTVSKLFDERPIWPKESVTERLLDKGLKFSHLMLKRLLLGVAYYFSNGPFLRFWIRKGYDPRKDPDSRIYQRTEFRVPEPLRSYADASTANKLKHKWEDLCSFRVFPYKCQTFLQLFELDDEYIQQEIRKPPKLATCNSKTGWFSESVLDCLRLRVAVRYLSVYPKAGAESILKSYSDEFEKLKRTCIYKDVINPNQDEHQQANKGDEEKERAKSSDHEEDEIEADDEEELDVYETLNLVNLLFAPYLDMENNSRTYLQELFGSFPSTAVGADAIQDADGSDGEYQIYEHFSDNNYSDYDEDEEDS >OMO87899 pep supercontig:CCACVL1_1.0:contig09158:279:3166:1 gene:CCACVL1_08682 transcript:OMO87899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSYWRYGDSRQPPPSSIPPIVGKRPRTDYDVSGGHELGYYSRDDDRGAIRAIRDSDSIGASYDRYLRSTQMSSYGGSQSARPMSGAMPGRSVDDPRIMGIGSVDPGQPVKDRTLGFGGRPDPPLPPDASSTLFVEGLPSDCTRREVSHIFRPFVGYKEVRLVSKESRHPGGEPLILCFVDFVSPAHAATAMDALQGYKFDEHDRDSVKLRLQFARYPGARSGGGHRGKR >OMO87903 pep supercontig:CCACVL1_1.0:contig09158:28317:30839:-1 gene:CCACVL1_08686 transcript:OMO87903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVESSPQKPNYLIPPLSPPPPSPPPPPPLEPSPPSPKPNPFLSLLSHRPTLRVTSEFDSDSRVFFHKVSCKLFDNLAKLKLSFANNSKREISDPQLALTSKYLSIHYDPEEQNALIKSSFDVGPRLLFKAAHDVKAQQGEVAMTALAAPGYAVELSSPVPYAGLPKATFRFPMGEVSLEEREEEDVPRTLSIDGILKGQFLNGVCAAIYKDEELKLRYSYKDGAMAFIPSISLPSNAASFAFKRRFNPSNKLSYWYNLDSNYWSAVYKHTYEKDFKFKAGYDSEVRLGWASLWIGDENGKAKTAPMKMKVQFMLQVPQDDIKSSVLMFRVKKRWDIL >OMO87900 pep supercontig:CCACVL1_1.0:contig09158:7034:7558:1 gene:CCACVL1_08683 transcript:OMO87900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MGEISETQAMELETVENEECPIKHVELTVSKTDDPTLPAVTFRTWILGLGACVILSFVNQFFWYRKMPLTISSISAMIAVVPLGHLMARTLPRRVFFKDTRLEFSMNRGPFNVKEHVLITIFANSGAGTVYATHILSAVRLYYKRELTFVPALLVMTTTQVFSSFPFNYKFLSY >OMO94498 pep supercontig:CCACVL1_1.0:contig07861:2531:8310:-1 gene:CCACVL1_05968 transcript:OMO94498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLTRLYFQQGRFEEVDLPLLIVYGGDGIVSDPACVEDLNVSLQKETKRYKQPHKTLKMCIFGDEVLDIPLPELQDLKTLKVALHLATKDEVVIHTIRLPKQSTVVDVDVLDDLKTKVELSHPSAELILFEVEKHKIYRIFEPSGKIEYIDDVYSKLRVEERIQNFGEPFLSLVREGETLAQVKVRIRKKLQVPDEEFAKWKSAVLSPIGTLKSQYLQDSDVVSTFFQLMKLAAHKAYLLKQAAWLTNC >OMP00659 pep supercontig:CCACVL1_1.0:contig06645:609:701:1 gene:CCACVL1_03322 transcript:OMP00659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWSKSKTIQNSTNGPRKARNKKCSE >OMO53273 pep supercontig:CCACVL1_1.0:contig15257:9631:13183:-1 gene:CCACVL1_28757 transcript:OMO53273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEVQYPFPEYSKTQRIVLLIDLNPLLHLQDPNPYLKAILSSSETLLAFPPLSSSLFSFKPFFSSLSPLLSSSKLPFPSFSLSFDRPDSTLNSLSRFLSSILTTINKASFPLNPSRASNLAAFLRQLVHDYAWDPLISDSVSGTLSNSDSSVLIRSNLVVLFSPLDRDLDWLRVFFDVEIDNDSLTDLGAFVKMFSGVFESVNNAFVSRDIHCCWVDVKFQSWEIEDFDNLGPGFLETGIRSLGWGFCSTNSIVLGSALIPFGLIYPKIGVLSNCFRGFDTNDDSDRKMNAQLSLEILDANGKPLECKCCELEFFDFKMCSGSKHGDVLFTHAFSNSQIRSDDQKHRSLLEQYCNGVTKIHVRTVRRYDDWLKLEGHFLNPIIVRESLGNSRKKLKDNSSDFYADGVLRLLARDMGESLVRKPVPIWQIFLSFLYREGYWALVSLSDGKCDLHIGILKPFTVSSALLCIIEDGFCPNNKLLESCGVSLAAYLAKPNDETTIRNFDSKQYSEFLNSQSHPSTSNNYAGKRKKNKKNLHLLHDLTWSAFCQAAAGPLKIDLEEGYLSRDCNNSKKLKFLKCWMKQVKNCRPCSLNIPEGAKPDQDAAEEINHRVHELPQDSEQPASCSASVGEGSSRILDEAGNDFCSGTLENFFGSLPNKIAQGLESGEVELGAFAERLVSSCIYWLYQKHEIEDNSESQSSQVKGNDACASKAAVELTELLLREPKDLAAMHRRRDPSSQASDSRSIVSASDNIVREYELQILFRMEILQSEVSAVIEELMKQKFVKQICSLLESIQCHLDGGFFGEWRLDKYVEKIIKSRYYHSLRDVVDKIYTKMDLLLFDDEDELPNHLFNSEDSDQSWKENPEKDVNYRKNEPDLIENKYPQLLKNDKSPQVIRTEEHARKLIEAQGRRERARRFSSFTSWMPDLQRVWAPKQLKTTKPKSDPLQKLSKRKKPSRASYDMVCETPMTEKKRSSAHRNSIDDEEDHEDCGTHTHSHVSKALFQDGKQ >OMO72759 pep supercontig:CCACVL1_1.0:contig11393:6231:6554:-1 gene:CCACVL1_17613 transcript:OMO72759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase, N2/N3-terminal MRFIEVVLVEPDKHVVALADAYFFPPFQPSLIPRTKGTGPVIPSKLPPRQARLDVYNKRSNETSIWVVQLSEVHAATRGGHHMGKVKSSKVMPDVQPPKVNMCIIEL >OMO92716 pep supercontig:CCACVL1_1.0:contig08178:4520:6194:-1 gene:CCACVL1_06770 transcript:OMO92716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MEGKEEDVRLGANKYAERQPIGTAAQSQDDGKDYAEPPPAPLFEPSELTSWSFYRAGIAEFVATFLFLYISVLTVMGVVKEKTKCPTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYMVMQCLGAICGAGVVKGFMGKTRYTALGGGANSVAHGYTKGDGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDKGWDDHWIFWVGPFIGAALAALYHVVVIRAIPFKSK >OMO92721 pep supercontig:CCACVL1_1.0:contig08178:34662:38845:-1 gene:CCACVL1_06775 transcript:OMO92721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRRIPFEVGQLVEMKSFLSGYRGAWFRCKIMEFGVKNTVYMEYIDYPDDGIKEETLYEKAIGRNGRRNGKLTLMLRPQFPRVYRESEMPNMNNISEVVVIVNDVWKVGDFVDWFTTGCFWCGKITEILGDEKVKIELLPPPAGEGSSYEVLCKELRPSLDWSVDKGWCLPKGSKDHISSARIVKPDNQGNSPNLINHTVSLEEKNVQAIGAASISQKGSDTAEQYKYDDNGSSKKIKIDQSIPLNSTSSDTTEAAILDLEELICRVNWLKQILEFGTPLSETGEASWEFVEHGAPSNKPK >OMO92719 pep supercontig:CCACVL1_1.0:contig08178:20579:21985:-1 gene:CCACVL1_06773 transcript:OMO92719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSDIPNKSPKLSNKLQVSIPTPFRFSNISSPLSTSSSSASSAYDYYLRLPELRKLWEVKEFPDWKNERVLKPALHALEITFRFISIVLSDPRPYSNRREWTRRLEALTTSQVQLIAMLCEDEDADKTTAGTAPIVDLTSSSGVLARESSSAEVWKIHGETTVVNRTSEASLLPRLATWQKSEDVAQKILYSIECEMRRCPYTLGLGEPNLSGKPNLDYDAVCKPTELHALKKSPYDHIDNHENATLYTTHQILESWIQAAKQVLKRIASRIDANDFEAAASDCYLMEKIWKLLSEIEDLHLLMDPDDFLHLKSQLLIKSVNETEAFCFRSKGLVEITKMSKELKHKVPFILGVEVDPKGGPRIQEAAMRLYTEKQEGNKVFLVQALQAIEGALKRFFYGYKQVLVVVMGSLEAKGNRVVASSDSGDSLSQIFLEPTYFPSLDAAKTFLGEFWSHENGGSGLTRWRK >OMO92720 pep supercontig:CCACVL1_1.0:contig08178:26409:31078:-1 gene:CCACVL1_06774 transcript:OMO92720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLAELCLSTTRRYYHVETKQAAWSSNAWCAPPKEPDRNAWKPTGGGRAMHVMGTMDVSQ >OMO92723 pep supercontig:CCACVL1_1.0:contig08178:47388:49659:1 gene:CCACVL1_06777 transcript:OMO92723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPYRDRTAEFRSLSQTLQKIGGIAAVDNNHVQNGGVSKPHPPLSSRSEFNKKASLIGSGIHETSQKIVRLAKLAKRSSMFDDPIVEIQELTSLIKNDITTLNMALSDLQTLQNMEIADGHYSEDRVVHSTTVCDDLKSKLMGATKHLQDVLTARTENIKAHENRKQMFSKSPLRENPFQRQTVPVSEPPPWSSSSNASGNSPPPGPPSNGVQAGSQLRRRAAVDGTPSHHMEMSMLQQVVPRQEHYTQSRAVALQNVESTISELSGIFTHLATMVAQQGELAIRIDEDMDQSLSNVEGAHSSLLRHLNRISSNRWLLIKIFAAIIFFLVIFVIFVA >OMO92717 pep supercontig:CCACVL1_1.0:contig08178:12002:14579:1 gene:CCACVL1_06771 transcript:OMO92717 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXTL2, alpha-1,4-N-acetylhexosaminyltransferase MLPMHRRTVFKFRQAGIATLGSVKIRILICICIALTLLVFANWNSDASASVLGWTGDVASPDGSVYPRGRYAIVMNTWKRYDLLKKSISHYASCPRLDSIHIVWSEPNPPSDSLKRFLNRVIQSNSRNGHQVELVFDVNKEDSLNNRFKEIKDLRTDAVFSIDDDVIFPCSSVEFAFTVWQSAPDTMVGYVPRMHWVDEKVCSKDKYVYGGWWSVWWTGTYSMILSKASFFHKKYLKLYTERMPASLKDYITKNRNCEDIAMSFLVANATGAPPIWVKGKIFEIGSTGISSLGGHSEKRTQCVNKFVAEFGRMPLVPTSMKATDSRNVWFW >OMO92722 pep supercontig:CCACVL1_1.0:contig08178:43396:45168:-1 gene:CCACVL1_06776 transcript:OMO92722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10/acidic P0 MAPKPTKAEKKIAYDAKLCQLLDEYNQILIAAADNVGSNQLQNIRKGLRGDSVVLMGKNTMMKRSIRMHAEKTGNQAFLNLIPLLQGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLIVLSVYDNGSVFSPEVLDLTEEDLIEKFAVGVSMVTSLSLAISYPTLAAAPHMFINAYKNVLSVAIVTECSFPQADKVKEYLADPSKFAVAAAPVAAAGGAAPAAAAPAEEKKPEPEEESDDDMGFSLFD >OMO92718 pep supercontig:CCACVL1_1.0:contig08178:15355:17179:-1 gene:CCACVL1_06772 transcript:OMO92718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MLMLQQFPSKPGSLISSSSSSPWFSANNVGIINTQFPLAFSKTPRSTFLRSRKTRGFNNLKHIVPCARIRDHIHISDEKVLKGFEELGDYPFYDDHEEKINQEKESESASALGKCTEMQVLPSKFEFLDPEWLGIRPDPPSWPERDEVSRITIEQKANSLEIPISLRIIKKKLRWKEGFVDAGEYAYCSVKKAFSSLIFIIRELQDHTWTIKENYLYCEDLRGILNKMQGDMNLMFVWLFQQVFSKTPTLMVYTMILLANFSVHSMTIQQPRSYTTQKAIAATMSLVEEEIEEFGRDSELGLWKASRTEGVIYKVLDDQMKQQIVYPESPDINNVEIFRTSLMYQMCVDKEPDNLLLLINYARFLYLVAKDYDRAEELFRRAIQVEPPDAEAFGQYAYFLWLVRNDHWQAEEKYLQALDVDPNNAYHASNYAYFLWTTGAKDTCFPLNYDKVS >OMP03178 pep supercontig:CCACVL1_1.0:contig06131:4371:6144:1 gene:CCACVL1_02516 transcript:OMP03178 gene_biotype:protein_coding transcript_biotype:protein_coding description:threonine dehydratase catabolic YRNKLRVEAMISCGAGVSSNPGLDLNSVAALATPMASNGKLAVVELLAPVAVETNGKTVGGEVDRDRPIVEGCV >OMP03179 pep supercontig:CCACVL1_1.0:contig06131:15446:15625:-1 gene:CCACVL1_02517 transcript:OMP03179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med14 MAELGQQTVEFSTLVSRTAEESFLSLKELVEKSKSSSQSDTEKKINLLKYIVKTQQRIA >OMP03180 pep supercontig:CCACVL1_1.0:contig06131:16987:19715:-1 gene:CCACVL1_02518 transcript:OMP03180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKERKRWLGHSSPPSAAL >OMO58350 pep supercontig:CCACVL1_1.0:contig14247:34102:34833:1 gene:CCACVL1_25481 transcript:OMO58350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIYCNNQVIRDSCYGRSCSKAQACLQKILRTISTIPSPPNPNPFEKRGFDIDLNLRLGSCLNDDESTEDKSESLAENSFVGKNPDVEEETEEIEEKTGTATATATDVSSDVTELQKGDEFKSGEVKTAAVFNDSLDLLIEAAEMISERDYGANEEKEKEDEKSGGSSSTMEIRNNDKDNNSVVNVEFGEFEDISPVVRSKRGRSQVLPLRFRDSVLEPLKKQRPQRSGVAVVSKRKRRSRR >OMO58351 pep supercontig:CCACVL1_1.0:contig14247:36719:37423:1 gene:CCACVL1_25482 transcript:OMO58351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHKFLGVNKGGGYSKAQMCLQKILTIICSVPPNQQIISEDEPSFQFHPRDLLQLDSSSSSSTSQDKAQSFVPRGFLIDLNLELTSSPEETQTNLVAIDQEEKRNPVSETDLEDEKRETVPFYSRGIGQQEEEGGALTLVIETGEGLSSANGESEVDELSSELGTQEIKGKEAREKQREVGLHRRRFGDEVSQSQVVRTRRGRLRVLPCRYKDSVLIHPLARNAGSTKRRRFK >OMO58349 pep supercontig:CCACVL1_1.0:contig14247:29049:29528:1 gene:CCACVL1_25480 transcript:OMO58349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytosulfokine MKQSFLSGALLLFFLILISSSHLSARFIANKQGKEEVVELSQITDMEDTELMNQLMGVEACKSGDEECLKRREISEVHLDYIYTQQHKP >OMO58352 pep supercontig:CCACVL1_1.0:contig14247:44648:50024:1 gene:CCACVL1_25483 transcript:OMO58352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEEEQLTESQQDAEEDEEDDELQLNESQQQSPESDSDSDSDSSSSDDYLEDSDTETAAYTRPGQPPRKTITREAIIRRYTRALESKRRKKVLEEEDRNYVYYEDRWNFPKDPENWREEDLMEYWVDAPLEMTKPGWDPVWADEEDWEIVRNEIREGRDPGIAPFYVPYRKPYPPIPDNNRDISNPKAVIEELDRIEEFLKWVSYIFPDGSSYEGTVWDDLAHGKGVYVAEQGLVRYEGEWLQNNMEGHGVVEVDIPDVEPLPGSKLEAKMRAEGRIIKRDYMTPEDREWLEMDVEDSLRLAGGDYEIPFYESDLWLKHFGRKPEKGRYRYAGQWKHGRMHGCGVYEVNERTIYGRFYFGELLEDEHGCDDEISAMHAGIAEVAAAKARMFVNKPDGMVREERGPYGDPQHPYFYEEDDAWMAPGFINQFYEVPDYWKTYAHEVDQERELWLNSFYKAPLRLPMPAELEYWWSKDHTPEFILVNKEPEPDPEDPSKLIYTEDPLILHTPTGRLINYIEDDEHGIRLFWQPPLKEGEDVDPEKAQFLPLGFDEFYGREVIGAKDSIWKRFIMAIENALRLDKLEKWTEEKKKAGEMKMQLIEKQLDFIEAELCLEEAIEDMDEELRMKEKEAEKKMEMGLEEEDTTVVADQEKKGITKEDVDEDVVEEEEEEEEEEEEEEEDDVTPSSFGSVAADKGPTMNDQKGKKPRESPFSSSSLSFASCSLVSAVPSTLRQSILSLKQGRLPLKLSPPSSVENPNDLPKTIDSVSFRPVLCHKGSLRASMQDHRKVQPQKRSSGQMSHFHSLCKILSCPSATANTRSSLKRERKFNHFGPQPAPEEYSDSILSLHIPLYSLESYIDTKCC >OMO58348 pep supercontig:CCACVL1_1.0:contig14247:13341:27098:1 gene:CCACVL1_25479 transcript:OMO58348 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat-containing protein MDKNENTALHLACKYKHLDEVKTLIQEDPEEFSYYPNNFYETPLYITARNGGGQRAAAEKDIGH >OMO93004 pep supercontig:CCACVL1_1.0:contig08144:17075:18202:1 gene:CCACVL1_06684 transcript:OMO93004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MFSKAHHLLQLRFLVFASNRQFWKDAASRVAATCSTICPDQAEILRETGIESPRNSSEVFKKWGCTENDLLNIFSRHPYLRNARVTPLSSKLELLSSLGITTSDLVKMINCRPRLLRCRINNCFHERLEFFRTLFGSGEVLRKAIVRNPSLLTYDLQDRIKPVIASYEEMGVSGNDLTIMLMSRPTMIARTSFNEEKMEFIKKTGVSKGSKMYKYVVVLIGISRIETIQEKVANLEIFGCPEDEVWSLLGRAPFILTLSVEKVKRNMIFLVGTMKLSPKLVLQYPHLLFSNLDMVLKPRLLLAGKLREMKLQPQITRSNMMRAIRMKEERFLTVFVRCHPENVAKELLEFYKNAKCMKRLAQASKKKVVNIGFPF >OMO93009 pep supercontig:CCACVL1_1.0:contig08144:28434:29401:1 gene:CCACVL1_06689 transcript:OMO93009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSSCSNWGTTIDVQTANNFCEPMFEAQQQQQQLEYYLDYPLELPNYAFFDHPYNNCYFPETYPPLLPYFPSPFENEATITNIVPYEEEDFCSYPIFSKRQKIIENPPFCSDLMPAANFFDNSFLVLPEEQSIINHQVNNNYSLDNNGINECNEESSKKKNSEKCSTVSAQSIAARARRRKITEKTQELGKLVPGGNKMNTAEMLQSAFKYIKYLQAQVGILQFMDSFQETEKESCKGNMEILTSPKLQEKLYMEEKCLVPKDFVLSLTTISKAPLSDELSQLLEIV >OMO93000 pep supercontig:CCACVL1_1.0:contig08144:5002:5205:1 gene:CCACVL1_06680 transcript:OMO93000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQPQITRSDMMRALRMKEEIFLTAFVRCHPENVATELLEFYKNAKCMKRLAQASKKKVVNYGFPF >OMO93005 pep supercontig:CCACVL1_1.0:contig08144:19538:19891:-1 gene:CCACVL1_06685 transcript:OMO93005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKLWAGMFVFMLLMVLGASEVFPTKAGSMTHSQCKDEQRLLVNACSSVVFGHSPSSDCCARVRVTRAECVCHAITPRLAAFIGVERTIKQIEGCGRTVPRNFKCGSKIKHDHACI >OMO93002 pep supercontig:CCACVL1_1.0:contig08144:12224:13348:1 gene:CCACVL1_06682 transcript:OMO93002 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MFSKAHYLLQLRFLVSASNRQFCTGSASKVAATCSTICPNQAETQREIGIESPRNSSEVFKKWGCTENDLLNIFSRQPSLRKARVNLLLSKLELLSSLGITSSDLVKMINCSSRFFSYRINNCFHERLEFFRTLFGSGEVLRKTIVRNPSFLTYDLHNKIKPVIACYEEMGVSGNEFTAMLLWKPTMIPRTSFNEEKMEFIKKTGVSKGSKMYKYVVVLIGISRVETMQAKVANLEKKFGCSEDEVWSLIGRSPHILTVSVDKVEKNMTFIVDTMKLSPKLVLHNPFLLYCNWETTLKPRFLLAEKLKEMELQPQITRSNMMWALQMKESKFLTMFVICHPEDVVTELLEFYKNAKCVKQLAETSKKAVIRFPF >OMO92999 pep supercontig:CCACVL1_1.0:contig08144:2161:2331:-1 gene:CCACVL1_06679 transcript:OMO92999 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative CDK MTESSLSRCSPFTSSISSTSITSTPTRRASPASKANLYLVFEHLDIDLMKFIDSHP >OMO93003 pep supercontig:CCACVL1_1.0:contig08144:14194:15853:1 gene:CCACVL1_06683 transcript:OMO93003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MFSKAHYLLQRRFLVSASNRQFCTGSASKVAATCSTICPNQAEKLRETGIESPRNSSEVFKKWGCTENDLLNIFSRQPSLRNARVNLLLSKLELLSRLGITSSDLVKMINCRVRLLSHRINKCFDERLEFLMTLFGSGEMLRKAIVRNPSLLIYDLHNTIKPVIACYEEMGISGNDLIAMLLWKPTLIPRTCFNEEKMEFIKKTGVSKGSKIYKYVVVLIGISRIEIIQEKVANLEKYLGCSEHEVWSLLGRSPLILSLSVDKVQRNMIFIVATMKISPKLVLRNHFLFYCSLETTLKPRFLLAEKLKEMELQPQITRSNMMWAIRMKEERFLTVFVRCHPKNVATELLKFYKNAKYSPLTAFNLLDGAFNSDEDGELRGNGWACANILSIVGPNMLAAVRGGAVAEHDGAA >OMO93011 pep supercontig:CCACVL1_1.0:contig08144:43915:44514:1 gene:CCACVL1_06691 transcript:OMO93011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIH subunit p52/Tfb2 MPQVRIIAKNFMDMVASLPAIKLDMLYRNQFICEAILRSLPPLAKKYVLQMLYIDVPITSKSLMEWVLADGSSKHKVAIDWLIQLRILEVVDRKKETTYKLNPTFQTNLRKHLVYG >OMO93001 pep supercontig:CCACVL1_1.0:contig08144:7733:9084:1 gene:CCACVL1_06681 transcript:OMO93001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MALVSVIERHYLLQHRFLVSASNRRFWTGSASKVAATCSTICSNQAETLRETGIESPSNSSEVFKKWGCTENDLLNIFSRRPSLRNAQVNLLLSKLELLSCLGITSSDLVKMINCRPRFLSCRINNCFHERLEFFRTLFGSGEVLRKAVVRNPSLLIYDLHNRIKPVIASYEEMGVSGNDLRAMLMSRPMLIPRTYFNEEKMEFIKKTGVSKGSKMYKYVVVLIGISRTETIQDKVANLEKFGCSEDEVWSLFGRSPLILTLSVDKVQRNMIFIVDTMKLSPKLVLQYPFLLLSNLETVLKPRLLLAGKLREMELQPQITRSDMVWALRMNERGFLTEFVECHPENVVTELLEFYKNAKCVKQLAEASKKAVTKGFPF >OMO93008 pep supercontig:CCACVL1_1.0:contig08144:24606:27232:-1 gene:CCACVL1_06688 transcript:OMO93008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellular retinaldehyde binding/alpha-tocopherol transport MESKLENSSEDSQFDKQVQTDTAAAAHDQKEELPKADEEKVIEAEKVKSTGGENGEGKIEGLSKIDMEMKVNDEAKEGDLKETRNEVNASDQERKEDVKKIDEVDVCEVKKELHEEVIKDDDQSKENEVKKEMHEEVIKDGDQGKENEAKAVLEEEKKERVVKIDQTPTIVEDQNNGESNKNDDDEKKKNDVDQGEDASSCNVNVSSLSFKEESNLFSDLKETEKKALIEFRSKVEEAINESQFIIKATKPKENEANSAMEGAEKHKEENGQEDQKSEQKEMKEENVGSINNDIKLWGVPLLPSKGGNATDVVLLKFLRAREFKVFEAFDMLRNTLKWRSENNMDSILDEDLGKDFDPTAFMNGTDRQGHPVCYNVFGVLADDTMYTKTLATEENRDKFVRWRLQLIEKGIDKLDFIGVSSILQITDLKNTPGPSKKEVRLVMKQVISLLQDNYPEFVAKHIFINVPFWYYAFAALLSPFLTQRTKSKFVYARPAKVSETLLKYIDAEEIPISYGGLLRDNDSDFSVEDHAEEIVVKASSTETIEIPTPEAGNVITWDLVVLGWEVNYKEEFVPSNVKSYTILVQKERKMGIQEGSVRNSFKNNEPGKIVLIIDNASKTKKRALYRFKIKSSSSS >OMO93006 pep supercontig:CCACVL1_1.0:contig08144:20638:21795:1 gene:CCACVL1_06686 transcript:OMO93006 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosylmethionine-dependent methyltransferase MLAALRCCPRIIPNPLLSNKIRPQTCLFSFSSFFSSPKSTSKNLCYSSNSILHSNGGDPSPRLLPNSPLSGLENELVGYIFGKKKPTEVAHLVWRHVLHKGDIVIDATCGNGYDTLAMLKMVADESGRGGVYAMDIQTEALESTSSLLDATVTPKELFEMSSKPVKHLADNGHLTCGIPERILRSGLKLGAIN >OMO93010 pep supercontig:CCACVL1_1.0:contig08144:39218:39845:1 gene:CCACVL1_06690 transcript:OMO93010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MATSLKAFFFFASTLCLVSLALAASHSSETFKVVGRVYCDNCRAQFVTKISKYISGAKVRLECRDREGGHLTYKSEGETDSTGTYELKVEGDHEEEVCEVALVKSSDPDCAEIDHENFLGKSARISLTKDSGISSDIRLANPLGFMVKERLPECTEVLRELGITPSGLV >OMO93007 pep supercontig:CCACVL1_1.0:contig08144:23959:24030:-1 gene:CCACVL1_06687 transcript:OMO93007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKALASGAGDCGFESHRDRFSL >OMP10631 pep supercontig:CCACVL1_1.0:contig02094:79:321:1 gene:CCACVL1_00841 transcript:OMP10631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVQKDPPFFHQIFCFFLDTRVQIPMDLLFAYRLHIIRKTLVFSKPLVHISHQTVLFDNVYLVDSLLTQASIQISVLHSFVK >OMP01074 pep supercontig:CCACVL1_1.0:contig06539:4804:6847:-1 gene:CCACVL1_03166 transcript:OMP01074 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MEGLAEGVNNLSITDSSASNNKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTIAEILKNNGLAVEKKITTSTVDMREESGGRPVQKAKIEILLGKSEKFDELMAAAAEEVLDNEEQS >OMP01073 pep supercontig:CCACVL1_1.0:contig06539:560:3384:1 gene:CCACVL1_03165 transcript:OMP01073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MGKRGIQLFDDKKDVIFSVCNLGSPWSQEENHFYPGGLFASVGQVGMGFGVSPNTPNPRDNGGGFKAPFSDLFVKYLPFQEDVQVVGVSEGEASLKKKKKVGLKLKIKVSNPSLRRLISGAIAGAVSRTCVAPLETIRTHLMVGSSGNSSTEVFNNIMQTDGWKGLFRGNLVNVIRVAPSKAIELFAFDTVNKQLSPKPGEEPKIPIPASLIAGACAGVSSTLCTYPLELVKTRLTIEKNMYDGIIDAFVKILQKEGPAELYRGLAPSLIGVIPYAATNYFAYDTLRKVYRKVFKEEKIGNIETLLIGSLAGAISSSATFPLEVARKHMQVGALSGRPLYRNVLHALSSILEQEGIQGLYKGLGPSCMKLVPAAGISFMCYEACKRILVEKDEEA >OMP01076 pep supercontig:CCACVL1_1.0:contig06539:19540:19599:-1 gene:CCACVL1_03168 transcript:OMP01076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLSPPTATGAADGKYRQ >OMP01075 pep supercontig:CCACVL1_1.0:contig06539:8918:15381:-1 gene:CCACVL1_03167 transcript:OMP01075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MEASAGMVAGSHRRNELVRIRHDSDSGPKPLKNLNGQTCQICGDNVGLTAAGDVFVACNECAFPVCRPCYEYERKDGTQCCPQCKTRYKRQKGSPRVEGDDDEDDVDDLDNEFDYAQGHNKARRQWQGDDVDLSSSARHESQQPIPLLTNGHSVSGEIPCATPDNQSVRTTSGPLGPSEKNVSSSPYIDPRQPVPVRIVDPTKDLNSYGLGNVDWKERVESWKLKQDKNVMQMASRYPEGKGEIEGTGSNGEELQMADDARQPLSRVVPISSSHLTPYRVVIILRLIILGFFMQYRTTHPVKDAYPLWLTSVICEIWFALSWLLDQFPKWSPVNRETYLDRLALRYDREGEPSQLAPVDVFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVACYVSDDGSAMLTFEALSETAEFARKWVPFCKKHHIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIIVKSCCGSRKKGKSGNKSYIDKKRAAKRTESTIPIFNMEDIEEGVEGYDDERSLLMSQKSLEKRFGQSPVFIAATFMELGGIPPSTNPATLLKEAIHVISCGYEDKTEWGKEISNYASMWFILLFVSIFATGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDDDGEFAELYVFKWTSLLIPPTTVLIVNMVGIVAGVSYAINSGYQSWGPLFGKLFFALWVIAHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSDATKAAAKGQCGINC >OMP01077 pep supercontig:CCACVL1_1.0:contig06539:21320:23263:-1 gene:CCACVL1_03169 transcript:OMP01077 gene_biotype:protein_coding transcript_biotype:protein_coding description:cAMP response element binding (CREB) protein MQEQATSSIAASSLPSSSERSSSSALQVEVKEGMESDEEIRRVPEIGGDASVAPAAGREGGSAAGPDRVQPSGEGGPRKRGRSPADKENKRLKRLLRNRVSAQQARERKKAYLNELETRVKDLEKKNSELEERLSTLQNENQMLRQIVKNTTASRRGGNGNSNSAADGTL >OMO85807 pep supercontig:CCACVL1_1.0:contig09574:7214:13604:1 gene:CCACVL1_09990 transcript:OMO85807 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 5 MAIFSQKKQLLLWIFSSCLLCVTSGKISNDFRSKAVNLGGWLVTEGWIKPSLFDGIVNNDFLDGNPLQFKSVANGKYVSAKSGGGTTLAADQTIVSDRELFRLWRINETTFNFRVFNDRFVGIANAGKQTQVVAVSKTPGEFETFEIVRKPGDSSLVRIKAANGFFIQVNKENVVTADYAGYTTRWTKDDPSVFIVTINGTAHGEYQVTNGYGPEKAPKVMREHWNTFIVEDDFKFIAENGLNAVRIPVGWWIASDPTPPLPYVGGSLKALDNAFLWSQKYGLKVIIDLHAAPGSQNGYEHSSSRDGSLEWGKTDETLQKSVAVIDFLTARYVKNPSFFAIELINEPRAPMVPLETLIKYYKAGYKAVRKQSSKVYVMLSNRLGATDPTELLPLARGLKGSVIDYHSYVLFSTDFKNMTVPQILDFIRTNRSAELNLINKANGPLTFVGEWTAEWEYKGGTKKDYMRFVKTQLKVYKQATMGWAYWTLKNGRVLDPNSGIKAVNLGGWLVTEGWIKPTLFDGIVNKDFLDGTALQFKSVTTGKYLCAEQGGGSIIVANRTSASGWETFRQFVGVDSNGNGIVAVSITPGNSDTFEIVRDSSDKNRVRIKASNGYYLQAKTEEVVTADSQGSNGWGDDDPSVFVMKTTGRLEGEFQVTNGYGPQAAPQVMTV >OMO83371 pep supercontig:CCACVL1_1.0:contig09889:11468:19985:-1 gene:CCACVL1_11409 transcript:OMO83371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQNQDQFEVYFRRADLDGDGRISGAEAVGFFQGSGLSKQVLAQIWMHADQSHSGFLSKQEFFNALRLVTVAQRRELTPDIVKAALYGPAAAKIPPPQINFSAISTPQIGTSAPQMGASVPTASPNLGFRGPGVPNPSMSQQYFPSQQNPSMRPAMPAGNGPRPQGIAAPELSKGGSIVGQPQGMPAGSTARSLQSMPIGISSPSLTNQNISSDWLAGRNVGAPTGPQGVTPSTPSAAPKPQTSFSMSSQPTANDSKALVVSGNGFASNSSFGGDAFSATSSAPKQELSTRPFSPGSAPASSAITPLSSMAQPIAKSNSLDSLQSAFSLNSSSSPSQRVQTSLNPGQQVSSPSSSSITSSGVSVGAVNDASNSSQPPWPKMKPSEVQKYTKVFIEVDTDRDGKITGEQAKNLFLSWRLPREVLKQVWDLSDQDSDSMLSLREFCFALYLMERYREGRPLPSALPKNVMFDETLLSMTGQSNVSYRNVTWGPNPGFGQQPGMGARPMTQSTGFRPPVPSNASTDTITMSNQQNSRAPVLDDSFATQHDNGEQNSVNGAAQDATIDGEKVDGTAKVILDSKEKLEFYRDKMQELVLYKSRCDNRLNEITERAIADKREADMLAKKYEEKYKQVAEIASKLTIEEAKFREIQERRTELNQAIANMERGGSADGILQVRADRIQSDLEELVKALTERCKKHGYEIKSAAVIELPIGWQPGVPEGAALWDEEWDKFEDEGFGNELTIDVKNVSVSQRGKTSPDGSLTPDSSSYMDEKTGNLFGSSERALESESAYTHSEDESAKSPRGSPAGRNSLESPTQQFSDDHFGKSTDADGETHRSFDESDWGTFDNDDTDSVWGFKPVNTKDEKHNDFFGTSDFGANSTRTESPGAESFFDKKSPFAFEDSVPSTPLSKFGNSPRFSEASRDQFDSFSRFDSFSDGGFSQQPDRLTRFDSINSSRDFGSGFSHQPETLTRFDSISSSKDFGHGFSFDDADPFGSSGPFKVSSDHQSPKRGSDNWSAF >OMO83372 pep supercontig:CCACVL1_1.0:contig09889:20693:31402:1 gene:CCACVL1_11410 transcript:OMO83372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helicase-like, DEXD box c2 type MSVAADGANPTANPNPNPNITNSENPKNVYQVAGIPVQFPYKPYGTQFTFMYRVISTLDRAQKEGHCHALLESPTGTGKSLSLLCSTLAWQQNNKLKNLKNLQAHLSHSTPAPEAITDPLGHGGGFIPETQPSSIPTSGISEPPKISATSKNSNKTPVVYYASRTHSQISQVIREYRKTSYRVPMAVLASRKHYCTNPYILGKENIDEECKLLLKNQQCSEFKNMHKVKCHLSLQKGACHEAHDIEDLVKVGQVVKGCAYYAARSMADSAQLVFCPYNYIINPVIRGAMDVDIKGAIIVLDEAHNIEDIARDAGSVDLEEDALLKLQTELQQIYQFDATIYQPLHEMTQDLIAWIEQRKSTLEEREFQHYFSSWTGDKALRQLQEANISQQCFPILLDCATKAIKASTDTESDEPHLSGMSVITLEGLFSSLTYFFSRNGSHFFDYQLALRRFKKDSKNAFGSWTCCLSLWCLNPAVVFRDIADLSLSVILTSGTLSPMNSFSSELGVQFGNCLEAPHVIDVKSQVWSAVISHSPGNYVLDASYKTSNTYAFQDALGKSLEEICKIVPGGSLIFFPSYKLMETLCKRWKETGQWSRLNAIKRLFVEPRGGSQEEFETVLKGYYDSVSRGKKPALGRKRRIKRTDDNAIESTEVTNRKGAAFLGVFRGKVSEGIDFSDDNARVVIAVGIPFPNINDIQVALKKQYNNTYKSSKTLLSGSEWYCQQAFRALNQALGRSIRHKDDYGAIILLDWRYEEERNRAYISKWLKPAIRKFESFEKSLEELRSFFRDVKDLVGKNKQQLSLARYDAIFSQMKAQSDLTVQTSVQAGTDRNTSKEYIDLECSSPKESRSFETLSTTFSNEDPDLIIVKETPPFGGSGISVASPSSVSKDVNSNSTIIHVSTKSSDRFSIHPMSSTSLNEVPSTYESRRMFTPEKDVNQNASSLIPKIESPLNLSVCSYIQKRRKSVGSPFINLVNEECTDSPAKVPVSRSFKENLLANRDITRRMEFDFETGSAENNPKTPQSLATDGSLFSCPVMDKSLQISCSLCRSPLGRPENHLYLSCSLTASSKVYLLSLLKERSISFASSTPTTVPVIITDVSSVDPRLCNRSLEGDRAQGIWHEDDGCVFKNVFCPFCTNPDNCLGVQIKAADEKNVQLLNKLLLYVDCVEIRNSEAAGDEGAKDNVNSSSVDRTAVLSSIDKFAYSPKQPNLGGWRTTKSKVTQRNPLSS >OMO83373 pep supercontig:CCACVL1_1.0:contig09889:35522:36427:-1 gene:CCACVL1_11411 transcript:OMO83373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWQSQEMQEQQQQQEEEYLQFQIQNGICGKVMTDEQMEELKKQIVAYQDITKQLAEMHKTMSAHQDLTGTWLGNPYYEPMLGSFGHKITARQRWTPTPLQLQILENIYNQGNGTPSKQKIKEITVELAQHGQISETNVYNWFQNRRARSKRKMQVSPSEAEVSPQDLAAKERRSKPENMEFLDNSAQGVENFYYQNSDAGLDQLMGKVECSRVYDPYSSNNLVEQYGLLG >OMO83370 pep supercontig:CCACVL1_1.0:contig09889:8136:10208:1 gene:CCACVL1_11408 transcript:OMO83370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEQETPSLEKQDEKQEPQQEGDEEEENANILQFMDSMDAYLTLIHSLSSTLRQGWLDLASARHSMGASRVNTVLLDHKVHPAASSLLVTQDEGKVDSVEPHFTLCKWASSGNGKWSLEEANSSQDKLHPQLRHRGSSQLYEEKTLSEDKALHELDDQVQKERLKSLSVFGALVSPKLRTAQLSFETALETLVEIANMRSSMLCAFDKVHKKLGSSEG >OMO68935 pep supercontig:CCACVL1_1.0:contig12154:11800:27091:1 gene:CCACVL1_19751 transcript:OMO68935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein strawberry notch-like protein MAQPSIPPPPLTQHQPPGTAAGPAPPGVQVRCAGCRMILTVAPGVTEFVCPTCQLPQMLPPELMTRARINNPPPNQSVPAHGIDPTKIQLPCANCKAILNVPHGLARFSCPQCGVDLAVDLNKMKQLFPPPQPRPPPPPEEVNEVAIEVEREEDEGGPVGETFTDYRPPKISIGPPHPDPVVETSSLSAVQPPEPTYELRTKDDLETTKALSCLQIETLVYASQRHLQHLPSGARAGFFVGDGAGVGKGRTIAGLILENWCHGRRKSLWISVGSDLKFDARRDLDDVGAAYIEVHALNKLPYSKLDSKSLGIMEGVVFLTYSSLIASSEKGRSRLQQLVQWCGSDFDGLVIFDECHKAKNLVPEAGSQPTRTGEAVLEIQARLPDARVVYCSATGASEPRNMGYMVRLGLWGAGTCFPDFQKFLAALEKGGVGALELVAMDMKARGMYVCRTLSYKGAEFDVIEAALEAEMEAMYKKAAEFWAELRVELLSASAFHSNEKPNPSQLWRMYWSSHQRFFRHICMSAKVPATVRLAKQELKEDKCVVIGLQSTGEARTEEAVTKYGLELDDFVSGPRELLLKFVEENYPLPEKPEPLQGDESVKELQRKRHSATPGVSMKGRVRKVAKWKPASDGESDEESDTDSGHESTESDDEFQIFPPITDIVPEKWSCYSCQEKTDEYMEARRAYIEELLKRYEQALQRKSKILDIIRSLDLPNNPLDDIIDQLGGPDKVAEITGRRGMLVRASSGKGVTYQARNTKEVTMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRAVNQKRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSSYGKKALMVMYRGIMEQDNLPVVPPGCSPDKPETIQDFITKAKAALVSVGIVRDTVLGNGKDNVKFSGRIVDSDMHDVGRFLNRLLGLPPDIQNRLFELFIGILDVLIQNARIEGNLDSGIVDMKANIIELQGNPKTVHVDQMSGASTELFTFTLDRGITWESANTMLNEKKKDGLGSASDGFYESRREWLGRRHFVLAFESSASGMFKIVRPTVGESVREMPLAELKNKYRKISSLDKARSGWEDEYEVSSKQCMHGPNCKLGNFCTVGRRIQEVNILGGLILPVWGTIEKALSKQAHLSHRRLRVVRLETTTDNQRIVGLLVPNAAVETVLQGTFSITQSLGYHL >OMO68937 pep supercontig:CCACVL1_1.0:contig12154:31350:35535:-1 gene:CCACVL1_19753 transcript:OMO68937 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear-pore anchor-like protein MNRVVNCKMLFVFLATFSHLTTSVKLLERCKNFDVEDYDRLKNEVQQKEEILKEKAAQIEEIMRLLSKKQDTISKLEQDLASSKLELNEKDKKLNDNLVLEANIKSDMEKQKKLVLQYKRRVESLAKEKEQTSKEYQALLKLSEELKQGRRSINDMTGDQVMKEKEEKDTRIQILEKTVERQREELKKEKDEHQNEKVKRIRCERTIMEAVRKTEKGKATVLSELEKYQRALKRLAEELEKLKHAEGNLPEGTSVVQLLSGTISDDHASPYLSAAEDFERVARSILNDLGTGSITGDFPSADTSAPSSTGTVAPDQGPVIASSSTPVATPHQPAKPYEERRSILAKTNTETRKTGRKLVRPRFVKAEEPQGDVDMSEATSHDADAQGTVTQQNQPGVRKRPASAASELSEDLAVQGEMSTDVAVPVPKKSKGSDPLPEAGEGQTSAVSEEAYDTVGDVAQGSTEDAAGGEKEEEAETMEEKFDEPKETQIDGTNEVESLENKNNVLDDILDKPGETEMVNDDEPKNMAEQDSQQENESEREEGELAEDVEGGGDVQNAVGSSETGDVQQSTPMASPSGVDDEGDVGDINDVNDEGDVGEEIVEEFDKSNDANNQTSVETDQTPETASGSAEPSSTSTSVAPETEVSKQIGSSATPEAEDVKRTSPASTTSTVVNLQERARERAVLRQAGVVPPPSRGRARPAMRGRVARGRTGRGRGQTPDKQ >OMO68936 pep supercontig:CCACVL1_1.0:contig12154:28776:30418:-1 gene:CCACVL1_19752 transcript:OMO68936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C14, caspase catalytic MAKKAVLIGCNYPGTKAELKGCINDVKRMYKCLIERFGFAEEDINVLIDTDDSYTQPTGKNIRRAVNDLVRSAEPGDFLFVHYSGHGTRLPAETGEDDDTGYDECIVPCDMNLITDDDFREFVDKVPEGCRITIVSDSCHSGGLIDEAKEQIGESTKQQEESDSGSGSGFGFKNFLHRKVEDAFESRGIHVPSGLRHHGRGDEEEVADRGVGDDYEGYVKSRSLPLSTLIEMLKQKTGKDDIDVGKLRPTLFDVFGEDASPKVKKFMKVIMDKLQQGGNGQDGGGFLGMVGNLAQEFLKHKLEDDEGYGKPAMETEVGSKQEAYAGSTKRSLPDSGILISGCQTDQTSADASPSGNASAAYGALSNAIQTIIAESYGRVTNQELVEKARKMLKKQGFTQRPGLYCSDHHVDAPFVC >OMP07115 pep supercontig:CCACVL1_1.0:contig04660:137:196:1 gene:CCACVL1_01374 transcript:OMP07115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVEVKEFVSESHYRELHK >OMO79268 pep supercontig:CCACVL1_1.0:contig10455:10350:15399:-1 gene:CCACVL1_13795 transcript:OMO79268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase, receptor/non-receptor type MAAAANPRSFSSSSNHPPPTNRFVFSADYPPRISLTADQFNYCSQALKLFSEKLQMPHEINQEFRPSEMTRSCTVALNGVNLDKNRYSDVVPFDENRVVLNSCKDYRPAAKGYINASFITTSSSENISQFIATQGPLPHTYEDFWEMVIQCHCPVIVMLTRLVDNYKMVKCGDYFQAENGLRQFGNICIDTKWIQETDTSLILRNLEVNYRESEDQAISVLHIQYPEWPDHGVPTDTLAVREILKRVLQIPPNLGPIVVHCSAGIGRTGTYCAIHNTIQRILVGDMSALDLCNTVSTFRSQRIGMVQTKDQYFFCYQAIVDELKELVSEFKGEYSSKWYVQLVR >OMO79272 pep supercontig:CCACVL1_1.0:contig10455:35476:35646:-1 gene:CCACVL1_13799 transcript:OMO79272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFKEIDSEPTLRRSRREGKKTPLWGLWKDEDQTPMDRGGTTLWLAPPPTLLHKV >OMO79269 pep supercontig:CCACVL1_1.0:contig10455:17492:19400:-1 gene:CCACVL1_13796 transcript:OMO79269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPTGNEPIVEEREEVMVSRFSDGKPSLRTAHFLNPTSSSIDEPIPKPFSLPGSSLPHTFEPEEWPLRADFKGGYPVTFTGNSQELKEAEARGEIMALGPAVLASIYRDLTLLKETIVGSTKFKDDENDDTVLAITLWSPMQLLQLWAWERIPALQPEPNVIHLPEVRSSRWSKVKILEVENVRMVLDSAGETFDWRPYAKVLNCSQFREFYKEKEEWVLVNGNISRELVSFALCLRPSELVGFGSGCIQQYLPHRVAMQFGIDQDVPCHVNRSNETQEIAWNNYMRPIEYRKLYVPSRFFESDVTIRYLEWWKKLSMPVVVHQDVLKGIVRRKRSSRKRPKRIPWVKGKKGENEASVPPGFAPELNKEENEAFQVPNNKGCATAGPFGHEISSLASVQGLSNGEAAKIGSSSKAQNEGTASANQSGVEYDKENISKYDESEAITLIASALEARVTRLEIVAAELKAAFKLKQMQSEKAASQCN >OMO79270 pep supercontig:CCACVL1_1.0:contig10455:20569:22673:-1 gene:CCACVL1_13797 transcript:OMO79270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPTVGDPTLRKAHFLKPTFTANNGEISELPPGCFYPKQPIQNLKHLSGKVVFKGWKRPTENWKRWVQSMHSKHQALWKQVGIYEAVMSSRYAMKKRKELVLALAEMWCPNTNTFIFPCGEATITLEDLMVSRGYSVLGESVLSPPKTKELVELEKKLIAVRKEAATVGALVASNKAWMCVGKHVFPIAIHLARGTRFALAPAVLSSIYRDLGLLKDWLFGSNVVPINGELTLYAPFQLVQLWLWERFPGSRPMPNSISHGEPRVARWQWHRLQKNVDDMKLDIGSAGTEFQWRPYTLAVNGWSFPKFYGDKEQYIPVDSHLDEEVKSFAICLRVSELVGLESIEQYLPHRVAMQFGMDQDLPGCFVRCNRNPETAWSNYSRPMGDAEIYTPPRLFESDVTIRYSDWWKQSIKAQCDVIKQFVKRPGSPIELPNVSKRKKESHILLSPDYPLKWGEVSRGESAKKNRGVEAGDLIEEDMEDGLTILEFLARTKHNSKKINGETELPSTKEIETPATMKPGEVSREAPLKDQNDVILENVSNGDNIGCIVTGDSLPLSVGRNQNVSSSIADKEDVKRIKLEVKQGAEVTRNESSMGEPKGPVAADIKSEPSPTDAAEEGSSSHDFETQKLQLEARISSLEKLCAELKEEKFGKKWKAYLKGGSSKP >OMO79273 pep supercontig:CCACVL1_1.0:contig10455:39371:41761:1 gene:CCACVL1_13800 transcript:OMO79273 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine--tRNA ligase 2, chloroplastic/mitochondrial-like protein MGFYLVNYEKLLAESGMMIPDCCKQIQASLADLKATFGIVDKGISPEVVVEAYSRPTRVVRGKDVDSDMKVDDVVFETNEEKALSETFLSVKNKVHLDI >OMO79271 pep supercontig:CCACVL1_1.0:contig10455:27782:32936:-1 gene:CCACVL1_13798 transcript:OMO79271 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MGDLCSFQQQFETFLKMYEENRAKDNQERQQDRASMAAQQQALTERLDELSRDLFTGRHEGRVDGGEGSVNRGIRERRGVGEIPKAAPFVPKYTKLDFPKYDGSIDPLSWINKCEHFFRHQNTPAEEKVGLASFYLKGDAQLWFLKVERDRPSITWDEFKRQCNLRFGQPVRSNKLGELVKLRQIGGLQEYIAVEVELHQPPDLTSAMSLARLYERRGNRNKSESSSLRRIGSVQPRSSPFVKKLNQAELEDRKARGLCFNCDERFTPGHRYKKLFWLEVLEDNSQIEEGGAVETLEDAEISIHAITGTPSSRTMWIRGSLEGYPLLILIDSGSTHSFLDSTLARELNLPIEYKEELRVMVANGERVYCEGVYRGVSLLLGNSIFTLDLLLLSLPVKKADGTWRFCVDYRALNAKTIKDKFPIPVIEELLEELHGAKFFTKLDLRSGYHQIRMSPTDVEKTAFRTHHGHFEFLVMPFGLTNASYTFQALMNSVFQPYLRKFVLVFFDDILICSRTWVEHIQHLREVFSTLLNHKLFLKQSKCSFAQLQVAYLVHIISEQGVSADPSYYRKFVKDYGLIAAPLTTMLRKNAFVWSDQSKAAFDTLRDAMSLTPVLALSDFSQTFVVECDAYDAGLGAKNKVEYLQPAGLLQPLSIPVQVWSDISLDFVEGLPVSHGKSVMLVVVDRFSKYAHFLPLSHPYTAISVARLFFDHVVKLHGIPESIVSDCDATFTSSFWKELFRLSGTKLSSSSSYHPQSDGQTEVVNRTVEMYLRCFTGDRPKSWVDWVSWAEYFYNTGFHSSLRATPFEVDVSFDVGDMVLLKLQPYRQVSVASRRNQKLTPRFYGPFPIVDRVGLVAYKVQLSEGSRLHPVFHVSCLKKFHGTESCSFTLPLLHKGVPIPTLQALMDSRMKNGKKEVLIHWEGFSPADASWESADHMQLRYPRFALEDKRSSNGESNVMIQDQSVAGRLSIPVSQVETQFLTQIEADELDMDTKENKSIEVGLIKPSMRELSRMQLTKWTMKSPIYALYPSSLWRRMD >OMO89313 pep supercontig:CCACVL1_1.0:contig08777:1446:1529:1 gene:CCACVL1_07922 transcript:OMO89313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGEWGYMAATTTRSNILRTEFANPC >OMO71267 pep supercontig:CCACVL1_1.0:contig11678:21664:22131:1 gene:CCACVL1_18315 transcript:OMO71267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRNPPPSTQSPITTSPTTDNSIHYEPHSNSAIILFTKFLGGFLHNFSTSSKPLGRQFQPSTLCWITEITPTGSHTQDDSTFPQPTSTQSSTTLTTLVTSPSSPSFIFPKSCQDSLRATHPTHLALHHFSASPINKGPMHPKRTKPLCVPIPPL >OMO71268 pep supercontig:CCACVL1_1.0:contig11678:50320:63009:1 gene:CCACVL1_18317 transcript:OMO71268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTTEVSPPIFQIPSHSSQDSISSFAIASKIFHKLMSQLLDGRCWSLNYKLVKKNCGFE >OMO71271 pep supercontig:CCACVL1_1.0:contig11678:87456:88615:-1 gene:CCACVL1_18320 transcript:OMO71271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIEDITGVFSWKRDDEA >OMO71275 pep supercontig:CCACVL1_1.0:contig11678:96911:96976:-1 gene:CCACVL1_18324 transcript:OMO71275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMVMAEVLNRARQLLPWAV >OMO71265 pep supercontig:CCACVL1_1.0:contig11678:12037:18771:-1 gene:CCACVL1_18313 transcript:OMO71265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 1 MAKKKHVLKEDEEDGSNTKQVSRSDFPPDFVFGVSTASYQIEGGINEGGRGASIWDVFAHTPGKIIDGSNADVAVDHYHRYKEDVDLISELGFDAYRFSIAWPRIFPDGLGTKVNEEGIAFYNNLIDALLEKGIEPYVTLHHWDLPLHLHESMGGWLNKKIVDYFAVYADTCFAHFGDRVKRWSTINEPMHIAVGGYDTGKSAPGRSENPSTETYLAAHHMILAHAAAVSVYHNKYKDKQGGQIGLVVDCEWAEANSDKLEDKYAAIRRVEFQLGWFLHPLYFGDYPKSMHERLGDKLPEFTEEDKELLESGMDFVGLNQYTSRLVSHATDSAEEAHFDRTQQIQKIVEWEDGGMIGEKAASEWLYVVPWGMRKVLNYIADTYSNPPIYILENGMDDEENEATPLHQMLDDKMRIGFYKGYTTAVSQAIKDGVDVRGYFAWSLMDNFEWSHGYTKRFGLVYVDYKNGLTRHPKSSAIWFKHFLKATCRPSRRLRPIESLSTIVKVFFFFFFEGTNIVRVLLSVLINNSNNLSPQDRNTRTRLGNSISGPQPFSLPSSPKVGSLPEKDNPGSYRGNNGRQTDGDRNNQIGGGDARVAKNSEYAIPGVDYESDRIRATEEVNVGEGVNLANSTCDFKHPSIP >OMO71270 pep supercontig:CCACVL1_1.0:contig11678:77210:80610:-1 gene:CCACVL1_18319 transcript:OMO71270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MANFSVSSFMIFFCLLMFSATFYFSFRKVSIFNDNIGAPDVNGGEQDDCPHCKVISVDEFGAKADGTADNVAFEKAWQEACSSDEGVALVVPEQKSYRLKPITFTGPCKSNISVKIYGTIEASDDPSDYNHSLKHWLVFDKVDDLLVEGGGTIKGNGKIWWDNSCKRNKDKALTFNENKNLVVNDLKIQDSQKKHLCFDSCVNVQAFNLVVTAPENSPNTDGIHITGTKNILVADSTVGTGDDCVSIVSGSENVQIRNIVCGPGHGISIGSLGKRNSTEYVSKVIVDGATISETTNGLRIKTWQGGSGYAEGIVFQNVQMNNVKNPIIIDQNYCDQDSPCEEQDSAVQVKNVAYRNIYGTSKKKVAILFDCSKSHPCEGITMENLMLDGEGNAAATATCNNVQATTLGDVSPGCQQIATGLVLGNLYGDNKN >OMO71273 pep supercontig:CCACVL1_1.0:contig11678:91849:91956:1 gene:CCACVL1_18322 transcript:OMO71273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGCQLQCPSVTGNDTKRNRLESSAWRLRMNQES >OMO71266 pep supercontig:CCACVL1_1.0:contig11678:20192:21192:1 gene:CCACVL1_18314 transcript:OMO71266 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor ETV7 isoform 5 MDPPTTEPSSSAALGSGMPDPPPKPPDPSLNHTRPTISFKQKLLSTTAHTYFPSWFDASLTEETIPPGEETMETNNPKAINLTMAEKERIRKRWTKALIIKLISGFLRGTSQEPGSGIPKENPNGDMV >OMO71272 pep supercontig:CCACVL1_1.0:contig11678:89244:90203:-1 gene:CCACVL1_18321 transcript:OMO71272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKLSKTTNSQDDDEVINCSFGSDS >OMO71276 pep supercontig:CCACVL1_1.0:contig11678:99144:102372:-1 gene:CCACVL1_18325 transcript:OMO71276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MASQIRKLVLYLLTLFSLFSSYHSLEDNYKVPPYNNHYLEEVVAGYEPQAYPSYFSPLLEDGPMAHSFQRFGKVDDFITSSSTKTKTVVVDQFGAKGNGYDDDTEAFKKAWEEACSSKGSVTLEVPEGNTYLLKPIRFAGPCKSNYTTVLIYGNIEASDDRSDYKDRHWIIFDSVNNMLMDGGGSGIINGKGQIWWQNSCKINKDLAITFYKNKNLVVQNLKVQDAQQIHVSFERCDTVKASNLTVTAPEKSPNTDGIHVTSTQNIQITSCTIGTGDDCISIVSGSKNVQASDITCGPGHGISIGSLGSKNSKAYVSGVTVDGAKLTGTTNGVRIKTWQGGSGSASNIVFQNVEMYNVSNPIIIDQNYCDQKKPCNEQSSAVQVKNVVYRNIKGTSASDVAIKFDCSKTYPCQGILLENVDLEDENDSSAKAVCNNVKMTERGYVSPHCPN >OMO71274 pep supercontig:CCACVL1_1.0:contig11678:94565:94678:1 gene:CCACVL1_18323 transcript:OMO71274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLNFEVNRDGSHSELRDELKPDKWGGSGRGNGQTA >OMO71269 pep supercontig:CCACVL1_1.0:contig11678:75633:75728:1 gene:CCACVL1_18318 transcript:OMO71269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIFREANHEADVLAKGGVDREVPLVCCFG >OMP07607 pep supercontig:CCACVL1_1.0:contig04316:268:630:1 gene:CCACVL1_01274 transcript:OMP07607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MAKPIPKVGSRRNGRSGARKSARRIPKGVIHVQASFNNTIVTVTDVRGRVISWSSAGTCGFRGTRRGTPFAAQTAAGNAIRAVVDQGMQRAEVMIKGPGLGRDGALRAIRRSGILFRFVRD >OMP07012 pep supercontig:CCACVL1_1.0:contig04726:120:203:-1 gene:CCACVL1_01399 transcript:OMP07012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DWAALLGKGGPGGTNPLLDEVVKSTALD >OMO58200 pep supercontig:CCACVL1_1.0:contig14261:39:930:1 gene:CCACVL1_25536 transcript:OMO58200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APYWLTYDFPPEVREKLKRQWGSDWKGQAQKWFLLQFTGKEEEINLLGDGTEKPEFGEWSWMTPEQIVEHAVDFKKPVYEKVMELFAPHLQ >OMO58202 pep supercontig:CCACVL1_1.0:contig14261:9232:9582:-1 gene:CCACVL1_25539 transcript:OMO58202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQWLRPGFRFNPTGEAEIYGDKEPWKLFNPNHYLPYWVFAQLKKKRDSDSVTKQTTKKKGKGAKKTKKKRKKGTIATPTVGLGKVDIAIIF >OMO58203 pep supercontig:CCACVL1_1.0:contig14261:10655:10840:-1 gene:CCACVL1_25540 transcript:OMO58203 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MSLQSLRPGFRFKPTREVILQYYLRAAIIGGKLASDIFTEADIYKDKEPWKLFNLNHTHSY >OMO58201 pep supercontig:CCACVL1_1.0:contig14261:2703:4588:-1 gene:CCACVL1_25537 transcript:OMO58201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L46 MRRSLTSLKGARGFSTTSSEKIVASVLFERLPVVIPKIDPVVYAFQEFSFRWRQQYRRRYPDEFLNMSKTRGKDDYQMDYVPAPRITEADKTNDRKSLQRALDRRLYLLLYGNSYGAPSGKLVWHFPEKVYDSEETLRKCAESALSSVLGDLSHTYFVGNAPMGHMVIQPTENVPEPYKRFFFKSQVIDTNKFNIGKCEDFVWVTKDELLEFFPEQAEFFKKMIIS >OMO58204 pep supercontig:CCACVL1_1.0:contig14261:11310:12345:1 gene:CCACVL1_25541 transcript:OMO58204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKAVEAKSHHRLSGVHRKKLGRFVFL >OMO91068 pep supercontig:CCACVL1_1.0:contig08387:6968:7834:1 gene:CCACVL1_07227 transcript:OMO91068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSIPKLGFLLLCCLAAVTEATYVKYKDPKQPVGARIKDLMSRMTLAEKIGQMTQIERSVATPDVMKKYFIGSVLSGGGSVPSSKATPETWIKMVNSMQKGALSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGVTRQVLHLKANMFIEFFFFI >OMO91069 pep supercontig:CCACVL1_1.0:contig08387:42715:57244:-1 gene:CCACVL1_07228 transcript:OMO91069 gene_biotype:protein_coding transcript_biotype:protein_coding description:RecF/RecN/SMC MDLGNQTFSMHLQPEHRHALLHEGAGHQVLSAFVEIVFDNFDNRIPVDKEEVRLRRTIGFKKDDYYLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQETGNKRKQIIQVVQYLDERLKELDEEKEELRKYQQLDKQRKALEYTIYDKELQDARHKLEEVEEQRTKISEESAGMYNAVLDSHERFKEIEKMSKDLTKELQSLNKDKEALEMQQAKALKKQTALELDVKDLEEKMSGNMQARDDAVKQLRLLEKEIQDSTEERNQIKPKYDSQVKQEETITKGIMEREKQLSILYQKQGRATQFSSKAARDRWLDKEISDLKRVLQSNLIQIAANYYCIFQEQKLQEEIGRLHKDLEEQDASIEIHKTEIIELESSISQSRISSQKAERDKLQEERKSLWDKESKISAEIDKLKAELEKAEKSLDHATPGDVRRGLNSVRRICKEYKYGGVFGPIIELLSCDDKFFTSVEVTAGNSLFHVVVESDDVSTKIIRHLNSMKGGRVTFIPLNRVKAPHVTYPQSSDVIPLLRKLNFSPKFAPAFAQVFGRTVICRDIDVATRVARTDGLDCITLEGDQVSKKGGMTGGYYDPRRSRLKFMNIITQNSLAREEKEQELNRLTVTSSSVSGIWEKNLLDIEFSAISFLKLRNLPLVREIEVEHRTTLVDVKGSSRLEQKITALVTEQQQLDAKRVLDKSLLEQHKLDIANFNKQKQHISKALENKEKSLADVRTQIDQLKASMAMKEAEMGTELIDHLTPEEKDLLSRLNPEIADLKEQLIKCRSDRFEIETRKAELETNLTTNLKRRKQELEGMISAAEADASLDEADMKRQELRDAKLLVEDATQQLKRVCDAIDERTKQLKELKDEKNKLKDLEDNYERRLQDDAKGLEQLLSKRSNLLAKQEEYSKKIRELGPLSSDAFETYKRKHVKELLKMLHKCNEQLQKFSHVNKKALDQYINFTEQREELQRRQAELDAGDEKIKELIAVLDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMKKKDGDHAADDDQDDDEPREADAEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMANTQFITTTFRPELVKVADKIYGVTHRNKVSTVGVISRDAAFDFIEKDQSHNAD >OMO50140 pep supercontig:CCACVL1_1.0:contig16297:2775:2900:1 gene:CCACVL1_30604 transcript:OMO50140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQIAATEYHRHDDIADYAQQDPTANTMKFKGNNGSNSKKLSK >OMP04866 pep supercontig:CCACVL1_1.0:contig05600:6416:6484:1 gene:CCACVL1_02118 transcript:OMP04866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AQIIIIIWKIPKDASCNDDTPW >OMP11559 pep supercontig:CCACVL1_1.0:contig01163:10001:10279:1 gene:CCACVL1_00443 transcript:OMP11559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress induced protein MASRQEKSERLQEIRNAPKEERSELDSRARQGETVVPAGTRGKSLEAQENLAKGRFKGGLSSTDKSGEERAEEEGIPIDMENKSKTGHKGQK >OMP11558 pep supercontig:CCACVL1_1.0:contig01163:4911:7083:1 gene:CCACVL1_00442 transcript:OMP11558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress induced protein MMSFNIQKYLKHRMKRFRMSLRSKLLAAYSSNKKNQHAASVNNNSSKKVQIQTLTPEDLEIFYNNYEYELADQDSNQTLTPEDLEIFMSNYNNYELDDEDSNYNYVCQICFESKSLSDSFEVKGCSHFYCIQCIINYVSSKLDDNVTLIPCPEANCEGRLNPDFCHEILPSSLFERWGDALCESAVTSHEKFYCPYEDCSALMIKDDDDALNNEEEDHTNFPCVVCKRNLCVQCKVPWHSGMDCREFQRSKNQNERKQVVAILSSADLPSKLEEIRNASQEERAQLDARAREGETVVPAATGGGSLGAQENLAKGRLKGGLSTMEKSGEERAAEEGIPVDIENKSKTRQRG >OMO60358 pep supercontig:CCACVL1_1.0:contig13733:17313:17956:-1 gene:CCACVL1_24215 transcript:OMO60358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLYLYKILMQYRTRRDFPSDNKKYCYNLSTKERRRYLVRATFQYGSPENEAAYPKFQLYLDATEWATVTIQDASRIYVYEMIIRAPSDSIDVCICCAVTGSPFISTLELRPLNLSMYATDFEDNFFLNVAARVNFGALTRDVVRYPDDPYDRIWESDLDKRQNFLVGVAPGTKRIKTSKN >OMO60359 pep supercontig:CCACVL1_1.0:contig13733:19392:19523:-1 gene:CCACVL1_24216 transcript:OMO60359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMPNLAATFETLVDAFVFLDKNKDGFVGKNEMVQAINESGEL >OMO60357 pep supercontig:CCACVL1_1.0:contig13733:7951:11578:1 gene:CCACVL1_24214 transcript:OMO60357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLATAATRARFQRARHFAQRIRAPLSNRYTRRLCMTKLDRPNFHTVSAPQYCRNIYGQTEHTVSAPQYCQNIYGQTEGALKAYANDIRNGVVRENPITLDSIRFNSDGVYLTGSVRRLS >OMO57402 pep supercontig:CCACVL1_1.0:contig14379:12383:12805:1 gene:CCACVL1_25786 transcript:OMO57402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRDDKVQYMCACSLSEEHHMSAHKKSWNQVQILKMYHMEPGDKFSHMHANHTAWSCHRAQNRVDNLQSDNHERMNDHRPAVLSMGVDMKEEVYHKAMEEGLRFFHMHMSNQ >OMO57403 pep supercontig:CCACVL1_1.0:contig14379:13463:14357:-1 gene:CCACVL1_25787 transcript:OMO57403 gene_biotype:protein_coding transcript_biotype:protein_coding description:NF-X1-type zinc finger protein NFXL1-like protein MSFQGRNRARNSSQSNRQEWVPRGSPSTTTTEVSSSPATSDPTPTPNVKPTAAPRNENRSRQFGRSMNYRRDREKERSENHVVVRKEIDPNLPQLVQEIQDKLIKSTVECMICYDMVRSVILVHALLVRHLLHQDCVLVGKKLSPLDVLIESLFLLAVSAVISFLSVGAIGVSGYAIWDLVILARF >OMO57399 pep supercontig:CCACVL1_1.0:contig14379:3093:3455:1 gene:CCACVL1_25783 transcript:OMO57399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVLVFLVVMNLAETGAVDHEDMCKVKMCGSSYGAPPVPVRFPFWLKDQQPHQCGYPDPSFQLTCDENKQTLLHLPGSVEIVVRRINYTEQKVFIYDCIAKRLPYLNLSASSFQVSTEL >OMO57401 pep supercontig:CCACVL1_1.0:contig14379:11106:11987:-1 gene:CCACVL1_25785 transcript:OMO57401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MGKNRGATTGMKVHVFCPMLKDKRDAVRVIADRWKLSVSAAGWEPKRFIVVHVTPKSKPPPRILGVKGLTGVGVLHPPAFDPLVDMDPRLVVSFLDLPREADISALVLRFGGECELVWLNDKNALAVFSDPARAATAMRRLDHGSVYNGAVVFVQSGASGASAANNAWGGGTSSGLKGNPWKKAVVQEVGWKEDSWGGEESFGATPELGSVWKGNEAPIAASINRWSILDSETGVSSSSSSSRTDDVSKPAVVLSNSGMDLNAANTNSTGQPSAGFNETEQMDVVDDWEKAYD >OMO57398 pep supercontig:CCACVL1_1.0:contig14379:2149:2715:-1 gene:CCACVL1_25782 transcript:OMO57398 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative receptor-like protein kinase MSRENLAILGLLLFLFLDAVNGANSILCKPSSCGALNNISSPFRLKGDPPQCGFPQFELVCENNRTILHNSDGSFYVQHILYNGSDTRIRLVDTSLDSQNCSILPNTIICRRLNNQSYIYLVSCKVPINSPLYIDASPCAKASFSSYPYLYVLYGGMLNLNATAFTEACTVQVQVPSPTGFPILPPPPP >OMO57404 pep supercontig:CCACVL1_1.0:contig14379:26889:31320:1 gene:CCACVL1_25788 transcript:OMO57404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MPFLAETPASSSSSSSSSTIAEHLKLRRPPRNQINITEETDPNPIPEIPSVIQSTRSKSSISSLLLSTFSSTNNETSTNLHPSTNTRKKANPFSQSSLRGLGCTASASQQVSVPAMIRTSADWEAKKVKKKKKTQQQEQEKKKKKKKKNIKLVGNENNGSSSKVHHQQGVGLNEGSGNNLSCGVIQDVWCGPGIGFSADTVGSVDCVVARRNVPPARGKIDVEKVNNHRERPCIARRAVNPETLSFLDSDSAFRPEPDFFGARYYRHVRHPSPEGLAEIMMLQNNLLMGGRLDSHDRFSSWRLDIDHMSYEQLLELGEKIGYVNTGLKEDEISRCLRKIKGSIKNDMPPDLHVHVDKKCTICQEEYEADDETGKLCCGHSFHIQCIKQWLLQKNTCPVCKTEASARS >OMO57405 pep supercontig:CCACVL1_1.0:contig14379:32361:35862:-1 gene:CCACVL1_25789 transcript:OMO57405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRFPSDLGFAATFSSCNPFRSDATTVFPCSIRTWTSTRGWSPAVTKAVFKRNVEIKNDKRPNLCTADELHYVEVEKSEWRVALWRYLPSPAAPPRNHPLMLLSGVGTNAIAYDLSPEFSFARFMSGQGYDTWIVELRGAGLSAQEIDFGQVKNPLKVMSQIQRNDSYVKDEKYGSHAKTNHESFSIKRNLLGFSGTEKRFAFADQIRGFIQKIVNIIEGDRSSPVRIFDMQDCVSTAIKDVQKQLDLMVKYDWDFDHYLEEDVPAAADPAQFLNVPIIPIGTLLAAAHPLATRPPYILSWLSPQISAWDMMTPELLEKLVMENFETVPAKLLLQLSTAFYEGGLRDRSGTFFYKDHLGKSNVPILAIAGDQDLICPPEAVYETVKLIPEPLVTYKVFGEPGGPHYAHYDVVGGRMARDLVYPCIIEFLNRHDVV >OMO57400 pep supercontig:CCACVL1_1.0:contig14379:6419:9016:1 gene:CCACVL1_25784 transcript:OMO57400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 2 MEEQKNKYSVIVPTYNERLNISLIVYLIFKHLRDVDFEVIVVDDGSPDGTQEVVKQLQKLYGEDRILLRPRPKKLGLGTVYIHGLKHASGNFVVIMDADLSHHPKYLPSFIKKQLETGASIVTGTRYVKGGGVLGWNLMRKLTSRGANGLAQTLLWPGVSDLTGSFRLYRKSVLEDVISSCVSKGYVFQMEMIVRASTKGYHIEEVPITFVDRVFGSSKLGGSEIVEYLKGLAYLLVTT >OMO62427 pep supercontig:CCACVL1_1.0:contig13267:18048:22124:-1 gene:CCACVL1_22841 transcript:OMO62427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MKKQQDQRLKPAAAENLRPEEQHPPQKCPRCESFNTKFCYYNNYSLSQPRFFCKTCRRYWTEGGSLRNVPVGGGCRKSNRIANSKASSSGENSRPLIPPSNPMISSTSASSLSDIPSMGSYFYPRGGFLSPFGSSNLGLLQGFVGFPSFGFPEAKDLEILSLRRSDIEMLPVDIGQLVTLRSDTSINHLHPGIKMLLERTEDLYLRGLEGDDNAAERNEEDQCSLLFGAKMVFSSLTRLFIDGRDNLKYLLSASMARSLVQLNHFEVLYCERLIEIIHTEGDVEEVTKDGMMIQIFPKLEYLRLQYLRKLERFCSGSHLELSSLKNLEIRSCPSFITFVSDSIMKNETHRYHTGEEAEENKSEIDFSFLFNEKVAVPSLVVLALFEGNCSKWKKIWHPKLTPNSFAKLKFLILDQCDGLSSIFPFIMVDMIQKLEYMEITHCDNLESIIEPSKLPFTESSFKFVFPKVSCLKLESLSELQSFYPSMHTTEWPALKELVVTGCNNVKLFAPKNLDSQPDILPDEQPLFWFSKEAFPCLKKLRTEDEEEEKEDDDDGDDDDEDDYDDDDDDDDYDDREAFPCLKKLRTDQDAKEILVEEEEEEK >OMO62428 pep supercontig:CCACVL1_1.0:contig13267:27392:32082:-1 gene:CCACVL1_22842 transcript:OMO62428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRLGLFNGGKWKKIWHDNLTPKSFGKLEHLRLDRCNGLSSIFPSNMVDRLQALMVMNISHCDDLESIIEPSGLPSTESSFKLVFSKVEILLFESLSKLKSFHPSMHTTEWPSLEELVVTGCTSVKTFASENLNSQLDEQPLFWFSKEAFPNLKKLRTDSDEEAKEILELSNYVVLPSLKELQLSPFIKKSILPSDKLQRLWHDQLPEMSCYFLNLKQLKLEGYNFLNYVFPSSVAENFVHLKGLLISDCDNVKEVIVMDNLEEEEGRVIKVFPRLKVMMLKRLPKLVTFCYGDHIEFPMLNGLAMLDCREFITFVSNSMIGNEPQVDLEVGRNNSKDDVLCLFNDKEAFPCLQKMKTDQDEAKEILELTNSQGVRFIQKEEEDSDFDFDIDSDIDLVDDDEQEEAEEEEEQEQERL >OMO62426 pep supercontig:CCACVL1_1.0:contig13267:10227:12186:-1 gene:CCACVL1_22840 transcript:OMO62426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSLLPLILESALASTYQNCVRLSTQSWSSIAYGYFAEIAVIAADIPEDLQYCRCYKNVKKVLSKFPLFCSGNAVGVLPVGSITYKDKRLLQAAIGVFSHLTFSTVSTIASTPDPNILVEVLYFFISNEIADYLQCGASPEEFIVGREKEFQPIQGHDQKVSWHATPFEERLKKALSEQLGTLRGSFSTL >OMO62425 pep supercontig:CCACVL1_1.0:contig13267:1022:1237:-1 gene:CCACVL1_22839 transcript:OMO62425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLERFCRGNQFKLPSLKTLRIERCFSFTTFVSDSIIENATHRSFSRNAYDEDDWDIWTSTEDLSPLFGGK >OMO84244 pep supercontig:CCACVL1_1.0:contig09763:21583:23313:1 gene:CCACVL1_10929 transcript:OMO84244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQPQLQPHRHKSHRLSNCHRHPTTSPITGFCASCLRERLAGIQSSSPSTNTASTSQLRRSKSCSGGPHPSSSSAASEPRRKSCDVRAHNTLNDLFAIDDKIKTLNVEHLPSKVEVFQSFEEEEEEGELKTMKEFIDLEWGSKKASGKSLWEAASVFSNKLRKWRKKQSKMKEKNEGSVLEKVNKRGLRETQSEIGEYGLFGRRSCDTDPRLSVDIGRFSVDDSRFSFDEPRASWDGYLIGKQNPKVNEEANVGEERLSVVKEEERISPGGSAQTRDYYEDSLTRRRRRSFDRSGSSRKISLGEPEEVKSSISNAKVSPETVGLFHGAKLLVTEKELRDSNWYSNVESGSKDVELVASGVGQKGFSLKKTKRWKNVWNMWGLIPRRKESEFGDEERSSEGAAGNGSLAESLQKLRRVANGDANKGIAGNAGEATLAESLQKLRSLANGDEGKGIGENVADGTLAESLQKLRRVAIGDANGSVVSQKLMRSYSVSARNSVDGSALYGMSVAESKGDGEKRRENFVLQQNRSARYSPNNLDNGLLRFYLTPLRSYRRSKSGRSRLKNSHSVGGSVLY >OMO84246 pep supercontig:CCACVL1_1.0:contig09763:26372:27892:1 gene:CCACVL1_10931 transcript:OMO84246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFASKRLLGLATGEAASSRPVQILPRLYHENVIDHYNNPRNVGSFDKNDPNVGTGLVGAPACGDVMKLQIKIDEKSGKIMDACFKTFGCGSAIASSSVATEWVKGKSTEEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDVEAKRAKLNGSEAAPADKAADA >OMO84249 pep supercontig:CCACVL1_1.0:contig09763:34580:37657:1 gene:CCACVL1_10934 transcript:OMO84249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAAHPSNLQPSWGNQSSSSHHDLPGKFSDKNQHKTRGVRNYFKKLAGVFAILSFGKRKKAVTDHVNKSNSQVKGITFSTSTDRSTGSDFRSSAMLKSFDSYGSSSSASGFIPAPDFSFEDICKATGNFSPANKIGEGGFGTVYKGRLKDGSLVAVKRAKKEKYEQGLSGQFKNEILTLSKIEHLNLVRLYGFLEHKDEQIIVVEYVGNGNLREHLDAVRGNGLEIAERLDIAIDVAHAITYLHTYTGRYPIESNRPVKERVTIRWAMKRLKEGEFVIAMDPRLKRSPASNMVVEKVLKLAHECLAPVRPSRPTMKKCVEVLWGIRKDFKDKVSSSSSSRSHFSDNFPDRDAKTNRDLFGIDENESYGFISA >OMO84242 pep supercontig:CCACVL1_1.0:contig09763:3418:6488:-1 gene:CCACVL1_10927 transcript:OMO84242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MEMTPWNIRVVMILALACFLFPASVECMVRHYKFNVVLKNTTKLCSSKPIVTVNGRFPGPTLVAREDDTILVKVVNHVKYNLSIHWHGIRQIRTGWADGPAYITQCPIQPGQSYVYNFTVTGQRGTLWWHAHILWLRATVHGAIVILPKRGVPYPFPKPHKEEIIVLSEWWKSDVEAVINEALKSGLAPNVSDAHTINGHPGPISTCPSQKGYTLPVKSGKTYMLRIINAALNEELFFKIAGHQLTVVEIDATYVKPFKTETIVIAPGQTANVLLTATRGAGKYLVAASPFMDAPIAVDNVTATATLHYSGSLSSAATTLTSTPPKNATVVATNFTNSLRSLNSKQYPANVPLKIDHSLLFTVGLGVNPCPTCVNGSRVVASVNNVTFVMPKTALLQAHYFNIGGVFTADFPGNPPVPFNYTSTNQTNFQTTTGTRLYRLAYNSTVQLVLQDTGLITPENHPLHLHGFNFFEVGRGLGNFNPNKDPKKFNLVDPVERNTVGVPSGGWTAIRFRADNPGVWFMHCHLEVHTTWGLKMAFVVDNGKGPNESIIPPPSDLPKC >OMO84248 pep supercontig:CCACVL1_1.0:contig09763:29595:33116:1 gene:CCACVL1_10933 transcript:OMO84248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MNSTLCLNRPIRNFSLSSLKNPKIFECKQYKLQLPSHLSEPSKLKFFGNVNSKTAFRVQQQLQDDSSEPRRILPRRVRVSSNDAHFGSLPNKGGAESSSFAEFITSERVKVVAMLALALALCNADRVVMSVAIVPLSLAHGWSRSFSGIVQSSFLWGYLISPIAGGTLVDYYGGKVVMAWGVALWSLATFLTPWAAETSLWALLFIRAMLGVAEGVALPCMNNMVARWFPSTERARAVAMAMAGFQLGNAIGLTLSPILMSQAGIFGPFVIFGLGGFLWVLVWLSATSSTPDRSPQISKYELDYITKKRQNSRTVENKTKTKIIPPFRRLLSKMPTWSLIVANSMHSWGFFVILSWMPIYFNSVHHVDLRQAAWFSAVPWGMMALTGYFAGLWSDTMIRNGTSITLTRKIMQSIGFIGPAIALIGLTAAKSPSTASAWLSLAVGLKAFSHCGFLVNLQEIAPHYSGVLHGLSNTAGTFAAIIGTVGAGFFVELVGSFQGFLLLTALLYFLAALFYNVFSTGERVDFDETGQYYRQLF >OMO84243 pep supercontig:CCACVL1_1.0:contig09763:18879:19663:-1 gene:CCACVL1_10928 transcript:OMO84243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily MEKVKEFLEVDKEEAERVSRLPVPPHRPGFECSFYEDFALRGIRVDRVEPGFVSCTFKVPPRLTDKSGNLATGAIANLVDEVGGAVVHVEGLPMNVSVDMSISFLGTAKPNDELEITSKVLGKRGGYKGTIVLVRNRETGELIAEGRHSLFGRQASKM >OMO84245 pep supercontig:CCACVL1_1.0:contig09763:25351:25958:-1 gene:CCACVL1_10930 transcript:OMO84245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKRYQEAKTGFQLLKSINADKYLRKIGLGKEDYYFWKQIGKALLCTYTLFGVAWLYNETSPLGWWTLKPRPKEERELAHLYERREFPYPGDAEAMEEFVAKGGMIGTTIGPKGTFETDKDAVNYQEMQNKKFEQEALKLWLRMRNEVIQELQEKGYDVE >OMO84247 pep supercontig:CCACVL1_1.0:contig09763:28674:29280:-1 gene:CCACVL1_10932 transcript:OMO84247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKRYQEAKTGFQLLKSINADKYLRKIGLGKEDYYFWKQVGKALLCTYTLFGAAWLYNETSPLGWWTLKPRPKEERELAHLYERREFPYPGDAEAMEEFVAKGGMIGTTIGPKGTVETDKDAVNYQKEMQNKKFEQEALKLWLRMRNEVIQELQEKGYDVE >OMP02049 pep supercontig:CCACVL1_1.0:contig06333:9221:9280:1 gene:CCACVL1_02935 transcript:OMP02049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRLSPTAPTLDRLPNP >OMO59781 pep supercontig:CCACVL1_1.0:contig13884:11625:11705:-1 gene:CCACVL1_24614 transcript:OMO59781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSRGTLTLTDLRRFDRLSDPVGP >OMO59780 pep supercontig:CCACVL1_1.0:contig13884:6095:6545:1 gene:CCACVL1_24613 transcript:OMO59780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDSSLIISVVKYRSRRQRRQYHRRKKKLRNGARTSWDRRQPLTSTQTTRKQLYGLPVSVTTSKSMSCQTSFIPLLKNKTTIFLNFRSLGWLRHGQSGRRKGAGEANCSA >OMO59782 pep supercontig:CCACVL1_1.0:contig13884:16261:17126:1 gene:CCACVL1_24615 transcript:OMO59782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAIEQYFEEAVAHNHLSGQGKNSLENWKNSPDSVTDSDENPMGGFDCNICLETVQDPVVTLCGHLYCWPCIYKWLHFQTISSENQDHKQQQCPVCKAEVSLTTIIPLYGRGQTNKASRGKAPQFGLVIPKRPVGPACGVGTPRSPSNSIPNSPGFAQQFNHRSYSYQPPMYYSQQGSYPASPMLSSDGMTINVLDPVTRIFGEMVYTRIDDDEKVYIQIQLDLG >OMO59779 pep supercontig:CCACVL1_1.0:contig13884:271:928:-1 gene:CCACVL1_24612 transcript:OMO59779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGKAMTGKRLRTPIEAREPKTKGLESRTSLRPDKPLRGTKI >OMO84711 pep supercontig:CCACVL1_1.0:contig09715:297:5962:1 gene:CCACVL1_10694 transcript:OMO84711 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATR-interacting protein IWRLTDQKTRNKQLFKEIEVVIVLKVPNSHACEPQLICAQICWDFTGRIRVQQTQKAKKTLRIKRMETKLAKKKEEIRGMAQSLEAMRHEAEESRAMYMVLETRKNKLKAELINRIDHFVRLKASEMLLMLELGFL >OMO84713 pep supercontig:CCACVL1_1.0:contig09715:13551:13637:-1 gene:CCACVL1_10696 transcript:OMO84713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHTEQAKPATSLCRLQVEYKKSDHKQGS >OMO84712 pep supercontig:CCACVL1_1.0:contig09715:9820:11690:1 gene:CCACVL1_10695 transcript:OMO84712 gene_biotype:protein_coding transcript_biotype:protein_coding description:nuclear pore complex protein Nup88 MVIPGSPRVHGPHRSRQVLSQFPAIQDLTRGVRGPNTPQLHTHGWSHQDAGNALEDARVELARYKAETSRMARWLRAKNLEIKWKQKQMERIKRRNKLTIGLINYANELVDEFLVAAECENANIRNEEAIQEAVQGVNDLNLHGSNNFRAVDNGSAAVDGGGQGGERHE >OMO62959 pep supercontig:CCACVL1_1.0:contig13095:7572:7691:1 gene:CCACVL1_22557 transcript:OMO62959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIVEKMVGEAGKKRKRDGNRWLLQQNTKFPHQISGIRK >OMO51816 pep supercontig:CCACVL1_1.0:contig15711:19751:23339:-1 gene:CCACVL1_29573 transcript:OMO51816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MDWCLADMERRIQDMEKRMGVSKSTSKRTTKAGGSAQAVPLQASQSRQKRKRENVCEVQKKLKYDAHVRSGASVDLEPFSRSGSSVKSALSQSLKCNNAKNLAHFRGLSQEDLVCVLLTLTSQQTMTDSNSDNRDLINSLKEVMKDRDEITLERDNALFEKVKLEALLKRLETESLNVTIELSKAQLIANRKNVEQLQQKTLETCLIFARKAKVSVQYQIMRKYLELNLDLFDLDCAKTPLPCGYDPYEDGIILVTRKEEEATKFDLKAMDTGSALNLFGKAAQSVMNTDVPTVVEEQTEGALPEARFDGEASKQVPHCTRISNQPPMKISAMPPVSEAFVACNGSIGECNEANEMLMESEISRRFLEQKKYISPGALKRDQPVCNEGSSGEAYSKTGGCLPQPSNPYNRGCSKYYRCRSDS >OMO51814 pep supercontig:CCACVL1_1.0:contig15711:9344:16089:1 gene:CCACVL1_29571 transcript:OMO51814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MATATIQRNVMQNYATHENGGILQTNPYEAVKSIDQINDLIFVMLEENPDPESRIQQAVKLLASLNEDLFRVTQRLRQREFEESQAYKQLSYLENREKDFRRMWSDRGEKLASLKRDLEKLTFANNAYKDRAIKSEDKINNYNLHFRMHHGTNNMRNERKVLKEINASCQKKGDDSTISVDEISIRIRSLQWGYYYYPRNMVSEKQVLREIHELKLARNKAIANAPVKGKFWNSLPPKNVIKQQIKIMEKDSRDEDRREHLRLRAKIEFLNLVYPQTLTTENNGTNPTEKANGVATTWVQTETGSAEERDRIEIETEKEKEKKKKFIRKHSIAMGDKYQADGEGGLGLGFLDSSEPPLPPPPPPIEVLSSEVSSSVKCTVEPVNLDGLTLLKGRVSTKDVLGLPNSDLVPGVYEGGLKLWEGSLDLVKALRSEIQNGHLSIEGKRVLELGCGHGLPGIFACLEGASVVHFQDFNAEVLRCLTIPNVNVNLSEKSLPDPAAEVRFFAGDWGELHELLPHARKSEMNPNSCSEHDQATGYDVILMAETIYSISAQRNLYGLIKKCLSHPHGVVYMAGKKHYFGVGGGTRQFLSMLEKDGVLASSLVTEVADGSSNVREVPVLDYVVMILSMLSKLVWSVGRNQLHD >OMO51817 pep supercontig:CCACVL1_1.0:contig15711:25008:25340:-1 gene:CCACVL1_29574 transcript:OMO51817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKTQTLFHTVINIGAVHREQQQPWKALRKSKTERKRSIINQLNRKPPQKPSARLTSLFQIYFQISPLILIWEFLQKLHTKYLGFVTCPFPICNTGICGSAAACVGNSSHF >OMO51815 pep supercontig:CCACVL1_1.0:contig15711:17459:18169:1 gene:CCACVL1_29572 transcript:OMO51815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQNQDDDQVVMGYPVDYFQRQAEPEPRHDEGQDIITIPPSPCENHASKKCLCLTCFLVSFLLTAVLLLFLILGYYFTCDNNKSPDIKIESVTASEILAFPNNTVWANWHLTIYFHNPNTFASISYTNIQVSASSDDERTFWGSVDSFDQKKGVERRNVGIFGLWMDMEKEKLMTDAGEMVVSLRINGEIRLENLFKNHKWQKLDAYCGSVFVKPSMTLWWDGSAGCNIDIDLIH >OMO53282 pep supercontig:CCACVL1_1.0:contig15253:8877:15335:-1 gene:CCACVL1_28752 transcript:OMO53282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MLWNTEIFISIVSYSNSHIDVNIGDLEVEKWRFTGFYGRLETNRRHESCALLRLLASQSRLPWLCSRDFNELLLNDEKLGGNIRPQRQMNLFREAIDDCGFHEIPSTGLVFTWSRRVKDGMIFEKLDRCFAGEKWYWIRFRWPLIQGGVFGLRICGLSMRDWREEIIKENWNQEPTVDVIQAIANCGRALQYWDKTLFGNVRHKINCKKQELSDLYMDVHQHVEDSSIQDCLDELNTLYDQEKVMWRQRSKVSWLKDGNRDSKFFHSIASSRKRRNAITRFRDDEGNWQSNRTSVERLVVEYFKGNFTSSTPQVDMIHVVVGLMERRVSSEMNAALDREFTKEEIKRAVFDMDLDKTAGPDECDSILSILKAFERLGIQKVLEKDKYLGMPIMIGRSKRVELEAIKDRLWKRIKGWNSKILSIAGRAVLIQVVAQAIPTYLMSCFKFPKTFLMELNQMIARIWWGGSDSRRKIHWKSWEDLCVFKLDGGLGFRDFETFNLALLAKQGWRIIHNENSLCTKVLKAKYYQNKMFLTANLGGNPFFYGEVFWRDERPGTICSPNKVNSLMDNGTWDLDLLNELFEPDDVGRILCIPLSVFPSRDTLIWNETSDGNYTVKYGYYVARKLLGKEQPSMGNTTMFWRTIWGAVVQPKIKFFLWRLWHNILTTNRNLQQRGVPVDDECPHWRNEVGVGVWDLILEKSAELGSMELVANILWFLWHNRNKSLHEFICKAPNTLCIAAAQGVRDFELSGQRDVGARSHILLFEISVFTKTKKTNARNEGRHDAPVRCVEYSYAAGANIGGGWQDQLNSSKDRSASRGRNDYDRDGDGLKKHPSGLPWPPNGLGRGSSSDDTKFGSCSEDLPDKWSSGGNWNSGDSRRDSGGKWDTNDDDNNRGWNKSYGGGDNGADDGCTGGWGNTKSGDSDGNNWFWHAGGTMPEGVKKKSRYNCSA >OMO58315 pep supercontig:CCACVL1_1.0:contig14251:3346:3987:1 gene:CCACVL1_25495 transcript:OMO58315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydroquinase class I MKNNGATKVIVSYHINGVNVTPSDEELRKLADSIRAMGADIIKVVANVPIIAYSEGERGLISQLLCPKYSVFLAYGSIDGHSVPNMPSLYSIEHTYKLDYIDLETKVFGLISKPVRHNKGPLLHHPTFKHENFNGVYVLMFVDNLKKFFSTYSSADFAGF >OMO58314 pep supercontig:CCACVL1_1.0:contig14251:381:1360:1 gene:CCACVL1_25494 transcript:OMO58314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLTISPSLYSYFIRDPCYQQASI >OMP06400 pep supercontig:CCACVL1_1.0:contig04947:9129:15469:1 gene:CCACVL1_01593 transcript:OMP06400 gene_biotype:protein_coding transcript_biotype:protein_coding description:TatD family MTDNRDSDSLASSDNPFGKLPDHLLVEIFIRVPVSEFPQISCVNKHWANLFRGECLWQAALFKAFPLASQAKRWPGPIPQGLCKRRFAALYVSRHIFALDNEIDEIVGHTYLFLKEQLELSTMPPPSGVLHGTIIDQFISCGKSRDIAHELASQIWLAVLDNLEENHHTFLLLKRLALEGDVFLPYPYSRSIKVQWRVFEKLFTDFRDCFNHSDYYDLLAMAKNKFQPIPSACWFSTLKEFFETTPSAAVGEIGLDKGSKGREIDFNDQIEVFRQQLELAKELKKPASIHCVRAFGDLLQIVKDMGPFPDGIILHSYLGSAEMVPEFAKSGAYFSLSGYVMPMKAQKAKKMLKAIPSERILLESDAPDALPNSELSSLFLVDEDPSLPQEFFSQGRNSASNVDNQSRGRASSDASTLPKEMLNHPANIHNVLEYVSTLRETSKEELAELSFRNAVRLFSYQGSKLPLE >OMO74784 pep supercontig:CCACVL1_1.0:contig11089:2765:7231:1 gene:CCACVL1_16473 transcript:OMO74784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDIKKFLELMKRAYRDFDFPPVEDSYGYAKPK >OMO74783 pep supercontig:CCACVL1_1.0:contig11089:747:1298:1 gene:CCACVL1_16472 transcript:OMO74783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINKGKDIAEGSNTRSTVSAADHQQNPPPLSRYESQKRRDWNTFGQYLRNQRPPVALSQCNANHVLDFLRYLDQFGKTKVHLQGCVFFGQPEPPGPCTCPLRQAWGSLDALIGRLRAAYEENGGLPETNPFASGAIRIYLREVRDSQAKARGIPYKKKKKKRIPLKSSNNDDSSASFPIQQS >OMP11935 pep supercontig:CCACVL1_1.0:contig00684:4202:6167:-1 gene:CCACVL1_00206 transcript:OMP11935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MAQFKFSFFKTLFFLFYRVIFGQSKNPKSLHRNVSNIIHANEGKFHKYPSFVHRTSNLQYSSDQTLVFNVEEALLKSSSLFPYFMLVAFEAGGLLRAFVLFALYPLICLVSEEMGLEIIVLVCFFGIKQKSFRVGSAVLPKFFLEDVGLETFEMLKKGGKKVGVSNIPQVMIESFLKDYLEIDSVVGRELKVFGGYFLGVMEEKKTSKAALEEIIGSSQTLGSDIIGIFGLKKSLDYHLFSHCKEIYLVRKSDKKSWQQLPNEAYPKPIIFHDGRLGFKPTPLATLTMFMWVPFGFTLSIVRAIVALTLPFMISIPILCYSGLHLSLSSTPETAQSLPEKQKPIGRLYVCNHRTLLDPLYLSFALQKDLTAVTYSLSRVSELLAPIRTVRLTRDRDQDGKMMEKLLSQGDLVVCPEGTTCREPYLLRFSPLFAEMSDDIVPVAMDCHVNMFYGTTAGGLKCLDPLFFLMNPRPIYTVQMLDGVSGLYTCRGRDRDRDHHHDDSERSKFDVANQVQGEIGRALGFECTKLTRRDKYLILAGNEGIVKAN >OMP05102 pep supercontig:CCACVL1_1.0:contig05528:6026:10420:-1 gene:CCACVL1_02061 transcript:OMP05102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrimidine 5'-nucleotidase, eukaryotic MEMEENHLSKITVINNPQSLNQKIAAIRNAGPSKLQVIADFDGTLTRYWINGQRGQSSHGLLQQGNPEYDAKRQALYEYYHPLEFSPTIPLEEKTKLMEEWWGETHDLLIEGGLTYDAIKNSVANSSIAFRDGVVELFEFLEERDVPVLIFSAGLADIIEEVLRQKVHRSFKNIKIVSNRMVFDDCGRLVSFKGKLIHVLNKNEHALDMAAPLHDQMGDIDKPVTDNTSVKQRTNVLLLGDHIGDLGMSDGLNYENRISVGFLNDNIEKNLESHRNAFDMVYLNDAPMTGVLKLATQLCSSVD >OMO84015 pep supercontig:CCACVL1_1.0:contig09803:4565:7912:-1 gene:CCACVL1_11030 transcript:OMO84015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSFSFSLLLLLCLTWSLFILGNSQLPTFQTQVLLQLKKHLEYPKQLETWYDRKTDFCSLSPSPQVNISCQFNSVTELRILGDKPASTVNDFHGFAIPNQTLSETFSMDSFVTTLSRLQNLKVLSLVALGIWGPLPDKIHRLASLEYLDLSSNFLFGSVPPKISTLVKLQTFVLDDNFFNDTVPGWLDSLSNLTILSMKNNRLKGPFPSSIKGITTLTSLVLSGNEISGKLTDLSSLRNLNVLDLSGNKLDSHLPIMPKGLLMAFLSNNSFLGNIPAQYSKLSQLQHIDISFNMLSGTIPAELFALPNISYLNLASNMLSGSLSYNLSCGSNLWFVDVSNNRLRGSLPSCLNMESGNKVIKFSGNCLSIKGQHQHPESYCRELEVQMQRSNNTGGKGIGVFVSLIVGIAVVIMLLVIGFLIVCRKYFPRGISEQHLLHKSVQDNSTAGFSSDILTNARYISEAAKLGTQGLPACRSFTIEELNEATNNFDNSAFLGEGSYGKLFKGRLESGIQVAIRCLPTSRKYSIRNLKLRLDMLAKIRHPHLVCILGHCIEVRQDDGSVNRVFLVYEYIPNGNFRSRLSENCSGEILTWPERLAVLIGVCKAVHFLHTGVIPGFFHNRLKTNNIMLNEHRMAKLGDYGLSIISEDTSNSGVKREDNKSWQMTSLEDDVYGFGLILLESMLGPTVATKKEATLRDELASLSSQDGRARIMNPVVLATCSQESISIVISITNKCICPELWSRPSFEDILWNLQYAEQVQANVDSEQRFSSV >OMO84027 pep supercontig:CCACVL1_1.0:contig09803:111019:111123:-1 gene:CCACVL1_11042 transcript:OMO84027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GILGEVLCDAKPKPSGKRVKVKQAWLKNFVVSKK >OMO84017 pep supercontig:CCACVL1_1.0:contig09803:25560:28518:1 gene:CCACVL1_11032 transcript:OMO84017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLLYESSSGYSLFQAHGIDEIGQNTEAVRNSVSDLNRFGKVVQLTAFQPFGSALDALNQCNSISEGLMTDELRSFLEVNLPKVKDSKKSKFSLGVAEPKLGSHISEITKITCQSGEFVLELLRGVRFHFDKFIKDLKPGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWYSWHFPELVKIVNDNYLYARVAKLIEDKSKLSEEHIPALTDILGDEDKAKEIVEAGKASMGQDLTPVDLINVQLFAQRVMDLAEYRKNLYDYLVTKMNDIAPNLASLIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASARNKGRMARYLANKCSIASRIDCFAERGTTVFGEKLREQVEERLEFYDKGVAPRKNIDVMKSAIESTQGKDVEMEETQPAEASVKKSKKKKSKTADDEPMAEDTTAAATNGDAKSEKKKKKKEKRKLEQEQAEEEEKPNGVNGDAAEQDGTTKKKKKSKDEGAASETKKKKKKSHE >OMO84025 pep supercontig:CCACVL1_1.0:contig09803:78356:79475:1 gene:CCACVL1_11040 transcript:OMO84025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTFRAFLNSPVGPKTTHFWGPVANWGFVIAGLVDMKKPPEMISGNMTSAMCVYSALFMRFAWMVQPRNYLLLACHASNETVQLYQLSRWAQAQGYLSAKKEEDKTQ >OMO84022 pep supercontig:CCACVL1_1.0:contig09803:60387:63117:-1 gene:CCACVL1_11037 transcript:OMO84022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 1 MLPISSFSIAPTGNSQQSRIWISAFALLACLSLHVQITQASLPLSNDSEHLNVKRSDFPNDFVFGSSTSAAQIEGSSKSEGKGPSVWDIFLQENPDMVVDKSNLDVAIDSYRRYKRDVLALKDLGLDAYRFSIPWTRILPNGTLDSGINQKGIKHYNNLIDELLKHGIKPFVTLMHFDLPQAIEDKYGGFLNRGVVEDFKNYAELCFKMFGDRVKHWFTINEPLIITKYGYVNGLAPPGRCSDRKSCPFGNAATEPYIAGHNLLLAHAAAVRVYKEKYQAAQGGQIGWSHVAEYFKPYSNSLFDKAAAKRMIDFEFGWFVEPMIRGDYPQSMRRLVKDRLPIFTTEEKNLIKGSFDFIGLNYYTSRYAKHVPIDPEAAPISYFEDEHVNATVAKDGVDIGPKAPGNSYVYVYPKGLYKMLKFMEKNYHKNLTIYITENGVTQENNNSTSIRQGLNDQHRIEFIQKHLHQIRKAIKDGMNVKGYFYWSSFDDFEWSEGYRERYGLYYINHKNNFKRIPKKSAKWFHHFVNGGKKQAC >OMO84021 pep supercontig:CCACVL1_1.0:contig09803:47786:50882:-1 gene:CCACVL1_11036 transcript:OMO84021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 1 MHAVAGQASQPSSNYKEYLNVKRSDFANDFVFGVSSTASQTEGATKSGGRGPSIWDQYIREFPAKISDKSNMEVATESYKLYKEDLLALKNLGVDAYRFSISWTRILPDGTLSGGINRKGIKFYNKFIDELIKLGIKPFVTIFHFDSPEALEHKYGGFLNRTIVEDFKKYAEVCFKTFGDRVKNWITINEPNILAKFGYGMGIAPPGRCSDRKNCASGNSATEPYIAAHNLLLAHATAAKLYKKKYQATQGGQIGLSLVGLYYEPYSDSLFDRNAAKRAMDFELGWIVEPLVRGKYPSSMRKLVKNRLPVFTAQEKELVKGSFDFIGINYYTARYAKNIPINPTEPAISYLVDEHVNATVNKNGVLIGPNAGGSFFLFIYPPGLYKLLNFMGKHYGKNLTIYITENGVTEKKNDSIPIKQALNDQFRIEFIQKHLHQLRRAIKDGVINVKGYFVWSLLDDFEWIEGYGIGYGLYYVDRKNNLKRIPKKSAKWYHDFVNGDRKKTRIKNYFA >OMO84026 pep supercontig:CCACVL1_1.0:contig09803:81237:86765:-1 gene:CCACVL1_11041 transcript:OMO84026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTTTVECPGCPPLRALTFDALGLIKVIEARTKEGGVPKVVERWGDPDAAKCVLAASLDDRKTDPLLAVARKSGVIEVLNPLNGELSVTVSNVSNGNARPEDDAIVGLHLFRRQILESTSRSCTFLTCTTKGNATLRSVEMTDSPSDSNASKTWNVCGAGNISFSQVDGNESYAVFGGKGVEVNMWDLDKCTKIWTAKPPPKNSLGIFTPTWFTSATFLCKDDHRKVVAGTNSHQVRLYDVSAQRRPVISFDFRETPIKAVTEDLDGHTIYIGSGSGDLASVDIRTGKLLGCFLGKCSGSIRSIARHPELPVIASCGLDSYLRIWDIATRQLLSSVFLKQHLTKVVFDTNYSEDVKYYEADVAPHEEQSIGEVQTADETEKPRTKRKKSSKEDRGSKKKSSKEKDRTKKLKSKKRSKSLENETADDE >OMO84018 pep supercontig:CCACVL1_1.0:contig09803:29673:32535:1 gene:CCACVL1_11033 transcript:OMO84018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase MASSSVNLEDVPSESLMSELLRRMKCATKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGLQAKEAMDKGGLVSDDLVVGIIDEAIKNPSCQKGFILDGFPRTVGQAEKLDEMLGKQGVKIDKVLDFAIDDAILEERITGRWIHPSSGRTYHTKFAPPKVPGVDDVTGEPLIQRKDDTAAVLKSRLEAFHKQTEPVIEYYSKKGVVAKLHAEKPPKEVTEEVKKVLS >OMO84024 pep supercontig:CCACVL1_1.0:contig09803:77018:77116:-1 gene:CCACVL1_11039 transcript:OMO84024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPEKSKTMSDSGGGLAQGRVEVAGNVWWERE >OMO84020 pep supercontig:CCACVL1_1.0:contig09803:44775:47132:1 gene:CCACVL1_11035 transcript:OMO84020 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MARGRMIKNPFITVTPRSFSQLFTGSALTSLFLPKRTSSPSLSIFVLSFVVSFTFLGISIVRFFPSSQDSIHCSVVTPPLPPSLHPSSYSQIILAALLAGINSHNEIVEPRLGSTVMVPLPIHLVSGNLSEEETDFWRQPDGKGYRPCLEFGIGYRKKSATISKEKNRFLVVVVAGGLNQQRNQIIDAVVIARILEASLVVPILQVNHIWEDDSEFSDIYDVEHFKKTLQADVRVVSSLPSSHLMAKQTIVTHIPYNVSPLWIRARFFKQLNLEGVLVIKGLDSKLSKNLPPDLQRLKCKVAFHALRFSRPILELGNQLARRMWIEGPYIALHLRLEKDVWVRTGCLTGLGPEYDNIVTQLQKSQPQYLTGRVNMSYTQRRLSGLCPLNALEMARLLKALGAPRSARLYLAGGKPFGGTKALQPLASEFPHLVTKEALARDGELSPFLNRSSALAAIDYIVSFNSDIFIPSHGGNMARALVGHRAYMGHRKSIRPNKRAMLPVFDDRTISDKELSSIIRTMHNKSLNPEPRRKEMDKDVISYPVPECMCRHGDGVF >OMO84014 pep supercontig:CCACVL1_1.0:contig09803:1875:4118:1 gene:CCACVL1_11029 transcript:OMO84014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase MLSRVSTISGAAVTVAPPLSRLLQLNVSRLHGAAAQPQLDPDYCNCYGSSEELRRDQLVRSTPMTDTDDAVPLRGVQWAFIGSPRSKKHVYAEMLSKLLKIANAVNQGELVQEDTIFGLLSKRLEDGHCRGETGFILDGIPRTRIQAEILDQLSEIDLVVNFICTQDLMVNTQGAKPGLIHFNFIPEASWKKRLEDYTKQSKPLEDYYKKQQKLLDFEVGSAHLETWQGLLTALHLEYVHAANSQKLIGGSILP >OMO84013 pep supercontig:CCACVL1_1.0:contig09803:453:1471:1 gene:CCACVL1_11028 transcript:OMO84013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argininosuccinate synthase VRFELAFFALNPELNVVAPWREWDITGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKKDMYMMSVDPEDAPDKPEYVEIGIVSGIPVSVNGKNLSPASLLAELNEIGGRHGVGRIDMVENRLVGMKSRGVYETPGGTILFNAVRELESLTLDRETIQVKDSLALKYAELVYAGRWFDPLRESMDAFMEKITATTTGLVTLKLYKGSVSVTGRTSPHSLYRQDISSFESGQIYDQADAAGFIRLYGLPMRVRAMLEKGI >OMO84016 pep supercontig:CCACVL1_1.0:contig09803:9155:11503:-1 gene:CCACVL1_11031 transcript:OMO84016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLARGAGSESELEEIMVKYFNVTG >OMO84023 pep supercontig:CCACVL1_1.0:contig09803:66106:71023:1 gene:CCACVL1_11038 transcript:OMO84023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSNPKLYFNMQRVFTFGKGKGEGHKGMKSLLGGKGANLAEMSRIGLSVPPGLTISTEACNEYQQNGKKLPEGLWEEILEGLKTVEDDMGAILGDPAKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVAGLAAKSGERFAYDSYRRFLDMFGDVVMGIPHSLFEEKLERMKEEKGAKLDTDLRAADLKELVQRYKNVYLEAKGEMFPSDPKKQLLLAVKAVFNSWDSPRAIKYRSIHQITGLKGTAVNIQTMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLINAQGEDVVSGIRTPEDLYTMKSYMPEAYKELVHNCEILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVNEGLVDKRAAIKMVEPRHLDQLLHPQFEDPCAYQDKVVAIGLPASPGAAVGQIVFSPDDAEEWHAQGKSVILVRTETSAEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVSSCSDIRVNDADKTFVVGDVVFKEGEWFSLNGSTGEVILGKQPLAPPALSGDLETFMSWVDEIRLLKVMANVDTPEDAVTARNNGAQGIGLCRTEHMFFASHERIKAVREMIMAVTPKQRKAALKPLLPYQRSDFEGIFRAMDGLAVTIRLLDPPLHEFLPVGELEHIVSELASETGTTEEEVFSRIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAISMSNQGVRVLPEIMVPLVGTPQEFGHQVSLIRSVAKKVFAEMGSSLNYKVGTMIETPRAALVADEIAEEAEFFSFGTNDLTQMTFGYSRDDVGKFLPTYLSKGILQNDPFEVLDQKGVGQLIKIATEKGRGARPSLEVGICGEHGGEPSSVAFFAKAGLNYVSCSPFRVPIARLAAAQVAL >OMO84019 pep supercontig:CCACVL1_1.0:contig09803:37678:42594:-1 gene:CCACVL1_11034 transcript:OMO84019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M18 MAENDVSSVVSDFINFLNASPTAFHAVDEAKKRLQKVGYEQVLEREDWKLEAGKRYFFTRNHSTIVAFAIGKKYVAGNGFHIVGAHTDSPCLKLKPVTKVTKGGYLEVGVQTYGGGLWHTWFDRDLTVAGRVIIKEEKGSSVSYSHQLLRIEEPIMRVPTLAIHLDRGVNDGFKVNTQSHLLPVLATSIKAELNKEVAENGPSEKITKHHSLLLQIIANNLGCRPDQICDFELQACDTQPSIVAGATKEFVFSGRLDNLCMSFCSLKALIDATSSENDLEDESGVRMVALFDHEEVGSNSAQGAGSPAMLDALSRITLSFTSDSKLLTKAIQRSLLVSADMAHALHPNYMDKHEDNHQPKLHGGLVIKHNANQRYATNAVTSFIFREIATRHNLPIQDFVVRNDMPCGSTIGPILASGVGIRTVDVGAPQLSMHSIREMCAVDDVKHSYEHFKAFFLEFTHLDAKITVDK >OMO60796 pep supercontig:CCACVL1_1.0:contig13660:10290:11465:1 gene:CCACVL1_23867 transcript:OMO60796 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein MTTLWKRAAGAIKDKNSIVVANLCWKNAFRNSDLESAIITATSHDEYFIDKKNVQRVFSWIRASPLSLRTVLWALSKRMGKTRSWVVAIKGLMLMHGVINCKVVDVQQMGRLPFDLSNFSDGYSKATKMWGFNSFIRAYFAFLDQRAVVSFEQENHNNNKLQSNNNHQEQEQRPLTVQQILKLQKLQSLLNLLMQVRPQADMRVGLILEAMDCIIIEIFNVYGRICSGIAKVGLNIHSVGKLEAAIALKILLKARVQCDELSLFFEFCKDYGILNANEFPTVDQVPDEDIEELERIVNGVPEDKEENQMAIVLREEKNAIVEQKESKGGLQTIISEKWVIFDENMNINNGETMAKKSAAAAAVDVKDLLPLISIDVPVYNNPFEIPDLITF >OMO60795 pep supercontig:CCACVL1_1.0:contig13660:5822:8790:-1 gene:CCACVL1_23866 transcript:OMO60795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAIYRDCQRNHACNFGGYAIDGCRHFIPSNTIGMGIHHCKSCGCHRNYHRKLTFTELSSENAAIKSSFWSLREAKRIARQRCVVLPGTGTPSSSMAAGGCKVKKRKSKFSKGQKEAMREFAESLGWSMRNKEDRDFPYDFATLCLHNSMNVSFQDREEEVEVRDHKGDMDLHHNNNAIEFDIEFWPVEHPMEPPDEDRPVKCPMPASSSINIDRKSFEESSRKRSEVPEMAARGVAVATAPPVRAVRKRHHHTLTPVDDHIMKPLVRMPPLPPLPTQNLTIFQMLQEFDKFNS >OMO60797 pep supercontig:CCACVL1_1.0:contig13660:14992:21139:1 gene:CCACVL1_23868 transcript:OMO60797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLSPLFCLLIFILIINVNNGQTCSSSCGNIPIRYPFRLSKDPASCGDRDYELSCLENNSTILYFRKGFYYVKKISYDKHIIQVVDVNFANGSCGLPSRALSMDDLLNDPRYPGWSNYHYAYTLYYLRCSSEISDLANSKVPCLSDDLSNVYVKVTSYWRRFFTFIDFPNSCKLISTLPSYYYGIKNVEQKKPSYETILKMQESGFDMVWSVECRDCKSKSRSCHQRLANSTTEFDCYTISYDDDYDEYVQAIVDLTVVTVEGIFFISFVSNIHTPPLGYICFPLPQMLLFKRSLRRFIFGLWCHRIARFGNVTRPKLMIWKCLPKPESDSPKEMLVPEFMDQRSLLYPFRLSKDPAGCGDRDYELSCLENNSTILYFRKGFYYVKKISYDEHIIRVVDVNFVNGSCGLPNRDLTLDQLYNDPLYPGMTKNVTYTYTLNYLRCSNEISDLGKTRVPCLSGDVYVNLTSYYDSPSFLEIPSSCKLISAVPAYYEDEMLEQKKISYETILKMQESGFDMVWSVGCRECMSRRRRSMCSQRFPSTTEFECIQLYDDEYYDEIRQIIIAFSVVSLEVCGDKDYELSCQNNNDTILNFRKGSYYVKRIYYEDHVIQVVDVNLANGSCGLPSRALSMDDLLNDPRYPGWTNYDYAYTLNYLRCSTEISDLANSRVPCLSDDSSNVYVMVTSYWRSPFILEIPKSCKLISALPSYYYDIEMVEQKNPSYETILKMQESGFDMVWSVECRDCNSKRRSCIQGFNSTTEFACLTKVDNNDFYDKFGGIYTSVSVAFVADSYSFHWLYLLSFATNAAIQEIKKIHIWF >OMO60794 pep supercontig:CCACVL1_1.0:contig13660:76:3951:1 gene:CCACVL1_23865 transcript:OMO60794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease AGAMLGDAFLHQLPHAFGGGHSHSHDDHAGHDHHAHTGHDHTHAHSLKDLSVGLSVLGGIVLFLLVEKVVRYVEENSGGAGGWGHGHHHHQHKSNKKLKNDDDVHDSHSQSPGDVSNDSLDGGNATQHEIRKRKASTGTSDDKSDIDPADGDANSVTTLVHKETSLAPSNLVFGYLNLFSDGVHNFTDGMALGSAFLLYGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFSVSKALFFNFLSALMALAGTALALLWGQEPGQSSLIEGFTAGGFIYIAVAGVLAEMNNNSNTTVKTTIVQLFSLTFGIAIALFISLVE >OMO49584 pep supercontig:CCACVL1_1.0:contig16465:9941:19095:1 gene:CCACVL1_30913 transcript:OMO49584 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase PIF1, ATP-dependent MVDGCVKAARTGAKRRLFVDTSSCSPSDYVDNLNHAAILTTPSDMPDKAASIHRMNRYLHFKAKERDVIGLRLCLSPHPTCSVNTIHEICSQIHPQLEDQSVPRPNQTVRRKYFEASTFGGPTYKCPHCSAYMWYGERNQISKKTSKPKFMLCCWQGTVKLPPMRPTPPLLNDLLTQSGQRGRLFRENIRVYNCLFQFTSLGAKVDNDVNKRPGPYVFLLNGLTYHRIGSLLPVDGSSPKFAQLYVYDSDKEIDQRIQALTGGDGIGNIEHELAQELLCMLNEINEIARAFRMAKDRLCQASPASFRLRFMSARNLSDRNYTPPTCTEIAILISGDSTDGDQSRDIIIEHKNGDLKKHSSLHPLYMAFQYPLLFPNGEDGFELGIKYVDSPSKKAVKRESVTMRKYYAYQLQQRHVDCNTLLRGGRLLQQYMCDAFSSIDFCRLLYTKDHQKEIHSDFYDNVRDAMARGDSDGSFIGKRIIHPASYTGGSRYMFQNYQDAIAICRYYGYPSLFITFTCNPKWDEIQQALSLIPGQKAEDRPDIVSQVFRLKVRDLIKDLTEGQHFGESIAVTYTIEFQKRVLPHVHILLWLHPDSKLKSASDINELISAELPDPHVDRVGYDVVSSFMIHGPCGNAAPNAPCMVDGMCSKYFPKFFQRETIMDDGGYVTYRRRDTEISCKKNGIHLDNRFVVPHNLDLIIKYNAHINVKICNPTSAIKYLFKYVNKGSDRTRVCLEEKTDVQDQAPASSAKVMDEIKKYLDCRYIGPHGACWRIFEFDIHYRDPAVQRLLIHLPGRHHVHFDDNQALRRDRDDKVWRPRQQGRSVGRMISVHPTSGQLYYLRLLLNVVRGATCFEDIRTVNGVLYPTFQRACEVLGLLGDDKEWNEAMLQASEWATSGQLRLLFVIILLFCHVSNPTELFEKNWKIMADDIVYKYRRMMRSPRSEGLIVLAVASSGITSLLMPGGRTAHSRFKIPIDINDCSTCSINKGTQLAKQIQRTSLIVWDEAPMIHKHCLEALEKTLSGVLFDVTRERVGKPFGGKTIVLGGDFRQVLPVIPQGSKTDVLAATICNSKLWSQFELFTLRRNMRLTRQGLDPSVKSSLEEFAAWLLDIGDGQIGEPDIDSDQEGLMIMEPKEPRRLSISQLRKGRVMDYVILRVVRRWDTIFPSTKTFTTIDFLFVDDEGNAIHGYMDSKPDKEFLSVLQEGHLYKIHTFQVKGPKGSYNAIPGKNTLMIYYSAEVTEIKEDLDRFPTYYFLFANIDQIIARKKTDELMTDVIGILDSITKVGSVKPRNQPGEVQKRSLFMRLISGDKIKITVLSQFLDQDYLLAQRPKPIVIIAGMTVKTFGENMYLSTTSASKIYVNLDVPETAEVRARFKDDNGSVLLLDHDGDQQSATNIYGEIVSTKIMHLLSLDPAAIGDEYEKWLETETFLFNVRQRGIMFELRIKLALQIRDDTGKMQLFVFGTLAQDLAEIKLGKLPFMIDTSEIPFPDDGYKIIGKSFNFVIGLGKQALRKGELSFKVFKYTLVEGSGSSIKGVGNTSMVGEYSLGALETTIEDLALQTPQKGPTTTTTNQNPAASPDESTASPMPINDDEPCSVSEKEISSTEKTPARKTKRSPAKKLKSRSYLTAYQGSWISDQVHHVRVSADWKAYLWR >OMO49585 pep supercontig:CCACVL1_1.0:contig16465:20428:23081:1 gene:CCACVL1_30914 transcript:OMO49585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPNGGHDLSPSTHQFIRVLESQMGIIFPYLSIEDSPKIRTNPMLRQVMQLNVAMYVNQCDAHTKDVIMRWLELTPNDLPKGIEITAMLQHDHILYELYSRGLADYINPQLSVRGMRPQLQLMYLEEDVVRLKLAGCEEKTILVTTQPTAEARLMLSFSIDVQSGIPTHNIDLALHVEHEFPFAVGCSVCVQAHHESPRVQPVPLTMFSYNACGAANPAVEDHLIHTVLDHDVHFAIVTETRTFGEQGRELRDSIGFLRGESLDAEGYCGGSWILWDPNIINIEILSKTRYEIFAMIKIIDDPMEGIRMYNPPPPKDRLPLPAKKIIETLESQLSITYPTTTLDDSPEVREIPILRSIVGLIVASAQQIPQLARPIQAILRNWLRLHYTEIPRGQAAAELLEDRDVLKQLYSRGLANKYRPILCLQTTDQDIKIMEARHGIVGFERLKQG >OMO49586 pep supercontig:CCACVL1_1.0:contig16465:29195:29716:1 gene:CCACVL1_30915 transcript:OMO49586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTSQISLYRNEEALLRSTNRTVGSPWLRAEKTEEENNGVKEMEKDKKDDEKVETEKMDEVEGSKEEKEEPKTEAMEEESDSKENHEREENKDDQIEGRKIRSRRKSDRLKEKKESEERRFREGREDAVNCQDVRE >OMO90487 pep supercontig:CCACVL1_1.0:contig08470:1439:1498:1 gene:CCACVL1_07356 transcript:OMO90487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKPQFIPASIAKIDIRNT >OMO61354 pep supercontig:CCACVL1_1.0:contig13513:11320:14012:1 gene:CCACVL1_23580 transcript:OMO61354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanylate kinase MGEAPVFFVDNLQDELSNGFVLKSKGLETSTDVGDRTFVIGGANNDSASSIGVQIYEKSTGKWVIPTVLGTKPKPCKGHSAILLNEDRIMIIIRGSTQDDCIWFLEVDTKYVKEQKKVLGTEVVAWSKGVRGDAEKPIVISGPSGVGKGTLINMLMKEFPSMFGFSVSHTTRAPRNMEKNGVHYHFTERSVMEKDIKDGKFLEFASVHGNLYGTSLEAVEAVADSGKRCILDIDVQGARSVKASSLDAIFIFICPPSMKDLEERLRARGTETEEQVQKRLRNAEAEIEQGKSSGIFDHILYNDNLEECYENLKKLLGLDGSAPTNHKSSPKGIDLPLNHSVSKIDNKILINCETPELGNASKNLIVLDVSSLKGGAPGRTRGLNVYAIDSFSDGLNGIHKLS >OMO61360 pep supercontig:CCACVL1_1.0:contig13513:85202:85348:-1 gene:CCACVL1_23586 transcript:OMO61360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQEEENLGHYNRIRTFSKRRAQIVVASFSYRRDRARKRQIFQVIDGRR >OMO61359 pep supercontig:CCACVL1_1.0:contig13513:53436:79064:-1 gene:CCACVL1_23585 transcript:OMO61359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLNRTSRPPPPRFSKKRLKVSVQKMQENADQRQVEQIEDASVKSQDRSLKAHLSNGDAEERIKSVLLKLMLYDMLLFCRKPMICHIHKREGSFNFKGNPRRTIPKNKAVDPFSGKGAMVDATEITSGLVEDDESASKFIL >OMO61355 pep supercontig:CCACVL1_1.0:contig13513:26812:32148:-1 gene:CCACVL1_23581 transcript:OMO61355 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding transcription factor, subunit B MHLKPDDTNHPEVNVNNNASYTVRSQPWWCSSRQDAILTDALGEGKLSLSATNDPNRSSRTKTCEPLSKDGTNDEISASKEMCLTVLPHPDGKCGDEQPHLQHAVPIIPPMVGEYVAPPTQLELVGHSIACPSYPYADPYYGGVVPPCGPQSLLHSHCLGVHPTRMALPLEMAEEPVYVNAKQYHGILRRRQSRAKAELEKKLIKVRKPYLHESRHLHAMRRARGCGGRFLNTKKLDSDASNATHDKGGDPISIVSSHPTNSSSGAKSISSEMSSQNVIKTSIGHRQTTESEMQGTHMLQAFSNSNSKMYRTNSNGNSARYSLHQGFSFSPSHSLSDKMMEEGDCAGQQHKRIVGNGVPHRALTIK >OMO61356 pep supercontig:CCACVL1_1.0:contig13513:40177:42003:-1 gene:CCACVL1_23582 transcript:OMO61356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEAAPSSVPSTAATTFGKLCVCFETKPLVATLLAITLVMLIWNLPPYYQTLLSTARPCSATPLTSAAAAVATTSLLATNATLPFTATPVAEKKYYTAPKAKPTDPNKRVFEAYGNAAALFVHMGTYRGGPTTFAVVGLASKPIHVFGKPWYKCEWISNNGSSYRAKAYKILPDWGYGRVYTVVVVNCTFPFNPNQDNLGGKLMINAYYGESPRKYEKFMALEEAPGSYDESKYRPPYKYEYLYCGSSLYGNLSADRMREWMAYHAWFFGPSSHFVFHDAGGVTKEVRAALDPWVRAGRVTVQDIRDQVEYDGYYYNQFLIVNDCLHRYRHAANWTFFFDVDEYIYLPDGNTLESVLNEFSNYTQFTIEQNPMSSVLCLNDSSQQYSRQWGFEKLLFRESRTGIRRDRKYAIQAKNAYATGVHMSENVVGKALHKTETKIRYYHYHNTITVHEELCRELLPLSAKNNVTWFNKLPYVYDDNMKKLVKTIKEFEQKTIGNGSLQLN >OMO61358 pep supercontig:CCACVL1_1.0:contig13513:50983:52556:1 gene:CCACVL1_23584 transcript:OMO61358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQATRDSMESKKGEDLLLHVWDEESWQRK >OMO61357 pep supercontig:CCACVL1_1.0:contig13513:50530:50658:1 gene:CCACVL1_23583 transcript:OMO61357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPSKLPRTSSSSSSLRVWELLLQKLLANSPKFRNPKPILN >OMO56060 pep supercontig:CCACVL1_1.0:contig14557:32560:33726:1 gene:CCACVL1_26789 transcript:OMO56060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSWLRHGYNKVARILGRDPKKQSFPVLEGHPAAQQHAEVAVETQGDIQNRVKKLHKEFKIYRWSPGQNKPFLQSYYVNLSNCGPMVLDALQKIKAEDDSSLSYRRSCREGICGSCAMNIDGTNTVACLKPIDADINKPTIITPLPHMYVIKDLVVDLTNFYQQYRSIEPWLKTKRPPEDGREYKQSPADRKKLDGLYECILCACCSTSCPSYWWNPELFLGPAALLHSFRWISDSRDEFTEERVQALTEDFKKLYSCRVIENCTATCPKSLNPANAILKLKTKHLASQPVERLGSM >OMO56065 pep supercontig:CCACVL1_1.0:contig14557:56186:58633:-1 gene:CCACVL1_26794 transcript:OMO56065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-phosphatase MVSFFEERPKTMAAGQNVMTDAKSVLNMAITVTVSAQKPPAPPGYISISRKKLLQNLEINAGARINAWVDSMRASSPTHIKSTPSLNDDQTSWNLNHPSALEMFDKIIDESKGKQIVMFLDYDGTLSPIVEDPDRAFMSKKMRKTVRKLAKCFPTAIVSGRCRDKVYKFVKLAELYYAGSHGMDIKGPEKRSKSNKDSEVLFQPASEFLPMIDEVYKQLVETTKSTPGAKVENNKFCLSVHFRCVDEKKWSELAQQVRSVLKEYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFANCTDVFPVYIGDDRTDEDAFKILRDRGQGFGILVSKFPKETNASYSLQEPDEVMDFLRRLVEWKQLSVRAQSRM >OMO56066 pep supercontig:CCACVL1_1.0:contig14557:66720:66815:1 gene:CCACVL1_26795 transcript:OMO56066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQIRGKRAVANPTGTNHLWPTSHCACRGKE >OMO56063 pep supercontig:CCACVL1_1.0:contig14557:45459:46151:-1 gene:CCACVL1_26792 transcript:OMO56063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase, LH2 MAIHSKLLLSFFLLLVSSSSVALSDDADCVYTVYVRTGSVIKGGTDSIISLRLYDPNGWYIEISDIEAWGGLMGSGYNYFERGNLDIFSGRGPCLTAPICAMNLTSDGSGAHHGWYCNYVEVTMTGVHMSCSQEQFEVEQWLATDTSPYELTTVRNYCYGAGAELGAARRLDRKSAAELGAARRLDRKSTLAASSM >OMO56057 pep supercontig:CCACVL1_1.0:contig14557:6822:9170:-1 gene:CCACVL1_26786 transcript:OMO56057 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MKSETLTLVLVNLAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQASCYPLAAYLSMYHNRAHVIALGAFLWAAATFLVAISTTFLQVAISRGLNGIGLAIVIPAIQSLVADSTDDSNRGVAFGWLQLTGNLGSILGGLLSVLIASKTIMGIPGWRVAFHLVWIISVVVGILVRLFANDPRYSDSDHRAKEQDAQKSLSSQVKEIIREAKSVVRIPSFQIIVAQGVSGSFPWSALSFATMWLELTGFSHETTALIMTLFSVAGSFGSLFGGFMGDFLAKRFPNAGRIVLSQISAGSAIPLAAIFLLGLPKNPSTAFYHGLVLVIMGLTATWNAPATNNPIFAEIVPEKHRTSIYALDRSFESILASFAPPIVGILAQNVYGYKPIPKGSSDSKEIEADRENAASLAKALYTAIGIPFAICVSIYSFLYCTYPRDRERARMQALIESEMQQLDDNDDNNSPSNGKESEFGIADSKELIEKERSEIYIEFGGDESLDLDDNDEKYLLNHQLAPAS >OMO56059 pep supercontig:CCACVL1_1.0:contig14557:22021:23421:-1 gene:CCACVL1_26788 transcript:OMO56059 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MDSGNSGSMQSSSGGSEEYDSRAESSISAFLNHNNPSTHIGHGHGSLNQPPPPPPQQHHSSTMFDPLSNYFDHPLSSRSPQLSTNPNSLLNLDVVWSKNLRSEPNCNDLGGGGFITAASSSPPTQQFQSRASSFPSMNQIPENNNKISSVSVSGGNSDQPNNNNMVRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTSSPFPRTRLDLFGSSMRLSNTAAHLVDPSPPPPPPPHYLLRPFAQKLQPPFVSSDALTSSSINPSTTDNNNNNNITSSTSSTSINYQLPSQNLLNINMQQQNQNPVLNFQSLLQQKYPLSNSSVNHLLGTKPQGSLGLDVPTSTDQSGLKMGVLEEFGLSHVSTNLSGLQNMALPRNENSSNIPQGSSWGEGTGTGAAGQEHDQSLLRSINGGRVSNGKVSNLMITGNSSSDFHGDKAPENVAAARSEGMVESWICSSD >OMO56064 pep supercontig:CCACVL1_1.0:contig14557:47750:48583:1 gene:CCACVL1_26793 transcript:OMO56064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERVGPNAADDPQSQSLRPEPTSPTNSDNNNNLSLQLALPPARRPLETYVIQVPKDQIYRVPPPEHAIIVENHRKAAAKPAAGGKKRKRPCLMILIWIVIVLVVIGAIIGISLAVLYHHFTPKAPIFSLSSLHVKQFPDRPARFDVTLKVNNPNEKMGIKYGGFSDEDARLIFWTKTIGTGQIARLHQNGGVSEVVHVKLDGPEDVPVPPNVQKSMNDKKPEHQMTLELKLNSPLKLNVWKLQMWKREMDVVCKFRVNTLGVGTKIMSQDCKTTLS >OMO56061 pep supercontig:CCACVL1_1.0:contig14557:34944:39111:1 gene:CCACVL1_26790 transcript:OMO56061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper chaperone SCO1/SenC MTLARLIASSSKQRFTQTANLLQRFGPSKRIQSSGYSKSAKNGYGKPKTGHPVVNVEQQASASRSWGAYVVPAALLGFGGFITLLHLNDQRRKIPLDQGSASDCGCITTAGPVIGGPFTLVNTENQVVTEKDFLGNWVLLYFGYTSSPDVGPDQVRMMAKATETLESKENVKVLPVFVTIDPQRDTPAHLRAYLKEFDPRIVGLTGPVNSVRQMALEYRVFFRKVQEEGDDYLVESSHNMYLIDPKMKVVRCFGVEYTAGIGMVIWGLSIRNHWATECVKLAMRHLLAIAIVIIVISLIGLIGSCCKSNCCLWIYMAILILWFVGLVVSAGLTFYIATASSQTKELAQKTWVQQMVGKNWPSVKNCLLQGQFCQAMAMNSTAKGLEEFKNGCCMPPEDCGFVFRNATFWEVPETGLVKNDGDCKIWNNQIDGKCYDCDKCKEAFVGDLRRDALYIGIALICETVFVLIIFCIGCCARKNNETKYYP >OMO56062 pep supercontig:CCACVL1_1.0:contig14557:40939:44027:1 gene:CCACVL1_26791 transcript:OMO56062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MGDASPEKRSGCGLLNAVLGRRNFWPRKSTSTGSSPIHIINSNNNSIKTANSNTKRRRSGSDEAAIFKPEVPAAKPTVKLPVNNNPKLPVNINQQNHVRKPSETTKPNQQARRVPKEAVSISGELESMIVDHQKTKGNNSNLVRASSSNMMLFGNLGNLRQPGGGNTNTTNSYNNVLDQLPKTAKEDHHVSSSTPNGKYPNSVMGNVVKKPESLCKTVSTRMDPETLKMMGNEDYKNGNFAEALALYEAAIAIDPTKASYRSNKSAALTALGRILEAIFECREAIRIEPHYHRAHHRLANLYLRLGEVENAIYHYKHAGLEADREDIAKAKLIQAHLNKCTEAKRQRDWNTLIKETDSTMNAGADSAPQIYALKAEALLKVHRHQEADETLLKGPNFDIDDCTKYFGPIGNANLLVVRAQVDMAAGRFDDALAVIQRAVRLDSNNREANNVMRKARAVAAARSNGNELFKASNFLEACDAYSEGLDQDPNNSVLLCNRAACRSKLGHYEKAIEDCTRALNLRPAYFKARLRRADCYFKLGKWEASIKDYEMLQREAPDNEEVNQGLSEAQMQLKKQRGEVV >OMO56058 pep supercontig:CCACVL1_1.0:contig14557:9778:11893:-1 gene:CCACVL1_26787 transcript:OMO56058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MKSETLTLVLVNLAGIMQRADESLLPGVYKEVGEALHIDPTGLGSLTLFRSVVQSCCYPLAAYLAMHHNRAHVISLGAFLWAAATLLVAISTTFFQVAVSRGLNGIGLAIVIPSIQSLVADSTDESNRGWAFGWLQLTGNIGFILGGFCSVLIASKTIMGIPGWRFAFHLVALISVIVGILVHFFVKDPRFSDTTNNPTKQLVACNNSLSSKVKNMLKEAKYVIKIPTFQIIVAQGVFGSFFGSAFSFAPMWLELIGFSHETTAFIMTLSVIANSIGGLFGGRMGDILAKHLPNSGRIILSQISAGSAIPIAAVLLLALPDDPSTAFSHGLVFFIMGFFISWNAPATNNPIFAEIVPEKSRTSIYALDQSFESILASFAPPIVGILAERVYGYKPVMQGSSDSMEIETDTENAASLAKALYAATGIPMAICCLIYSLLYCTYPRDRERARMQALIESEMQQVEDNDSPSSGKQSKFCIAEEINDDDDERSEIYIEFGGHESLDLDDNDDDEKSLLNHHHQLDSQT >OMO50973 pep supercontig:CCACVL1_1.0:contig16017:5572:6451:1 gene:CCACVL1_30095 transcript:OMO50973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAYPPAAPASSTAPIIGPQFCAPHPVDLAIIREVKTIKYGSFVVTDINGDILFKVKGAGFFSMHDHRVLIDAAGNPILTLKQKIMTAHDRWQVFRGDSTQSSDLIFTAKRSSMFQLKTKLDVFLANNTKEDVCDFKVKGSWTERSCVIYAGESSDIVAQDKFMVTVNPNIDYAFIVTLIVILDGINAVAQQAAAASGAGSSAAVAVVV >OMP11662 pep supercontig:CCACVL1_1.0:contig01047:784:876:1 gene:CCACVL1_00358 transcript:OMP11662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFSRSKGHRKPTIPISSSEPKKYTHKKAGL >OMO51983 pep supercontig:CCACVL1_1.0:contig15651:27556:32077:-1 gene:CCACVL1_29459 transcript:OMO51983 gene_biotype:protein_coding transcript_biotype:protein_coding description:secretogranin-3 MYNTISIFGFRSCGEKKPQTDPPYPPPLKSRRHHGPLPSHRDGVSFNRKLGIKRPDLSPFSSSFKSELTQASKASNQAVSDTSNKPRPTQGLSFVNGNPKLTP >OMO51984 pep supercontig:CCACVL1_1.0:contig15651:33223:34109:1 gene:CCACVL1_29460 transcript:OMO51984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSANTLTNGHGMHPLFSFGVISDVQYADIPDGHSFLGVPRFYRHSMLVLQRAVQSWNNHKKLNFAINFGDIVDGHCPKDQSLHAVKKLVGEFDKFNGPVYHMIGNHCLYNLPRDKLLPLLKIPNLGGSGLAYYEFSPTPDYRFVVLDGYDISAIGWPDDHPNTLEALRFLREKNPNSDKNSPDGLKGLDRRFLMFNGGVSKEQMEWLDGVLQDATKMKQKVIVCCHLPLDPGASSKEALLWNYDQVMDVIHRYNCVKVCIAGHDHEGGHSIDSHGIYHRVLEAALECPPGTDAY >OMO51981 pep supercontig:CCACVL1_1.0:contig15651:3562:8488:-1 gene:CCACVL1_29457 transcript:OMO51981 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MEDRQEEQNQGENTNNMLQQIIRQLGTMTTRLETLETRNPQAQQGANAAINNEDHPPPPRQIARIDPMERLRQQELGGQAHNDNMRPRRGIEREEPKDNIKYKIPKFNGRGSPSDYLEWESKLDMYFDYYPHAEPKKVQIATLEFTENALNWWNQLVQTRRRNLERPINTWLGLKSYMRKRFVPSFYTNGLYQELHSLRQGTRSVDEYYSEMMLLMSRAEIDEAPQAPIARFLAGLNREIHDIVEMQQHYEVEELLQHALKAESQIKRNKKSFTSSSSSSWKTPIKKDEKSSKEKESAQKVMTPKTDSKSSSSSSSKSYVKCFKCQGYGHFARDCVNKKVMLTNDNGEIVSEDEYIALGSSGDGDDEGDAHDDSDDGDGFALRSLVARRTLSAYVKDDVNNQRENLFHTRMYANGKPSSVIIDGGSCTNIASVYLVKEMQLPTTKHPKPYSLGWINDKEEIRVNKQVLVSLCLGRAKEKTNHASLDKNCDIVKHDRSKKVNKECMLATKSEIKEALNDNSVLILLLLKYTLVSTNHLESELPSNIVSLLSDYVDVFPEEIPSGLPPIRGIEHQIDFIPGAQIPNKPAYRTNPEETKELEKQVGELLQKGFVRESLSPCAVPVLLVPKKMGLGEWLYEWFVMPFGLTNAPSTFMRLMNHVLRAFIGKFVVVYFDDILVYSQNLDEHVKHLRCVLDVLRVEKLYANLKKCTFCTNKLVFLGFVVSSQGIEVDEEKIKAIKEWPTPTNVGQVRSFHGLAGFYRRFVKDFSTLAAPITSVMKKNAPFKWGDEQQEAFETLKDKLTNAPLLVLPNFNNTFEIECDASGVGIGAVLMQGGKPVAYFSEKLNGAALNYPTYDKELYALVRALQTWQHYLWPKEFVIHTDHESLKYLRGQQKLNKRHAKWSEFIESFPYVVRYKQGKENVVADALSRRYVLLSMLDSKFLGFEYIKELYASDVYFSETFKACENSGFGKYYKHDGFLFKESRLCVPSCSLRILLLRESHEGGLMGHFGVDRTYDILHEHFFWPKMRHDVGNMDFVLGLPRSRRGKDSIFVVVDRFSKMAHFIACTKTDDAINVANLFFKEIVRLHGMPRTIVSDRDAKFLSHFWRTLWAKLGTKLLFSTTCHPQTDGQSEVVNRTLSTLLRALIKKNLRTWEDCLPHVEFAYNRSIHSTTGCSPFETVYGFNPLTPLDLLSLPLSVQVDMDGQRKAEYVRELHARVRAQIEKKTQHYMKNANKGRKEVIFEPEDWVWLHLRKERFPEKRKSKLLPRGDGPFQVLERINNNAYKLDLPSEYGNVSATFNVSDLSLFDSDADLRTNPFQGRGDDAPRAYHGLEEHIGANEDQGDVIDASLEEHGVGGTSDRPLLGEAAQHRPHDRPSHATSHGATGHNRPSIGPSGQDRPFDPLAIPQGPMTRARAKRFKEALLGFVRSHLGGLESIEEHLEGIEVDITKNIPIDSKVFTLLEIDEH >OMO51982 pep supercontig:CCACVL1_1.0:contig15651:10892:11194:1 gene:CCACVL1_29458 transcript:OMO51982 gene_biotype:protein_coding transcript_biotype:protein_coding description:dihydrodipicolinate synthase MPRRAAIRLGSQNAPAELVDVQDEHADSSAEHNQQNSAYLFEKKKGFCRNPSLAAIKTSIGTEAPQRRQQPPQQTAMAARHRPEPGHARWVRRDRTAAPQ >OMO62093 pep supercontig:CCACVL1_1.0:contig13347:934:2142:1 gene:CCACVL1_23032 transcript:OMO62093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDGVEPDVMAYMTLVTGLSQGRRVQRGYEFFREMKEKGILIDRAIYGVLIEGFVKDGKVGSACDLLKDLIDSGYRADLGIYNSLIKGLCDARRVERAYKLFQVTVQEGLEPEFATVNPILVAFAEMGLMNDFCKLLEQMRKLGFSVIDDLSKFFSFVAGKEKTTMAIQVFDELKLKGYASVPIYNILMEALRKTGNVKQALSLLQEMKDLNFEPDSSTYSIGIMCYVENEEIKEACICHNKIIEMSCVPSVDAYCSLANGLCKIGEIDAAMMLVRECLGNVTSGPMTFKYALTIVHACKSGAEKVMEVLNEMMQEGWPPDNIICSAIIFGMCKHGTIEEARKVFANLRTRKLLTEGNTIVYDEILIEHMEKKAADLVLSGLKFFGLESKLKAKGSTLLSR >OMO62099 pep supercontig:CCACVL1_1.0:contig13347:11969:21122:-1 gene:CCACVL1_23038 transcript:OMO62099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MACLNNICGEVSIEKKIIKEEEAHTLDGAVDRHGRPAIRGKTGTWVAGILLLVNQGLATLAFFGVGVNLVLFLTRVLGQNNADAANNVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQAVFVLGLVLLSVSSSLFLLKPSGCGDEETPCGSHSSFQIVFFYFAIYLVALGNGGYQPTIATFGADQFDEEDPKEGHSKIAFFSYFYLALNLGSLFSNTILGYFEDQGMWTLGFWASAASALVALVLFLIGTPRYRHFNPKGNPLSRFCQVLVAATRNWKFEMSPGREHFFELDKEKSAINGGRKILQTQGFSPLLSPATLFKSHPRFPLRHRFRCHVVEPLKFDNGKPYLPLLTTDPPLPTFLSSNTHLGNAINKNDTRLRIFSGTANPALAQEIACYMGLELGKIKIKRFADGEIYVQLQESVRGCDVYLVQPTCPPANENLMELLIMVDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACSTHAVFSPPAIERLSSGLFQEVIITNTIPVSEKNYFPQLTVLSVANLLGETIWRVHDDCSGGFEPYSTLGID >OMO62096 pep supercontig:CCACVL1_1.0:contig13347:5637:5786:1 gene:CCACVL1_23035 transcript:OMO62096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAVASAASLPRAIRLESSEPNGGLPSPPRLSLAKPTWVVRTEAKLFS >OMO62095 pep supercontig:CCACVL1_1.0:contig13347:3945:4668:-1 gene:CCACVL1_23034 transcript:OMO62095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLFITSPSLPLRPPIPRAPFRPLQATTPLAVVFSTRLSHHRTTRGMTVVTRAGPNTSSYVFAVLFPLSLLAVTIFTSIKIADKLDRDFLEDLMINQAMREADEEDDDGDDDISLEEIVQEPVLPRTRNRPKREV >OMO62097 pep supercontig:CCACVL1_1.0:contig13347:6203:7405:1 gene:CCACVL1_23036 transcript:OMO62097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHESNVRRQRMKKPNPPCVICQGSGRVDCHYCKGRGRTNHVHLEMLPKGEWPKWCRTCGGSGLSYCSRCHGTGEYRHIMGFHFMEKNADCIHQDDESQFKGDPDSLSATDRLFYRE >OMO62094 pep supercontig:CCACVL1_1.0:contig13347:2658:3032:-1 gene:CCACVL1_23033 transcript:OMO62094 gene_biotype:protein_coding transcript_biotype:protein_coding description:defensin-like protein MKSLSISAILLLFLFLSFGNQLIRGQEDDDCQPTLPDPGCTQENCNSLCIERFGKTGKFGQPTYGVCYMGNTCICRPC >OMO62098 pep supercontig:CCACVL1_1.0:contig13347:8694:10657:-1 gene:CCACVL1_23037 transcript:OMO62098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDEEEMRGEIEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDRDEPPGKDYSVQKMILGTHTSENEPNYLMLAQVQLPLQDSENDARHYDHDRSDIGGFGCASGKVQIIQQINHEGEVNRARYMPQNPFIIATKTVSAEVFVFDYSKHPSKPPLDGACSPDLRLRGHSTEGYGLSWSKFKQGHLLSGSDDAQICLWDINATPKNKALDATQIFKVHEGVVEDVAWHLRHEYLFGSVGDDQYLLIWDLRTPSVTKPIQSVVAHQSEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKISTALHTFDSHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDEEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDIPGDESTKVLECTFTLA >OMP11648 pep supercontig:CCACVL1_1.0:contig01061:13189:15118:-1 gene:CCACVL1_00366 transcript:OMP11648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSREILNFFVNKDIRKLLKRKGSDANETGAKNAGFQFPVLLSFIHYVVTLGLIATLNALSLIPPSPPLKSTPLFTLGLVMSLCTGLANVSLKYNSVGFYQMAKIAVTPTIVLAEYLWYKKKVSFPKVVALTVVSIGVAIATVTDLQFNLLGAIVAMSWIIPSAISKILWSSLQQRENYTALALMWKTTPISLLCLLIMIPLLDPPGAFSYNWNFLNTSMILISGVFGFLLQWSAALALGATSAISHVVLGQFKTCVLLLGNYYIFSSNPGKTSICGAFVAIAGMSYYTYLNLKNEKLKSGKEHPQKDDQENNKNSDTFNREP >OMP11646 pep supercontig:CCACVL1_1.0:contig01061:1576:1818:1 gene:CCACVL1_00364 transcript:OMP11646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PHANLRPPMSEIVTMLTCPVEMVGTPIKPPFLDPRRKKIIESLSCSWDTMTEPFPSPLLDTPDHSTQSSKLPHKSINFDS >OMP11647 pep supercontig:CCACVL1_1.0:contig01061:9624:10924:1 gene:CCACVL1_00365 transcript:OMP11647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MAVRILIASILVIAASLCSLSLADSPEAAFVQKTISAHKIVIFSKSYCPYCRKAKSVFKELNQVPFVVELDERDDGWNIQDALGEIVGRRTVPQVFINKKHIGGSDDTVEAYESGKLAKLLGIGEREKDDL >OMO76699 pep supercontig:CCACVL1_1.0:contig10877:5:3443:1 gene:CCACVL1_15487 transcript:OMO76699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MAGELVEHGKVDIYAVHKVDDPHDAILDAVNDVGLNDVNDAGLDAENEPLGVENQPLGPGLGENDAEKDNSDDEDPEIQYARSQFETIRDEMLDELERNGFRLSGFRPIDAAEEVSGLENEAGLNNNFNLEEPYYSTDELGDFDSDDEATRNPSTFPVYNHNNEIPHIEVEMLFTNTDQFKHAVSLESILKKKGIYWVKNTKERVRAKCSDPECPWEIYASFQKSIQSFQVKKFISNHNCNRVLSTPRLSQKMLTQLVSVDIKRDPYIPYIEIVQLVSERYGLDVELSMVKRAKSDVVRSVAANYEKEFASLQAYGEEIKKANVGSSVWMQCHKDTVESPPLFQRDGNNQMFPLAWALVEVESTDSWKWFLEKLMIDIDFREGYGWVLMTDQMKGLDTAIGSMLPMAEHRFCARHMYVNWKKNGRHYGEELKQAFWSIAKAPNERVYNQRLEALRGWNSKAAKDIEKTPVRQYCRAFLSADKRCDMIDNNMCEAFNGTLLKARKLPVISLFEQIRRRMMVRIAEKRKECTRWKGNLGPNIWKLINKNADIADKCLVYESCRRYTHSGIPCAHAICAIRHKKTNIEDYVSSWYYKEVYELAYGFPLEPLKGLEDWPTIDGDHEQVHPPAYRRKPGRPKLARRKGAHENESNNRRKPAPTKMSRKGTIMTCQNCHQSGHNKRGCPKTKSDNELKQTLQTCQQTLQTCQQTCWMKWKLLNLTHLQGLNYNPKKKKANVAATVSSTQAAATAEAQYTGQLGIGNASFAANFSSSNDVGSTGNATVNITSAQQVPETVPDNVPKTSKGKEKAAKIKAAAKAKSKAKGKPPRVAGRVVLDGNGKVLNTTEPKFRTSPKSKAKLFGNVEGGNASTCHTPTLTPNWFETVSSASTGRPQQASTSNKKPRMEGYGVYTNPQGVQIEDVR >OMO76700 pep supercontig:CCACVL1_1.0:contig10877:9889:13512:-1 gene:CCACVL1_15488 transcript:OMO76700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIFKGQSFIFILVLILPLGISQLDSNEKYRWDCSSDADNSTSFKANLDTLLNSLTQNVALHSGFYKTTVGEYSDKIYGLVQCRADVSAENCANCTKQSVATALKECSTSKSVQVWFTWCTLRYSNNKFFGVMDSSSSARLNFTNLENSSMILRGVSFMKGVASAAATQRLRFNASVFDAGQFGKRYGMAQCIRDISKGDCSKCLESPLETFTLLEDKRNWEVHGTSCSMWYHDYQFFSNISISTNHVLILPLGISQLDSNEKYRWDCSSDADNSTSFKANLDTLLNSLTQNVALHNGFYKTTVREYSDKIYGLVQCRADVSADNCANCTKQSVATALKECSTSNSVQIWFTWCTLRYSNKKFFGVMDSSLSSKLNFTNLENVSMILRGVSFMKGVASAAATQRLRFNASVFDAGQFGKRYGMAQCIRDISKGDCSKCLESPLETFHLFEDKRNWEVYGTSCSMWYHDYQFFSNISIPTNHGARRKSIHGVLTGTTMAMILMFLFLL >OMO81772 pep supercontig:CCACVL1_1.0:contig10106:14982:18839:-1 gene:CCACVL1_12225 transcript:OMO81772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKRQKTVLVSREKSAKRKPKIKRGKESEYVIARPAGNVQNFTTIRKLKEDTRKMRHDRVAETSNVQESCQP >OMO81773 pep supercontig:CCACVL1_1.0:contig10106:22592:22678:-1 gene:CCACVL1_12226 transcript:OMO81773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQVEVQSVEVMVAPLYQSAKVREEWVQG >OMO78479 pep supercontig:CCACVL1_1.0:contig10579:3677:4168:-1 gene:CCACVL1_14355 transcript:OMO78479 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MATTTDETKKITLRTADNQQFEVDEAIAMEFVTVKTFFDENPDAAQEPMPLPNVSAKCLSSIIEYCKERRSAKDDAGTFSDEFAKAMDSESLKEMILAANYLNIKDLLEMLNQAVADRIKNKSVEYVRRFFGIESDYSPEEEAKLRAENAWAFDGVDPDDDDF >OMO78485 pep supercontig:CCACVL1_1.0:contig10579:37792:39896:1 gene:CCACVL1_14361 transcript:OMO78485 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAHP synthetase, class II MALTSPFLLSSKYPQLLRPHHSNGQLLFPSTRLKPIKANSQSSIPTKWSPESWKTKKAFQLPEYPDQNDVDSVLQTLSTFPALVFAGEARSLEKKLGDAARGNAFLLQGGDCAESFQEFNAPNIRDTFMIILQMGVVLMFGAQMPIIKVGRMAGQFAKPRSDAMEEKDGVKLPSYRGDSINGDAFDEKCRVPNPHRMIKAYSQSAATLNLLRALATGGYAAMQRVTEWNLDFVKDSQQGHRYLELAHRVDEAMGFMDAAGITNAHPVMTKAEFWTSHECLLLPYEQALTRRDSTTGLYYDCSAHMLWVGERTRHLDGAHVEFLRGVANPLGIKVSEKMDPDELVRLIEILNPHNKPGRITVIVRMGAKNLRVKFPNLIRAVRGAEQIVTWVNDPMHGNTSKASCGLKTRSFDAIKEELSAFFEVHEQEGSYPGGVHLEMTGQDVTECVGGSKVVTYADLAKRYRTHCDPRLNASQSLELAFIIADRLRKTRLNFNT >OMO78482 pep supercontig:CCACVL1_1.0:contig10579:10909:13728:1 gene:CCACVL1_14358 transcript:OMO78482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MEMESPFKADIVKGKVGLITGGGSGIGFEISTQFGKHGGFVAIMGRRKQVLDAAVSALQSLGIHAVGFEGDVRKQEDAKRVVESTFKHFGRIDVLVNAAAGNFLVSAEDLSPNGFRTVMDIDSVGTFTMCYEALKYLKKGGQGRNNLPGGGSILNISATLHYTAAWYQIHVSAAKAAVDSITRNLALEWGTDYDIRVNGIAPGPIGDTPGMSKLAPEEINSKARDYMPLYKLGEKWDIAMAALYLVSDAGKFVNGTTLVVDGGLWLSRPRHLPKDAVKQLSRAVEKRSRDKPVGVPKSKM >OMO78483 pep supercontig:CCACVL1_1.0:contig10579:14649:26591:-1 gene:CCACVL1_14359 transcript:OMO78483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M41 MEVSIPCRHNPLTFSSLPISKTLPQALTFFQLPTRRRLKIRASSSSANPGGSGSNGFSWFSLARSIRVGSEQFWSKFGESVKKETGFDMDEANVRVGELVGRVKEQLRKGDGEFTRFRTDLIPQFVSWNRWERWKDFKNWEPKRIGALILYIFVAIISCQKLYAAVRAPQLDRERKELTEAYMEALIPEPSPNNIRKFKKSLWRKTTPKGLKLKKFIEGPNGMLIHDSSYVGETAWEDEPEPSKENVKQIIDGDVRLNPEQKEELRKDLGISGEIAQSMGTWRERLQTWKEILRNEKLSEQLDSTNAKYVVEFDMKEVENSLRKDIVEKVTETQGTRALWISKRWWRYRPKLPYTYFLQKLESSEVAAVVFTEDLKRLYITMKEGFPLEYIVDIPLDPHLFEIISSSGVEVDLLQKRQIHYFLKVVIALVPGILILWLIRGTVMLLDVTSKRFLYKKYNQLFDMAYAENFILPVGDVGETKSMYKEVVLGGDVWDLLDELMIYMRNPMQYYEKDVKFVRGVLLSGPPGTGKTLFARTLAKESGLPFVFASGAEFTDSEKSGPARINEMFSIARRNAPAFVFVDEIDAIAGRHTRKDPRRRSTFEALIAQLDGEKERIGVDRFSLKQAVIFICATNRPDELDLEFVRPGRIDRRLYIGLPDARQRVQIFGVHSAGNQLGEDVNFEKLVFRTVGFSGADIRNLVNEAAIMSVRKGHSKISQQDIIDVLDKQLLEGMGVLLTEEEQQKCQASVSSEKKRLLAVHEAGHIVLAHLFPQFDWHAFSQLLPGGKETAISVFYPREDMVDQGYTTFGYMKMQMVVAHGGRCAELVVFGDNITDGGRDDLKKITKIAREMVISPQNARLGLTQLTKRLGLGDRPDKPGRGLIKYRWDDRRVIPANMTLEVSELFTRELTRYIEETEELAINALKDNRHILDVIAKELFEKSRLTGMEVEEKMKELSPVMFDDFVKPFQINLDEEGPLPHNDQLRYQPLDIYPAPLHRC >OMO78480 pep supercontig:CCACVL1_1.0:contig10579:5740:6156:1 gene:CCACVL1_14356 transcript:OMO78480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIFKGSPFRRPNDVEAGSSRSAHSDNDYDEFSDGPFNISSTKNAPMDCLPRWRQATLVLNASRRFQYTLDLKKEEEKKQVLRRIRFRADAQAGIPADLIMFSLVECYHRPHFLLIYVCVCLHIYNINFKLPQTRKA >OMO78481 pep supercontig:CCACVL1_1.0:contig10579:6513:10371:-1 gene:CCACVL1_14357 transcript:OMO78481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQEEQEQESSATLIDKNFPLFSKPRKPKPYKSPKPSQNPEPQTPQIEKFSSSSAAAAAEEETTTAFADLGLAEWAIQTCKELGMRKPTPVQTHCTPKILAGRDVLGIAHTGSGKTAAFALPILNRLAEDPYGVFALVITPTRELAFQLAEQFRALGSCLHLKCSVVVGGMDMITQAKALASRPHVVVATPGRLKVLLEENPDIPKVFQRTKFLVLDEADRVLDVGFEEELRVVFQCLPKNRQTLLFSATMTSDLQTLLELSANKAYFYEAYEGFRTVETLKQQYIFIPRTVKDAYLVHILSKMEDMNIRSAIIFVSRCRDCHVLSLLLEELEVEAAALHSLKSQALRLSALQHFKSGCVSILLATDVANRGLDIPTVDLVINYDLPRYSRDYVHRVGRTARAGRGGLAVSFVTENDVDLVHEIEAELGKELEKFECKENEVLSDFTKVCKAKRVATMKMMDDGFEEKVKERKKQKLKTLAEKGVLKKRSKKRKREKSDKNPDQ >OMO78478 pep supercontig:CCACVL1_1.0:contig10579:1970:2776:1 gene:CCACVL1_14354 transcript:OMO78478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor CBF/NF-Y/archaeal histone MDQLEQTQQQQHQQQPVMGVVSGAGQMSYSTGPYQTAAMVASGTPAVAAPSPTQPPATFSSSPHQLAYQQAQHFHQQQQQQLQMFWANQMQEIEQTSDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAISRTDVFDFLVDIIPRDELKEEGLGVTKATIPLVGSPADMPYYYVPQHSVGPTGMIMGKPVDQAALYQGQQPRPPMAFMPWPQGQPQQQQQQPQQSQQQQSDS >OMO78484 pep supercontig:CCACVL1_1.0:contig10579:32412:36764:1 gene:CCACVL1_14360 transcript:OMO78484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDDVDMAGAENTETDLDDMKRRLKEMEEEAAALREMQAKVEKEMGSVQDPAAAATSQANREEVDSRSVFVGNVDYSCTPEEVQQHFQSCGTVNRVTIRTDKFGQPKGYAYVEFLEAEAVQEALLLNESELHGRQLKVTAKRTNIPGMKQYRPRRSNPFMRPRGPFMAPYFFAPYGYGKIPRLRMATRYSPYY >OMO59513 pep supercontig:CCACVL1_1.0:contig13931:28529:28657:-1 gene:CCACVL1_24775 transcript:OMO59513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKRVQDAVKKKTVTIPSKQFKAIYRGQFSKAKGACDSRRA >OMO59514 pep supercontig:CCACVL1_1.0:contig13931:69544:69678:-1 gene:CCACVL1_24776 transcript:OMO59514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKRKRKGNDKSFELEPLPVIPALTMTILVTLDYTLQSRLKY >OMO59515 pep supercontig:CCACVL1_1.0:contig13931:73168:74415:1 gene:CCACVL1_24777 transcript:OMO59515 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MPGGFGGVETPNANGDTLDGLDHSKAPSRDLRDLPSLMSSCYPITLKFIDVCYKVKIQQSGGSTSTLKRMLSNGSAAAPTVEERSILNNITGMVSPGEILAILGPSGSGKSTLLNALAGRLHTHAHAFSGTILANNKKPTKQIAKRTGFVTQDDVLYPHLTVRETLVFCSLLRLPRTLTRKDKVSIAETVLSELGLSKCENTIIGNTFIRGISGGERKRVSIAHEMLINPSLLILDEPTSGLDSTAAHRLVSTLGSLAQKGKTIVTSMHQPSSRVYQMFDSVLVLSEGRSLYFGKPTEAMPYFESVGFTPSFPMNPADFLLDLANGT >OMO99867 pep supercontig:CCACVL1_1.0:contig06784:69680:69961:-1 gene:CCACVL1_03570 transcript:OMO99867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEPFLTALGAFLTAVNSPTARRATTCLTRSFTPGLAFYYAQRSKFFLNHDSRDFYSFRQSFDFGTVFGGFIFFIMYTTWQINPKRVIPRGY >OMO99869 pep supercontig:CCACVL1_1.0:contig06784:78635:82472:1 gene:CCACVL1_03572 transcript:OMO99869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MAGGVENRQVVLKEYIDGIPKESDMEMKSEKVALLKAPKGSGAFLVKNLYLSCDPYMRGRMRNFHASYIPPFVPGQPIEGFGVAKVVDSDNPDFKPGDYISGLTGWEDYTLIYNNNTSLSQLRKIQPDDCIPLSYHVGLLGMPGFTAYVGFYEICSPKKGEYVFVSAASGAVGQLVGQLAKLHGCYVVGSAGSSQKVDLLKNQLGFDDAFNYKEEADLDAALKRYFPKGIDIYFDNVGGDMLDAALLNMRVHGRIAVCGMVSLHSFSDPKGIHNLHSLIPKRIKMQGFLQSDYLNKFPEFLEHISESYKQQKIVCIEDMNEGLETAPAAFVGLFSGRNIGKQVICVARE >OMO99865 pep supercontig:CCACVL1_1.0:contig06784:1357:3012:-1 gene:CCACVL1_03568 transcript:OMO99865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRDLVRSYQIEDRREFVDPGFCRRTPSSNHWSTSASTSTAVNGTSGGFRLFYQTALGLTILIEYRPTEGSPVESPENVGGFLCDWKAWCGSLLDSSQPKFPRSGIGFLYKMSPKA >OMO99866 pep supercontig:CCACVL1_1.0:contig06784:16047:16151:1 gene:CCACVL1_03569 transcript:OMO99866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLKVNGVRWQLRCGGMTVARFPVRKKGDQSGG >OMO99868 pep supercontig:CCACVL1_1.0:contig06784:75640:76833:-1 gene:CCACVL1_03571 transcript:OMO99868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MADEVQTRLQKEVATLQKEVQRIDASNEQTRSSIEQTRFDFCAGMDAMGKEMRQMFEKLLLKIDSNITAKNLIEPSGSTESRPIIVSDEKQFTEHSKLQCPRFNGDDFLGWKLKVEQFFAADNTEDKHKVLIAMMHLDGRALQWHQKFIRDKGSLHEVTWNQYSLSLQARFCDTESTNPFYVLVAHKHTNTVEEYFEEFESLLSLVDISEDQALGIFISNLKPEVEQRIRLFYPKTLNHAFNLAKQVEVMIFNLPRKPNIPYKHILKSDEDDTLSGLEVTNDEISAIEATFDHVLPP >OMO76950 pep supercontig:CCACVL1_1.0:contig10859:4631:4690:1 gene:CCACVL1_15278 transcript:OMO76950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDGVDLVPHQAPPIDDAEI >OMO90016 pep supercontig:CCACVL1_1.0:contig08565:89883:90341:-1 gene:CCACVL1_07550 transcript:OMO90016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSTKSKNIGVLIHTLSLKLLFQGFHCTETKEETKESKLCHIFQWDQLQQTQSNGIRNPMRDGELPNPIICPETFGTQLPFHFQKLPTSLVCLHNHLAIESDGVPGPVDAASTGQVREEVLLKDDCSGRSEPRNGNSSAKMGCESEIEGKP >OMO90015 pep supercontig:CCACVL1_1.0:contig08565:64786:65064:1 gene:CCACVL1_07548 transcript:OMO90015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MGTQSYPQPSKEEKKEEKPRGATSNEDCNHIARTREMVVDITQHDSKDLGQYCERFKEACEDSSNHDFPEKELLKHFHEGLNTISGKDCLVH >OMO90012 pep supercontig:CCACVL1_1.0:contig08565:1003:1705:-1 gene:CCACVL1_07544 transcript:OMO90012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MKFTDSPVIELQVRDSRLSIQQDNGSFHVGTSVWPCSLVLAKFAERWASPSTTASTTTSTTSDNKNPYSDLLDFHSRRRRAIELGTGCGAAGMAFHLLGLQDLILTDIPPVMPALRHNLKRNKPVLGKNLKTSTLYWNNKEQIRAVNPPFDVVIAADVVYIEESVGHLIGAMEALVADDGVVLLGYQLRSPEADKLFWEMSEKVFVIEKVPHQDLHPDYAYEETDVYIIRKKKN >OMO90013 pep supercontig:CCACVL1_1.0:contig08565:4837:18169:-1 gene:CCACVL1_07545 transcript:OMO90013 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MGTLSNLCSKLSLQEEPNQEDNKVIVTDNDWLEEKDGELAWFHLLGRLYSKRKVNLEGLRVAMFQAWKVEGDMVVKEVGDNLFSFQFEDEVERDRVLVTQPWCFNRALLVLRDFGGLQSPEDISFDSCPYWMRIFDVPVLMMTDRVGKAIGESMGVVLEVDESCGRYLRVRVELPLKEGTTISTPHGELPVRFKYEKLPAFCRVCGILTHLDSDCPLGVIMLKTQGSITKRFTDQLRAEVPDIKPRQSPGMSSSFRLGSGGVGSSRQSQRLNQGVSGSVSSRPRAYRNNVDSMVVRSRLAARAIQTADVSCEIISQFPTGMVARKSGRRRGILLLNNMNEEIGESSTPPSTGKKLKSVVVASNSAGLNHIIPGVGLSASGPNQQLGRVSIISPNREGGGLGLLGQAVGSQCLQPTVHAGPKRAESYISVAESSSTQLSTFVFGATSTTPARRIRRWKKAARVSQRYSFEALGPASNFKVGQKRNSNSSIGGGHEAMFYKRSREGNCALAGQENDDFGDDIYVPHEAVGEHALAGDGRDEGDQQDDNNTQAAEMVPCIGRAGGLALLWLNSCRVSILSFSKSHIDAQVGLDLNNCRRFTGFYGQPETSRRQESWNLLKLSATQYEMPWLCTGDFNELLTNDEKIGGALRPQIQMQRFREAVDACEFQELAVTGPVMTWYWVDRCISPDMAASLDREFTKEDIRIAVFDMAPSKAPGPDGMSHLFYQRFWHIVGDQVCDMALSFLNHGESLLDINDTNVVLIPKVANPVTVKDLRPISLCNVIFKIISKTLTNRLKEILPSIVGQNQSAFVPGRMIFDCSMIAFETVHYMKNKRRGNENHMALKLDLSKAYDRVEWIFLEGIMSRMGFSTRWVHLVMQCVRTVSYSILVNGVQTRRIVPSRGIRQGDPLSPYLFLLCMEGFSSLLQHAERIGDIHGVEVARNAPCISHLFFADDSLLFLRASLGECDAIKNLLRIFELASGQQINIDKSAARYFRNSSFMQAQLGSNPSFVWRSLMAGREVIRVGSQWRIGNGLDVDVWRDRWIGKSSDHMPTPRSGVICSPCPVSALIDNDGHWLIPQLEELFEPEDVYNILCIPLPYRPTPDTLIWSGTTTGQYTVRSGYYAARNMLGKQVISREEISVKWRIVWGSLLLPKIKYFLWRLINNILPTKSQLQLRGLPIGGNCEASNDFWENLLLKAAQLGLLELMAAKLVHDVEALSQRGASMNVNFSHHVWSPPPAGKLKLNTDASFNAEREEAGLGVVFRDETGAVVLSVVTRIDKVMDPMYAEVYAVRFGLILALRYGFTSYPEAEVIALSPKTLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRTTKEIRKRVYVCPEKTCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYKCDCGTLFSRRDSFITHRAFCDALAEETARPISSNDETLDQTRRGLSLWMGQASQGHDAIGKSLQEIHQLGSVNLGSIYSDPLVSTSNPPASDYQLNWVFGNNKVSTSNGEELTSTSLPLSNIVNKESGAHQALVSVPSLFSTQHHTQHQTPSANMSATALLQKAAQIGATSTDTSFLGSFGTKCSNNQVQDGNKYNNGLYSSTTLASELENSANDISTLNQLQMYPAKRRRMQNEDTGGQTRDFLGVGVQAICHPSSINGWI >OMO90014 pep supercontig:CCACVL1_1.0:contig08565:20064:48222:-1 gene:CCACVL1_07546 transcript:OMO90014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGPHGRMFQEPHTMRYTSPIGHHEVNGVQGTSDAVPTSNRNVGAQNCGFNSPNPADRKEIPIQDNVSEIYAFGQLKSISQSVSGLHIRTRSPTENPPLSSVCTDQPVYTA >OMO97141 pep supercontig:CCACVL1_1.0:contig07274:6485:6556:1 gene:CCACVL1_04638 transcript:OMO97141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KNEEFDGCERVERVKVFKKNYRER >OMO93091 pep supercontig:CCACVL1_1.0:contig08129:7039:8296:1 gene:CCACVL1_06635 transcript:OMO93091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MGVSTVERKDPHFNYPVFFGGLILTILDLVFLFMTCSRDPGIIPRNSSPEASESWDKEANGLFMSPSMEWVSSKTPNIRIPRVKDVMVNGHSVKVKFCETCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGARNYPFFICFISSSTTLCIYVFVCSWLNIIRQQCGWWTAMSRDILAVILIAYCFVAVWFVGGLTVFHFYLMFTNQTTYENFRYRYDQKENPYNKGVLSNLKEIFLSKIPPSMINFRAWTSNDNELHTIRDDEAEDTNSDIEKGDNDSSRLPNLLQRFDYGGFDN >OMO93092 pep supercontig:CCACVL1_1.0:contig08129:8885:8947:1 gene:CCACVL1_06636 transcript:OMO93092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSRYDRDRTMVKSQSGCD >OMO93093 pep supercontig:CCACVL1_1.0:contig08129:9859:11385:-1 gene:CCACVL1_06637 transcript:OMO93093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSWPSSPFGFNKRHEVSIVYDDGMNLVGRSLVIQCHVKL >OMO93094 pep supercontig:CCACVL1_1.0:contig08129:21395:24471:1 gene:CCACVL1_06638 transcript:OMO93094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTHSGAPPRVSNSKKRHVKPKVDDGPAHKKKKSHHSSKSFGKSWESLRRAYLKHDKVLDNHIFKVIWAEVNDVNEKAYIPPDSVLKLCFDKGAVPPSKVKFMGTRNIREWNDWVSEILSNEKYEKTLKSAGVLDAVRVTTKLLHIQDLKSDKVDAWCAILSRWSTYSHTMITTWGEFTFTLEDVSALLHLPMVYDSEENLDNVENPFDEDALKKGDRKVLSELGKAIRHGGKPRTITSYVKTCRDESETELQPFQLQCFLLFWLARFVFPGNPEKGISAMLIPLAIHISKGLQLPLGPLYLGSLYTRLDLLHEKIKTSMGAFEVVAFLDLPFIQMCLWERFPLAAPNCNRCPSDSSYQRFRAWAWYDCKIKSKVSLLSVLDKPDKFCHRPYTCLLEGYGDPSRYPVDSSIPSEHMRAWVFTQRLPAVIEVSDKAKKFSWLTKFYSPFRVAVQFGYDQVAPHKHVVDSKYTFMHCLTSFSAQRLPKSNYLIPSARRLGHYSCGWRFFYEKCFDMWSKYALHDPSLRQSWLAPALPLEDISLRKIQAPKPPKKKKVGKKVPGHKDAPSTVAHKGAAIGVTSPCHSDVGIIRDPTTETTTLPPKDAGAASLPTTGITSFPSDDAGVASPRHDDIDGDGDVEIVSEVRRKVTYVEDNDSDSRSEERERSSDEDHESEGESGSDDENEEESRRASDHGESEDENDGASHNSSDDDHSQDDDEPEIDAVALKRDRVRLTSSSPRDGRVRLTSSSPQGGSMRLTSSSPRDSRVRPTSSSPGAGCNTSGATPATPRTSPPPASSVDEMSFDQSVAGFHNFPVKAMYVAPLKEAELRGGKFWESTILESEAIIAQFLDQIGEFVLKAQAPSNLSVDDLEAMRKTYDDCGKIGFDLNFMQGAREQIQNVIVGMKGNPEIELAQITEDLKALDAEKKKLNEAWNKVQRQVNRLEAKQNNLAPIRDSLEAFHQGRSFFPPP >OMO55025 pep supercontig:CCACVL1_1.0:contig14810:17992:25809:1 gene:CCACVL1_27437 transcript:OMO55025 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MENFKAPFKGIANDVRGRVTCYRQDWIVGLRSRLGILAPTTYIFFSSALPVIAFGEQLSRDTDGSLSTVETLASTALCGIIHSIFGGQPLLILGVAEPTIIMYTYLYNFAKGKKDLGQELYLAWVGWVCVWTALMLFLLAIFNACTIINRFTRVAGELFGMLITVLFIQEAIKGMVSEFEIPKAEDANLEKHKFQWLYTNGLLGIIFTLGLLYTSLKSRKARSWWYGTGWFRSFIVDYGVPLMVLLWTTLSFSVPGKVPSGVPRRLSSPLPWESASLQHWTIIKPTSTLVSSLNLPLFTINAAAHLPIKLNATNFPSWRTQFQSLLIGFDLLGYVDGTTPSPPEQILRTGTNEMISNPAYEHWLRQDRLLLHGMIASASETIVSHIASATSSIDAWKKLNKLYANKSRSRMMTLRDKLTVPKGNKSVSEYFQLLRGISDELALINTVIPEDELVIHALNGAGLDYKELAAGIRARESYISFEELMEKFLDYEEHLQKQQASVDLSIPTANYANKSFARNKSYQSQGRGHSAKTCYQLRPKGNSPCANLSTTAAPTNWIVDSGANHHVTNRIQNLQYAQEYEGTDDLLLGDGTGVKTDIQTWHQRLGHPNISMLNSVLQSFSLPCSSKKNFTSCNACLCNKSHRLPFSISSIVSRGPLDVTYTDLWGPARITSDQGFRYYIAFVDLFTRYTWLYPIKLKSDVFHLFPKFKSLVENYFKTNIVTVYSDCGGEFDKLKVFFSNMGIQHLQTPPHTPQHNAMVERRHRSIVEKGLTLLHNASMPLKYWPYALTTAVYLLNRLPTPVLKNKSPFHLLFSQEPNLEKLKVFGSLCYPWLKPYTQHKMEPKSRPCVFLGYSKNQSAYLCLDPVSQKIFVSRHVLFDETKFPFKHVTAGAKISNSNFETWNPAFDTEPSVTQLVNISNTSQVSSNQLVISSSQDHSNRLPVLPQSDSSSTESPSVSHPVESTSSQGPAATASEGTHSSSPLTESSSSSEKHTWELVPEPSNRTVVGCKWVFRVKRNADGSVSRYKARLVTKGFNQRPGIDFTETFSPVVKPVTIRLVLSIAVTHGWSFKQMDVNNAFLQGDLTEEVYMKQPPVLFDKKHPHMVCKLRKAIYGLKQAPRAWYCALSDFLISFGFKNSVADTSIFVYQSGSITAYLLVYVDNLILTGNDDSFLQSFSVALANRFSIKDLGQLHYFLGIEVSHTPKGLFLSQSKYIADILDKANMAGAKECHTPLSIASPLKLHDGTGDVDETVFRKIIGSLQYLTLTRPDICFAVNKLSQFMHKPTTLHLQALKRVLRYLKGTIQHGFLLSQTSSPSITMFTDLDWARDADDRKSTSAYIVYYGKNPISWCSKKQKTVAHSSTKAEYRAIALGVSELTWIQSLLNELQVRVPKHPTIFCDNLSATYACANPVFHTRMKHLALDYFFVREKVSAGALHIRHIPTQEQLADALTKPLSSDRFHQLISKIGVSSRSTILRGSIGGKCDFKS >OMO62017 pep supercontig:CCACVL1_1.0:contig13377:14862:16406:-1 gene:CCACVL1_23074 transcript:OMO62017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MALLSSSAKFKSTSLFIFLLLIQFSTTKGHGGQDDNGDGGDHAALHKKGLILVKIWCLIILLVTTFAGGVSPYFYRWNESFLLLGTQFAGGVFLATALTHFLSDANGTFGDLTTKSYPFAFMLASAGYLLTMLGDCIIVYVTSNSNREARVQVDEGGRTPEEEHSKDIMDSNPVFLRTTTFGDTILLILALCFHSIFEGIAVGVAATKGDAWRNLWTISLHKIFAAIAMGIALLRMLPKRPFLLTCAYSFAFAVSSPIGVGIGIAIDATTQGHIADWIYAISMGIACGVFIYVAINHLIAKGFKPQANCYFDTPFFKFLAVLLGVAVIAVVMIWD >OMO62018 pep supercontig:CCACVL1_1.0:contig13377:17500:20003:-1 gene:CCACVL1_23075 transcript:OMO62018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSFVFRGARGDIESGFSGFIPERPAVRIHAARPVNSNSLAFLVTVLLLFMILNSHQMSPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLLGHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYDTLRALDSDNTSRTPSMSEEEINALPVHKYKVPGPESAGSSPQQASSSSVPVEPKEDSRKADGSMKASEDELTCTICLDQVNRGELVRSLPCLHQFHASCIDPWLRQQGTCPVFT >OMO62019 pep supercontig:CCACVL1_1.0:contig13377:23309:24421:-1 gene:CCACVL1_23076 transcript:OMO62019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSSTTSYWCYSCTRLVRILAAENGDAVACPHCDGGFIEEIESSDNHNRRFPAMYMITSNDNSRQNSNRTRNLVFRRNRRSTVDRSNINPIIVLRGTTDDESNNNSSGNNNGGFEFYYDDGSGSGLRPVPTSMSESLMGLGFDRLLEQLSQIEITGLGQPENPPASKSAIESMPTVQIASTHVCSETYCAVCKEPFEIGTEAREMPCKHIYHEDCIIPWLSLRNSCPVCRHEMPSDRSESNEDATETVGLSIWRLPGGVFAVGRFRGEREVPVVYTEMDGGFGESGSGHGAPRRVSWIGVRRRESGFRRVVRNVTSFLRGLRSQPQSQTQTQTESHHRASEESGGLTRSSSTSMFGRFARSLSRNSSSVLE >OMO54442 pep supercontig:CCACVL1_1.0:contig14997:26278:28238:1 gene:CCACVL1_27796 transcript:OMO54442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MAGASSLPADFLLSRRVQEMAIGHGIPTSFLDKIRQVTREFFEQPMEEKKKYSKGVGEVEGYGGDPTPEEGQFLDWQDRLFLTVYPQDLRDTKFWPETPKSFREVLEDYTTKMRMMTEIVSKSMAKSLQLEENCFLNQFGERAVLSARFNYYSCCPRPEIVLGLKPHADGTGYTIILQDDVEGLQVLKEEQWFTVPTIPDALLVLMGDQMQIMTNGIFKSAVHRVVTNKERERTSVAVFYTPEKQKEIGPEEGLVNEETPRLFKNVQDYEIVHWEYYQRGMRALHTAQV >OMO54441 pep supercontig:CCACVL1_1.0:contig14997:19938:24202:-1 gene:CCACVL1_27795 transcript:OMO54441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MGNYSSMLTQYDIEEVQEYCNNLFSQQEIVSLYQRFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKASTQQKVQLIFKVYDSDGNGKVSFNDILEVLRDLSGSFMSDDQREQVLTQLLKEAGFLNFMLAYFIESVLPLILEPSESKTQNLNSSIQLLRGIRRKKTELVSCNIKADVSADSVLKRENMSSQFRNEEGASSSSKDEMEKSRVMSHSGSNKGKVDNLQAGEAEQLSRILKNLEEENEMMKQALLETVMERKELVNEICQLFNTLCYNLHCKDGEVGNRSRHG >OMO54443 pep supercontig:CCACVL1_1.0:contig14997:29821:36900:-1 gene:CCACVL1_27797 transcript:OMO54443 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate dehydrogenase MGAALLSDLATQIVVPVCAVIGIAFSLFQWVLVSRVKLTTERQPPGGSGNSNKNGYNDYLIEEEEGINDHSVVTKCAEIQNAISEGATSFLFTEYQYVGVFMIAFAALIFLFLGSVEGFSTKSRACTYDKEKTCKPALATAIFSTVSFLLGAITSVLSGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLYIAINLFHLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHEFTAMLYPLLVSSVGILVCLITTLFATDFFEIKAVKEIEPALKKQLIISTALMTVGIAVVTWIGVPSSFTIFNFGAQKDVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGHAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLAGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGSLTLGTQKAQGCSPTPCFKCKKLVLLFVKKPIGFWSLRIANSEEMQLLSATRSLNSQPLAFLSFSHSISLPLPLPFLPNPSKSPQFPSLQIHCIDAAQPFDYESHLKTRYIQSTSLKIAIVGFGNFGQFLSKTFLRHHHTLLAHSRTNYSDVANQLGVSFFSDPNDLFEQHPDVVLLSTSILSTEALLQQLPFQRLRRNTLFVDVLSVKEFPRNLFLKYLPPDFDILCTHPMFGPESGKDSWAGLPFVYDKVRIGEEESRVKRCEKFLDIFAKEGCRMVEMSCMEHDRHAAGSQFVTHTMGRVLEKFGVESSPINTKGYETLLNLVENTKGDSFDLYYGLFMYNQNALEQLERLDMAFESIRKELFGRLHQVYRKQLFGDQEQEEMEKKFSQKLLGNGSLIQPPLDNVRQEGS >OMO54440 pep supercontig:CCACVL1_1.0:contig14997:16878:19307:1 gene:CCACVL1_27794 transcript:OMO54440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDDDYQEYIPVAKRRAMEAQKILQRKGKASALEDDTEKSNLAEVKPSLLVKATQLKKDQPEISQMEQIVQQEKEMIEHLSDRKTLMSVRELAKGITYTEPLMTGWKPPLHIRRMSKKERDLIRKQWHIIVDGEDIPPPIKNFKDMKFPDPVLEKLKEKGIVQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPLIMIAMQEEMMMPILPGEGPFGLIVCPSRELARQTYEVVEQFLIPMRDCGYPKLRALLCIGGVDMRSQLDIVKEGVHIVVATPGRLKDMLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLIFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEEREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDVDAITNASGVKGCAYCGGLGHRIRDCPKLEHQKSMAIASSRRDYFGSGGYRGEI >OMO99973 pep supercontig:CCACVL1_1.0:contig06757:6599:8923:1 gene:CCACVL1_03516 transcript:OMO99973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFPCFDSREEQKLNPVQETDDRKQGQPTVSSNISKLSSGGDRLRSRSNGGSKRELPSPKDGPGVQIAAQTFTFRELAAATKNFRPESFLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHGNILVHFCSCCD >OMO99974 pep supercontig:CCACVL1_1.0:contig06757:9954:20467:-1 gene:CCACVL1_03517 transcript:OMO99974 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyltransferase family 1 protein MAEKVDLSRDGISGDVGHESQVDKRGSLLTSAVDISLRPAVGLMIEERDVSVVETHADSDGPTAVTESGTADHTIARIDDYNIQTIQAFRTGGDPTKSKDNTDPDVSTARSLSPHIFASATARSLSRSLFNILAVSLGESSFSDPSKSHLRPDAPPSSFFDTLPPLSSL >OMO99976 pep supercontig:CCACVL1_1.0:contig06757:41330:51465:1 gene:CCACVL1_03519 transcript:OMO99976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERFPEEADREEERGSPRVLCAAVVVGYFDGK >OMO99977 pep supercontig:CCACVL1_1.0:contig06757:57514:58964:-1 gene:CCACVL1_03520 transcript:OMO99977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELSLKVRNFTEYRRLTELNPTPLISGDNQRSATPRLG >OMO99975 pep supercontig:CCACVL1_1.0:contig06757:29169:30016:-1 gene:CCACVL1_03518 transcript:OMO99975 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MSAMKLFLSMNVGLFSLILIFTHFLVKGSIRIQVLGWICVAISVSVFAAPLSIVARVIKTKSVEFMPFNLSFFLTLSAIMWFAYGLFIKDLCVALPNVLGFILGMIQMLLYGIYRNSPKGIEEEKKQPDQQLKTIVVLSTLGASEVYPVENIHPITMEQDNKTDNNEKTGEPETDDKKSMEDSSDLHSNDQCPV >OMO52862 pep supercontig:CCACVL1_1.0:contig15412:1769:4447:1 gene:CCACVL1_29045 transcript:OMO52862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MDLVSPVLDIATRLWVCGSRHLSYVLKFEKNLQSLERESAGLNNVRNDVQLMVDNAEKEPRMRRTEAVGNWLTSMGRMAIESSAVIEEGRRQLQNRCLGSRCPKDLRSTYKVGKRIVKKRDAVRDLRLAEDRIVGASGLTVRMPRMRLLMPELLVENTVGLDSTVERVWRCIQDVNVRLIRLCGIGGVGKSTLLKKLSNEFLHNQDHDFDYVIWVKVSRQEEYIDKVQEVIRKKLDISDAAWNDCSSEEEKGAQIFSFLKTKKFVLLLDDVWERFDLLRLGIHLELESDDDNRSKVIFTTRSVELFNAIRAQETIEVECLPPDQALRLFRMSVGENILNNNPELSELAEIIATKCGGLPLALLTVGRAMASRRNLGEWRHAVELLQSNPSEIEGMRSHVFPLLKFSYDSLNNATAQNCFRYCSIFPKDYDIRMYELIDLWIGEGFLDGSNPRDQADFIIGTLKLAYLLESDESTQCVRMHDIVYEMALWLARDQGKNRNKVLVTKSGKITYQELKKWEEANWISLFGSRSRVNIDYSPSCNHLSTLLFRDTLLKSFPHGFFDSMPALKVLDVSGNQGLLELPSDIGNVAKLQYLNLSSTGIAKLPASFTNLINLRCLLLDYTMSLKRISVEVISCLSLLQVYSKMNGALEYLFDAVKVPGDDEDAFLDALECLNHINKLGITIFAAPSVDKILNSYILRSCIRKLTLMDCEGLISLCFTQDLGNLERLEIFHCCSLTEFKLSEWCKLVNLHEVHIGVCPFLLNLNFLAYARNLETLTIIDCESLQEVTSEIRSFPGLKTISLTQLRNLKSMSPSPRCFPCLSEIEVSQCSLLMQLPFDSENVNFLHKIRGETEWWGGLIWNDEAIKKACRSKFVNTSSGPLQKKKDQASTSR >OMO52870 pep supercontig:CCACVL1_1.0:contig15412:48814:49632:1 gene:CCACVL1_29053 transcript:OMO52870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease CAF1 MSLFPKSDSIEIREVWNDNLEEEFALIREIVDDFPYVAMDTEFPGIVLRPVGNFKNSYDYHYQTLKDNVDMLKLIQLGLTFSDEKGNLPTCGTEKYCIWQFNFREFNVNEDVFANDSIELLRQSGIDFKKNNEKGIDSKRFGVENGTH >OMO52866 pep supercontig:CCACVL1_1.0:contig15412:22048:27589:-1 gene:CCACVL1_29049 transcript:OMO52866 gene_biotype:protein_coding transcript_biotype:protein_coding description:COG2 complex component MVDQIPSPAPRSATDLFSDPLDSHPLWFKPSLFLSPDFDSESYISELRTFVPFDTLRSELQAHLSSLNHELIDLINRDYADFVNLSTKLVDVDSAVLRMRAPLLELRDKIQGFRGAVEGSLFALKNGLSQRAEATAAREVLELLLDTFHVVSKVEKLIKELPTVASDWSNGDVNSVQKKNALSLQHVENGTTNLRETQSMLLERIASEMNRLNFYIAHAENLPFIQNMEKRIRSASLLLDASLGHCFVDGLEHRDANAIYNCLRAYAAVDNTSSAEEIFRTTIVAPLIQNIIPHGSSGGVVAGTSGDELENDYQQMKKYVENDCKFLLDIASAENSGLHVFDFLANSILKEVLSAIQKGKPGAFSPGRPKEFLKNYKSSLDFLAYLEGFCPSRAAATKFRSEPVYVEFMKQWNVNVYFTLRFQEIAGALDSALAAPSLVLVQNSNSDEENSQNLTLKQSVTLLESLRSCWSEEVFLLSFSDKFLRLSLQLPSRYSNWLSSGLAARKKGSAGSNPGCEWAISAAPDDFVYVIHDINCLVKEISGGYLDHVLQVLSSCSTEVRDLVKQSILYSGKSLNDLLPSVINTITEALVQKSVEDLRQLKGITATYRMTNKPLPVRHSPYVAGAFLDGERATMYLTNSARNDLLLGAATEITGRYYELAADLVSVARKTESSLQRIRQGAQRRAGASSDVSDNNVSDTDKICMQLFLDIQEYGRNLAALGVEAANITAYRSLWQCVAPADRKNVINL >OMO52867 pep supercontig:CCACVL1_1.0:contig15412:27994:28334:1 gene:CCACVL1_29050 transcript:OMO52867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKILASISRYPLLRKHSTSIGSILSLAAGAAKEAADQLGLFPSAGASARDAVADLLGVLVAAMALSLGKMLLRPRIDSEHNRRVLPV >OMO52865 pep supercontig:CCACVL1_1.0:contig15412:20307:21506:1 gene:CCACVL1_29048 transcript:OMO52865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, typical subtype MPWLEKTRRLAIVKMRRDEQELKNFPQLRSVLFVRGSFEDKSSFLHRDVKLLRVLDLQGVRFRVIPNTVFNQYHLRYLSLRDTKVEMIPNSIGGLRNLEVLDLRGTDITNLPSTIWKLELLRHLMCGHSRFPQSSQHSTGFEAIPGIGFLQYLQTLYYVELNGNEAILEELGRLKQLRKLGVRNLGKEQGLIFCSSLGNLGKLRNLSVESISPNEILDLQYLFSPPKFLERLHLSGRLVVLPPWISSLQNLIKLSLSATQLQEDQFVYLQSLPSLLSLSLHHEAYVGETLCFKAASLEKLRFLSLYMLTNLKQVIVEEGAISSLEEMEFIACQCLKEVPSGIEQLTNLKSLILQHPSQDLINSIDCTKGGEAYHKVKNIPSIRIFIYPNTWYNLPRERD >OMO52868 pep supercontig:CCACVL1_1.0:contig15412:29678:32723:-1 gene:CCACVL1_29051 transcript:OMO52868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MENEDVPSAPSTPATPGTPGAPLFGGFRENQRGGISRTKSLLKSCKCFSVEEWSMEEGRLPPVSCSLPPPPVSLTRKVGAEFIGTLILIFAGTATAIVNQKTQGSETLIGLAASTGLAVMIVILSTGHISGAHLNPAVTISFAALKHFPWKQVPVYIGAQVMASLCAAFALKGVFHPMMGGGVTVPSGGFGQAFALEFIISFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGPLTGASMNPVRTLGPAIAANNYKAIWVYLTAPILGALCGAGTYTAVKLPEEDGEKPSTVRSFRR >OMO52864 pep supercontig:CCACVL1_1.0:contig15412:18756:19729:1 gene:CCACVL1_29047 transcript:OMO52864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETAVSFLLGKLGPFLVEQARTLRGVEAKAQWIKDELERINAFLRVADENAETNPQLKVWVKQVRDIAYDIEDALDVFELRMARTQGDGFYGFIRKISCTIKNAKYLHQLASSIQGIESRVKDVAEGQKRYRCKPRIQR >OMO52863 pep supercontig:CCACVL1_1.0:contig15412:7315:10041:1 gene:CCACVL1_29046 transcript:OMO52863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAESAVSLMLGKLGSFIVEEAESLRGVEAKAQWIKDELERINSFLRVADEISETNPQLKVWVKQVRDIAYDIEDALDRFMLGPPNGFYGLLPKFSCSSSRNTIHCHQLASSIKAIESRVKEVSDGQKVFDSMILKNTSGQSPAATTNSWQHHQADALWFEEAELVGIEKNRKQLIQWLVEDNNLQFDVISVVGMGGLGKTTLAKNVYDQVKMHFRIHAWVTVSQPFNLKMLLQEIAHMIEMPFHIYQGCIALYKIIKDLLREMSYIIVLDNVCKIEHWDAIRYLFPHDNNGCRVMITTRDDDVAKGASKGSKGKVLTLEPLSSKDSAALFCRKTFQEDICPDNLKDIAEHILERCKGLPLAIVAISGLLANKTRRDEWVTVKDNLGGELEGNYMSKILLLSYYDLPYYLKASFLYLSAFPEGYVIKCKRLVRLWVAEGFVEQEEGKILEEVAMSYLNQLVSRGLIQVVRTDICDGRVRECRIHDLVRELIILMSEEQNFMTVVGERNMLWPEKARRLSVLKSMDETEIKAILPLRSLLVFEPKGFWSGKTQSYFLIPEDVRLLRVLDVVSELGVDIFTGRVGRQTFNQYNLRYLSVKSSSSEIPNSIGRLRKLETLDLKGENFIKLPTQILKLEHLRHLLFVSSSGKGFLAVPGVGCLQHLQTLYTIDLSLVKEEIVEELGKLKQLGKLGVKGLREEQGPVFWSSIENLDQLRNLSVQSLNDHDLIDLRCLSSPLKFLERLHLRGYLQIAPQCLSSLHNLIKLSLCWTRLTQDHFYSLQSLPKLISLTLSNEAFMGETLCFKAASLKKLRFLSLRELSDLRQVIIGEGALSSLEELTFDCCKCVQRVPSGIQQLTNLKSLTLVEPSQDLINSIDRSKGGEDYNKVQNIPIIRFKFSKWGIWTNLPREA >OMO52869 pep supercontig:CCACVL1_1.0:contig15412:43225:47094:1 gene:CCACVL1_29052 transcript:OMO52869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSEEESDISESEIEEFKEKPYEELQSGKYKVKASNGGLRCPFCAGKKKQDYKYKDLLQHASGVGKGSANRSAIQKANHLALAKYLEIDLASEVDPTLRPAVSQPVNKTPEQDDIYVWPWMGIMVNINTTTMDKSTFNDQGYWLSKFSKYKPLEVKTFWNDDELRGEAILRFNNDWNGFMNATEFEKSFEVLHQSKKQWNERQMNLGSSTYGWCARPDDYHSDGPIGEYLREMGKLQTIKDIVREATQDRTTVVANLTTKIDLTNENLEQLQYKYNETNMSLSRMLEEKDRLHLAFIEETRKLQRLARDNVRRILEEQEKMNYELEMKKKRIDHWTKELNKRETLTERERQKLEEEKQKEKLKNPEWHPLKMITEGGKHEEPMFKEFRI >OMO52872 pep supercontig:CCACVL1_1.0:contig15412:53826:60216:1 gene:CCACVL1_29055 transcript:OMO52872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEKQLKEAGSSLLSPPSSIDELLNLLDKVENLLIRVDQAPPRSMQKALLPVMKALISDALLRNSDMDVKVSVACCIIELTRISAPEAPYNDDLMKEIFQLTVAAFENLSLVSSRCYVKAVSILDTFARVRLCLVMLDLECDELIIEMFQQFLKKIRSNHPHTVFLAMESIMTLVLDESEDISWGLLSPLLASVRKENQKILPISWKLGESVIANCSYKIKPYLMEAVQSRDIALDEYSPIIASVCKSESDTLNKSGDHLVTVDPVFRGERCQAVDAISKSLMRNLTATIRDNSRNNNSFLNIKPSKILEHDLEVTRSADAVDGAALENFVTVETEPDTASKKRGRRPSSMMGTEEGYDHSWNSTDLEKPSCQVNGIIGASSASPNSGFPGGCHLRKDQSKRKESMTTENADPNPSKKLKSKAEKHTPSKDASLKMKSDAKPSERKQQNRSRRVEIDAKTTQASLCFVPKKEARVQGDLEEKELQQETLKQYIHKRTLVHEAMLDNSITGASDSLKTISGSVSTARRGGSSLEKTPKTNPKRQRNAGNNMASELPALGEELIGRKIKVWWPMDRAFYNGVVDFYDPVRMKHRVLYEDGDEELLNLRRERWQLIHDKGQENDVLTPDDSLDILSEQQKGKTHISSPKSAEPHSFSNSASTLKPKSSGDKFPDGGILGKPIVRESATDACMTDSRSSGDGKKLADDLKVESNSSGSERTISENRPSSDNTSLKDGESPSAMACVTQGSEGKETSV >OMO52871 pep supercontig:CCACVL1_1.0:contig15412:52783:52851:1 gene:CCACVL1_29054 transcript:OMO52871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLLLKDFMKMQKTIQKHVA >OMO84919 pep supercontig:CCACVL1_1.0:contig09679:62115:65039:1 gene:CCACVL1_10561 transcript:OMO84919 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin synthase/Biotin biosynthesis bifunctional protein BioAB MLSIRSPTTQLLLLRSCSYYYSTSAAASAAALEADRSIRQGPRHDWTRQQIKSIYDSPVLDLLFHGAQVHRHAHNFREVQQCTLLSIKTGGCSEDCSYCPQSSRYHTGLKSQKLMTKDAVIQAAQQAKEAGSTRFCMGAAWRDTIGRKTNFNQILEYVKEIRDMGMEVCCTLGMLEKQQALELKKAGLTAYNHNLDTSREYYPNIITTRTYDERLETLKHVREAGINVCSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALVAVKGTPLQDQKPVEIWEMIRMIATARIVMPKSMVRLSAGRVRFSMPEQALCFLAGANSIFTGEKLLTTPNNDFDADQHMFKILGLIPKAPSFSEEETKTSEAENCEEALSSSG >OMO84911 pep supercontig:CCACVL1_1.0:contig09679:1584:1919:1 gene:CCACVL1_10550 transcript:OMO84911 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-induced protein, Wun1 MRLHVNAKSSRLVVDLCKIRQSWIHFTRHRLVKVVASDLEWWFHGPPRCQHMMRMLTGESSHSEFRFEPRSIEVVGDCVIAEGGKERKCNGFMSGLAKTVSYSHYTVQGVL >OMO84931 pep supercontig:CCACVL1_1.0:contig09679:128191:134005:1 gene:CCACVL1_10574 transcript:OMO84931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyl transferase MEGLIPLVYKTLKKNRTRRQYECLSSGAAENYKIGDLYVHGQSGSDQSHHVHMKTSRADQKNIGGWNPGHRRHMSMGVDIGMISDGHGASPSPTTSIPKLKRFRSHRMFSCVTEICSSKRQTELDLSVMMMKLPLPPSNPVQAKAKLPIIELDCYQYRKYSPTNFLSTSMSTLHQTPNPILLHPPINQSVAAVVFGDGAESRLYPLTKRRSDGAVPIAANYRLIDVAVSNCINSNINKIYALTQFNSTSLNSHLSRAYSGVGLGKEGFVEVIAAYQSPEDQGWFQGTADAIRRCLWVLEEYPVAEFLLLPAHHLYKMDYQKLIESHRNRKSDITIVASNDFTVRGQDQGFGLLKVDTDNQVIKYRLLSDRESINYTVAESLTRSSDIPSMGIYLINRSTMVNLLKNHFPNANDFGSEVIRGAIALEMKVDAYLFEGYWEDMRSIKAFYQANMECIKKSNVGYNFYDRDSPVYTMPRYLPPTLITDAVITDSVIGDGCILERCKIKGTVVGMRTKIGDGAIIEDSVIMGSDVYQSDSSSGIEQEGKSIPIGIGDKTEIRKAIVDKNARIGRNVKIINKDNVEEGVRESDGFIISGGIVVVLRSAQILDDTIL >OMO84928 pep supercontig:CCACVL1_1.0:contig09679:110368:119492:-1 gene:CCACVL1_10571 transcript:OMO84928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate-related phosphatase MPPSLFSLGLGIRYNLPLRVPSASLGTIPLMNMNNRRRGSSSDRRLESNPRVHQLQQLVNETNASDGCGWEESWKQGVTPWDLGRATPVVLHLHKTGSLPMGRVLVPGCGTGYDVVAMACPGRHVVGLDISEEAIKKAKQMSSSSPNGDYFTFLTADFFTWHPTDLFDLIFDYTFFCAIEPHLRSAWALQIQKFLKPDGELITLMFPMDDHAGGPPYKVSIADYEEVLHPMGFKAISIVDNNLAIEARKDDDDDREALAGLSSVPAPQRKIHSYSQQLRANSAQKHYQQVRKHSLDDIPKPIESNNYYNNDSSDDEFFQAQHPSSNNPPSASASADDYIITSYSQRLDQTLSLEAAPDDPRHCHPLPEFIGAGGGSGIFKVPMRATVHPGRPPCLELRPHPLRETQVGKFLRNIACTDSQLWAGQECGIRFWRFQDFYKPGLGSKVRRGDEDAAPFQESANISPTMCLLVDAGNRLVWSGHKDGKIRAWKMDQPLDDSNNISPFKEGLSWQAHRGPVISLIMSSYGDLWSGGEGGSIKIWPWESIEKSLSLRPEEKHMAALLVERSFIDLRSQVTVNGNCNISSSDVKCLVSDHVRAKVWCAQPLSFSLWDARTKELLKVFNIDGQIENRVDMPSAQDQQVEDEMKVKFVSTSKKEKSGGFLQRSRNAIMGAADAVRRVATRGAGAFVEDNKRTEALVLTPDGMIWSGCTNGLLVQWDANGTRLLDVNHHPCAVQCFCSFGTRIYVGYVSGMVQVIDLEGKLMAGWVAHNGPVIKLAVGNGYIFSLASHGGLRGWSISSPGPIDSLLRSHLAEKESIYSRQDNIRMVVGTWNVGQGRASQESLMSWLGSVVSDVGILVVGLQEVEMGAGFLAMSAAKETVGLEGSSIGHWWLDTIGKALDEGTTFERMGSRQLAGLLISLWVRKNLRMHVGDIDAAAVPCGFGRAIGNKGGVGLRIRVFDRIICFVNCHLAAHLEAVNRRNADFDHIYRNMVFTRSSNLLNNAAAGVSTAVQTLRAPNAAGVNAEETKLDLAEADMIVFCGDFNYRLFGISYDEARDFVSQRCFDWLREKDQLRAEMKSGRVFQGMREALIRFPPTYKFERHRPGLAGYDSGEKKRIPAWCDRVIYRDNRSGAVSECSLECPIVSSILLYEACMDVTESDHKPVRCKFHCTIAHVDRSVRRLAFGEIIESNEKIRSLLEELRYVPETVVSTNNIVLRNQDTAILRITNTSNKNMAIFKIICEGQSTVKDDEEVTDYHARGSFGLPRWLEVTPGAGIIKADQSVEVSVHHEEFHTLEDLVDGIPQNWWCEDTRDKEVILRVIVQGSCSSEIKSHHINVRHCFSAKTVRIDSKSNSMGRKGGGGGGATATVRQLSSSSDATDHDLTTSRNQ >OMO84914 pep supercontig:CCACVL1_1.0:contig09679:32728:34179:-1 gene:CCACVL1_10555 transcript:OMO84914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGRSRNLFLRKHRRWPHKSKWHQTFAQKQAMQSFKQLVAQHDPSHPILPSSLVRSFSLYDLHPTPQAYHFLIKTLLQNLRFNHIPPVIHHLERLDKFQTSEYIFTDLIKAYGNANRIQDAVDIFYRIPKFRCVPSAYSLNSLLALLCRNQYGLKLVPQVLSKSLLMNIRLEESTLAILVTALCRMNKVSYAIEILQLMIDEGLGVNYKVCSFILSSICAKRDLDGEDVMTFFRELRNLGFCPVMGDYNSMIRFLVKKGRGLDAWDVLNQMKSDRIKPGIISYNMVLYGVIAQGDYMLADHLFDELLMLGLVPDIYTYNAYIDALCKQNKVEEGIKMVACMEELQCKPNVLTYNMLLDVICKAGEMSRARELVAEIKYKGIEMNFVSYMILIDGLVSKGEILEARGLVEEVLHKCFCRQSLTFDEVLCGLCERGLLCEALELLRKMADKNISPGAKVWKALLSSETKLNFDKTTLIDFVNPL >OMO84917 pep supercontig:CCACVL1_1.0:contig09679:52326:58173:-1 gene:CCACVL1_10559 transcript:OMO84917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDAPKSIYDFTVKDIRGNDVSLSEYSGKVLLIVNVASKCGLTQSNYKELNVLYEKYKNQGFEILAFPCNQFAGQEPGTNEQIQEVVCTAFKAEFPIFDKVVHYCCYELLLFSLYSVIHFFFFFFFSFKRRLGCLGSSIEVNGKNAAPLYKFLKSEKGGYFGDAIKWNFTKFLVNKEGKVVERYAPTTSPLKIEERRDTLWKFQGLGAHESKAWLAFAIPNPDLKPLMDFKSASDKANNLISWNSATDLCTWNGVTCLQNRVTRLVLENLDLTGSFQPLTSLTQLRVLSLKQNRLSGPVPDLSNLTALKLLFLSHNDFTGHFPTSVESLFRLYRLDLSFNNFSGEIPVTVNRLTHLLTLRLEGNSFSGPLSGLNLPNLQDFNVSGNMLSGEIPQSLSNFPKSAFGSNAALCGSPMEICKSIESDPTKPGSDGALASPLMPGRNPTIVASSPSSFPATGNPQKTPEPQHHTHSAAKISPFALIAIIVGDVLVLALVSLLLYCYFWRNYVAKMKMRDGKGSKVLEGEKIVYSSSPYPAQPGFERGRMVFFEGVKRFELEDLLRASAEMLGKGNRGPGRTPLDWTTRLKIAAGAARGIAFIHNTCKTLKLTHGNIKSTNVLLDKTGNACVSDFGLSIFASPTNNAPRSNGYRAPELSSDSRKPTQKSDVYSFGVLLLEILTGKCPSIVDNGGYGGAVDLPRWVQSVVREEWTAEVFDLELMRYKDIEEEMVGLLQIAMACTSASPDQRPKIGHVVKMIEEIRGVDVSPCHDALDSVSDSPCLSEDTCGAFDVSFHYGFSAN >OMO84932 pep supercontig:CCACVL1_1.0:contig09679:144283:147179:-1 gene:CCACVL1_10575 transcript:OMO84932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGIGFIAESNKMHLEEPWCSGMDTEETEMEQPNGEVEGFASEKGEASSVVFSREAPLVSKESMTSSGCSCSLKKLKSRVTAKEFELCQREKSGHDKKLSRQDRIELGQLFQGAVSSHDWELAESLILLADPQTLNDALCVTLDAIWFLSTQQELYGITGLIKKIIVNGAYDFTRAALRTSFLASCVSACQSRTMSLADTVTVMAQRLHERLQECHGDEVLKAEAGAKVQKFTEWALKCIGSHSRCQGKNDIVNHCSAVEIQLQLTAFKTFLDLAGNQLTGKDFTEAFDAACFPLTLFSSSFDVGWASGISATVIQGLLDMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAMAFLGPLMRAAERGCMQVVQWFVKRGCRDMELCLALTAATSSSQVDIAAYLLPHVPRHVLTALSIEILKAAGERSGGSLDGVAFLLHSDFLGDAAGTYAVANSIARSDDEAVASELKVFLQEHWSEAAFLDGLKQGQEHYMNLMRILKRGESPICLRDLPAPLRVAIAYLPLYRECVEVGGRLLSQRLRGQLVEAVRMLGGGTLEEVSQGRELLAILEHHLPPFLVRDPSVG >OMO84924 pep supercontig:CCACVL1_1.0:contig09679:93684:95120:-1 gene:CCACVL1_10566 transcript:OMO84924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MERITTIFVAVIVMNFLLFPCSSRGAAAPLTLSNTYSVVEYGAKPYGNFDSTQAFVAAWTLACGSIKPATIYVPPGRFMLRNVVFRGHCKNSAITFRIDGMLVAPSDYNVIGSAGNWLIFEHVNGVSIYGGILDGQGAGLWSCKRSGGRGCPSGATSLGFSNSDNIVISGVTSVNSQMFHIVINGCNNVKVQGVRVSAAGNSPNTDGIHVQSSKGVSILNSRIGTGDDCISVGPGTANLWIENVACGPGHGISIGSLGKESEEAGVQNVTVTRVRFSGTENGVRIKSWGRPSTGFARHILFQHALMTNVQNPIVIDQHYCPDQNNCPGQVSGVKISDVTYQDIQGTSATQVAVKFDCSSQYPCSDIRLEDVRLTFKGKPAQASCTNADGTASAFVQPQSCLTL >OMO84915 pep supercontig:CCACVL1_1.0:contig09679:35448:37431:1 gene:CCACVL1_10556 transcript:OMO84915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MMLESLPGSSGYLDLHPERRMSYFGNPYILGLTVVAGISGLLFGYDTGVISGALLYIKDDFEVVNQSSFLQETIVSMALVGAMVGAASGGWINDAYGRKKATLVADVVFTAGAIVMGVAGDPYMLIVGRFLVGLGVGVASVTAPVYIAEASPSEIRGGLISTNVLMITAGQFLSYLVNLAFTQVRGTWRWMLGVSAVPAVIQMMKLKLYLCFLKFMTFARLEDEIDHLSASLEQERQNQHTVKYMDVFKSKEIRIAFLAGAGLQAFQQLSGINTVMYYSPTIVQMAGFSSNQLALLLSLIVAAMNAAGSVVGVYLIDHVGRKKLALSSLSGVVVSLLILSGAFFGQSQLSGSSSPLYGWAAVIGLALYIAFFSPGMGPVPWTVNSEIYPEHYRGICGGMSATVNWISNLMVAQTFLSIAEAVGTAATFLILAAIALVAIVFVIVFVPETKGLTFAEVEQIWKERAWGTNYNIHTLLHHQNHSYS >OMO84921 pep supercontig:CCACVL1_1.0:contig09679:78110:80063:-1 gene:CCACVL1_10563 transcript:OMO84921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSASKHQPSSSKPPKNPNTAIHTDFPGAAAAAMSPPPFLPLTPASDVVLSGASHLTREELLKRRLQHLKQLSGCYRDHYWSLMEDLKIQYKDYYWKFGVSPFRHDPSQDPAEGEVAANPNPNPHPSTNSIEGCGDNVNNNNNTSLDFKTYHRCLFVGCKFKAMALTSFCHLHILSDSKQKLYKACTYVIKSAHAGPITCGKPILRSTIPSLCTVHFQKGQKHVNRALKKAGLNVSSSTKLAPKFHVIVAEYVHQIQAKRRAAQQGNAGVNLLPDIGGQIKVHCSALT >OMO84926 pep supercontig:CCACVL1_1.0:contig09679:100609:105167:-1 gene:CCACVL1_10568 transcript:OMO84926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEDAIRHGSCSNELFAVSHVSYRFA >OMO84916 pep supercontig:CCACVL1_1.0:contig09679:46049:51353:1 gene:CCACVL1_10558 transcript:OMO84916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGISSQSESWLSITNSIDQTNEDDTTADSESFDLKRQDETKIDQDADEDDNNYSNSNIVDTITKKKKKNQVLLEGYVEAVDKEDELTRTKSLTDEDLDELKGCLDLGFGFSYEEIPELCNTLPALELCYSMSQKYLDEHHKSPDTSPETTTEAVSSPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLCKLSNWDRLQGVGEEAEEEKDVSVLNRASPTSWLQTSAFAEMITQFILPGIAEAVENEGKGKKQVKEEQACISLPNFSACSWLALWRHGLLAFSSNLLQFQTSSCFQCFSHSCQKPKTAANHPQQLPPVLASEDVSSPALLSTSSSLSAQILQCKDLDDLLEDYKDKLNSKLVLQVLMNYKHLGRVKTLEFFSWAGMQMGFQFDDCVIEYMADFLGRRKLFDDIKCFLLTILSHRGRLSCSVFSICIRFLGRQGRVAEALSLFQEMETTFRCKPDNVVCNNILYVLCKKATSGELIDLALTIFHRIDVPDTYSYSNILVGLCKFGRLETALQVFRKMDRAGLVPTRSALNVLIGQFCLLSSKEGAIEKVRVKNVYRPFTILVPNVSSSSRKGAIEPAVFVFCKVVDLGMLPSAFVILELVSELCRLGKMEEAFKVVKAVEQRKMSCLEECYSLLMQALCEHNWFEEASFLFGRMLSLGVKPRLVVYNSIICMLSNAGNMDDAERVFKIMNKQRCLPDTVTYTALVHAYSKARNWEAAYSLLIEMLGLGLIPNLHTYNEVDKLLRENGKMDLCFKLESKMETQILLKHCKVGQLEAAYEKLNSMIRKGFHPPVYACDAFQQAFQKNEIFESKMGQVEPFLWFLKHQGYAFFEQFEILLHLTKFHPVTGKHFYANGQFYLVLHMTSLYLEEIETRKLVPLMVEPSPIVGRDLLSERAPVQLNALSTN >OMO84920 pep supercontig:CCACVL1_1.0:contig09679:65720:77073:-1 gene:CCACVL1_10562 transcript:OMO84920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ia MEDVCEGKDFSFPSQEETILSYWSRIDAFKAQLERTKNLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQSMTGHHVTRRFGWDCHGLPVENEIDRKLGIKRRDQVLEMGIDKYNEECRSIVTRYVEEWEKIVSRTGRWIDFKNDYKTMDLKFMESVWWVFSQLHQKGLIYKGFKVMPYSTGCKTPLSNFEAGENYKLVPDPEIMVAFPIVGDPDNAAFVAWTTTPWTLPSNLALCVNANFVYLKVRNKYSGKIYVVAESRLSELPTEKPKSNAANGPSGDSKKSKTKGSSGEKTKDSAADSFEVLDKFSGASLVGKKYEPLFNYFSEFSGTAFRVVADNYVTDDSGTGIVHCAPAFGEDDYRVCIGNQIINKGENLIVAVDDDGYFTGKITDFSERYVKDADKDIIEAVKAKGRLVKLGTFTHSYPFCWRSDTPLIYRAVPSWFVRVEQLKEQLLENNKQTYWVPDYVKDKRFHNWLENARDWAISRSRFWGTPIPVWISEDGEEVVVMDSVETLERLSGAKIFDLHRHNIDHITIPSSRGPEFGVLRRIDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFAKPAFRNLICNGLVLAEDGKKMSKRLKNYPSPIEVINDYGADALRLYLINSPVVRAETLRFKKEGVYGVVKDVFLPWYNAYRFLVQNAKRLEYEGSAPFVPMDVSILQRSSNVLDQWINSATHSLVHFVREEMDGYRLYTVVPYLLKFLDDLTNIYVRFNRKRLKGRTEERDCRTALSTLYNVLLTSCKVMAPFTPFFTEVLYQNMRKVCDGAEESIHYCSFPQEEGKRGDRIEESVARMMKIIDLARNIRERHNKPLKTPLREMVVVHPDEEFLGDIAGKLREYVLEELNIRSLVTCKDPLKYASLRAEPDFSVLGKRLGKSMGEVATKVKAMSQEDILAFQEAGEVTVVSKLGTHCLKLTDIKVIREFKRPDGMTDGEIDAAGDGDVLVILDLRADESLFEAGAAREIVNRIQKLRKKAGLEPTDMVEVYFESLDEDKSVIQQVLNSQEFYIKDAIGSPLISSNMMPVHTIVLCEENFEGISNMSFKISLTRPALFFESKAILALYAGDVKFAQGLQTYLLSRDHSSLKSEFQLGHGKIKVNCIENQPAVEVTLGEHVFLSVGDYYLTVKGVC >OMO84922 pep supercontig:CCACVL1_1.0:contig09679:84123:88122:1 gene:CCACVL1_10564 transcript:OMO84922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-sugar transporter MKNEMIECSVCHSKLVSPTTRGVSRAYDRHKSRVSSKQRALNVLLVVGDCVLVGLQPILVYMSKVDGRFMFSPISVNFLTEAAKVVFAIVMLIIQARNQKVGEKPLLSISTFMQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKMIMRRRFSVIQWEALALLLIGISVNQLRSLPDGATPLGLPVTTIAYVYTLIFVTVPSLASVYNEYALKSQYETSIYLQNLFLYGYGAIFNFLAILGTVVVKGPGSFDILQGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTMNFILGISIVFISMHQFFSPLSKVKDEQQNGKLELVDYQDNHRSKESFINMAAGANEEASHRIGSDERQPLLPT >OMO84923 pep supercontig:CCACVL1_1.0:contig09679:89663:92429:1 gene:CCACVL1_10565 transcript:OMO84923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCLKSQCLPPLSWRFGSPPPPLFLFSSHSHSHSHKPKLRSPQLSFSAPRRSRRSRSSRRLPTRTPNSDNPSLRRSIEFESSPDNPNVKLVLDFDQISSLSSSTLDHLVSLSRDAFHDLQNLIQIDSQTRTLQLSCRKSTLQFLAALLTCGFLTLFAFRFLIKLASTLKARFRPKPKIIVRRDRSLGGREVVVGTQGEGAAHFRALDNPLSLSTATSLTVNTTSPRPQANALPLPKWWPQPDSLPKGASVLNSEYYQTQANRLIRAIIDDRLGGKDISEEDIIQLRQICRTSGVSVSIDMTNTRDSFYRASVELVLNVCCRVPSHSTHVQIDGEDARHFLAGLAENIGLDNNRAARMVSAGVAARTRFCFLQAWAFEMQGKHTEAMLELSKICLVHRIFPPEESSPEMEMVARGLEKLLKVEQRELLMGMLVGVCNGESRRSAAEALGLVC >OMO84930 pep supercontig:CCACVL1_1.0:contig09679:125000:125068:-1 gene:CCACVL1_10573 transcript:OMO84930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFLAEITVKVVMERQILGEN >OMO84912 pep supercontig:CCACVL1_1.0:contig09679:2590:4179:-1 gene:CCACVL1_10551 transcript:OMO84912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNGNAANLSQVQVELRIPDNTKDGLRASTSQQTIIIPTTTRLMLVRDSLSGHLSSPSAKFRQIAEEKDQISRTVPSSTCHGFRQRFNGFIARTVNFAYLWNIWKEWIKNPLNMALFLWITCVAISGAILFLVMTGMLNNALPNKSQRNAWFEVNNQILNALFTLMCLYQHPKRFHHLVLLCRWKPKDISTLRKLYCKNGTYKPHEWAHITVVVLLLHVNCFAQYALCGLNLGYKRSERPALGVGICLSVAIAAPAIAGVYSIVSPLGKEYDSEVDIESEDHILNDSSQRRKSLEKRFSFATRNENRVVEYAPNWRGTLFDLWDDIAVAYLSLFCSFCIFGWNMDRLGFGNMYVHIATFLLFCTAPFWIFNLAAINIDNETVREALSLTGIILCVFGLLYGGFWRIQMRKRFSLPANKSCCGNPAIADCAQWLFCCWCSLVQEVRTADLYDIVEDKFCRKHTDENSQQGRAFQNSPRLSGLGTEKSKLENYCSPDTDRSYPILEKDFASMKPPIASSMHREGYTNQEI >OMO84927 pep supercontig:CCACVL1_1.0:contig09679:106287:109236:1 gene:CCACVL1_10570 transcript:OMO84927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQQSLHNVTTEKRPSPQLLMEKQRSFKQSTTRGVVEKQQSFRGVTTEKHLSGGRGMMEKQKSFRGFMEKQKSFRVVMERQLSFIGAGERRKNRESPGKRGDSPLHLAARTGNLTRVREILHNSDGQEAKELLAKQNLEGETPLYVAAENGQAMVVAEMLKYMDLETASITARNGYDPFHVAAKQGHVEVLKELLGTFPNSAMTTDLSCTTALHTAAAQGHIDVVNLLLETDSDLAKIARNNGKTVLHSAARMGHLEVVKSLLSKDPSTGFRVDKKGQTALHMAVKGQNEEIVLELLKPDSSVLGLEDNKGNTALHIAVKKGRTQIVRCLLSVEGININTANKAGESPLDIAEKMGTPELVLILKEAGATNSKDHGKPPNAAKQLKQTVSDIKHDVQSQLQQTRQTGFRVQKIAKRLKKLHISGLNNAINSATVVAVLIATVAFAAIFTVPGQYVEDKLEGISLGQAYIANKAPFIVFFVFDSLALFISLAVVVVQTSVVVIEQKAKKQLVFVINKLMWLACLFISVAFISLTYVVVGKQSRWLAVCATVIGSSIMLTTIGSMCYFVILHRMEESKLRSIRKAESRSRSLSMSMTSDPEILNSEYKRIDERTNWANQSKEDGIWTAISLLEPVAEIFCA >OMO84929 pep supercontig:CCACVL1_1.0:contig09679:120305:123829:-1 gene:CCACVL1_10572 transcript:OMO84929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lupus La protein MAQSETLDSPQSSSLSSDPQLLRNLSSSRLNAQAPEFVPTRSTPQQPQQQQQQQQSHRMMIHPPPPPGMLHVYPPSPPPPPAAFHLPVHSPVPMPPHMVPVHHHMPMRNHHHHPPPTYQNHHHHPREQEVEAVVKKDHHKEQHKEHKDHGPTSSKNGLSEEATLKVLNQVEYYFSDLNLATTDHLMRFINKDPEGYVPISVVASFKKIKALISTHSQLATILRNSLKLVVSEDGKKVRRQHPLTESNMEELQSRIIVAENLPEDHCHQNLMKIFSAVGSVKTIRTCQPQPSGGGVSSASRSAKSDGMHFSNKLHAFVEYESVELAEKAVAELNDERNWRSGLRVRLMLKRVSKPANARGRKGHDVEGHYEEDDASTSEQQSNEKQQEDPSQLSDIHSHEYHGEEHSTDKEGGQKKGRNRGRGKGRGRGQYPNNNHNSRGNHVGFTPSPAGNLASNEQATSAKQPPGPRMPDGTRGFAMGRGKPVAVNRA >OMO84913 pep supercontig:CCACVL1_1.0:contig09679:6568:15129:-1 gene:CCACVL1_10552 transcript:OMO84913 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-alanine--D-alanine ligase MLSLACGSNLSLLLRRPNKALPSFSNLIANFTPKSLTTSSSSKAMGIGVGLVRATAQMADAIPLGADQEETTRVLRVGVICGGPSAERGISLNSARSVLDHIQGKDLHVSCYYIDFHLNAFAISSAQVYSNTPSDFDFKLESLAQGFESLSEFAEHLAASVDIVFPVIHGRFGEDGGIQELLETYNIPFVGTGSKECRQAFDKYDASLGLSKQGFVTVPSFLVQGSEVNESELSDWFASNQLDFDSGKVVVKPTRAGSSIGVTVAYGVADSLEKAKQLISQGIDDRVLVELFLEGGSEFTAIILDVGQGFDCQPVVLLPTEVELQFHGSGDVREKDAIFNYRRKYLPTQQVAYYTPPRFPIDVIKSIREGASLLFKRLGLRDFARIDGWFLPSSTKPLSSSKDIFGVTESGTILFTDINLISGMEQTSFLFQQASKVGFSHSNILRSIIHRACLRFPQLETYGSESDQQHRNSKSLKPPEASEGRKGIHKVFVIFGGDTSERQVSLISGTNVWLNLQASDDLDVTPCLLSRSIDHSSTTDSDNKDSDDVSSREVWLLPYSLVLRHTTEEVLDACMEAIEPDRAALTSHLRNQVMNELMEGLNKHRWFKGFDIADEQPVKYSLKEWIKFAKEVEGTVFIAVHGGIGEDGTLQSLLEAEGIPYTGPGVMASKICMDKVATSLALNHLGEMGVLTIKKDVKRKEDLLKMPILQTWQDLTSKLECETLCIKPARDGCSTGVARLRCAEDLAVYAQALNDCLLRIPPNSFSKAHGMIEMPNPPPELLIFEPFVETDEIAVSSKTVASNSENLLWKGDSRWVEVTVGVIGKRGLMHSLSPSITVKESGDILSLEEKFQGGTGINLTPPPASIISNEALEKCKQRIELIANTLQLEGFSRIDAFVNVDSGEVLIIEVNTVPGMTPSTVLIHQALAEQPPMYPHQFFRKLYDLASERVL >OMO84925 pep supercontig:CCACVL1_1.0:contig09679:97524:99198:-1 gene:CCACVL1_10567 transcript:OMO84925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MMMLFPAPAVVISIIIFLNLNSSIEAGVTVNVVSYGAKGDGSTDSTKAFVAAWAQACGSKKAATMYVPRGRFVVRSVAFRGQCNNGAIALRMDGTLVAPANYRVIGNAPSWLSFQHVDGLSISGGILDAKGTALWACKNSGNANCPTGATSLSISNSKNIAINGLTSLNSQMFHIVINGCQNVKMQGVKVMAAGNSPNTDGIHVQLSSSVTILNSRIQTGDDCVSIGPGATNLWMEKIACGPGHGISIGSLGKDQNEAGVQNVTVKTATFTGTQNGVRIKSWAKPSNGFARNILFQHLVMNNVQNPIVIDQNYCPGDKNCPSQVSGVKVSDVTYQDIHGTSATQVAVKFDCSSKHPCTGLRLEQVKLTYKNEPAEASCNHAGGTVSGLVQPASCL >OMO84918 pep supercontig:CCACVL1_1.0:contig09679:58982:61667:-1 gene:CCACVL1_10560 transcript:OMO84918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSNNINSVFYAESYHPIQAGSIDGTDILPHDNAVYRALLCSNAALYDPLGDPKLIGDPYRTIFVGRLSHLTTEHTLRKAMSKYGLVKNLRLVRHIVTGASRGYAFVEFETERDMRRAYQDAHHSIIDDSEIIVDYNRQQLMPGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLRPIPYEDLKKLGIPPPPEGRYMSRFKVPSPPRRQRISAEREEFSHKRSSMDREELSQRSSFTKRDEHKMTAAAGGRQEKSSKRNSVEEEGQHGESSMDRSASFQKRIPTEREDHHHKQSCVDREEHSHSRSTREGGAISRKRSSKDKEEGSSKHRRHHSRDPW >OMO52765 pep supercontig:CCACVL1_1.0:contig15445:2755:2889:-1 gene:CCACVL1_29090 transcript:OMO52765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAHSHVESDSGSCFHLTSTCVKTFLACFTWRLFKISSGSKIG >OMO93694 pep supercontig:CCACVL1_1.0:contig08080:24780:26262:-1 gene:CCACVL1_06386 transcript:OMO93694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVPGPDLISDLPQSIIESILTRLPIRDAVRTSILSSKWRYKWSTLTQLVFDEKCVVLSSDRCDVEDSLVKFITRALFLHQGPIHKFQLTTNYLQCCPDIDQWLLFLSRNDIKELVLELGEGEWFRVPSCLFNCRKLTRLELFRCEFDPPPSFKGFLSLKSLNLHQVLISPEAIENLISSCPVLESLALSYFDCLSLTIRAPHLKYLCLEGEFKVICLENTPLLVAMSIAMYMTDDIAEHFEQSSGCNFNRFLGGVPHLERLIGHIYFTKYLSIGDDCGKVPITYNHLQVVELYQVSFEDMKEILVVLRLITNSPNLKELQISGSSNTLAAVEAPDLDFWERKCPSDCTFKQLRVVKMTDVSGVPHEMEFIKFLLANSPVLETVSISPCVYVIDGRVNMLIELLRFKRASAQAEIVFIPD >OMO93696 pep supercontig:CCACVL1_1.0:contig08080:49762:49923:-1 gene:CCACVL1_06388 transcript:OMO93696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLKKIIMQPGNGIDASENLRILKEVIRFKRVSPHAEIIYLNPNDDDEMFV >OMO93690 pep supercontig:CCACVL1_1.0:contig08080:8416:9930:-1 gene:CCACVL1_06382 transcript:OMO93690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAERNVREDRYISSGKSVPNSLVDLPSSYRDENHDAQVQKTNRDAYPFESQKG >OMO93691 pep supercontig:CCACVL1_1.0:contig08080:10256:14136:-1 gene:CCACVL1_06383 transcript:OMO93691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKRAYKLLGFDSSEVLVAAGAASGTIKLWDLEEAKNPIASWWTSTPLTSSLHLALSIVDTNFKIWDIRKKGCIHNYKGHTRGVNAIRFTPDGRWVVSGGEDSIVKDHEGQIQCLDFHPHEFLLVMGSSDRTVKFWDLETFKLIGSAGPETTGVRCLTFNPDGRTVLCGLHESLKGEVFSWEPIRCHDGVDVEWSKLSDFNVHEGKLLGCSCDQSCVGVWVVDISCIEPYAVGNGNRVNGRSEAKSSSGGDLSVLNEITTKATMGRFSVSQNPDPLVKEQIPWKRVNLNTAPKTTHPSSVTASTVAAPKRSSVRASSAVNFPVFNKSDVIPVNVPRNDTRLERAAGSRKEVGMSGRSLKHAAESRREARISVRSLEQAAESRREVGIAGRS >OMO93693 pep supercontig:CCACVL1_1.0:contig08080:21056:24058:1 gene:CCACVL1_06385 transcript:OMO93693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFIRKEKFALCCVFLLCLWTSACGLLSPKGVNFEVVALMGIKSFLVDPHGVLDNWDEAAVDPCSWNMVTCSDGVVVGLGAPSQDLSGTLAPTIGNLTNLKLVLLQNNNISGHIPSELGKLPNLETLDLSSNFFYGQIPSSLSHLKTLQYLRLNNNSLSGAIPPSLANMTQLTFMDLSFNNLSGPFSGLPKTTYNIVGNPLICATGDEQICSRTRPMPMSFSLNNSQNSQPSGRSKSQKFALAFGSSLGCICLLILGFGLLLWWRQRHNQQIFFDVNEQHREEICLGNLKRFHFKELQVATNNFSSKNLVGKGGFGNVYKGYLQDGTVVAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGFCMTATERLLVYPYMSNGSVALRLKAKPALDWGTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHQESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELISGLRALEFGKSANQKGAMLEWVRKIHQEKKLELIVDKDLKNNYDRIELEEMVQVALLCTQYLPSHRPKMSEVVRMLEGDGLAEKWEASQRAEATRSRANEFSSSERYSDLTDDSSLLVQAMELSGPR >OMO93692 pep supercontig:CCACVL1_1.0:contig08080:20301:20426:1 gene:CCACVL1_06384 transcript:OMO93692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLRECWIPHMTQWEAYSNQSNPNTSSLYLTPKACPNPTW >OMO93697 pep supercontig:CCACVL1_1.0:contig08080:52565:58662:-1 gene:CCACVL1_06389 transcript:OMO93697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLITYCPKTTKPITVLLPSSGINGLFFASSVDDEEGGIDPLLNFLKDQDSLHDCLHRLREVELTNYLNGRYLINMVKFLLAKSLTLEKMVIQPREGIVAAEDHKVLKQVNRFKRVSPNAEIIHLEPNERLV >OMO93695 pep supercontig:CCACVL1_1.0:contig08080:42125:46533:-1 gene:CCACVL1_06387 transcript:OMO93695 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/FBD/LRR-repeat protein MDRLMLFLSSKNVQQLEFHVDTEYKLPQPLFSCVHLTILELQCCIFNPPPTFTGFGKLVCVDFIQLQFSSNRDIENFISKCPLLEELTLENCINTDQLEIDAPNLKFYYFTGELISISFKNAPLLKFVSIGGGESNIDQLSTVETSNLINTFISLPAIEQLGIGWKFLCFRKEGDYYKENRIDPVLHFLEDQDSYRLHQLREVRLGSVYGTRYIFDLVRFLLARSLTLKKMVIQPMKGILATENLKFVKEKSFEKQRTVVGKANWCMMKKQDCSSNSDIISTLPTEIIENILSFLPLKDAMETRNGVSKDILAAIFQILSVHRGKLLKLTLSIPTLKDCPEMDRLILSLSNKNCEELEFCFSQGFNYKLPTSLFSCVHLSILKLQCCIFNPPPTFTGFHKLVCVEFHNVEFAADHTIESFISKCPLLEQLTLEDCSNTKQLEVDAPNLKFFSFSGPLVSINFKNTLVLATVSFNGEKAVDFNGGPNIGLSTVETSNLVNTFICLPAIVHLVIGWNFLSYLIAGIIPERLPITLECLEVLEIDNICSEIPNEVNVALCLIRSSPNLRKLKLQFYTEGDYQVDGMDPVMHLLEDQDSLHDCLHRLQEVELTNYLNGTRYFFYLARFLFARSLTLKKMVIQPRKGIDAVENLNVLKEVARFKRVSPYAELVYLDPDEKLV >OMO62621 pep supercontig:CCACVL1_1.0:contig13231:17846:20324:-1 gene:CCACVL1_22715 transcript:OMO62621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSITEGDFMKDQDDVEENHRESLLKDDKKKKPPPKKSCSMASRNVSVRFNPVFAMASISFFTFFSFIFFFCFYLKREEIPPSENLLLALIFVAITLFFASRNKALINQGIVCFKERLHFSKPNSKPVQWFIGETQCSKRFNNSNNIKEKEKLQPVVREGVEFYSNGDFYEGEFHKGKCNGSGVYNYFVKGRYEGDWVEGRYDGYGVESWSRGSRYRGQYRQGLRHGYGVYRFYTGDSYAGEWSNGQSHGVGVQTCADGSCYVGDFKSGVKHGLGCYHFRNGDKYAGEYFGDKMHGFGIYHFANGHCYEGSWHEGRKQGYGMYTFRSGDTRCGEWDAGNLRTPLPPVTDAVLRAVQAARKTAANAIKLRRVDEQVNKAVLAANRAATAARVAAIRAVQNRMDGKFCDMDV >OMO62622 pep supercontig:CCACVL1_1.0:contig13231:29881:31497:-1 gene:CCACVL1_22716 transcript:OMO62622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate permease MAKDQSLEVLNVLDLAKTQVYHFTAVIISGMGFFTDAYDLFCISLVTKLLGRIYYHVDGSSNPGTLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKRVYGMTLMLMMICSIASGLSFGSSPKSVIATLCFFRFWLGFGIGGDYPLSATIMAEYSNKKTCGAFIAAVFAMQGFGILAGGTVAIIVSAIFRAIYKSPAYSMDPLGSTVPQADYVWRIILMFGALPAALTYYWRMKMPETPRYTALVAKDEEKALGDMSKVLNMEVKAENKEIKQRANINIQKGNYSYGLFSKQFLHKHGLHLLGTASTWFLLDIAYYSQNLFQKDIFSAVGWLPAAKTMSALEELFKIARAQTLIALCGTVPGYWFTVFLIDHIGRFTIQLIGFFFMTVFMFALAIPYHHWTLKENNIGFVVMYGLTFFFANFGPNSTTFVVPAEIFPARFRSTCHGISAAAGKAGAIVGAFGFLYASQNQDKSKANAGYPAGIGMRNSLMVLGVINVFGLLLTFLVPEPKGRSLEEISGENEEGNQQQSRNEAESV >OMO62624 pep supercontig:CCACVL1_1.0:contig13231:35600:37853:-1 gene:CCACVL1_22719 transcript:OMO62624 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MATISTVSTQNDSAGPAQITQQPLQVAKRLEKFKTTIFTQMSSLAIKHGAINLGQGFPNFDGPDFVKEAAIQAIKDGKNQYARGYGVPDFNSAIAARFKKDTGLVIDPEKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGAKIKGITLRPPDFAVPIDELKSTISKNTRAILLNTPHNPTGKMFTREELNTIASLCIENDVLVFSDEVYDKLAFEMDHISMASLPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHAFLTFATSTPMQSAAAVALRAPDSYYVELKRDYMEKKAILVEGLKEVGFKVFPSSGTYFVVVDHTPFGLENDIAFCEYLIKEVGVVAIPTSVFYLNPEEGKNLVRFTFCKDEETLRSAVERMKKKLKK >OMO62623 pep supercontig:CCACVL1_1.0:contig13231:33547:35052:1 gene:CCACVL1_22718 transcript:OMO62623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVAAKTKTDMEGEGELREQQEEEIVMPQTTKTMEGELKEQQPDPREEMDVTNLHPLSPEIISRQATINIGTIGHVAHGKSTLVKAISGVKTVRFKKELERNITMELGYANAKIYRCVDKGCPRPLCFKSCGSEIKEEDSPPLCDNPERHKTRRMQLVRHVSFVDCPGHEVLMATMLNGAAIMDGAFLVIAANEECPQPQTMEHLAALEIMGLNNIIILQNKVDCVKQDKVRDQYRAIRQFTEGTTAEGAPVISISAQLNCNIDVVLDHIVNKIPIPVRDFKSKPSMTIVRSFDVNKPGAGIDELKGGVVGGSIIKGVLKANEIIEIRPGIVTRDGNGNLRWRPIISRVVSLYAEQNKLDIAVPGGLIGVGTTMDPSLGRGNKLVGHVVGLPGSMPEVYTGLVVKFYLLERLVGIKGDQTVTRLVNGEMLMVNVGSMSAKCGVITVRGQLATLQLSIPVCTSVGERVALSRRIANHWRLIGWGTIQSGTPRQPHQEDESS >OMO52409 pep supercontig:CCACVL1_1.0:contig15539:28319:30393:1 gene:CCACVL1_29231 transcript:OMO52409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase, class-II MGSCKDQEQQKQQHNQLQAKCVLVHGPIIVGAGPSGLATSACLTQQGVPSLILEKTDCLASLWQHRTYDRLKLHLPKQFCELPLLGFPENFPKYPTKHQFISYMETYASHFSIHPRFNQAVQTAVFDRVLGFWRVTTQNCEYISRWLIVATGENAEPSIPDIPGIDKFNGPVVHTSLYKSGSEFRNKRVLVIGCGNSGMEVSLDLCRYNAIPHMVVRNTVHVLPREMFGFSTFGIAMALLKWLPLRLVDKILLLIANFTLGNTDQLGLRRPKTGPIELKNVTGKTPVLDVGALSQIKSGKIKVMEGVKEITRNGAKFMDGQEKEFDSIILATGYKSNVPTWLKGCDFFTKDGMPKTPFPNGWKGENGLYTVGFTRRGLLGTASDAVKIANDISEQWRTLKHCKNISCNSHVILLKET >OMO52408 pep supercontig:CCACVL1_1.0:contig15539:8173:14079:-1 gene:CCACVL1_29230 transcript:OMO52408 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MDAMVVEKGLAAEEEDHLDRSTNKVDQVGGSTSVVQETPVGLQGAARASSQGTFRDKLLKEGSDEDIDESIPFVSVDRELKKELHKPWRNSLIFKVLGKAIVFPFLRTRWKPKFRPSMDSFGLTPVWIRLPKLPIEFFQEKLLIQVGDSIGGKTAVNGGDGPSTNRFNVLYEDGDASMDAGEDREAEVATWSQGVVAGDNVLRHRKLIGKAKEGRRSKRVRRPKALRWGLKNTVASGKVVKDKGGAKAVSKTLEGLNPLDVANHLARPEELLSSSLSGAAVSFGFSEYLKSAHVGTRGIVGSKCLRNCRELVKLYSPDVLFLLETKCRDREVAKKYVLRLGFSDFAMVESTGLAGGIFLFWDNQKVNLSVQHLDSQFIHTKILLDQSLSWFLTGAYVRPHCSLKEKFWTDLQQLALSINSAWMVVGDFNDIASLDEKNGGARFCVNRAHLFVDRFEACGLFDLGSNMTSPPPKDKRPFRFQVAWLTHEEFDSCFEASWLRGLDILGSIIAMIEDVKRWSVDSFGDIFKRKPELVARINGIQNSQAYGCSSFLEELEVELQREYREVLYQEELLWYQKSRKQWVRDGDRNTRFYHLSTLMRGSRNKIVGLKVNDVWVTDDEVLKAYALQFYANLFSFRESVAMGLKAPGPDGMQPLFFQREWLIVREKLLLFVNQALSEGFFPLDLAKALMTLIPKCKNPQTMVDFRPISLLNTTYKVLSKLLVSRLRPLLQKLVGPWHSSFLPERGTTDNIIAMQELAQIIQDRVHRKQWKPVKIGRRGPSLSHLFFADDLMLFAQADENQLGVVMEFLREFASASGLSMSLSKSKLFISPNVVSRVASRMSQVCGIPLTRDLGRYLGVPVVHGRSSRYLYLSVVDKIRGKLTGWKRNLLSRAGRRTLAQSVLNAIPVYTMQTIFLPISICNWIDWITRNFIWGGDENSSHGHLVRWSQVSLPKSSGGLGVRAVRESNLLLLAKVSGGFGRKWLVGDGLNVNFWLDWWVGESPLLYFAIVDNVDTALLVADFIDPGGWWHLQSLANLLPWGKIREIMAIPLPKLRQRCDVRYWTDVWRCWQGVGLDLSNFFTANLSDWLSEIICDYVPWSSQFAMTVWLIWKSRNTLIFQQSQDNPSRVWFIARKLAREGRKPKYGFLGQFGYSGQLGTSIFLDPPHGIGSLLEQDFKGPLIVRN >OMO52412 pep supercontig:CCACVL1_1.0:contig15539:58657:63536:1 gene:CCACVL1_29234 transcript:OMO52412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MGSDSHLQIPIIELPLMNSEDMVRGTDKWQILCKRVREACENFCCFEVVYDKISSQLREETFSSIRQFFDLPLETKKKYVNPKPYHGYYEVLGLFSSLSESIGLEHASNFNSLKTFSEVMWPNGHDDHFCQSISAMMKQLEELSEMIGLMIVDSYGLGEEAAESFMKHYMLLRLMKYLAPTSGEYTNVLIPHTDKCSSALLFEDRIAGLEIETKDGQWVKLFPSPGSFFFMVGDILMMRFLYAIVGYEDYPPYEQHGGQHFLSSCYEPYASYYPLEEQQIPAHLVAFMEKLVDGTSLLAKGQSLIKQKLSIHEQEIQDLGNQVGQFVDSLGRVEAYLNTLEEEENEAWEVNQGENEAEKEEKTNSVSSFVEVETTTFLDELDEKTFSRNQAQLLPEPNLSGNSASEVDMIDRYSSIEILRCENQDFLGIEKFLKFGCFNGDDSCDEWVTMEPFFKNDDACKLNDVVGMLRMQEGSKVNFFHSKKLLHKPTTATTRALTLLAIHGVPLSKIAQPSSPLSAFDQSSSIAAASLTGIPLIGATFIFFSFQLIFSNFPQTLRHHRLLLLFPCPLFLSPLFSFLSFLSHHPHPFFLSSAQPVREHQELAITIAAFNPLRQSKARRRYTLADAGLFLSLAAPPVSKAAIAWHTGDIYNKES >OMO52411 pep supercontig:CCACVL1_1.0:contig15539:55784:57254:1 gene:CCACVL1_29233 transcript:OMO52411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MGYESQLQIPVIEFTKDMVRGTDGWQSLCKRVREACESFGCFEVVYDKISSHLRDETFSLIKELCNLPVQTKRKNFTSKPLHGYYEPGGEFLPFYESFGIDDASNCNSVRSFADLMWPTGHDHFCKTINTLMKELEELSHMIGLMILDSYGLGEKPETMMRHYQLLRVMKYLAPPSGECTEVAMAHTDKFSSAILCENHISGLEIETKDGEWIKLVPSPSSLVFIVGDLLTAWSNGRMHAAKHTVKLSGNKERYSLGAFAVPVEGTIIKAPKEMVDEQHPQLFKDFDYMDFVNYAVSHQSLAIDNANKLFKYAARHQL >OMO52410 pep supercontig:CCACVL1_1.0:contig15539:46455:55086:1 gene:CCACVL1_29232 transcript:OMO52410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQPQQPQHQQQQQQQQLQMQQLLLQRHAQQQQQQQQQQQQQQQQQQQQQQQPQQQPPQSQPPQQPQQPQQRREPHLLNGSSNGIVGNDSLMRQPTGTANAMATKMYEERLKLPLQRDSLDDAAMKQRYGENVGQLLDPNHASILKPAAATGQSSGQVLHGTAGGMSPQVQARSQQLPGATPDIKTEINPVLNPRAAGPDGSLIGIPGSTQGGNNLTLKGWPLTGLDQLRSGLLQQQKPFIQSPQPFHQLQMLTPQHQQQLMLAQQNMTSPSGSDDNRRLRMLLNNNRTMGLGKDALSGTVGDVVPNVSALQAGNPLIRNDTDVLIKLKMAQLQQQQQQQQQLQQQQSSNSQQQQLQQHVLPNQQPQSSNPSLHQQDKVGGGGSVTVDGSMSNSFRGNDQVSKNQNGRKRKQPVSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPRSGSSSKPLMMFGTDGAGTLTSPSNQLADMDRFVEDGSLDDNVESFLSHDDTDPRDPGGRCMDVSKGFTFMEVNSVRASTSKVTSCHFSSDGKLLASGGHDKKAVLWYTDTLKPKSTLEEHSSLITDVRFSPSMSRLATSSFDKTVRVWDADSPGYSLRTFMGHSSTVMSLDFHPSKDDLICSCDGDGEIRYWSINNGSCARVFKGGTAQLRFQPRHGKYLAAAAENVVSILDTEAQTCRHSLQGHTKPIHSVCWDPSGELLASVSEDSVRVWTFGSGGEGECVHELSCNGNKFHSCVFHPTYPSLLVIGCYQSLELWNMSENKTMTLSAHEGLIAALAVSPVTGLVSSASHDKFVKLWK >OMO51071 pep supercontig:CCACVL1_1.0:contig15981:19230:20608:-1 gene:CCACVL1_30026 transcript:OMO51071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESREYENDNDDITSDEELIVRRKIGGIFYSLIAAAAGIYINYYSKYLLKDDIRTSKLSGWSSSARHACFLKALEDFKDTFPHPLEGKYFVVDAGYPNMKGNVIEQSFGVWKNRWRILLNIPSYPLTKQVKLVAATMSLHNYIRKHAIKDEEFDRCNQDPNYMPCVEGESHDSTRDKGAQAQAPFAFNNASADNIMSITRDQIAESLMSGR >OMO51072 pep supercontig:CCACVL1_1.0:contig15981:29450:29518:-1 gene:CCACVL1_30027 transcript:OMO51072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCSYCKLNRSKTYRSSTTYY >OMO51070 pep supercontig:CCACVL1_1.0:contig15981:13315:16158:1 gene:CCACVL1_30025 transcript:OMO51070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKFLSSCNLYPDEMVNPDADNNHVNEESEKSSSSEAAAPSLILTLKLHRTSGKKGNQRDYVVDPVSNETSAMRKHLTKCKKMPKGANMDFNQTELTFKVKEDGSGAVGTWKFSQEAIRDTIVDMVITEEIPFNFVSSKGFKKVLVVACPRFVMPSRQTVGRNSVKRFEEEKEMEGSIGRIEESVKYIRNSNARLAKFMALAKKEGINPRLGLRGDVCTRWNSTYLMLERAIAFEKVFQQYGYEDSKFRDDLEANGGGVPSANDWDKAKKLCKVFKKFYNLTNKVSGTSYATSNTMLQDICSIMSNLKELEKYPSEDVSGMALRMRIKFDKCTMANLNKSRPWLPKSKKHLLSFLRSIESFLQPGNTPQNQVANEATTSSNVAGGGMGLDDDDVMNEFRVFKMKSGKEENLTELDKYLK >OMO51073 pep supercontig:CCACVL1_1.0:contig15981:41154:41833:-1 gene:CCACVL1_30028 transcript:OMO51073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MKVFVIARVARMWQNVYANSDTVARIDLLLVDADGSAMQAVIPNGILRWFFERISEGGVYKILRFDTVECKLYFVVIPSTYIIYFSTTTHVEEVLESVHMYSRYFFRFASFEEICLRGDKEPVLTDVIGVLTHVGEKSTVERSSGHGKVDKIDIIFKL >OMO51074 pep supercontig:CCACVL1_1.0:contig15981:55369:57444:1 gene:CCACVL1_30029 transcript:OMO51074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQELLKAFYARAALAEERLSRLEAVSVGNKDAGNQELRNVISELQAKLEDANAETLSEREKVKKLAVENEKLKYRIAHLVQAVKEADQKLESIRGHVSESTAETSSKLEKMRL >OMO51075 pep supercontig:CCACVL1_1.0:contig15981:59227:63482:-1 gene:CCACVL1_30030 transcript:OMO51075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLWGPFFPEEASSPGVGAGWQCDFYFGYGFDVIEENAMNEKSCIQVLSILIKKADTEIDELEKDLVMLQSELAWAEHEEWSDKCCNALRAKIDGLEISIRKLRNKDENDIEVFLSTHTEPAEKLHEIIKALLKSFCHEKDKQNEQPHDVVILNSTRGSPRQAADLHKNENKSASYSYVVAKEEIDGSSVIATENCASSNPFTELEEKKPETLSNANVNDLILHSLAPAADQFDEKKVITNLDLEATKKQGTKACGLTLKDKRMIQHSSSMFVHKSMHNLDKTKVKDSAVQIVNTLDLDASKLSTGHLNKKIKLSASSLKIVSEEATVRTSISEADISILDSSSNTMGKGGDLCKKVKDDQAADIIAKDLVSVASEQTPGHSNEMKKLCEHGLKVNGCKVQEHTGETKLSNSFLNHEHKGNILRSDKPANALVKTISPDTLRHETGLNGKGNNSDSRSVAFGQAESLNSDMEQKLCEFAVKSARKRGIKELKCASTDKKGPTGSISKAEGKKKGSLQVKQKEAAMSNNDHSALTSLIELQDEKDKNILEMDGKARLEEVEIAEIVARDDEPVMNLYMRPQRGKVKTVKTSVESISARVEEFSLNSKENVSDPSTVRKRLWKYADNHTLNYTLSGKIVQKKVQHRQCEAEEQSMRLDASQNMLSPPKKKCKISSVPIIVEIRGSSFQMNISKLHGDLNASTDKKDFYISEAHVDDSGAKGVIPQSFDTSKLKKMKLCDLRAIAKAKKLAKYSSLRKEDLVRQLENMLRC >OMO79288 pep supercontig:CCACVL1_1.0:contig10451:21671:21799:1 gene:CCACVL1_13783 transcript:OMO79288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRSYKEVVLQQKQGNQNVVAIAPTIENKHKEGIQSEKPYR >OMO79286 pep supercontig:CCACVL1_1.0:contig10451:220:3383:-1 gene:CCACVL1_13781 transcript:OMO79286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MALLRPTSLVFTVQRHEPELVTPFKPTPHHDHCNSVSDVNGGEAKPTPDDHGCNLVSDVVNGGEAAAKPKPTPYETKLLSDIDDQEGHRFQIRGLHLYRFNSCMQGKDPAKVIREALSKALVFYYPIAGRLREGPNRKLMVDCSGEGVLFIEADANITLDDFGDMLHPPFPCYDELLYEPAGSNQLLNCPLLQIQVTRLKCGGFIFAHRFNHTVSDAVGLIQFMSAIGEIARGALTPSIPPVWERHLLNARDPPVVTCIHDEYDFRTAANGTYIPTDNLVHRSFFFGPTQIRALRKLIPNNFNCSTFDLITACLWRCRTKALELGPDEDVRFICVVNVRYKFNPPLPLGYYGNALGYPAAIITAAKLCGNSLDYAIELVKQAKAKVTEEYMKSTADLMVIGGRPNVNTVRSFLVSDLTRARFRDVDFGWGNAVFGGPASGQPIISFCIPSKNKEGEDGIVVPVCLPEPVMETFIKELDQILKDKETKF >OMO79287 pep supercontig:CCACVL1_1.0:contig10451:5863:5946:1 gene:CCACVL1_13782 transcript:OMO79287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSHSSFFQRLPASLTTSYSFSDAAH >OMO79289 pep supercontig:CCACVL1_1.0:contig10451:32294:32970:1 gene:CCACVL1_13785 transcript:OMO79289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MATTDHPNELVVPMDQQNPTPQKPNIGLVSKSFQQHYPPGFPRKVFAEIIATYLLVFVTCGAAAISSIDEHKISRLGASVAGGLIVTVMIYAVGHVSGAHMNPSVTLAFAAVRHFPWKQV >OMO78105 pep supercontig:CCACVL1_1.0:contig10635:2708:2827:-1 gene:CCACVL1_14651 transcript:OMO78105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSSHPFGERGMKAKPIYEVSTNSHIAALEDKVDASNA >OMO78106 pep supercontig:CCACVL1_1.0:contig10635:15490:15633:-1 gene:CCACVL1_14652 transcript:OMO78106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVIYHGMVLLKRKDVKIENVLEVLRKRFSQSGSIEEKQSRVTTKS >OMO78107 pep supercontig:CCACVL1_1.0:contig10635:21305:21580:-1 gene:CCACVL1_14653 transcript:OMO78107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLNLLKFSFLVDTLLDSIKWEDKGLAVAIAQTVDPGAILMQGFINREALATTISSKKATFFSRSQASLWTKGETSNIFINVHDIFVDCDRDS >OMO94513 pep supercontig:CCACVL1_1.0:contig07851:34793:36616:1 gene:CCACVL1_05955 transcript:OMO94513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MNVLRNVEEERGSTVNKKFVKKKAEGENLIFNFLLPTIGYMDKFNFSNDLKTPVMQQVQSQYKNRLHNFYQRYLQSKDCPTDVAEDDWKWLIENKWETRKFKEQSDKNRINRGKQVMKSICGTKSIVQYADKMKDKVTGEWLNATLVWGKTKQKPSGSWVVPNGEEIMNKLVDEGEKIKEKILSAPQPLMEHFGLVLEKKTGYARGLGVKGVTLKSREK >OMO94515 pep supercontig:CCACVL1_1.0:contig07851:51650:51739:-1 gene:CCACVL1_05957 transcript:OMO94515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRFSEKRLHIAAFQKETPQNGGDFLQKRH >OMO94514 pep supercontig:CCACVL1_1.0:contig07851:45394:45615:1 gene:CCACVL1_05956 transcript:OMO94514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNNGVGRRREDERRRRKSKGENDIIFFWSKRGAGNRDKEIEKVSMAANNGVGEEEKMKEEETKVKGENDVV >OMO94511 pep supercontig:CCACVL1_1.0:contig07851:2430:2507:1 gene:CCACVL1_05953 transcript:OMO94511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRTDPSSIGTDLVGSIGFSIRVG >OMO94512 pep supercontig:CCACVL1_1.0:contig07851:3012:3098:1 gene:CCACVL1_05954 transcript:OMO94512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEVEESRGFSAKLGKKNLETQIKEP >OMO58326 pep supercontig:CCACVL1_1.0:contig14249:24075:29447:-1 gene:CCACVL1_25491 transcript:OMO58326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQISPKLLIPESFQVSRDDIAGQLGMIWELIKAPLIVPLLQLSVYICLAMALMLFMERVYMGIVIVLVKLFWKKPEKRYNFEPIQDDVELGSSNFPVVLVQIPMFNEREVYKISIGAACGLSWPSDRLVIQVLDDSTDPAIKLMVEQECQRWASKGINITYQIRENRTGYKAGALKEGLKRSYVKHCEYVAIFDADFRPEPDFLRRSVPFLVNNPDIALVQARWRFVNADECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGIWRIAAINEAGGWKDRTTVEDMDLAVRSSLRGWKFVYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVWEIIQNKKVKFWKKVYVIYSFFFVRKIIAHMVTFFFYCVVIPLTILVPEVNVPIWGAVYIPSVITILNSVGTPRSIHLLFYWILFENVMSLHRTKATFIGLLEAGRANEWVVTEKLGDAVKKAAEASKNKTNIKAPRKFRFKFTERLNTLELGFAAFLFLCGCYDFVHGKHNYFIYLFLQTISFFITGIGYVGTII >OMO58325 pep supercontig:CCACVL1_1.0:contig14249:17591:19263:-1 gene:CCACVL1_25490 transcript:OMO58325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLLLSNMYQRPDMITPGIDAGGNPIDPRKIQEHFEGFYEDLFEELGKYGEIESLNICDNLADHMVGNVYVQFREEEHAANALRNLTGRFYAGRPIIVDFSPVTDFREATCRQYDENTCNRGGYCNFMHLKRIGRELKRQLFGRYRRRRSRSRSRSPQRHRSSHDERPHGGRGHSRRYEDRDHHQESRSRRQRSRSPGHRRGRSRSPVGKRNRSPVREGSEERRAKIEQWNREREQENVNKVDNDSANDSHENGNGNNGYVQNNDESSQQQQE >OMO58323 pep supercontig:CCACVL1_1.0:contig14249:8166:10471:1 gene:CCACVL1_25487 transcript:OMO58323 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone deacetylase HDT1-like protein MEFWGLEVKAGKPIKSDPGARYVIHLSQASLGESKTKAESVPIYVTVKGKKLVLGTLAHQSCPQISLDLVFESEFELSHNWKNGSVHFLGYRTYVPPEEEYPFSEVNSFILMTEFLDEESEEELPVVAAENGKAKPDAKTAKANAAVKIEEPNKKTEDEDSDEDESDSDEDESDSDESDSESGDEMSMDDSDESDEDQDTPTPKKVASSKKRPAEAATPVSAKKAKSAATPQKTDGKKGGHTATPHPAKQAGKSSAKSPKSGGQFSCGSCSKSFGSEGGLDSHKKAKHGGK >OMO58322 pep supercontig:CCACVL1_1.0:contig14249:2708:3393:1 gene:CCACVL1_25486 transcript:OMO58322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSRNSRKEPEKNRRGRLSEKASSFHGRSPAMAPAELRRPKTLPDLFTERTISIPLDARPKLTKLLLNVTVQGSLGAIQVLMSPENTVGDLINAAVRQYAKEGRRPILPPTDASLFDLHYSQFSLESLDRQEKLMELGSRNFFLCTKKAALKDGENKTTSCSSEAEKVTKSAIPWLKFMDSLF >OMO58324 pep supercontig:CCACVL1_1.0:contig14249:13489:14997:-1 gene:CCACVL1_25488 transcript:OMO58324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTRPSISPTLLLSNMYQRPDMITPGIDPQGNSIDPRKIQEHFEEFYEDLFEELSKYGEIESLNICDNLADHMVGNVYVQFQEEEHAANALRNLTGRFYSGRPIIVDFSPVTDFREATCRQYEENTCNRGGLCNFMHLKTISRELRRRLFGRYKRRRSRSRSRSRSPHRHRRSHEERSHGGRGHSRRYDDKDHYHDSRSRRHRSRSPGHRRGRSRSRSPGGRRNRSPVREGSEERRAKIEQWNREKEQRENDTKVNNDSSNDNNENGSNGYVQNDDKYSGYQQQQE >OMO57508 pep supercontig:CCACVL1_1.0:contig14361:3520:3930:1 gene:CCACVL1_25749 transcript:OMO57508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIKPKEYPSEEAIDALFTSLEDVVASHKVYSSEQVKEALSLIEEALHMAPSKFFQTGKFSTLKQAFIVLSTSHCSSGLMVKQKTEFLAMDKNLKEVPHRVAKATQDKALLSDKESVKLTLTRDLELSTFSNKARQS >OMP11533 pep supercontig:CCACVL1_1.0:contig01195:1303:5052:1 gene:CCACVL1_00460 transcript:OMP11533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGTIANNSSKEGGATETENSENCVDISSTEKETKKITVKKANVKDPEIFSCLLQPASSDSDPDYIGIRRFLLARKAESGFHRRLDWRCNGKGYVAYRNYIRRPRNWENLQVPSHSSTPGNSGRWISTPSPLSHLYEAESWNSSRDLRSGNLTSNHRTSFSSSISDSDRPRRRGVEHAYSFVGMHCIFDQCKAAVTVLKFGHMSSDLLAYGASDGTLTVCTVSDPPSVMMQLKGHSKDVTDFDFSSNNQYIASSSMDKTVRVWELSKGLCIRVIYGVSSQLCIRFHPVNNNFLSVGNANKEITVFNFSTGRIIIKSVFDSEVTSMDHDHTGQLIFCGDEQGCIYSVRMDSHTGALSRSHRYRSNSKQKCPVTTVQYRSFSLLAGGPVLLTCTQDGSLSFFSVALEVQGYLTLRCSLKLSPRIHSIRASFCPLLSLDKGEYIVAGSEDSNVYFYDLTRPRHTCVNKLQGHRFPVIGVAWNHGENLLASSDLYGVVIVWKRAKIS >OMP11534 pep supercontig:CCACVL1_1.0:contig01195:7165:7782:-1 gene:CCACVL1_00461 transcript:OMP11534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MAPQKSLIIILSVCLLSLSGSATSTPKSLAPEELAAQLCKNVGGDAATAKKDMCIKALSHPEALSDKEAKQLMSVVMKAAEAGSDEERKQLIYVYMQAAALEAHTALNNITEMAKKPCPPAKLKALQKCKEVFSNLVVSYDMVSKLVFEDTFSAAYDVHNLSGGANDCISAMKAANLQAPHIEIANHSLQEVSNWVFELTRTFLS >OMO83414 pep supercontig:CCACVL1_1.0:contig09884:9520:13335:-1 gene:CCACVL1_11401 transcript:OMO83414 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPCR, family 3 MNAIWFLFLLSLHFGAFQIGYGRNVSSRPAVVNIGAMFMLNSTIGKVAKIAIDEAIKDVNSNLSILQGTKLAVTMQNSNCSGFVGMVEAMRYMETDVVTIIGPQCSVVAHVISHVANELQVPLLSFAATDPTLTSLQFPFFVRTTQSDLYQMTAVAEIVDYYGWKEVIAIFTDDDGGRNGVSALGDKLAERRCRISYKAGIRPGAAANDSVIMDTLVNVALMQSRIVVLHVNSIIGFKIFSVAKYLGMMGNGYVWIAADWLSSFLDSNSPLPSETMDTMQGVLTLRQHTPDSDRKRAFLARYNKITGGSPGLNSYGLYAYDSVWLLAHALDAFFNQGGVISFSNDTRIRSVAGSTLHLDAMSIFDDGTLLLNNILQSNFIGLTGRLKFSSERSLILPAYDIINVIGTGFRRVGYWSNYSGLSTVAPETLYTKPPNRSSASQHLYSVIWPGETLSKPRGWVFPNNGKQLRIGVPDRFSYREFVSRVSGTDTFKGFCIDVFTAALNLLPYAVPYKFIPFGNGHENPSYTELVNKITTGVFDAVVGDIAIVTNRTKVVDFTQPYVSSGLVIVALFKKQNTGAWAFLRPFSARMWIVTGSFFLVVGIVVWILEHRINDDFRGPPKHQVITILWFSFSTLFFAHRENTMSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIESLIHSDDPIGYQVGSFAEHYLSEELNISRSRLVALGSPEAYASALKLGPGRGGVAAVVDERPYIELFLSRQCSFRIVGQEFTKSGWGFAFPRDSPLAIDMSTAILALAENGDLQRIHDKWLMQSPCSLDSTEIESDQLHLSSFWGLFLICGIACFVALLVYFLQLLRRLHSVPPSESSSVGGSSRSGRIQRFLSLMDEKEDQSKSGQKKRKVEKSLSENDRDDELGMKAKRKETTTSNSFTGHS >OMO83412 pep supercontig:CCACVL1_1.0:contig09884:2399:6183:1 gene:CCACVL1_11399 transcript:OMO83412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLVDRVSEKVHWSFASGRPIYSSYQAFHDHDNDKINASGSNTDLYVDCGDDLQLYVHSRSRGRLKKLELSAEEYVRRTPYIAEDGGITLGVKKTTVYLVDANSGKLVQTYRLDDPLSTLDVQNDAGKVVVWAKDADVLLESGPVNSTAVQQLVYIMRTDYVLQYNSPTSGEVLWNVAFAKIDAEFRCLGSENKLFVDYMRESELQLPCKMKPAVIQIRDHKLLDSLPVFGWLDGIIPLPASNPNPRLPPADVLPLALPSDMPWLALPSSEMENPLMLDNSNMSITSRSADVMLSYSIQSVIAFFATLLTIAGLAFFRLRQGTGSKQEQELKLQSVAHKKKKAKRYGNGKSSATNEKRKKLVSEGNTVGNTNRLPYTEGSESKSLLTFTDLVDGRVDGRRIGKLLVSNKEIAKGSNGTVVLEGIYDGRPVAVKRLVQTHHDVALKEIQNLIASDQHPNIVRWFGVEFDQDFVYLSLERCTCSLNDLIYICSESFQNQTIDKDEDSKFFNGYNVRLRNLKENNKEIELWKPNGCPSHHLLKLMRDMVLGLAHLHELGIIHRDLKPQNVLIIKERSLCAKLSDMGISKRLIGDMSSLTLSATGYGSSGWQAPEQLRQERQTRAVDLFSLGCVLFFCITGGKHPYGDSFERDVNIVNDRKDLFLIESIPEALDLFSHLLDPNPEMRPRAEDVLHHPLFWSSETRLSFLRDASDRVELEDRENESDLLKALESTAAVALDGNWDEKMETAFINNIGRYRRYKFDSVRDLLRVIRNKLNHYRELPQDIQELLGPVPEGFDGYFSSRFPKLLIEVYKTSEPVNVNVNVMKQSTDSRVN >OMO83413 pep supercontig:CCACVL1_1.0:contig09884:6750:8228:1 gene:CCACVL1_11400 transcript:OMO83413 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MAPLNLLFFLILIISPFPHVSSVGINYGTLGNNLPSPKKVAQLLQSTLIDKVKIYDTNPEILQAFSNTKIDLTVAVENYHVSNISKDAAAADEWFATRVAPFIPATSVVAICVGNEYLTTDDNNLDPDALLQAMHNLHAVLLKRGLDRKIKVTTPHSMAVLASSFPPSASTFSTKLLPTMSSIVSFLADTGAPFMVNAYPYFAYRDNPSTVDLEYALLGNSTGVHDPKGYLYHNMLDAQIDAVRAAIDAVGFGNMSMQITVSESGWPSKGDPGDIAATPENAKTYNTRLIERAQSNKGTPMNPKEKIEIFVFALFNENKKQGGPSERNFGIFNGDGSKVYDVDLSCQFCSGGGSFEKMSTSGARGPSVWCVAKPHADEKVLQAVLDFCCGPGGVDCREVYESGNCFEPDKLHAHASYAMNAYYQMHGRNYWNCDFKGTGLVTFSDPSYGSCRYPQQ >OMO50824 pep supercontig:CCACVL1_1.0:contig16049:1363:1440:-1 gene:CCACVL1_30228 transcript:OMO50824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GMERRPLDWRLHVYLARSSDIDHRF >OMO98088 pep supercontig:CCACVL1_1.0:contig07164:14873:18376:-1 gene:CCACVL1_04342 transcript:OMO98088 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing protein MSSELKTIHMLITIRSSSKNIADAALFAPAADAVAKLGTEYALPYVSYFFNYDNIVKDFKMKKVELEMKIDQVKDDVVEATMQTKEIKKYVNEWLEKAEKELGEANSLADSIDKGNKCFNWCPNWGWRGGETTLARVVGRDAREGKLFDQVVIVTMSQKPNINMIQERIAEDLGCFKFETTTEEGRAKELWQRLKSEKKILIIVDDVWKEIEWKTIGIPVVGKSLKIYESPHEWRAALQRLRDPANKDNEEIYSRIELSYDYLRDENIQSCFLLCSLFQEDVDIGIEELTICAAGQGLFGNVLMEDLRGQTRVALRKLQKSGLLFESDEGEGFVRMHDVVRDFAHWITLRGENKFLVKDGLTVTELWLMSESFKFYTAIALWNIKIKSVPEKLDFSKLKILLLEEAGENSLSIPSNFFERMNCLRVLLLGNVTFSSDALQFLPDLRALILWRCKLIKSISSLRHERKLNKLEILQLYHTINELPEELVEFCTSLKSLHFEADEELCNFSPNMVSR >OMO98089 pep supercontig:CCACVL1_1.0:contig07164:45611:52603:-1 gene:CCACVL1_04343 transcript:OMO98089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRSTEEVTNLTISVQTETIAPLY >OMP02179 pep supercontig:CCACVL1_1.0:contig06301:481:582:-1 gene:CCACVL1_02893 transcript:OMP02179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLSGPISDCFEFVFDFANHKAFLQIVYGLTIIIL >OMO77469 pep supercontig:CCACVL1_1.0:contig10747:2536:2691:1 gene:CCACVL1_15001 transcript:OMO77469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c heme lyase subunit CcmH MAAILLLLVMAMWTVTIGSAGVVLVVLPSCRLGFRLVLVEMAVSCQISCQN >OMO77471 pep supercontig:CCACVL1_1.0:contig10747:6228:8697:-1 gene:CCACVL1_15003 transcript:OMO77471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase superfamily MEDSLGGASLPSGPDGKKRRVSYFYEPTIGDYYYGQSHPMKPHRIRMAHNLIVHYALHRRMEINRPHPAGPADIRRFHTDEYVQFLNSVSPESISDPAFSRNLKRFNVGEDCPVFDGLFGFCQASAGGSMGAAVKLNRGDADIAINWAGGLHHAKKSEASGFCYVNDIVLGILELLKVHRRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKFGDFFPGTGHIRDVGVANGKYYALNVPLNDGMDDNSFRSLFRPIIQKVMEVYQPDAVVLQCGADSLSGDRLGCFNLSVKGHADCLRFLRSFNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPDNNLPYNEYYEYFGPDYTLHVEPSNMENLNSPRDMEKIRNTLLEQLSKLTHAPSAPFQTTPCTIKLPDEVEEDMDDRPKPRIWHGEADAYESDPDDDVKPSHRFSNSAEEPTYDTEMSDATDLKEVKSEPPS >OMO77470 pep supercontig:CCACVL1_1.0:contig10747:4538:5557:-1 gene:CCACVL1_15002 transcript:OMO77470 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative spermidine synthase-like protein MSNKVILDGLISYQIRPLIPFPIQIALHTHTLKPSHFSLKGRAPPKPIRLRLPPPKYDQTHQPRPRPQTNTQDDGIPIEDVKTIAKFKTKHNYIRVVEVSRRADHPFAGSRLLLLDAPGNIHSISFLFKLLTNTYFDVFATLPPIIPPGPIGILGFGAGSTARLILELYPESIVHGWELDPSVVEVGREFFGLGKLEKQYPERLYIYIGNALKASIKNGFSGILVDLFSKGSLIPELQDPNTWQILKKNLRKDGRIMVNVGGRCVEAEDSRRDGKVVMEETLKAMHKVFGEKLHVLSLGNRKDDSSLALTGELPDLEAWKKALPRSLQFYVDMWAPFHG >OMO64591 pep supercontig:CCACVL1_1.0:contig12788:26286:35568:-1 gene:CCACVL1_21651 transcript:OMO64591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPLVPSTCGNSGLKDGSGMTEPVKAKAMDSRGSEQKSWTLLLRCKPTIIN >OMO64593 pep supercontig:CCACVL1_1.0:contig12788:43361:46687:1 gene:CCACVL1_21653 transcript:OMO64593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKVLVLLVLASVVVVVKTQQPTFNDDVLGLIFFKAGLEDPNAKLKSWSEDDNDPCNWVGVKCDPTSYRVTELHLDGLSLSGHVGRGLLRLQFLQVLSLSNNNLTGTINSELSRIGSLQIIDLSGNSLSGSIPDDFFAQCGSLKSVSFARNNLTGQIPDSLSSCSMLVWLNFSSNQISGQLPSSIWYLRSLQSLDISGNLVEGEIPQGMGNLYDLRQINFGNNRFSGRLPGDIGSCSLLKVVDFSENYLSGGFPDSMQRLNSCTVISLRGNSFRGSVPGWIGELTSLESLDLSANNFTGEIPSSLGNLQFLRELNLSMNQFTGALPESMANCFNLLALDVSQNLLTGNVPSWMFKKNEKGEINSVNSFIGSMENPWLASREPSYQGLQVLDLSSNALSGELPSNFGVLSSLVFFNMSRNHLFGSIPASIGELKATEVIDLSDNKLNGSIPSEIGGAVSLKELRLQRNFLSGKIPTQIVHCSSLATLILSQNNLSGSIPPAIANLSNLEYVDLSLNSLTGSLPKELANLSQLVSFNISHNHLNGELPTGGFFNTIPTSSVSGNPSLCGSVVNRSCPAVHPKPIVLNPNSSDSIGGSSQNHHRKKIVLSISALIAIGAAAFIVIGVVAVTVLNIHVRSSMARAPTALTLSGGEEFSCSPTNDPNYGKLVMFSGDADFVAGAHALLNKDCELGRGGFGVVYRTILRDGRSVAIKKLTVASLIKSQEEFEREVKTLGKIRHQNLVALEGYYWTPSLQLLIYEFIPSGSLYKHLHDEHSKSSLSWRQRFHIILGMAKGIAYLHRMNVIHYNLKSTNVLIDSSGEPKVGDFGLARLLPTLDRCILSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVITGKRPVEYMEDDVVVLCDMVRGALEDGRVEECVDGRLRNNFPAEEAIPVVKLGLICASQVPSNRPDMEEVVNILELIQCPSDGQEELE >OMO64594 pep supercontig:CCACVL1_1.0:contig12788:49549:54598:-1 gene:CCACVL1_21654 transcript:OMO64594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSTITITIFLIIIFADVSNASSFWKLRYLADESPTKDNTTAAAPPNSSSPSASPGEKKLDPKADSPSKVDLNSLNKTDSSTPPPEEKKDSKPSAEPEKVSPPPQKETNGGSNSSSSSSSSSNSNNDKPMKDTEKKKKNADSGTNTNNTETGKGVETKEEKKKEEKTNGDESGSKSGTAETCDGVANSCSDGNFLHACIKDFGTAIYGHAWAIYVQIIKYAGLSGAIQWSSELVVLVQNIGENPLSVSLSGLSDESKELSVSKHGSEKVNISLTVIKSSKLVLSAGKGDCELLVNPSVSEGNFIFNLPSYDTLVTPVNGAYFLIVTVVIFGGSWACCMCRRRRRHDGIPYQELEMGLPESMAADEAETAEGWDQGWDDDWDEDKAVKSPAARHVPNISANGLTARSSNRDGWENDWDD >OMO64590 pep supercontig:CCACVL1_1.0:contig12788:14962:25970:1 gene:CCACVL1_21650 transcript:OMO64590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFELKSSWRHLAFPSVEKGVGKKVLDLDNDFEVDFQDVKDEESDFDDFDDVDDVLADIKPFSFSFISSNFAAIFL >OMO64592 pep supercontig:CCACVL1_1.0:contig12788:41135:41876:-1 gene:CCACVL1_21652 transcript:OMO64592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTKAVKLLKDSNTTRPAYPFYFVFPRQTRGAAPPWLSRPSGAASRGRAVVVGRLRRDKGQK >OMP04898 pep supercontig:CCACVL1_1.0:contig05576:6958:9988:1 gene:CCACVL1_02110 transcript:OMP04898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRPTMINLLSAGQSPAPIKRYCLFYMVPGQKCRVEAEVTEVDTTNGFTMNAAKGGGVSCTQHGPVAPRLVMQLTMMIKDHSADLEVTIFGILAEKLIGVSLARELSERTIHPTRLPSTTKDITGTESVDDHRNQATRERANHCNQRQSPTPTYWIYNAHKIFPRCLDLFEPSYVSRQLFGMKCD >OMP04897 pep supercontig:CCACVL1_1.0:contig05576:891:3339:1 gene:CCACVL1_02109 transcript:OMP04897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MALLQAGCRNRAILLFSLLVITALFNGNSALEARVYRYKWKVKYEYKSPDCFKKRVITIKGQTPGPTIRAKQNDSIIVELTNSLSTENVSIHWHGIQQIQNGTEGVTLPGTTFKYQFVVDRPGTYLYYAHDGMQREAGLYGSIIVALPKGKSEPFPYDYDRNIILSDWYHNSLSAIPFQWVGEPQSLLIHGRGKFNCSALTSSEPGVCNATNPECSPFRLIVVPGKTYRLRVSSLTALSDLSFQIEGHNMTVVEADGHNVEPFVVQSLFIYSGETYSVLVKADQDPTRNYWITSNVVGRERKTPAGLANFVYYPNHPTRPPPTTPPIPPAWNNTAPRIEQSKAIKARQGFIHTPPPLPDRVIILHNITDLRNRSVRNVSFTPSQIPNLIMDMDNLTRAQQGCDFLNYDIFIVKSNATSNNGSKLTVDIILENAATHTHVWNLNGSDFWVLGYGEGKFDMINDTGNYNLVNPAMKKTVPVHPNGWTAVRFEVDNYNYSGAQEAQELHRRHAYGLSHF >OMO69384 pep supercontig:CCACVL1_1.0:contig12050:6264:6518:1 gene:CCACVL1_19536 transcript:OMO69384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVRGQIAPEKCFADNPWLEFPIKLTPYGHIMDPQIIVFRLAMNKQNLVRTHSVHNINMPCLVHDVVHTPTVATVDRFQRAPS >OMP03555 pep supercontig:CCACVL1_1.0:contig06043:23857:30029:1 gene:CCACVL1_02371 transcript:OMP03555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKRKHFSEAACSSSSNLKYQVFLSFRGEDTRKNFTDHLYTSLVNAGINTFRDDDQLQRGKIISSELLKAVQQSKISLVIWTSSKGGGATHPIAIQSRTEIVLGLLSMESPDVGIVGIYGMGSIDDRLKDMFLDIACFFIGMDEEYVMTILKGCGYFPVIDVRFLVERSLLIIEHHTMRMHNLLRDMGREIVREMSPSQIGKSSRLWFHLDSLDILRKHTGTKAVEGLSLDVSAAKDAILIETEAFANMINLRLLKINSVHFTTTRYENFSKELRWLYWHRCSLQVLPPNLDLGSLVVLDMRFSNFRKVWKETKFLDKLKILDLSYSIYLVETPNFAELRSLERLQLEGCTSLTKVHQSIGDLKRLLFLNLAECNNLKELPDSICKLKSLQTLNLNGCSRLNNFPEDLSWLEALRELRANRAVHINHYIPKAIIGENVWCFLITNCPTIDIDGWDLRYWSPRRDMISWRHAEISPPLPYVNDNEIPLKEGVSSPKSRYLVACFPAREVLIWYQELDSNEIFFPTLCSSSLDPMASESSSNSSTTPTINTNAQTIQQNNGLPPLITINAAAQLPIKLSSQNFPSWRAQFTSLLTVHRLSGYIDGSKPCPPPLIPSEKDANVEIPNPAYDHWIQQDQLLLHGIIASATETVIPFFASCTSSKAAWTKIQNMYANRSRSRMMQLREKLIQPRGSRTVQEYFQLIRHTADELALINSPIHDDELVIHVLNGIGAEYREISAGIRARESPISFDELLDKMTEYEAFIQRQTSTDAKIICQICDKYGHIAKTCNKLRQKQESGNVSAHTATIQKGSSNWLLDTGASHHVTSEARSLQDLEKYGGNDELVIGDGSGLPITHTGSISIPTKHKQFHLHNVLLVPNVDINLCLVSKFCKTNSASVEFFPHSYAVKDLFSRKIIAQGRSREGIYELQSADPIIKTPSLAFVGTKTSSNTWHQRLGHPNSKTLNFLIKNFSLPCSNKKINSLCNSCLCNKIHKLPFASSTITSSGPLEVIYSDVWSPSRVTSVKDFRYYVSFVDHFTKYTWCFPLKQKSEVLHVFTKFKTSVEKFFKLDILTVYSDCRGEYEKLKPLEELRALETNGTWTLVPPPANANVVKCKCVFRIKRNPDGSISRYKARLVAKGFTQRPGLDFNETFSPVVKPITRPGGGLFLSQHKYVADILAKTDMAGAKAANSPMSTTKPLHLHDGSPAADAETYRKIIGSLQYLCLTRPDITFAVNRLSQFMHKPTQQHFAALKRLLRYLKGTIWHGININKETNLSLTVYTNSDWAGDPDDRRSTSAYIVQLGTTPIAWCSKKQSTIARSSTEAEYRAIATAVSEVLWKQSLLSEIPLQIVNSHVTICKKKVKILTKLMISNT >OMP05834 pep supercontig:CCACVL1_1.0:contig05193:263:343:1 gene:CCACVL1_01817 transcript:OMP05834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIAKDSHYASKQQGIAKAVIKLKHIA >OMO96518 pep supercontig:CCACVL1_1.0:contig07451:13753:16582:-1 gene:CCACVL1_04918 transcript:OMO96518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MENRRLMYNRNYPSRGGIRQEFLDGVVEFVEFTTRQPQYMSGDKIRCPCYKCENRLFLDSEDVSEHLCHRGFMGKYWNWTAHASDQPLWIGCDRVTRSRETQLSAVSRLLTIKSDSNISEATYNDMCDAIRNMLPVDNTLPQDFYHHKKLVRNLGLPVVKIDACPGGCMLYWKGDAELTDHCKFCGKSIYKEGRVFRLQPPPKQTTTSLPANVHPPPENNDEEEEQEEDEMDEEGVDCDELDHDLEAEEDAPDVQHDQQQQPVKLPPYPSPREPPMEPLPCTEDSEVPPLEISDNMLYGYDFHFWRILTGTIEGYYHSEKMTFFFYEQNKKNRNANGFSSTSGYRGGRVSAYTHRQRLTIEKKRNPTRVEIYKRTHGRKDGTYPSGQTAITMKKFEDALQRAKDAARGDQEALRAIDEDAIFDEVAGGTIRVVA >OMO62746 pep supercontig:CCACVL1_1.0:contig13174:444:5747:-1 gene:CCACVL1_22658 transcript:OMO62746 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MTRTTTDSQALIPYSPEIEKLCKFNRKQAKLEKQKQKEVMGDQEVDPKPVGDYAIPSITNARTSIARPTIWAQNFEMKPGLITMVQQNPFDGRPTEDPFLHITNFQEICDTVKIHNVTDEAIRLRLFPFSLRDKAKAWLNSFPPCHFKTWNELTTAFLTKYFPLERTNKLRTDITSFRQRDNETLHDAWERFKDLQRKCPHHGVPDGGAILRKPIAEALELMETVASNNEESYGERDGSKRGLHSVDSVTMLNVKLDFIVTMLNKANINAISNPSNPSMSCEWCAEYHDSSECPQLEQAQYVANTGRQQNNPYPNTYNQGVRNHPNSSWGGQGASSSRPVGQPGYQQPKQQFQQTLQPQAPPPQASAFENRLDKIEELVMQMTKSNQTAIQNLEVQVAITLRSGKLVETDATKEKTVKSTPKETELVDADADEIVSSKEKLEEYSTVPLTEECSAILQRKLPPKLKDPGSFIIPCYFGELSVVKCLADLGASINLMPLSLYKKLGLEGLKPTTIALQLADRSVRYPVDIVEDLLVKVGKLIFPVDILVMEMEYDADVPVILGRPFMATAHALIDVAAGKLTLSIGEDKEESSIFKAMKSLPFEDSCFRLDVLDESVNENMVKMISDDSLLPCLTLSLGEEEVVGNFQDYVARLEGIGSFNKCLAIEEFRQRKPKLLPSFQAPPKLELEQLPSHLRYVFLGESNTYLVIISSSLSSVQEEKIVRVLRKHKMAIAWTIADIKGISPSVCMHKILMEENNKPSVEHQRRLNPNMKDVVRDEVLKLLDAGIIYAISDSPWVSPVQVVPKKGGITVEHNEKNDLIPARKQTGWRVCIDYRKLNAATRKDDFPLPFIDQMLERLAGHAYYYFLDGYSGYNQIYIAPEDQEKTTFTCPYGTFAYKRMPFGLCNAPATFQRCMMSLFSDMVEKIIEVFMDDFSVFGPSFDECLSNLEHVLKRCEETNLVLNWEKCHFMVQEGIVLGYKVEKGTYLSDPIMVAPDWSLPFEVMCDASDYAVGAVLGQKQDKRLHVIYYASKVLDNAQINYATTEKELLAVVYAFEKFRSYLVGSRVVVYTDHSALKYLLIKKDTKPRLIRWIPLLQEFDLEIRDKKGSENLVADHLSRLEQEDKVDDVPINDSFPDENLMAVKDANVPWFADFVNFLVSDEVPLGLNHHQKKKFFSEVKHYIWDDPLLFHRCADGVIRRCVPKEEMVSILTHCHSLPCGGHHGASKTAAKGLDFMGPFTLSGKNRYILVAVDYVSKWVEVVALPDNLGSSVVKFVQKNIFSRFGVPRAIISDNGTHFQNDQFRTLMRKYGCTFKSGTTYHPQTSGQVEVSNCEIKAILEKTTPLGMSPYRLVYGKSCHLPVEIEHKAYWAVKAINYDLKSAGEKRLLQLNELEEIRRDSYVNARIYKERTKAWHDKNILRREFKVGQKVILFNSRFKLFPGKLKSRWSGPFVVTKVHPYGAIEIEGDEKRPLVVNGQRLKHYFEGEFVRYVHKITFSE >OMO51737 pep supercontig:CCACVL1_1.0:contig15731:2178:2802:1 gene:CCACVL1_29620 transcript:OMO51737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDFIICLWVFFFIEKRFSSIIGYDVKIKDWESFFGQLGVVMFGLRFSSLTILKQNPV >OMO64386 pep supercontig:CCACVL1_1.0:contig12833:30556:31402:1 gene:CCACVL1_21804 transcript:OMO64386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MSQLMDKAKTFLAEKVANTKTPEAAVKNVDLKNVSRECVEYKAEVSVTNPYSTPIPICEITYVLKSAGRQIASGTIPDPGSLKGSDVTMLDVPVKVPYGILFSLAKDIGADWDIDYELELGLIIDLPLIGNITIPLSQKGEIKLPTFSDFFA >OMO64382 pep supercontig:CCACVL1_1.0:contig12833:6424:14371:1 gene:CCACVL1_21800 transcript:OMO64382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQVSLKLLSSKPGPARHWGSGRPGLDMQDVYYLPTQSLARTQPDPNHEQAYFFSDTPHQPSSSSSFLLMAEIADMNGMKTQMPLKRFTVSLVFWMRILPCSMIIPMITTARAVTPVKTPAPSSHLRSKSKDMRNFF >OMO64383 pep supercontig:CCACVL1_1.0:contig12833:17394:18519:-1 gene:CCACVL1_21801 transcript:OMO64383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPEEPLPHVQIFGNYPSTPARLGRIAKDPRFERLPCAT >OMO64385 pep supercontig:CCACVL1_1.0:contig12833:24843:25784:1 gene:CCACVL1_21803 transcript:OMO64385 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MDGQESNQSAPPLPPAAPPLLSPLTTPFLFATPPLPPSSSSLHPPLLETHQILPDIDWVSLLSGQGLMGENIYKPMNIESASSSSLMAENGGHDHQDDKLGINKDKRKGSRIKKTTRPRFAFQTRSADDILDDGYRWRKYGQKAVKNSIFPRSYYRCTHHTCNVKKQVQRLSKDTSIVVTTYEGIHNHPCEKLMETLTPLLKQMQFLSRF >OMO64384 pep supercontig:CCACVL1_1.0:contig12833:18984:23604:1 gene:CCACVL1_21802 transcript:OMO64384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIEYFINRAQGPHCHTGLLACPSARLVYPSAAHLPWLVVSRCYSHIVEALSRTLGFDSTMRAAEGLG >OMO64387 pep supercontig:CCACVL1_1.0:contig12833:38360:39019:1 gene:CCACVL1_21805 transcript:OMO64387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MVEGDQARPLAPASDQLGSDEEEAALHIKKLRRKKCIKCCACISALLIIQAVVIIILIFTVFRVKDPVIKLNGFTITKLELINGTTPKPGSNISLIADVSVKNPNLASFKYTNTSTTLYYYGTVVGEARGPAGRAKARRTMRMNISVDMITDQILASPNLSGDLSSGTLTMSSYSRIGGRVNIINIIKKHVTVKMNCSMTVNISSQAIQQQKCKRKVDL >OMO64388 pep supercontig:CCACVL1_1.0:contig12833:42204:42776:1 gene:CCACVL1_21806 transcript:OMO64388 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSTSPPSAPNYLTNLGLGYSIAIALGFLVLLSTILLASYICCRSSSSPRAFSPNPTTAVSAASPNSDGIILPRIIFVAEDDDNESIVVGLDQAVINSYPKFQFSKEAAAAGSSNVNTTCSICLCEYRDLEMLRMMPECRHYFHVSCIDAWLKLNGSCPICRNSPLPTPLSTPLSEVVPLSQYAADRRRRR >OMP03366 pep supercontig:CCACVL1_1.0:contig06089:9466:9894:1 gene:CCACVL1_02456 transcript:OMP03366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHFRVIDALVNPERPVAVRVNWTVEEEGNLLDCVLTVPNVNQFLSGIDYRRLEQLFNQICPGKNLGRVAISGKLKAFKRDFIHASEMLANGFTFNNETKMVEGPKELWEAWLQQHDAHARLKIKAIPHFNVLQVIFNPRLH >OMO97073 pep supercontig:CCACVL1_1.0:contig07297:39:5544:-1 gene:CCACVL1_04663 transcript:OMO97073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCPIRNLEGDFRSDDPTVGSTPKYIMLFSYSSPPKPLKSLLIRKPQSPKTQVRLN >OMO97075 pep supercontig:CCACVL1_1.0:contig07297:11146:14001:-1 gene:CCACVL1_04665 transcript:OMO97075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVNDVRDAFGSLSRRSFEVRLPGHHRGKSQSSVLELDDEPLVTHNSRWASLPPELLRDVIKRLEASESTWPARKHVVACAAVCRAWREMCKEIVRCPEFSGKITFPVSLKQPGPRDGTMQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKVRSNFLGTKFIIYDTQPPYNNAQLSPPGRSRRFYSKKVSPKVPTGSYNIAQVSYELNVLGTRGPRRMHCTMHSIPTSALEPGGIVPGQAELLPRSLEDSFRSISFSKSIDNSSEFSSARFSDIVGSRDDEEEGKERPLILRNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAANQPAAGAPTPSQPAQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >OMO97074 pep supercontig:CCACVL1_1.0:contig07297:10314:10424:-1 gene:CCACVL1_04664 transcript:OMO97074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPTSLLYHLSPKTLCFKSFGFYLSSMAIHHHHYH >OMO62850 pep supercontig:CCACVL1_1.0:contig13138:1463:2425:1 gene:CCACVL1_22604 transcript:OMO62850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M10, metallopeptidase MASSKILPFLGAFLLIVLLLQPISIQSRPTKNPTKNAFEFIKSLQGCHKGQKVKGLKNLKGYLHKFGYLSYHQEANKNKSRNIHANDDDFDDLLESAIKTYQQYYHLKVTGDLDAETVNQMVQPRCGVPDVVNGKKVHHHSHDDSLHTVGHFRFFPNRARWPDSKTDFTYRLTSSAQVPEPDNIRSIISRAFERWSKVTRFTFQEVSESSTSDIVIGFHRGSHGDNAPFDGPGGVLAHAYAPTRGIFHYDAQENWSSNPVAGSRQVDLESVAVHEIGHVLGLHHSEVRSAIMYAYYQPGTTKRDLDADDIQGIQTLYGLQ >OMO62851 pep supercontig:CCACVL1_1.0:contig13138:14171:15136:1 gene:CCACVL1_22605 transcript:OMO62851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M10, metallopeptidase MASSKILPFLGAFLLIVLLLQPIAIQSRPTKNPTKNAFEFIKSLQGCHKGQKVKGLKNLKGYLHKFGYLNYHQEANKNKSKNIHANDDDFDDLLESAIKTYQQYYHLKVTGDLDAETVNQMVQPRCGVPDDVVNGKKVHHHSHDDSLHTVGHFSFFPNRPRWPDSKTDFTYRLTSSAQVPEPDNIKSIISRAFGKWSKVTRFTFQEVSESSTSDIVIGFHQGSHGDNAPFDGPGGTLAHAYAPTRGIFHYDAQENWSSNPMAGSSQVDLESVAVHEIGHLLGLHHSEVPSAIMYAYIQLGTTKRDLDADDIRGIQTLYGLQ >OMO55486 pep supercontig:CCACVL1_1.0:contig14681:4752:7071:-1 gene:CCACVL1_27220 transcript:OMO55486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYYDKRGTKAKRKGPNCYSEIIMVFLLKGVPHDEKGRGVLMYGKPV >OMO55487 pep supercontig:CCACVL1_1.0:contig14681:17843:20724:1 gene:CCACVL1_27221 transcript:OMO55487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASALSSSLKHHLAFGLRFPQNHALCRCNAARLTTSRSFTVAMAATPTSPLEVCVKASVTTPNKLGDCPFCQRVLLTLEEKHLPYDMKLVDLGNKPEWFLQISPEGKVPVAKLDEKWVPDSDVITQSLEEKYPDPPLVTPPEKASAGSKIFSTFIGFLKSKDPSDGTEQALLNELSLFNDHIKENGPFINGEKVSAADLSLGPKLFHLEIALGHYKNWSIPDTLPYVKSYMKTLFSLESFIKTRASTDDVIAGWRPKVMG >OMO55488 pep supercontig:CCACVL1_1.0:contig14681:21248:22300:-1 gene:CCACVL1_27222 transcript:OMO55488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MASSSNNTLSSFNFDMPFANLKPVVEKLQAIDVANSSSQPLIPEELLTISKENPLLTFQFEEYLVMKAKQVNKSLDEAVPLQHPVKIHEAMRYSLLAGGKRVRPILCIASCELVGGDESLAMPMACAVEMIHTASLIHDDLPCMDNDDLRRGMPTNHKAFGEDTAVLAGDALLCLAFEHIACKTRNVSPDRVVRAIAELSSAIGSQGLVAGQIMDLESEGKEVVDDILDVTKSSKELGKTAGKDLVSNKATYPKLMGIEKAKKFASDLMIQAVQELAYFDAARAAPLYHLAYYIANREN >OMP12189 pep supercontig:CCACVL1_1.0:contig00303:130:5680:-1 gene:CCACVL1_00086 transcript:OMP12189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GENEVVGGYLFDYNCSIFSTTVIMMPLNRLGCVVFDPTSSSDLGLPSLLFSLSRKDCKGRHFGSPRISSVFFDPISSGHPLSLPFSVVLPLSK >OMP01066 pep supercontig:CCACVL1_1.0:contig06541:22679:23611:-1 gene:CCACVL1_03175 transcript:OMP01066 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dienelactone hydrolase MRGQRVRCSAVEVENDIDDEACELVNGVELSIGEGDDDNIQAFLLKAVKNNNGTGVLLLTDIFGVLVPDLFRGDPWGKGQPKAMFAQWLASQDPKRVARDIETSTKWMVDEFTAAGLSKKLGIMGFCFGGDRVIDVLAVDEGGCFSTAVSSYGIRMDLSAASKVKVLVLFISGDNNPLCPVSVLNEFEKGTGRGSKVVIFKGRGHAFAHRPGSPEEDVDAEQAL >OMP01065 pep supercontig:CCACVL1_1.0:contig06541:14009:17032:1 gene:CCACVL1_03174 transcript:OMP01065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKKEMVPEKYDVNAKWDACLDLTVRRVVYSSLAGAFGGLLFFRSPVTRWASVALGAGIGIGSAYTDCSRAFDGSPAKLASPKLADAPAPQDAQE >OMO67389 pep supercontig:CCACVL1_1.0:contig12442:13952:16990:1 gene:CCACVL1_20557 transcript:OMO67389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60 MASANALSSASILCSPKQGSLRRRGNQQQNQRVNYRQGNSRFAVRASAKEIAFDQSSRTAMQAGIDKLADAVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLARELIKLGLLSVTSGANPVSLKRGIDKTVQGLVEELEKKSRPVKGRDDIKAVASISAGNDDLIGSMIADAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNPEKLICEFENARVLITDQKISAIKDIIPLLEKTTQLRAPLLIIAEDVTGEALATLVVNKLRGIINVCAIKAPGFGERRKALLQDIAIVTGAEFQASDLGLLVENTTVEQLGIARKVVISKDSTQLIADAASKDEIQARVAQLKKELAETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAALVHLSTAVPAIKEKLEDPDERLGADIVQKALVAPASLIAQNAGMEGEVVVEKVKDSDWEIGYNAMTDKYENLLEAGVIDPAKVTRCALQNSSSVAGMVLTTQAIVVEKPKPKAAAAAAPQGLTI >OMO67387 pep supercontig:CCACVL1_1.0:contig12442:2804:3436:-1 gene:CCACVL1_20555 transcript:OMO67387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MATKKMGLEMVMSNPLTRKPRSLSQNKPKNKLSTATKTATITKDRHAKVDGRDRRIRLPPVCAARIFQLTRELGFKTDGETVAWLLRKAEPSIIAATGTGISLPPPPPSPAPFSPGLVPSSSSSGSLLFPSPSDYSPCLSFCEPKVVTETDDDQNEGKLSKKKSVTREAAALPPFEYDLVPNFELEFSPNEIAMLQSVTATTHDDFDKQA >OMO67388 pep supercontig:CCACVL1_1.0:contig12442:5388:11635:-1 gene:CCACVL1_20556 transcript:OMO67388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVREKDRHERENNQVEEGVVLERQSDFEGASTGNMDDVPKSMNRDIDRKKRKEDNGEMKKDRIKKRKLVFDADGEESHKAQKKHKKSKDGTDEVKEASRETCSVGKENVKQESSKEMYLENIFELEAKRVRKAKHKNKNKDRNDAAAIATEDLESSEMQEVVGLRNSCRFEDINKMVHGKEGEFRKNKKKKKQKPEKVGGDLSGCITVMSSMTDENETNKKKSKCHKTGSDGNDHASFNGIEDQEVACCNGKEDSAMNEAQCRNGGNVDVRNAEDVNRGMEKKSKSVKGKKEGKRLKADSRTRKSVNTTNESENATPKERSKRVSFSDQVEVFPHADVTDADQDGKDGFVYARRYSKEEDTIIMDAVANYVESHNLGDDGIHMIMNCHQYPEIRNCWNEIQAAIPWRPKDSVYRRGHVLFERDEKRRWTPEEYELVQKFVEKHGPKWKMLADTLGKHRHHVKDTWRRIRQTNINRGHWSQQEYQSLFDLVNLDLSMKAFEEKKSKHGMLRDNICWTAISDKMETRGFVNCCKKWYESLTSPMVAEGIWADADDYRMLDALSSLDACCMEDVDWDNLLEHRSGDLCRKRWNQMVRHIGPHRDQPFNEQVETMSKRYRPDMVDAREAIDSKQPVVVMTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTLPTFYGTLPIVRTILIREKISLVHGHQAFSTLCHEALMHARTMGHKVVFTDHSLYGFADVGSIHMNKVLQFTLADVTRAICVSHTSKENTVLRSGLPPEKVFMIPNAVDTAMFKPAQERLGHDEIVIVVISRLVYRKGADLLVEVIPEVCHLYPNVSFLDHGISDKIMDRVEMLGAVPHASVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMVVLAEPDPSDMVQAIKKAISILPKIDPQVMHERMKRLYNWNDVAKRTEIVYNRALKCSDQSLLERLSRYLSCGAWAGKLFCLVMIIDYLLWRLLELLQPAKDIEEVPDVVLPQDE >OMO88320 pep supercontig:CCACVL1_1.0:contig09037:2372:2795:-1 gene:CCACVL1_08467 transcript:OMO88320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLGILTSLENDIRSSYAENLNHITNKEFLRIIFVDAAFIIELFLRDHFDSDGDPVLSRDYLPLFIRTDLWLLENQLPFFVLQQLYDSAFGSFPDIYPPFLELTCNFFEYYNLQEKPITREVNHFTDLMRAFYLPSSIDGEG >OMP11420 pep supercontig:CCACVL1_1.0:contig01302:13:111:1 gene:CCACVL1_00527 transcript:OMP11420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLGPIENTSVSENPVRNDTDKNIHGSSDSSK >OMO73554 pep supercontig:CCACVL1_1.0:contig11216:40722:50110:1 gene:CCACVL1_17228 transcript:OMO73554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFLALLILLSFWGSVISGQKPGLEAPYRIHTLFSVECQNYFDWQTVGFMHSFKKAQQPGPVTRLLSCTEEEKKNYKGMDLAPTLEVPSMSKHPKTGDWYPAINKPAGVVHWLKHSKDAQNVDWVVILDADMILRGPIIPWELGAEKGRPVAAYYGYLVGCDNLLAKLHTKHPELCDKVGGLLAMHIDDLRVLAPLWLSKTEEVREDRAHWATNLTGDIYGKGWISEMYGYSFGAAEAGLKHKINDDLMIYPGYIPRPGVEPILFHYGLPFSVGNWSFSKLEHHEDSIVYDCGRLFPEPPYPREVKAMESDPNKRRGLFLSIECINTMNEGLLLNHARHGCPKPEWSKYLSFLKSKTFAELTRPKLLPPLKVQSEVAKEGKKIDEPMQSHPKIHTVFSTECTTYFDWQTVGFMHSFRLSGQPGNITRLLSCTDEDLKQYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHADIDAEYVVILDADMILRGPITPWEFNAARGRPVSTPYDYLIGCDNELAKLHTRHPEACDKVGGVIIMHIDDLREFALYWLLKTEEVRADRAHYGRNITGDIYESGWISEMYGYSFGAAELELRHLISSEILIYPGYVPERGVKYRVFHYGLEFKVGNWSFDKANWREVDVVNKCWAKFPDPPNPSTVEQTDENLRQRDLLSIECAKTLNEALYLHHKRRNCPDPNAPKLDTTKDVKNSRKFGIPNEAKEGNPVHALGQSISFGRFMSDSLAWEKWSTFSHNKYVEEAERYSKPGSVAQKKAFFEAHYKTLAARKAAALLEQANAAAAAAAAANNATESEVLESEVHDVTSQQSSEILTNSSSQMAVFDQEIRAPSLETGSIYDGKENNPEFVKFESSKVEGVDSIAENHVLLENHMKNESFEKNKAEIRDVELSGTTEGEKGLKVEQSRLLRDMIESELSEGTQMEKPLLKKTDSKEDESEVTSKWKPPYSSSKGSVYAKTSKVPSSPAKFTASIRPNKGNNLTPVAKKPAMDISDRKRSTPKSSHKSINFTPAKEISKLTSTIIRKIDGSRIASTSKTSKECATPLRTPNTASTSGRPKQPSATPWSENKSVRTPVNSSASVSKTTRGKWNFLPTDCSKILSACRKKSSQSPGIFASFSLRTEERATRRKQRLEEKFNVSQEQKVQQQTTLKEKAGSELKKLSQSFCFKARPLPDFYKDRRTPKDQMQKVPLTQPESPALGRKCTPTKAITSQSKNHHKSSIKNTCFKNISGKKNQIPVRSLTSQPAMTAHENTSPNIQHA >OMO73556 pep supercontig:CCACVL1_1.0:contig11216:57263:57325:1 gene:CCACVL1_17230 transcript:OMO73556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEIMKLKIRALHRERERE >OMO73550 pep supercontig:CCACVL1_1.0:contig11216:20828:22286:1 gene:CCACVL1_17224 transcript:OMO73550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQLSAMQRAIQRIHTLVEAPRLKSFSLNAPKTVEVEYANGGKFSLPAEFLRVYSPAADGKIRSIGGEKVIFGRRHVGIMSAEPVGNYGVRIVFDDLHKTGIYSWDYFYTLGTNKFTLMRNYIKTLKKHGLSRDPPKRK >OMO73551 pep supercontig:CCACVL1_1.0:contig11216:25327:26877:-1 gene:CCACVL1_17225 transcript:OMO73551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSREHKRAALHEKLQLLRSITNSHALNKTSIIVDASKYIEELKEKVERLNQDIAAAQSSNDQNPLPMVTVETLEKGFLINVFSEKSCPGLLVSILEAFEELGLNVLEARVSCTESFRLQAVGGENEEQSESIDAQVVKQAVLQAIKNWSENSDQQE >OMO73557 pep supercontig:CCACVL1_1.0:contig11216:58495:58868:-1 gene:CCACVL1_17231 transcript:OMO73557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREGRQRGMVRTYRILPAPLNPRSESRVLSFKGFGPFV >OMO73552 pep supercontig:CCACVL1_1.0:contig11216:34413:36996:1 gene:CCACVL1_17226 transcript:OMO73552 gene_biotype:protein_coding transcript_biotype:protein_coding description:NSF attachment protein MGDQLARGEEYEKQAEKKLTSWGLFGSSSKYESAADLFEKAANSFKHAKAWDKAGSTYLKLANCHLKLESKHEAAQSYVEAAHAYKKTTTKEAISCLQEAVNLFCDIGRFSMAARYYKEIAELYESEQNIEQAIDYYEKAADLFQTEEVSTSANQCKQKVAQFAAQIEQYQKSIDIYEEIARQSLTNNLLKYGVKGHLLNAGICQLCKGDVVAITNALERYQEMDPTFSGTREYRLLSDIASSIDEEDVTKFTDVVKEFDSMTPLDSWKTTLLLRVKEKLKAKELEEDDLT >OMO73553 pep supercontig:CCACVL1_1.0:contig11216:37856:39874:-1 gene:CCACVL1_17227 transcript:OMO73553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKWSKGVVFLFLCLSICVQIASVSSSTSPLQGNQGNKESAATRTFKQEQGDAHVVHCSRERSRAAWKIIEEYLMPFVEKEGYKFSTKCRLHADNDMYRDQEQHKQHVDINEWRCGYCRKNFYEEKYLDKHFDNRHFDVLNESHSRCLADMCGALHCDLVMDSAPRKTKCNPAAAARNKHLCESLADSCFPVSNGPVSGRLHEFFLRQFCDAHTCTGGPKPFSKGRKKRSSILYISISILTLLLLPLLYTFVYLYQRGIKRGSQELKRISLGGRKKKAF >OMO73555 pep supercontig:CCACVL1_1.0:contig11216:52161:56529:-1 gene:CCACVL1_17229 transcript:OMO73555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGDPDPDPDVVTPGQNLNMQHELQESNQVDFDVGKECQSLEASSMEKSQDAAKSESDSQSSNTSSVDKSEAEINGEECQTPLVPLVNKSEMDVGEQGSKRADALSVDAFGARVSLTSHVNQGRHLEALSLFHHMQTSPSLTLDAYAYPLVLKSCSAIHRPQLGSSIHAHATKSSLLSNSFVACALVDMYGKCFSISFARKLFDEIPQRNVVVWNSMISIYTRLGLMDEALHLFHSMDVRPDESTFNSIIASLSELEDGRFKAIEFYRRMQEAGLRPNLITLLALLPACVGVAALASIKEIHGYAVRSNIEPHPQLRSGLVEAYGRSIFIKSTMNYESGKVDKGEVAGAAADLLDAASHSTTTTSTYSGGGGGHATKFEKQSEPAHASSGGSLP >OMO73558 pep supercontig:CCACVL1_1.0:contig11216:59709:64854:-1 gene:CCACVL1_17232 transcript:OMO73558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFRLIFFSDALLPTISCLPPLKLASKFRSFLISSRHAMHLKLYRMSSIDWKPSPVVALATSADDSQVAAAREDGSLEIWLVSPGSVGWHHQLTIHGDSTCRVSSLVWCRVGSKGLSAGRLFSSSIDGSVSEWDLFDLKQKIVLESIGVSIWQIAVAPINKLPALEEPRSQHFGNGYLNHKHDNNDSDDDESSESDSDSEQVHQKLVQEDRRVALACDDGAVRLYTISDSDKLIYYKSLPRVSGRILSVTWGHDSNRIYSGSSDGLIRCWNVDSGYEIFRSTVSLGGLGSGHELCIWSLLSLRCGTIVSADSTGSVQFWNGDNGTLLQSHSHHKGDVNALAAAPSQNRVFSAGSDGQVILYKLSSETPQSGNDKSSSEMMKKWVYVGYVRAHTHDIRALTMAVPISSEGSELDEAKGLLDEEGKKVKRTRRKKKPLDFSYGKWAHLGVPMLISAGDDAKLFAYSAKEFTKFSPHDVCPAPQRVPVQLVANTSFSQTSFLLVQASYWLDVLSVRVPDVGSGPYGGHVTTNLVVRVKSRAGRKIICSAMSNSGDLFCYSDHTRPSLFALSRQAGQSTWSVNKMQLPQKLPSAHSMVFTSDGLRLLIAGHDRRIYIVDLESLELLHTFIPRREEFEKEVPPSEPPITKMFTSSDGQWLAAINCFGDIYVFNLEIQRQHWFISRLDGASVTAGGFPPQDNNVLIITTSSNQFYIFDVEARQLGEWSRQHTFTLPQRYQEFPGEVTGLSFSPSTSSHPSKSSSLVVYSARAICSIDFGKPVDQDDENGLVNGALFKVQETYIRDITLLVEEK >OMO96129 pep supercontig:CCACVL1_1.0:contig07520:25280:30942:1 gene:CCACVL1_05065 transcript:OMO96129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNITKKHVPLQTKLSILALFHFTFLHSLTADVSPKPYEPHDNIALDCGSIHNTTDLSDRPWLADDTIFLDQSNKVSVISTSPTKGDSIPYRTARLSRSQITYSFSVTPGPKFIRLHFYPASYQNFNRSNAFFDVHVGRYTLLRNFSTALTADDLNSEVFTREFCIIVDDQKLKLLFTPNPDSYAFINGIEIVSMPNNLYYSAEDDTGFHFINQVNPYRILKNQALESLYRVNVGGSSISPAQDSGMFRSWSTDYEFLTNGEPSVLPVNISINPSFNVIPNYSAPIPVYRTARTMGINKTVNENYRLTWEFQVDSGFTYFVRLHFCEFQGEITEPGDRVFEIFIDNLVAEHQADVISWAGGRGVPVYRDYAVMIGRNGTEKKRNLSIALHPAPAWRTKYSDAILNGVEIFKLSNEGNLAGPNPDRIPISPVNDSLESKTGPKSNSRTVLCIVIGVFSGFVALSVLCFLIFRRKLRVRDSVSSDGSSKWYQFSNKDYSSVDGSVKLYSFGEHSKKTKPKHSAANIYNPHEDIALDCGSTINNTDFTRRLWLGDTKNLESTVSNASSAGTDSRIDPIPYKTARLSRSQFSYTFDVTPGQKFIRLHFYPTSYQEFNRSNAFFDVQIGHYTLLSNFSPALTADYLNTETLYKEFCINIADNQKLEISFTASHNMPDSYAFINGIEVVSMPDGLYYSADNDTGFEFVSQINPYRIEKNMALEMVYRIDVGGSFISPAADTGMYRSWSPDDEYLTDARPSVLPVNTSVMPTFSVIHNYAAPVPIYTSARTMGTNKTINENYRLTWQFPVDSGFTYFVRLHFCEFQREIITEGNRVFEIYMDGLLVEEEADVVTWAQGTGSPVYKDYAIMVGRELGNKKKTNLSIALHPAPAWRTNYSDAILNGLEIFKLSNDGNLAGPNPDPVPVPATPPTVVIPRRRSSHKPIFFIVGGISSGFVSLFLLCFFIFRRKIKNSGTTKEPSWWSQFSSTTKSSKSRGRGKSLPSDLCRYFSLAEIIAATNNFDDVFIIGVGGFGNVYKGFIDGGVKPVAIKRLNPESQQGALEFKTEIEMLSQLRHLHLVSLIGYCNDDREMILVYDFMTRGTLRDHLYNTDNPPLSWKQRLEICIGAARGLQYLHNGATQTIIHRDIKTTNILLDEKWVAKVSDFGLSKLGPTNMSKAHVSTIVKGSFGYLDPEYYRRQQLTEKSDVYSFGVVLCEVLCARPPIDRSVDKAQASLATWAQQCYHNGTFYEIIDPFLKGKIALQCLKKFTGVAMSCLVDEGVERPSMSEIVWSLEFALELQGNLEEEINQGSAKIELDVEDEETPLNKEYSLTDDSGEVFSSIGEHVLMSRSTFSITSEKTSFISKDFDDKVVKSGDVFSVNRDPQGQ >OMO96130 pep supercontig:CCACVL1_1.0:contig07520:44670:45332:-1 gene:CCACVL1_05066 transcript:OMO96130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAALILSSPPLCLSTEIKRRRPHLNPNPNFSKPKIACSAQPQSAKQDLLDLISDQERGLKTQKDARKRDAIIKSIDAMAIHGRDTVTTDDSLSATWRLLWTTEKKQLFVMENAHLFGAQAGDVLQVIDVEKKSLHNVMTFPPDRVFFVRSSIEMASSQRAKIKFTSAVLRIKNWEIPLPHFGQGWFETVYLDDEIRVSKDIVRGNYLVMERAPYNWEE >OMO96128 pep supercontig:CCACVL1_1.0:contig07520:2162:2299:-1 gene:CCACVL1_05064 transcript:OMO96128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSLDDLIKSNKKPGPNRTCPPRGSRLDPSRRFPNRAGNRTVAY >OMO72696 pep supercontig:CCACVL1_1.0:contig11419:10271:12406:1 gene:CCACVL1_17646 transcript:OMO72696 gene_biotype:protein_coding transcript_biotype:protein_coding description:meiotic recombination protein Mre11 MFFHVSGPTGSLLRFYVPSVHGDDIDGHVIKYQLQATFVVVPLPMAMPQDAIVESNVHNNANYILYMYQSHHTPHFYFPHHPPQNLPQPLQIQNPPPMENNPPVALQENPFQEDDADLAMEAEDRAPPQEVQGQDDDDEDVAMEVEDDDNEDIDEFVAQLENIYRRGKKRVLLSFRALKGKKKEKPGQDFDDIDFDVDIDDFPALLENILEVEGAGEEEEEDRG >OMO72698 pep supercontig:CCACVL1_1.0:contig11419:25161:26096:-1 gene:CCACVL1_17648 transcript:OMO72698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSVSRDGETSTTSPADELFYKGKLLPLHLPPRLQMVQKLLQTSNKTEKTQTPFEENSSTLSTDNTVITNTTPLESCCSISPSESCRVSSELNPDEYFFEWSTELNGFIGNNNAKKSWSKKLKQIKQSSIISQKLKASRAYLKSLFSKSACSDESCAKAACNAANAAEAEKMVKKNPNDRCRISSIIMMKSIDKELAEDNAANSHRRSFSGVIPRHSTTTSSSSGSSSSSSSFSFSSSGFCDLQLLKRSNSANSEIEGSIEGAIAYCKKSQYLPSSSRKTGTELGVCSLSASKISVSGGDQDRVPGLCSI >OMO72697 pep supercontig:CCACVL1_1.0:contig11419:20000:20644:-1 gene:CCACVL1_17647 transcript:OMO72697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGGGDLERRMNSVEAELGAKVDEYLGLIRVSIKEREESGIEARLSFLENLSREIKHVVNGDGKKLSELRIAASEAEEEEEEKEVELMREEVDMLRQILKLKREENLKEKETQNAELDKLRQNLKNQNAEVEKLRQNLKEKEKENAELEKELKEIKRERKKKKVDNQNTSSSGRGSGGRVPRFDNGGVAIPAGYNYHFHFPRFHPSIRGGPFS >OMP03708 pep supercontig:CCACVL1_1.0:contig06000:10963:12073:1 gene:CCACVL1_02297 transcript:OMP03708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPPQFSKQFQRCIVDKLPEDRFILETCDGKSWAVEVVNVAKDDQVYFREGWKEFVNDDSLGIGGLIVFEYQGDFKFDLDIFGNAPEKGYLQIFGRYIIYPKRKRKMNQPWGADKGQVKLKRLTYLVLRNSSPNL >OMP03711 pep supercontig:CCACVL1_1.0:contig06000:40457:43551:1 gene:CCACVL1_02301 transcript:OMP03711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRWPQGGIVESSQRVAGGEKKQKSCRHSLLSSIIDHCRLPSPLSKASTKSPPSIFSFPFLFFFFLLRFKPDTSYFLFSHQRRSRSSMATAATLKFTTRHREPEAEATTPFRLSFATTREERDELDPR >OMP03710 pep supercontig:CCACVL1_1.0:contig06000:39271:39351:-1 gene:CCACVL1_02299 transcript:OMP03710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSSEKEEPTVAGSGLREMWQWRLG >OMP03709 pep supercontig:CCACVL1_1.0:contig06000:19074:19172:-1 gene:CCACVL1_02298 transcript:OMP03709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISYSKFKVDPTAVGDLIATAEERRMSLSEV >OMP03707 pep supercontig:CCACVL1_1.0:contig06000:390:8636:1 gene:CCACVL1_02296 transcript:OMP03707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIVESFSNVMKRPNGVIEDENPKPKAETQAQQCD >OMO72752 pep supercontig:CCACVL1_1.0:contig11397:2157:12867:-1 gene:CCACVL1_17619 transcript:OMO72752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTLMQRMGTMTTRFDAMANDIQQVKDGQNQQVQPPQQRGNAANNERVQPPPPRQVIPRINPMERLRQQELGGQAYNENLRPRRGVEREEPKENIKYKIPKFNGRGSPADYLEWESKLDMYFDYHHYAESKKDCVNKKVMFLNDYGEIESEDEDFALGSSGDRDDERGFAHEDEDDDDGNTPALLNLVARRTLSAYVKGDVHNQRENLFHTRMRFVKDFSTLATPITSVMKKNAPFKWGREQQEAFETLKNKLTNAPLLVLPNFNNTFEIECGASGVGIGAVLMQGGKVVAYFSEKLNGTALNYPTYDKELYALLRALQTWQHYLWPKEFVIHTDHESFKSIHSTTRFSPFEIMYGFNPLTALDLLSLPLSVQVDMDSERKAEYVKDLHAKVRAQIEKKTQHYMKVSNKGRKEVTFEPGDWVWLHLRKERVLEKRKSKLLPSGDGPFQVLERINNNAYKLDLPSEYGNVSATFNVSDLSLFDSDANLRANPFQGRGDDAPRAYHCLKEHNGDHGEDVHGRQGSKDKLEDHGDCAQLVPSTNEMPKMPFDPLKMPLGLMTRIRAKRFKEALMGLVRVDLEDMNLFEDQLKTFGDDLSKEKPPKVPLRSVSIATTDGVDENALNNCRKGWQKECSFSGDVDNSSTAVGDEDIRIPENTFVGNVEEQDIVIDVRIHVIP >OMO92977 pep supercontig:CCACVL1_1.0:contig08147:20758:21192:1 gene:CCACVL1_06699 transcript:OMO92977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAARHIGTPLKIDNTTALAVQTPSMRASAGGLIRDHTGSWIKGFLLNIGRTCSVQAEFWGIRVGLQLAADLGYQDLIVQVDASMVADLLSMEGELSGHPLETLVRDCKVLFANFNRVEMKHIRREGNRCADRLANLVEQPECN >OMO92976 pep supercontig:CCACVL1_1.0:contig08147:1720:8869:1 gene:CCACVL1_06698 transcript:OMO92976 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MGLEFDGLYLLRKANSLWLGRWQLQEGVVKLPSSSDCFQQVRGGWRGEAQRSEAVFFYRRDDCGSRVRVKPLDRGRRFFSNGRFNEKGNRFSHRLRNNSVEDQLKERKGKGVAQIVSEPSSSKVDKIHDYVCKQPEGIHNQTGDNGVPSTIGEEDNYIQAEKGQDSVQINDEPNDGYASCEEVDLLFDILDEDMQWLEKSAIVLLKSNVNPLEIMDLIKDQDCSVKVSLWSNLMLILIVEEEASLGNCIGMVKNACLDCIIEIAPWDTFNSQWSALVRINLSEVPLELWHVNFFSALGDHLGKFIQIDSQTSNRKCFVTARLQILVSSLSNIPKLVVGKSLGVNFKIPVSVETMPLLRSTVRDGSPVRLPLQILNCDAPSLTADKYVGNKLLMINDVDSDKVLESREAVEFNDESRTKVLDEEIVGESLRFSRNMDVSLFNNKDLAVHNSCLPDVSDESLGRFVEEPNVSDPGPHFCHSSSSPTVDSPSADMRSETGPWREDGHRAMESIGKRLKWKSCRIWDRKKRKKSKRGVTKIILGEEAIIDFDSGISLSDEDIRMRNEIIYREAEKTFDVSSDLGLQFCEEKSQIVSRGLGRREKRKAMKSLVVRCQADFLLLQESKLENVDDRSLNSIWGNNKFESRVAPAVGPAGGLISIWDKDFFDLNLAIVENRYIVCVGKLKLNGVQCLIGNIYAPNDEQGRLILWQEIKDLKEQFDLPWILGGDFNTVLKMDEREGAAPNTLGISSFGDSVNDMQLVDLPLLGAKFTWGSNREIPSFSRLDRFLVNSEVLLCFPDLKQKAKPKSLSDHNPILLSVDDTNWGPKPFKFFSHWLEQKGFQELMSKAWHDFEVEGTVENDLKQILVEKKAELWKLYRLVERSWQQKARMQWLRDGDKNSKFFHLVASNRARRNHIEKIEINGKTFVHPEEVKEGISSFFEKFYNLQSAAKVIEFDCSFKKLSSEKAVWLERVIDEVELWDAIKACDGNKALGPDGFNLSFIKDQWESIKAKLLDFVNNFCSDRGCNSNFNNSFVTLIPKCDGASKIEQYRPISLVGCLYKIIAKVFARRIRSVMSEVIGDSQFAFIKSRQILDCSLIANESVDAMKKKEEGGVCFKVDFEKAYDSVDWNFLEFIMRKMGFGETWIKWIMRCVSTPSISILINGSVGRNFSTSRGLRQGCPLSPFLFNLIEEAFSLLIHKACSLGLFEGVKVGNVMVSHLQYADNTLIMCKAKGEQVKNVRRILRCFQLVSGLKVNFAKSSLIGINANPDVIKQWADEVNCKVGSLPCSYLGLPLGARPNAVAIWKPVIERCQKKLATWKARHLSTAGRLVLIKSVFASCPVYFMSLFNLPCAVKMELEKLMRKFLWSGSDDKRKIHYVDWDTICKYKEFVGLGSIDLGLRNRALLNKWLWRYGNEHESLWHKVIIGKNKLVDDSIIPSGNIRHCSAIWNAISKPLRSGDSLSLFTKSGLMISVGDGKRVKFWEDNWVNGLILKEAFPRIFALAVSKVGKAVDFGCFEGNAWRWKVELRRNLFDWENE >OMO71791 pep supercontig:CCACVL1_1.0:contig11552:29948:30826:-1 gene:CCACVL1_18070 transcript:OMO71791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSSFQIEKSLAQLEALESQLTTQCAALKVLVDVYVNQNQRSPELLEAIVNMSESNQMLYDHMVKLSHMCKASSPSLNIPKPIQEAEKKDEEDNIMALDEDNHSHETTRKNEIVEAISTNNVLVESEENGISHERRVEMEHNVEIIEESPSIECENAKVEEEVDSQAMIENLDCEEQDFLGIEAFLQPRIHGIPNDKWKLNDYFVKATTSYKGEKEMSTKLAWANTAILSLKRIGHQPITSTTMALTTLAIHGVDQDEFGELFPSLFTFRCPYNFLFHSYLIFALKWQDPP >OMO71789 pep supercontig:CCACVL1_1.0:contig11552:15202:15300:1 gene:CCACVL1_18068 transcript:OMO71789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYAIGALSFPIIPPRPSQDSNPRPPTINPKF >OMO71790 pep supercontig:CCACVL1_1.0:contig11552:19880:20050:-1 gene:CCACVL1_18069 transcript:OMO71790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERLTFSKFVLFVMDAPLKKQFWKQNFLLSKNSGSKQKVAVYGRSSEEARQVKK >OMO83917 pep supercontig:CCACVL1_1.0:contig09826:1601:1696:1 gene:CCACVL1_11087 transcript:OMO83917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLDSGVLTPPLFNSTSVSSSLQSLLKIERRD >OMO71257 pep supercontig:CCACVL1_1.0:contig11684:35252:46635:-1 gene:CCACVL1_18333 transcript:OMO71257 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MRRVREFSGDRAKSRSMVDWRSSKVCFWILVEIHDKAKVALILAGSWKRNRFSIRISMDETFLEDRKSGNVIGYVNLNDDYTCSGERILGIREGTETLVMEDALNEGKSCTLCFRLVFGLAVDEMDLEIQLEDGDEVSFALTKYMVPGKILVNRILNRRGVVSVLRGIWPLEIAPCIREVGDNLYGISFKTKKERDRALEEGPWFIKGSCMMMKKWQPGCNVAEMDFQTVSFWIQVHNLPIEMLTRSNANIIGSRMGRVIKIEDPVTSGGLGRSFLRIRIEIDAHNALVDGFWVPRKNMEKVWAEVKYERLADFCYCCGKLGHVLKQCPENDGEDNGEEKRYRYGPHMRAIPAHSVSWMSAIGKENRRVDPVNSERIHSVHDDSRRWERNNFGDTSRGGILMEGGQNSMIDKGKKTTWGSNFMSLQLRASGSAGFDRMDSNWRFSSRSHEKDADSLNVIHGSQLHISDIPVSTMNMTEPAVPLHGQAVVNFDDSTHNSNDRDPGLELDYPPGVVRVQHGSDNETEPPVTPDLDQFSDSFIPNTYSETYTFTTPVENHNIIRKENLDVIATGNLETQPSDIQETLFPNTSLTQSGNPIPVKISTLPENTSARIFIFDKSLNTYILVTTPLNNIVDNIGEEESMDRIVQYRREFLQHDHNTKEILSSGIRDLRLKRGIEEVDTEIGMMKRRKIESGIVIRETVNINEDSQVPTGRKSASGQKGKKKELKQIIHKYDPDVVFLMETRNGREMVEKIRKKMGYPNVIYVDPVGLSGGLSLWWKKEYVVSSIEESKNVIDTVFELEDGGDKHRIMRIYGAPVYSDRKLVWEKINRKTLSIEEKWMCIGDWNDLEDVEKEGGRPKERRVLQNFRTVVEFCQLLEVPSQGQQFTWSGIRDGEIIKEKLDRCLVNLDWLEQYPRTQVWNLPAIGSDHSPLVINTVVGDKKGAKLFKFEAIWLEKEDCKRIIGEGWNKEVDGSRAYKVVCKLKRCRELLKEWCRENNKDRKMKENLRAAIADIQDVGKDIEDRECIEDMTEQLHKIMEQEEVYWHQRARVNWLKCGDKNTHFFHQTTLQRRQKNKVLRLKSGDQWLEAKEDIMEEFTKYYENIFSTSGSRYWDSVLNHVPVLINDEMNDFLTRRVTMEVVNVATFQMGELKSPGLDGFNGLLFQQNWEVVKDDIYAMVQSFFDSGRMLRELNNTDLVMIPKIKGPESVTQFRPISLCNYAYKIISKVMVNKLKGFMGDLVTENQSAFVHGRQIHDNVLIAQEVFHYLKIKKKGKQSDVAVKIDMSKAYDRVEWGFLKVILMKMGMNPHWCNMISECVSTVSYTIVINGKTSRGIFPSRGLRQGDPLSPYLFLLVIDSLSRMIQAGNDSKHIAGIKVSRSCPELSHLLFTDDSLFFLQANSENCSRFLHILQEFADATGQIINLEKSSLIFSANAPVDLRAEISQRLQIQEAANPGIYLGFQSTWGKTKCGALHYITERVQAKLNVWKQKLLSLAGKEWTSMETQQAIEKIPLGVFDRADRRTWPYTKNGRYTVRSGYYAIRTSHCEFVYKQKEIDLGGVVYRIQSAVREFVSLRSKNQLDEVELSRLEEQQLWTKSPPGWIKINSDGSFCKKYLAEGFGIVIRDEEGNVLDAFSSSVKAVDALSTEALALRESERHDEHRLWEDDNSINLGLDSPNVSGPVECVIRPLICIGPEGMHNYGLDVQPLVVVKKKKKLLNRIPEDGKATVPTIFGYSISDSNIENRNRVLMEEEEAIWEISSTLHVVYNKDKQEVVRALIEQEKGSKIVGKEVLEMVFIQESKLSRDSKTLIKLVWGKGGCSGDMNSEGASSGLVTVWKDDFFEKEFAIMRSRFIVVVGVLKSNGVRVGFGNFYAPNDDAERVLFFHEVKQVIGGYNIPWVLGGYFNVVRCPDEKIGVVYNQGAMRCSVEFAEDLALIDLPLMGGRIHDENLRSKLTELKVKLWNLYRAEEQAWCQKLRFKWLQEGDRNTRFYHLVASVRNRVNCIKSLEFDCRTIEDPLELKDYIADDFEKHFNQNLAIGIEDFGGDFSMLSHQNFEWLQRPFDEEEILDAIHSCDGSKAPGSDGFNLNLFKKYWGVVKKDILAKVLARRLSSVMKEIIGPSQFAFIRGRQILNGFLIANETIDVMKKDGVGGVCFKVDFEKAYDSINWDFLRFAMRKVGFRQTWINWIVSCITTTSISILVNGSPSRQFGMMRGLRQRCPLSLLLFNIAREMFAMMVRNAVEKRLIEGVKVGNNGFEVNLLQYAYDSILFSKPETEKKFCIVRLNLFLVILGLPLGANPCSKKIWKLMVDRFQSRLSRWNGSHLSMAGRVALIKLVLSSMPSYYMSLFPILQRVKESLDETQRKFLWNGAMDSRKMHLVDWNTICNPKEMGGLGIVDLKLKNRALLNKWLWRFSDEDKILWRKVIIEKYSICENSLLPVGINRRRCSRLWWRIVEPIYLDRDEPNLTTEGMRIEVGNGALINFWNNALINFWNDALINGMILKDNFPRIFVLTVNKNAKIGEFGVWIEDKWEWKIHLRRCLFDWENFQWVGLIALLDNVTLSHEFKDKLIWKHSSSGLYSVKEFYKFVCYQNNSPDPIWRKIWLELAPPKVEMLLWQSIRGRIAVKSLLYARGLLSMENIGCHVCNEELETVDHIFLKCKRSWLVWQYYCAKWKVSWVMPMEVSSAFQIWIDIYENSVWRMTFYAILWTLWTVRNDAIFNGSSMEVSQIQAIVDCRIAFWCKAKWPNSAVTIDDYLRGLECVQVPDIGVKRRLHLDWLSPVEGQLKINVDGAARGQPGEARIGGVLRDESSSIKMIFSKPIGLAGSNLAEVLDIKEAFLIFVASRWAKDKVLVVESDSINAENDPSTVSWRFRQIIFQIEGFKKIISKWEVNHVLREANGIADGLANSSIDRALIFCLALSKSWLLVWCCCVLLCILLGSCDV >OMP06302 pep supercontig:CCACVL1_1.0:contig04982:5024:11137:-1 gene:CCACVL1_01635 transcript:OMP06302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRCLQCSHPPWLSLKRGFEAAVTLQQVLSMDTYSSGEELVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKYVVGVEILALAYFIFSRAEGLDELLNVLWGGICSEVVSASMLFVLWCTLEKEAVAKGVPIGQALDIEIPPPRPKRKPSNPYPRKTGATTTSQVGAKDGKSEMPVSSLRYKQVLDLEKEPLPERPSGDEKATQKDNQDESCSEVFTLLHETNCSSVSSVNKNSIPASAALRSSCTFREFVPSLKETIQDNGRSKASNLENSCILHEKSVQGQKKDELDGALRTDEMQATHNYPRHVSVHVLDGSLGTCAQNPTLDVPFQDSAFHSVGDVHGQPNLFANPAASATTEHQHNAPRSNHQAFPTFHPPFTHVRPNQEDYRSFLHVSSTFSSLIVSTLLQNPAAHAAASFAATFWPYANVESSADSPACSQGGFPPRQMNSAPSMAAIAAATVAAATAWWAAHGLLPVCAPLHTGFTCAPASTAAVPPVEDGQAPAAKTEKKDNTDQNLSMQDQQLDPECSEALQAQHSASKSSTSDSEEIGDAKLNTEVKAVNEEKDAAVTETQDANKTKNRKQVDRSSCGSNTPSSSEVETDALEKHEKDKEELKGADANHPQPECSNRRSRSSSNLSDSWKEVSEEGRLAFRALFSRQKLPQSFSPPHDEKNKGQQKDSADENPDEKDGVTSALDLNMGSCCDHQEVEKNESPRSENSAEKGLLTIGLGQAKLKARRTGFKPYKRCSVEAKENRMMNTVSGSQGEEKGPKRIRLEGEAST >OMP06301 pep supercontig:CCACVL1_1.0:contig04982:253:4080:1 gene:CCACVL1_01634 transcript:OMP06301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TEDRIFLVLEYCDGGDLAAYIHRYGKVSEEVARHFMRQLAAGLQVLQEKHLIHRDLKPQNLLLSTKGVTPQLKIGDFGFARSLRPLDLADTLCGSPLYMAPEIIQNQKYDAKADLWSVGAILFQLVTGKPPFDGNSQLQLFQNILRSTEPRFPEGATEELHPDCVDLCRSLLRHNPVERLTFREFFNHKFLEEPSKKVDVEPDPSLPQSKSIVEQFDSSASEKKSQLPSGYPMDTSSRNPQWASSSVHDRVSHTTEHGCSSGVKGANGNKGKSVDNQCSSNQPRADSMESIEKEYVLVNPHFTSMDTFSYYLATSLQESTTKPLKCPAKKSDQESAVATETKETAGSCASSVKIPEVQGSDAQTTSSESAMLREVQRLSILHPSTRLQLLHQYAQAIEELAQEKYDAGLFLESFSVELVVLAIWKKALQICNSWMTSAAEKECSGSSSGNEPTIFQGSAYLSPNSDYNVDFSRPSSVSIWAEQGFIVAYDRAEKLSCHLLNMDATVEMPDAMEVIYQTALTIGKSGAVDEYMENRGSAAASYSKAMLLLSFIVGEAMSLPLNPPFSLTPANKKRLQTYINNLQCHQSQFLTSAPFPKLSADSSTK >OMO87831 pep supercontig:CCACVL1_1.0:contig09167:398:9015:-1 gene:CCACVL1_08739 transcript:OMO87831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSGKESTFEAESWSISLVKTAADNEDEEEMQPVPLVIVIPEGMDADKTPLPRRSPRCIPAMPTPTVFSANSGEGTVLDRNLRRSPRLSSLVQPESPTVGSIVSVETESNTNKRKMKGRTIGDDKSVRRSPRFTSASTEAQGSKETQSPSVKNQRSKTQSLKLNESKEATIKNAEIMKVKESMRLTSAPAKTQGSSQEPNTDSMKLRGLRRSPRLTSAPAESKGSSSETTFKYSVNVSYSKTRSRKMTDNLCLPWPEDVDKGSVPSEPNGSNFSGEKQRIKSPRLASETENCEGDEHPSKKLKVSSANLNMKMSDEMFSKKIKNLSSSVNKQTQHKSDPVFLGDPIPDDEARERWRWRYEMKVNEKSKRKTIPLDDDDEDKIVWNVECHYAQAEIDGCVINLGDCVYIKGEEAQDHIGRILEFFKTTDGENYFRVQWFYRAEDTVMKQETAFHDKRRLFYSTVMNDNPIDCISSKVSVSQISPKLGLKSNSIPQSDFYFDMEYCVEYSTFRTLPTDTSFRSYNSSNCSKEIVPTTPAAFSANIPKCGTYRGEFALLDLYSGCGGMSTGLCLGAKASCIDIVTKWAVDMDKSACKSLKLNHPETHVRNEAADEFLQLLKEWGKLCKRYAVDKVGRAYPSRTTTSHVVGDDANSPNDADILPGEFEVSSLVDICYGDPCNSGKRGLYFKVNWKGYSASEDTWEPIEGLSNCQDRIQEFVVRGFKSKILPLRGDVDVICGGPPCQGISGYNRFRNVDSPLDDERNRQIVVFMDIVEYLKPKFVLMENVVDILKFDKGSLGRYALSRLVHMRYQARLGMMAAGCYGLPQFRLRVFLWGAQPSEKLPQFPLPTHDVIIRYWPPLEFEHNTVAYDENQPHELRALEEALVLRDAISDLPAVANDEAREEMTYGKPPESEFQQYIRSSKFEMTGSLLNGATRIRNRLYDHLPSPLSVDDYTRVCLIPKRKGANFRDLPGVVVGIDNVARRDPKQEKKLLPSGKPLVPDYCFTFEQGKSKRPFARLWWDETVPTVVTYPYCHSQATLHPEQDRVLTIREYARLQGFPDYYRLCGTLKQRYCQVGNAVAVPVSRALGYAMGMAIQNLSGDEPLMTLPPKFSLSTNLQLAKSLSQNTLNDSFSNTSH >OMO92438 pep supercontig:CCACVL1_1.0:contig08219:1458:2044:-1 gene:CCACVL1_06843 transcript:OMO92438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGIFGRRLFWSPPVLGELYMSGTGTGSSTALMDWVESPTAHIFKLNVPGYGKEDIKVQIEDGNILHIKGEGKDESYANAKDSVWHVAERGTGKLGEFKFSRHIELPPNVKVEQIKAHLENGVLKLLVPKDTTPNPSKLRNINITSRL >OMO92445 pep supercontig:CCACVL1_1.0:contig08219:64992:66506:1 gene:CCACVL1_06850 transcript:OMO92445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLSNRISREALKPGDHIYSWRHAYIYAHHGIYVGEEKVIHFTQGGGQEISIGTVLDRIIFSSSPSHPSQRPCLACGDQSRLGGVISSCIDCFLAGGDLYLFQYGVSPAFFLAKARGGTCTIASSDSSEVVLHRASFLLTNGFGVYHIFQNNCEDFAIYCKTGLLVITRISVGRSGQAASFLAATSAIVSSPLRYLTTSFSGLAAVGYGMYCFSRLVSDIGVRRDVEKVPVERLVTVGMDSGVDELDAESETDSLIPQKGTSI >OMO92447 pep supercontig:CCACVL1_1.0:contig08219:76206:79876:1 gene:CCACVL1_06852 transcript:OMO92447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MMPMVLRSCWTFPSSPPFSICNCKSNNIKSLNSIWTFSLASRKSKISQLPKILKKCRGHVVHTNPTRFRISCSEAKDPEVVDCVGTGLDVECLPSEKEKEEIAQNDESSIWSWLEWAVLVSPFFFWGTAMVAMKEVLPKAGPFFVAAFRLIPAGLLLVIFAKSNGRPLPSGLTAWLSIALFALVDAACFQGFLAQGLERTSAGLGSVIIDSQPLTVAVLAAVLFDESIGFVGAAGLVLGVVGLLLLEAPALTLDENDFSLWGSGEWWMLLAAQSMAVGTVMVRWVCKYSDPIMATGWHMVIGGFPLLGYSIVNCDPVLSGNFQELSANDWLALLYTSIFGSAISYGVYFYSATKG >OMO92439 pep supercontig:CCACVL1_1.0:contig08219:3065:4320:-1 gene:CCACVL1_06844 transcript:OMO92439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIMIFFLIITISLSSQFQAVSSSIDSFVFGGCTQQKYTPDSPYESNLNSLLTSLVNSATYSSYNNFTILGSSPQDVVYGLYQCRGDLSMPDCATCVARAVSQTGSLCPTACGGAVQLQGCYVKYDNATFLGVEDKNVVLKKCGPSVEYDKEAEIVGRRDAMMGGLMSGGGAFRVGGSGEVQGLAQCVGDLSAGECQDCLSAAIGRLKTECGAANYGDMYLGKCYARFSTSATAADTGGGDHSFAKSHNDKSSNDGEKTFAIIIGLLAGIALLIIFFAFLRKVVDRNGK >OMO92446 pep supercontig:CCACVL1_1.0:contig08219:68299:70525:1 gene:CCACVL1_06851 transcript:OMO92446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription regulatory protein MKAPATASWRAPLKFRMPTTENLVPIRLDIEVDGQRYKDSFTWNPYDPDSEVVMFAKRTVKDLKLAPSFIPQIVQSIQSQLADFRSYEGQDMYAGDKIVPIKLDLRVNHTVIRDQFLWDLNNFESDPEEFARTLCEDLGIEDPEVGPAIAFAIREQLYEIAIQNVNAARENRISKKGRRGAEYFPPSKAGSAALDLMKLFSFRSSVVRKRKEWDYYKPAVDLLSNEDLYALEAKEEWLRNG >OMO92444 pep supercontig:CCACVL1_1.0:contig08219:61074:61538:-1 gene:CCACVL1_06849 transcript:OMO92444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKWSLSLVAMTILAVSLLSNPATARPCKTFLVSSYSFSFQNPNDPSSSISSGFVTIFTEIRQLNPMPSDPKLSYDFSSLRERSRDILSVVVALLFGVGCGALTAATLYLVWTLFSARLEYHRACLEDDESDEEFSPKKMGYVKIPAVNVKEAL >OMO92440 pep supercontig:CCACVL1_1.0:contig08219:8594:17135:-1 gene:CCACVL1_06845 transcript:OMO92440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MREQKMTETQLIGKIAKIFDEAKTSNATHHRKLKELSAVRSKSPSLHQFSAVFAKTLTPLFQIQKRTASAERVVRFVSAFASGRDPNDAFASDEFLEDFLKFLLLGAKASHKTARFRACQIISEIILRLPDDSEVSNELWDEVIELMKSRVADKVPLIRTLAVRALSRFVNDSENSDILDLFLEFLPLEQNSEVRRTIVLSLPPSNATFQVIVDCTMDVSESVRKAAYCVIANKFPLQSLSIKHRTIILQRGLNDRSMAVSKECLKLMTDQWLAKCCNGDPVELLKYLDVETYESVGESVMESLLRAGLVKLNHEDSIQEYILPASTNDKSEGDFTDYSARIQLMEPEVSLYWRIICKHLQTEAQAKSSDAAATMGTEAAVYAAEASDNHDLLDRILPQTVSDYIDLVKAHIDAGPNHHFASRQLLLIGEMLDFSDAAIRKVASSFVQDLLNRPLDHEIDDEGNKVVIGDGINLGGDRDWATAVVRLARKVHSATGEFEDVILGVVEELARPCRERTADFIQWMHSLAVSGLLLENAKLCHWAVDPTELLQSLLLPGAKHVHLDVQRVALRCLGLFGLLENKPSEELIKQLRISFVKGPSPISAVACKALFDLGMWHGPQEVDRAIGQNLCTQLDEDNMHPSPVIFSDTDGDLNVPLIDLLYAGIATNNWGRTLENDDSESVLAVLGEGFAKFLLLSEKYPSVPASFHHLLISKLISLYFSNESKDLLRLRQCLSVFFEHYASLSAYHKKCLSKAFITVIRSMWPGLNDHSGGSSFTVSNMRKCAVQASRFMLQMMQAPLYAKETEVGSDNDCKGSPDIIDVCAQPSVECREEGLAIRIATEVVRFQGKKTPAERSYVAALCRILGSIHFQLSEPGPLKIMRRLLSRVCECVLGEKDILKELKQMAKHLQELDKHPDQDLSEDEAKFVFGRLDLEFNLDVDGSTAVPQTPAQCSTRPSRLRRRVKREEVSSDEENSPASFNSTVPIIPGTRSQRASKTAALAKMTASKAVRIEEDFEEDEDSDVTSEESDGLSG >OMO92442 pep supercontig:CCACVL1_1.0:contig08219:41688:47326:-1 gene:CCACVL1_06847 transcript:OMO92442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin amine oxidase MDPNNLNLLQDTVTSLIGRQKESVPSVIIIGGGISGLAAARILHDASYKVILLESRNRLGGRIHTDYSFGCPVDMGALHGVCNENPLAPLICSLGLKLYRTSGDNSVLYDHDLESYTLFDMDGRKVPQEMVVEVGDVFKRILKETEKVRDENTNDMSVLQAITIVLDRHPELRQEGLAYEVMQWYLCRMEAWFAADADMISLKCWDQEHVLSGGHGLMLQGYDPVIKALAKDIDVRLSHRVTKISTGYNKVVVDVENEMSFIADAAILTVPLGILKANLIDFEPKLPEWKVAAISNIGVGSENKIALRFDRVFWPNVELLGIVAASSHSCGYFLNLHKATGHPVLVYMASGRFACDLEKFSDESAANFVMLQLKKMFPDATEPVQYLVSRWGSDPDSLGCYSYDVVGMGEDLYDKLRAPLDNLFFGGEAVSVEHQGSVHGAYSAGVMAAKNCQSYLLQRIGKLDKLQLISFRDEVLESTFPLQISRM >OMO92443 pep supercontig:CCACVL1_1.0:contig08219:48321:59427:1 gene:CCACVL1_06848 transcript:OMO92443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWALLPVDPSSGEEDKYYIFRKGTYKVGRKGCDIIIYKDKGVSRIHADIIVDGMTQSSQVRIKDLSKYGTVINKNLCSKKKVHEFPDKQTSLEDGDLLSFGTGNATYRFCSFPLILYICSADISPMNHHLQDKVSSIGARLTHVFGEECTHVLIDQHMLLKGELLDAIVAKKPVLHISWLEFVAEKCIRTELPSCSPHVPRITVDGVSIEVSDPGTRENCLDGYTFLLESTHMYKFGDRLQSLVEVSGSRSFWVKDICSSSQASECGGHSRLVYVTPGRSVEKLDHLEKLGLPYRVNEITLISAVLSGKLDQSLLICSSVVVSSSCSTDETVVADSGDEHESATPANANASTHKEGAQTYANKAEMSLEAPNHVNKAEGSTYNDAARLQDSQVTLRDDNGCLAARRNKAEESENGNSDIIYSQALIIRDVHIPSTINMIADNRVINYKRFRKASIQSGNSFNNLVPFSKYPYKDHDLGSEDLAECVKEEKKRKKMEALAEDLFNNEKGRQRGLSASLRGRWQSFAGGDGRFKDEGVQSEPNFPNAVNEDQEPGNIMLMPMSMGGRVSKRANGACSKMSGNKERISGEEGKDTFPEEQLKFREAFKASKSNANHSVKGALGGR >OMO92441 pep supercontig:CCACVL1_1.0:contig08219:23757:40338:1 gene:CCACVL1_06846 transcript:OMO92441 gene_biotype:protein_coding transcript_biotype:protein_coding description:ThiJ/PfpI MANAKSDRRVVLLCGDYAEDYEVMVPFQALLAFGISVDAVCPGKKAGDVCRTAIQQPSVHQTYSESRGHNFTLNASFDEIDHTQYHGLIIPGGRAPEYLAMNASVVDLVRNFVDSGKPVAAICHGQLILAAAGSVKGRKCTAHPAVGPALIAAGALWAEPDSMAACVADGNIITGATYDGHPEFIRLFLKALGGNITTSNQRILFLCGDYMEDYEVTVPFQSLQALECHVDAVCPKKKAGDTCPTAVHDFEGDQTYSEKPGHDFTLTANFEDIDASSYDALVIPGGRAPEYLALDQTVITLVKKFMESKKPVASICHGQQILAAAGVLKGKKCTAYPAVKLNVVLAGATCLIDMKLSASGLGQQGHEGENKCLNSELWHACAGPLVCLPTVGSRVVYFPQGHSEQVAATTNKEVDAHIPNYPNLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPEEQKDTFLPMELGIPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYVKAVFHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGSSSLHDNRDDVANSLMWLRGGSGEQGLHSLNFQSFGTYPWVQQRLDLSFPGNDHTLQYQAMLSSGLQNLGSGDPMRQQLQQSFQYVQQPGSHNLLLHMQQQASSQSVPHNTLQAQSQILAENMPPVLGREQVGNQPEEQAQQQHNVIQSDQLQQRQPANAPSSLLKTDFIDSAKFPGTVSPAQNMLGSLCPETSVNLLNFSRTGQSMLAEQLPQQSWTPKYAHSQVNAFASSRSLPQVFPGKDAIVEPEIGNSNAQNSALFGGNNDSYGLLLPTTMPGFATSSSEADVPSIPLGDPSFQNPLYGCMQDSSELQSTGQVDPPTPTQTFVKVYKSGSVGRSLDISRFSSYHELREELAQMFGIEGKLEDPLRSGWQLVFVDRENDILLLGDDPWEAFVNNVWYIKILSPEDVQKMGEQRVEPYSPSAGQRINTAGTSGRDQVSGLSSVGSLEY >OMO92448 pep supercontig:CCACVL1_1.0:contig08219:81355:83548:-1 gene:CCACVL1_06853 transcript:OMO92448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACFSKRKASSSHQPQVAVVPVPVSSASLAAQTSLNRHSHHTVKVESGPKVGAEEEEDGKARQEEESLVKKEIFVIKHRKSNERDRQSPPPNALENESASSASGEGGICNNTAVRTSSCTKEEVDAILIQCGRLSRSNSSGKTSSCSRKYSGSKRSYDFDNENDIGAASAECGSRKKGNDGFCDDERPRHRQSSRSCSSQAQGRRRTPSREREQQLQRSGSRERGSSNGGRRVSRSPGRRSEGGLGSNAAANATNTNSNRPGKMVSVPATVSSNNGVGGGGIEAATSTANAIKRISVKRNAACDAAVGVGVGSRSAASPRSQSPARTNPNPNSNQQQPTLSRSSSRKAEHSPYRRNPLSEIDPNSLAYPQSAANKTSCCLNKGSTNQKLNVEMNNKVIVQVQGANIKAGSIDTAAKEPPITGVEEVKTHPPITATGVENLKPQTLTRSRSSRRSRSRDLDLNPETLLNSVPSSYTTLLLEDIQNFHQTNNTPSFSLPPCVTKACSILEAVADLNSTTNSNLSSAFSRDESSKMLETRHPFVESEVVGTDDLMEPSFHKYVTVRRGGTLGGSEMEEQESSGSNSFVGCGQHQHWGISCSSWEPNSADSTDRWTSKTKSKQEDHSVVLGLQRQALGDTAESGSDIKNTSRKGLSGTRGDSYQQHAGKGLAFVAAST >OMO77764 pep supercontig:CCACVL1_1.0:contig10715:4628:13679:-1 gene:CCACVL1_14840 transcript:OMO77764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGNAIALARTVMKFSPNDIVFEPDDIPFGSAEWFLFAGFSCLLVLFAGIMSGLTLGLMSLGLVELEILERSGSTTEKKQAAAILPVVKKQHQLLVTLLLCNACAMEALPIALDKIFHPFVAVLLSVTFVLAFGEIIPQAICSRYGLAVGANFVWLVRILMIICYPIAYPIGKVLDAVIGHGDVLFRRAQLKVLVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAIGKILARGHSRIPVYAGNPKNIIGLLLVKSLLTIRAETETPVSAVSIRRIPRVPANMPLYDILNEFQKGSSHMAAVVKVKEKAKDPELFDDGERLEPRLTNGNSQLTTPLLTKCDPKSGSVIVDVEKPTRPITGNSQQNGVTRKTLQHLSEDTEDGEVIGIITLEDVFEELLQEEIVDETDVYIDVHKRIRVAAAAAASSVARAPSNRRLIGQKPSVDTRLLKAVAIENSKDVDAAAEIVLSEILPFMSKRSMAAASSSQNQSPLVQLNEAVDEEESNQLRRRKVLSSNRAGSSAGPMLQTTEVSGSLEASNAASTSKFRECTNDEAANIETGELILLGSPKYDGAESGQHNSGISSSAVENENSLVFTNFEIKESSSSSKIRAMDVEDESAVNLAVDFTSTLENTGCSGSANDYIYFDGPVDLNADIGGSCSLSGSKVGEEDVVALLVPSSSQEQMPEGSIAGLHSESGSVAHSTCSEKQERGSVEFKSQQGSVSEMGEIEDDTFDPFVSRSSQTCRTDLLEEIIEDAKNNKKTLFLAMESIMSLMKEVELQEEAAEKAREEAARGGLDILVKVDELKQMLPHAKEANDMHAGEVYGEKSILATEVRELQSRLLSLSEERDKSLAILDEMRQTLEARQAAAEEMMRTAEQEKLEKEESARKALAEQEAIMEKVVVESKILRQEAEENSKLREFLMDRGQIVDSLQGEISVICEDVRLLKEKFDERVPLSKSISSSQTSCILASSGSSLKSIADLGHDLGEIGTKTPEKRSRTPSLNGESPKSRSSEERYKADDKELLMNDGWEIFDKDGEF >OMO77765 pep supercontig:CCACVL1_1.0:contig10715:15942:16454:1 gene:CCACVL1_14841 transcript:OMO77765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVGFPTLFLLSIFFVTQLPIITLSQLIRPQPPPVPLCASQFALANYACVKVPLVQLPPPAPLPPPPPDSPPPPEAEGHRHHHRHGHGNGNSQGQGNGHGHHHRHRHRHHETPDERNCCRWLQQIDNECVCDVLVHLPPFLSRPNHDYTVVVDETCSVTYKCGGRLIGT >OMO79402 pep supercontig:CCACVL1_1.0:contig10424:64482:64583:-1 gene:CCACVL1_13695 transcript:OMO79402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGRRGRRRRFGGWAKKALRLMKLEWEREFLG >OMO79403 pep supercontig:CCACVL1_1.0:contig10424:77995:78243:-1 gene:CCACVL1_13696 transcript:OMO79403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPYPPALNSRHHHGLLPSHHDGVSFNRKLGIKRPDLSPFSSSFKSELTQASKASNQAVSDASNKPRPTQGLSFVNGNPKLTL >OMP05423 pep supercontig:CCACVL1_1.0:contig05393:1032:5440:1 gene:CCACVL1_01907 transcript:OMP05423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKDQLLARLKELQIDFSQYEHPVVLTVEEQAKYVGNKGGALSKNLLLKDKKHRFYIVSALPDTKVDMKVLSQRLGLGKGGIRMAPEEALSEILQVPPGCVTPFAVVNESARHVSLLLDQGFRNHEHCFFHPLSNDMSISLNAHGLDKFLKSIGRDPSYVDLEANPTVGKDHPPDLAAFVPSGSTVLPDLPEKAPSQGSTGNHVSAKSNSAAVTAKAVNPSGKVNNVKEKSVNGVQPSVPSADAGKFVEELLDKASTLLLSEISEDSIKQHGAQLGGEVANNIKKCLIDDLKNLATIFKNTAYTEGFYAGTRHQPKRL >OMP05424 pep supercontig:CCACVL1_1.0:contig05393:6280:7368:-1 gene:CCACVL1_01908 transcript:OMP05424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSLSPPRANGAAPAPSPGRRNFQLYWCYQCHQAVRIAATNPGEIMCPRCFGHFVCEMEINRPRMVIDFTAFDPSPEARLLEALSLIMDPPIRLFSRDHNNQVEPARGRPWFRRWNNLLLDSEPETRPSWPERLRRPRRSRSSTSDGMNDSRDQQEPEAVPPRPRTWIILRPVGPNFAPPAPGTANEPVLPRQNPAVDPRDFFLGPGLNELIEQITQNDRPGVPPAPESTIEAIPTVKITESHLSNDSQCPVCKEEFKVGGEAREMPCNHIYHTDCIVPWLRLHNSCPVCRQELPVGNTERSSSDYNFSDSELSSSPEVSSRNGRRCLRLRQLAGNLWPFRRGYQRINPQPHRIALPPPGNDN >OMO55364 pep supercontig:CCACVL1_1.0:contig14715:276:3621:1 gene:CCACVL1_27297 transcript:OMO55364 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA splicing factor, Cwf21 MYNGIGLTTARGSGTNGYVQTNKFFVRPKTNRVTDSTRPFEDGQGTAGLSTRKPNKDILEHDRKRQIEVQLVILEDKLTEQGFTDSEIADKLAETRKVLEAQQEKDEEEGEVVPTPTHQKKFSDTQTHQIAARKEKQMETFRAALGIGLSESAADPPVMSNQKNGDKREHSFLDRDRPPRAVDVDELKKAAKKKGKAVRDEIEESKHRKKKKVHKRSRHSDTDSYTDSSIEHSRKTTHRRKSRKACDSESDLGDDVGGRTKKNSTKKQGRRRPDDIDESGFGTGKRERGIHKYKSSRHESSEDDSDSDDEREKKRRNGKTDVQKYRSSHRKHDSSEDDFDSDDARKKNRSEVQKPKIELSGSHKRGRDKMGSESGSDLDRTRDRKKEMVKRGRHRYDSEDESDSDISADEKVEKSRRRGRRHNSDISSDEKVEKSSGRGRRHDSDISSDEKEEKSRGRGRRHDSSDDEDSDSAYGRKTSKPTTPKQRDEGDDSDTNSSDKDSDSSDQKQIVGKNAVDKDRGGDKGDADNHRGRDSHQSSQEDKGFASGTIKNDERRERTLYEDERSERLGKSENHRELMKGKRKLDEEYSDEQRESKSRSRNYGKDVERTRDDPKGSKLDFESTARAYREKDDRKRDAYSREVRYGGELDRYNGRQDDRIQGKSSESHRGTRRNDWDYEEQRGGRRQSRDEQESQDRKHARDEMGHKYRSHERDVERQRENHRERKGEEDELGNKGHSRDRQSERSERAPYDDARSSERRSRRDDRR >OMO55365 pep supercontig:CCACVL1_1.0:contig14715:5692:11446:-1 gene:CCACVL1_27298 transcript:OMO55365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MTTPVCPFVRAARPDDTGSVKKVAASGSEAVRKDSGDTATVSPKCPFGYDSNNFKLGPLSCMICQALLFDSTKCIPCSHLFCKVCISRFKDCPLCGADIENLEADTNLQSMVDRFIEGHARIKRAHVDTNGNGAGEQASNADNKVIYEDVSLDRGAFLVQQAMRAFRAQNIESAKCRLTMCAEDIQDQLDKMGNTSELCSQLGAVLGMLGDCCRAMGDAAAATHFFEESVEFLTKLPTDDMEITHTLSVSLNKIGDLKYYDGDLQAARSYYFRSLGVRRDVIKNHPEVASQILDVAVSLAKVADVDRTLGKEDLAVDGFQEAIKLLESLTLKSEEAGLEPRRLSVLEFLKSQVAEKQSETVSSASP >OMP11710 pep supercontig:CCACVL1_1.0:contig01003:2405:3536:1 gene:CCACVL1_00320 transcript:OMP11710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIPEVKKRKNNKRRERKQKRHRDEFVWKDIIRVDDDKDPSKRRRWEDMDHVILVNIMKRLLQVEQEGIYTNYYYFSICKSWGFRLSLMLVLCLRPTTHYSKMVVGKDGLNHKLRILRLPFYEIYKMLTPSLRELELPYADAFHTGPFWSPNCYEKLEAIFFSALKLPHLVRYSKCIHTLRLYGTIGDGEAYLIAKISDIDRIHDPSVKALEWKVEEESVQGIIDQGVLSLQLPLIRETHGKGHTYGQ >OMP03448 pep supercontig:CCACVL1_1.0:contig06072:2592:2675:-1 gene:CCACVL1_02413 transcript:OMP03448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIGTPNRKAKELMKKYFMRIYVYCN >OMO54803 pep supercontig:CCACVL1_1.0:contig14897:23183:24787:-1 gene:CCACVL1_27552 transcript:OMO54803 gene_biotype:protein_coding transcript_biotype:protein_coding description:HR-like lesion-inducer MAFVSFVGRVLFASVFILSAWQEFNEFGDHGGSAAEALKPKFNAFSKHVSTHTGFQVPEFEIKFLVAAAIAFKGVGGLLFIFGSTFGAVLLILQQIAITPILYDFYNYDPEKKEFGLLFTKFTQNLALFGALLFFVGMKNSMPRRQAKKKAPKSKTT >OMO54805 pep supercontig:CCACVL1_1.0:contig14897:38297:44833:1 gene:CCACVL1_27554 transcript:OMO54805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MDYLKTVVPSQLLAERGSNLVVINPGSANIRVGLAKQDSPFIIPQCIARRTSQFSKLTVQDQFLNSQVTTTQHMEREKAYDVIASLLKIPRLDDEFSNSSVPRKMGRVDGYNYPNTRKDLPFTWTDIHVNDLNSTVAAESSMGKSVINESMAQGESAESKEPTMTERKFREVIFGEEALRIPLNEPYCLRRPIRRGHLNISQHYPMQQVIEDLHALWDWILLEKLHIPHQERGFYSAILVVPETFDNREIKEILSILLRDLRFSSAVVHQEGLAAVFGNGLSTACVVNMGAQVTSVICIEDGVALPNTEKTLPFGGEDISRCLLWTQRHHQTWPQFRTDILTKPIDLLMLNRLKESYCEIKEGEVDAVAVVHSYEDAMPAGSHKTRLTALNVPPMGLFYPTLLIPDLYPRPPRTWFHDYEDMPEDTWHIEFPRRSDMPDALYPGMNVGLPMWDNYPIFSMKPKKEEKVGLAEAITSSILSTGRIDLQRKLFTGIQLIGGVALTGGLIPAVEERVLHTIPPNEAIDTVEVLQPRTNPTFACWKGGAILGVLDFVRDAWIHQEDWIRNGIHIGSGRKYKDSHFLQAQAMCWINS >OMO54804 pep supercontig:CCACVL1_1.0:contig14897:29224:30697:1 gene:CCACVL1_27553 transcript:OMO54804 gene_biotype:protein_coding transcript_biotype:protein_coding description:HR-like lesion-inducer MGFVSFAGRVFFASIFILSARQMFNEYGVDGGPAAKELIPKLELAKNHLSSLLNFDLPDIEVKHIVGAFIALKGLGGILFVFGHGFGAFLLAVYLAISTPILHDFYNYKPGSPQYYNLLGECLQCVAQFGAILFFWGMKNSMPKRQLKKRAPKPKAA >OMO54806 pep supercontig:CCACVL1_1.0:contig14897:45628:46236:-1 gene:CCACVL1_27555 transcript:OMO54806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Crotonase superfamily AKAQSTRGSALVTVAQGRFFSNGFDLGWAQSAGSKKGAEERLRHMVEIFKPIVAALLSLPMPTVAAVTGHASAAGFALALSHDYIFMRRDRGVLYMAEVDLGLTLPDYFSALFEEKIGLFRARRDFLLAGLKMKGDEAEKRGIVEAAYDSEDEVKEASLRMAETLAKRKWDGEVYAEIRKSLYPKLCTMLGLPTKVIASPRL >OMO56322 pep supercontig:CCACVL1_1.0:contig14540:3556:6840:-1 gene:CCACVL1_26635 transcript:OMO56322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKSPWDQYGGKGHTYKTLRVMPRLGPPQSGDRVKRHD >OMO56323 pep supercontig:CCACVL1_1.0:contig14540:13087:14788:1 gene:CCACVL1_26636 transcript:OMO56323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhamnogalacturonate lyase MAKVANRSHLKQFSFMLIMIIELSLFLHSVSSQEIPSRKILKQDNSNAVRLDTSNPDTVIVDNGLVRVTFENPSGYLVGIKHGNLDNVLETRNKHSNRGYWDLVWGDNSTYDKMETEHFNVITQTDDLVEISFNKTWNSHDHSAAPLNIDKRFIVRRGVPGIYAYAILEREQNFPSAEMYQIRLAFKLLGDK >OMO56325 pep supercontig:CCACVL1_1.0:contig14540:34098:36074:1 gene:CCACVL1_26638 transcript:OMO56325 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MAKSPEEEHPVKAFGWAARDTSGYLSPFNFSRRATGEGDVRLKVLYCGICHTDLHFTKNEWNYSIYPLVPGHEIVGEVTQVGCKVQKVKVGDKVGVGSIIGACHTCESCVNDLENYCPKAIVICNGTYYDGTMTYGGYSDSMVADERYVVRIPDGLPLDSAAPLLCAGITVYSPLKYFGLGEAGKHIGIVGLGGLGHVAVKFAKALGSKVTVISTSPGKKNEALELLGADSFLVSRDQDEMQAAMGTLDGIIDTVSADHPVMPLLGLLKCQRKLIMVGGQIEPLKLSIFSLITGRKMVAGSGIGGMKETQEMIDFAAKHNIKADIEVIPMDYVNKAMERLEKGDVRYRFVIDIRNTLASTKP >OMO56324 pep supercontig:CCACVL1_1.0:contig14540:18648:25847:1 gene:CCACVL1_26637 transcript:OMO56324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MAKLSPEEQHPVKAFGWAARDTSGHLSPFNFSRRATGEGDVRFKVLYCGICHSDLHFIKNEWNFSIYPLVPGHEIVGEVTEVGIKVQKVKVGDKVGVGCIIGACHTCESCANDLENYCPKAIATYSGTYYDGTITYGGYSDSMVADERYVAAMGTLDGIIDTVSAVHPIMPLLGLLKSHGKLIMVGAPCEPLELPVFSLIMDEQQHNIVACCSLKDPYWPLILKAKSVRLGRVKTTIMGSLPEQEHPKEAFGWAARDTSGHLSPFKFSRRATGEKDVAFKVLYCGICHSDLHMIKNEWGTSIYPMVPGHEIVGEVTEVGSKVEKFKVGDKVGVGVLVNSCRSCDNCADNLENYCPQAVFTYAAKDYDGTITYGGYSDTMVADEHFIIRIPDTLPLDAAAPLLCAGITVYSPLRYFQLDKPGFHIGVVGLGGLGHMAVKFAKAMGAKVTVISTSPNKKKEALENLGADSFLISAEQDQLQSAMGTMDGIIDTVSAPHSLLPLIGLLKSHAKLILVGLPDKPLELHVFPMILGRKMVAASCVGGIEETQEMMNFAAKYDLKPDIEVIPVNYVNTAMERLVKGDVKYRFVIDVGNTLKAT >OMO63687 pep supercontig:CCACVL1_1.0:contig12919:2692:7524:-1 gene:CCACVL1_22354 transcript:OMO63687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein HYSALKPKTWAKRTPRVPKGSREERPIMFCCMGLSEIDAGATTPNIRMDLCECFHEAAVHGGFKHERAALLNGYCDMVLPQPQKLTLFPPSPDLRCKSSLSMEA >OMO98577 pep supercontig:CCACVL1_1.0:contig07087:532:1162:1 gene:CCACVL1_04183 transcript:OMO98577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLEGEKVIMVPYMEAHVPKYHLWMQDPALLQATGSEPLSLQQEYDMQLSWNQDPLKKTFIVLDKEMVEGKFIHGDSHVEAMVGDVNIYMNDLDDPQLAEIEIMIAEQK >OMO98578 pep supercontig:CCACVL1_1.0:contig07087:3976:4526:-1 gene:CCACVL1_04184 transcript:OMO98578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETSRLILLICILTSLVFISPAQTCLDYPFPGGEVFHSCTHLPVLDASLHWTSFPSNSTVQIAYRAAQTPTGWIAWAINPMGTGMVGSQALVAFCHSNGSMIAYTTPIPSYNPSMEPEEISIPVSDISTVYVNNEMIIFAVLGPLD >OMO54937 pep supercontig:CCACVL1_1.0:contig14850:3366:5982:-1 gene:CCACVL1_27461 transcript:OMO54937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MGDRQSLMQRMNILANEVHLAKESFTSFSSSWKIPYKQEEMMPSKEKESLKKEFHYAQDCVNKKVLNSNEHGELLSEKESDFSLDSSGDGDDGAAIDDGVEFAPLSLVAMRTLSAYVKGDVQRENLFHTRCYANGWSNDREAIRVNKQVLVTLSLGRYKEDVFCDVLPMRACHVLLGKPWQYDNKVKHDGKTNKYSFKCGKMPVTLVPLSPQESLKDQLKLRDDFRAKEKSKFEPKIDDCFDDKTALVAKNVLDVVCDDTKSVLGVVCDETKYVLDANCDENKSILVDHSIENRTVFGEKKETSRKVVKECMLATKSEIKSVLHENSVLILPLLRNTLLGTNNLAGDIPSKIVFLLSDFVEIGVVLMQGEKPVAYYCEKLNGAALNYPIHAKIVMRWQHYLWPKVHYKKVDMNGELPIITLPNYKENVVADALSRSSLRYLLIRESHEGGLMGHFGVDRTYEILHEHFFWPKMRYDVGKHVSSCIVCLQAKSTSKPHGLYTPLPIPHEPWTHITMDFVLGLPRSRRGKNSVFVVVDRFSKMAHFIPCFKTDDAINVANLFFKEIVRLHGMPRIIFSDRDAKFLSHFWRTLWAKLGTKLMLSTTSHPQTDRQTEVVNRTLSTLLRALIKKNLRTWEDCLPHVEFAYNRSIHSTTRFSPFEIVYGFNPLTPLDFLSLPLIVQVDMDGKKKADYVKDLHEKVRSQIEKKTQHYMKIANKGHKEVIFETGDWACLHLVATSGSGVSKPNR >OMP10467 pep supercontig:CCACVL1_1.0:contig02476:842:901:1 gene:CCACVL1_00960 transcript:OMP10467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSTGPFLANQTKNEEAGPVE >OMO98630 pep supercontig:CCACVL1_1.0:contig07064:10967:13401:-1 gene:CCACVL1_04133 transcript:OMO98630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MSNSDDGGRKKRIAIIAVCSFLLVAMVVAVTVGVSINDNSSVEETSNGNKNSQVSSSVKAIKSICQPTDYKKTCEEQLHKEAGNSTDINELVQAAFRAAKKFAAQAVKNSTTLRDLEKEPRAKKALDVCKQLLTYSVRELEKSFKQIDFLESNNFDKMLKDLKIWLSATITNQQTCLDGFQNTTSEAGEKMKKALNVSMQLSRNGLAIATEMAAALKQLQIQNYARRRLLQDQGKDEIPILGHTNLDLTSDIELNNINRRLLQVDEFDNVPILGHNEWASEVEMPAGVRRLMQAQSNSTIQHDVVVAKDGSGHVTTIKAAMERIPLNSQKPFVIYIKEGVYEENLEFSYQMINVVLIGDGKEKTRITGRLNNAEGVPTFRTATVAINGDFFFAKNIGFENSAGPTKFQAVALLVLSDMSVFYNCSMDGYQDTLYVHSKRQFYRDCVISGTIDFVFGDAAAVFQSCNFLVRKPLDNQQNAVTAHGRFDVREPTAIVIQNSTIKAAPEFESVKGNFLTYLGRPWGNFSRTIIMESYLDSMIAPEGWAIWDGPWGLDTCFYSEFNNHGPGSDKSKRVTWAGIKKIFQAAAHGYTAGKFIDGDSWIQAKNVPYTGGFTNSTSVRIRGQRTAASRFSRMAPH >OMO98632 pep supercontig:CCACVL1_1.0:contig07064:16716:18952:-1 gene:CCACVL1_04135 transcript:OMO98632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MAQKASSFSTIAILLLILISPSFASFPSVPILNSDSICNLTPHPDFCKSILPSDKSITKFDFSRICLRQSLSNAYSLLGSIKYFLRLPSTSYLSTIRALEDCKFLAELNVDFLSYTLQNINAKEDNNNLDSSMAETLHALLSAVLTNTETCLEGLGASEAASRVEKGLLPSILNGDRFHGISLAIFKHAWVHEITKPLTGRRNHGFLNLGKAKNSPLPLIMSGHDQAIYESVSGQKPAHPDVGEGVNVSQLVVVNPDGSGDFTTINEAVAAAPNNTGNGTQYFVIYVVSGVYEEYVVIPKKKQNLMMIGDGIDKTIITGNRSVADGNGMTTFNSATFAVVGSGFVAVNITFRNTAGAINHQAVAVRNGADKSTFYRCSFEGYQDTLYTHSLRQFYKECDIYGTIDFIFGNAAVVFQDCNIYPRLPLEHQFNTITAQGRRDPNQSTGISIQNCVIKAANDLASSNRTTKTYLGRPWKEFSRTIVMQSFMDDLIEPSGWAEWVGNFALDTLYYAEYRNSGPGSNTDARVNWQGYHKNLTGSDAAVFTVDNLIQGGTWLDPTGVPYNEDLD >OMO98636 pep supercontig:CCACVL1_1.0:contig07064:57033:66934:1 gene:CCACVL1_04139 transcript:OMO98636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFACLVPYKTPSTMFRIHKLEKNIRVSGQRDLIEFKQIAIRFEGKIFAASTSQQLSEGEVRGVVQATAKLKDSTQSI >OMO98634 pep supercontig:CCACVL1_1.0:contig07064:32470:36697:-1 gene:CCACVL1_04137 transcript:OMO98634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTTTTRSLSELSENDTIRFGVDLVAAARRNIGFLRSVYESQWLHQRATIVEAIRRYDEVWMPLISDLTVVGSKPPMVLPPFDVEWVWFCHTLNPVGYRKYCESRFSKLIGKPAIFNEENEEYALMRCREIWVQRFPSEPFENEVESDSQDSTIINEQLFNEVLQKQKFLHSKFSEPYLYELVYLIAAKQRYRGFLFMIQKFGDGCHRFVPALDILLMLLTHQSYPTVYAEDLKDMWDEMGKVVRLWETVKEKEVEETKKLWERTFDQPYEKAGGGITVELEKLNLSKPPIYWEVSDVDVNTKYKSMIPRFLLEVCVYARLNSRVKATNRDTKHNFLRLQMVRCHRELKLDKPLDNFSHDSSWQKAWHLYCEFGTRGIMVELRGRGGRCFKGSKLLDSIAFNWNDLLRAPSISLSREIDQVRLVASITPPVQAPYLLKCVPDRVTDDSGAMISDVILKLNNYRPQKGRWLSRTVLDHAGRDCFVVRIRVGGGFWRRGAETPSTVNWEDRIIEIREEKVVGTATPKESPNQQWQAAWHFSTGDELFINWGSSTSSSGLNFCLKNQESLDSSVMLLRGRKMQYQDKSNDKETEDDEDGFVTLVRYTEENPTGKATALMNWKLLVVELLPEEDAVFVLLLCVSILRTVSEMRKEDVGSLLIRRRLKEAKLGTRDWGSVLLHPSSLSNNSPYLQPWYLNANKVMAQNEDNSLTRQPASNYSPVEGGDLLYKRGIIIT >OMO98635 pep supercontig:CCACVL1_1.0:contig07064:52234:54824:-1 gene:CCACVL1_04138 transcript:OMO98635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MTRDRRLCLVAFMAFTCLVLITFSITSVEGKKHSAKKSKPKHHKDKSSAPLPDYGSNYPIFDILSFGAKGDGASDDSKALEEAWKAACQVPGARLEIPSGFQFLIMPITLRGPCKPHLLFQIDGALLAPPKVSSWAKLSSSLHRQWINFKWVQDFTIQGTGSVDGRGSEWWTISDEIYYIQKKFKHIPKQKPTALRFYSSHNVTVRDIRILNSPQFHLKFDSSAGITVNNITISSPESSPNTDGIHLQNTRDVEIQHSNISCGDDCVSIQTGCSNVHTHHIHCGPGHGISLGGLGKDKTVACVSDIVVEEINLVNTMAGVRIKTWQGGLGYVKNVSFSNIQVSDVKVPIMIDQYYCDKSVCKNQTGAVAISGVTYDQIIGTYTDQPLHLACSNAIPCTDVELTNIQLKPSSGYRGLDQALCFNSYGKSLPPLLPSSIESCLRRDIGGAAKKEAIPREHLCYSRGRI >OMO98638 pep supercontig:CCACVL1_1.0:contig07064:73190:80226:-1 gene:CCACVL1_04141 transcript:OMO98638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQHQVIQIKLNGPNYSYWSYLMRTFLIGKELWGYVDGTIVEPDSTNTEYAKLKKEWETYNARILSWMNNAVEPSIGMHLAKFKTAKEVWDYLSNLYVQSNFAKRYELEKVIRSEGQKDRSIQDFYNFMNGVWDQLDMMDPPELSSIAAYLKLREEQKTCSILDGTALKTPTLPIVNTQAVLMASSQLRPTNMNSLVRGTQRIAIDECGYCHEKGHWKKDCPKKNKSRGILPHPSQGFQHGRGAARTMPLPRQNSALATTVSECEPKQITYGGNVNHDDLESIVARQVQQYMGSCIKTDLALPIFLLCSVADQGTNKIPPSPPSAMVKTQFSVKIKVFRSDSGGEYTSTQFQELLASEGYGIEQKGYRCYDPIAKRIRVSRHVAFFEHIPYYSIPESSSVLTKEELIVLDPFSNSELCVTLSNSENLQNSIDNSVGDSFNSDREGIQVSSEPSTLSQSDPLPLQESHSIPEDVNPVSTQPERRYPSRSHNPPPWLKGFETFFSSSYQQFLASVHSSHEQTSFKEASVLPHWQQAMREELAALEKNHTWDLVSLPEAKSYRMQMASRHWPLFQMDVKNAFLNGDLHEEVYMQPPPGYSCEAKKVCQLRRALYGLKQAPRAWFEKFNDTMKQIGFLQSANDSALFHLSSKQGTILLLIYVDDMIITGDDSKGIEQLKQHLFDKFEMKDLGFLRYFLGIEVAYSPRGYILSQSKYANDVINRARLTDERTADTPIELNVKLRPTDGTPLPNPTLYREIVGCLVYLIVTRPDIAYAVHIVSQFVSAPRSVHWSAMVRILRYLQGTLFQGLFFSSSSKLELCGFSDADWAGDANDRRSTTGFCIFLGDSLISWKSKKQNVVSRSSTEAEYRAMAQTSAEIVWLQRLLQDMGVHISKPVAMFCDNKSATQIAHNSVFHERTKHIEEDCVSTILSLTSPEDAFRSSLVSSTFRSAIDSDIVWGKFLPPDWPEIVSSSVTPLKFSSKKQLFQCLCDPVLIDGGNKIFKLEKSSGKKTYVLSANELSITWSSNPLYWTWISMAESRFSRVAVLRTTDWLEIQGKIRTKLLSSNTRYGAYLIMRISDRAYGLDSMPSDITVEVGNQVSSSSNVLLQHLEIRKKQMELWKSIEGKQGILSEREDGWMEMELGEFFSGEKDEEVKMSLMEVKGCHLKGGLVIEGIEVRPKH >OMO98633 pep supercontig:CCACVL1_1.0:contig07064:25232:28704:-1 gene:CCACVL1_04136 transcript:OMO98633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MSSSSQSTKNIFSLTEDLLVRVYEKLAFESDRKSFRLVCREFRRIDSLTRKHLRVLRVEFLPSLLQKHPQLQSLDLSSCPRIDDGVVSLLLTRVGPGSNSVSWASWTQGLRRLVLSRATGLRFSGLEMLARACPSLESVDVSYCCGFGDREAAALSCAVGLKELKMDKCLQLSDVGLAKIAVRCLKLEKLSLKWCMEITDLAVDLLCKKCVDLKFLDISYLKVTNESLNPIASLLKLEVLCLMACPLIDDAGLQYIERGCPSLKAIDVSRCEGVGSSGLIAVVRGHHNLVELNAGYCLTELSSTLLHWIKNLKQLEMIRIEGARISESGFQVISTNSKSLLEIGLSKCVGVSNMGIMRLISGCINLRVLNLTCCHSVTDAAISAIADSCRKLVCLKLESCNMITEKGLCQLGSFCLLLEEIDLTDCCGVNDKGLEYLSRCSELSCLKLGLCTNISDKGLFYIGSNCTKILELDLYRCTGIGDGGLEAISRGCKKLTKLNLSYCNEVSDRGLGYISNLEELFDLEMRRLDKVTGVGLEAIAAGCKRLADLDLKHCVKVGDSGFWALAYYSRNLRQINLSYCAISDMALCMMMGNLTRLQEAKLVHMTNVTVEGFELALRACCVRIKKVKLLAPLRFLLSSEILETLHARGCIIRWD >OMO98637 pep supercontig:CCACVL1_1.0:contig07064:68282:68479:-1 gene:CCACVL1_04140 transcript:OMO98637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like protein MELWKSIEGKQGILSEREDGWMEVELGEIFSGENDEEVKMGLMEVKGCHLKGGLVIEGTEVRPKH >OMO98631 pep supercontig:CCACVL1_1.0:contig07064:15107:15916:1 gene:CCACVL1_04134 transcript:OMO98631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLCAKPCSSDTSSISAAASEETQNKGGIGVPEQMMMAKLKEKILLRQESLDESKPLQPRSSGLHLCLSDKFSGDESIHGSEVELKLSSMGSRSQAKQSKPRAFTCGFCKKEFSTSQALGGHQNAHKQERAIAKRRKEMDLGGGLGHNRQFSYYPYSSLSQSPLYGSFNRALGVRIESLIHKPVATPPTYPWTAAASTTLGYRFGHGAIMMETNFQTPKSTSSSTFPTMVAAANSSISGSTKKAATTSSSDHHDDHDDDSGLDLTLKL >OMO98629 pep supercontig:CCACVL1_1.0:contig07064:7510:9434:-1 gene:CCACVL1_04132 transcript:OMO98629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSATSYSSSDSLSDSSSSHSKRRRHRSNRRDKDRDSLKVHKKSRSLGKRRRRKHRRYSSDSYSSSDSDSSRSYSSSDSEHESNHSKKHKKSDRPRKSKEKERSKSHRHKRQKHKVKEKQQDDGSSSPVQLSKFLRRDKDDGVRRSAVSGKKILLKLDKSKEDKEAESKRNELLKFLNASFD >OMP10539 pep supercontig:CCACVL1_1.0:contig02257:330:497:1 gene:CCACVL1_00888 transcript:OMP10539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PERPSQIATTNLLRINRIEAIASSFAGIEISARSGSQFVSIKQIVGIPKVIHSRRA >OMO71568 pep supercontig:CCACVL1_1.0:contig11598:9733:14166:1 gene:CCACVL1_18155 transcript:OMO71568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIF, beta subunit MWLMKCPALVSRSFKTPQQLSSSSSPSQTVAKVILSIDPLASNDDNDSSSPQLFPEPQFTMELVGTETGDVPKRYSMDMTKDFVPMSVFSESSNGKLSIEGKILNKFDMRPHDENIEKYGKLCRERTNKYMTRTRQIQVIDNDNGTHMRPMPGMMIAAVFNEKKKAPAKTSETKRTRRDRGEMEDIMFKLFERQSNWTLRQLIQETDQPEQFLKDILKDLCVYNNKGTNQGSYELKPEYKKATDDANH >OMO71567 pep supercontig:CCACVL1_1.0:contig11598:3673:8835:1 gene:CCACVL1_18154 transcript:OMO71567 gene_biotype:protein_coding transcript_biotype:protein_coding description:Taxilin family MENPEANQLPEVDSLPDGFVESPSEPSPPNTPIVEQEKPLQPDYKEDDLVSSEFGASKGQKQRTFPVPLSEMDGLDASSDSVEGNLVAHESSNSVPDSAASSVAECSEEKGECQSTERSGEGGSETNSVNLKETSSSESVDSLKNKKPEIAEAKRKSAKRTLKSEKEFLEFSLKYQQVIAERDAAIAVRDKLESLCRELQRQNKILMDECKRVSTEGQNLRLDLSTRFQDAIKDVSIKLEEQKDECLSQLKENEMLRIKLKQLADQYALAEQQYAQKLKQKTLELQLADLKIKQHEEKLIQEQAQMKVYAEQVSQLLATEKNLRLQLTADGEKFQQFQDALLKSNEVFETFKQEIEKMAKSIKELKKENVFLKSKCDKSDVTLIELVEERERMKKQLEKTKNQKEKLESLCRSLQAERKQSSNGSNTSDTVAV >OMO71569 pep supercontig:CCACVL1_1.0:contig11598:17790:24168:1 gene:CCACVL1_18156 transcript:OMO71569 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase, RsuA/RluB/C/D/E/F MDSFFRGLNEDSGASQLDILRCPFLRNINEPTNFSFSSAMPFPMPVRGGKGPIFEDGPNFDMAFRLFHGRDGVVPLSEQSTLRSEKAELELAPPKFNPLAAKAATISLSSFGPGGPFSFDAFSNKWNNKKGKSKPSKKESSSQGGNSNHEALGNEWLQNGQCPIAKSYRVVSGVLPLVAKVFQLPPGMKYKCPPAVVAARAALAKTAFAKNLRPQSLPTKVLVIGMLGMAANVPLGIWREHTEKFSPSWFVAVHAAVPFIAMLRKSVLMPKTAMAFTIGASILGQVIGSRAERYRMKAVAAKRLAIEKSPVSVNNASQLEVVAVKNGYCGKDVEWEQATLQFLRQSSKIKRKMLNDSRTQSPAQSEVFGLPWPELNDGLFYKDVVPPSDSELTLIEFYSSKYKSSAPLQGWLQRIQNGQISVDGRVVKDPNTILRDGSELVYHRLPWREPDAPHTLEVLYEDDDMIALNKPSGLQVLPGGLFQQRTVLTQLSWHLRTQNCSLASQESQAVPVHRLGRGTSGILLCAKTKVAKTRLAAYFADGTSLVGVNSKRKMERGSSRSISKIYRALVTGILGEDKVVINQPIGVVRYPGVAKGLYVASPTGKPALSKVEVLERDRQQNHTLVQVEIESGRPHQIRIHLSFIGHPLLGDPLYIAGGQPRCFDAEVVDESYAQDGGYQRPTNPVPGDCGYYLHAHRLVLSHPTLNEMVHITAPLPSVLQTKDEVNSSKGISIHITSAQLTP >OMO71566 pep supercontig:CCACVL1_1.0:contig11598:432:1075:-1 gene:CCACVL1_18153 transcript:OMO71566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease MTDTLLGFSEFFKEQLKNLSWNSSLIQVPDRSSGVRNWWERNKTNFTAMAMVITPTLLVSTTVPDAFSAATDIAGGYCMTMLYGVLPPAMAWALHTTDSDHKALKGAKPALCGVGLFACGIVVEQILQDLLALQF >OMO71570 pep supercontig:CCACVL1_1.0:contig11598:25198:33359:-1 gene:CCACVL1_18157 transcript:OMO71570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEPLPWDRKDFYKERKHDRTESQPQQTARWRESSSMPSYQHGSFREFTRWGSASDFRRPPGHGKQGNWHLFAEENGGHGYMPSRSGDRILDDESFRQSVSRGDWKYSRNSSRESNRGSYSQRDWRGHSWETNSVSPNTPGRPHDVNNEHRSVDDMLMYPSHTHSDFVNTWDQLQKDQHDNKTSGVNGLGTGQRFERENSVGSMDWKPLKWSRSGSLSSRGSGFSHSSSSKSLGGVDSGEGKPELQQKNLTPVQSPSGDAAACVTSAAPSDETTSRKKPRLGWGEGLAKYEKKKVDIPDTIIGRGVATISAGNTEPINSVSSNFADKSPRVLGFSDCASPATPSSVACSSSPGVEEKSFGKAANIDNDVSNICGSPSLGSQNHLEASSFNLEKLDINSIANMGSSLVDLLQSDDPCTVDSSFVRSTGMSKLLVWKSDILKALEVTETEIDSLETELKSLKADPGSRCPCPATSSSLPAEENGKACEEQEAVSNMIPRPAPLKIDHCDEVPEEMMPLCNGILEEVNADGKDGDIDSPGTATSKFVELSCLEKAVATSDVLKLHECSGDLGSIQLTAVEEVIPEVPSSNKEEAGDLISVDVSVLGKIDNDSEVPESLDSDAGRESSLCDVILATNQELANSASKVFDNLLPKDQFSIEISQIANLACRQVDSSIREQIAVRKRNLKFKERVLALKFKVFQHAWKEDMRSPSIRKYRAKSHKKYEMGLRTIHGSHQKHRSSIRLRLTSPGNLSLVPDAEMINFTSKLLSDSHLRLYRNTLKMPALILDEKEKQVSKFISSNGLVEDPCAVEKERALINPWTSEEKEIFMDKLAAFGKDFRKIATFLDHKTTADCIEFYYKNHKSECFEKTKKKLDPSKQGKSSANTYLLTSGKKWSREFNAASLDVLGAASVIAAHAESGMRNRQTSSSRIFVGTRFDSKVSRVEDGIAERSNSFDIIVNDRETVAADVLAGICGSLSSEAMSSCITSSADPGESYHREWRCHKVDSVVRRPSTSDVTQNVDDDTCSDESCGDMDPADWTDEEKSAFLQAVSSYGKDFAMISRCVGTRSRDQCKVFFSKARKCLGLDSLHPRTRNMCTPMSDDANGGGSDMEDAGFVESSVVCNNKLGSKVEDLPSAMVSMNVDESDPAGEVRLQTDLNNSEGKNGRHEDRIDSEAVETMVSDVVQPEQISESVGDMDVDSDKNEPVQVRTGVTEQCGAVSVSASVQEAVDSSLPGLGAVINAKIIASCSTGGIRDDLEAQETPLAKNGSDEPGLKCSAEMSSQSISRLDSNKSREESVDRDSCSSFSFDTKGLHQVPHLDSAAKPSVVLLPKENPSATGTALHNSDAIRCERICNQDRLSSTCDHQENEEKQSQKSVSGDESDRLSAKASVNHAESHQKLRGYHLQVSNAKEMNGDVSCSQLPEVKSLSTSERGVAGPYVAQDCYLQKCNGSKSVPELSLPMQKSEQARDHPKTHSRSLSDTEKPCSNGNVKLFGQILKSSSQDDSKVAHVPKQSTKPSSLKFTGHNNVDGNASFSKVERKNCHVPETVPKRSYGFWDGNRIQTGLSSLPDSAILVAKYPAAFVNYPSSSSQMEQQALPSVVRSSERNLNGVSVFPSREISSNNGVVDYQVYRSRDCTKVAPFTVDMKQRQEMFSEMQRRNGFDAMPNLQQQGRGVVGMNVVGRAGVLVGGGSCPSVSDPVAVLRRMQYPKTEQYSGQSGSIMSSREEESWRGKGDIGR >OMP00773 pep supercontig:CCACVL1_1.0:contig06619:648:722:-1 gene:CCACVL1_03298 transcript:OMP00773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALRFVISKTIQSSTNAPRKA >OMP10752 pep supercontig:CCACVL1_1.0:contig01970:659:742:1 gene:CCACVL1_00800 transcript:OMP10752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLPIQPGRHEWNSDHNIIDKSKMYSYK >OMO95644 pep supercontig:CCACVL1_1.0:contig07631:4701:5042:1 gene:CCACVL1_05333 transcript:OMO95644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHSLKLVCMVAMLCILGLNPMATAALDCEEVETQLSQCRIYLKSSGTTNKECCNGINGMAASAADHQQVCKCIQKKIGGRFVPKKIKSLVQALPQECGIDLKISATADCSK >OMO95645 pep supercontig:CCACVL1_1.0:contig07631:14493:14665:1 gene:CCACVL1_05334 transcript:OMO95645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTGWLRPQLIINRFANAYKRKSVVGLLPKKIKSLVQALPQECGIDLKISATADCS >OMO81710 pep supercontig:CCACVL1_1.0:contig10119:20051:20779:1 gene:CCACVL1_12267 transcript:OMO81710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGEAGRGEEGDEIRKKEDASLMDVHEVTERKIEANIEL >OMO81708 pep supercontig:CCACVL1_1.0:contig10119:1483:2163:-1 gene:CCACVL1_12264 transcript:OMO81708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MVMKKNMIQGRQKIAMKKIDKKNNLQVTFSKRRTGLFKKASELSTLCGVDVAIIVFSPANKVFSFGHPNVESTVDRFLTRNPSLLHNNNNNNVTHKLVEAHRNANIHQLNVQLTQLLEMLEGEKRKGEALDGMTEAGRRQCWWHAPIDELGLNELQQLRISLEELKRNVKKQANQALVQSTNWWPILASNGINGEGVSIFKNNQGNDEMNFALGITQMYNCGQGLF >OMO81713 pep supercontig:CCACVL1_1.0:contig10119:32291:33924:1 gene:CCACVL1_12270 transcript:OMO81713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 MAERGGGERGAFRRGFGGRSDRGGRGRRRGRKEEEEKWVPVTKLGRLVKAGNITSLEQIYLHSLPIKEYQIIDQLVGPSLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPDFWRETRFTKSPFQEYTDLLGKPTKTIVLDDADRAGDA >OMO81715 pep supercontig:CCACVL1_1.0:contig10119:36242:40193:-1 gene:CCACVL1_12272 transcript:OMO81715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSFGTATSTVISNDPKIRLQSFTGLKSSHSLALTRNLRVFPVPFSSPSVIRAVSTPVKPDTTAEPKRSKVEIFKEQSNFIRYPLNEEILTDTPNINEAATQLIKFHGSYQQYNRDERGTRSYSFMLRTKNVGGKVPNRLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKNLKTVMSTIIKNMGSTLGACGDLNRNVLAPAAPVTTKEYLFAQQTADNIAALLTPQSGFYYDVWVDGEKFMTSEPPEVVEARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVAGDNSVDILTNDIGVVVVSDVNGEPQGFNIYVGGGMGRTHRMETTFPRLAEPIGYVPKEDILYAVKAIVATQRDHGRRDDRKYSRMKYLISSWGIEKFRSVVEEYYGKKFEPFRELPEWEFKSYLGWHEQGDGSLYCGLHVDNGRVGGKMKKTLREVIEKYNLDVRITPNQNIILCDIRSSWRRPITTALAQAGLLHPRYVDPLNQTAMACPAFPLCPLAITEAERGIPDILKRVRAVFEKVGLKYNESVVIRVTGCPNGCARPYMAELGLVGDGPNSYQIWLGGRPNQTQLARSFMNKVKIQDLEKVFEPLFYYWKRKRQPKESFGDFTTRMGFEKLQELVDKWEGPVQTPARYNLKLFADKETYEAVDELAKLQNKSAHQLAMEVIRNFVAAQQNGKSE >OMO81717 pep supercontig:CCACVL1_1.0:contig10119:47708:47962:1 gene:CCACVL1_12274 transcript:OMO81717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKVAKRSDRKIYGLVYTRATPGRCTKNASAKDDDLIIGSLPKEKMKKVSAGSSSGVKDREREPLSEHCVGNVEGKEDTERKT >OMO81716 pep supercontig:CCACVL1_1.0:contig10119:43103:44593:-1 gene:CCACVL1_12273 transcript:OMO81716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKVTERSDRKMYGLVYTRATPGRCTQNAIARDDDLVIGSLPKEKLKKVSAGSSSGVKDGEREPLSEQQSSSGVKDGEREPLSEHCVGNVEGKEGTKRRTLKEDPKAEYSKKRSRSGKVLRAGNGKVELNTLLKEESKNINTPTKQKKGADGEMVRSYLLSAEKSLSRLRPRKEVPAFRIVDLEDDDNEIVVGKRVKIYWSGSRRWFTGCIEAFDKKKRLHSILYDDGDKEVLDLRKEQFELEVLPTDPFKLKIESHSARKADGLNVEDTGNVGEALMEENSKNVNGAKTMVKSSKSKQKKEAMEEAERTQEVKGSVQAVDDDSLNIKISELAERPKRSRKGLVPRVKRMRTNKVLSSDFDNVVEKQEADMNSHNVKDERKVQAGQTEFAATNKKSEDEPVAGITSVQRPGDVHYMEANHEAESIPEAESMKHCEASYPLQPFVQIGPEEAKPKVFQRKAKLENGNSDKEFKEGVDFISKKPASKKSKSNDGAAS >OMO81714 pep supercontig:CCACVL1_1.0:contig10119:34733:35050:1 gene:CCACVL1_12271 transcript:OMO81714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSMTASLLPSSSSTLTRLPSTTARRGLIVAKATRAEGDKASWEVKQESSNGRREVIFAAAAAAAMSIANVAMGEEPKNGTEEAKKKYAPICVTMPTARVCRK >OMO81711 pep supercontig:CCACVL1_1.0:contig10119:25596:26955:1 gene:CCACVL1_12268 transcript:OMO81711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPQHMIIDILCCCDPQDLWRFRCLSKECCSLIDSPYFINLHLSHSLKIKPARQMVISREWTSLRCVSLRSRVFKFEPFHEYSEVCEKPNNRTDYRIPFHNAYKTGDSLPRRGKTEFLGSEILGSCNGLIALLCKDTKKDDDEKMFKICVMNPSTRVSRYLPMEIPYDKDYKLVQVSKAKNETIPKTKVYSLNSDSWKSIDHGEVPIQYPLHWPFQKRHLPYCRGRWNGVHVNHALHWLLVNKNENHDLDWWLVNNAVIAFDLTTEEYRQLSLPPRQPSPRGMSSSARDLCEQVYELGGCLCVNYAEEKRYSIDINIWVMKEYGSRESWTKILRVNDDMDDEIQSRGLAYTYSKSGEKLLLMTQYNNSDWCNYLVDVDDKLFRPKKRKEEKLMLESLRRWKCVYALLLLSLHIKLELLQD >OMO81709 pep supercontig:CCACVL1_1.0:contig10119:3878:7143:-1 gene:CCACVL1_12266 transcript:OMO81709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERISMGTTITRRAKWQYPPAQPTPRILHLPRRPRRKVPKPSPSKLPSLQKEKTGRLESLFDQERSFKRGVVPVVLVSPRESDEGRRRERVEEEKRENSNVVFVEEEKWRFQAEMLRAECNLLRMEREIAVKKMERRRVQMERTLKSTIQTLLSGRKDICEGKNVSLVLEEQINDLVEKLEKLQKRSGVKDLEVKKCSNFDKQVSFLQRRLVKFGGITDEELCVKEIREMAEASLSIKMINEADESFVSSRNSNVEILRRKMEGLSKGVLLERMEEEYGSMLSTTNSSTTSSASSSKRIDYSDMSLSSIQQSYKETMSHEARVCSGRCKVIVQRILEQVRAETEQWSQMQEMLGQVRDEMEELQASRDFWEDRALDSDCQIQSLQSAVKEWRQKALSSEAKANELQGQVLMLHEEIERLRKERDRKMVRPRNMSQINQEAQNETEKRVLVCHVKENRCANDDGCNQTETLKDGRRKAQTCTAGLLPRRSPLREIGNMSAVMKQHGEGILPLFCLHREEMKRSF >OMO81712 pep supercontig:CCACVL1_1.0:contig10119:28304:28375:-1 gene:CCACVL1_12269 transcript:OMO81712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISEISSNLFRNVPESFVWRLT >OMO77008 pep supercontig:CCACVL1_1.0:contig10846:5154:18569:1 gene:CCACVL1_15234 transcript:OMO77008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLQAKGVPDSNSHAVPPSIPHSPQRRPRVREVSSRFMSSEAFTYSCGHAAKSPLLQQQQSISALRQRRYLETEAYENRPAPSKTPRSLGTSFNSYANIQNKNPHFTDCGNLQGRSTSTPLKPESPMLTIISFDRSASISSSSSSSKVRLNHRTAKISPVATASAADKLLQFRGVSLSSTFNASISSSQEISSVSARGIGGTADSLLDFRSTEREADLLPSVATRLLTDRNVNDGDSSKLYASPLVCSLNSLLSTCEANLSHRPNPPPIKGVSSKMAPLPLPRVPSHTKPDAKRRPKKVSGHQEDLQSLKLLHNYYLQWRYANAKAEASIQIQTRETERTLYSLDMKILYLYDLVRRKRIEIQLLRRMKTLLKILEDQMPYLEAWSAIQGDYSNSLSEVIQSLLNTSLLLPISGNVEADITKVGDAIRSAITLMEMILCHVQSFMPKAEEMESLISELARVAAGERALVEECGDLLSNTKTFQVEECSLRVAVGICPSTSRPFRMGFSTIPRPFRMGFSTIPRPFRMGFSTIPIRLRWRRRCLTIETEAELAASEAQSVSRRLILLRHAKSSWQHPALKDHDRPLSKTGQADAVLVSKKLQHMGWIPQLILSSDALRTRETLSIMQDKVRGFLEAEVHFISSFYSIAAMDGQTAEHLQRTICQYSRDEVLTIMCMGHNRGWEEAASMFTGASIELKTCNAALLEAKGTSWEELTNARGHILRCSHYTPSPFPEETPLPCVIYCHGNSGCRADANEAAVILLPSNITVFTLDFSGSGISDGDYVSLGWHEKEDLKIVVSYLRSKKQISRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTVKMAVQYMRRVIQKKAKFDIMDLNCLKVASTTFIPALFGHASEDKFIQSHHSDLIFSSYAGDKNVIKFDGDHNSSRPQFYYDSVSIFFYNVLRPPQFSSACSSKLEKYYDLGDLKVGAGMDESLLYEIITGLQSASSNVASSSSAPPSILMTKPVDELLSEVAPLTRMDSILSGDVNSSEPSNLQDKPNAQSDECCSYTSSNRESWGRCSSLGGSDEESSADCTTADNMHQVTLKVLATPLKSMQQNSPDPSKEENMKRAPTASKKPKREKFEKLEALSKRLRLCILKRVNRRHRSS >OMP11201 pep supercontig:CCACVL1_1.0:contig01483:2691:4902:1 gene:CCACVL1_00628 transcript:OMP11201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol oxygenase MTVIVQEPVVNNANTAATATVVENENVVDEVLLLDGFVIPKSNAFGHNFRDYEKESSRNAILEEFYRKNHINQTYEFARKMKEQYAKLDKARMSIWECCELLNEYVDESDPDLDEPQIEHLLQSAEAIRKDYPDEDWLHLTALIHDLGKVLLHPSFGAHPQWAVVGDTFPLGCAFDDSIVHHHFFKENPDYENPALNTKLGVYTENCGLDKVTMSWGHDEYMYLVAKGNNTTLPPAGLFIIRFHSFYALHRCGSYTYLMNDEDKEMLNWLKVFNKYDLYSKSKVRINVEEVKPYYLSLIQKVYIYIYIYIYNYMI >OMP11200 pep supercontig:CCACVL1_1.0:contig01483:1484:1576:-1 gene:CCACVL1_00627 transcript:OMP11200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKTLLILHLLYHVTALPFAIPSTLTSGR >OMO71840 pep supercontig:CCACVL1_1.0:contig11542:4151:4258:1 gene:CCACVL1_18045 transcript:OMO71840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELTMTALGLEKRKEDEDVDKESSMKIHSNSLVL >OMO79517 pep supercontig:CCACVL1_1.0:contig10406:21033:24741:1 gene:CCACVL1_13612 transcript:OMO79517 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IA, variant 3 MSDHSMVTLADLFHFMLELKSRLPKESSINSSSNPAIMAETNCRWSPKRVEAATRVIVEALKRAEYRWVSRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYLVRRCLNPVTKVLEYCLEDISTVFPNQEGLILNNSKVKPRCKITKAQLMKDICYLYKSILKEQRPTMSNGIFSAIPMAARIILDAKFFVKEYTEEFSMKVIRNGKFMLFCTVMLRNNEDFDHDHEAAGLMIKKPMPPFECVILKENATFDDLKLEVEKNFREVYWRLRNFVVENILNLSGIKGTDLVMGSVELGQKMVFLGSNDNNELMNYESGLINSGIIVDCPCGAKEDDGERISVRDFSCKQKSSNYCFPATEFIGRRIVPSPALPRLAANRLVNSPVEARAVELIQEVHAYKDEERNTRNWKYGIESGEDQKLKLWPLENRADNPSLHNPLLRQEMMGCGWLAAIFEWEGVIIKDDPEFDRQAWLALAEEEGKSPPPTFILRRIEGMKNEQAISETLCWSRDPAEVKRMAARKEDIYQALQGGVYRFCAGSSEFVDVLTHHKIPMALVSTRPRKTLEAAIRTIGIEGCFSAIVAAEDVHRGKPDPEMFLYAAQLLKFITERCIVFGNSNKTVEAAHDARMKCVAVANRHRVYELGAAELVVRRLDELSVVDLKNLADIESAEFKPQLEMEVEEEDDGPATTTAFDDSIF >OMO79516 pep supercontig:CCACVL1_1.0:contig10406:12091:18239:-1 gene:CCACVL1_13611 transcript:OMO79516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGGGSKQAASAQSKFQKTVSLREEASGKKQTKGGSTNVKAILKHEHLQNLAVWASGEASIPSLSSFFGHRLAADGEASAIPPDPSFFPCQRCETILQPGFNCTVRIEKNRAKVRNKHKKLHIMTQNSVVYNCHFCLHRNMKRGTPKGHMKEMHPPKLKAPVPKAVKSRPEKVVACSGKETNKDELKKVDVISSPVKAAENPTADDPVTPMSRGRTLLDLNKRKRNKSGSKRPAESENNPKAEKTVDASSKRRRKSWMSLREIVESGRLELLGDIVPLHFKPLENPVKFVGFVPDGEPEEDAKPIGAVVRLSGKGKGRRSHYEAFEFDGNRYDLEDPVLLVPEDKEQKPYVAIIKDISQTKAGTVMVNGQWFYRPEEAEKKGGGSWQSRDTRELFYSFHHDECPAESVMHRCVVHFVPLHKQLPNRKQHPGFIVQKVYDTEEKKLWNLTDKDYEENKQHEIDILVQKTLSRLGDLPDIEINDTAAVGGDREDQLKAKRTLRKKNISPLDVTRDDEGSTRSDQNLKAETPGSCTSNTTEHYTILSKFNVLTGENLRDRWMEKLLQGVQYMCNSPDSMHIDDKGKGSSDSIDRERDAKSSVTTNGSHEKASKSGKSFQWPDAAVSAVSALEKVSHDALSSDFQKYNQKLRQLVFNLKNNQLLARRLLNGELEPITILNMSPNELKMTDARCSRCSELKVGVRDIIQAGHGDRYQLECIACGNSWYASRDEASSLTIGPSNSVKGAGNGSVAKPEPEKKLVSPRPEPEKKLVSPRESESIKEE >OMO79518 pep supercontig:CCACVL1_1.0:contig10406:27804:32210:1 gene:CCACVL1_13614 transcript:OMO79518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate--cysteine ligase, GCS2 MALVSKAGPSYCIRNDITHSRTRQNAFGVVEATITKEKSVSFSSLSCNNSNIARFFPQEIHGFGSKRRNSLIVAASPPTEDAVIAAEPLTKEDLVGYLASGCKPKENWRIGTEHEKFGFEFKTLRPMKYDQIAELLNGISERFDWEKVMEGDYIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKWGIKDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFDSEADMIRKFRAGLALQPIATALFANSPFTEGKPNGYLSMRSQIWTDTDKNRTGMLPFVFDDSFGFEQYVEYALDVPMYFVYRKKKYIDCTGMTFRDFMAGKLPCIPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEVSLQNVLDMTADWTPEERQMLRNKVPKMGLKTPFRDGYLRHVAEDVLKLAKDGLERRGYKESGFLNEVAEVVRTGVTPAEKLLELYHGKWGQSVDPVFEELLY >OMO79520 pep supercontig:CCACVL1_1.0:contig10406:38717:42875:-1 gene:CCACVL1_13616 transcript:OMO79520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGCSKLSACCWTSDQNGSIPEADNVENVEKGEVDDLPAFREYSIETLKMATSGFAVENIVSEHGEMQPMKWAMRLRVALHLAQALEYCTSKGRALYHDLNAYRIVFDDEVNPRLSCFGMMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVMYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFSNDDGTELVRLASRCLQYEPRERPNPKSLVASLIPLQRDVEVPSHVLMGIPHGGAAVPLSPLGEACLRMDLTAIHEVLEKLGYKDDEGAATELSFQMWTNQMQETLTSKKKGDVAFRHKDFRAAIECYTQFIDVGTMVSPTVYARRSLSYLMSDMPQEALNDALQAQVVSPVWHIASYLQAAALFALGKEDEAQAALREGSALESKKNATA >OMO79514 pep supercontig:CCACVL1_1.0:contig10406:424:3929:1 gene:CCACVL1_13609 transcript:OMO79514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASKKPCRSDKPSIKDRWSPTEGAFFEVGELVPEEYAPSSLPGKIRKRRTKFEAKQPEILSTAELISSLNQIWDSASNLAIFKQQANLDRNNCVSQKEDILVNLGREENGLGSISADSKYFCVDLGTASQPSPVRKPNFEFVKVTKKMSMFDSCNENINNSIYQGILCCGTDSSNESWKRKGLAAVGFSYEFGNVYKWMREMIPAGLQYYANVPHEAENKKIGKYCIANGRSSDLGGCISAEQTSPTDNLLIETAEPYSHCTKSEDSSISHDTISFLSTRGTASLCSDYFLTDVQETKADCCVSRTFNSNLCADYHISSILASCNSTYKECQHLSDGNEYFENERRQPKVFSEEDESRKEGHLTASEKPQYAFAKQEHAFAGAFAGIFVSLCLHPVDTVKTVVQSCRVEEKSICYIGRSIISERGLTGLYRGIASNIASSAPISALYTFTYESVKGALLPLFPKEYHSLAHCMAGGCASVATSFIFTPSERIKQQMQVGVHYENCWNALVGILKKGGLPSLYTGWGAVLCRNVPHSIIKFYTYETLKRVMLTSMQSSAQPNTLQTLVCGALAGSTAALFTTPFDVVKTRLQTQIPGSSSQYKSVYHALQDIRTCEGLKGLYRGLIPRLVMYMSQGALFFASYEFFKQLFSLEVPHLSTQQKHQENKDDSPSQLPSPSTSISTAKHHHRHQGGTVCTPNC >OMO79519 pep supercontig:CCACVL1_1.0:contig10406:34990:37334:1 gene:CCACVL1_13615 transcript:OMO79519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin MREILHIQAGQCGNQIGGKFWEVVCDEHGIDPTGKYGGDSRVQLERVNVYFNEASGGRYVPRAVLMDLEPGTMDALRTGPYGPLFRPDNFVFGQNGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQICHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTIPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLTMSSTFMGNSTSIQEMFRRVSEQFTVMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAVADQEGEDYEEDAMEN >OMO79515 pep supercontig:CCACVL1_1.0:contig10406:5913:8629:-1 gene:CCACVL1_13610 transcript:OMO79515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLEKNCTLDLSPNTVLPSPRQCSNIEKRYSKGKPGRQDDILRAKEAFNEISFQRYRSASCRNPSRPVGTENNAELKRGSIYQSSKEVRKMKKTGTVEGRRKIELSRVSDSSFSFRIVDSLCNLEEESTRVRKPVMPAVSKLKSRSISKPSSEPCSSDGFIELCLSSDGREKQSEVAVGIDNNRKDFSFSCEPVVGPLDDGNDLDEKDTVRNLPKSFSAKVEVPPSPSSSESDRSRASSRVRFSPIRKMFDPFMKSKSLRSPLGYAAEADEVKPIGMENMRRTRTLRKSLLHDFSHSPQNTEMDSQFVKKDNFHSPVATSPVHLHGCLKLGAKHGVPFFEFTTNQPEEVFLANTWKGDNAFNWVYTFHSIGNRKKSNASIWGLSDSNKNSSIVAQMQVSCCLCSEIKDGGILDNSMVSEFVLFDVAHSRQHVSVQGSPDVHTTSTCSSPGLATGCYESDDGSNMVKLKDHLNLASDTDEFESPNRSTSRPPADLQPNLEIAAIVIQVPFKKRESLKYRRGDKIGDKRQLNLLNITMAEENKSIIKDSSSKEKVKVVIPTGNHGLPTAETRGPSSLLDRWRMGGGCDCGGWDMACPLVVFGNPGINCSEDQPLVDREQPFELFLQGAKESTPALTMTAIEGGYAVDFHVKLSALQAFSICVAILHGTETSAAAAGEAQSNHLSDCNSLKMLIQEEVKYLIEAVTEEGRKKVSKKVEVIPPSYVINPPFSPIARV >OMO88597 pep supercontig:CCACVL1_1.0:contig08985:18308:18463:-1 gene:CCACVL1_08301 transcript:OMO88597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEGPHPHGLAIHPMREGGGKAALHLFRKKSQMLGNQKTGLKDSFVPEGW >OMO55880 pep supercontig:CCACVL1_1.0:contig14575:17894:24931:-1 gene:CCACVL1_26936 transcript:OMO55880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPFTSVPSFIRIYNKGNIGSNVNSFINVPQTRKVVAVTAKVDQEITATRRSANYHPTIWEYDYIQSLNSDYLGESCNERAVKLVGEVRMMLHKVMDPLEKLELVDNLQRLGLSYHFEDEIKTILEGTVRADQRNFARKKDNLYATALEFRLLRQHGYKVTQGETILKRARDFATKNLKEYAKQNKNKPLTKLVDHTLELALHWRTPRLEARCFIDVYERQKDRYNIVLELAKLDFNMVQAVHLDDLRYVSQWWRDIGIGEKLTFARDRLMTNFLWTVGVASDPQFGYARRILTKWANLCKAYLVEAKWYYSGYTPTFKEYMENARISISAPVLLSYVYFLTTSSISKECLECLMESSGVIYCTSMILRLTDDLATSLTSISFMAPHQATQCVATTKVSDQTIVRRSANYHPAIWEDDYIQSLKSNYKGGELRAMELVAEVRILMVEQVVDPLKQLELVDTLQRLGLSYHFENETKKILESLRAESDDDCNMAWKKDDLYATALAFRLLRKHGYKVTQEVFSGFMDEKGNYKACLCEDWKGLLSLYEASYLLGEGESILENARDFAAKHLREYVKQNKNEEVSMVVEHALELPLHWRMPRLETRWFIDVYERRNDRNLIVLELAKLDFNLVQAVHQDDLRYLSNWWKDLCLGEKMTFARDRLMENFLWAVGVATHPSLGKCRRILTKVGALITIIDDIYDVYGTMDELVLFTEAVQRWDTSTMELLPEYMKICFLALFNSINETAFDILKEQGINTIPLLKKMWADLCKAYLLEAKWYYSGYKPTLQEYIDNGFISVSFPVILAHAYLATNSIRKECFKYFTEYSDMIKFASVIGRLGDDLGTSSDELKRGDVPKSIQCYMHQSGASEVEARQQIAELIDSTWKKINNQEQIADQFSFSETFMQIAVDLPRMGHCMYQHGDGHGIGYRETKDRILSLIVFPIP >OMO55881 pep supercontig:CCACVL1_1.0:contig14575:26376:29196:-1 gene:CCACVL1_26937 transcript:OMO55881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSSMLTASVPKWDFIKVHGKGISNRTILNRRFHVVQVQAQTTKLVATANVSDQKIVRRYANYHPPIWEYDYIQSIKSDYLGKSCNEQAMKLVGEVRTMLDKVMDPLEMLELVDSLQRLGLAYHFENETKRILESIVKVNQSNVGWKKNNLHATALEFRLLRQHGYKVAQEVFSSFMDETGDFRAGLNEDCKGLLNLYEASFFSVEGENILEKARDFATKHLKEYVKQKNKDEYLSMLVEHALDNPLHWRVLRLEARWFIDVYERQENRIPILLELAKLDFNIVQAVHQDDLRDTSKWWKDTGLGQKLSFARDRLMENFLWTVGVVFDPKLGNVRRNSTKVNALITTIDDVYDVYGTLDELELFTQAVERWEINSMDPLPEYMKICFLALFNSVNIMAYDTLKEKGFDSLHFLKKAWANLCKSYLLEAKWYYSGYTPTLQEYLDNAWISISAPLILTHAYLATNSTRKECLECFDEYSNIIYCSSMILRLADDLGTSSDEIKRGDVPKSIQCYMHETGSSEEEARKHIRKLIDATWKKMNEDRIAHPPSSHTFVQIALNLARMAQCMYQHGDGHGIEDHETKDRVLSLLIFPIR >OMO55882 pep supercontig:CCACVL1_1.0:contig14575:29663:30764:-1 gene:CCACVL1_26938 transcript:OMO55882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWLDVGRPWAILKRTKSLP >OMO55877 pep supercontig:CCACVL1_1.0:contig14575:2375:6288:1 gene:CCACVL1_26933 transcript:OMO55877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MDKKKPYLAVILIQAIYAGMFLLSKAAFDGGMNNFIFVFYRQAAATVFLIPLAFFFEWKTAPPLSFGTFCKIFMLSLCGITLSLNLYGVALIYTSATLAAATTNLLPVITFFLAVLLRMEALRLKTRPGIAKMGLVLKSYPSKLLFTTLQCFLSSIQSFAIAIALERDPVEWRLGWNVRLLAVAYCGIVVTGVTFYLQALVIEKKGPVFLAMSTPLNLIFTIFCSAILLCDFVSLGSLLGGLLLILGLYSVLWGKTREQKLLEYQNKLPVHVEKECTELKVVT >OMO55878 pep supercontig:CCACVL1_1.0:contig14575:6739:7743:-1 gene:CCACVL1_26934 transcript:OMO55878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRGKLNMKLIAKEKVRLATYQKRKKGLIKKAHEFSILCGVDTCVILYGPKTKDGPSKLEIWPSDQAKVMKVINKYKSKPLDARERKSFNVFDFLDARQKKIDDEIWKLRKSNLEAKFSPWDERINNLAIDQIWALLSGFDSKLEAAKKKIKMMKENCHRHHCLIDESNQPRLNVPDHQLRPCIFPKNFDLEVTSRQQQQQPMLGLKPFDMNVPSYYPFGPDEALPMQPFNSNPIDLSMKKLTPNGFDYTQLDGESSSSITHNSSLSPQTGYDPMLDKVMFNNPWGLPICFYPPFMQPMPPFGHSSTAMPSFPSHQYGEFFDDQYESRNKEHKF >OMO55879 pep supercontig:CCACVL1_1.0:contig14575:10131:10952:1 gene:CCACVL1_26935 transcript:OMO55879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin MAFRLSNNLVGILNFVTFVLSIPILVGGIWLSREGVTECERFLDKPFIAIGVFLMVVSLAGLIGACCRVTWLLRVYLVVMFLLIAAGVAFTAFSFVVTNKGAGRVLSNRGYKEYRLGDYSNWLQKRFSDGKNWNKIKSCLADSKVCTDYSQKYGNLNLSSQEFYKQHLSAVQSGCCKPSNDCGFTYVGPTNWTAAAGGGPTKSSNRDCDLWKNDQNTLCFNCDSCKAGFIHHLKRSWRKVTEINIVFLVFLIVVYSVGCCALRNNTRDNHYYP >OMO98971 pep supercontig:CCACVL1_1.0:contig06976:6933:9505:1 gene:CCACVL1_03988 transcript:OMO98971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQSSSSRRKQSSIGCMSAIFNLLSKQHNRSKFLTSSKETPPTSPMLPSEMRRSNSVEMSPRHTVVTRLMGLDKFPEPAADSTADKRRKLLGALDKCDEDLKALQSIVEAVKKKKNNYNIETNKSLEAVIHDLKKGINGGKLQLKNTKPGEEEHISFIARRRRNDNMEMSGLWRISKAMAESVDGVCKDIAWGEKREIGRIGLALQDHICKDLIEEIVREMQCCYTTYSLPYQACKRGLSF >OMO72494 pep supercontig:CCACVL1_1.0:contig11439:418:489:-1 gene:CCACVL1_17754 transcript:OMO72494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREEEEEKKLQLYLKKYEIMVKQ >OMO72495 pep supercontig:CCACVL1_1.0:contig11439:1909:3961:-1 gene:CCACVL1_17755 transcript:OMO72495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSRPAVHPVEAPPPLTEAAAHGPRVRMKDVQGMPGTKGGLLLRLIQFIFAIISVSVMATTSDFRSATAFCYLVLAVGLQSFWSLSLAFVDIYALLVKRSLRNSIVIRLFTIGDGITSTLTFAAACASAGITVLIGNDLDKCALNHCTRFETATAMAFISWFAMSPSFLLNFWSLASQ >OMO72496 pep supercontig:CCACVL1_1.0:contig11439:4598:7119:1 gene:CCACVL1_17756 transcript:OMO72496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MNNHQNFFNLFHPPYDRRPLFFHLSGPPGTGLPVYRPAHLGNENDGYIIRYRLSEVHPAVPPPPRMPQQNIVAHSLVPTPAFDDLPHHFYVGGVPVGGGPVVDTIRVFFCTTIGNSQEPGTAYPANITLLHSVHPESYEQFHTAPGRVLDTNKRVQEAACSAFATLEEEAFEELAPRLEKVLSVDCFYQLQPFYLEILMPPLTSKWQQGSNADYDLFPLLECFTSITRVS >OMO72500 pep supercontig:CCACVL1_1.0:contig11439:48369:51276:-1 gene:CCACVL1_17760 transcript:OMO72500 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MEKCLDSQLWHACAGGMVQMPSINSKVFYFPQGHAEHACGPVDFRNSPRIPAYILCRVAAVKFMADPETDEVFAKIRLIPVTSHEPDFEEDGINGGIHGNETQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGGGPESSSGWNTTSGNCIVPYGGFSAFLREDENKLMRNGSSNGLNSNSNMMGKRKVRPEQVIEAATLAANGQQFEVVYYPRASTPEFCVKASLMKAALQIRWCSGMRFKMAFETEDSSRISWFMGTISSVQVADPLRWPDSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPAIHLSPFSPPRKKLRLPQHPDFPLEGQLPMPTFSGNLLGASSPFGCLPDHTPAGMQGARHAHYGRSFSDLHLNKLQSGLFPAGFPPPDYTATPNRTSNGCPIIQKPSMSETVSCVLTMAHSTQNSKKTSDAKTPQLMLFGQPILTEQQISLSCSGDTVSPVLTGNSSSDGNLEKTANVSDGSGSALHQQGQPERSSCEGLPWFKDNRQEAEAKLEKGHCKVFMESEDVGRTLDLSLLCSYDELYRKLADMFGIESSETLSHLLYRDIKGAVKQIGDEPFSDFMKNARRLTILMDSSSDNVGEYRGRNTS >OMO72497 pep supercontig:CCACVL1_1.0:contig11439:21143:21980:-1 gene:CCACVL1_17757 transcript:OMO72497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMQYGSPGRQIQQVGGIRKDQTNVSVIPKKTSVDAARSRKRPWFQPCLPHEKKVRFRSYGVTGGVKEVVVPVEQYTQQLPQQISLLDHQGIIVVDVQPCRGGPTLRRRVSSGVREMQGELDLRENEFCELMNHEIMNFRELENGES >OMO72498 pep supercontig:CCACVL1_1.0:contig11439:45919:46038:-1 gene:CCACVL1_17758 transcript:OMO72498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSISLATADQTRSLVGSCEVRVFSPPDGNRFTNVFFL >OMO72499 pep supercontig:CCACVL1_1.0:contig11439:46570:46668:1 gene:CCACVL1_17759 transcript:OMO72499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPLPEQQAKQSSKRQSSPVIETPQHMQNP >OMO75075 pep supercontig:CCACVL1_1.0:contig11065:4190:6988:-1 gene:CCACVL1_16323 transcript:OMO75075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1B, gamma chain, conserved MALVLHAGKTNKNAYKALIAAEYSGVEVKLPENFEMGVSNKTPEFLKMNPIGKVPVLETPEGPVFESNAIARYVARLKADNPLYGCTLIDYARIEQWIDFASMEIDANIARWYYPRKGYFVYLPPAEEAAIASLKRALGALNTHLASNTYLVGHSVTLADIIMTCNLYLGFSQILIKSFTSEFPHVERYFWTLVNQPNFKKILGEVKQAESVPPVPTKKPAAQPKETKPKAKEEPKKEAKKEVEKPAKAEAAEEEEAPKPKPKNPLDLLPPSKMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNEENTVSFVTMNKVGGFLQRMDLARKYAFGKMLVIGSEPPFKVKGLWLFRGQEIPQFVMDECYDMELYEWKKVDISDEAQKERVSQMIEDAEPFEGEPLLDAKCFK >OMO75086 pep supercontig:CCACVL1_1.0:contig11065:59989:64522:1 gene:CCACVL1_16334 transcript:OMO75086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNCNCMFPDPLPGKWLKGKAIGSGAFGTVHLALNKANGSLFVVKSAESCAGLESLENEANILEGLNSPFIVQCMGKELSKGLNGERKINVFMEYMAGGSLSDVAEKFGGGAMDEEMIRLFTRGILYGLKYLHEQGIVHCDLKCTNVLLGSSGNVKLTDFGCAKRIKDMKETLGQSVGGTPLWMAPEILRNEGLDYSADIWSLGCTVIEMATGKPPWSDEFTNPAAAILKIACSNDKPLFPSKFSKKGVSVYVYNLPAEFNLGLLEDCSHLNVYTNMCPHVANHGLGQPLNNMDHGSADSNPNPWFATHQFIAEMIFHARVENHPCRTWDPSEANLFYVPFYGGLHVSSKFREANHTIRDALAVRLADYLESQPTWRKNHGKDHFIALGRTSWDFMRTDSGPDFGANCLLNLPCVKNMSVLTVERNPWKGSNQHGIPYPSYFHPSTSHQMLTWQDMMRQSNRPHLFSFIGAPRKGVDKAAIRDEMIKQCGESSRCKLVKCDRGNPKCYDPAEILGVMRESQFCLQAPGDSFTRRSTFDSILSGCIPVFFSRHTAYTQYAWFLPTEESNYSVYMDEQSDESKRIEEELLKIPKEEVERMRARVIDMIPRLTYVHPNASDIGFEDAVDVALEALAKHVRDKLQDDNNNAAIAESM >OMO75099 pep supercontig:CCACVL1_1.0:contig11065:155844:156147:1 gene:CCACVL1_16347 transcript:OMO75099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTGQTCSESESWKAGEEPTFAAAIIELFCLLPHAASKFLDELVTSTIGSTPWPI >OMO75085 pep supercontig:CCACVL1_1.0:contig11065:52801:59159:1 gene:CCACVL1_16333 transcript:OMO75085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosylceramidase MSETNVFSNGFDEGDKDTSNLPTNKVDPGKPASLTWKRKLNGEACVPSMFTLTFREILHMAPIGIRILQHLREQSSKGRKVFINPFAKRYVTSCHGVPLGGVGAGSIGRSYKGEFQRWQLFPRMCEDKPILANQFSAFVSRSNGDKYSSVLCTASPELLKENAASGIHSWDWNLKGNNSTYHALYPRAWTVYEGEPDPELKIVCRQISPVIPDNYEESSFPVSAFTFTLHNTGKTSADVTLLFTWANSVGGASEFSGRHSNSKIMMNDGVHGVLLHHMTADELPPVTFAIAAQETEGVRVSECPRFLISGNSQGITAKEMWEEIKEHGSFEHLKSTDMLVPSEPGSSIGAAIAASLTIPSDSVRTVTFSLAWDCPEVNFFNGKTYHRRYAKFYGTDGNAAANIAHDAIMRHSQWESQIEAWQIPILEDKRLPEWYPVTLFNELYYLNSGGTIWTDGLPPVQSLVSIGERKFSLDRSKLGLKSIIDVPHQSDTAIDILGRMTSIIEQIHTPIASNSAFGTNLLQEGEENIGQFLYFEGIEYHMWNTYDVHFYASFALIMLFPKLQLSIQRDFAAAVMMHDPSKMKLLHDGQWVPRKVLGAVPHDIGLDDPWFEVNAYCLYDTDRWKDLNPKFVLQVYRDVVATGDKKFAQAVWPSVYVAMAYMDQFDRDGDGMIENDGFPDQTYDTWSVSGVSAYSGGLWVAALQAASALAHEVGDKGSEDYFWYKFLKAKAVYQKLWNGSYFNYDDSGSHTSSSIQADQLAGQWYARACGLLPIVDEDKATSALETIYNYNVLKVKDGKRGAVNGMLPDGRVDMSSMQSREIWSGVTYGVAATMIHEGLLDMAFHTASGIFEAGWSEGGFGYSFQTPEAWNVDGAYRSMTYMRPLAIWAMQWALTRPKLPKQEAKPEVNADALRVHHAGFTKVARLLKLPEEEGSRSLLQVMFDYTCKRMLK >OMO75098 pep supercontig:CCACVL1_1.0:contig11065:149854:153195:1 gene:CCACVL1_16346 transcript:OMO75098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASRIYKEGRVQVCKERKKLMKQLVGYRGEFADAQLAYLRALKNTGVTLRQFTESDSLELENTSYGLTLPPSPPSPLPPAPPPPPSFSPDSRKASDNGKGEAAQEESIEINQDDCSTPPPPTTSSSWNYWDLFESPSPLHHPKQSESVDPAEDENWAESKMEFEDEEREEELVRNTAVSLLPEKPQPGEIVDDNSSMMSWYNKDSTDLAMVVWKNKKTLEGIVKELDDYFLKASAGGKEIAVFTDINIGDNSLPWKLKENKRKRSNSAKVFSALSWSWSSKSLQFARDAVESGSIEPCKPGAHCITLDKLYAAEQKLYKEVKEEEMTKLELERKLMLLQKQDENHDWTKTEKIRSSVENLEADIRRLQHSISTTCSSILELIDEELYPQLVALTSGLMEMWKKMYKSHQVQNHISQQLNHLSDNLSMDLTTVSHRHATAQLETEVSFWFHSFCQLVKSQQDYVRTLCRWIQLTDCLVDDQQQNRCSSAARKLCEEWQRGFDKLPDKLASEAIKSFLLAIQSIIRQQAEEHNQQKKSDKLEKRLQKEITSLSELEKKVEGNAAALDGNSTLSPKHPLSLKRAKTEALKKRVEMEKGKHLNSVQVCKTMTLSNLKTSLPNVFQALMQFSRASAQAFEAINGHSRPEVPCNASENSTN >OMO75074 pep supercontig:CCACVL1_1.0:contig11065:145:3144:1 gene:CCACVL1_16322 transcript:OMO75074 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase IWISLSRYRTAKGSNRIVDKAIDFDQVDRESNWDDQIMLSAIGAYLGNMLIPGASYFPFWRLDGVILTILLHMGPVEFLYYWFHRALHHHYLYSRYHSHHHSSIATEPITSVIHPFIEEVAYFLLFMIPLLAMVVTGTVNIVAGFGYITYIDFMNNMGHCNFELVPKWVFSVFPPLKYFMYTPSYHSLHHTQFRTNYCLFMPIYDYIYGTMDKSSDELYETSLKRQEESPDVVHLTHLTTTDSIYHLPLGFAYLASKPYASKWYLKIAMWPVTWFCGRTFVSERNVLNDKLKLQSWVVPRYTMHYVSKGTQKGDLNKLIEDAILEADKKGAKVVSLGLLNQDEELNGNGELYVQRHPMLKTKIVDGSSLAAAIVVNSIPMETTQVLLTGKFSKVGQAIALTLCQKGIQVATMNEDVYNKLKQSLDTKFGNNLLLAQNYDQRIWLVGEGLRRGEQFQARTGTLFLPFCKFPLKNVRKDCYYQIIPSMVAPKSLENVHSCENWLPRRVISAWRAAGIIHGVEKWNVDECGERMFSMDKVWKAALHHGFRPYSLPINLPD >OMO75081 pep supercontig:CCACVL1_1.0:contig11065:26598:26885:1 gene:CCACVL1_16329 transcript:OMO75081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MLIGNKTDLKHLRAVATEDGQSYAEKEGLSFIETSALEAVNVEKAFQTILSEIYRIISKKSLSSDEPAPASIKEGKTIAIGAPETTTKKACCSSS >OMO75097 pep supercontig:CCACVL1_1.0:contig11065:135794:142234:-1 gene:CCACVL1_16345 transcript:OMO75097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEDLEGGGDEGFHGKGGRKYRPVVANDRAVLEMSSMDPGSSSTVSQSSIRNIKVVTQGESGSDRREGSNPVNGGVNGPHSNKLELFGFDSLVNILGLKSMTGEQIQAPSSPRDGEDVSITSGHTKPSDVKLGTMMGVFVPCLQNILGIIYYIRFSWIVGMGGIGESLLLVSFCGLCTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGALYVLGAVETFLKALPAAGIFTETTTKVNGTVSEPIQSISTHDLQIYGIVVTIILCIIVFGGVKMINRVAPAFLLPVLFSVFCIFIGIFLAKKDNPASGVTGLSLESFKDNWSSDYQNTNEAGIPDGDGKVEWNFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLAATLTTTGLYIVSVLLFGAVATRDKLLTDRLLTATIAWPFPAIVHIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVADGSEPYIATLFTSFICMGCVVIGNLDLITPTITMFFLLCYSGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLLGASLCIVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLVFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVNILDGDYHDRAEDAKAACKQLDTYINYKNCEGVAEIVVAPNMTEGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLNEIPVRFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDADAEGLKADVKKFLYDLRMQAEVIVITIKSWDVQSDGGTQQEESLEAFNAAQQRVAGYLAEIKEAAKKHGTPLMADGKPVVVDEHQVEKFLYTTLKLNSTILRYSRMAAVVLVSLPPPPVNHPAYCYMEYLDLLVENVPRLLIVRGYRKDVVTLFT >OMO75076 pep supercontig:CCACVL1_1.0:contig11065:8100:10145:-1 gene:CCACVL1_16324 transcript:OMO75076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor EF1B, gamma chain, conserved MGVSNNTPEFLKMNPIGKVPVLETPDGPIFESNAIARYVTRLKADNPLYGSSPFECALIEQWIDFASLEIDANIIYWFRPRIGRAVYLPPAEEATITALKRALTALNTHLAHTTYLVGYSVTLADIVMTMNLFLGFTMLMTKSFTSEFPHVERYFWTMVNQPNFKKILGEVKQAESVLPVPSKKPSQPKEAKPKAKDEPKKEVAKEPAKPKVEAAEEEEAPKPKPKNPLDLLPPSKMVLDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNEENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSSPPFKVKGLWLFRGQEIPQFVLDECYDMELYEWKKVDISDEAQKERVSQMIEDYEPFEGEPLLDAKCFK >OMO75095 pep supercontig:CCACVL1_1.0:contig11065:125350:127271:-1 gene:CCACVL1_16343 transcript:OMO75095 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MASHEIDGRGGNELKKRGCGCSKQDFLPEQSFQNWTSYFKALSETGPRLKDRLLTRSMDKVELHDMRARSGHEMKKTLYWWDLIWFGMGAVMGSGIFVLTGAATRLYSGPAIMISYAISGFAAMLAVMCYTEFCVELPVAGGSFTYLRVELGDLVAFIAAGNILFEYVVAGASVSRSWTSYLATLFNKSPNDFRIHVPSLPHDYNYLDPIAIVISAVICVAACLSVKGSSRFNSIATIIHLVVLAFIVIAGLTKADTENFSDFAPNGIRGILKASSIIFFAYVGFDGVATLGEETKKPSRDVPIGHDEVLLSNLLGQARYFTHIGRTHMAPPFLAKVNERTGTPLNASIVMTCINSVVALFTELEVLSNLLSLATLFIFSLVALALLVRRFYVRDETTGSQKDRMIAMLVLIVGSSIGNSVYWAVGNGGWVGHVVALLIWFGGTLGLQLLIKLQKKPKSWGVPLVPWLPSASFAINLFIMGSIDKDAFIRFGIWTLILVVYYVFIALHASYDAAHENTRTADVEEGQHGASTTNIEEEHEGTSTRNIEDGQHGTSTSNIEDGQQETSRTNDEGQQGGASTSNT >OMO75096 pep supercontig:CCACVL1_1.0:contig11065:129146:131820:-1 gene:CCACVL1_16344 transcript:OMO75096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MGVEGASGDGGGIRRRGCSCTKDDFLPEESFKSWGNYVQALKETPTRFMDRVFTRSLDSTELHEIKARSQHEMKKTLTWWDLIWFGIGAVIGAGIFVLTGLQANQVSGPAVVISYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYVIGGAAVARAWTSYFATLCNHDPEDFRIIVHSMSPDYGHLDPIAVAVVCIICVLAVLSTKGSSRVNYIASVIHVIVILFIIIAGFTKADTKNYSNFAPFGVHGIFKSSAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGSMVITTTAYCLLAVVLCLMQPYSQINKDAPFSVAFEAVGMSWAKYVVAAGALKGMTTVLLVGAVGQARYLTHIARTHMMPPWLAQVHPKTGTPINATVVMLAATAIIGFFTELGILADLLSISTLFIFMLVALALLVRRYYASGETTTTDRNRLIICLSLIIGSSIATAVYWGISDDKDWIAYVITVPIWFLATVALAFFVPQARKPKLWGVPLVPWLPSASIAINIFLLGSIDGASFIRFGIWTGVLLLYYFFFGLHASYDTAKESEANKIGDGLKKVEEGVASSEAKSGSQIELIK >OMO75084 pep supercontig:CCACVL1_1.0:contig11065:47462:48968:1 gene:CCACVL1_16332 transcript:OMO75084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c, class IA/ IB MASFEQAPPGDVKAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSAANKNMAVIWGENTLYDYLLNPKKYIPGTKMVFPGLKKPQDRADLIAYLKESTA >OMO75094 pep supercontig:CCACVL1_1.0:contig11065:108416:124571:1 gene:CCACVL1_16342 transcript:OMO75094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat 4 MEDPEGQLGEDAVEKPLNLENQLAASPAESVNKVNKSGKSSETADSKVSTPTNSTKKRSDTRNGPDLTSGFARSTISSSLRSSNSVAVTRRNSTGGVPEKSSVSNARPQNNASTTVGKKPTTPSATESVRRSLPELRRSSLPSPATKPTSRTSLSETRKSVPVSPVGRSLSTSTASDTSIQKAVRKSIVKPALSASSSLKKTTSSSVDSTASSTSRKTISKVSSPSTRSPTVSSGLRAGSLSSSLDRSSSLSGRKKPATPESRDSRFIVLPQVEIKAGDDVRLDLRGHRVRSLNASGLNLSPNLEFVYLRDNLLSTLEGVEILTRVKVLDLSFNDFKGPGFEPLENCKALQQLYLAGNQITSLVSLPQLPNLEFLSVAQNKLKSLSMASQPRLQVLAASKNRISTLKGFPYLPVLEHLRVEENPILKMPHLEAASILLVGPTLKKFNDRDLSRDELALAKRYPAQTALCIRDGWEFCRPEHAADSTFRFLFEQWKDHFPPGYLLKEASIDKPFEEDACRCHFSFVQESTLSSDLDIILKYKWFLGERTLSNFTAIPDADGEVYWPKHEDIGKILKVECTPVLGETEYPPIFAVSSPVARGNGIPKVVNLEVHGELVEGSIIKGHAKVAWCGGTPGKGVASWLRRRWNSSPVVIAGAEDEEYRLTIDDIDSSLVFMYTPVTEEGAKGEPQYKYTDFVKAAPPSVSNVRIIGDVVEGNVIKGAGNYFGGREGPSKFEWLRENKETGDFLLVTSGTPEYTLTKEDVGRRLAFSYIPINFEGHEGESVSVVSGTVRQAPPKVTNVKIIGDLRENSKITVTGIVTGGTEGSSRVQWFKSNFSTFNAENDLEAMSTSKVAKAFRIPLGAVGYYIVAKYTPMTPDGESGEPVFVISERAVETLPPSLNFLSITGEYTEGGILTASYGYIGGHEGKSIYNWYLHEVENDTGTLIREVSGLLQYRVTKDAIGKFISFECTPVRDDGIVGEPRTCFGQERVRPGSPRLLALQITGNAVEGTILSVDKKYWGGEEGDSIFRWFRTSSDGSQCEIRGADASSYMLSVDDIGFFISVSCEPVRSDWARGPIVLSEQIGPIVDGPPTCQSLEFLGSMMEGQRLSFIASYTGGERGDCFHEWFRVKNNGVKEKLSSDEFLDLTLDDVGRRIQLVYTPMRKDGAKGNPRSIISDEISPADPVGLDLVIPDCHENQEVIPQKTYFGGREGAGAYTWYRTDVKLNGSALTDISSSSEVVMCAQTFLYTPSLEDVGAYLALQWVPTRVDGRCGKPLIAISDSPVFPAPPVVSSVRVEKLASGIYSGEGEYSGGYEGSSLFSWYRETNDGTITLINGANSKAYEVTDEDYNSRLLFGYTPVRSDSVVGELRLSEPTEIVLPELLMVEMLALTGKAIEGDVLTAVEVIPKSEIQQSIWSKYKKDVRYQWFFTPGTGDSKSFEPLPSQRSCSFKVRFEDIGRCLKCECIVTDVFGRASEPAYAETAPVLPGIPRIDKLEIEGRGFHTNLYAVRGNYTGGKEGKSKIQWLRSMVGSPDLISIQGETGRMYEANVDDVGYRLVAVYTPVREDGIEGQPVSASTEPIAVEPDVYKEVKQRIDLGSVKFEVLCDKDRNPKKVPGEGCLERRILEINRKRVKVVKPGSKTSFPTTEIRGTYTPPFHVETFRNDQRRLRIVVDSENEVDLMVHSRHLRDVIVLVIRGLAQRFNSTSLNSLLKIET >OMO75089 pep supercontig:CCACVL1_1.0:contig11065:79076:84049:1 gene:CCACVL1_16337 transcript:OMO75089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFPAYVLKDPKNRSCLCSFLVVASLICGAYFISDAFVDKEYKDRLSRWEVINMLQNSKSNICKIRCRPPGSEPLPQGIVVKTSNLDMRPLWNENDDLKIGNLEPAANLLAIAVGIKQKKIVDQIVKKFPSSDFVVMLFHYDGIVDEWRDLEWSDRAIHVSAVNQTKWWFAKRFLHPDIVSEYKYIFLWDEDLGVDNFDPQNYLSIVEDEGLEISQPALDPVKSKVHHQITARKNNSTVHRRIYKFKGSGRCDNYSTAPPCIGWVEMMAPVFSRAAWRCAWYMIQNDLIHAWGLDMQLGYCAQGDRMKNVGVVDAEYIVHLALPTLGVMDDNEAKGAHLKFGSAGTI >OMO75078 pep supercontig:CCACVL1_1.0:contig11065:14234:15899:1 gene:CCACVL1_16326 transcript:OMO75078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTTIPLLSPYKMGKFNLSHRVVMPPLTRSRSYNNVPQPHAILYYSQRATNGGFLIAEATGVSDTAQGYPSTPGIWTKEQVEAWKPIVEAVHEKGGIFFCQIWHVGRVSTYGFQPNGEAPISSTDKGVTPGLDGGHWSPPRRLTTDEIPQIVNDFRLAARNAIEAGFDGVEIHGANGYLIEQFLKDGVNDRTDEYGGGLENRSRFTVEIIEAVVKEIGADRVGLRISPFADFMEAVDADPEALAIHIANEVNRFGIAYLHVIEPRMIEVYGKLQTPQGLGPIRKAYKGTLIASGGYGREDGNKAVADNYTDLVAFGRLFLANPDLPRRFELDAPLNKYDRNTFYTPDPVVGYTDYPFLES >OMO75079 pep supercontig:CCACVL1_1.0:contig11065:17512:20063:1 gene:CCACVL1_16327 transcript:OMO75079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVKEATIPLLTPYKMGKFNLSHRIVLAPLTRCRSYNNIPQPHAALYYTQRATNGGFLITEATGISPTAQSYPDVPGIWTKEQVNAWKPIVEAVHKKGAIFFCQISHAGRASHYALQPDGEAPISSTDKGLTPGLEGQDWSPPRRLRTDEITNIVNDFRLAASNAIDAGFDGVEIHGAYGKLIEQFMKDEVNDRTDQYGGSLENQCRFALEVVEAVVSEIGADKVGIRLSPYSNSMEAVDSNPEALGLYMANALNKFSILYLHVIHPRGNTVKSPCSLLPMRKTFKGSYIVGGGYKREDGNMAIADNYADLVAYGRLFLANPDLPRRFELNAPLNTYDESTFNISDPVIGYTDYPFLEV >OMO75082 pep supercontig:CCACVL1_1.0:contig11065:27987:38211:-1 gene:CCACVL1_16330 transcript:OMO75082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCICSKGTRANDYVENNNGKKDKAHKDSGKLSKKLSVSSKRDNVVVEADATTRLISNQQANHHAGSAPASSSDEDENKTGLGVVERPKKTPPKLQRGATMEVGMGGQGQPRISRILSSAGGERGAQVVAGWPSWLAAVAGEAINGWIPRKADSFEKLEKIGQGTYSSVYKARDLESNKIVALKKVRFANMDPESVRFMAREIIILRRLDHPNVMKLEGLITSRVSGSLYLIFEYMEHDLAGLAATPGVKFTEAQIKCYMQQLLRGLEHCHSRGILHRDIKGSNLLIDYNGNLKIGDFGLATFFRPSQKHPLTSRVVTLWYRPPELLLGSTDYGVAVDLWSSGCILAEFFAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKRSKLPHATIFKPQHPYKCCVPETFKDFPASALALLEVLLAIEPECRGTASSALQSEFFTTNPLPCDPSSLPKYPPSKEFDAKLREEESRRRRAAGGKVWGPDPVRKVSRESTAVPAPDANAELQASIQKRYGQVNGKSVSEVYHPAEDGGSGFPIEPPKGTAKGLYSHSGQSMHPSNFGSSRTMKANEIELRTQTTFVHRGGTELSRFSNSVAVRGSSRLDMTKENSVNPHWREEHFNVRYNHMDYAESSGKHQLLKGSKILHAKDEQPSSKEATVGYAPKKNQIHYSGPLLPSGGNLDEMLKEHERRIQNAVRKALVWAIAVEICLLYEEVLHPCSTCGTMLCTVKENTTSPILQTVSPVTKTSNAIKESKTAANCSPSCDKIPRSLAQALIHYSTSTITPQQTLKEISVTAKILEKKSPCNFLVFGLGHDSLMWSSLNFGGRTIFLEEDEAWIEQIRRRFPMLESYHVTYDSKVNQAENLMDVGRGPECTAVGDPKYSMCQLALKGLPSEVYDIKWDLIMVDAPTGYYEEAPGRMTAIYTAGMMARNREDGVTDVFVHDVNRVVEDKFSMAFLCEGFADDSYVDSYISTIGVDFKIRTVELDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTEMESFNNVKQWLNEIDRYANDSVCKLLVGNKCDLVENKVVDTQTAKAFADELGIPFLETSAKDSINVEQAFLTMAAEIKKKMGNQPTANKSSGTVEMKGQPIEQKNNCCG >OMO75080 pep supercontig:CCACVL1_1.0:contig11065:20767:23060:-1 gene:CCACVL1_16328 transcript:OMO75080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase-like protein MEEMPANLNESVALPSDCFVPKVARKCASSSVPCRRSERIFLMEANKSLESLKCTNALVPAMDMKMTCIQYPHRHSGTSVKCSMGATLSLDGNNNIASSSDNHKEKRKRGRPTKLRPQEGGDSNRQRTCVINSLVISRGETSENPAVTKGPIICPVWRGSFRTEKFGLLVDLAAYASMNASEEVFNLASHLPAQLDLEVLPVLDVCPEFFSIPTPNIGLVDLKIFPENERSEGDFNDFMKHLFNEQLAMKTMVNDTVLLIFTSHLLPYEYWRTYGKYYLWGVFSGKNAFFPGSEEANVLPTVDTALPRITATAEEKQMGKKMMESMSNELPMLELKSCNNTLCNNTSDSDSGKGDNGVSNAENDAAKSNTEEHLMSTAAGKAITNNSCLPLVLGKYSPQQRTEEGRKEEGAEIQLQLTLGSQEGDDAHEGMDDIMKVSASQGVASATIGASVFHMFHNFDDMDLEGISSADPSGMRARQYAVKASLVPTLNAIIEKHGDIAQDCPLTSGETLTNILERVCQAVEDLGALPFSKLHISVLKSIYSTISDAELLKLNVKWLRDRCDELLETVSGIRKYKSLKSDIKEHTTSIKYKRTAIDLKRTEMMKLQSEIQSLENEVASMTEKTGELEKTRSSVKSKYSYFRHNSLVNGLL >OMO75077 pep supercontig:CCACVL1_1.0:contig11065:11522:13759:1 gene:CCACVL1_16325 transcript:OMO75077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSTTIPLLTPHKMGNFNLSHRVVLAPLTRNRSYKNIPQPHAILYYSQRTTNGGFLIAEATGVSNTAQGYPETPGIWTKEQVEAWKPIVEAVHEKGGIFFCQIWHVGRASSYAFQPNGQAPISCTDKGVTPGLDGMDWSPPHRLNTDEIPGIVNDFRLAARNAIEAGFDGVEIHGANGYLLDQFMKDQVNDRTDQYGGSLENRCRFTLEIVEAVVNEIGADRVGMRLSPYASYMEASDSNPEALGLYMANAVNKFGILYLHVIEPRMIKINDKYETPHSLLPMRKAFKGTFIAAGGYTGDDGNKAIADNYSDLIAYGRLFLANPDLPRRFQIDAPLNKYNRNTFYIPDPVVGYTDYPFLDDSS >OMO75091 pep supercontig:CCACVL1_1.0:contig11065:87549:88757:1 gene:CCACVL1_16339 transcript:OMO75091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGEKLSRAYDMGMVGNMEEIRDWSELPHDIVGHIIGKLYWPDRIRVRAVCKNWLGVSVDGIPTMNKGAWVMAYRWVRPRKPGFSIYIDQPKPKPEDKVRSTCLLIDYRVSRTNYHIDRGGELKREDERGIFVEASFQSSKYGWALFKKHEEENPSRCCCFFLFSPFTDEVVELPELELNSPIWSRSLVATFNLSWTSSDCLVFCVRRDYNGTEGTIHISTCRPSDKTWKTLELQFELGCLNRPLAATYVAATGCFYCVFARGHLGAFNVALQEWTLLANSWPIWQESEEEEEQRTLTLTSSTICDYAELFALPRCSGRPSYKNCCKSKLVKFDFLNKCWVEVDKILSIGEEMINNNDTHLYAYLPLYPGLLLRPYDITPVEFVLIEPPLKGVWKKSDLLHP >OMO75093 pep supercontig:CCACVL1_1.0:contig11065:101968:103994:-1 gene:CCACVL1_16341 transcript:OMO75093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELEGEKTLRKISDAFKELAATVNTQTADMEVAPFSRACSLVSPLFGCLGIAFKFAEMDYVAKVDDLAEASKSIATLNAMIDMDIEGNCVRKAGSHTRNLLRVKRGIDMVRVLFEQILATEGNSLKDPASKAYAQVFAPHHGWAIRKAVAAGMYALPTRAQLLRKLNEDGEVVFGAKLNLSSIDLSDL >OMO75092 pep supercontig:CCACVL1_1.0:contig11065:95259:100453:-1 gene:CCACVL1_16340 transcript:OMO75092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MDDSEGGLSFDFEGGLDSGPTAPTASMPVVNSDPHAAAVNNNNNNNSAVPGAAQASTNDTAAASAVVGGGAGRRSFRQTVCRHWLRSLCMKGDACGFLHQYDKSRMPVCRFFRLFGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKLPGPPPPVEEILQKIQQLSSYNYNNKFFQQRNANFTQQTEKSQIPQGQNNVNQGAGGKPSTTESANVQQQQQVQQPQQQVSQTQIQNVPNGQSNQANRTAIPLPQGISRYFIVKSCNRENLELSVQQGVWATQRSNEAKLNEAFDSAENVILIFSVNRTRHFQGCAKMTSKIGGSIGGGNWKYAHGTAHYGRNFSVKWLKLCELSFHKTRHLRNPYNENLPVKISRDCQELEPSIGEQLASLLYLEPDSELMAVSIAAELKREEEKAKGVNLDNGGENPDIVPFEDNEEEEEEESEEEDESFGAAPQGRGRGRGMMWPPHMPLGRGARPMPGMRGFPPMMMGGDGFSYGPVAPDGFGVPDLFGAPRPFAPYGPRFSGDFAGPGPGMMFPGRPPQPGAMFPGGGLGMMMGPGPGRAPFMGGMGPSGANPVRGGRPVGMPPMFPPHVAPPSQNSIRPVKRDQRTPTNDRYSAGSEQGRGQEMSGPGGRLDDEAHYQQDGQKAHHHEDQFVSGNSFRNDDSESEDEAPRRSRHGEGKKKRRSLEGDIATSDH >OMO75088 pep supercontig:CCACVL1_1.0:contig11065:70970:77349:1 gene:CCACVL1_16336 transcript:OMO75088 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MGRKKKQPTIKKEEIAEDYCFICKDGGSIRICDYKNCLKGCHPYCAGKDDSLLETEEDWFCGWHFCLSCRKPAKFHCFCCPAAVCGRCLGDTEFAVVKGKKGFCNTCLGLALLIEDNENINSNGVEVDFNDKETLEFLFKGYWEFVKQKEGLTSKQVHKADRLLRKGQNYDLQANNDYAEDDSDFEDDGDEVIISDNDDLDQVQCRKRKKRASPLTKRKGNAKKMEYLGWASKQLTEFLKSAGKNVMQELSEYDVETIIIQYCNEHKLFHPEKKKKVICDERLRSLLGRKSVNRNGIKKLLTVHFADNLEQSENSVQLSSKEEDDNSSVPCKRKRKTIPDQKFEEKEVALNPRQGYLAAIVSGNIKLVYLKRSLVEELAKHSDTFSGKMMGSFVRVRSDPNDYLQKNPYMLVQVKGNCIKMKEEMNSLILLQVSNMVNDVPNSKLSDDDFTEEECEDLNQRMRTGRLKRPTSVELEQKARSLHEDITKHNDGMDAAEGKKPPVDPFVSDDRCNHSEFVATRVESDETERDRHFQEVKQSCLETFVPHSLPQEFSDSPSNTDKQEEQHGDALASGEKLNQPVDIGESPKVEKQLEVIEISDDETERNQLFQEVKQSSLKTSVPHLLLQEYSVGQGNTINQEKEHVHVEKQVDTIEVSDDEEEPVAGVAMSQLTCKLEDPVWYHIAPSGKIRGPYSITLLKDWSDKMSPEIPFKVMKSGQKPEEAVPLTDAIRQILNS >OMO75090 pep supercontig:CCACVL1_1.0:contig11065:85034:86512:1 gene:CCACVL1_16338 transcript:OMO75090 gene_biotype:protein_coding transcript_biotype:protein_coding description:laminin subunit beta-1 MDIRKPLPDNIHDEIRKKFSRILEIDRNMDRAVQECDRGVPLLSTLMGALKEEHDEIKNRLQAFLSVLMPTTISMALNLEVSQMEQEVSIMLELNSAYDYELQITLEVLEGLCKEDEELDGCRKDLLAKYGVATKTELPKEVASDSERREKRKDISSAIKQSFINMWLQDNDNVAAPAAVNVGFDSESAERREELKDGKKAIDGFTVEFDRKVSVSRKTKVEAAGAAELAAANVVADQSGGDYAEKTLEDVIVEMYGVSPNVVKMITESLADRNMVKKSSKKNQPDDVILQPEDAGALNWETVDFLGQHFESLKYNLVDDDLKLEILGVIKDWVFHLECNFSQLVHDLHGMMLGHVAAAAKFQEFKSALEDLKSYHNGVVPISVYKLLAMQEIPCHERENLDDKGALYNKLEFDQMVDLLLEIISKFRFLLMASGLPNAKAQNVKSEVLISYEKALKQSQESMKEIERKMKWAKKSNDQVEAPAGKGKMIMS >OMO75087 pep supercontig:CCACVL1_1.0:contig11065:65978:69677:-1 gene:CCACVL1_16335 transcript:OMO75087 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNAHis guanylyltransferase Thg1 MANSKYEYVKSFEVEDEVMPPNFIVVRIVGRDFRRFSEVHEFEKPNDEKALKLMNQCAIAVLEEFPDVVFSYGYGDEYSFVLRKTSKFYQRRSSKISSVIVSFFSSVYVTKWKEFFPLNELRYPPSFHSRIVCCASIEVIQAYIAWRQKDCHVQNQYNTCFWCLVTKGGKTVMEAQEILKDAKEHDRNELLHQQFHINYNDFNPLFRQGTCFFRTKVEDVVKYNEDGTSVKRLRRKASDFRSENIAGRKFWNEHATLLKELGGFPEDCIKVNLDYIRSFQFESKLMPSTWIVIRIDGCHFHRFSEIHEFDKPNDKQALDLMNLCAAAVLKEFQDIIFSYGVSDEYSFVLKKDSQLYQRRASEIVSAIVSFFSSMYVMKWKDVFPEKELKYPPYFDGRAVCYPSNEILRDYLAWRQVDCHINNQYNTCFWNLVKSGKSKSEAQSYLKGTQAREKNELLHKEFGIDYNMLPLMFRQGSSVFRVETENSSIMENDNSVGKAQTKIVTEYCNIIEQTFWEAHPHLGLAATRCP >OMO75083 pep supercontig:CCACVL1_1.0:contig11065:44193:45851:1 gene:CCACVL1_16331 transcript:OMO75083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCALFVFSDRIIESSYSLNVSGRNCCLHVKFLILASSCINSVIASGTNSQKEACTLSEGPLYPCWQRACVESVFRVMPLTRYSADAFGVLTICLVALLILLGLLCIAYSFYLRSRVLRQGFIQLSYFSGPWIIRITFILFAIWWGFGEIIRLNFIRRRGRLLNNLKWQENVCKCYIVSNLGFAEPCLFLTLAFLLRAPLQRMDTGILSRKWNGKTAGYVLLCCLPVFVLQLILILIGPDLNKGRRILPLYFTRTAAQVMENSDNIALCTYPLLNTILLGLFATVLTAYLFWLGRRILKLVINKGLQKRVYTLIFSVSSFLPLRVLLLGLSVLSKPEQFLFEALAFSAFLVLVCCAGVCICMLVYCPVADCLALGNIHDLEARRRVVVDDQNDTVSLIANQSHLEGSVGISPERNSDASTKRGSISFRTYERDGTSAGAFVELSLFSPSRDVSPPGSPPLLGWPMRPPPHVHGP >OMO85524 pep supercontig:CCACVL1_1.0:contig09609:7978:8052:1 gene:CCACVL1_10129 transcript:OMO85524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSSGSTEIHLLAREINVTKGIV >OMO57832 pep supercontig:CCACVL1_1.0:contig14311:163:222:1 gene:CCACVL1_25681 transcript:OMO57832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein INYEIATMSALNTQAKFNL >OMO55974 pep supercontig:CCACVL1_1.0:contig14568:39505:44165:-1 gene:CCACVL1_26848 transcript:OMO55974 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MGVLNYKSMVSLFILVITFGQIISLAAGERYQSYTIHISNELSNDQELNVHCTSKGKEVGEQVIPDHHEWSFSAHEEFSQKAEYWCDMKSPNHGSFLSFISVSVAVREQIPSFEDLEEVNGSSSSKIGLIVRAVVSTLKASKEE >OMO55979 pep supercontig:CCACVL1_1.0:contig14568:86547:92713:1 gene:CCACVL1_26855 transcript:OMO55979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLPLQELHFLSPRTISISKHRFILSRYSSLSRVSLSRNRYFRNGSVSSSRIRARKEEGVAYEEREQEFIKEVNGRFELNGNGSASKYKYSNGSVGVVESESDGSLVNKTHVHSGGMTEEKKTVKRKALAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGAPVDDIFDQFDYEPIAAASLGQVHRAKLKGQEVVIKVQRPGLKDLFDIDLKNLRVIAEYLQKLDPKSDGAKRDWVAIYDECAYVLYQEIDYTKEAANAELFANNFKEMDYVKVPMIYWEYTTPQVLTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISSNIREGLLEAFYGVYEKDPDKVLEAMVQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRKEREMATAELGFKKPLTKEEKIEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGVEVVLKDFRKRWDRQSRAFYNLFRQADRVQKLAETIQRLEQGDLKLRVRTLESERAFQRVAAVQKTVGSAVAAGSLVNLATILYLNSLRVPAVAAYVVCAFFSFQILIGIVKVKKLDQQERLITGTA >OMO55969 pep supercontig:CCACVL1_1.0:contig14568:8039:15734:1 gene:CCACVL1_26843 transcript:OMO55969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFFSSIFHHPFPRSKSALELHSPPSSTPKDKILRRKSDVRYHARRLCEYYRIVTVEADIAA >OMO55971 pep supercontig:CCACVL1_1.0:contig14568:20277:23311:-1 gene:CCACVL1_26845 transcript:OMO55971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase class-III MAMLQRRILKRTVEEAKNKLSFYRNRTLTPCSSFSTLSSSSSSAVAAAASDDVPRQLPPFDYQPKPYNGPSADEILEKRKKFLGPSLFYYYQKPLNIVEGKMQYLFDENGKRYLDAFAGIVTVSCGHCHPEILNAITEQNKLLQHATTIYLHHAIADFAEALASKMPGNLKVVYFVNSGSEANELAMLMARLYTGNVGMISLRNAYHGGSSSTIGLTALNTWKYPIPEGEIHHVINPDPYRGVFGSDATPYAKDVQDHIDYGTSGKVAGFIAETIQGVGGAVELAPGYLKQVYDIVRKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIASVMAQKIQFNTFGGNPVCSAGGLAVLRVLDKEKRQAHCADVGSHLIGRLRSLQDRHDIIGDVRGRGLMVGVELVTDRKEKTPAKAETAVIFEKLKELGVLIGKGGLQGNVFRIKPPMCFTKDDADFLVDSLDYAISKL >OMO55981 pep supercontig:CCACVL1_1.0:contig14568:98729:100213:-1 gene:CCACVL1_26857 transcript:OMO55981 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 2 MALKLQAMAFPSSHKIFSQGTISSFNKPFKVFMASCSTYSSIATLEGDHMTEKKPYHPAKAPSNQVTHSMPPEKSEIFKSLENWVEENILTRLNDVEKNWQPQDFLPISHESDEQFYEQVKELRERTKEIPDEHLVALVASMITEEALPTYQTMLNTLDGSRDETGASLNPWAIWVRAWTAEENRHGDLLHKYLYLSGRVNMRQIEKTIQYLVGAGMDPKTENNPYKGFIYTSFQERATFIYHGNTARLVKTHGDTKLAQICGTIAAEEKRHEASYIKIVEKLFEIDPDETMLALADMMKKTITMPTQFIYDGQDHNLFQQFQIGLELIVLKIMVTLLNLWLESGKLRN >OMO55983 pep supercontig:CCACVL1_1.0:contig14568:107518:114428:-1 gene:CCACVL1_26859 transcript:OMO55983 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPla/RYanodine receptor SPRY MAEDSLRIGGLSSGLAVILNNEDGKENSSKTRLVSSCDDFGHQSVERALEFVFGLPSKSLDPLSGPIDSNLIRSIIKNYVRSDSDSLVSSRDGVAVVDNGSGPDVVGLEEFSICGEIKIIKSPLLLESLALFSSARANVCVWKGKWMYEVILETSGIQQLGWATISCPFTDHKGVGDADDSYAFDGRRVSKWNKEAEPYGQSWVVGDVIGCCIDLDHDEISFYRNGVSLGLAFSGIRKMGPGFGYYPAVSLSQGERCELNFGARPFKYPIDGYLPLQSPPTCSSFAKQLLDCLSRLLDMQSVERAEHSSVEKLRRLKRFVSVEEIFYPVSHGICEEFFSVVEADHRSAEYIAWGPLLLFLMGIFGVHAPHDRMSLDRVLDVFLEFQGSHIMFEHIINAISCGCKTASLVLTECPYSGSYSYLALACHLLRREELMVLWWKSPDFDFLFEGFLSRKSPNRQDLQCMIPSVWWPGSTEDMSCESSMILTTTALADAVSKIEEKHRDLCLLVIQFIPPISPPQFPGSVFRTFVQNLLLKNRGADRNMPPPGTSSNSVLVSLYTVILHFLSEGFGMGNICGWLTNCDSNARDIGFLHRGGHQSFPIGLFLKNDPHRADISRLGGSFSHLSKSHPVHDQEAEVIRWDEGCMDDDDGRVTHLTKQKPCCCSCYDMEFTKCSKYPIRTTTKSSRHHCSTIPERSAQVAAECSTGSLNDEIADKPSSSDQSESEFGYRPVQHMRTVPRDSDVPSTTLREEELLDALLLLYHIGVAPNFKQASYYMSHQSQSISLLEETDKQIRERSCSEQLKRLKETRNNYREEVIDCVRHCAWYRVSLFSRWKQRGMYATCMWIVQLLLVLSKLDSLFAYIPEFYLEALVDCFHVLRKSDPPFVPPAIFIKQGLNSFVTFVVTHFNDPRISSADLRDLLLQSISVLVQYREYLAAFENNEAAKQRMPKALLSAFDNRSWIPVTNILLRLCKGSGFGSSKHGESSSSSVIFQRLLREACINDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKYQVLEFQQRKCCVIFDLSCNLARVLEFCTHEIPQAFLSGPDTNLRRLTELIVFILNNTTSAADAEFFDLSLRRHGQSSEKVNRGMILAPLVGIIVNLLDASSESEFKEQNDVLGVFASMDCPETMHYGFQYMLEYNWATSIRGEAYVPKLCQLENFLSLLITYTESQKNEELQSGETDADDGMCCICYACEADAEFSPCSHRSCYGCITRHLLNCQRCFFCNATVLEVVRNMEETDQR >OMO55975 pep supercontig:CCACVL1_1.0:contig14568:55395:56492:-1 gene:CCACVL1_26850 transcript:OMO55975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 31 MKMRLFTVSLIFLLFLASQTETVNSFQNCNQTPFCKRARSRKPGSCNLIARNVSISVDGDLTAKLIPKGEGGQIKPLALCVSVSRVGIMRVKIKMDEEDPASSSFDIVPWLQRFEARIKVRLEKAWTELEVDGGDGGRRRSLSTVVNLSEGFEAVLRHEPFEVYVREKAAGNKGRIVVSFNSNGLFDFEELNRSISFDVSFYGSDSAYGITERNALTIAPTKGPGVEEEYSKPYTWFNIDVFEYWNSPPPYNARGFYGLSPFMAAHGRNGSSGFLWLNAAEMQIDVLGNGWDAAAEDGNRMDTIWTSAAAAGSTVDTFFLVGPKPKDVVRQYTAARGRPAQPLLLVLTLQKYWLILLLIMIISYL >OMO55977 pep supercontig:CCACVL1_1.0:contig14568:69318:71517:1 gene:CCACVL1_26852 transcript:OMO55977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRRAKRIKKAPASPESKEEENKEEELEKTKAELINQEADRQCAAIKAIRDVEIEHMLTALRLLRSYCSEEQRKTPVLKFFNENLPNVSVVRNAENGQFEVQWKHEDGNIYMHNVDGRDINASLLHRMSIAYPSIPSFGGFEFSTEGARTSFLQGENLQIKDFVLEGTSDSQMFGMHDVFRTPGVSSQRLSIGMTPKTLRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESEEG >OMO55978 pep supercontig:CCACVL1_1.0:contig14568:72508:74279:-1 gene:CCACVL1_26853 transcript:OMO55978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESDSKELVRVLMRSFSNKEPLVKTLSRYVKVVRCEHCFLLFEELGKTDKWLECLEVFRWMQKQRWYIADNGIYSKLISVMGKKGQIRMAMWLFSEMRNSGCRPDVSVYNALITAHLHSHDQAKALDKALSYFSKMKGMERCKPNIVTYNILLRAFAQARNVDQVNALFKDLAESIIVPDIYTFNGVMAAYGKNGMIREMESVLSRMKSNQCKPDIITFNLLIDSYGKKQEFDKMEQVFKSLLRSKEKPTLPTFNSMIVNYGKARLKEKAEYVFKKMTDMKYRPSFITYESLIIMYGYCDCVSRAREIFDGIVNSGKEMRFSTLNAMLEAYCRNGLHMEADRLFDNTDNMGVTRNSSTYKLLYKAYTKANMKNLLQKLMKQMEKDGIVPNKRFFLEALETFGSSASPNSVSATTGDRPENGVKTEVAVIN >OMO55970 pep supercontig:CCACVL1_1.0:contig14568:16726:18775:1 gene:CCACVL1_26844 transcript:OMO55970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYEPIHHQIGMWGENFKTNGDPNTSASVIVEVDNKLENESETASHEMLAPSNKYDQEASKPIDKIQRRLAQNREAARKSRLRKKAYVQQLENSRLKLIQLEQELDRARQQGLYIGGGLESSHLGFSGGVNPGIAAFEMEYGNWMEEQNRQICELRTALTAHISDVELRILVESGMSHYFELFRMKSTAAKADVFYVMSGMWKTSAERFFSWIGGFRPSELLKVLVTQLDPLTEQQFLEVCNLKQSCQQAEDALSQGMEKLQENVSVTVAGQLGDGSYIPQVATAMEKLEALVSFVNQADHLRQETLQQMSRILTTRQAARGLLALGEYFQRLRALSTLWATRPREPA >OMO55980 pep supercontig:CCACVL1_1.0:contig14568:94972:95337:-1 gene:CCACVL1_26856 transcript:OMO55980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPTSPVVFFFLSLMFCFFIISSVHGKVETTKELLLNDAGNSVHMEGAAKCGKEKNGDCKQGKGGAAEETVLDEDYIYTTSIVP >OMO55973 pep supercontig:CCACVL1_1.0:contig14568:32570:37784:1 gene:CCACVL1_26847 transcript:OMO55973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MILNQAVGSGMAILYQISLNLDRSMRVVVAYQFGLATLCMLPLALIVDKGKRPPFTWQIALCSLLAGFFGGALGQNLVVEGFRGASTTYVAAISNLTPVVTYILALVSGLTVIVKFLLIFSFIQEVFSLATWPKIAKLGGPILSIFGAMFMTFYHGPTYHWHTSINLLNHKNGAMVTTEQKPVVSVVVICTSCFSFAVLLIILRKMTVKYAYPVISSTGLMFVNSFVLSGGYALIIDRNLHAWQLRKDILLITIPYTGVLVSGVSTTLVTLCTQHQGPVFVTSFSPVSLIFTSILSPLLLGEDPHLGNILGGLMIIGGAYISVWGTRAEMQLTRSAPDADEEVVGGIRRDVL >OMO55982 pep supercontig:CCACVL1_1.0:contig14568:101992:106831:1 gene:CCACVL1_26858 transcript:OMO55982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSFSFAFSFYFFLFLLLFLGVASASGFDPAELRSLLEFKKGIKDDPLNKVLPVWDPDARPDPSSWTGVTRDPNSGFVVSINLDRLNLAGDLKFNTLTPLKNLQNLSLSGNDFTGRVAPALGYITSLQHLDLSGNKFIGPIPGRITDLYGLNYLNLSGNKFDGGLPGGFRNLQQLKVLDLHNNALRGDLGELLSELRNVEHVDLSYNEFYGGLSVPLENVSSLANTVRFMNLSHNHLNGGFLKEEAIGLFKNLQVLDLGDNSITGQLPSFGSLPGLRVLRLGKNQLFGPVPVELLEGFVPLEELDLSLNGFTGSIRLINSTTLKVLNLSSNQLSGDLPSSVRSCEKVDLSSNMISGDISVMQNWEASLIYLDLSSNNLSGSLPNLSHFGDLDTFILSNNFLVGTLPSLLDTCPRLSVIELSSNQFSGPIPGSFFASKTVKNLNLSGNHLTGPIPLQGSRVNELLVMSSYPQMEYLDLSANSLTGGLPSEIGNIAALKLLNLADNKLSGQLPSELSKLSYLEYLDLSRNNFKGEIPDKLSTSLNVFNVSYNDLSGSIPENLRGFPRSSFSPGNSLLVFPKGMPTVGSAQDQAPDHGRHHGSKGNTKVAIIVASVVAAVMIAFVLLAYHRAQHKEFHGRSGFSDTTTGGDAKLGRLSRPSIFKFHSNVQAPQTSLSFSNDHLLTSNSRSLSGQQEFVAEIVEHSAPERVTTSSASVIPNLLDDEPATSGRKSSPGSPLPSSPRFIEASEQPVILDVYSPDRLAGELFFLDTSLTFTIEELSRAPAEVLGRGSHGTLYKATLRNGHMLTVKWLRVGLVKHKKEFAKEVKKIGSVRHPNFVPVRAYYWGPREQERLLLADYIQCDSLALHLYETTPRRYSPLSFSQRLKVAVEVAQCLLFLHDRGMPHGNLKPTNILLADPDYHACLTDYCLHRLMTPAGIAEQILNLGALGYRAPELATASKPVPSFKADVYAFGVILMELLTRRSAGDIISGQSGAVDLTDWVRLCDQEGRGMDCIDRDIASGEEHTKAMDDVLAISLRCILPVNERPNIRQVYDDLRSISL >OMO55968 pep supercontig:CCACVL1_1.0:contig14568:1226:2876:-1 gene:CCACVL1_26842 transcript:OMO55968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMIGDPLQQQQNQVVGGVGAVERLNQVVQQQLNLESLNTRAISLFKAITHILEDFDAYSSPNTTPKWFCSILFDISGQYSMLNLELFNVVDETKKVPKAFIVHFKNVIAGNAPTSESAEKVLANTLKAYCFGSRQAAPILPTLDKGLAAKIQEQENMLRNAVNFSEGLRLPVDQKQITSSRPLHLAHIMPAADGVQSFANPSGMYMKNTPPLSSNSIGILASLLQ >OMO55976 pep supercontig:CCACVL1_1.0:contig14568:62544:65013:-1 gene:CCACVL1_26851 transcript:OMO55976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyltranspeptidase MKKKENRQKKKMSFVPLLWTTITFLFFIVSPVASAASSSLDGKNGSVTQRRERIVAHNGAVATDDGRCSKIGRDVLRIGGHAVDAAVAASLCLGVVSAASSGIGGGDFMLVREANGKTQAFDMRETAPMKASENMYNGNANLKANGVLSVAVPGELAGLHKAWKEHGKLPWKRLVKPAENLARNGFKISPYLRMQMESTKSAILADKGLSDVYTSNGELLKVGDICYNKKLAETLRTISIHGVEAFYNGSVGLNLVKDIQKAGGIITMDDLRNYKVKVRKPISENFLGLKILSMPPPSSGGVSMILMLNILAQYGFPSGISGSLGVHRLIESLKHAFAVRMNLGDPEFVEISKVVSDMLSPNLAKELKKTIYDNMTFGPDHYGGKWNPLNDHGTSHVSIVDKERNAVSMTNTVNAYFGAKILSPSTGIVLNNEMDDFSMPTNQSSANTRPPAPANFIRPGKRPLSSMTPTIVLKNEKLRGVVGASGGANIIAATTQVFLNHFARGMDPLSSVMAPRVYHQLIPNVVVYENWTTVTGDHFEVPADIRTDLAKKGHVLRGLAGGTISQFIVNKLGGLKGKGVLVAVSDPRKGGFPAGF >OMO55972 pep supercontig:CCACVL1_1.0:contig14568:25185:27323:-1 gene:CCACVL1_26846 transcript:OMO55972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKTIHSWNTLLSAYSKQGKMAEANEIFNKMPNPDSVSWSTLIVGYNQMGRFKRAIKVFSEMIKERVTPSEYTMTSVLASCAATEALDIGRKVHSFVVKFGLSGYVSVTNSLLNMYAKSGDPMMMMVIFDRMGYRDTSSWNVMVSLHMQYGQVDLAREKFERMNERDIVTWNSMIAGYNQHGYDLDALATFGNMLRDSLLFPDQFTFVNTLSACANLEMLKVGKQIHARIVIMQFGINGPVGNALILMYAKSGGVHIAQKIVEHAGISNLDVIAFTSLLDGYIKLGDIEPARQIFDSLIDRDVVAWTAMIVGYLQNGLNSDALELFRLMVRDGPKPNNFTLAAMLSVSSSLTSLSHGKQIHAIAIRTGQASSVSVNNALITMYARTGSINYARQVFSRIHGFRDTVSWTSMIMALAQHGFGEEALELFEKLLAAGIKPDHITYVGVLSACTHVGLVEQGRSYYSMMKDFHKIEPTLSHYSLMVDLLGRAGLVQEAYDFIKRMPIEPDVITWGSLLSSCKVYKNVELGRVAAERFLHIDPENSGAYSALANLYAVCGKWEDAAKTRKLMKDGGVKKEQGISWVQIKNKVHVFGADDGLHEQKDEIYKKISEIWEDIKKMGFVPDTASVMHDLEEEVKEQMLRHHSEKLAIAFALMNTPENTTLRIMKNLRVCNDCHSAIKFISKLSGREIIVRDVTRFHHFKDGSCSCRDYW >OMO49924 pep supercontig:CCACVL1_1.0:contig16355:35129:37713:1 gene:CCACVL1_30751 transcript:OMO49924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPASSGPGLATAWDLMMIKSSTALLEPLQLIPAFLHDLYPGIKAYINLRVGRVWETVYPGSVDPATADLLLNDARGSAMHVVISKNIIKYYEEGIIEGNTKFQKLDHDTDVYPKYHFNLATFDQIKSRNDYSPLLTDAAGLLLHVTEVTTVRMKDSEKMVDKMDAYIRLIRPLPLFSLFAGFVYMIS >OMO60290 pep supercontig:CCACVL1_1.0:contig13745:1917:5018:1 gene:CCACVL1_24268 transcript:OMO60290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGDEDADAVLSDVESDEPVPIVIKEPSQDEVSVEKFRQILAELDREKQAREAAENSKSELQNEDLAKQLEEVTMGKDGLRSEIETSAHMLVSGIEKISGKVSSFKNFAAGGLPRSQKYTGLPSVAYGVIKRTNEIVEELLKQIESTAKSRNEAREQIEQRNYEIAIEVSQLEATISGLREEVAKKSVVIEDLEKNIAEKDVKIEEIQREMSEKIHLVENESMELRNLASEYDEKLKGLESKMELQRPLLVDQLNLVSRIHERMYNVIKIVDADDMDQSDVSESFFLPQETDLEENIRACLAGMESIYELTRILVGKTKDLVEEKNREVKGLNETVSRLIKEKEHIGSLLRSALSKRMVSENNSKTNELFQTAENGLREAGIDFKFSKLIGDGNKSEAQDSEHDEIYNLAGALENIVKTSQLEIIELQHSVEELRAESSVLKEHVEAQAKELNQRLRRIEELEEKERVANESVEGLMMDIAAAEEEITRWKSAAEQEAAAGRAVEQEFLAQLSTVKQELEEAKQAMLESEKKLKFKEETAAAAMAARDAAEKSLRLADLRATRLRDRVEELSRQLEEFETREDSRGRNGARYVCWPWQWLGLDFVGIRRPEMQQHSSNEMELSEPLSEPLL >OMO71523 pep supercontig:CCACVL1_1.0:contig11608:8361:15916:1 gene:CCACVL1_18180 transcript:OMO71523 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADC synthase MSFTLCSSELTYPCVEGLGNVKANPVASKSFIRTGGYIKKHHVQASYPDARKVVISSHLVPGHLEGSFMGKKRQEEPRKKLEFVRTLLIDNYDSYTYNIYQELSVINGMPPIVVQNDEWTWKEICHLLYEEGAFDNIVISPGPGSPTCPEDIGVCLQLLQECWDIPILGVCLGHQALGYAHGAQIIHASEPIHGRLSEIEHNGCKLFANIPSGRNSGFKVVRYHSLVVDPKSLPKELVPIAWTSSDDTLSFLETQKFDGIPDDYESERQQENFDSILAQFKNGSYWSSNGTKSRKVIMGIRHATWPHYGVQFHPESVATNYGRQIFKNFREITKDYWLQTSSSFSSDRNIYTASMQVPHASRLFGAVSTGGQSAKRADIQFYGEAFSSKQLMQDADKRNLSFLNMVNFLPPSMGAKFLKLKWRKFDHLASEVGGAKTIFSELFGKNKAENTFWLDSSSTEKGRARFSFMGGKGGSLWKQLTFRLSEESDVASKFGGHLLIEDAKGSTNRMFLEEGFLDYLNKELLSLSHEETDYEGLPFDFYGGFIGYLGYNLKVECGAACNSHKSTTPDACFFFADNLVAIDHHSDDIYILSLHEGNTTKTPWLDDTEKKLVNLKASVTRKLDEQSLQAVTSSLRKEGFHPEKSRKEYVKDVEKCLKYIKDGESYELCLTTQIRKSIGKVDPLRLYLHLREKNPAPYAAWLNFSKQNLSICSSSPERFLRLDRNGILEAKPIKGTIARGATLEEDEQLKLQLQYSEKDQAENLMIVDLLRNDLGRVCEPGTVHVPHLMEVESYATVHTMVSTIRGQKQSNVSAVDCVKAAFPGGSMTGAPKLRSMELLDSIESCSRGIYSGSIGFFSYNQTFDLNIVIRTVVIHGNEASIGAGGAIVALSDPEQEYEEMILKTCAPANAVMEFQ >OMO71524 pep supercontig:CCACVL1_1.0:contig11608:16695:20849:1 gene:CCACVL1_18182 transcript:OMO71524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSITFKPFLGATKPNSSLSSDLQRLSFSSLHISVKPRTHKKLQWPLHSILMTGGEDIGSRVRT >OMO57360 pep supercontig:CCACVL1_1.0:contig14391:65:139:-1 gene:CCACVL1_25811 transcript:OMO57360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, ARF/SAR type KEAKILFLGLDNAGKTTLLHMLKDE >OMO68529 pep supercontig:CCACVL1_1.0:contig12213:79684:85600:1 gene:CCACVL1_19922 transcript:OMO68529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MNTNHVQDRNMQMNGRDDLKRPLLEPPDSISITIPEPVDKKRTVKFRIGNIKCASCVVSIESVLGELKGVESVSVSPIQGHAAIEYVPKLINANTIKEAIEDAGFPVNELSEQEIAVCRLRIKGMACTSCSESLERALRLLDGVKTAVVGLALEEAKVHFDPNVTDTDRIIEAVEDAGFGADLISSGNEANKVHLKLEGVLSVEAMNTIRSYLESTVGVNHVEMDLEENKVAVSYDPDLTGPRSLIKVIQEVGRGSYNASLYIPPRQRETEQQHEINMYRGQFLLSCLFSVPVFIFSMVLPMLPPLGNWLEYKIYNVFTVGLLLRWILCTPVQFIVGRRFYTGSYHALRRKSANMDVLVAMGTNAAYFYSVYIAIKALTSDTFEGQDFFETSAMLISFILLGKYLEVVAKGKTSDALAKLTDLAPDTAHLLTLDDDGDVISEVIGGTMNENGCLLVKATHVGSETALSQIVQLVEAAQLARAPVQKIADQISRFFVPAIVLVALITWLGWLIPGVLGIYPKQWIPKGMDKFELALQFGISVLVVACPCALGLATPTAVMVATGKGASLGVLIKGGNALEKAHKVKAIVFDKTGTLTVGKPEVVSVMLFSSMSMEEFCDVAIAAEANSEHPIAKAFLEHARKLRQKIESNNQHLTEARDFEVHPGTGVSGKVGDKMVLVGNKRLMQSYNVTVGPDIESYISENEQLARTCVLVAIDGEVAGAFAVTDPVKPEAQHVISYLRSMGISSIMVTGDNWATAAAIAKEVGIEHVFAETDPVGKADRIKEFQMKGLTVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADVVLIKSNLEDVVTAIDLSRKTIARIWLNYVWALGYNILGVPVAAGLLYPFTGIRLPPWLAGACMAASSLSVVCSSLLLQSYRKPLVIKDRKGQACCSKST >OMO68523 pep supercontig:CCACVL1_1.0:contig12213:15213:19172:-1 gene:CCACVL1_19916 transcript:OMO68523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, AN1-type MAKCEHRRGLIGCVFELWDRLLGVISLTSLAKRRGEEMDHEKTGCQAPPEGPILCINNCGFFGSAATMNMCSKCHKDMILKQEQAKLAASSFDNIVNGSTSNGNETIVATGIDVQNPVEPKTISVQPSSASGSGESVEAKPKEGPNRCSTCKKRVGLTGFKCRCGNLFCTSHRYSDKHDCPFDYRTAGRDAIAKANPVVKAEKLDKI >OMO68531 pep supercontig:CCACVL1_1.0:contig12213:93081:94533:1 gene:CCACVL1_19924 transcript:OMO68531 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MADRHNHPSVMQKVAGQLHLGSSLSQDVQSRYGGFQRPVLNQRCFASAYCNAAFQYPTTQACQANYDLSLVSSRSSPICVQAPAEKGFTGFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIRAGRLSEPYKGIGDCFKRTIADEGVGSLWRGNTANVIRYFPTQALNFAFKDYFKKLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGGDRQFNGLVDVYRKTLASDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGQLQDSFFASFALGWVITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSFDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQMIVFGKKYGSGGA >OMO68526 pep supercontig:CCACVL1_1.0:contig12213:41677:42294:1 gene:CCACVL1_19919 transcript:OMO68526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIMNDFDMNPDVPHPMLQDDHNIVPSIASPEMIRKGYEVRQKMQVFRAAKQIKVNFEVPKRLRDLNRIVSKVDSDKVLLDKKLNCGEIMPDEYMRRSEALLNKWKQAWSKMAQGLANFDGCVGEEEDYDWNLNRHEEFVQGLDRKLCALIRINSVCVVNTSEDLQLLVSVGMDCNIIVERDNEKLINAASSFPSVIRKVLFLS >OMO68528 pep supercontig:CCACVL1_1.0:contig12213:66206:72743:1 gene:CCACVL1_19921 transcript:OMO68528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial surface antigen (D15) MPQNDGVCFTSSSLKIPRLPSNPSLSDNLASHLARTRHSVFQFINSLPQKGQSAGRYDEERVLISEVLVRNKDGEELERKDLESEALTALRACRANSALTVREVQEDVHRIIDSGYFSSCMPVAVDTRDGIRLVFQVEPNQEFQGLVCEGANVLPSKFLEDAFRDGYGKVINLKRLDEVINSINGWYLERGLFGLVSGIEILSGGMIRLQVGEAEVNNISIRFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVSTMGLMEDVSIIPQPAADPDKVDLIMNVVERPSGGFSAGGGISSGITSGPLSGLIGSFAYSHRNFFGRNQKLNISLERGQIDSIFRINYTDPWIEGDDKRTSRTIIVQNSRTPGTLVHGNQHDNSSLSIARVTAGVEFSRPLRPKWSGTAGLIFQHAGARDEKGNPIIKDFYGSPLTASGKAYDDMLLAKLETVYTGSGDQGSSMFAFNMEQGLPILPEWLFFNRVNARARKGVEIGPARFLLSLSGGHVVGNFSPHEAFVIGGTNSVRGYEEGAVGTGRSYVVGSSEVSFPMLGPVEGVLFADYGHDLWSGPTVPGDPAGARYKPGSGYGYGFGIRVDSPLGPLRLEYAFNDRQAKRFHFGVGHRN >OMO68530 pep supercontig:CCACVL1_1.0:contig12213:88274:88615:1 gene:CCACVL1_19923 transcript:OMO68530 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MAGIFQDPTRSASERKQWIKRQILQLQEERVNLQAEAFELEKQRFKWLRYCNKKDRELERLRLENERMRLENEQSILHLRQKELEVGFRRSDDPTSLGIDRVQARDQIDLGRH >OMO68527 pep supercontig:CCACVL1_1.0:contig12213:57909:60438:-1 gene:CCACVL1_19920 transcript:OMO68527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSDPYSNYFNGWYNNLNSNFNPFFPSTSSSNPAPYNGFNHHFYSSNTIDGNYCSFSTQFYNYNNTNNYQEYQPPSPPLKEALPLLSLSPTRHHHEEEQEEINFSSSCCNNNCTAMEVEKSSKVFDNSNKEESSSCCFDEDVTVALHLGLPSPSTNISSAADLMNISSRVSADSSSVAVNVEAEKDQDVITVANSSSELIQSNTLNKGQYWIPTPAQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAPGCRNNIDHPRSKPLKDFRTLQTHYKRKHGIKPFMCRKCGKTFAVRGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIKAFGNGHAAYGIDSFDEEDEPASEIEQDNESSQ >OMO68522 pep supercontig:CCACVL1_1.0:contig12213:13106:13663:1 gene:CCACVL1_19915 transcript:OMO68522 gene_biotype:protein_coding transcript_biotype:protein_coding description:MSF1 family protein MVKAYTQEHVYKHPWERVTSASWRKFADPENKRTLSHILEVDTLNRKLDTRSGKLYTTRALTIHAPGPWFVRKIIGQDICHCIESTVVDAQSKSMQLTTRNVSLQKFIEVEEKIRYDPHPDNPTAWTVCRQQTSIRIKPLSALASMAEKVEQKCAEKFMQNSVKGREVMERICKYLEAESRGIAL >OMO68524 pep supercontig:CCACVL1_1.0:contig12213:21485:24551:1 gene:CCACVL1_19917 transcript:OMO68524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKDKEMMSKMDDYEVIEQIGRGAFGAAFLVLHKAEKKKYVMKKIRLAKQTEKFKRTAHQEMILIAKLNNPYIVEFKDGWVEKESHLCIVTSYCEGGDMAEMIKKSRGTFVAEKKLCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKDDNIRLGDFGLAKLLSKEDLTSTVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLVNKINRSTISPLPTMYSSTLKQLIKTMLRKNPEHRPTAAELLRHPHLQPYLAQCHNLPPVFLPVKSEQSIIKGKPKVNSFPNKYGTGNPKGERATPSKELGIIHEGKADSFRVHQLENDGSNSRIILECTSTAHHHHKEDQKQETSSECSEVLHEPFQLIEEVNEKNNKFVHDKIRRCCLLATEETPQKAPLPSRQKSLPCENQVRSAKENHFSRTNSDFSIMSTLTMLHDYEKKIKQDPQSQQRAEALESLLEICASLLRQERLEELAGVLKPFGEEAVSSRETAIWLTKSLMNAKNKIPVDLDHRK >OMO68525 pep supercontig:CCACVL1_1.0:contig12213:28294:32487:1 gene:CCACVL1_19918 transcript:OMO68525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MLGLLQGFAAGLMLSISFLDLAHNAMNSIGFLKGNLWFFAGVVFFAVVANFIPEPTLSQSSEVKGKKKNGDEGGKDIMKKHRRQVLFSGIITAIGISLHNFPEGMAVFLGSMKGLRVGINLALAIALHNIPEGVAVALPVYFATQSKWQAFKLATLSGFAEPLGVVIVAYVFPSSLSPEILEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFFGMAFMSASLYFLELSLPEEMSL >OMO95605 pep supercontig:CCACVL1_1.0:contig07649:24194:24295:1 gene:CCACVL1_05357 transcript:OMO95605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDRAINLNLKVRPKVRRAWTRAVQRSGYLSKP >OMO95606 pep supercontig:CCACVL1_1.0:contig07649:25667:26789:-1 gene:CCACVL1_05358 transcript:OMO95606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIHNMSYLFAKMQKVVVIRSFGLLIVVVDTLQNQARCECSVVGKDISVNDIVYRVNSILKEYMLYRKNVSNTVVQNIEEIDNETFNHWHAPEDGWIKINVDWAFDLKSGHGVVGVVARDHTGKLCGGTGKSVAVLDAASAKVIALKTGSKLAVEKGCNRVIIETDSAESFQEMRKEKGPFKWKTTAIFADIRKIRLQMDHCKCTWVKCQANRVADWIAKQLNRGWTWVTG >OMO95604 pep supercontig:CCACVL1_1.0:contig07649:2472:2696:-1 gene:CCACVL1_05356 transcript:OMO95604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PFQGRYMARGTVFSSCGCSTNYTLTGYKLWDDNDGSKKPSSLLFPCRRRLVFADDGASDHPIYIFDWKSGSTSRQ >OMO55557 pep supercontig:CCACVL1_1.0:contig14667:2280:5119:-1 gene:CCACVL1_27190 transcript:OMO55557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, MYND-type MCISMKCLSQDQHEQWKRHPEKQSRSVKVFRCQLPCANPFYSSEAPKGNATDKPLTPGAPLCAWCGTWKGNEFCTDCKIAQYCSSEHKAMHSSSGHKLECQQLSLSSNSSDPNACNSGIQQIRKRKVASRSLWPEFEMKNEHESEYDTDLSEDDRCTDNSLVPSNKIDYSMKSLEKYFEEDGEKNSNAVFQRQISKAPEQVLRYCRSANSKPLWPMSSGRPSKADIPRCKHCGGPLCFEFQILPQLLYFFGVKDDNESLDWATIAVYTCESSCEGVGYKEEFAWVQLAPPTNFPSLPL >OMO55556 pep supercontig:CCACVL1_1.0:contig14667:51:1783:-1 gene:CCACVL1_27189 transcript:OMO55556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAAASVSSALTVPTATGCFHRHTHRFTNAKLSLFSFNHSHPFLLRVTNETNRTEVSLDPEAIGTSEGDKIVDGMNFGELCNEFECISSPLVESTARQLVRDILELREGNRALGTYAVSVKYKDPVRSFTGREKYKRLLWITGALEKPSV >OMP12184 pep supercontig:CCACVL1_1.0:contig00313:1772:5010:-1 gene:CCACVL1_00087 transcript:OMP12184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADAPTNINRRSSDSDPAFH >OMO61641 pep supercontig:CCACVL1_1.0:contig13438:17912:19000:1 gene:CCACVL1_23354 transcript:OMO61641 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEELPLGIRFHPTDVQLLKLFLKKKVQGKKLSLEAIADLDVYKHAPWDLPRMANLDPGNLRWYFFCPMVKKYARGNQLNRASDFGCWKATGKDKPIMSRGKKLGMMKTLVFYGVKAPQGVGRDWVIREYRLDEEDKKLVEEGGIVQDYVLCVVCKKESPGDYQFAAPFIHDDEIDQVKNLVWSGTDSGSGSGSSSGSGSNPASNLSSGLASMDDMVIPESMESMEAPQVADQVPIQVQSNPIDNSLKSLSEIFGRDDEAIINNGNQGNCNFGLEQEAPQVAEEDYILSSLLAPYQVDFRNNALESDFLEQEVTRSSPPLGFWPPQTKYNSDNSWESQALRYGQGNHGGSSSNFIQGNGPSNY >OMO61640 pep supercontig:CCACVL1_1.0:contig13438:14023:16337:1 gene:CCACVL1_23353 transcript:OMO61640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVCWPYFDPEFHNLPERIYGPPVCIDNESMEDCTVIKVDSVNKQAILLEVVQVLTNMNLTILKSYISSDAGWFMDVFHVKDEHGNKLQDQNMINYIQQAIGTVRETESTAKANNSEQPNDHSTAIEMTGTDRPGLFSEISAVLADLHCNVVEAHAWSHNARLACVAYISDQSTDNPIDDPHRLATIEDHLTTVLRSSTTTSPIQSCYDTATATPQEVKTAGFPEGSSNMITDVERRLHQLMLSVGDFDGPHFDHSMNSSSSAAATPPRSDGNEEGRKTIVSVENCHEKWYSIVSIDCKDRPRLMFDTVCTLIDMQYVIFHASITSQEGRSFQEYFIRHVDGYALSSKEEKDRVIKCLEAAIERRVCEGVRVELSADNRVGLLSDITRVLRENGLTVVRADVETQGEKAVNAFYVRDISGKEVDMDFVESVKKEMDDVVIDLEVKNNGGGGRQPSSPPRTSSSSPGLFSLGDVLKSQIERISHNFIPIK >OMO54785 pep supercontig:CCACVL1_1.0:contig14901:336:1440:-1 gene:CCACVL1_27570 transcript:OMO54785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MFPERLPSDDKFPGIDVFICTADPDKEPTVEVMNTVISAMALDYPPEKLHVYLSDDGGSDITLYGTKEAWKFARAWLPFCRRFDIKTSCPEVYFSGYEDYDHGNFISSSEFKAERQKIEEKYEKFKERVEEYMKKQSEAGAATKNRRDHPSNIQ >OMO94021 pep supercontig:CCACVL1_1.0:contig08000:8106:10738:-1 gene:CCACVL1_06223 transcript:OMO94021 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calmodulin binding protein MGAAVSCPLSDIDDLEGRLEAVIVKSISFKDDDEVKKVLWSVSFNGRVSEPKEYGSRKLILREFLSFNKRETETLLSYRTHHGSVKETKVSVKSESCKNIENNDDMLHRSNSSLKSPSPLGGKRHEAALKLQKVYKSFWTRRQLADCAVVVEQRWWKLLDFAELKRSSISFFEIEKPESAVSRWCRARTRAAKVGKCLSKDEKARKLALQHWLEAIDPRHRYGHNLQFYYAKWLHCESKQPFFYWLDIGEGKEVHSEKCPRQKLQQQFIKYLGPTEREAYEVIIKDGKFYYKQSGELIDTTGGPKDAKWIFVLSAFKVLYAGQKNKGTFQHSSFLAGGATLSAGRLVVEEGLLKAVWPHSGHYLPTEENFLEFMFFLQEHNVDLTNVKKSPSEEEETIIKKNSSIGINEFEAEGSLQTEETNDGNSAEKNSDSRKQGFDTAENENNSMSRLSRGLFSKISRLEIPRQHVFDIFKPETLPPSCRQKQPEPPEEEDDGYETAEECLSEEDFMCTKITLFGEDDDQEDEKPIPKEKIMKRIDSHKGMKSYQLAQQLSSKWSTGAGPRISCMRDYPPELQFRVLEQANLSPRPTNANLISPRTASRFSPRTNLTSPSLHKGKVSAR >OMO94022 pep supercontig:CCACVL1_1.0:contig08000:11661:11753:1 gene:CCACVL1_06224 transcript:OMO94022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVLVRYQNVRESEECGDDDETGWPLAFV >OMP06179 pep supercontig:CCACVL1_1.0:contig05038:174:2040:-1 gene:CCACVL1_01687 transcript:OMP06179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSASVFSHPLSISTASRRPFAGRYYYRRSPTFSATLSSSSSEPSSPPPTAPPAVAAPTPSKSKAFIESSRPHDSSSFNYALANPNGNPVARFARSTESNIEKTIFDFRFLALFAIGGSLAGSLLCFLNGCVYIFDAYKVYWTSCVKGHHTGKMVLRLVEAIDVYLAGTVMLIFGMGLYGLFISNINPDVPADVDRALKGSSLFGMFAMKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVTIATGMDLLSYSVCIFLSSASLYILHHLHKPEPE >OMP06181 pep supercontig:CCACVL1_1.0:contig05038:7638:11594:1 gene:CCACVL1_01689 transcript:OMP06181 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MSGTGKVVCVTGASGYIASWLVKFLLQRGYTVKATVRNPSDPKKIEHLFALDGAKERLHLFKAELLDEGAFDSVVDGCDGVFHTASPFYHNVKDPQAEMIDPALKGTLNVLRSCAKVPSIKRVVITSSIAAVAWNGTPLGPDVIVDESWFSDPTFCEKSKLWYVLSKTLAEEAAWKYTKENGIDMVSINPGLVIGPILQPTINTSVEPILKLINGAETYPNTTYRLIDVRDVVNAHVSAFENSSAHGRYLLVERVMHCSDIVQVLRKLYPALRLPEKCADEELGMPVFQVSKERAKSLGVNFTPLEVSLKDTVESLREKNFFSG >OMP06180 pep supercontig:CCACVL1_1.0:contig05038:2674:5808:-1 gene:CCACVL1_01688 transcript:OMP06180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSAVLWFLLGVLCVITGEYVCFAADSQKANCSKTELEALFDFKNGLNDPENRLSSWKGSNCCRWRGIGCNNSTGAVTIIDLHNPYPLNSDSLSRYGFWNLSGDISPSLLHLKSLQYLDLSLNTFNGVSIPEFLGSLRNLKYLNLSRAGFLGVIPPSLGNLSSLLFLDVSSEFGSLNSDSLEWVEGLVSLKHLAMNEVDLSMVGSSLVGLLNRLSFLNELHLSQCQLSGSISSVNPINLTSLSVLDLSWNSFGSGFPDWIVNSSSLTYVDLSNSGLKGRTPLGFGDLPNLQYLNLAGNLNLSASCNQLFRRSWKKIESLNLASNNVHGKLPAAIGNMTSLTYFDLFANNVEGGIPSSIGKLCSLMTFDLSGNNLTGTLPQFLEGTEGCASNRPFPNLMYLRLSNNHLVGTLPEWLGQLQNLIELELSFNSLQGPIPASLGQLSKLTQLGLGGNELNGTLPDSFGLLSNLSTFDVSSNHLTGFISEAHFLRLSKLKILHLSANSFVVNVSSNWIPPFQVRNLDMGSCYLGPLFPTWLKSQKEIQFLDFSNASISSSIPSWFWEISSNLSLLNVSFNQLQGQLPNPLNFAPSADVDLSSNLFEGQIPIPAVEIELLDLSNNQFSGPIPQNISESMPNLIFLSFSSNQLTGEIPDSIGDMLSLQVIDLSKNKLVGNIPSSIGNCSFLKVLDLGNNNLSGVIPDSLGQLLQLKSLHLNNNNNLTGSIPPSFYNLSSLETLDLGSNSLSGNILLWIGDRFPALRILRLRSNAFSGEIPFKLSNLSSLQVLDLAENNLTGSIPASLGDLKAMAKDQDIIQYLLYGKYRGLYYEESLIISLKGQTQKFTKTLSLVTSIDLSGNRLNGDFPEALTKLSALIVLNLSRNHITGDIPENISNLHQLSSLDLSGNNLSGSIPSGLSSLSFLAYLNLSNNHFSGAVPYVGHLTTFDATSFVGNPGLCGDPLLIKCEKDGFDRGGTSGSDEGIIDEWFYLSVGLGFAAGILVPVLVISARKSWTDSYFGFVEKIIVISGLRNIVAKHQRNRSVGRN >OMO73521 pep supercontig:CCACVL1_1.0:contig11220:5006:5122:-1 gene:CCACVL1_17235 transcript:OMO73521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGINMGDSWKGEVKRANLKDKKHLSRDKVTVGTRTSR >OMO73520 pep supercontig:CCACVL1_1.0:contig11220:3146:4532:1 gene:CCACVL1_17234 transcript:OMO73520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALVTGILKQLGTIAAQTASQQLMLVTGVREEVKSLESRFAAIKCVLEDAEEKQFTNKSIGNWLKKLKDVSYDMEDLLDEWQTAIGHPLAKYAALVMSGMSLNDLNFTKEVTPQHVSVKEAVLPFEKFQGCDVLLGPEMRSTGEVMGIDFEFAIAFAKAQIAAGEKLPMSGTVFINLNDLTKPYLDRAW >OMO69465 pep supercontig:CCACVL1_1.0:contig12030:1711:2631:-1 gene:CCACVL1_19476 transcript:OMO69465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSPGSPRSPLKNSYPLSRNTVEATKSPQKKQNHRQEEPQPPVSAAKPSLQKQNHHQQEPQPPVSAAKPPLQKQNLRQQDPQPPVSAKPPHQNQPEQQKPVSTGIFQEKEHHQKDNFKQTNHGSYDHHSQQRQQTSVKVHSQPSATTWVSPKQDDDHRNSLLLSNQGSSQEAQDHDSSDGVASRNVTYEEFCKRDKEKNSAHLDPKTGFPWSWAPVLSSTSPPEEQPSPAKGFTPSEKASPAPPPPPPNVPSIVQPPPLPPQKLKNNALQPSPPPHSPRNRPKSSVLQPSPPSPPPRSRCRCVIL >OMO69480 pep supercontig:CCACVL1_1.0:contig12030:74197:81037:1 gene:CCACVL1_19492 transcript:OMO69480 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MRIVSPCWRPSVEGENSTRSGDANGRVDGLLWYKDSGQHVTGDFSMAVIQANNLLEDHSQLESGPMSSVESGPHGTFVGIYDGHGGPEAARFINEHLFDHIKTINGAEFTTENHGMSADVINKAFLATEEDFLNLVKKQWLRDSRVVLGRLEKAFKEVKAVQLSSEHNASMESVREELRTLHPDDPQIVVLKHKVWRVKGIIQISRSIGDAYLKKAEFNKEPLLPKFRVPESFEKPILQAEPAISVQKIHPEDQFLIFASDGLWEHLSNQEAVDIVNSCPRSGIARKLVKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVVVLFLDSHLISRSFWRGPNSDHVPSLVSSPFKTHYFPFSPLLPAKKAPRVSPAMATGSVQEVLPPALDSTSDPPQVFDGTTRLYISYTCPYAQRVWITRNCKGLQDKIELVPIDLKNRPAWYKEKVYPDNKVPALEHNSEVKGESLDLIKYIDSHFEGPSLFPDDPAKKEFAEELFTYINTFYKTITSSFKGEESEAAGDAFDSIETALSKFEDGPFFLGQFSLVDIAYVPFMERFQPFLFDVKKYDITLGRPKLATWIEEINKIEGYRQTKNDPKALVESYKKRFSVSHL >OMO69473 pep supercontig:CCACVL1_1.0:contig12030:47509:48080:-1 gene:CCACVL1_19485 transcript:OMO69473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRNRAWETTKTEEVKARGRRQRVESTALTAGVKRTVGVTGCNGDGSRRSLRFRERERKRNDEKGN >OMO69477 pep supercontig:CCACVL1_1.0:contig12030:62101:62190:-1 gene:CCACVL1_19489 transcript:OMO69477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEESRKSYKSVAVCPSAPPNYPTTPSM >OMO69470 pep supercontig:CCACVL1_1.0:contig12030:24837:30438:1 gene:CCACVL1_19481 transcript:OMO69470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHGGSRVSSLWRCPIIPLVNLPHRLLINFNISSVSRAKGLDSLDEECDEFLPWLERKAGAKIFSVLSIGKSAYGRSLFASEIIRAGDCILKVPYSVQIAPDNLLPKIKAVLSDKVGTIAKLAIILLVEQQMGQDSEWAPYINCLPQHGDIHSTIFWSEYELNMIRQSSVYQETINHKDKIEKEYAVIVPALEQFPEIFEGATLKDFMRAYFLVTSRAWESTKGLSLIPFTDFMNHDGVSNSIVQFDEDKELSEVFADCNYSPGEEVLITYGKIPNATLLLDFGFTLAYNIHDQVHIQLNIPYHDTLRQMKLELLQQHPMPKMKDAIGFNCEDTFTIKEVRCPRGKGKGLPQSLRAFARILSCTSPEELSDLAMEAAQNDGRLARRPLKDSRREFQAHQMLLSHINHLIQEYDAAVELLDPANFPSMCKTFSIRRQMAHDLLTGELRVLKSASAWLKNYCAGLESTSHYQ >OMO69479 pep supercontig:CCACVL1_1.0:contig12030:67194:71018:-1 gene:CCACVL1_19491 transcript:OMO69479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase MLSCCLNFTPNGTPPYYPIISSHVSSYTTSSTVRSLADSAMAAAANSVRVAAAQMTSVGDIATNFSTCSRLVKEAVSAGAKMLCLPENFSYVGGMSGDSLLIAEPLDGPIMQKYCSLARESGIWLSLGGFQEKGHDDAHLRNTHVIIDDAGNIRSTYSKIHLFDVDVPGGAVYKESSFTEPGKDIAAVDSPIGRLGLTVCYDLRFPELYQKLRFQHDAQVILVPAAFTTVTGQAHWEILLRARAIETQCYVIASAQAGKHNEKRESYGETLIIDPWGTMVGRLPDRLSTGITVADIDFSLIDSVRAKMPIAKLPYVSLRCQSVAVASNKSGLSTGFHAGASRFGMLHNIVQSRTM >OMO69469 pep supercontig:CCACVL1_1.0:contig12030:23997:24308:-1 gene:CCACVL1_19480 transcript:OMO69469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OMO69474 pep supercontig:CCACVL1_1.0:contig12030:49155:52551:1 gene:CCACVL1_19486 transcript:OMO69474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLFFFKSSSSSSNSNAVPSPSADKQPSDSPSFLRRSHSLSSAAFLVDGLGQQNVLSSNDQNMSPNIAQYQQYDHSSRGRAFTPEKKSKAKRCEAEAIDFERHYPSGTSRLYHDSSGSSSSSSSNVSSKVIDRYIDGEQLVEGSKSNNSSRRNNPGNGGQRLPPRVQYTTPPSPTDSIKEKNKSHSFREAKGTRLNFSSRDWVENGFGHESPRMIAKNVIERLSQSHAIPRSSSKEFNQHIPITTEDVYGGYLNKCPLKSYAMDEPYEDAVGYCEDFSSLEKQNGFFGGSYDGLNSSETDYDTDVELQRRSKEAEERVKLLSETLEQESFLRDRGFDVSSLIQTIRNLTEEKVNLALEVSDLLQFRIAERTFFREELRMARVELESQTKKLEKEKHELQSGLEKEIDRRSSDWSFKLEKYHTEEQRLRERVRELAEQNVSLQREVCSFNEKETENRSIMTHSAEQLKELTRKLEFLSDENQDLRQKLSQSEEKYKAAIEDVDCIRRNFEEKEKECKDLQKSVTRLLRTCSEQEKTIEGLREGYSEEIGKKQPMEKNEKQFTKLQMEQMRLTGIELGLRKEVESCRLEVDTLRHENIDLLNRLKGNGKDLGALTFKLEKEMRSRICCLQNQGLSLLNESTHLSSKLIEFIKVRVGQFQETHQGLDGQFLVESDMKVQGFKRGIESLTRSLQTMSSLLHEKSSLVGSKSDLTCVDSDEAMKPNNQSSEEIIRTELKAETLVTSLLREKLYSKELEVEQLQAELAAGVRGNDILRCEVQNAMDNISCLTHRLKDLELQILKKDENIKRLQNDLQESTKELTILRGILPKVSQERDLMWEEVKQYSEKTMLLNSEVNVLKKKIEALDEDILLKEGQITILKDTLNNTKTFDLLGSPDSTREFILE >OMO69475 pep supercontig:CCACVL1_1.0:contig12030:57010:57438:1 gene:CCACVL1_19487 transcript:OMO69475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGSKVKESDHDHECVNAMLFSTSDVVPKVLNATIELGVLEIMAKAGPDAHLSATEIASQLPTQNPNAPSMLDRMLRFLATHSLLSCLPRILEDGSLETLYALTPASKFFLIGNENEGSLAPLSALANHPATQSVWYIPY >OMO69466 pep supercontig:CCACVL1_1.0:contig12030:4540:5547:-1 gene:CCACVL1_19477 transcript:OMO69466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSLQGRDNFPQESNSNPSKEKQQNSEQNPPKDEYLQFPYSSQQSQPSSVQVITSQQNHPKVDYLPPNSQVSDQTKQLQAFNEVSSHKRSNQPQAQSQQPPPSAQTLPLPQNPPKITSSQTSVQLQTQKEEFRQQKPSFGTSDQSKKQEPPKGNQDVSSNQSSNQLQHGKQLSSAQVPPLKQNPGKNDTSPPSNNQTSHKFEPKQPQNGVSSGTYPSKDHSNSNSIQQQQPPTEKKSPNQSQQQQPPSAQPFPASKQVTSTVHGNPPPSQPPSVKKPVHSEPVLVFPDPDQRSPRPRPSTPTVAAAAAATTTTTAPTEKAAPAKKSKGGCCSIL >OMO69471 pep supercontig:CCACVL1_1.0:contig12030:32982:35238:1 gene:CCACVL1_19483 transcript:OMO69471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRRSGTRLYVGHLSSRTRTRDLEDMFSRYGRIRDVDMKRDYAFVEFSDPRDADDARYALNGRDLDGSRLIVEFAKGVPRGPGGSRDYPGRGPTPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPKKLTRRPRSYSRSPSPRGRGRSRSRSYSRGRSDSRSRSPVKRERERSYERDDKRSRSPKRHRGSPSPSKGRKHSPPPEERSPRERGSPSPRERRGSPSPRDRRHTNGSDYSASPRGRSRSPDREADTEDRAYRSSAKENGHSRSPSPAPRDDRSPIYDDDDNHASPRRSEST >OMO69476 pep supercontig:CCACVL1_1.0:contig12030:61376:61729:-1 gene:CCACVL1_19488 transcript:OMO69476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILSNMKRDAAMVLVIMVLLSSSAAASHSNGTMQQQEFLMDDVLIDDLVISFADPPMRHLLQPSAHPAIDLSQFGHKVFCDRPPGYTSCLPQMNTNNKIGEPCGPDVYLNRNCNQR >OMO69478 pep supercontig:CCACVL1_1.0:contig12030:63748:66589:1 gene:CCACVL1_19490 transcript:OMO69478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRQEEHATKQPAAAAAAAPRYRNRVGATKQLAATATATCAGRPTFQEYSSYGGISKRDNHITYIVNNDVVDETFLHGATAVQ >OMO69464 pep supercontig:CCACVL1_1.0:contig12030:329:418:1 gene:CCACVL1_19475 transcript:OMO69464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRPGSQCDEPKFPATASTSREAICTENSN >OMO69467 pep supercontig:CCACVL1_1.0:contig12030:7586:16014:-1 gene:CCACVL1_19478 transcript:OMO69467 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 MILAVKWSRYVVNASQGQTSSYAPSLTGSEAAPWNMYRPDNHSVENGSFSNSAYHHVQQTEPSTRTVQDGSNAASLATSSSLGSTNAQPDYSGYNSYSNSADPYSYTSTGYQGYYNGYQQQPNPSYSQPVGAYQNTGAPYQPLSSFQNTGSYAGSASYSSTYYNPGDYQTAGGYTSSGYNHQTSTWNEGNYANYSTHQYSSYPTNTSGAYAAGNAATNSVQYQQHYKQWADYYNQTEVSCAPGTENLTVGSTSAQVSQVCQVPGVSSGYPTTNTQVSSSFTSSWRPESSSSQTPSIQPGATVTGAYDYWKHGTPSFQNQHPTPSFQNQHPTPSFQNQHPPQNQHPTPSFQNQHPTPVQPHNQKPLESQPSYDNFQEQQKAPCPQDLNLQYPAVQQAPPSYQPPLQAVQSLDTRRVSKVQIQTNPRIASNLPLGLLKVEKDGSNISAVTKPAYISVSLPKPSEKALPNDAADSALKAGMFPKSLKNYVERALIQCKDEKQMAACRAIMKEMITKATNDGTLHTRDWDAEPLFTIPNADIVDKSNLQNPTPVSSLPKYKKSPTKRSKSRWEPLPEEKSADKSGSINNYATKYSGWFHANEKDRKPAGASSEGKTDFMNNRVPLVEQKSASKAFQRPLKRQRLAEGNAADNGDVSSDSDKEQSLTAYYSGAITLANTPEERKRRENRSKRFEKGQGNRAEINHFKAKNAGTGNLYSRRASALVLSKSFEDGGSRAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLMVQNSQKNYLYKCDQLKSIRQDLTVQRIRNRLTVKVYETHARFALEVGDLPEYNQCASQLKILYAEGIEGCHMEFSAYNLLCVIMHSNNYRDLLSSMSRLSSEAKKDKAVQHALAVRAAVTSGNYVMNAKDFPSFVLFADLYVEKMRFKAVNCMSRSYRPQVPVSYIAQVLGFSSSMAPKEGNDEKDSDGLEDCVDWLKAHGASLVTDSNGEMQLDAKASSSNLYMPEPEDAVAHGDASLAVNDFLTRTST >OMO69468 pep supercontig:CCACVL1_1.0:contig12030:20179:20490:-1 gene:CCACVL1_19479 transcript:OMO69468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >OMO69472 pep supercontig:CCACVL1_1.0:contig12030:36992:44792:-1 gene:CCACVL1_19484 transcript:OMO69472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPLPNGSGSAAATPAETATTTTAPSPASNSTVASKLSQLTESLKLEHQFLRVPFEHYRKTIRANQRTVEKEVSAVISSVTDAADSNELSKADAVLNLTSLVCRLQGLKRKLEEGSRTENLQAQRCRARLDHLESMDAENLSEWNNVRLKRILVDYMLRMSYYDTAVKLAESSNIQDLVDIDVFQEAKKVIDALRNQEVGPALAWCVDNKSRLKKSKSKLEFHLRLQDFIELVRAENHMRAILYARKHLAPWGATYMKELQRVTATLAFRSNTECAKYKVLFEPKQWDFLVDQFKQEFCRLYGMTLEPLLNIYLQAGLSALKTPYCYEDDCTKEDPLSQESFRKLALPLPYSKQHHSKLVCYITKELMDTENPPQVLPNGYVYSTKALKEMAEKNNVISIFLSSSYSSDEEEAFFEEPTSEQKLQLSKPELPEEIADAHFVGSTNGWQTFVNRRNCDIYMTNLNLDTSPPFGIKKFMLLLMEEVDPETKSPKPKPETLSECEIEVEKQAFKLLFPGSRKKKKNLNGAIAQADQTWFVWYTPEKMSEIAVHQIVMSWSSPKDEKYCDIMIVHGPNHALATCRLGDKFWTNLGPGYPAAERSSQYYDTLRVEEIDYSRGTFHCTYECGLYEGWDFEDRNPTVSYIDDHESYYVLRGYPAGGDYSEVNSYKVRGPRRRRIKKKA >OMO96966 pep supercontig:CCACVL1_1.0:contig07343:33242:34648:1 gene:CCACVL1_04733 transcript:OMO96966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDLEIELDVDQEEVGNAMRCALMGKIITNRVLSKRGVIGILQSIWSPKDLIEVREIGNNVYGLLFSNEEVTEMVLERGPWTVMGHCICFKKWEIEKTLMEMEFREVIFWVQIHNLPWEVQTKRNAERVGNVMGRIVEIEDVQWGKAIGRGFLRLRVAMDVERSLLGGFWLPRKNGHKIWAEIRYEKLGDFCYKCGKLGHIEKTCDKEEVIEAGKKMYGPWMRTGMIRTLKVDSGRFKERAVPKLSPSKPTIEDETSRKEAKVNVLLPGCLTISPKPADKENELQVAMETETLSMDACPSSVNKSKETSHYEEGGRSNTGSSKRALSMNIECGSSCGGRVLYSIESLLNIRKNIEASHSSNPNTHTPLHHLPNLNQPHTTGKKNIKPTQTVSQLHRKPNQSQIPTLKETNLIKSKKQKQQENEALYYVEFPNEKIDNVEREEEEVFAQEKANQEVNVSNIVCQADEA >OMO96967 pep supercontig:CCACVL1_1.0:contig07343:60486:61820:-1 gene:CCACVL1_04734 transcript:OMO96967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETMPEIEDTASSSSSTASDEPENSSENILFGKYEIGKLLGCGAFAKVYHARNVRTGQSVAIKAVSKQKVFKGGFAAQVKREIAIMRRLHHPNIVKLIEVLATKTKIYFVMEFAKGGELFTRIARGRFSEDLSRRYFQQLIAAVAFCHSRGVFHRDLKPENLLLDENWNLKVTDFGLSAVTDQIRPDGLLHTLCGTPAYVAPEILAKKGYDGAKVDVWSCGIVLYVLHAGYLPFNDPNLMVMYRRIYKGEFRFPKWTSPDLRRFLGRLLDANPETRITVDEIINDPWFKKGYKEIKFHSDELEFKEENQNNKCLNAFDIISFSPGFDLSGLFNEADVSVRRERFVSAERPERIIERIEQVGRTENMKMRKRKGNGVRLEGQNGNFVLALDIHQLTTKLVVMEVRWREINIEPAGEVWKDQFWPKLSDLIYNKAETVTGQVSG >OMO90627 pep supercontig:CCACVL1_1.0:contig08456:16:1421:-1 gene:CCACVL1_07332 transcript:OMO90627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLALSLQPANGSDILLQTREWFPPARALIALHAFRQTRFAFSNKNKNPASSATASSESHAATESIGDDPLAASSGQLIVGVESKYRVVYRLVNSIYVLGITTADHDNSINVFHCIHIVNQAVSVIVTACRGVDVTPEKLARKYAEVYMALDIVLRGVSNIRLAAMLSAMHGDGIAKMVHSALDTEAKIRGADTWINVEAHSVEHQASVDAFSNANFELPAETLAAGDQIASTFVPQTTIEQEEKSIKAEEPEAAEKDPFAASEAINKQEDLVGGFKKTKDPSATDLTLALAGLEVTTLPPAEATQSTDITVEGFEGKYGGIEFGNEQTTLGEAFEGFSDAWGGGLDASEFLENKKVKKQEGLSGLELLQTGDSAAPATAAAAGADGAKSLENILVKKTEMKEFSG >OMO67119 pep supercontig:CCACVL1_1.0:contig12471:14888:15085:-1 gene:CCACVL1_20781 transcript:OMO67119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDYVEWGMDIEEWEEDDDLNFPPAHLLADDNEVEEIIGNHKFHRAKLNAAGIGDHNTDISHT >OMO67121 pep supercontig:CCACVL1_1.0:contig12471:18920:21117:1 gene:CCACVL1_20783 transcript:OMO67121 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAC3/GANP/Nin1/mts3/eIF-3 p25 MVVSSQTYSLEANLCLLRLYQFEPERMSTQIVARILVKALMAMPAPDFSLCLFLIPERVQMEEQFKTLIVLSHYLETGRFRQFWDEAAKSRHIVEAVPGFEQAIQTYAIHVLSLTYQKIPRPVLAEAINIEGLSLDKFLEHQVANSGWTLEKGHARGQLIVLPQNEFNHPELKKSAADSVPLEHITRIFPILG >OMO67118 pep supercontig:CCACVL1_1.0:contig12471:8802:13903:1 gene:CCACVL1_20780 transcript:OMO67118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTPATPLCKIQRTPAMTPGGTKAREEKILVTVRMRPLNRREQAMYDLIAWDCVDDCTVIFQNHNHERPATQHTFDRVFDPSCSNQMVYEEGAKDVALSALKGINATIFAYGQTSSGKTYTMRGITENAVKDIYEHIKATQERDFVLKLSALEIYNETVVDLLNRDSGCLRLLDDPEKGTIVDKLVEEVVKDSQHLRHLIGICEAQRQVGETALNDKSSRSHQIIRLTIESSLRENAECVKSFLASLNLVDLAGSERASQTNADGARLKEGSHINRSLLTLTTVIRKLSGGKRSGHIPYRDSKLTRILQNSLGGNARTAIICTISPALSHVEQTRNTLSFATSAKEVTNNAQVNMVISDKRLLKHLQKEVARLEAELRSPEPSSASCLKSLLMEKELKIQEMARQMEELKRERDRAQSQLEEERKCRKVQKGSNQSWVRCLSFHAENEPVSLSGAPDAQPRKTVGRHSTLRQSATSTDPSLLVHEIRKLEQRQRQLGEEANRALEVLHKEVASHKLGNQETAETIAKMLSEIKDMQAASSIAEDIEIRDGTDLKEEITRLNFQGSTIASLEKKLENVQKSIDLLVSSISKDEETPEFKTQVKKKKILPFTLNNSANMQNIIRAPCSPLSSSRKMMEHEIENKAPEDTDMVSRSHRSPGSFKTPTKADGKRVSSRDGTPSTRQTNSVDVKKMQRMFKNAAEDNIRSIRAYVTELKERVAKLQYQKQLLVCQVLELEEANGAGTDETQTDSALQSPMPWQLVFEDQRKQIVMLWHLCHVSIIHRTQFYLLFRGDPADQIYMEVELRRLTWLEQHFAELGNASPALLGDEPAGSVASSVKALKQEREYLAKRVSSKLTAEEREMLYMKWDVPPGGKQRRLQLVNKLWADPLNMQQVQESAEIVARLVGFCESGEPINKEMFELNFANPCDKKSWMGWNLISNFLHL >OMO67120 pep supercontig:CCACVL1_1.0:contig12471:16556:16855:-1 gene:CCACVL1_20782 transcript:OMO67120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSMEALAMAGADYVEWGMDIEEWEHEDHQDLTPAYLLADEEEEEEQEIVGKNVNKSGSPASSLTHFDLESQILLRMSMFDIRVMASNCAKMLEFSSL >OMO66363 pep supercontig:CCACVL1_1.0:contig12552:10183:12739:-1 gene:CCACVL1_21189 transcript:OMO66363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENQQIVSPLRNNMVKPCNDYFGKVSRDGLNTSDVPSFACCEGVWQLNKLSFFNRPSRQLVCECINEVYREVRFGTADPMLQERVLQSLPTRCNVTFPFKLMSAPSLGKIHDYCFGLK >OMO66368 pep supercontig:CCACVL1_1.0:contig12552:48113:49770:1 gene:CCACVL1_21194 transcript:OMO66368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLLCDEVWLSSSSLSSPGGTTPDFQYHSHDHERERCVLKGFDFDDSFYSTKEDSEQAFSVCLEKELSYMPEPGYLDYLQSNNLVFPRFRAVQWLIKTCSRLNLSVGTVFNAANYLDRFLSMSQCHGWKHWMVELLSVACLSIASKLNETFLPSLDELQMEDLDHSFQSSTIQQMELMLLKALNWRLGSTTVYSYIELITSNNIIHLKCNHQKDQLINQVTKILLRAILDSKLVHYPPSIVAISALWCSLEELIPLSYTSHLTNILKLINQDHEEKIKKCRRIMKPWLEVVVNPFCSLSNKASHEEHFYNYHPSSPVTVLLMERIEIDDCQLDLSLFRIPAAASDDTNNALNPESIGAKRKRSEEKDHLKKHRSGDQVP >OMO66371 pep supercontig:CCACVL1_1.0:contig12552:55491:56321:1 gene:CCACVL1_21197 transcript:OMO66371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MPTSSIFFSVFFYLLAFSYIADGEQIILVNNCKESIWPGILGSAGQVSPKDGGFHLGSGEEVVIDVPQKWSGRIWGRQGCNFDSNGKGSCDTGDCSGMLHCQGTGGAPPATVIEMTLGSSSSPLHFYDVSLVDGFNIPVAMKPVGGGIGCGVASCEVDLNVCCPSALEVKKGNKVVGCKSACLALQSAKYCCTGEYANPNTCKPTLFAHLFKAICPKAYSYAFDDSSSLNRCRASRYVITFCPPK >OMO66366 pep supercontig:CCACVL1_1.0:contig12552:39806:41314:-1 gene:CCACVL1_21192 transcript:OMO66366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MATLLDLTIGVIKEGDQELVSLQAVEFLSSLLNEEIKYEFETPKGENPGYFTAAGHTDGGERLQSCVFETLNVVVRCSNIAEVSPIIEKLLPVIMSCRYARTIKVVGDICRALDDKILPYYDDIIGLLLEDLTSLELDRSVKPMIITFFGDIGFAIVEHERACSTQAVGIAQRRRKLCPAGNC >OMO66364 pep supercontig:CCACVL1_1.0:contig12552:13188:13593:-1 gene:CCACVL1_21190 transcript:OMO66364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MAENQQSEASEKQSGESTDQNSIPVLPRTYGSLLGSNSTAPKMEWPELVGLTPEEAEKKIKEEMPRVRIQVVRANSFVTMDFNQARVRLFLDPSGKVQRPPRIG >OMO66369 pep supercontig:CCACVL1_1.0:contig12552:50617:51175:1 gene:CCACVL1_21195 transcript:OMO66369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSLISSSFLLPTKSLTIQRQIPHRNTTTSFRNSTPFKVQAAKLPAGVEVPKVQPKFNAPFLGFTKTAEVWNSRACMIGLIGVFIVELIINKGILQVIGVDVGKGLDLPL >OMO66365 pep supercontig:CCACVL1_1.0:contig12552:16159:16266:1 gene:CCACVL1_21191 transcript:OMO66365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSKPSRFAKFNPPEERLSDNGISGKGTSPSKN >OMO66367 pep supercontig:CCACVL1_1.0:contig12552:44402:47047:1 gene:CCACVL1_21193 transcript:OMO66367 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar import/degradation protein Vid24 MGESTNGAVALILVDKSTNKTAPLILVGTNSEHTSPPACTLLSVGQAFSGTQNVSSLQKEEAWRVNVRIQGCDLEHGYLCGTMEALNVPMADTPVVTFWEGEIVDTKNYTFYTGKWEATPDDDKRHWMKFQCFEPLLSQVEVDGGKSLNLSNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCSDGSINGYYYDPNSSPFQKLELTSTNEGRSGFSFSSYELQ >OMO66370 pep supercontig:CCACVL1_1.0:contig12552:51818:54339:-1 gene:CCACVL1_21196 transcript:OMO66370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MIQIAVKLSRWGAAVSRNPRVRPIFRTSSQPLRFLHDGTNGPGANPVAVQMINYALSHARSQKSDESYAQGMLILEQCLSTQPSESEGQFAQNSIGTVLLAMSNLLYERGSFAEAIEKLHRVQDLAQASLGVRVAAMEALVGLHLQSCQDDTSSVLADKCLELLDKDDLKSDGGKEKSVIARAKAVKGLVELVSGNLESAASQFEGLQDVADLNGSVALSYGQYLHAVQSFSQAKELYKTIIERMPKTEDFSDINALAVCNMAPDEVLLAATFALGQLESHMGKFDAAEEILTKALTKTEEHFGSNHPKVGVVLTCIALMFQNKARQEHSSSLLIQEGLYRRALEFLKAPPLESKGAETKLDRRDILALARGGYAEVLCVQQNRKAEGEKMKTWAEAAWRNRRLSLANALDISEPSSKVPVIDARTSRVL >OMO50500 pep supercontig:CCACVL1_1.0:contig16151:15034:18235:1 gene:CCACVL1_30399 transcript:OMO50500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fumarate lyase MAMYIVSRRSVRYATGWRCYSTSFREERDTFGPINVPSEKLWGAQTQRSLQNFEIGGDRERMPEPIIRAFGVLKKCAAKVNMEYGLDVTIGKAIMEAAQEVADGKLNDHFPLVIANRAAEILGHKSGEKFVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRLIPKLKTLHSTLHSKSVEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRVLCTLLRMYQLAQGGTAVGTGLNTRKGFDVKIAAAVAEETNLPFVTAENKFEALAAHDAFVETSGALNTVATSLMKIANDLRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAITVGGSNGHFELNVFKPMIASALLHSLRLLGDASASFEKNCVRGIQANRERISKSLHQSLMLVTSLNPKIGYDNAAAVAKKAHKEGCTLKEAKLKLGVLTSQ >OMO50503 pep supercontig:CCACVL1_1.0:contig16151:59371:64808:1 gene:CCACVL1_30402 transcript:OMO50503 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1 complex, subunit H MAQAELTTEQVLKRDIPWETYMTTKLISGTGLQLLRRYDNRAESYRAQLLDEDGPAYVRVFVSILRDIFKEETVEYVLALIDEMLTANPKRARLFHDKSLADQDTYEPFLRNWFIQEKSCKILALIVSARPKNHDGVVANGEASNSKNKFTTIDDVLNGLVEWLCAQLKKPSHPSRGIPTAINCLASLLKEPVVRSSFVQADGVKLLVPLISPASTQQSIQVVRVVILTFRNLLYKGTLGAQMVDLGLPQIVQSLKAQAWSDEDLLEALNQLEEGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDPLFWRDNITCFEENDFQILRVLITIMDSSNDPRALAVACFDLSQFIQHHPAGRVIVTDLKAKERVMKLMNHESAEVTKNALLCVQRLFLGAKYASFLQV >OMO50502 pep supercontig:CCACVL1_1.0:contig16151:29501:29584:1 gene:CCACVL1_30401 transcript:OMO50502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLKYPAEKPNQKPPLPPLISSFDHH >OMO50501 pep supercontig:CCACVL1_1.0:contig16151:19049:25986:-1 gene:CCACVL1_30400 transcript:OMO50501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNMSCQNLPQGNVELTEWHIGPEVNQRPFDSKRG >OMO50504 pep supercontig:CCACVL1_1.0:contig16151:67079:67615:-1 gene:CCACVL1_30403 transcript:OMO50504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKVQLKWNVIISPESLEAEGLLLQKAIVVRLLDDFARKKATDDVGYFMAVTTLESIGDAKVRQSTGEVLFPVAFSAITFKLFKGEILKGVVHKVLKHGVFLKCGPVQNIYLSHLKMPDYQYLPGENASFIHDRHSNIEKDVEIRFIVIGTKWMEAEREFQALVSLEGDYLGPVSSI >OMO50505 pep supercontig:CCACVL1_1.0:contig16151:68588:70464:1 gene:CCACVL1_30404 transcript:OMO50505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKREMEKEKEKTCKRCNQSYTASSNTSSSCRFHPSFFVCRRHDDQKRYYELKPDDPPYAAKFYDCCGAEDPEALGCTSSFHVSYDDD >OMO53556 pep supercontig:CCACVL1_1.0:contig15186:26144:26944:1 gene:CCACVL1_28560 transcript:OMO53556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNKEEKAQAAAERIKAAALSAAKGLSRAQAERAATAAARNVNNYGQKEEGPSRWQEKREAKRQMYLMSTEKAVRLGERKDKTSMTTMGASQCQKCFQAGHWTYECKNERVYMSRPSRTQQLKNPKLRMKLLSASHDLENPDINNNGKNEVDSRTKKSKRKHRSGSGSGSDSEASVFETDSDSGASSVTGSDSSSAESSTDYSSSSDSEEERRRRRRKKKQKKGGRRKYSSSSDSDSPSDSESASDSDSDDRKSRRKSRRSSRKH >OMO53553 pep supercontig:CCACVL1_1.0:contig15186:2648:7459:-1 gene:CCACVL1_28557 transcript:OMO53553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTLSSLSFSQATTVPRSNYAFDSHSPALPLSKPINLRFCGLRREAFGFSSLAQSSSNRVRLSTRGHSKKISASSADNGTPPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHMKALGLQVSAAGYDRQGVADHANNLASKIRSNLTNSMKALGVDILTGFGAILGPQKVKYGNNIVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLEFVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKMAQRVLINPRNIDYHTGVFATKITPARDGKPVTIELTDAKTKEHKDTLEVDAALIATGRAPFTNGLGLENINVVTQRGFVPVDERMRVIDANGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGRDHVLNHLSVPAACFTHPEISMVGLTEPQAREKAEKEGFEVSIAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKVKAPASSSPVSEPVAV >OMO53554 pep supercontig:CCACVL1_1.0:contig15186:11579:20947:1 gene:CCACVL1_28558 transcript:OMO53554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQEKSQTNANSMQRVKVYRLNEDGKWDDQGTGHVTVDYLERSEELALFVFDEEDNETLLLHRISPDDIYRKQEDTIISWRDSEYSTELALSFQENTGCSYIWDHICNVQRNLHFNSLNNETFHSMNSELRELPAVELSTLPIILKTVTESGLADQMRLTELILNDQDFFQKLMDLFRISEDLENIDGLHMIFKIIKGIILLNSPQIFEKIFGDELIMDIIGSLEYDPDVPQVQQYRNFLKEHVVFKEAIPIKNPMVLSKIHQTYRVGYLKDVVLARVLDEATVASLNSIIHSNNAIVISLLKDDSTFIQELFARLRSPTTSAESKKNLVYFLLEFCSLSKSLQMVQQLRLFRDLMNEGIFDILTDALQSQDKKLVLTGTDILILFLNQDPNLLRSYVVRQEGIPLLGLLVKGMLTDFGDDMHCQFLEILRSLLDSFTLSGAQRDTIIDIFYEKHLGQLIDVIISACPSDDADRSTGKSASNAGRVESQNSTKPEILSNICELLCFCVVHHPYRIKCNFLLNNVIDKVLLLTRRREKYLVVAAVRFVRTILSRHDEHLINHFVKNNLLKPVIDAFVANGNRYNLLNSAVLELFEYIRKENLKVLLKYIVDSFWNQLISFEYLPSIVSLKVKYEQCLENCGSKTNVNAHDPRKRIDERALEKEEEDYFNEDSDEEDTASASHTQKVQSQPVLSNGVAASYSSLSPRSGGLVDYDDDEDDEDYRPPPRKQTETLEEDEGTMESLRLKRKLASKDKEPEVAKKQRLCKSSKSRDSSVFAALCSTLSQAVLPSKKTANAMHLATRSAEGNKGSSEENHLEKEKESGSPRSSDNNSGSEEDKHREKESSPRSCSDCLHSPADNRQLSGDDCPLIPPKSSPEMAVNGS >OMO53552 pep supercontig:CCACVL1_1.0:contig15186:1579:1659:1 gene:CCACVL1_28556 transcript:OMO53552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKFLRNQRYARKHNKKSGESADEE >OMO53555 pep supercontig:CCACVL1_1.0:contig15186:22656:23912:1 gene:CCACVL1_28559 transcript:OMO53555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTKSNLETRSLLDEWRSFDNGCFFDFGHPLLNRIAESFVKAAGIGAVQAVARDACCAGTETGLTGAKKHRMPRLSGETNQKSLEAMVKRAGKESVQWGLAAGLYSSLTYGLKEARRGSHDWKSSAVAGAITGMALGLTAEGTTQEHVMQCAITGAAISTAANLLTGIF >OMO61180 pep supercontig:CCACVL1_1.0:contig13569:1109:1174:-1 gene:CCACVL1_23707 transcript:OMO61180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMNKWSLNKMAAASFTKDK >OMO51390 pep supercontig:CCACVL1_1.0:contig15857:2418:12252:1 gene:CCACVL1_29820 transcript:OMO51390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTLSLNSISASFSSSAGQQPHLSLLRAIQGLPIFELSSVCINLTLFLVYLFIISARQIFVCVGRVRFLKDDSLANSSPISRSVSVDGEVQNIKVGTWFKLSLFSCFYVLLVQVVVLGFDGFGLIREAVDGKVVDWSVLALPAAQGLAWFVLSFSALHCKFKASEKFPLLLRLWWFISFVICLCTLYVDGKSLLVDGSKHFSSHVVANFAVTPATAFLCFVAIRGVSGIEVSRNSDLQEPLLLEEEAGCLKVTPYSDAGFFSLVTLSWLNPLLSIGAKRPLELKDIPLLAPKDRAKANYKVLNSKWEKSKAENPSKQPSLAWALLKSFWKEAAWNAIFAMLNTLVSYVGPYMVSYFVDYLGGKETFPHEGYALAAIFFVSKLVETLTTRQWYLGVDILGMHVRSALTAMVYRKGLKLSSLAKQSHTSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKSVGIASIATLVATIISIVVTVPLAKVQEEYQDKLMAAKDDRMRKTSECLRNMRILKLQAWEDRYRVKLEEMRDVEFKWLRKALYSQAFITFIFWSSPIFVAAVTFATSILLGGQLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQEDATIVLPRGMSNVAIEIKDGEFCWDPSSSRSTLSGIQMKVERGMRVAVCGMVGSGKSSFLSCILGEIPKISGEVRVCGTAAYVSQSAWIQSGNIEENILFGCPMDKAKYKNVIHACALKKDFELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTSSELFKEYILTALASKTVVFVTHQVEFLPTADLILVLKEGRIIQAGKYDELLQAGTDFKTLVSAHHEAIEAMDIPSHSSDDSDENLLDGPTILNKKCDSAGNDIDSLAKEVQDGASASDQKAIKEKKKAKRRKKQLVQEEERVKGRVSMKVYLSYMAAAYKGILIPLIVLSQTLFQFLQIASNWWMAWANPQTEGDQAKVGPMVLLVVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLKMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLLGIVGVMTEVTWQILLLVIPMAIACLWMQKYYMASSRELVRIVSIQKSPIIHLFGESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQIPSEAPAVIENLRPLPSWPESGAIELVDLKVRYGENLPVVLHGVTCAFPGGKKIGIVGRTGSGKSTLIQALFRLIEPAGGRIIVDNIDISTIGLHDLRSRLSIIPQDPTLFEGTIRANLDPLEEHSDHEIWEALDKSQLGDVVREKDQKLETPVLENGDNWSVGQRQLVSLGRALLKQARILVLDEATASVDTATDNLIQKIIRTEFKNCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFDTPQRLLEDKSSMFLKLVTEYSSRSSGIPEF >OMO69554 pep supercontig:CCACVL1_1.0:contig12014:5526:7868:-1 gene:CCACVL1_19430 transcript:OMO69554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MQAKPIHIIPARIDSPAKLFPRLHQPSFPTRLPPRAISISNPADDHVSSGLGPLTLDNDDSVAAFWDYQFLFVSQRSETVEPISLRVVDGAIPPDFPSGTYYLAGPGLFTDDHGSTVHPLDGHGYLRAFSIDGVARQVKFMAKYVKTEAQVEEHDPLTDTWQFTHRGPFSVLKGGKKLGNVKVMKNVANTSVLRWGGKLLCLWEGGDPYEIESGTLNTIGSFNVINGHDLLPEIETEKKKSSDELLDIAARLLKPILYGVFKMPPKRLLSHYKLDAQRNRLVTVSCNAEDMLLPRSNFTFYEFDSDFKLLQKQEFNIPDHLMIHDWAFTDTHYILFGNRIKLDVIGSMTAVCGLSPMISALSVNPSKSKSPIYLLPRFPDKSAGNRDWRVPIEAPCQKWLLHVGNAFEVKDVHGNSDIQIQACVCSYQWFNFQKLFGYNWQNGKLDPSIMNVKQSGNELLPHLVQVSIKLDADGNCQNCCVENLNQWNKPSDFPIINPDFSGNKNEYIYASTTSGSRQDLPHFPFDMVVKLNLSSKTAKTWSAGARRFIGEPIFVPKGTEEDDGYILVVEYAVAVQRCYLVILDPKRIGETDALVARLEVPKHLNFPLGFHGFWANTN >OMO69555 pep supercontig:CCACVL1_1.0:contig12014:9957:11893:1 gene:CCACVL1_19431 transcript:OMO69555 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MNYEQRLKAAAKIVLANDAGAGDTAIDCAEFGVTATLKPYQVEGVSWLIRRYVLGVNVVLGDEMGLGKTLQAISFLSYLKVHQKSPGPFLVLCPLSVTDNWVSEIIKFTPTLRVLRYVGEKEHRRSLRKTMYEHVQEKLSSSDVLYNVLSERFLMPRRLLMTGTPIQNNLTELWALMHFCMPLVFGTLNQFLSSFKEAENSSSGSKPSKTKEEFKIKTLKYILQAFMLRRTKSKLIECGNLVLPPLTEVTVMAPLVSLQKRVYTSILRKELPKLLALSSGSSSHQSLQNIERMNKENFLML >OMO69553 pep supercontig:CCACVL1_1.0:contig12014:2421:4714:1 gene:CCACVL1_19429 transcript:OMO69553 gene_biotype:protein_coding transcript_biotype:protein_coding description:autophagy 3 MVLSQRLHEAFKGTVERMTGPRTVSAFKEKGVLSVSEFILAGDNLVSKCPTWSWESGEPSKRKSYLPAEKQYLITRNVPCLRRAASVEEEYEAAGGEVLLDNEDNDGWLATHGKPKDKSDVEENLPSMDTLEIKQNETVRSIPSYFGGEDEEDIPDMADYEEADNVIETDAATLPTTYLVAHEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQPELVLEDVSQDHAHKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFVASVIPTIEYDYTMDFDLGSSST >OMO66327 pep supercontig:CCACVL1_1.0:contig12556:426:4616:1 gene:CCACVL1_21211 transcript:OMO66327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II/R MAVRAVNGGSLIRSAASPPLLAFWCRFRQFSSLTFRRNYELGLRFPVLHCENQFCGHGGVRSCSAYSLFECVMQELAALQKGRRVRASVKTSGGELLEDKLVNQVLEKGLLLEFKKDSDRILLGVAQRPDGKKNWMVYDQNGVTSSIKPQQVTYIVPGVENFDQAEISNFLQKAQESLDPTLLEIAWVELLEKNKSVTAEELAEMIFGSAEPLESYCAHLLLSKDEIYFTVLETKGSRSIYGPRPTGQVEELSRKQLAKEAAEKELQDFVQLLVSAKKKPSHDKPPKSSWMVDENIQYKIESLVAYAIDDCRSDEQKNTAGMILKAMGLIKTASSALKLLINIGYLPVHVNLDVLKLNIRTNHSDEILAAAESLLSESSDLDEMNRKDLTDLKVYAIDVDEADELDDALSATKLQDGRIRVWIHVADPTRFVEPGSMIDREAMRRGTSVFLPTGTYPMFPEKLAMEGMSLQQGELCKAVSVSVILHSDGSIAEYSVQNSIIKPTYMLTYESATELLHLNLEEEPELKILSEAAALRLKWRRQQGAIDTSTLETRIKVVNPEDPEPTINLYVENQADPAMRLVSEMMILCGEVIATFGSSNNLALPYRGQPQSDIDISAFSHLPEGPVRSSAIVKIMRAAEIDFRKPTRHGILGLPGYVQFTSPIRRYLDLLAHYQVKAFLRGEPPPFSAGQLEGMASIVNMQVRVVRKLCGCSLRYWIIEFLRRQPKEKKFRALILRFIKNRVAALLLLEVGFQASASVSLGTNVGDEIEVKVEEAHPRDDFLELKEVIRN >OMO66328 pep supercontig:CCACVL1_1.0:contig12556:6527:8564:-1 gene:CCACVL1_21212 transcript:OMO66328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVKRIGRQAKVHGADTNSGYNHAKSFIARSIALH >OMP07921 pep supercontig:CCACVL1_1.0:contig04126:807:881:1 gene:CCACVL1_01192 transcript:OMP07921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDFTVVNKIKWKAESSECMIFID >OMO84315 pep supercontig:CCACVL1_1.0:contig09754:17196:20532:1 gene:CCACVL1_10877 transcript:OMO84315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSRLLSLTVLCSLFLLGSFTLTQAKKSKEDLKEITHKVYFDVEIAGKPAGRIIMGLFGKAVPKTAGEKGVGKSGKPLHYKGSKFHRIIPQFMIQGGDFTLGDGRGGESIYGEKFADENFKLKHTGPGFLSMANAGPDTNGSQFFITTVTTSWLDGRHVVFGKVLSGMDVVYKVEAEGRQSGTPKSSVVIADSGELPLFDFFRLSNWSDMVMDSRDSSSSTVSNRDNAVGDDGGVLSIAIALAEDATLYSPVLERSLSA >OMO84319 pep supercontig:CCACVL1_1.0:contig09754:40785:42794:-1 gene:CCACVL1_10881 transcript:OMO84319 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperone MSEKLAPEKRHSFVHNGEKVFEWDQTLDEVNIYINLPPNVHSKQFYCKIQSKHVEVGIKGNPPYLDHDLFCPVKTDSSFWTLEDDVMHITLQKRDKGQTWSSPILGQGQLDPYATDLEQKRLMLQRFQEENPGFDFSQAQFTGSCPDPRTFMGGIRLD >OMO84321 pep supercontig:CCACVL1_1.0:contig09754:52898:54028:1 gene:CCACVL1_10884 transcript:OMO84321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNNVNVAIIFGVTGLVGRELAKKLISKKSKWKKIYGIARNPEIIPIKDSSSSNYHFISCDLLDPVETKQKLSVLHDVTHMFWITWASQFPLDSPECCEQNKAMMSNALSVILPKAKSLKHFSLQTGMSHYVPPVNGRTVLFDEEWPRAANCYNFYYVLEDLLQETLAGSKVAWSVHRPGLLLELQLWAAMDDDGMSSTDGQAFNSINGSSFTWKQIWPSLAKKFGVTVPEEEEDMFSEGFWFTSAMRDKKKVWEEIVKKENLIQTKMEDLANWQFMDILFRYPVKLLGSRAKVDRLGFTMRCKTLDSILYWIDIMRDEKFIP >OMO84330 pep supercontig:CCACVL1_1.0:contig09754:120186:122327:1 gene:CCACVL1_10894 transcript:OMO84330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLTLVKRLQNITAKEADLGISEGASWHAKYKDSAYVFIGGLPFELTEGDILAVFAQYGEIVDVNLVRDKGTGKSKGFAFLAYEDQRSTILAVDNLNGAQIMGRTIRVDHCEKYKKKEEEDEEEEKKKREARGVCYAFQRGECTRGAGCKFSHDEQRAANTGWGPQDNKSSRWGNDKFEGSKKGEKSFGRQERADQEGFQPARTEKALEKSDGREGKRSRRFDDKDEPSSREDYDRRENRRSRRQNDDVDDLSQDDRYRREEKRSRRHDDGDFGPRSGEDRYRRDEKISRRNDDDEYGAKLREDHHRRDEKRSKRHADDEFERRSNRDHDRRG >OMO84328 pep supercontig:CCACVL1_1.0:contig09754:93432:101443:-1 gene:CCACVL1_10892 transcript:OMO84328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin headpiece MAFYSKDVDSAFHGAGAKPGLEIWCIENLRLVEVPKSCHGKFYSGSAYVVLSTSVLKSGPLEHDIHYWIGNDANQVDSALASDKALELDAALGSCTVQYREVQGQETEKFLSYFKPCIIPVEGVYSSQPRKVNGETYRVTLLTCKGDHVVHVKEVPFSRSSLNHNDVFILDTASKIFLFSGCNSSIQERAKALEVVEYIKENKHSGNCEVATIEDGKMVGDSDVGEFWSLFGGYAPIPRDSALPGQQLVDSTVILFWINLQGKLSQIGTNSLQKDMLERDKCYMLDCGAEVFVWMGRNTSVTERKTSISAAEDFLRKQDRSNGTHVTFLTEGLETSMFKSYFNSWPQTVETKLYDEGREKVAAMFKQQGYEVKELPEEDFQSYINCRGTLKVWRVNDHELSLVPVSEQTKLYSGDCYIVQYTYPGNERDESLFYAWLGHGSILEDRTDAIFHMSAIADSTRGDPVVAQVSETKEPTQFFLIFQTLIFYKGGISAGYKKFIAESGIDDDTYDEKKTALFRVQGTSPENMQAVQVDHVSSSLNSSYCYILQNGTSVFTWIGNLTSSRDHDLLDRMLELINPTWQPTSVREGSEPDSFWSSLGGKTEYPREKEMKKCVEDPHLFKFTSTEGDFKVKEIYSFTQDDLTTEDVLVLDCQKEIFVWVGRQSTIKSKQVALNLGLKFLETDILEEDLSLETPIYVITEGREPPFFTCFFEWDPLKANMHGNSFERKLAALKGKTSSGSAPSRNAQRAYSRDTTPDRSRSRSVSSNGWGRSVSPAPNISGSQLKFSGNKNASSPTPIVRKLFTGSSPYQDSPVVQPSTPPLNENSNKIDPSETTSNSLIYPYQRLTVVSRDPAPGIDVTRREAYLSEEEFAEKFGMPKAAFYKLAKWRQNKLKMALDLF >OMO84331 pep supercontig:CCACVL1_1.0:contig09754:122646:124214:-1 gene:CCACVL1_10895 transcript:OMO84331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate binding module family 20 MEALTKSFANICSKDQTTPTLLSSTTFLNRSYISFPPSSSSISNVELLGDSNLLRNRLLKQPVASSHFPSRHSKVSGSWETGGTEIQKTERSKTVHVKLQLQKECSFGEQLLLVGNEPILGMWNLSSAIPLTWSEGHLWMVEMDLPVDLSIHFKLILKQSSGDLLWQPGPDRTFQTWETKNTLVVTEDWENAEAQKITEELLLSENEDLLVSQCLEATVEKANTNDLMTAKDSTLCKDEEESIHQRTLSDENQSDGEMWLGYQGGPVLVLGITPSETQMAAEKSLPDELGKTRSPENSLELAADDQNMPETQMAAEKSLPNELGKTRSPENSLELAADDQNMPETEATS >OMO84329 pep supercontig:CCACVL1_1.0:contig09754:110613:112645:1 gene:CCACVL1_10893 transcript:OMO84329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTTAVGSAVNVAKPRQELLNSKKKARKGKIVEILADHKCTPTNGLLAPTPVNLPVAAVAKPATYKHLELIVLA >OMO84316 pep supercontig:CCACVL1_1.0:contig09754:27692:28051:-1 gene:CCACVL1_10878 transcript:OMO84316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTSNTKKKRFKKGAEKGNGDEDDTEGEEYDVEAWDTLNKGFKQAQVVLEQNRELIQKVNENHRSKIPDNLVKNVGLIQEINGNITKVLEIYSDFSVNFSDILRQRRRTGNGKTDSRG >OMO84326 pep supercontig:CCACVL1_1.0:contig09754:83268:85589:1 gene:CCACVL1_10890 transcript:OMO84326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGKSSFKDSLKALEADIQHANTLALDYPRDKDGARLQMRLSYSPAAQFFLFLVQWTDCQLAGALGLLRVLIYMTYADGKTTMSVYERKASIREFYAVIFPSLLQLQRGITDLEDRKQKEACTMRYRRKDEFERGKLSEIDLEREEECGICMEMNSMVVLPNCSHSLCLKCYRDWRGRSQSCPFCRDSLKRVNSGDLWIFTDKSDIVDLSSIVRENCKRLFMYIDKLPLIVPDDVFVPYDVHVR >OMO84325 pep supercontig:CCACVL1_1.0:contig09754:78427:78546:1 gene:CCACVL1_10889 transcript:OMO84325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELDGDDDFADGSSPAVQYLAKLGVGGVFGHILDTQPD >OMO84327 pep supercontig:CCACVL1_1.0:contig09754:85859:92295:-1 gene:CCACVL1_10891 transcript:OMO84327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MENRSFDHVLGWLKSTRPDIDGLTGSESNPVNVTDPNSLYVSVSDDAIFVDSDPGHSFQAIREQIFGSNDSSANPAPMNGFAQQAESMGEGMSKEVMSGFKPNRVPVYTKLANEFCVFDRWFASVPASTQPNRFYVHSATSFGAMSNVRKDLIHGFPQKTIFDSLDENDLSFGIYYQNIPATLFFKSLRKLKYVTKFHSYALKFRRHARLGKLPNYVVVEQRYFDIDLFPANDDHPSHDVARGQEFVKEVYETLRASPQWNETALLITYDEHGGFYDHVPTPVSGVPNPDGIIGPDPYYFKFDRLGVRVPTILVSPWIDKATVIHEPAGPTPQSQFEHSSIPATVKKLFNLNSNFLTKRDAWAGTFENYFNLRSTPRTDCPETLPEVKMSLRPSGPKEDASLSEFQVELVQLASQLNGDHVLNTYPDIGRSMNVGEGNRYVEDAVKRFLEAGKAAIRAGANESAIVTMRPSLSSRVKLGFAMLCAGSVRAKNAMNIMLTNVVDAVVGSISYYLFGFAFAFGDSSNPFIGTNFFALKDIPNSSYDYSFFLFQWAFAIAVAGITSGSIAERTQFSAYLVFSFFLSGFVYPVVVHWVWSSGGWLSSSSTSSNLMFGSGAIDFAGSGVVHLVGAVAGFWGSFIEGPRVGRFDAFGKPMPMRGHNATLVVLGTFLLWFGWFGFNPGSFDKILVAYPSTTDQGNWTAIGRTAVTTTLAGSTAGIVTLFGRRLLVGHWDALDVCNGLIGGFVAITSGCSVVEPWAAIVCGFCAAWVLIGLNILAVKLNFDDPLEATQLHGGCGAWGLIFTGLFAKEEFVIQAYNSGVSGVVRPYGLIMGGGWGLLGCQVIEVLVIVTWVTVTMGPVFYALHQLGILRIPVDEEIAGLDISSHGGYAYNAHQEENQPRFYADYMRMQEQS >OMO84320 pep supercontig:CCACVL1_1.0:contig09754:46622:49476:1 gene:CCACVL1_10883 transcript:OMO84320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium/proton exchanger MAELSYHVENGESNKGSMSKELWNNNGSARTAQNLSTSLMRKKSDPMLVSNVRYEMLRHFLSNLQQVILGTKLAVLFPAIPLAIAADFYNFGRPWIFALSLLGLTPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATELIIALFALYRNKIHVLKYSLLGSILSNLLLVLGTSLLCGGLANIKKEQRYDRKQADVNSLLLLLGLLCHMLPLMFRYAAAPGVLVADSTLQLSRASSIVMLVAYIGYIFFQLKTHRQIFESQEQEDDEEEEKAVIGFWSGFSWLVGMTLIIALLSEYVVGTIESASETWGISISFISIILIPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQISMFAVPLCVVVGWMMRVKMDLDFSLLETGCLALTIVVVAFTLQDGTSHYLKGVILCLCYTAIAACFFVHNVPAPLDQTSAKMGLKPPSAFSA >OMO84322 pep supercontig:CCACVL1_1.0:contig09754:63585:63965:-1 gene:CCACVL1_10885 transcript:OMO84322 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP-binding cassette transporter MAAASNGSEYFEFETEVVGETPFHRPSNAESVQLDEEELMWEALSRLPSVKRGNFAILKRTPSERHGGETGGSESETIDVTKLDRTRRELLVKKALATNDQDNFRLLSAIKERLDRYRSRFHANFV >OMO84323 pep supercontig:CCACVL1_1.0:contig09754:71671:71769:1 gene:CCACVL1_10887 transcript:OMO84323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDDVAHCPPFGVYHVDLLKRRSFQQFRSEF >OMO84318 pep supercontig:CCACVL1_1.0:contig09754:36262:38782:-1 gene:CCACVL1_10880 transcript:OMO84318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MVTTKTQTQDNPIPSVLIVGNYCHDVLLQGGAVVAETLGGAASFISNVLTGLSVSFHLTSKVGLDFNYPLNHNPIVVQTSKTTVFHAYFDLASSENAHQDRVLKRVEACDPIYPSDLPDRRFDFGMAVGVGGEILPETLEKMVDICDVVFVDIQALIRAFDKNGTVNLVGLKESGFYHLLPRLKFLKASSEEALFMDVEEVRKWCCVVVTHGKDGCQVYWKDGETQIAPFRANQVDPTGAGDTFLGGLVAGLAHGFVVPDAALLGNFFGSLAVAHIGLPKFDLRLLQRVKDEMQRRKLHCSCCLERRDDGLIFTKTSGHDEFHASLASTKLVSTCSIQECQQDLPRSPRTVDQVILPQYNGQPKLLVSSVFDEPM >OMO84313 pep supercontig:CCACVL1_1.0:contig09754:1714:5031:1 gene:CCACVL1_10875 transcript:OMO84313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQDSFEPADSTKRDIIKLMIHGRTVMGRKFRGVHCLMLTVNEVVVSAAILRIFGQEVAEIPSVATSAEGQDYDRLLLYTEWLFDCIEKLLYFLKVQKLVLQISSEAELTWMYNFRLKKMAREEVVEYQKKYKSMIFKGRSEMTESSGSALKFEIEKFNGTNSFQMWQSTVTDVLVQQGLRDALEADKPSTMNDNKWRDIQQKALASVDEVMKEVDKAVLLINSLTDRYDPVTRTLMVDRKTLSLQDVTSAIFEYDRLEETEKKDEENGALTVERGRTNGRDYKNDTRSKGRFNERGRSSSRPRVDLSSKECYYCHEMGHIKAYCKHIKAYCKKLMKDFGDFMKSKEKNKGGVNVAAADTKEYSDEDVVLMVQEEKKDTRDMWVFDSACSEHICTKKEWFSKFEKCDKPVYMANNVEERIEGIGSVKLRLHD >OMO84317 pep supercontig:CCACVL1_1.0:contig09754:29806:34337:-1 gene:CCACVL1_10879 transcript:OMO84317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHTAATKKKKKRSRTTKPPAVNGSSRNAPGQEDHQRKEEDGNEEQKRSLNSLMEAFESISLEEATSAYNQANGDLVKAAEILSDLIGNDDNSEDPELSTSSSSISSGSSSSGSSGSGFSETGCVQNLNGGRGWSRGGKQQKRVVAATGTVSTVLGKEYVRASPRRDSAATSAAKSVLSNEEAEQFLCSMLGDECELSMAVVRDVLCQCGYNVEKALDALLDLSASSYEQSKNRNYNESNTGFLIDTTDNLTDRASDCMSNSSESDFQDSIWSVGYGCRPYSKVLASSESPVPSCPRSNVSDLPQEVLGSLFNIPKSSEKEPSTMNWRSIVKKMQSLGPGIDVCPSSVAEPQQDIKAKGDEYNAFRKTAKEHWDSVKSCHQKAATACSKREWHYASYLSDQGKIQTKLAREADERASHDIFKARNKVFENVITIDLHGQHVKQAMKLLKLHLLFGAHVPSVQTLRVITGCGTHGMGKSKVKQSVIKLLEKEGIQWSEENRGTVLVKLDEYREFSFIDSDSETE >OMO84314 pep supercontig:CCACVL1_1.0:contig09754:6629:11707:-1 gene:CCACVL1_10876 transcript:OMO84314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipopolysaccharide-modifying protein MTMIMGYYGSVTLQMGPNCSRLIQTNTLFVQSIKVEELDEQKPGLMLYGLYRKPPLDVEISWTEIHDVFVPPNFHKKWVFFLNKGSKVNVSYSIRSVSSSPLSLVIARGAESLVEWLEDPSYPNLTLSWSIIYESGKIQQEIPKSSTYYIAVGNLNSEEVEIQLNFSVNALIYDTTQAYDKCSLVDHVCTLELHPLRENAVVLSSPGHTNEETPNNNWYVKVSYGPRWITYLVGSGVMTIFILLAFRFCKMFQSRVETGFHSGEMASERAPLIPEKDDDISSWGSSYDSASHDEEANLEEWLVKPPLEGNSSNEGESNNPRRLCVVCFDEPRDCFFLPCGHCATCFTCGTRQDIAPIMQYRNSFNSQMFKINCKILNSTCYSTGLQKRLVDHFASQIKTVAGHNLEPTPWHPFPPKDDSEETRQSRAYKIIQCSYLSCPYTSNDDTQPTKDQLEEHRRLLSSQSPENCPRFFKYIYRDLEPWAKSRISIDHIQQAKEKAAFRVVIVNGRLYVDLYYACVQSRLMFTVWGLLQLLKRYPGMVPDVDLMFDCMDKPAINKTEHGSFPLPLFRYCTTEAHFDIPFPDWSFWGWPETNIYPWDEQFRDIKKGSQAQNWANKWPRAFWKGNPDVGAPIRLELMQCNDTNLWHAEIIRQNWLEEAKDGFEQSKLSNQCKHRYKIYAEGYAWSVSLKYILSCGSLALIISPEYEDFFSRGLIPKYNYWPVSTVDLCRSIKFAVDWGNTRSAEAEAIGKRGQQLMESLNMDRVYDYMFHLISEYSKLQDFKPVPPSSAQEVCEESILCLAEPKQMEFLKRATAVRSSTPPCSLTRRPNSNVFDVWNERKQKTIEFLRKMEMQSEDRQMR >OMO84324 pep supercontig:CCACVL1_1.0:contig09754:75058:77072:1 gene:CCACVL1_10888 transcript:OMO84324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-trans-poly-cis-decaprenylcistransferase-like protein MLSLRFAIPTANSIASPKPKPKSAGSLQFLGNQTDIFSSGVSVPKRYDCKLQAAPTDVDVVNGKTSVARELSEELPVGLKKELMPKHVAVIMDGNGRWARQRGLPPSAGHEAGVKSLRELVELCCRWGIQVLTVFAFSAENWIRPKVEVEFLLSLFERALKSEFESFASESQIELLFYVMLFPSVRGGIRISVIGDSSKLPTSLQKLINEVEEATKQNTKLQLIVAVSYSGKYDVVQACRSIAEKAKDGEIQIEDINESLIEQELETNCTEYPYPDLLIRTSNELRVSNFLLWQLAYTELFFVRELWPDFGKDEFVDALTSYQQRQRRYGGRHS >OMO51437 pep supercontig:CCACVL1_1.0:contig15823:12809:16016:-1 gene:CCACVL1_29797 transcript:OMO51437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKLRWLITDAPRAYHGLEEHIGANEDHVDVIDASLEEYGDGGTSDRPLLGEAAQHRPLDRPRDMDMSGHHRPCDMDMSGYNRPNDSAMPGHNRPRDPLSMPLGPITSAQAKRFKEALVGFIRSHLEELKTIEDSLGRFEDHTTRNIPNDSMLCTMLSIDEH >OMO83734 pep supercontig:CCACVL1_1.0:contig09860:18903:21616:1 gene:CCACVL1_11244 transcript:OMO83734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spo11/DNA topoisomerase VI, subunit A MEGNTHSRDLLRKIKEITLWILDNLSQGRSPLIGIQRFRTYCTDLGSNCNCSSIKPNGHEILTFQKESHVYRIDVLLRVLLIVQQLLQENKHGSKRDIYYMHPSVFSDQSVVDRAINDICILLQCSRHNLNVVSVGNGLVMGWLRFFEGGRKFDCINSPNTAHTIPVLVEEVKDIVSVAQYILVVEKESVFQRLANDQFCSKNRCIVITGRGYPDVPTRRFLRLLVEQLCLPVYCLVDCDPYGFDILATYRFGSMQMAYDAKFLRVPQIQWLGAFPSDSDYYGLPKQCLLPLTTEDKRKTEAMLLRCYLHQEKPDWRLELELILQRGVKFEIEALSVHSLSFLSDVYIPSKIKEGTYICHI >OMO83731 pep supercontig:CCACVL1_1.0:contig09860:11842:13398:-1 gene:CCACVL1_11241 transcript:OMO83731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMAAAWAWNQEPPRLFPYSHHSARNQPNERTGLAFRDWLVCKDLVQRKKEKKKGSGEVTAKERVRDYTITDDDLKVLLGLVTVKGEFRLASNIVKEAFAGLVRRQVRARMSGGFAKEDDSYKEESGGEEERPKVGQNGEERRCKNDKGRKGKGKNECYDYGVLELEDLF >OMO83730 pep supercontig:CCACVL1_1.0:contig09860:7064:9439:-1 gene:CCACVL1_11240 transcript:OMO83730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta subunit-like PLP-dependent enzymes superfamily MAPVRTTGAIVAAISFSMAAFSYFLFFKMNTSTLPFNNKKKRRKGVVDAIGNTPLIRINSLSEATGCEILGKCEFLNPGGSVKDRVAVKIIEEALASGDLVPGGVVTEGSAGSTAISLATVAPAYGCKCHVVIPDDAAIEKAQIIEAVGATVERVRPVSITHKDHFVNIARRRAVEANELAAKKAKASQVDGNGLDRMNGCTIDQEKENSDFLSHCNGGFFADQFENLANFRAHYEGTGPEIWEQTSGCLDAFVAAAGTGGTVAGVSKFLQEKNPNIKCFLIDPPGSGLFNKVTRGVMYTKEEAEGRRLKNPFDTITEGIGINRVTKNFMLANLDGAFRGTDKEAVEMSRFLLRNDGLFLGSSSAMNCVGAFRVAKTLGPGHTIVTILCDSGMRHLSKFYDATYLFKYGLTPTANGLEFMK >OMO83732 pep supercontig:CCACVL1_1.0:contig09860:13745:14875:-1 gene:CCACVL1_11242 transcript:OMO83732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKAAKQAKLPMAAAAATASPNSTNDENSAEKTSQTTSIAANSALPIKIRTDENFPNLMKLGMYLGIYMGVGTTCFYTLRNHIRGHKTNDFIDALYLCVVTMTTVGYGDLVPHSYLSQIVCSGFITVGMCLFGIVVKIAAKYLVVKQQMVMVNALHLSKKLGPMEALKEIESLKIDYTKCLISLIATAAHFVLGIFVLVTVEGMDFNDAVYCACTTMTTVGFGDESFSSEFGRMFGIIWISTGTTTLGQLFLYIAEVYTDIETKKLVKWVLTSNIVVAKKDLEAADHVEDDKVYGIADFILYKLKEMGKIKQEDISVAMKDIDHVIKDLDVDDKSVLVSDAIQKK >OMO83733 pep supercontig:CCACVL1_1.0:contig09860:16150:17320:-1 gene:CCACVL1_11243 transcript:OMO83733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNGANQPLLSGYSTSTCQKIINGDAPKRRRLRRVRSAPLADCCPTDLKADTSLTRSQSIFGINPSFKKVAIFLTAYLGIGTICFYIIRNQLRGDKTNGILDAVYFCVVTMTTVGYGDLVPKSDLAKLLACAFVFTGMALIGLVLSQAADYLVEKQEILLVKALHMHQKVGQVEILNEIENNKAQNKFYTVLILLVVLVLAGTVFLWKVEKLDLVDAFYCVCSTVTTLGYGDKSFSTQGGRVFAVFWIFAGTICLAQFFLYIAELNAEKKQKAIVKWVLTRKMTNLDLEAADIDDDGVVGAAEFVLYKLKEMGKICQEDIRVIMEEFEELDVDQSGTLSESDINLAQSDETKRS >OMO83729 pep supercontig:CCACVL1_1.0:contig09860:4418:6309:1 gene:CCACVL1_11239 transcript:OMO83729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSPVNATPSALEQQEDEWDTDGFVIPSLGIEESDKKKADATEVETSNPPSQTKKEENIYLGPHGAPPSQSRQQEPNPSSRKQRFKQKLKEADRRISGAGRENKVENLRELVGGGKATSNMSKGSPREWLDPHCNEAQFEKWYPQ >OMO61388 pep supercontig:CCACVL1_1.0:contig13495:10484:18953:-1 gene:CCACVL1_23560 transcript:OMO61388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSAINSIEKDITARVWGASAQNESIAHDRPPRALALRVRGRTGEEYGSGPAFFKMVRWEDGARSSRWGSWSAMRFIDGKVAG >OMO61387 pep supercontig:CCACVL1_1.0:contig13495:663:6117:-1 gene:CCACVL1_23559 transcript:OMO61387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-splicing factor SPF27 MGSNREDILMLEAPPEAARPWASASHAEILDALPYIDDDYGNPKVKEEVDRLVEEEMRRSTKKPADFLKDLPPLPTFNFESHPMIAKEYERVRAGRPPVTLDFSSRYQVDIPPVNKRNDENAWKQAVQKAQGSLQHQVFRLENLELMLKYGPDVWRQNIQRLEGLFARMQKLAQQQNDQIEAVNRERKYHQQNTAYELNALSAQWKELCLKNIEIHSACSHIESQIEELKREAAERCKRKRLSGFVANAASNNDNNNKYSKRRRKRSWWQKFFFDDDGNWFGLRDDDMVELEAESEEFSEPELSSEGEKFEAWKRRAEAIVELREAQEDMKNEESRRWEDWIVEGDDGKFENGGSRDWDDDGVGSLLEEENENGLVRTFRDLVAQKEDDDILYEDRVFRYASFNS >OMO88026 pep supercontig:CCACVL1_1.0:contig09119:3847:6375:-1 gene:CCACVL1_08580 transcript:OMO88026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASCAKMLRKPWNIFSGVSPLPKLQLLYVLKSQDLLKYVDGSFPVPPVSIKNPTDKEGSSTISNPEFVTWTRIDQMLLGWINATLFEPILAQVVGLETSHEVWSNLHSLFSMKSTAHVMQLRSQLQNLQKKSYSISEYLKKIKSIAYTLVAIRQPVSDTDMVLYTLSSLSPKYESFTTTVSVGSDVPSFSELNSLMFHHESRLEQASQLSQTNIQNTAFVAQNNHTASFTQSNYYRGRGRGCHQFNYNNGRFSFHSPTSTPGLLGATPPFTTRSSIICQICRKHGHEVVKCNECFNQNYQSFDDIPLAFAALTINNAYQKGYSDIGATNHMVADPKELTNGNNYGGVDKIIVGSGNRENPLQRTM >OMO88028 pep supercontig:CCACVL1_1.0:contig09119:12898:13230:1 gene:CCACVL1_08582 transcript:OMO88028 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Protein ROS1 MDFNAVKSMSSKEHEPGMESFLAATPTKKNQIEVANLKRTPQKKQRRKKHMPKVITDGKPNKDASTPLGEGNGENSTRKRKCVQRKGLNKDIINITEEECRTGASHLETL >OMO88030 pep supercontig:CCACVL1_1.0:contig09119:32406:35926:1 gene:CCACVL1_08584 transcript:OMO88030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKEINGENKANPATSSKVKSGNQENGEKQEKLPVASPTTSQHQEVHDHYADIMDIAEMDYSPARRKPPIHN >OMO88027 pep supercontig:CCACVL1_1.0:contig09119:8994:10131:1 gene:CCACVL1_08581 transcript:OMO88027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAITSTNNPFSRQRGHVAVSVSFNPSGNYDLSLYGDEDDSPQVEPPMPPSEGRIDVVIDNEAISRLDLSPFQTATGITSPSTAKAKEYLEKTIGFTINYTRDDPHDPRELSEFPDIRLWFVRLDATYPWLPVLLDWRAGELARYAAMLVPHQMSMRMGVVFNPEALELFTMNKVFIVYGWLKQQGIPKPRLKTSDMARMLGFGIGDELFDLLDQHHQN >OMO88031 pep supercontig:CCACVL1_1.0:contig09119:39773:41065:-1 gene:CCACVL1_08585 transcript:OMO88031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MAAGKGRGKATPKAATAASKRKTKKCKHQNHLLTPQLLSYLSSATSAAHFFLLRNDLFLLPSQCLSLESLLCSLYSLLPSPPPPPPFPPSPSPWFHRFISLPSSISDSLWPRFFRMSKPTFCQLFSTLSPSFPPTLPPDSSLAAALFRLAHGASYKFLARRFGFSSSADACNAFYTVCKLINDKLGNALDFQSDISRIVVGFGWISLPNCCGVLGFGRFDVDGQLMGSNGSVLVQALVDSEGRFLDISAGWPSTIKPESILHQTKLFSRVEESRELLNGPSYKLSDGCSVPQYILGDSCFPLLPWLITPYERSNEEGDSFSSPEKEFNAVHSRAMGLVKTAFGRVKARWQLLSKKWKEGSVEFMPFVIVMGCLLHNFLIKCSEPLPEENVEDSREDQDELMPVSEEEADECGRRIRDAIALHLNRVSLRK >OMO88029 pep supercontig:CCACVL1_1.0:contig09119:16449:31439:-1 gene:CCACVL1_08583 transcript:OMO88029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPLHVEVNIVGLLLMTWQVISPRKHMQCRFTLHVSIFGQQENISEVTLSGRFFKVTSIWILTLDDPRKSNPSKKNN >OMP06306 pep supercontig:CCACVL1_1.0:contig04979:13649:17395:-1 gene:CCACVL1_01633 transcript:OMP06306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVIFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKQEFQAIINDPFMRNSVILVFANKQDMKGAMTPMEVCEGLGLFDLKNRKWHIQGTCALRGDGLYEGLDWLAGTLKEMKAAGYSSLGSSSF >OMO62845 pep supercontig:CCACVL1_1.0:contig13140:9122:10032:1 gene:CCACVL1_22609 transcript:OMO62845 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M10, metallopeptidase MATSSKLVLPFLAFLVFLIPSIQSNPVKNPFGFIRDLHGSQKGQTVKGLKDLKLYLEKFGYLNHNIQIWFKCHRRPRRRDGEYDDETSRCGQPDIINNGPNIRINLNSIWPATQNHLKYRILTGVQVPGTEDLKSVLSRAFNRWAKVSRFTFEEVPENAEIFQLEIGFHGTDGISPGDTGFDGRKGTLAHAHFPTDGRFHYDKDEDWSSNPGPNQLDLESVAVHEIGHLLGLKHSPVPEAVMYPYFGYGTTTKKDLHSNDIETLTAMYGPIRT >OMO62846 pep supercontig:CCACVL1_1.0:contig13140:17225:18527:1 gene:CCACVL1_22610 transcript:OMO62846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLEFRTFTPPPKKTKFLAPSLPNMAVRTTIFNRIN >OMO62844 pep supercontig:CCACVL1_1.0:contig13140:2508:3416:1 gene:CCACVL1_22608 transcript:OMO62844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M10, metallopeptidase MAASSSKLLVLPFLTFLVLLIPSIQSNLVKNPFGFIQDLHGSQKGQTVKGLTDLKLYLEKFGYLNNNIQVNNEGNNKNHTKDDEFDDSLESAIKRYQRRYGLNVTGGLGAETENMMMKPRCGQPDIINNGAGIKINLNAIWPATQNHLKYRILTGVQVPGTEDLKSVLSRAFNRWAKVSRFTFEEVPENAENFQLEIGFHDYNGLFPGDTGFDGRFGTTAHATPDGKFHYDKDEDWSSNPGPDAIDLESIAVHEIGHLLGLGHSIVPEAVMYGIFVYGTTTKRDLHPSDIEALTAIYGPIRS >OMO62847 pep supercontig:CCACVL1_1.0:contig13140:77162:89316:1 gene:CCACVL1_22611 transcript:OMO62847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDHPGSNKEVKFYLLEVVFLAPQGFAVKGGDYSRERKGSLVRRERRGFLESEVEKKRRKKRSTDKAKFGGISVIQGIARCTFGCSVLVTEGYFWVHCFQISTEAA >OMO87440 pep supercontig:CCACVL1_1.0:contig09239:10559:13510:-1 gene:CCACVL1_09038 transcript:OMO87440 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate adenylyltransferase MQAPVQSSSVIKSSLIEPDGGVLVDLVVPESERGAKTAEAESMPRVKLTKIDVEWVHVISEGWASPLKGFMREDEYLQSLHFNSLRLKDGSIVNMSLPIVLAIDDETKEGIGSSSDVGLVGPDGDLIAILRSIQIYKHNKEERIARTWGITAPGLPYVEEVITPAGNWLIGGDLEVLKPIKYNDGLDHYRLSPKQLRKEFDKRQADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGYENPILLLHPLGGFVKADDVPLDVRMEQHSKVLEDGVLDPETTIVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDTGAKKMAFFDPSRAKDFLFISGTKMRTYARTGENPPDGFMCPGGWEVLVKYYESLQAEDATQQPAVVSS >OMO87441 pep supercontig:CCACVL1_1.0:contig09239:23444:27856:1 gene:CCACVL1_09040 transcript:OMO87441 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MGVPAFYRWLAEKYPMVVVDVIEEEPVVIDGVSIPVDTSKPNPNKIEYDNLYLDMNGIIHPCFHPEDRPSPSTFDEVFQCMFDYIDRLFVMVRPRKLLFMAIDGVAPRAKMNQQRSRRFRAAKDAAEAAAEEARLREEFEREGRNLPPKEESQLVDSNVITPGTPFMAVLSVALQYYIHLRLNYDPGWKNIKVILSDANVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLALATHEVHFSILREVVFTPGQDKCFLCGQMGHLAANCEGKAKRKAGEFDEKGDGQAVAKKPYQFLNIWTLREYLEYEMRIPNPPFQMDLERIVDDFIFICFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFSSLGGYLTDGCKPNLSRVEHFIQAVGSYEDKIFQKRARMHQRQAERIKREKAQARRGDDAEPQFQPDSLVPVARFHGSRLASGASPAPFQQTVESNGNGYSGRPHKVRRLSPGATIGAAIVEAEYDIETDVQDNKEELKAKLKAAIRDKSDLFNSNNHEEDKIKLGEPGWKERYYEEKFSAKTLEEMEAIRKDVVLRYTEGLCWVMHYYYEGVCSWRWFYPYHYAPFASDLKDLGQLDIQFDLGSPFKPFNQLLGVFPAASAHALPEHYRKLMTDPNSPIIDFYPTGMQFC >OMO62652 pep supercontig:CCACVL1_1.0:contig13224:25941:27829:1 gene:CCACVL1_22709 transcript:OMO62652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MLDKKKWLNCSRLSKQYTDGVKRFIDYAFVKGAKGRLVCNHLICKGVDQGYTDWKFHGERSSPSMSFDHGERSLTLVSDDDGESIDDDIGMVDESNMHDNLEQLVRDVMTQEGNDDHTTSHEDNDDVSDERKIMKGLDLEYDKIDACPNDCMLYWGNDAAATCCNICEASRWHEKGRTKDLKLRHPTDSEAGKAFDSLFPDFAKDPRNICSLLTFGREYVALHSVGRFSFSPLILDPVEISFSFQV >OMO62650 pep supercontig:CCACVL1_1.0:contig13224:17598:18017:1 gene:CCACVL1_22707 transcript:OMO62650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDHGERSLTLVSDDDGESIDDDIGMVDESNMHDNLEQLVRDVMTQEENDDHTTSHEDNDDVSDEEGVEDPSFDAKNIIKLIGDGKEDLYLGCKKSSKLSFLIRLLNFKGMVGCSNVTITLFLELYYPMVKHYQNLTM >OMO62651 pep supercontig:CCACVL1_1.0:contig13224:24952:25032:1 gene:CCACVL1_22708 transcript:OMO62651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGTDSRLLGVVRGGKGSKFISGFD >OMO74052 pep supercontig:CCACVL1_1.0:contig11162:27049:28488:1 gene:CCACVL1_16995 transcript:OMO74052 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MTTPRQLRIFFMPFMSYGHLIPTIDLAILFAGKGVKATIITTPVNAPQISKVTERARNSGHEIQLLVTNFPSVEAGLPEGCESFDQAPSPDMQFKFFKATTMLKEPLAHLLQTHRPDCIVADMFFPWVTEVAAAFGIPRIVFHGTCIFSISATEHIRLYKPHKEASSDSEPFVLPDFPGNIKLTKSQLPDFVKQETWFTELYNEGKETELKSFGVIVNSFYQLESAYVDHYTKVLERRAWLVGPISLCNRGTIDITERGKKASISENECLTWLNSKKPNSVVYISFGSVANFNSAQLLEIAAGLEASGQHFIWVVRKGKKKEEEKEDWFPEGFEERMEGKGLIIRGWAPQVLILDHEAIGGFVTHCGWNSTLESVCAGVPVVAWPVASEQYYNEKLLTQVLRIGIPVGAQKWARLVGDFVKREAIEKAVKEIMVGDRAEEIRSRAKEFAEMARKAVENGGSSYSDLNALLEELRSTIDS >OMO74049 pep supercontig:CCACVL1_1.0:contig11162:12005:14215:-1 gene:CCACVL1_16992 transcript:OMO74049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MAHILLKDHEADGWERSDFPIICESCLGDNPNVRMTKADYDKECKICKRPFTVFRWRPGHDARFKQPVVCQTCSKLQNACQVCLLDLESGLPVQVRDSALSINSNDAIPKSDVNREYFAEEHDRRGRAGLDYESSYGKVRTNDTIMKLQRITPYYKRNRAHICSFYVRGECTRAAECLYRHEMPVIGELSQQNIKDRYYGVNDPVALKLLKKAGEMPCLEPPEDESIITLYVGGIGIDKRLMWGKGKPQAPRPESETSGSEGARQQATVAHSRMLPRAVAPQLDRAYYPSMDPQKMGTLVPSHDGENKSGSSEKQPQGHHYPYQTMLPLPPGQYHHQLYPPYGYMQPMPLYQQYPPYHSGMPLPRGGCRSGSVGSGGFFLKLGYRPTVRLPPITDRQVMETAIHRPTLVSVGWSVSITCRFLKNPIENLNLSTV >OMO74050 pep supercontig:CCACVL1_1.0:contig11162:19482:20927:1 gene:CCACVL1_16993 transcript:OMO74050 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGSEIPQLQMFFFPFMAHGHMIPTLDMAKLFATRGVKSTIITTPLNVSFFSKTIERSKEYGIEIDVKTLKFPTVEAGLPEGCENADSVPINMMNKFFLATAMLQEPLEQLLQECKPDCLVADMFFPWATDAANKFGIPRLVFHGTSVFSLCASEFIRLHEPQKKVQSDSEPFVVPNLPGDIKMTRKQLPDHMKFDFEQDFHKLVDASKESEVKSYGVIVNSFYELEAAYADCYRNVLGRRAWHIGPVSLCNRATEEKAERGKKSAIDEHECLKWLDSKKPNSVIYICFGSMASFTSAQLKEIAMALEASQQQFIWVVRRQENNNEEDEDWLPEGFEKRMEGRGIIIRGWAPQVLILEHEAVGGFVTHCGWNSTLEGVSAGVPMVTWPVAAEQFYNEKLVTEVLKIGVGVGVQQWVKTVGDFVKKEAIEKAVKEIMKGDKAEEMRNRAKALAEAAKRAVDKGGSSYSDLNALIEELNLRRRH >OMO74048 pep supercontig:CCACVL1_1.0:contig11162:8642:10953:1 gene:CCACVL1_16991 transcript:OMO74048 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MLESEIYDSLRHDDLNPKLDADPDEGELRDLGLAGSSDQGLSGCTTQSGKPPLDPSSRKRARTTASTPSSSSRKSSIVWEHVTTFEGVGGSFMAKCNYCPKTFNAHTKKNGTSSMKNHIENSCPKSPLRVATTTIEQDSSQTQLTFNVEEGGNGSGSVGTWKFSQKATRKVVATMIIIDELPFRFMEAKGFRHAMKTAQPRFVMPSRKTIAMDCFQLYSDAEKQVKKQMNSNRFRVSLTTDTWTSIQNNSYMCVTAHYVDDDWKLVKRIISFYPISGHKGDMLGKALEKCLKDWGLDTVFCVTVDNATNNDVAVGYLKKKFLKEKTCLGGGKFLHMRCVAHILNLIVGDGLKEKEFDSSMGKIREAVKFVRASNARLEKFMGCAKEEKVKCDKGLCLGVCTRWNSTYLMLDVAEKYEKAFEALEYVDPIYREHLRASGGLPTSSDFEVARSLCVFLKKFYTLTVKVSGTSYVTSSSLLDEVFKVFMALKDLEKHTSKELSSMAAKMKAKYDKYWGQIDKMNMTIYVAAILDPRKKLGFVDFCIKRMYNDAEYSDLLKTVQEATKELFEDYKKLLSPEKGSSSGGNDATMEEAVTSVNPLGEGNEESAMDMFWKHEMESGKEENRSELDVYLKKKGGDFDVLGWWKMNRSRFPILSYMTRDVLTVPVSTVASESAFSTGGRVLDVYRSCLNSKFVQALICGQDWLRGTLDINLNADMEEQSEFDQLAQELKKVSIDPIIDM >OMO74051 pep supercontig:CCACVL1_1.0:contig11162:24799:26268:1 gene:CCACVL1_16994 transcript:OMO74051 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGSEIHDQLHIFFFPFIAHGHMIPTMDMAKLFATRGVKSTIITTPLNVPFFSKIIEKGKEYGIEIGVKTLKFPTVELGLPEGCESADFIPSSQEEGAEMRKKFFKATAMLQEPLENLLQEFKPDCLVADMFFPWATDAANKFGIPRLVFHGTCFFSLCAAEFIRLYEPHKEVESDSEPFLVPKLPGDIKMTKSQLPDFMKIAEQNDFKKLVIASRESEVTSYGVIVNSFYELEGTYADCYRNVLGRKAWNVGPVSLCNRAAEDKAERSGKKSAIDQHECLKWLDSKKPNSVIYVCFGSRANFTSAQLKEIAMALEASEQQFVWVVSKQKNTEEEEEDWLPEGFEKRMEGKGLIIRGWAPQVLILEHQAIGGFVTHCGWNSTLEGVSAGVPMVTWPIGAEQFYNEKLVNQVLKIGVGVGVQRWVKTVGDFVMKEAIEKAVKEIMKGDKAEEMRNRAKLLAEAAKRAVDKGGSSYSDLNALIEELSLRRRQ >OMO51776 pep supercontig:CCACVL1_1.0:contig15718:7545:7880:1 gene:CCACVL1_29596 transcript:OMO51776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSSSCKYDGTLSLGFSESEIDVARQLIRLCCNNDGNIDENGVVSGKRRRVPGDGFDGINRANSAAMVIEDNDDDLHLKPRKRKSKSIHYIYSSTKPIVVFNAKKICCS >OMO51778 pep supercontig:CCACVL1_1.0:contig15718:25533:28477:-1 gene:CCACVL1_29598 transcript:OMO51778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tic22-like protein MNSTDNSNTPKIFSLSKQPHPLLNLQQAFSNLQTHCSSLLQQTQHHFKDAFDYTFSRFHPPSFSPKGPVFARIADSRKPQIDFSKKSGVAMSAEAIEERLAGVPVYALSNSEEEFVLVSGVRTKKSLGLLCFKKEDAEALLEQMKSMDPGMRKGGSKVVAIALNKVFQLKVDGVAFRLIPEPAQIKNALTERERAGFSDDDGFPGVPVFQSRSLILRSQNKSYRPVFFRKEDLDKSLQRASRDQNQLNPAFRQGDIQVAAFEEIIKGMKDSSNSKWDDVVFIPPGFDISTDPTQQQQP >OMO51777 pep supercontig:CCACVL1_1.0:contig15718:9155:12653:1 gene:CCACVL1_29597 transcript:OMO51777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKEISKKRKLPTIPTTHSSMGVFSHSKSRIHLLLSRSGQSPPDSGGRGNHQDPQSFSRKRKSSSAAGVSSVGNDLSSVPIKDLRSRRVFSPSSADGVIRNCLDSIKNMEKSEVARNCLSGSQEAMEDGEFRKLGMSNEDFVQSTPPDAEILGAKQVIGSKGSDFSDQFSEKRPSENLQKTDGCLEKGFPDKKNGINSSTRSVLRPCSRAKLFKTPGSFSYRRLLPYLMDMEKASPEMVPGKSDSGKPLNTVSVESLASRGSKSPSRQLFNAAIQKPELQVSSEDRNLNCSNRDSSPSKEDSNLNKEKLATISCDEMMTSGGEITKTSVESPSNAQNLEFSKSSDRCDYDEVQQNSNDDRKQSEIEGMPKADVCHAFDAQHLNSMNPALSEMGGNQKCSLQVRVDNGSEVLEQVGVSNGECMSMSPPDSDTSSKPDTEDSRGNKVDCVSQGMNNVIEKSTKETCHKNNCQNSDKSLGSSPKTKMVPDLRLHLKLSKIPGSFSYKRLLPYLTEITNDYSCASGNDESPKVEKSSEEKPFSPLSTSGKEVRMETSDAKSSPVEHDTGDDCTLPVAAATAARCSPNKKLARSPPKQVANSPMITNSQKERGSTVEHAALDKSQRVETSCQYVVDSPAMLSSSFINPGILPSEGTKLVSNHLPPETEEGCTKSTMKRANLEKQIEADSFVEASIPPGNPAASLKKGILKRNPRGCRGICTCLSCSSFRLHAERSFEFSRNQMQDAEEVALDLIKELSFLRNMLEKSASGATDQTSICINQVKEACKKAADAEELAKARLHEMNSDLKIHCIIPGPSVRFANYVEELVIPIADSSSKKVLK >OMO51774 pep supercontig:CCACVL1_1.0:contig15718:1090:1455:1 gene:CCACVL1_29594 transcript:OMO51774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSSSAWKRKRPSTSSFSKLEMDVARQLMLLCQEYNHFCNDKAGDESNQLAKKEESENESNRSGSESLVSSLSSNIQYDPFEMEDEEENLQPRKRRFKSIDLLYSSTKPLSISTRIQRR >OMO51780 pep supercontig:CCACVL1_1.0:contig15718:34840:41606:1 gene:CCACVL1_29600 transcript:OMO51780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQDQAKNHAAGHESHGVHVCHKCGYQFPNAHPSAKHRRSHKKHCGKTEGSKLDDSAHSPASDDSDEDPKTPIAQVPIAVERGSVEKSIGGIGAMSTRSEYEEFSDAPMEFQDSGPNLGRQDSFYGASQADKIAEKDLTATISFKDCEDTEFDQSQRNSVDGNEKENPVSESMPPLSSVTIEHQDLGLSYNKDSDDRNGYSSDMVLIKSETLAAVSAESGKAGAANSVAESSQEPDADENDEGKSNRNLAGGLTLPSEHSGEISESVSASEKRPEVTSEELLTEDIIQLKEEKVYSTFEMKTDAAQGADSATSVNSNEVDDKEESVSLSEKRLEVTSEKILTEDIAQLKVKEQVHSTFELKTDAAQGADSATSVNSNEVDDKELSGNDSVYVLSVPNDLPLVDNAEIKLEGFKDHERVKLPQTEALARDEVITDKGEVGDAVCQEKFDTNRVDEDIKVEKSAMVKEVIVEGKGDAFQINKRSDALESPVTGTTEDDKDNKSSSLEEKQHVDVSDDLDQTVSPDVDPDDEARKSNNVVGSDDMGISERAVGIDNNRRIDDENYVKNTERSCESSDDSSLSQKNPGSDLLEVDNSHDNGIRTAEKFNVVEIGGLEEGYNSIKSNSISESIRTHHQSPVVTEEVNSEHVRALSDAEAPEVNRVSNSYDDDNKDCEINRDNEVQGDAGEGFKASALDHIGGNEFERTSEDNLKRELIHLPLDAEPTSQISGAVDNIPTKESGGDASGISTTSLQGEADNVSVKHQLDTTVVDVSVETSSQTDSLEGHWGSVSDSWDLTPRFLFGRGTVLSTLSDSVAVMDTESLPSTGSHALAEGEKANIKPKVASEEQNNDKSEEFEPPSFMTLVEPGAGEQKAADTEIQREQNAQNPRASPLQAGWFPSLTHVANESQGRKKNEEIIEKVTNWNAKQHTPLKNLLGGEANTESKPKSPNSKEKESPAVVIPREEKVAKDNGALGTKLSSIRGPEAPETGPTIAETGKEWNSPARGPEAKESSQNLIAAGDHPGVENNTQGEIIPGRRSIERAIQDRLAMKTKGTESSTLDFEFEVVAREDDSVRNWEKFGETRQLKEALNSNEVGSDSDFEFKVENQFQGGREEIDGINIHVDCVGETSQGANSIAGTKDPNCPTLRDLIIISNDNNDVDETSLHLRNISSDASLGIGTTNKPSIEEQVTPRVAEKLNLEEQNNELEAYILDKLSGLQPSFRTLFEPSAVSTSSSTAACSFEYRTGRKQPKKSKWKKKMEDVFAKITNSASKKQQQYSPVGSDLYETEVKGILKMRWEEERKKNQRTKWPTSCFCSCYPCCSKSNGTRNLDEWEFQLEEYYSSTC >OMO51779 pep supercontig:CCACVL1_1.0:contig15718:29315:29389:1 gene:CCACVL1_29599 transcript:OMO51779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINGNVGKQAIDIRLDNVTNFSF >OMO51781 pep supercontig:CCACVL1_1.0:contig15718:100393:100476:1 gene:CCACVL1_29601 transcript:OMO51781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPSPRRSSRVVRMPAKLDGFVVGKN >OMO51775 pep supercontig:CCACVL1_1.0:contig15718:3151:4648:1 gene:CCACVL1_29595 transcript:OMO51775 gene_biotype:protein_coding transcript_biotype:protein_coding description:ERG2/sigma1 receptor-like protein MKPQLSATASSSVRSTTTTMEDDRGENRDSCYYPGCRKDANCNCEICLASINATLDLMPASVQKSSFTKLSASKPKLDRTPISFDSGLSTPRSISSRLVQESPALKSTARVSFKEKKEKERKNKGDFQSLFWKIFLGLSLVFGMEIGFSWVFYGVLRPTLTRDLVRSIGERALVVQDLKGKLRFLQNELRGFANGKVSNCSNSASIWEIDQGGSLLNSHCVLYKSAMEEVRIWGWPLQTAGLLTTGFSSRSFTILSGRVTEWSNGKVGFKTRKGNASWVQRRWGSSVIQLDPNTWIIEYRQNSIVENPRLFSATLELLRNRLTRIMTKITREFWLFSAFEDLYSASARNEHLKIPT >OMP09765 pep supercontig:CCACVL1_1.0:contig03045:615:698:-1 gene:CCACVL1_01043 transcript:OMP09765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTDFFELPQEEKAPFYSTDPTKQVK >OMO51369 pep supercontig:CCACVL1_1.0:contig15863:3902:9630:1 gene:CCACVL1_29830 transcript:OMO51369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFGLLSECTATLRLALLDIHCAAVTAIFWILWLCEAIKHSDQGFLGNLTHALANAAPVHLLTSIIFLIMEYYMPTESKVDWLVYLVEEDVPEELKDLKGAVEDLRVQSRKKSEMIAIGVKHENKRN >OMO51370 pep supercontig:CCACVL1_1.0:contig15863:13104:14837:1 gene:CCACVL1_29831 transcript:OMO51370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGDSGQTQKANGGYYKLFRLLSECTAALRYS >OMO51372 pep supercontig:CCACVL1_1.0:contig15863:22328:23014:-1 gene:CCACVL1_29833 transcript:OMO51372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNDLYTLNLHYHGTFVGEGLNLRYIDGEDGYVDVDPDRFSYFELEGIALEPRFKIKKFKRMYFSVPGLPLYEGLRVVDNDDAANVMCEYMVRHGSIDWYLEHEVEVPVEPSLLLEGPVAEPSVTNDYSEEVRVDQGGTVEPTVDKVFDVNVEEVLVDPADDEVDVEVEVMDDIDHPYFSPETQPPIDDDDTIDEEVAAARANFEEVLADAMGAAEGENLDGHNQWLF >OMO51371 pep supercontig:CCACVL1_1.0:contig15863:17626:18212:-1 gene:CCACVL1_29832 transcript:OMO51371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKMKEKQSVRKKRFWAPQLARTSSLTSSHRLLVSPNCPSG >OMO74722 pep supercontig:CCACVL1_1.0:contig11096:17789:19449:1 gene:CCACVL1_16498 transcript:OMO74722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQNQNQSNEVKALLDFKQNSIEADPKGFLEDWSPSSSSPCSWVGVSCSLTAQVSSLNFTNAGLIGRFHIDDLIVLENLQHLHLSGNSFSGAIPSSLGNLTKLQVLNLNSNAFSGNIPSNLCSSSSLQKLQLAGNFLSGTVPSDIGNCKNLRTIDFSLNNLNGTIPPNLWMLPHLSDLIIWGNSFSGEIPKDLCLRQSNFEALILNNNLFSGTIPESIRHCRNLTWLSLSFNHLGGEIPSGIGNLQKLSILQLNHNSFIGKIPPELGNCKSLIWLDLNNNYFSGSIPSELVSKTGIVFNNDRQWEYAFVRNEVLFSSENSPWHGNFHVTSNGSMIYLDLSFNLLFGSIPDSLSSMSHLQVLNLGHNRLTGTIPESLGSLRALGVLDLSHNQLEGRIPTSFRFLSFLSDLDLSNNNLSGSIPPMGQLETFPASRFEKNSGLCGLPLPPCGSKESPTDNPSTGSKKSEADDLSTGNGFDWIWLAAWIGFINGAIIGVCGGLILFEVKDQWFLKIFRITPRRR >OMO74728 pep supercontig:CCACVL1_1.0:contig11096:37277:38170:-1 gene:CCACVL1_16505 transcript:OMO74728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ser/Thr protein kinase MDIQVFTVARTDYLTNLCPQQLINTTFSFSLLRAWNLENVTLYYDCPRIVSPSSGFPSQFNCSNRGTGLINYFVVESAFQNLSAEVKGELSTCQNNVVVPAFYTAAQSIATNPTPDTVILPLRNGFGLKWNEKFYSKCQACNASGGVCGFDSIEFLCYCSDHTDSSNCLQSGV >OMO74725 pep supercontig:CCACVL1_1.0:contig11096:31268:31685:-1 gene:CCACVL1_16502 transcript:OMO74725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVGNFLPKPTVLPVQFSRLPKPKTMIVCATPKKPPSRTGGGRQINSTLRLSKKTTIGVTEVTPNTTLDASRDDNQKTKANDDAAAVKGAETTD >OMO74727 pep supercontig:CCACVL1_1.0:contig11096:33445:33834:-1 gene:CCACVL1_16504 transcript:OMO74727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRNFLPKPTVLPVTVQFSKLLKPKTMIVCATPSKPPTRSGSGGQINTTLDAGDVDNLQNTNANDDDAAVKGADEPTDI >OMO74723 pep supercontig:CCACVL1_1.0:contig11096:20958:24133:-1 gene:CCACVL1_16499 transcript:OMO74723 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZR1, transcriptional repressor MTSGTRMPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCKPVERMDIVGASATASPCSSYHPSPCASYNPSPASSSFASPASSSYVVNPNGDGNSLIPWLKNLSSASSSASSSKHPHLYIHGGSISAPVTPPLSSPTARTPRIKTDWEDQSGHPGWNAQQHSFLPSSTPPSPGRQILPDPEWFAGLQIPRSGATSPTFSLVSSNPFGFKDEVMAGGGSRMWTPGQSGTCSPAIAAGVDQTADVPMSEVVSDEFAFGNNATGLVKPWEGERIHEECGSDDLELTLGSSRTR >OMO74726 pep supercontig:CCACVL1_1.0:contig11096:32481:32555:1 gene:CCACVL1_16503 transcript:OMO74726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGEWRVSGYLHGLGLVDMSGSL >OMO74721 pep supercontig:CCACVL1_1.0:contig11096:8787:16798:1 gene:CCACVL1_16497 transcript:OMO74721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE-type MEGQIRIKYPQIQLEDYQPESFSHQDRVKVKDHTEKNNLVKEDEKKLQESDGETPKVSGGMQVVSGNGNEKKGKFFYYDTPFSEETGVWIPVSVPPMSESEHEEWNRSLCLNGGYFPDDDLGWNHFSGESKDLTLWDVFNEMLIAARGKVSAVASGDVQRCGISWLSSHLLEQAWKEMAQTLTEANFGNIREILEAEPPKWLPDSAASACMLCNLRFHPFMRPRHHCRYCGGIFCNECSKGRSLLPRKFRTSNPQRVCDVCCVRLESVQSHLMDHINRAAQLPTHDLTDLSTLRSWLNFPWGQSMEYEIYKAANTIRNYNKQAKGLAILTIAKVGVMVTYNVGTGLVVARREDGTWSPPSAISSFGVGWGAQAGGEFMDFIIVLRTESAVRTFSGNIHLSVGAGLSAAVGIVGRAAEADLRGGSGGLAACYTYSCSKGAFVGCSLEGSVVTTRNQENCRFYGNPSITASDILLGSLPRPPAAATLYQALSNLFEKLERRNILVSFLEIEGVTNNRADEEALLALKAHITHDPQNIVTKNWSTSVSICDWFGVSCGSRHKRVTALILQRTSLNGSLPPQLGNLSFLTTLDLRFNNFHGNLPPELANLRRLKSMILIVNQFTGNIPEQIGSLPQLQNLFLSANGLSGSIPSTIFNSSTLQKIVLATNNLEGIVPENLCDHLPQLQMIEISFNNLSGKISPNLQNCRLLQVLGLSNNRLTGSIPRELGNLTMLKELYLGFNQLEGEIPRELSRLVGIERFAVENSGLTGQIPSEMFNLSSLIDFVVMNNSLSGSLPTDLCRHLPNLEKLFFFNNKLDGTIPRDIGNCTVLKQLRLGRNNFTGIIPEEIGNLKHLEILRVAINSLTGPIPPRIFNMSTLKEMSLAENYFSGSLPSDIGPGLPNLEELLVGANRLSGIIPDSISNASKIAFLELSQNLFTGTIPKSLGNLKDLLQLGLVDNQLISDSSTPELTFLSALTNCRQLRTLGIARNPLVGVLPNSIANLSTSLELMDASDCKIYGKIPGGLRNLSSLFALSLSNNELTGPISNLFQNWTNLQRLYLSGNMLEGAIPDELCQLRNLGELFLRGNRLSGPIPRCFDNLTSLRILLLNSNNLSELPSTLWNLKDLLVLNLSSNSLSGSLAPEIGNLKVLTQVDLSKNQFLGPIPSNVGDLKDLTSLSLAHNNLQGSIPESFGSLLSMEFLDLSSNNLSGVIPKSLERLSFLRYFNVSFNTLQGEIPNGGPFVNFSATSFMNNTALCGSAQLLVPTCNSSTSRRSRRTTLLVLRYVLPIICSIILITVALIVYRKYQKKKTSLPVKQESESLPLATWRRISYYELLQATGGFSESNILGSGSFGTVYRGTLQDETTVAIKVFNLQLEGAFRSFDVECEVIRNIRHRNLVKIISSCCNLDFKALVLEFMPNGSLENWLYSPDQCFLDLMQRLNIMIEVASALEYLHHGNSTPVIHCDLKPSNVLLDNEMVAHVCDFGMAKLLGEGNSVTQTMTLATIGYMAPEYGSTGLVSTKGDVYNYGILVMETFTGRKPTNEMFSGEMNLKSWVKESLPHAVTEVADAHLLRHKQENFMAVTSCISSVLQLALNCCAESPSERKHIKDVVVTLTKIKEKFLKDTDSTY >OMO74724 pep supercontig:CCACVL1_1.0:contig11096:28874:28969:1 gene:CCACVL1_16501 transcript:OMO74724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLQKTPLKSFERDREEITGKGTKCGSLGF >OMO81325 pep supercontig:CCACVL1_1.0:contig10208:7544:7618:1 gene:CCACVL1_12479 transcript:OMO81325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGKGGEPTRLSCPRVFCPALPH >OMO81326 pep supercontig:CCACVL1_1.0:contig10208:54199:58141:1 gene:CCACVL1_12480 transcript:OMO81326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQLRKFEFFEEKLGGGKCKIPDDITSKIECCSSGRGKLVIGCDDGTVSLLDRGLNFNFGFQAHSSSVLFLQQLKQRNFLVSIGEDEQISPQQSGMCLKVFDLDKMQPEGSSTTSPECIGILRIFTIQFPQAKITSFLVLEEAPPILLIAIGLDNGCIYCIKGDIARERITRFKLQVDSGNSPVTGLGFRLDGQALLLFAVTPDSVSLFSMQNQPPRRQILDQIGCNVNSVAMSDRSELIIGRPEAVYFYEVDGRGPCWAFEGEKKFLGWFRGYLLCVIADQRNGKNTFNIYDLKNRLIAHSLVVKEVSHMLCEWGNIILIMTDKSALCIGEKDMESKLDMLFKKNLYTVAINLVQTQQADAAATAEVLRKYGDHLYSKQDYDEAMAQYINTIGHLEPSYVIQKFLDAQRIYNLTNYLENLHEKGLASKDHTTLLLNCYTKLKDVEKLNVFIKSEDGVGEHKFDVETAIRVCRAANYHEHAMYVAKKAGRHEWYLKIFLEDLGRYDEALQYISSLEPSQAGVTVKEYGKILIEHKPAETIDILMRLCTEDADLPRSGTSNGSYLSMLPSPVDFLNIFIHHPQSLMDFLEKYVDKVKDSPAQVEIHNTLLELYLSIDLDFPSISQANNGIDFNLKERAAAPTMSRALSNGKLIIDGKNSNIEKDTLERREKGLLLLKSAWPSDLEHPLYDVDLAIILCEMNAFKEGLLYLYEKMKLYKEFIACYMQAHDHEGLIACCKRLGDSGKGGDATLWADLLKYFGELGEDCSKEVKEVLTYIERDDILPPIIVLQTLSRNPCLTLSVIKDYIARKLEQESKLIEEDRRAIEKYQEDTLTMRKEIQDLRTNARIFQLSKCTACTFTLDLPAVHFMCMHSFHQRCLGDNEKECPACAPEYRSVMEMKRSLEQNSKDQDRFFQQVKSSKDGFSVIAEYFGKGVISKTSNGSAGTIRSGSTSSGSGF >OMO50118 pep supercontig:CCACVL1_1.0:contig16311:361:2935:1 gene:CCACVL1_30617 transcript:OMO50118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerophosphoryl diester phosphodiesterase MALKAVHVSDVPNLDQVPENAALALCSTRFSTGVNEHEEKSGYRFDKFVVMGHRGSGMNMLQSADPRMKSTKENSILSFNEAAKYPIDFIEFDVQGVIIEKRVTELTLAEFLSYGPQKENGKEGKRLFRKTKDGRIFEWKVEKDAPFCTLEEAFRNVDQSLGFNIELKFDDKIVYKEEELSHALEAILKVVLENAKGRPIMFSSFHPDAAQLVRKLQSIYPVFFLTNGGCELYADVRRNSLDEAITLCLASGLQGIVSEVRAIFRNPGAVARIKESKLSLITYGQLNNVAEVVYMQHLVGVEGVIVDRVEEITESVSDLSQAKLGEEKGRPQFSKDELSFLLKLIPDYFSLDDIYIIRS >OMO93361 pep supercontig:CCACVL1_1.0:contig08106:18619:21619:-1 gene:CCACVL1_06526 transcript:OMO93361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/glutamate/uridylate kinase MAEVNLNQTIRCIVKLGGAAITCKNELEKINEENLETVSSHLREAMILESCSKKVIGMDWSKRAGVSDVSASLSDFGDQGQFNVDFSRFIVVHGAGSFGHFQASKSGVHKGGLNQPLVKAGFVATRISVTTLNLEIVRALAREGIPSIGMSPYACGWSTFERNVASADLSGVTKAVDSGFVPVLHGDAVLDHSLGCTILSGDVIIRHLAEHMKPEYVVFLTDVLGVYDRPPTDPNAVLLREIAVDEDGGWSVVKPKLESTNKQVEITVAAHDTTGGMVTKISEAAMIAKLGIDVYIVKAATTHSLRALSGELRRHIPDDWLGTIIRFSKEVGQVNSSPS >OMO93363 pep supercontig:CCACVL1_1.0:contig08106:26847:29629:-1 gene:CCACVL1_06528 transcript:OMO93363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSAHRGGNGASSKRRRQSGRYRKRHGKIAASIPDVPAMKRLSDAGNRVRDFAVSEYVHLDFEKGASTTCKRSEINNMTFHLTQLQWNHSQIDANGRCQEEVWFDSVSIIESESDDDTGSVYADAFPTIGNISNAQLVQYESTSCFVDNGSQYEGYYESYSALKEVKDGTVDMVEKTQESRKKSSTVIMLSVKRKSFEGDAIEEFCASERYLYRPRAGLLIPCSGEKPNPGTWSEVSPSVFKLRGENFFRDKQKFPAPDCSPYVPIGVDLFVCPRKVNHIAQHLELPHVKPHDKVPALLIVNIQVPTYPATMFVGDASGEGLSLVLYFKVSDTFDKDISPHFQDSIKKFIEDEMERVKGFKRESIVPFRERLKIMAGLVNPDDLQLGSTERKLINAYNDKPVLSRPQHNFFKGPNYFEIDLDIHRFSYISRKGLEAFRDRMKNGIVNLGLTIQAQKPEELPEQALCCLRLNKIDFVNHGQIPTIVTVNDEI >OMO93359 pep supercontig:CCACVL1_1.0:contig08106:12908:14055:1 gene:CCACVL1_06524 transcript:OMO93359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor IF5A MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFNAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDESLLSQIKEGFESGKDLVVSVMSAMGEEQICALKDIGPK >OMO93362 pep supercontig:CCACVL1_1.0:contig08106:22714:25866:1 gene:CCACVL1_06527 transcript:OMO93362 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MAGNQEQQPSSQPSKTVAPQRPTITPPPRPFGEALFNGGPGMMGYSPGPMTLLSNFLSDTDEYKSFSQLLAGAMASPAAAAAAQRPNFPPPTTTTEEQSKVTGGGAGSDTGSRLRQNKPAGLVISQPPPMFMMSPGLSPGFLESPGFSVFSPVAQGAFGMTHQQALAQVTAQAAQIQAEHSSAPATSLTQLPSHTASTTANQQIPTSLPASSLTVKEQSDVSLSDQKSQPASYLVDKPADDGYNWRKYGQKQVKGSEFPRSYYKCTHPGCPVKKKVERSLDGQVTEIIYKGQHNHQRPQSKRAKDGGNLNGNPSEGNTESAFQLQSGNLGISKDHTSAYSISKKDQESSQATVEHPSGSSDSEEAGENETGADEKDEDEPEPKRQNTEYRVTEAASSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTPGCNVRKHVERAATDPKAVITTYEGKHNHEVPAAKTSSHNTANNTASQVRMQNMVTDKHAASNRADFGNNSQQPVARLRLKEEQIT >OMO93358 pep supercontig:CCACVL1_1.0:contig08106:4818:10902:1 gene:CCACVL1_06523 transcript:OMO93358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVITSPLHLLDSQRNKRRKTQKLEYPEVVLCNKVKCKHLASIGNMCIICGERVGIQESGVRFGYIYIHKSLSLGNDEIDRLRSACTKNLVSQRKLCLVLDLDHTLLHSTRLIDLKPEEEEYLKAQPDFFMLEFINMTSMTKLRPFVHKFLKEASKMFEMYIYTMGNRAYALDMAKLLDPRGDYFNGSVISKEDNTQKGQKGLDVIPGQERAVVILDDTEKVWMKHKDNLILMEEYHFFAPSCDQFGSQLKTDETEHNGALVFVLEALKRIHHMFFDDNVDVGSRDVRKVMKTVRKEVLQGCKIVFSRVFPIGFPVETHALWKMAEQLGATCSTETDASVTHVVSKDAGTEKSLWAVKEHKFLVDPQWIEAANFLWQKLPEEYFPVLRLYDVDCSQLRFEALSEAALLDCCFQEESVVFSAGSDCSITRYDLNSGISNRIGNHDDIATFVEYSNETHQVITAGFDKKIIAWDMRGAEPLAFLRNLGAEVESMSLSGFELTVAVGSSVDIYDLRNLDRSFQSNESCMDVQIRCVCSIPYCKGYAVGSVDGRVKLEFSYPSTSDNMGYIFRCCPKSTDARNHLVPVNDIAFNPFISGAFVTGDSKGYVTAWDAKSRRRLFELPRCSNSVASLTYNHEGQVLAVASSYTYNEAIELEKPPQIFVYKMDDSYIRSVSAGNSNSK >OMO93360 pep supercontig:CCACVL1_1.0:contig08106:16687:17918:1 gene:CCACVL1_06525 transcript:OMO93360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor IF5A MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFNAKKLEDIVPSSHNCDVPHVNRVDYQLIDISEDGFVSLLTEDGNTKDDLRLPTDEALLKTIKDGFAEGKDLIVSVMSSMGEEQICAVKDIGPK >OMO93357 pep supercontig:CCACVL1_1.0:contig08106:2133:3505:1 gene:CCACVL1_06522 transcript:OMO93357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVTESPLHSPPTSSDDDFAALLDAELEVDSSSSSPNEEEDYDNSQRNKRRKTQKLEDPEELCKKDKCRHPGSFGKMCIICGEKLGIEESGVNFGYVHKNLRLGNDEIVRLRSADMKNLLRNKKLCLVLDLDHTLLNSTELIDLTPEEEYLKAQSESDSSLFMVESLDMMTKLRPFVHEFLKETREMFEMYIYTMGDRAYALEMAKLLDPESDPKGDYFNGRVISRDDGTQKNQKGLDVIPGQESAVVILDDTESLKTDETEHNGALASVLEALKRIHHMFFEQLDDNLDVGSRDVRQVMKTVRKEVLQGCKIVFSRVFPIEFPAETHVLWKMAEELGATCSREIDASVTHVVSKDARTEKSYWAVKEHKLLVHPRWIEAANFLWQKQPEENFPVERGQAVNLEEPSLTNEGSPQIPLAAMV >OMP05170 pep supercontig:CCACVL1_1.0:contig05501:12173:12793:1 gene:CCACVL1_02032 transcript:OMP05170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MSSIATVSVQNFPFASTKTSFLRPSPQLKDVRKLTISSTKMQSRSLLIFCTLQGTNNKTKKNMISTLSSISQKLLYDAINIISSPIQTPTSPVDSSSHDFGGNFAPVEELEPTDCEVIEGEIPLSLNGVYIRNGPNLQHHQPRHLLDFFFGDGMLHSLKLSNGKATYCSRYVKTYKYLLEREAGFPIVPSGTSLNKMVNIFRFLRL >OMP11081 pep supercontig:CCACVL1_1.0:contig01573:600:1008:1 gene:CCACVL1_00676 transcript:OMP11081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TDWLNPKGKPVKHLTPRYEPKKGSEEESQLIIYSLALRISSIHGLTQQLSTYF >OMP03560 pep supercontig:CCACVL1_1.0:contig06041:17946:25656:-1 gene:CCACVL1_02366 transcript:OMP03560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Single-stranded nucleic acid binding R3H MTRTRKAAEAMRIQVTQLLEKFRESKEEVYTFEAGLSKNDRAFVHRTCRKMGLQSKSSGLGKQRRLSVYKKKGQLNNMNERGSLTNVTFSEGAQMVLQDLFAYYPPEDGELQEKLIGKYSGKTAKVRKKKDDIFSKPLMSGTEVSEKVKSLASRIKKDPKLRQINEERLKLPIASFKEVITSTVESHQVVLISGETGCGKTTQVPQFLLEHMWGKGEACKIVCTQPRRISAISVSERIANERGENVGNDVGYKIRLESKGGRHSSIVFCTNGVLLRVLVSSSRLKRREDIADLTYIIMDEIHERDSYCDFMMTIIRDILPLNPHLRLVLMSATLDAERFSQYFGGCPIIRVPGFTYPVKAFYLEDVLSILKSEENNHLVSPTATVPDEDLELTEEDRIALDEAINLAWSSDEFDLLLDLVSVEGGRTVHNYQHSLTGVTPLMVFAGKGRVADVCMLLSFGVDCHLRAKDGKRALEFAEQGNQQEAAEIIKRHMESLPSSSGKQPQLLDRYIVAVDTDIIDVVLIEQLLRKICNDSNEGAILVFLPGWEDINRTREKLLANPLFKDSSRFLIISLHSMVPSAEQRKVFKRPPAGCRKIVLSTNIAESSITIDDVVYVIDSGRMKEKSYDPYNNVSTLQSSWISKANAKQREGRAGRCQPGICYHLYTKLRAASMADFQVPEIKRMPIEELCLQVKLLDPNCKVEDFLQKTLDPPVSEAIRNAIIVLQDIGALSHDEELTELGEKLGYLPVHPLTSRMLFFAILMNCLDPALTLACASDFRDPFVLPMFPNEKKKAAAARDELASLYGGQSDQLAVVAAFDGWKHAKERGNEAFFCSKYFVSISTMNMLFGMRNQLQAELMRHGFIQDDVSSCSLNARDPGIVHAVLVAGLYPMVGRLVPLRQGNRFLVETAGGSKVRLHCHSINSKLSLKRSDDCPLIVYDEITRGDGGMHIKNCTVVGPLPLLLLATEIAVVPPKGNGDNEDDNSDGDASDDADECNTEGGEMMMVDKSNGNKEKVMSSPDNSVILVVDRWLSFRSTALDVAQMYCLRERLSAAILSKVKHPRQVLNPVLGASIYAIACILSYDGLSGISIQGESVDSLTLRVRDTEIDKPMPGRRGQGPNTSRLPVDSSSHQKAKLPATNGIEPSSYNEQALAMSAGQSLHQAPSQASISDASSSGVSKQDSERNSCKRRRGKKSKR >OMP03564 pep supercontig:CCACVL1_1.0:contig06041:41260:42612:-1 gene:CCACVL1_02370 transcript:OMP03564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKTISSLCQERKGKCIKLLKKLNKNSVGIRDKKSSNPRKIALSVLMDKDLILPMARVFYRNKAGDPLKKGRISRKGIICDCCLTTFSLTAFEAHAGSTNHRPAANIMLDDGSGRSLSNCHDELNKVSPRVSKVQKEERQFSSCEKRPKGSDTVCSVCIDGGELIVCEECPAAFHLKCIGLQQIPKGHWSCPSCCCRICGGGSLVEGCYSTCRQCDRKFHSICLKMKTKSSYYWNSESGNVNWFCNQSCENLFCGLEKLRGNSIQVKENLKWTLLKSNNGDSDNDEKKKKLSAALDVMHECFQSSRDFYTGRDLAEDVIFGRESKLKRVDFKGFHTVLLEKKGSLATVATVRVHDQKVAEMPLAATRSSHRRRGMCRALVEELEKQLRELGVEKLVLPAAPTALKTWTKLGFSKMTDKEKLRLLRYSLLDFRGTIMCQKMLNTDPMVIL >OMP03556 pep supercontig:CCACVL1_1.0:contig06041:2476:5200:1 gene:CCACVL1_02362 transcript:OMP03556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSWNYSNETEMFVPARHWRLRKRACLPNNFSNRSFSKRVVGNEQKEWECEVGNFKQQKYNSKGINMNNHIKLQPMEEASNVLEHNQNDRFRWLVGKKLKDADVEEKLREVCELSLSFAPSSPTIPKSEKKGYICPRFDDLRLSHPTFIRTSKISKTNSTTNNNHINLDLTISTS >OMP03557 pep supercontig:CCACVL1_1.0:contig06041:5659:8569:-1 gene:CCACVL1_02363 transcript:OMP03557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKSYQNQAELLLKEYILADSFIPYTSVICGICACKMFYDLTQLLSSFYFKSYPSLTKVQRTEWSNRSISTIHAIFITVMSLYFVFWSNLYSDHQYAGLVIFRSSALSTFALGVSVGYFLADLGMIIWFYPSLGGMEYLLHHLLSVAAVAYSMLTGEGQLYTFMVLISETTTPGINLRWYLDTAGMKRSRAYLINGVVIFVAWLLDIMKYIVFGRLLEFSCLCICSTMCTCTMIRTMPSFTLRILSSPTRFADVAFSRQLSYEPHMSAEWKSHVSKSGKAVAQLRAILNLYCAVSPVSYELNVVRKDHQGIKKDLSKAAVKGTHRN >OMP03562 pep supercontig:CCACVL1_1.0:contig06041:33759:34912:-1 gene:CCACVL1_02368 transcript:OMP03562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbP, oxygen evolving complex MASTSCFLHHHALTTAAAARSSSSSSQRQFVNLKPSQLVCRAQKQAAQEEDGTLVSRRLALTVLIGAAAVGSKVSPADAAYGEAANVFGKPKENTDFLTYNGDGFKLSIPSKWNPSKEVEFPGQVLRYEDNFDSTSNLTVMVTPTDKKSITDFGSPEEFLSKVDYLLGKQAYNGNTDAEGGFESGAVATANILETGTPVVGGKQYYTLSVLTRTADGDEGGKHQLITATIKDGKLYICKAQAGDKRWFKGARKFVESAASSFSVA >OMP03561 pep supercontig:CCACVL1_1.0:contig06041:28604:33143:1 gene:CCACVL1_02367 transcript:OMP03561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVEDDEPATKRMKLTSEELRRLSNGTSKEPVAGSSRDLMARPLQSDGGDEVVGSKGVIKKVEFVRIIAKALYSLGYVKSGAHLEEESGIPLYSSLVNVFMRQILEGNWDGSVVTLRGIGLTDEKAIKSASFLILEQKFFELLHEEKVMDALKTLRTEIAPLSINHSRVRELSSCIVSPSNCFSVRSPKQDISRARSRTKLLEELQKLLPPAVMVPDRRLEHLVEQALVLQRDACMFHNSSDKEMSLYSDHQCGRDQIPSQTLQILQDHTDEVWFLQFSHNGKYLASSSSDHSAIIWEVDANGVSFKHKLSGHQKPVSSVSWSPDDRQLLTCGVEEVVRRWDVSSGECLHVYEKAGLGMVSCGWSPDGKWIFSGVNDKSICMWELDGKELECWKGPRTLKISDLEITSDGKQIISICKETAILLLDREARVERLIHEDQTITSFSLSRDNKFLLVNLLNQEIHLWSIEGDLKLVSKYKGHKRTRFIIRSCFGGLEQAFIASGSEDSLVYIWHRATGELIEALPGHSGAVNCISWNPTNPHMLASASDDRTIRIWGLNKLSSPKLKETHSNGIHYCNGGT >OMP03559 pep supercontig:CCACVL1_1.0:contig06041:16842:17462:-1 gene:CCACVL1_02365 transcript:OMP03559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRKPLIIAMKGHPGTGKSTLAHALASTLKFPLIDKDDIRDSTFHLQQQHSIAAASSSSSFVSLLNDLSYEAIWRVASTQLHLGLSVIIDSPLSRPTHFDRLISLAASAGAQLVIVECKPSNEAKWRERLEGREKSWHKPSNWEELQKLVEGYKGCTEYDVGHVPKTVVDTTAPNVGVEELVSSVVDFIDSCRGRFSKCVPSSS >OMP03563 pep supercontig:CCACVL1_1.0:contig06041:38496:40553:1 gene:CCACVL1_02369 transcript:OMP03563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MPEKITSEDFLNNLVETIADSVHSASKQKHVSFFEEDKPNPVVSGFNRLFGRQRPVHHILGGGKSADVLLWRNKKISASVLGGATAVWVLFEWLNYHFLSLLCLALVLGMLAQFIWSNASGYLNSRSHSRVPRLVLPNELFVSIGQSLGAEVNRGLQFLQDVSCGGNLKQFLVVVVSLWVAAIIGSWCNFLTVLYIGFVAAHTLPVLYERYDEQIDNFVYNVFDQCQNHYKKLDTGVLSKIPKGKYFKVKKHD >OMP03558 pep supercontig:CCACVL1_1.0:contig06041:11873:15609:-1 gene:CCACVL1_02364 transcript:OMP03558 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MDYGNEISSSISFASSSYLSNGSESHLTEAATDPRVSNLEALSLSKLSSSLEKLLLDEEYYDYSDAEIVVEGNVAVGVNRCILAARSHYFHELFRKGSTNMNKEGKPKYLISELLPYGQVGYEAFRVFLTYLYSGKLKPSPREVSICVDDACAHDACGPLINYALELLFVSATFQMKELVLLVQRNLLNFVEKALVEDIIPILVAAFHYQLNQLLSECIQRVAASDLDNVCLEKELPQEVYVKIRSLRLKSKQEAEEGIVESDSKLEKRIRSIHKALDSDDVELVKLLLNESNNVTLDDAYALHYAVAYCDPKVVNEVLSLGLANVNLKNSRGHTVLHVAARRKEPSMLLALLDKGACVTETTSDGQTAVSICRRLTRLKDYNNENKMQGELSNKDRLCIDVLEIRRMRNSSECENQSALSQVTDDYDDLLMKLDYYENRVSFARLLCPAEAKLAMEVADSNIREVDLNESPSIQTKRLKLRLQTLLKTVETGRKYFPHCSERLDKFLAEYEMSDPSLFEEGSPEEQRVKKRRFTELKEELQDAFYKDMAHNNNNNTMNKSSFSPSCSSSSSTPKAAAAAASASVSRYRARSRKK >OMO78025 pep supercontig:CCACVL1_1.0:contig10649:16486:22460:1 gene:CCACVL1_14700 transcript:OMO78025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNLTLAPIVPSSSCSYCYFFTRSSNYGEITGFETLPKYSGCSFPTVRNRWRSGAVDTRIGIVENGPVSSSSSSRTPTVPGTAVVDIPVSCYQLIGVSSQAEKDEIVKSVMNLKGAEVDDGYTMDVIVSRQEVLMDVRDKLLFETEYAGNVKEKIPPKSSLRIPWSWLPAALCLLQEVGEEKLVLEIGRAAVQRPDAKPYLHDLLLSMALAECSIAKIGFEKSKVFEGFEALARAQCILRSTKSLRQMTLLSQIEESLEELAPACTLELLGLPQSPENAERRRGAVAALRELLRQGLDVESSCQVQDWSSFLSQALNRLLASEIIDILPWDNLAIARKNKKSIESQNQRVVIDFACFYMALIAHIALGFSSRQTDLINKAKIICECLITSEGNDLKLEEAFCLFLLGQGSEAEVIEKLQQLESTLNPAPQNSITRKEIKDSSSHSSLETWLKDVFSRFPDTRDSSPSLANYFGGQRKASGVRKSKGAPQTMTNLSHRSLSAVLSSERQDYEDSLPRMKSSLHITSTVKQLAPTDLQSPLVTGDNSSGTNTTAASVQLKRNFGVNQNKGWESWLSRNNLTERVTFMAVLGCIVFTGLKLSGMKHMSVRASNKQCMDISSLTYKGDSSLDYNVGSAHSKGSNIGGRIKKLLDLAKVKFGNPLDARSLQSSCLPASLSSSITTVDRKQMPVEEAETLVRQWQAIKAEALGPGHQVDSLSEALDESMLIQWQALADTAKARCCYWKFVLLRLTILRADILLDRNTGEMAEIEALLEEAAELVDESQPKNPNYYSTYKIRYILKRQDDGSWKFCGGDIEMPS >OMO78028 pep supercontig:CCACVL1_1.0:contig10649:37158:38427:-1 gene:CCACVL1_14703 transcript:OMO78028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MAHGMSSLIFIFYSNALASLLLLPSSFLFHRSERPPLTFSILCWLFLLGLLGIFAQIFGYAGIYYSSPTLATAMVNLVPVAM >OMO78024 pep supercontig:CCACVL1_1.0:contig10649:14473:14786:-1 gene:CCACVL1_14699 transcript:OMO78024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRYKGCPCYIQDWLYVTVNDLSAVEVVESAEKIDGVLLKRVKRRGGWWRLA >OMO78027 pep supercontig:CCACVL1_1.0:contig10649:36050:36802:-1 gene:CCACVL1_14702 transcript:OMO78027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MAEQQRIHPVVDVEAPAAPTVPLVPPGSATSEKGSMIQHPPRPQRAIPVIHSRPPKKRSCCCKCICWTISLILLLLIALGATVGFLYLAFRPKLPKYSINSLRISDLRLNFDMTLYAKFDVKITANNPNKKIGIYYEKGGRLSVWYTSSKLCEGSLPKFYQGHQNITKLDVSLTGQTESASTLMTALQEQQQTGQIPLNLKVDAPVAIKLGKLKMRKVRILGECKLIVDSLSTNNLISIKASNCKFRLKL >OMO78026 pep supercontig:CCACVL1_1.0:contig10649:31430:34771:1 gene:CCACVL1_14701 transcript:OMO78026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNREEEVEAAKKRCRVLIEKIEGLGKTISQPCKRTLSKLAHSELSFLSRFPSPSSQPLSVNTGHLEAIVHILQQPFITAVSRVCKPLPFSKSNKTHSSSDPIHVHIVCTLNKNPVWIIVSDRNPNYISWYPSNKTKGFKSRIQQVLDAPRSTDTLRPSSVVLFFSNGLTNFIHQKLKDEFGASKLALEFSDFNIDFSEEVEGKWIDVIPGSYKKPCVLEIKVDQVVNDAMSSDYGTKDLSLNVLPTDGQGEKVNLNLGLGNSFSALLLHMKKVGSTEVDGLQGEDDFVNFDTTALIAIISGISNGCAEELLNKPEVELRHRFKGNYEFVIAQAMSEIQNPIHADLSAATSGKTGIICESVLLEFKELVLMCGGANEKCRADQLLKSLLIVRDSPSERLMGLPTTRKLALKNKIVFGTGDYWHAPTLTANSAFVRAVAQTGMSLFTIEHRPRALTGN >OMO78029 pep supercontig:CCACVL1_1.0:contig10649:40107:41529:-1 gene:CCACVL1_14704 transcript:OMO78029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type VIKRISGGGADYSFECIGDTEMVVAALQSCCDGWGLTVTLGVPKVKPEIAAHYSAFLSGKTLKGTLFGGWKPKSDLPSLVEKYMNEPLHKNGQNKLGCLLQVVAS >OMO78023 pep supercontig:CCACVL1_1.0:contig10649:6637:12735:-1 gene:CCACVL1_14698 transcript:OMO78023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKASSSKKPPVTETPEGTERKRLKKLAFKNNLLSDTPAALKSFVPLSTSKLVAKHHGKDILRKSQRKNRFLFSFPGLLAPISGGKIGELKNLGSKNPILYLDFPQGQMKLFGTIVYPKNRYLSLLFSRGGKNVMCEDYFDNMIVFSDVWWIGKKDENPEEARLEFPKELCEAQQMEYDFKGGAGMASANNQSISRTEVKHVEVDSLDTESADDISDDEKSFKAKMEVTPTRHSARNAGKRFKYAESFSEDDPVRSDAEPSDGEEKKDVMKLDLTGNDTMGNILFHIYNIYYFAFGNSIFCCISAAVLRAISSTPLVIGSDDAEDSKVSTKIQSSLISGTKSRKVSQSTITETKSKENSNANHGSLVQATISTLFKRVEEKKGPKNSNESASRKGPGKKLQSNNSKKKIDEMNGSGKKGKVIEEKTTGTGIKRKEGESEVSLSVLDVLDEEEDIEEFSSASQDTNGSDEDWTS >OMO96537 pep supercontig:CCACVL1_1.0:contig07443:10970:11203:1 gene:CCACVL1_04910 transcript:OMO96537 gene_biotype:protein_coding transcript_biotype:protein_coding description:tyrosine-protein kinase ABL1 MVERDNHRRSNTRLVRRQVTLVITTREQLKNQCGNGSSLGLSMKPTTATATATAGNKADRVTVKGTASETRGSMHLN >OMO96538 pep supercontig:CCACVL1_1.0:contig07443:11742:16146:-1 gene:CCACVL1_04911 transcript:OMO96538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVLPSVIPPTSSDVSPPPAPPTSSSSDASSTSPSPTSSQPNQTTDPPPSSAPTNSSAPPPQSPPPAVPTAPPPSPPLSPPPTLTPPPVSPPPSTPASPPPSPPASPPPTPPTSPPPSTPAATPPSPVLPPPSPPGGSPPPQAAPAPPVATSPPPQVILRSPPPPANVASPPPSKSPPSPPAPPAPESSSSPPPSTSKSAPPPATTSPSTPTLASPPPSVPSTSSPPTSSPPATPSTPSSNGTPNSSAPLPAIPTEKPTARSTNGTDASANSTTSGKNGLGAGGAVAIGIVVGFVVLSLLVMAVWFVQKRKRKRAGPKINYNMPSPFASSQNSDSAFLRPQSPPQLVGSGRGRPVMDWAVRVKVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEARARPLLAEAVEHQDFEALVDPRLEKNYVDHEMFRMIEAAAACVRHSAAKRPKMSQVVRALDSLDESSDLTNGMKPGQSEVFDSAQQSAQIRMFQRLAFGSQDYSSSFFNHSQSSWRSREDGSQGNWRSREYGSQSSWSREQRDRNSLMP >OMO96535 pep supercontig:CCACVL1_1.0:contig07443:1198:7652:-1 gene:CCACVL1_04908 transcript:OMO96535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEREDPQKLKKIAAAAYDYENDPRWADYWSNVLIPPHMASRSDVVDHFKRKFYQRYIDPDLVVEPMSTSTPSQSAKSSASSSTSSSAANDQARPRNAGSTTRSSGTSPTAGSNPTSMRWDRNTVLFSVNAWVFIVAVLAIFPLVPRSLSNRAYRLSFMGTACSSAYSLYALYGRPRAWTLQAVQVYFQSIISTKDFLYLIYCLTFVTSHLCLKFALIPIVCRALEHVAKFLRRNFNRSTLYRKYLEDPCVWVESNTTTLSILSSHAEIGVGFLLIVSLFSWQRNIIQTFMYWQLLKLMYHAPVTAGYHQSVWAKIGRTVKPQIERYAPFLNTPLSAIQRWKTGNFQIRYASRGSFQSGTLRCFLGFSFNDYRRREGRLQDSQYPVEGGTNQQQGRPFKAFAPEDLHRGFSCSVSTE >OMO96536 pep supercontig:CCACVL1_1.0:contig07443:8922:10468:-1 gene:CCACVL1_04909 transcript:OMO96536 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase MEEVAIPQPLLGTVLGKEKKCFGNVFSSSLSARDKKLICVTSGNSYLGSRIVKELLARNYLVRLTIQHEAEFEDLKQVMGMEELNRLQSVVVAKMKNVKCLCDAFRGCHAVFHTSSFIDPHGISGYSEQMAFLETEAARNVMDACAKAAYIKRCIFTSSLLTSIWTSDNIDRTIDESCWSSEEFCRENKLWLALGKTKAEKVAWMKARELKVKLVTICPPLLMAPTFPNAHQESSRPYLKGGRIMLQQGYLAVADVKKVAEAHVHIYEAMDYGACGRYLCFERVIRKLNEAIELENGLKMQGALSGERHHQQLLSEDEYEGIPSKISNSKLATLLFQASQRLSCKNN >OMO58565 pep supercontig:CCACVL1_1.0:contig14205:8292:8429:-1 gene:CCACVL1_25442 transcript:OMO58565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIVEKNIRSIEITGGVFKPHSQVIQATEITGGVVKPHSQVIQPLR >OMO57116 pep supercontig:CCACVL1_1.0:contig14439:11451:12830:1 gene:CCACVL1_25986 transcript:OMO57116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLGLQPDVVTFTTLIKGLCSQSKVPQALSLFDDMVGNGYQPDLIIYNTILNGLCKIGVTDKAVRLLRMMEQRGLQPDVFSYSTIIDSLCKDRLLREAFELFSEMKAKGTSPNVVTYNSLIHAMCNSGQLNEAKRFLDEMVASDIALNVFTYNILVDAFCREGMVSEAENIIIDKMIKQGIEPDVVTYSALIDGYCWQNDMDGTRRVFEMMSKKGCAPSIISCNIIINGYCKTKRLDEATKLFNEISQKGPLPDTVTYNTLIQGMFRLGRLFAAEELYRKMCSLEQVPNLMTYSIMLDGLCKHGKIVEALKLFEAMKKSGLEPNDVHYNILIDGMIEAGKFEVAREIFSYIFHNKLKPTVFTYNIMMKGLCMEGLLDEAYEIFMKIEENGCSPDNFSYNVMIRGLLQKNDISRAMKLIHEMVDEKGFEADIYAATRFVDLILQDGNEHLCSKILRRNL >OMO57117 pep supercontig:CCACVL1_1.0:contig14439:13559:16178:-1 gene:CCACVL1_25987 transcript:OMO57117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSPKSHPLLSYVMSRIPSIGPSKPASTPDSDPAIDLELQPPVIPDPSAPSSSTSPPLIVDQMPHLALPNVLASMTNAVSGVAQTRSVLRTLGPRPDHESVDLARSKLNEIEAALSKSLEELVLSSRPADVDRLDWRAHLAEKEEQIRKEADKEKAIYRSILQLDEMHEAYGKLLKEAEERLVKIYEKAGKLSENSEEEVVEEEVNEEVVGILEGAQGKGLERVDLSGKKLRYLPEAFGKISGLVLLNLSGNQLQVIPDSIAGLEKLEELNLSSNLLESLPDSIGLLQNLKILDVSGNKLNALPDSICNCRSLIELDVSFNNLSYLPTNLGKELGNLQKLSFHLNKIRSLPTSICEMRSLRFLDAHFNELCGLPEDIGKLTNLEILNLSSNFTDLTELPDTFGELTNLKELDLSNNQIHALPDTFGRLDKLTKLNLEQNPLVMPPPEIVKRGADAVRIFMSKRWLDILVEEERRCMLQVNEQAQTGWLTRSTSWLKNYASVVTEQVSGYLGADGGSPRDPILDEQR >OMO61916 pep supercontig:CCACVL1_1.0:contig13392:41551:43645:1 gene:CCACVL1_23156 transcript:OMO61916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRTLPIASSIPPRSFSKDRPSFPSNTMRNSSIRCCYNHHPSSRRLALFQLGTAISQSQLFGAKLLKPEGNSIEGNSTFGNVANAIEEQDALDWVKNDKRRMLHVVYRVDYGVDKYDIGDGFGHFGIAAEDVAKTINLIKAKGGKVTREPGPVKGGNTIIAFIEDPDGYKFEILERRLTSEPLCQVMLRVGDLDRSINFYKKAFGMDLLRKKDNPDYKYATAIMGYGPEDENAVLELTYNYGVTDYDKGNGYAQVAIGTNDVYKTAEAIKLYGGEIVREPGPLPGINTKITVCLDPDGWKWVFVDNVDFLKELE >OMO61917 pep supercontig:CCACVL1_1.0:contig13392:43915:52169:-1 gene:CCACVL1_23157 transcript:OMO61917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIVGEKYKLGRKIGSGSFGEIFLATHIETGETVAVKMENRQTKHPQLLYEAKLYNILQGGSGIAHIKWCGVNGEDNVLVLDLLGPSLEDLFVYCGRKLSLKTVLMLADQMITRIEFMHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDPTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFTREGYDFDYIFDWTILKYQQTQRTKTHPQSSDLQHFSGTSNSQAVPVDKLKGIKDTPYSGEVMEHRGPSNLGRPDVHMQFRPSVGQNVGEKHMVSNAAMPSTSFALPGAMKKNLQKAEGPTEATNNGRGNKTGTCVHQNLKKYCNLEFQVKGKEPCREPWHIMENLSCQVSSCSIKSSSQKLENIDENCPAGWLPLSCPPPETPTESMEFLARSWSISAMELSKALSKSSISSVGNEMHDANSTASREPLLQRLPSSGSPPTSPRGSEDLKIYKSIVRGRTMGRWLKDQKERKKQEIRTHNAQLHAAVSVAGVAAAVAALAASSAIPEVTTGSQKTSSKVSTAIASAAALVASHCIEIAEDMGADHDHILTVVNSATNARTNGDIMTLTAGAATALRGAATLRARLQKTTTFALGDEKGEAGNEVNVSTALNFVTTGGELLKRTRKGALHWKQVSFYINSNWQVVAKLKSKHMAGTYTKKKKFVISGVHNDIPAWPRREREDHAEQRAYFGIKTADRIIEFECRSRGDKQIWTDGIQHMLNYRNNIACF >OMO61915 pep supercontig:CCACVL1_1.0:contig13392:31812:32825:-1 gene:CCACVL1_23155 transcript:OMO61915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein METSSAKLATTYLKAFLISIIGIGDQLTMPKIAFGTRQEATQPDCLKALVVEFITTFIFVFVGVASATTANALAPNSMVGLFAVAVAHALVVGVMISAGHISGGHLNPAVTLGLLFGGHITLVRSILYWIIQLLAASSSCLLLRYLTGLSTPVITLASGVGSVQGIVWEIVLTFILLFTVYATIVDPKKGSIDGLGPLLTGLVVGACILAGGSFSGASMNPARSFGPALASWDWTDQWVYWVGPLIGGGLAGFIYENFFIVRTHAPLPHQEPF >OMO61912 pep supercontig:CCACVL1_1.0:contig13392:3402:7187:1 gene:CCACVL1_23152 transcript:OMO61912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MASSRLPVHVRCSVQKPNSISPNFDHFKATLSSAFKPFVREIQQLSLRLDHVDVSKAVNNASVKLLDAFFDSVFEFSDQPLLPSQSNFAPVDELKQAVQVTNIQGEIPDDFLEGVYIRNGPNPLFGGLKSTKSIFGRSNHIWIEGEGMLHALYFSRGHDGRWNVVYKNRHVETETFKLEKQRNKPSFLPAIEGDSPAILSAYLLNMLRFGKVNKQLSNTAVIEHAGKFYSVAENHEPQEINIITLETLHNWVLDGAWDKPFSSHPKKAPGTGELVIMGINATKPFVEVGVISADGKKLLHRADLKLNRCSLCHEIGVTERYNVFMDHPLCIDLNRLIRGGQLIEYEKEGDARIGVMPRYGDADSIQWFKVKPNCTFHLFNCFEDGDEVVMWGCRALNSVIPGPDQGNNKLDWFSKKFGPLKSTDGNVDGLSEDQLVFPRPYEWRMNMRTGEVKERNLTGIEHPMDFPMINETFVGLKNKYGYSQVRDCIASSTSGMAKYGGLAKLYFEEHNTEGEKQVEGLVKVEYHMFEDNTFCTGAAFVPKEGGADEDDGWLITFVHNEYTNISQALIIEAKNFSGEPVAEITLPFRVPYGFHGAFMPMSLQNEITTIIPSLNPQTLRS >OMO61914 pep supercontig:CCACVL1_1.0:contig13392:29400:30734:1 gene:CCACVL1_23154 transcript:OMO61914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEENNPTQSPPRLSIRAHLDMYEQQDHLQFSPGRPSSPRAMHSMLPPGSPESPWTLSPLQTPSPALLYHCIASLHRQEGNIYSIALSKGLVFTGSDSSRIRAWRQPDCIERGYIKASYGEVRAIFAFGNMLFTTHRDCKIRIWNYTVSDNFRYKKISTLPKRSSFLQLFPKTSSRQQQHKDCVSCMAYYHAEGLLYTGAYDRTVKAWRLLDKKCVDSFLAHESNVNGIVVNQDDGCVFTCSSDGSVKIWRRVYRENSHTLTMTLKFQQSPVNALALSTSGSNNCFLYSGSSDGTINFWEKEKMSGRFNHGGFLQGHRFAVLCLAAIEKLIFSGSEDTTIRVWRREEGSCFHECLAVLDGHRGPVRCLAACLEMEKIVMGFLVYSASLDQTFKVWRVKVMPEEKLCFDFADRNESKTAKTMEYEMSPVLSPSWVEKKLHGNHFQ >OMO61913 pep supercontig:CCACVL1_1.0:contig13392:10136:13878:-1 gene:CCACVL1_23153 transcript:OMO61913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRLRESIFRGDVASFLNLIDQEDEHAIEQTVGGSLNTVLHLAARFGHVGLASEIIKRRPEMVAAENEKMETPLHEACREGREEIVKLLVATDPWVVYKVNRDEESALFVACERGKVDVVKLLLDYPWMLTLEVDASTTSLHVAASAGHTDVAKEIIKARPEFSWKKDMHGCTPLHISCSKGHLEITRELLRLDADLSSLQDNEGRTPLHWAAIKGRVNILDEILCLSIESADLITKQGDTVLHLAVKHNQYEAVRYLVDNLNIEKLVNFPDNDGNTILHIATAGKLTTMVIYLLKLGLDVNAINRKGFTALDVIESDASNSGAVAIVPALQEAGAKRCYQLPPASPEIQNIQQESRSPKPSTWPKKPLESSPSQHQRRHRRHRRDKQLELQNEGLRNARNTITVVGVLIATVSFAAGINPPGGFNQITGKSIMGSQPSFKVFLVCNIVALFSSLGIVIFLVSIIPFRRKSMMKLLAVTHKVMWVSLSFLAAAYIAATWTIMPRGKGSVWVLVAVVSIGGGFTMAIFAGLAVLLAWHLLRKWEWRKSKAKSRSPSSSISRVEEMQMMKKGTHDSTSNSDIDSSDQGFHPY >OMP05469 pep supercontig:CCACVL1_1.0:contig05371:243:1363:1 gene:CCACVL1_01886 transcript:OMP05469 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative xyloglucan glycosyltransferase 6-like isoform 1 protein MSVTKFNAMISGLFRLGSSYEWIVTKKLGRSSEADLVAFEKEADVLVEAASLLRSSSDSGLEELSKLEVTKKTVKTRKNRLYRKELALALILLTASVLEELAS >OMP05470 pep supercontig:CCACVL1_1.0:contig05371:1961:3907:-1 gene:CCACVL1_01887 transcript:OMP05470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8e MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPANTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKTAAKKDATEVGEAAAEETKKSNHVLRKIEKRQKDRKLDPHIEEQFGSGRLLACISSRPGQCGRADGYILEGKELEFYMKKLQRKKGKGAGAA >OMO53772 pep supercontig:CCACVL1_1.0:contig15158:11078:11200:-1 gene:CCACVL1_28360 transcript:OMO53772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKRVVGPGWRVGFRVSFIGLRLCLDASYGSRIPGRLV >OMO53773 pep supercontig:CCACVL1_1.0:contig15158:11560:12053:1 gene:CCACVL1_28361 transcript:OMO53773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRVGSEQPISKRSSSADDLKTVRNNVTMENVTILRRGESLDSKIKSSNVGNEGGLVVTGTDRLGPDPEMVPKQIKIVDIRSPVTGKSDVYAGSAFAVSPAPSSLPLPSFSKKKQVSIDDSATRDLRRLLRLDF >OMO53771 pep supercontig:CCACVL1_1.0:contig15158:1923:3083:1 gene:CCACVL1_28359 transcript:OMO53771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPLPQDVSSDWADIPSDILRYIAGKILSIQDSIRITSVCRSWRSSLQDYKTKFPIYLMLAEDEQDKNNNMRCFCTASEDNAMEFELSAIKGKRCWGGPFVWLATYSNLDHEIQLFNPLTRACFSLPSQQNFGTGHSKSSEVLGIDFIHKLYLSSSPSLSDCVVMAIYADRSCLAFAKPGDQSWTPIDFTSSIWDVTCFNGTFFAVNSLGQLLKCEGILDGITCPKAVQFAEPPPQLYSAARKYIVDLDGYLCMIARIVGDYEYLDENGKVEIETVFLTEEFQIVKLDMETKEWERIVSLGDRSVFLGNCCTFSVLASDYNGCTPNSIHFTHDEPSVYFYSGGMDTGIYNCETKQFLRLPDRLDDEHEHGFRSKLSPPLWINLSI >OMO77553 pep supercontig:CCACVL1_1.0:contig10731:62572:63658:-1 gene:CCACVL1_14976 transcript:OMO77553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQTIAADEPKEAEGFGPWMVVSRRKNRGNGNIHRDKTLNNQGNSKNVKGSGPNKGSVAGPSSHNTRRGKEVGLGQPNNTKPPTPKTKVQISTDINAVESDKRDSSPHPRALSLDTLAESSKIPSFYPIRKKFSKQKISPPKNGPSKGERNSSTSLSSGRLLLDRTRSGEVSLPPQSDNPSSRETNSDQSNLGQTNSGHLRRSNRRFSTSPHSSSDRDRNLNDRELQEPTPRRSGRPGVQGGKRNSRRRSRSPNCRSLGHTSDEKDNIYSRSPIRENNSDSKVCEGDSRYRAILHRAHHKSNGIQRSGSPSSPRGSQHDLEDDRWNGDCSS >OMO77551 pep supercontig:CCACVL1_1.0:contig10731:33351:43166:1 gene:CCACVL1_14974 transcript:OMO77551 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSSGGQALEHMGPNTNQVGRQAWDMGARRQAWDMGAQVGRQSGMPPSQHMGTGDMGPEANHPPNYLVVFTSDGSRGRFARVCVELDLTKPPVPVVVVESKDVKVEYEGLSLICFECGIFGHRKGDCSKSKVQTSQPDSPEMVPETQVEKEEPGFGPCMLVQRRRRVTAVQSKDVKGKWEKEKVMENAVEQQLRHDSRSMKDPVGKKSGVVKSVWKENDSNRSKNSAGNNDKEVVSDKPAYVAHSNVKFKSAGKAVFNLSEHLAKDPLMGFTFQAEGVGNGPSIESSSSTKKGNKKGNKLGTPKESPNSAHVVNGNQQKGRVVVIDEPKVQATDTDFVKMDAQVVVDKGGTSSVVGDKADLMNEDPLEQSNNVEEPRGVGYAKFRRTVRELYVTYKPDILCLVETKADSNSVKKVRKLLRFSNCFGVPTDGLAGGIVLFWNSSNLKLDVIGATDQCVHTEVECRFFSSFRRGKSTPSLAIEWCDVPRVSSRRNRSSFVLQNPVLFYDSESYLSYVATNDDVFRRIVKFRDRWSDCEFLDMESSGCRFSWVRKVGGQIVLQEKLDRILWNVRALQSNLAAKCLILPRLCSDHHPIMFDSNCVQGGNKDNRPFRFEAIWLSHLSFKEMFASAWSKGELDLSVAIDQVGRAVKHWKHVVFGDVFKRKRSLVSRIRGIQQSSDYGFSSFLQELEITFQMEYHEGELGRHAVDFYTSLFSHKDCERLEAVYDLFSLKLTEEDRVQLSYPVTSEEVKLATFSMKGLKAPGVDGIQPVFYRRNWDIVCDTLFKFVEKAWADRRVNMEVLKAHMVLIPKCTRPVSLKDFRPITLLNTSYKILSKEVVHSLMGCKGRKGVMERLSHMILENVEMKLWKPVKVSKSGPAVSHLFFADDLMLFNVAEEGQIEVVRDVLSDFSKASGLHVNLDKSKLWISLNIPRDKARCLSRLSEILLASELGTYLGVPIIHGRVTKNTLDQCNRNFLWKGEADKSHGHLVAWDRVCRPKGNDGLGLRKSRSSNIPMLAKKGWKLQTRVPNLCTEIFQRKYLKGVQFVDSLNHGRCSSTWRGILQTRDCIRRGTRWRIGDGNGAKFWTHWWVGQKPLIEIVPDDVEVNRDCMVSSFISPQKEWQLDSVLDFLPPNIIESIRAIPVFISAREGDRCVWGSDKLGQFTTKSGYRALMHYDDEEDLNTMDVLRRKGIIQESKCQLCEGDGESAEHIFQCCPFVKDGSRRHGDNHATAGGLIRDALGDWVVGYMVNIGYTNSLEAELWGVRQGLLVAKERHHFPLIVELDAAIVVHF >OMO77549 pep supercontig:CCACVL1_1.0:contig10731:7541:10139:-1 gene:CCACVL1_14972 transcript:OMO77549 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA small subunit methyltransferase G MAEKMNLTAVKQENQVMERHIEDSLAIIPPIQDSYTSCSNDVEFDNLRVVDVGTGAGLPGLVLAIACPGWQVTLVESMNKRCLFLEHVVNLTGLSNVQVVRERAENLGQDLGFREKFDVAVARAVAEMRILAEYCLPLVRVGGLFVAAKGHDPQEEVRNAERAIKLMGASVLKLCSGILCGDRNLAMVYGL >OMO77550 pep supercontig:CCACVL1_1.0:contig10731:27632:30110:1 gene:CCACVL1_14973 transcript:OMO77550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGQALEHMGPKTNQVGRQACDMGAQRQSGMPPSQHMGTDDMGLEANHVGAQATDMGAQGESGPAMRARQHAITRHFFLKLLQLFPDLATLLRPTPWWSDFGIKMEYSLLELKENLARIKEKASHIEMQRSKMEAMINFVRDQFIVLPEDIYKCMTMMKAQYAQFMSEESQLEAMLNFARQNYQSSLKESDANMTSKSVNIPVGKQHEAMQHPKVLQCSEALSPSLNIPKPIQEAEKEDEEDNIMALDEDDYSHETTYEDESMEIVPTKDVLVKSENNGVSHEYEDDSSSETRVEEEQNMEIIEATSPLECEYVEKVEEEVESQAMIEN >OMO77548 pep supercontig:CCACVL1_1.0:contig10731:2805:4016:1 gene:CCACVL1_14971 transcript:OMO77548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNQICYSDYGSESESNRFQSEYTTSLWSQPSLPSVSSLITSQSQHYFLSNIRHHCLTTLKGHTSYVSSLAFTSDFLYTGSADKEIRLWKRSLNSELPADYDNTTLANNNILAVGKGAVKSLVVLADKLFSAHQDNKIRVWKINSDDQKHTRLATLPTFSDRATRLLLPKNHVQIRRHKTCTWVHHVDAVSALALSRDETLLYSVSWDRTLKIWRTTDFKCLESITNAHDDAINAVALSNDGDVYTGSTDKKIKVWRKNSGDKNHSLVATLEKHNSGINALAISVDGSTLYSGASDRSIVVWEGMMVVGALRGHTKSILCLALVWDLICSGSADKTIRIWRRGSGADRNYSCLAVLEGHKGPVKCLAGAVDHCNPSDTSLVIYSGSLDCDIKVWKIVVPLLY >OMO77552 pep supercontig:CCACVL1_1.0:contig10731:45833:55736:-1 gene:CCACVL1_14975 transcript:OMO77552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKFDSDKVTPRDELAAEPR >OMO93858 pep supercontig:CCACVL1_1.0:contig08046:11674:14450:1 gene:CCACVL1_06319 transcript:OMO93858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNQLRRRENTTAEREIHVEKDRVPKMTTHFESLAVADNNQAGGRKDETRNSASDQKAAGNVGGVTISAAVAVAEPADKNQNKSSAGKVGENQPQGRSTGSGRPCAPGKFEMTPEQDREAAAKQETSRREKDHEGGQFQGREAGGDKQEQLSLQELSKLRSLAQQNSAQAIRSAEERYNAAKAKDSSSGLVPDYAKEKGLQTSGGQLGQGINTGTVQAKQTSGGHTAPKYAKEEKDAQAKQTSGGQGLNTAAEYAKEKGAQAKDTVVQTTEYATKKGAQAKDTILQGAKKSSQYISDKGNQTKDSAKQGYATTKDTLVSAGKTALDYTAPKVEQAKDYAVDVSKNVASYAGETAVATKDVTVEKGKEAAGYAGKLAADVKDVAYVAGWSATHYTTEKAVEGTKAAAKVAEYAGQKAVEIAAKPIGAAKQVAAAAGESLKEYTARKKEEAQRELEAKRAAERQDTEKSPQNLESNQNQQTQRYEQEQSPQNVESNQKQQSLRHEQEQRRQNIERQGQQKSQQHEQEQGRLQGKEIEQHRQNIERQGQQKSQQHEQEQGHLQGKEIERQGKEQSQQHEQEQHRQNIELQGKEQSQQHEQEQRRQQYEQEQRRQDIERQGKERSQQHEKEQHRQNIERQGKEQSQQHEQEQRHEQEQHRQNIEHQRILQSQQYEQEQRRQNIERQGKEQSQQHEKEQCRQNIERQGKEQSQEDEQEQRHRNIEQEGIQQSQQHEQEQRQGKQQSWQNEQEGIGKQAANKQMGGIGKTVDCTTERESFESLAEQQGGTQGKISFESPTEQRGTQTGVLGAIGETIVEIAQTTKELVIGPDEPKIESGGYGEYEEHGECKR >OMO93856 pep supercontig:CCACVL1_1.0:contig08046:1081:1140:-1 gene:CCACVL1_06317 transcript:OMO93856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWIGVGFEMKRLKTKQRR >OMO93859 pep supercontig:CCACVL1_1.0:contig08046:24202:33278:-1 gene:CCACVL1_06320 transcript:OMO93859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTAVEEGKDAPREKQRYTESKVYTRKAFKGPKKNNLLNTTATNTNANSSDNKNNNENVNTNNTASNNTTVTPTAGAAANDEGNASEKNNDDTTNKNTATNQNHKDAASAVAPEQPLPLEDENSARPLEDGNSAQPLEDGNSALQHSDPLVDTALSDDTLRLNKQQVVAGNGALNPNSENPVKINLASRSKQEMRELRQKLESELDLVRTLVKKIEAKEGQIRGFNNSRFPLNNTVDYGLTRVQSEVASVGIPHEPVRQSRPLNQLSISVLENSQGNENLEKEKRTPKANQFYRNSEFLLAKDKFPPAESNKKSKANGKKQGGEFTHGFGSGNKFFKSCSSLLERLMKHKHGWVFNAPVDVKGLGLHDYYSIIKHPMDLGSVKSRLNKNWYKSPREFAEDVRLTFRNAMTYNPKGQDVHVMAEQLSKIFEDKWAVIEADYIREMRLAIEYEVNLPTPMARKAHPMLPPPLDMRRILDRSESMIRPFEPIPQVIATTPSGRTPAPRKPKAKDPYKRDMTYEEKQKLSTNLQSLPSEKLDNIVQIIKKRNSSLFQHDDEIEVDIDSVDTETLWELDRFVTNYKKSLSKNKRKAELAIQARAEAEQIVPEKATAAPVLVEVPKEATTNKQKVSASPPVEVEKQGHNASRSSSSSSSSSDSGSSSSDSDSESSSASGSDAGHSPRYSYGGDNNCVVSIGLRVTPCRIWCIVVNFLELRKGSSHLATAEWSWRESPLNEERFWGPNGAQPPLKDTETPLEVEVEACGSSLAELGALVLSTPDPLTKSKLSHLAFSRWRNQNLPIGICHPPPRPARPPTPLLVSPKEIPAPNKSGLPLNAFMLHNLAHVELNAIDLAWDTVVRFSPLSDVLGEQFFSDFAHVADDESRHFAWCSQRLAEIGFNYGDMPAHNLLWRECEKSSDSVAGRLAAIPLVQEARGLDAGPRLVQKMVGFGDHRTSHIVARIAEEEIAHVAVGVYWFISVCRQMNRAPCSTFKELLKEYDLELKGPFNHSAREEAGIPREWYDSSSMSIQDTDENQNKSQQLSEVYDRLACIVSMESENSNLIRPSQ >OMO93857 pep supercontig:CCACVL1_1.0:contig08046:2631:2720:-1 gene:CCACVL1_06318 transcript:OMO93857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLTMTALGLEKRKEDEDADHKESSMKI >OMO52816 pep supercontig:CCACVL1_1.0:contig15425:38744:51898:1 gene:CCACVL1_29071 transcript:OMO52816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSWHEREQVHGIFLQGRDLRRDLAKTAALVGSGARNEEAWAKSNV >OMO52817 pep supercontig:CCACVL1_1.0:contig15425:54341:56631:-1 gene:CCACVL1_29072 transcript:OMO52817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase/Amb allergen MAIPSLSLLFLLTSLLFPILISSSPIQDPELVVEDVHRAINASRRNLAYLSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKNAIGGRDGKIYVVTESGNDDPVNPKPGTLRHAVIQDEPLWIIFARDMTIQLKEELIMNSFKTIDGRGASVHIAGGPCITIQFVTNIIIHGLNIHDCKQGGNAMVRDSPRHYGWRTLSDGDGVSIFGSSHVWVDHNSLSNCRDGLIDAIHGSTAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFTAPDDRFSKEVTKHEDAPESEWKGWNWRSEGDLMVNGAFFTASGAGTSSSYARASSLGARPSSLVATITTNAGSLNCKKGSRC >OMO52815 pep supercontig:CCACVL1_1.0:contig15425:2149:2530:1 gene:CCACVL1_29070 transcript:OMO52815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLDQKYTWPGYQMQPPLPVMPTNDAAVIPPLIAIQSRREGSREEDAKWVPSHCKQQQPVMSTNGGRF >OMP01032 pep supercontig:CCACVL1_1.0:contig06557:7451:7600:-1 gene:CCACVL1_03190 transcript:OMP01032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMPTSPAELLASPTASDVVLDVLSHHLRSDAALDSGRQSPTVEERVT >OMO60893 pep supercontig:CCACVL1_1.0:contig13648:48212:51063:1 gene:CCACVL1_23825 transcript:OMO60893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKIFGGNEECHSSESGWTMYIGSPIQGGDDDGDHGDSEEAAAVLNANNGGRANETADHDSDDSMASDASSGPSHYGNMVLGLRHGHGTSCFKHEDEDEEGNSKFLDKKATKKSMEKQNFGMKKKGETEEMTFKAKGATTTNITPRSSSKVLEVAISINKSSRAPPEARSISIDGCKIWLFSIKMTSIFLSGPYGKERKMYLPMNLGFADQKLAITSCPCSPAFGDPA >OMO60891 pep supercontig:CCACVL1_1.0:contig13648:518:1697:-1 gene:CCACVL1_23822 transcript:OMO60891 gene_biotype:protein_coding transcript_biotype:protein_coding description:wall-associated receptor kinase 2-like protein MVEVSSPYLSNSIDLADSQVKVGMSAKPASRVIKLDRFNGSNYTRWKDKMAFQLTALKLYYILDPNLVALPEPTAESTEAEQNAFTKRKEDTLVCRGYILNSLSDRLYDLYNSMESPRDIWTALETTYQNEKKGTDKFLSLKYFEFKMSDEKPILDQVHELQVLVSKLKELEITIPDAIQIGAILSKMPPTWNDYRKKVLHSKDILTIDLFMTHLQIESENRDRDATYLGLGGDSKVNFVTEQKQAMKQMANLVNQESELVAMISEMHIGMITEVHMSNAAIESSTWWLDSGATIHICNNKKMFKTYEACEDSGNLLMGNHVTAKVVGKGAVEIKFTSG >OMO60892 pep supercontig:CCACVL1_1.0:contig13648:31759:32040:1 gene:CCACVL1_23824 transcript:OMO60892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTGSSTENGGLLQWNSPLPYVFGTLAILFGIIAVALLALACCHGRPSAAEFPNGKEEKNKESIQASASMEPKIVVIMAGDDYPTYVAKPCH >OMO49580 pep supercontig:CCACVL1_1.0:contig16467:33025:33096:1 gene:CCACVL1_30918 transcript:OMO49580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGQGYTDKNSQSNERLETKMS >OMO49578 pep supercontig:CCACVL1_1.0:contig16467:11068:13277:1 gene:CCACVL1_30916 transcript:OMO49578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTSSNPAFNEMESLSPICISRRPMSFRYTALRVTLLFYTVGISKLKTPTSDTQHRISIQATPPELPNFPLGAPLSLPSSKLLSEFLPVKIPSQQVKIVTKEMNWARRHGTLVMGMQGVDEINQRCFEARHLITGADLRKHKAVEEKNHSKANHEVIAWN >OMO49581 pep supercontig:CCACVL1_1.0:contig16467:90147:93271:1 gene:CCACVL1_30920 transcript:OMO49581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MATQGQVITCKAAVAYEPNKPLVIEDVQVAPPQAGEVRIKILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRTATGAGVMMNDRQSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKIDPQAPLDKVCLLGCGVATGLGAVWNTAKVEPGAIVAIFGLGTVGLAVAEGAKTAGASRIIGVDIDSKKFDVAKNFGVTEFVNPKDYDKPIQQVLVDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIIGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPWLVDKYMKKEIKIDEYITHNLAFGEINNAFDLMHEGGCLRCVLKMHE >OMO49579 pep supercontig:CCACVL1_1.0:contig16467:20823:31303:1 gene:CCACVL1_30917 transcript:OMO49579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAGVEAAPSTSKPIVGQQHSLRYCRKIPPLPSKTRNPNQQHPTADSPKLKDLNATKIYHYY >OMO71155 pep supercontig:CCACVL1_1.0:contig11717:25876:27234:1 gene:CCACVL1_18402 transcript:OMO71155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic-ring hydroxylase-like protein MAASQIQNPLQNPLYQLSIRKTRPCLHKPHYLTINAAGHHLSGRKLRVAVIGGGPAGSSAAEALASGGIETFLFERSTSTAKPCGGAIPLCMIDEFSIPLHLIDRHVTKMKIISPSNLAVDFGSKSLRSHEYIPMLRREVLDSFLRTRAQSAGAQLIPSLVTHLEIPSSYFSPYIIHHSINNSRKTLAVDAIIGADGANSKVAKFIKAGNYTCAIAFQERIRLPDEKMEYYQNLAEMYVGDDVSPDFYAWVFPKCDHVAVGTGTVCAKQDIKLYQRGIKERVKSKINGGKVIKVEAHPIPEHPRPVRVRGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCGEAIVRASDGGELMIGEDDLKREYLMEWDKKYVSTFRFLDLLQRVFYGSNVAREALVEVCGNEYVQRMTFDSYLYKKLAKGDRWEDLKMVFGTIGSLMRCKIVGREMEAFKL >OMO71152 pep supercontig:CCACVL1_1.0:contig11717:16508:18410:1 gene:CCACVL1_18399 transcript:OMO71152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 16 MQFLTKFRTDDPCRPKRVAYRGEAAPLGRLNHGEAAPLGQLSHGRPPRWGGFATAGRLAVPPGRPAVAKPPHEGGLLWRSRPSGEAPPWLNRPSGEAQCKSVKSGKDKTSGSGFRSKQQYLFGKIDMQLKLVPGNSAGTVTTYYLSSLGSTHDEIDFEFLGNLSGDPYILHTNVFTQGKGNREQQFYLWFDPTKDFHTYSILWNPQSIIFFVDGTPIREFKNLETNGIPFPKSQPMWLYSSLWDAEDWATRGGLVKTDWTQAPFIASYQNLNAQACVWGSNNSSSCSSNSNSNSNHSNSSTNSWLTQSLDTSGQARIKWVQKNYMIYNYCTDAKRFPQGFPPECSLA >OMO71154 pep supercontig:CCACVL1_1.0:contig11717:23646:25245:1 gene:CCACVL1_18401 transcript:OMO71154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MKMERIALFMAAAVVVMGTTTIAMGQEISSSNVSAIYVLGDSSVDCGLNSMFYPFVHRSHSLLPCNGSNSILPPYLLAEKMGLPYPESFYIQNGSIEALLSGLNFGAAEATIMSPNSLSHQSLNIQLRQLFETFQLLELQLGQEMASRFTRSSMVYLSFGKDDYISLFLHRFSGVMVNYSSQAFAQILVDQMVRAMRNLYNMNVRKVVCMGLLPLGCTPSLLSEWHIPTTGRFDDVVTGCVEVINQLVLEYNTMLEQQIVILNQELLDAQIVFCDVYHGLRKIITYPNFFGFKEAKSACCGLGLYDGVIGCLSSDMACNNVSAHVWWDLYNPTPQVNSLLADSMWSGEDLLSDICRPTTLHHLFYNPV >OMO71151 pep supercontig:CCACVL1_1.0:contig11717:11591:13222:-1 gene:CCACVL1_18398 transcript:OMO71151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQFMESDSDKATEDVVDISDDDTVAPDEEMIADRLNNDKKEQPSFSEKVRGKPRAKLFDQGDLFGALDDTKLLGRNIGYKTLLAKVIGLWNPKGDFELIEIGEGFFIAKFYLDEDLRYVLEEGPWMIFGHYLTMRRWRPDFWPSETVIDTTAAWIRFLELAVEYYNEIVLLALGNLVGRALKVDNKRISLQEENLLVRQRGPIRKFLPPLALGKCNASNRNTGSRIAALENEVVDVPDRGQSSHTNSAHDGSNEEDDMGDQEMSDRHICSSTSSNHRTRDPPNSQVSKRSCPGDPAETTLEKESPLIFFV >OMO71153 pep supercontig:CCACVL1_1.0:contig11717:21662:22729:1 gene:CCACVL1_18400 transcript:OMO71153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGLSIASSRPLGCTIRAVTADTKLLVAGGTDGFIHCWKAVDGLQHLFDLKGVEKQNSEFRLWEHEGPITSLALDLTRIYSGSWDMTVRVWDRFSLKCTQVLRHSDWVWGLVPHDMTVASTSGSDVFVWDTNSGTLLNVIHNAHVGNTYALARSRAGDFLFTGGEDGAIHMFEIISGSREDSVVQVATWIPHTGAIYSLAFEFPWLVSASSDGKLALIDVRKLMRASRRYLGKKVSRVKGIDQNHVEPPQRMLHGFGCNLFSVHIGADRIVCGGEEGVVRVWNFSQALEIEQKARALRGIRLENRMRRRKLQIEMNSKGIRTEQCSVAAKKNPVNGDRNGVWHSKRSMSSKVKS >OMO71157 pep supercontig:CCACVL1_1.0:contig11717:34607:35842:-1 gene:CCACVL1_18404 transcript:OMO71157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTAQCLSQAKLPSCSTTLSPTFLHSKASLAAASNLVVPFQSSKSYVTKLKFERNKSRKQRNLGVIFASESETTSTDVTDRWLLEPAGDGDSRHIGSKVQMPGAFEIASSDVTVGRLPDKADVVIPVATVSGVHARIQKKGGNLLVTDLDSTNGTFINDQRLRPGRAATVPPGSFLIFGDIHLAMFRVSKLENVTTESETETKPAEESAEQLETSSVATVDTSTD >OMO71156 pep supercontig:CCACVL1_1.0:contig11717:27760:32638:-1 gene:CCACVL1_18403 transcript:OMO71156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase FAD/NAD(P)-binding protein MEHFQSHRVEVVSIAVAVAAIGAATAYYLYVSKKPKGCLDPEEFKEFKLVKRTQLSHNVAKFKFALPTPTSVLGLPIGQHMSCRGKDQQGEDVVKAYTPTTLDSDLGYFELVIKMYPQGRMSHHFRELREGDYLAVKGPKGRFKYQPGQVRAFGMLAGGTGITPMFQVARAILENPNDKTNIHLIYANVTYEDILLKEELDELASKFPNRISVYYVLNQPPEGWEGGVGFVTQEMIRAHCPAPAEDIQILRCGPPPMNKAMAAHLEALGYSSQMQFQF >OMP10511 pep supercontig:CCACVL1_1.0:contig02350:20:535:1 gene:CCACVL1_00914 transcript:OMP10511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQADTIGIAMRRALLGEIEGTCITRAKSEKIRHEYSTIIGIQESVHEIFMNLKEIVLRSNLYGTRNAVICAKGPGYVTAQDIILPPSVETVDNTQHIASLTEPIDLCIGLQIERNRGYHIKTPNNFHDGSYPIDAVFMPVRNVNHSIIVMGTIMKNRRYFFSKYGQMGV >OMP07410 pep supercontig:CCACVL1_1.0:contig04460:8:106:-1 gene:CCACVL1_01311 transcript:OMP07410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVLAGSGEEWFRREGKTMCRRGSVIESEQNLS >OMO83084 pep supercontig:CCACVL1_1.0:contig09943:23058:24556:-1 gene:CCACVL1_11568 transcript:OMO83084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin/Phospholipase A2-related protein MALFKEFDETLTNQIFSILENRFLFGDDENVDVNVKANVNQQKNTHQKIQLQEMKSGKHITGKVRILSIDSGSFSDGILAAQSLVRLENFLRQKSGNPNAAISHYFDVVAGSGAGGILASLLFTRGKNGAPIFTAQEALNFLVKNRRKLFPSSPGGMFRRIFGSSKVEKLLSKTFGDLTLKDTLKSVLIPCYDLSTHAPFLFSRADALEMDGYDFKMKDVCLATSADPTVVGAVEMRSVDQRTKIVAVDGGVAMNNPTAAAMTHVLNNKQEFPFCNGVEDLLVLSLGNGESDFGGAGNATPRPRSFIRIAGEGASDMVDQAVSMAFGESGKSNYVRIQGNGFIPHKTTNANELSNIIEEMLAQRNVESILFKGKKMSEVTNLEKIEKIGIELVKEQERRKTSILPTVVLKQVQLNNGSSTSPRTSSATSISTQSSC >OMO83083 pep supercontig:CCACVL1_1.0:contig09943:13902:22425:1 gene:CCACVL1_11567 transcript:OMO83083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRNSLSQESHNRTRENPYFNGILLQDGAVTDESSNFDLGGVSDIKEISRSTSDIGNLRRKIIDLDISQKSMEVDLNDSVSDVILQPFGQASAEMVDSYPSLPPLMRPAIEKSYRVNKVKTSLSKIPLPQSAASFYNGHSPRMEIVESCESINSLNLFLKSRREEVDAGVPGRFLHTVIGQDVPEIGSVASTIMYAFYLNEMLESHQFCTVPVINMRRTELNSHAELKWLLDSCQIDQSSLIFIDEIDLSYYDLFGSLKLVLLNGAKLPTKLQALKESVIEIFNCGKGERVYPWVEKVTSGQGCSSCTLIAEKLSMTSPEILAGQGFSRLLLAGILLDSGNLSNPQCTSEDKYMATLLINGAGRFGCNGLYQILRYKLYDVSELRVADILRKDFKKWTRVGKQDNGGSRLTVSHVGMSYIGISLEQLLARGDTSSREIKLFQQMEKLRLLMIVSGYYDQHKNFKREILVSTESVELMKNLLIFFNSNASHLPLKVLNQPGLAEEYMRAFEIDKVTSRKTIERLLEEFGGTAKANAVWIKQSMEKWV >OMO61438 pep supercontig:CCACVL1_1.0:contig13483:20714:20773:1 gene:CCACVL1_23516 transcript:OMO61438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVQRHIFIPTPLVGWLK >OMP02320 pep supercontig:CCACVL1_1.0:contig06276:12550:12642:1 gene:CCACVL1_02833 transcript:OMP02320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPKKAGNNEKLTLPKLRFQLFLSQQPTI >OMP11021 pep supercontig:CCACVL1_1.0:contig01700:616:948:-1 gene:CCACVL1_00722 transcript:OMP11021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MAKPIPKVGSRRNGRSGARKSARRIPKGVIHVQASFNNTIVTVTDVRGRVISWSSAGTCGIQGYKKRDSFCCSNRSGKCYSRGSRPRYATSRSYDKGSWSRKRWSTTSYS >OMP11389 pep supercontig:CCACVL1_1.0:contig01339:189:537:-1 gene:CCACVL1_00548 transcript:OMP11389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGKLTTILSIDWWRSERANSGCDSRLPRSPTPG >OMP00344 pep supercontig:CCACVL1_1.0:contig06684:518:1219:1 gene:CCACVL1_03371 transcript:OMP00344 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MPLQSLRPGFRFSPTGEVVLQCYLRDAINGQNLPSDIFTEADLYGDKEPWKLFNPNHSHPYWVFTHLKMKRDSVSVAKQTTTKKKGKGNSKAKKNREEEEEKHDRTAGGGSWKGRSVHDILSSDDGEKLGFDREYKFKLNHDDEGRGSNPNSNGNWIMHEFSIKGCDLVICEIKNLKRKRKGTERDSHDLDDDSRVSKKLDVGDRDHQLQVCAITENKLGLLIPLSLMMMMIS >OMP00343 pep supercontig:CCACVL1_1.0:contig06684:39:137:-1 gene:CCACVL1_03370 transcript:OMP00343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSIDHISSFVCHYRKARIPRSADRKKAVEA >OMP00345 pep supercontig:CCACVL1_1.0:contig06684:2111:8471:-1 gene:CCACVL1_03372 transcript:OMP00345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dienelactone hydrolase MTHSVLSPCSCSLPPSFSINQNKKLPSPSPSPTVSLPFSYSHNGNLYFKDRQISLRKGSPWEFSGTGRNRYYPALAKPTGDIGATQQNDNASATSFLSILCPLLRLFSAGDPSQERNHALEVATSSLSTLARFPWGSTSISGSLEGQDVTPSHPSMPMQLFEFEACPFCRRVREALTELDLSVEVYPCPKGSIRHREMVRNLGGKEQFPFLIDPNSGISMYESGDIVKYLFKQYGKGRGPSTGLLESTLFTGWMPTILRAGRGMMLWDKARQEPPLRKLEIFSYENNPYSRIVREALCELELPYILHNVGEGSRRTKLLVDASGSKEVPYIIDPNTVRAPGETASFVLTLNSSDVEDATGGFNEMKINFDGKMRGQRVRCSAVEVENDIDDEACELVNGVELSIGEGDDDNIQAFLLKAVKNNNGTVSLFLTCSVVIPGERGSQKPCLHNGWLAKILKGVARDIETSTKWMVDEFTAAGLSKKLGIMGFCFGGDRVIDVLAVDEGGCFSTAVSSYGTRMDLSAASKVKVLVLFISGDNDPLCPVSVLNEFEKGTGRGSKVVIFKGRGHAFAHRPGSPEEDVDAEQAL >OMP00346 pep supercontig:CCACVL1_1.0:contig06684:9540:15677:1 gene:CCACVL1_03373 transcript:OMP00346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ureohydrolase MSGTAMLRSRGIHYLQQLKDANIPLDLIEKGQNRGELLRALGGVVASTSLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSTTEEGKELKDPRVLTDVGDVPVQEIRDCGVDDDRLMNVISESVSLVMEQDPLRPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDIYDAFEGNKYSHASSFARIMEGGYARRLLQVGIRSINKEGREQGKRFGVEQYEMRKFSRDRHFLENLKLGEGVKGVYISVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQGNIVAADVVEFNPQRDTVDGMTAMVAAKLIADHMSGASMMRSRGIHYLQQMKAAKVPLDLIEKGQERIIDASLTLIRETAKLRGEFLRALGGVVASTSLLGVPLGHNSSFLQGPAFAPPLIREAMWCGSTNSTTEQGKDIKDPRVLTDVGDLPVQEIRDAGIDDDRLMNVVSESVKLVMEQDPLRPLVLGGDHSISYPVVRAISEKLGGPVDILHLDAHPDIYDAFEGNKYSHASSFARIMEGGYARRLLQVGIRSINKEGREQGKRFGVEQYEMRTFSRDRHFLENLKLGEGVKGVYISVDVDCLDPAFAPGVSHFEPGGLSFRDAMNILHNLQGNIVGADVVEFNPQRDISGGMTAMVAAKFVREIAARMSK >OMO85235 pep supercontig:CCACVL1_1.0:contig09650:2192:2704:1 gene:CCACVL1_10336 transcript:OMO85235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLMSSNTISVCARHLIETSVPKLSDELPKPKMLEIPPLPKFVDINPKPEEPNVPEFKNPDVPELPKILEMPKVPYCSGPNCPIWGGSTIPKLPKYLPTMHGLPELPKILEFPKVPYCPGPNCPIRCPGPNCPIRCPGPNCPILGENIPKFPKYLPTMPGLPKDFSIP >OMO60640 pep supercontig:CCACVL1_1.0:contig13694:15092:15274:1 gene:CCACVL1_23992 transcript:OMO60640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQWMLEAAEILDLFLVKLSLLARSFWNSAASALAFATLFFFYAILACFFYKVKGVTSL >OMO66912 pep supercontig:CCACVL1_1.0:contig12490:28937:30251:1 gene:CCACVL1_20935 transcript:OMO66912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSPTSEQSSLVITSQLWQKSGKCPKGTIPVRRSKNREEDQTPSPFKQLKLQINHSKAILITEGYNYAGVKGDIKVWNPHVESDDEYSTSRVSLRSGPYYNFECVEAGWAVNPSVYGDRQTRLFVYWTADAANVTGCFDLTCPGFVQTSNEIALGAAIYPISVFEGLPYQITLFIYKDPTTNNLWVQYGERTNIGYWPENLFGRLSRGAESAEWGGDVYSSMIGKSPHTKTAMGNGHFPDYISGNSGFVKRMRILDISLNLKFPEWVTSYADEYYCYRTTYVGDYIEDPEFYFGGPGRNPRCP >OMO66914 pep supercontig:CCACVL1_1.0:contig12490:33006:34792:1 gene:CCACVL1_20937 transcript:OMO66914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLISGLFLALVLSYWSERVDGKATSSRKTLEDVDRKLKFLNKPAVKSIKRSGSCREGTVPIRRIRREDLLRAASLDDFGRKPPQFSSASNTTDRFVYFNNTEDSLGPIENRAVNPLLYGDTRTRIFTYWTTDAYRETGCFDLTCSGFVQTSPKYSLGAALLNISTKLDSSFICLLAFTSSTLVEWGGQVYSPNVKKIPHTKTAMGSGDFASPCFIDNARIIDFSDKTMKYPQWVGTWTDEIFCYIAYNHAKGNGSSYPVFYFGGPGQNPNCP >OMO66906 pep supercontig:CCACVL1_1.0:contig12490:2089:5131:1 gene:CCACVL1_20929 transcript:OMO66906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C13, legumain MTRLVAGVILLLLSVTGIVSAGRDATGDVLRLPSEASRFFRPSDDDEVGTRWAVLIAGSNGYWNYRHQADVCHAYQLLKKGGLKDENIIVFMYDDIAYNYENPRQGIIINSPHGDDVYQGVPKDYTGEEVTVHNFLAAILGNKTAITGGSGKVVDSGPNDHIFIYYTDHGGPGVLGMPTYPYLYADELIDTLKKKHASGTYKSLVFYLEACESGSIFEGLLPEGLNIYATTASNADESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSDLHNLRTETLHQQYELVKRRTLNGNSAYGSHVMQYGDVGLAKEHLFLYLGTNPANDNFTFIDENSLQPPAKAVNQRDADLVHFWDKYRKAPDGSARKVEAQKQVVEAMSHRMHVDNSIQLIGKLLFGIERGADVLKTVRPAGQPLVDDWKCLKSMVRTFETHCGSLAQYGMKHMRSIANICNAGIQTEQIAEASAQACVSIPSGQWSSIQKGFSA >OMO66910 pep supercontig:CCACVL1_1.0:contig12490:20365:22579:-1 gene:CCACVL1_20933 transcript:OMO66910 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MQARVGSHGSLEDSKDSVVATRTNQSKALPLRLLQLFGLFLALCFAFSIISIYSVRHFGIYSVVTTVKSNFVPCNEEPLTLDRWTKPPSNLLHSMSDEELFWRASFVPRIKKYPFNRRPKIAFMFLTKGPLPLAPLWERFLKGHEGFYSIYIHSLPSFNAEFPSSSVFYRRQIPSQVSEWGKMSMCDAERRLLANALLDISNEWFILLSESCIPLYNFSVIYHYIKKSKYSFIGAFDDPGPYGRGRYNENMAPEVNVTLWRKGSQWFEVNRRLALNIVEDSTYYPKFEQFCRPACYVDEHYFPTMLTIQAPNLLANRSITWVDWSRGGAHPATFGRADITEEFFKRVFEGLQCRYNDQPSSVCYLFARKFAPSALEPLLHIAPKVLGF >OMO66917 pep supercontig:CCACVL1_1.0:contig12490:49759:53652:-1 gene:CCACVL1_20940 transcript:OMO66917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDNEPWGPHGTALAEIAQATKKFTECQMVMNVLWTRLGETGKDWRYVYKALAVIEYLISHGSERAVDDIIEHTFQISSLTSFEYVEPSGKDMGINVRKKAETIVGLLNNKEKIQETRNKAAANRDKYVGLSSSGITYKSSAASYSSGGFQGGRDRYGGFGSTSDSYGDSYKEKDRYGEEKYDPDTYVKSRRGSTSDSQGYSSKESTRLGSKELNNKFSSKLSGSNKYSQSTSTPSNDDDDDFDDFDPRGTSSSKPAPANSNQVDLFGQSLIDFDTPASVPAEKSAVNTTDSTEVDLFADATFVSAPTKVATEPSPKVQEQVDLFASQPAASPTVDLFAAADPVVQPEPMAPKSEPANANVVDPFASVPLNSFDGSDIFGSFTSHSDSASKETTQNPINGGNLDNLSTKSSQDSKAPQKKEGFQVKSGIWADTLSRGIIDLNISAPKKVSLVDVGVVGGLTDVDERDKGPPTSFYMGRAMGTGSGLGKTGFTSTQQASGDDDLFSSLGSQQYQFGGFKK >OMO66908 pep supercontig:CCACVL1_1.0:contig12490:11176:15542:1 gene:CCACVL1_20931 transcript:OMO66908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDSLRTNNNGNNTNHSRDRTGTDVGLLRSSSDPAKQTPSSSDFGLQWGNRKRLRCMKIQVKDDQSGPVNRTTVRVDRRVVRADKDSTNQTSNNNQGYFNLRQRPTSPQPPPTQRVLRNSENSSAMRGQNNGGVRGFASPDRGAHDKRGAGSSETAHDSKKGGSSSGSGEAAAPPVWPPKFVIALTNKEKEEDFMAIKGSKLPQRPKKRAKFIQRTLNLVSPGAWLCDLTLERYEVREKKITKKRPRGLKAMGNMVESDSE >OMO66911 pep supercontig:CCACVL1_1.0:contig12490:24654:27295:-1 gene:CCACVL1_20934 transcript:OMO66911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLVLKIAADLENLTNLQPQGGCDDPNFSYLFKLRCGNCGELSEKETCVSLADTVPVPHSKGSTNLVQKCKFCAREGTVTMIPGHGKPLTLEDSEAGKFVPLMQFDSRGYELVDFVFDGSWKVESVGGTKFEGVDLSAGEYAEYDEKGECPVMISNLRSTFDVVK >OMO66909 pep supercontig:CCACVL1_1.0:contig12490:18437:18625:-1 gene:CCACVL1_20932 transcript:OMO66909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNASFMLVIVAVSALMASAMAADAPAPSPASGSGSIVPSFVSLFVAAVMTLLFGSALRI >OMO66915 pep supercontig:CCACVL1_1.0:contig12490:35166:39623:-1 gene:CCACVL1_20938 transcript:OMO66915 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein EARLY FLOWERING 3-like protein MKRGKDDEKTMGPMFPRLHVNDTEKGGPRAPPRNKMALYEQLCIPSQRFNTGVLPLNPSNTSSTVPPASSSQGSSLERNMHYPSRVTSLTTSNNPTEKIHARQLGGANVNAPLSQFEQRKKVRDEDDFMVPVFVSSEAGQHNRTKNGVDGGKGSPSGPTYTGRQIKVQNVRDKDLNRSSSSVVNLRKEVGEWSEESSKVCVSREDSVQSAAHLSSKGKIDGHAKEANGSPGQGCTEHVASRLCRSNENDACSLQELRAGRQPANNGCIDGADLIRDGGEGLLPRQRSMSYSEGNQSGPGETNNDSECLGDKTCVSVQWGDGDKSDDVSETSMVDSISGSDISPDDVVGIIGQKRFWKARRAIVNQQRVFAVQVFELHRLIKVQRLIAGSPHVLLEDTAYLSKPSFKASPARILPPEFIVKPLPHSKRNDDTEKPSHKMECSAENAVGRTSLSSVKNGSQPSNCAPLLGNQPPAPVNGDNRMNHWGFHQMPGHQWLVPVMSPSEGLIYKPYPGPGFMGSVCGGGCGPFGPTPMPGNFMSSGYGVPVPPHQGMGVLPGAPPAGHPSYFPPYGMPAMIPAVSGSAVEQVNQFAGPGSHPHHGQLSGGGANFNMQQQGSCNLPSEKNGAISHVMKFQASKDTELQGSTASSPGERVQIDRTSDAAEGNNALPLFPTDPAIPPEGASQPHETDRRTRVIRVVPHNARSATESAARIFQSIQDERKQHDSV >OMO66913 pep supercontig:CCACVL1_1.0:contig12490:30724:32502:1 gene:CCACVL1_20936 transcript:OMO66913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLISGIFLVLVLSYLSQRVDGKGKPTSSRKTLEDVDRKLKLLNKPAVKSIKLKPSFDLPQENLTTKNEEVIQIWQRSGSCPEGTVPIRRIRREDLLRAASLDDFGRKPPQIFSASNRTDQKHSRFVYFNNTEHSLGPIENRSAAILVTLGYNYIGAKGDINIWNPNVEKEGEFSTAQIWLKGGPGNDFESMESGWVADAYKKTGCFDLTCSGFVHTSPKYALGAAFPNVSSELGPQYYVPVGIYMDPQSSNWWLKIGNTNISIGYWPAKSLMYYLKHSATLVEWGGQVYSPNVKKTPHTKTAMGSGDFASGLLGSACFIMNARIIDFSQIMKYPQWVATWADEVYCYTAYNYVEGYGSFPVFYFGGPGQNPNCP >OMO66907 pep supercontig:CCACVL1_1.0:contig12490:6182:6304:-1 gene:CCACVL1_20930 transcript:OMO66907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSQRNKACSKGWFDILGSRPLTTQLKRCLSPTDGLKA >OMO66916 pep supercontig:CCACVL1_1.0:contig12490:44903:48573:1 gene:CCACVL1_20939 transcript:OMO66916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHLPPSHLTHVPLPSNSGGKPFDNEGRPVPIHIVTNASQLPVEFLHPSPESQLVIGFDCEGVDLCRHGMLCIMQLAFPDAIYLVDAIEGGEVLIKACKPALESSYVTKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLIEEQEGRTRSPDDYISFVGLLADPSYCGISYQEKEEVRVLLRQDPNFWTYRPLSELMVRAAADDVRFLLYIYHMMMKKLNQRSLWYLAVRGALYCRCFCINDNNYADWPSIQPIPDNLIMEGNAPEEEILSILDVPQGKMGRIIGRKGSSILSIKESCNAEILLGGAKGPPDKVFIIGPVKQVRKAEAMLRGRMMD >OMP04078 pep supercontig:CCACVL1_1.0:contig05838:9258:9353:-1 gene:CCACVL1_02197 transcript:OMP04078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRLRLDFVQIPIYGDGDLSLPPGLGSLTTCI >OMP04077 pep supercontig:CCACVL1_1.0:contig05838:4666:8575:1 gene:CCACVL1_02196 transcript:OMP04077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MDRGLTGERTVKRLRLSKALTVPENTSIFEACRRMASRRVDALLLTDSNALLCGILTDKDIATRVIARELNLEETPASKVMTRNPVFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGTSLSGQNTFIETLRERMFRPSLSTIISDNPKIVTVSPTDTVLATAKKMLEYRISSAIVTVENKPRGILTSKDILMRVIAQNLSPDSTLVEKVMTPNPECATVDTPIVDALHTMHDGKFLHLPVVDRDGEIVAVIDVIHITHAAIATVGSTSGINGEAASTMMQKFWDSAMALPPNEDDDETRSENSLKLPSDAGETGRSLPYPSSSAPNTFGFKIQDKRGRMHRFSCDTRSMTDLITAILQRLEDDIDRDSVPQILYEDEDSDKVVLASDNDLQTAVEHAKLAGWKGLRLHLDYSGRQSHRRGSGSGNLDYAQSDAWAAAYSAVAAGAAVVAGLGLLAYLKKAGN >OMP04076 pep supercontig:CCACVL1_1.0:contig05838:3266:3394:-1 gene:CCACVL1_02195 transcript:OMP04076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREVYRASRFEEEKRKASVGESVGKFGFSRKDGPTGKEERE >OMO51016 pep supercontig:CCACVL1_1.0:contig15996:25972:28554:1 gene:CCACVL1_30066 transcript:OMO51016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPGDNVTATFKLISPVPLEAGFFDQTYREDLGRNSTYNTFDSSGDEGYSNRDQEVKSEFQHSSSSFNPRHYRSSNQYFK >OMO51014 pep supercontig:CCACVL1_1.0:contig15996:11363:11560:-1 gene:CCACVL1_30064 transcript:OMO51014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPCNQSDDESPLTTHLVSSSDNPIFADSATTISPMNSHFSALTCRPHGSRASTMDSVKSGPFG >OMO51015 pep supercontig:CCACVL1_1.0:contig15996:14682:17841:1 gene:CCACVL1_30065 transcript:OMO51015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MVRGFRFNTKLHDRLLKTQNNRGCKKDKFGFTFVNFGHPVHTGDSLVNEPFILAEQAKKVFYIKGERDNGWCVVKHAKLRNAFDMGDESTFRSNQRNLEILDTEVDDSNNSSIWVRTKVNEGIDVTPVMRIALVTILAYFVIGFARILLGRPVHMPFRMAKRKRMNTVRRNRTTASESEQPSRQQSEEQSGLQFEQPSGQQSGQQSVPTRPKKVRGHTRMSGIRDLDKEENIVVKIESGEFVNEETSGVIKAWALEDMSTKWRQWKNELKSKYYDEDKTPEQMLEAVNDPRVDKNQFLVIATYWLSTKAKDQSAIDRENCSKSVKPHCVGTKSFSRIIQTMTEEAHGILPSRIDVYVRTRTRKDGNYVNEKAAQVVEHIKEIRLQNDDHSEEVSWTNDVFLKWLDQRKEGVFVVRD >OMO51013 pep supercontig:CCACVL1_1.0:contig15996:579:668:-1 gene:CCACVL1_30063 transcript:OMO51013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRNKEQAVIDKETQKPNRPKEAATLKK >OMO65602 pep supercontig:CCACVL1_1.0:contig12637:21641:26158:-1 gene:CCACVL1_21473 transcript:OMO65602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALNSRFVLIDITNNATSWHNRQKHSQFIARTRGVHRRAATASIKQSKPSAPRAPEIRKPSDRSTFSNGSSSNYPNPASTSTSRIEAWTELEVFLEMLPLRLRRELSGHEEIGELIEVVMDLGRKPLARFPSGDWVISEQPVKLEDLSHAISKVGDFSDDNRSGIDRSLHRISAIRNRKLQIIGLTCRVGRAVSGSAEIIRDLVEGGGSILVIGPPGVGKTTLIREIARMLADEHMRRVVIVDTSNEIGGDGDVPHEGIGRARRMQVPNVNMQHNVMIEAVENHMPETIIIDEIGTELEALAASTIAQRGVQLVGTAHGMTIENIIKNPSLQILVGGIESVTLGDEEARKRKVQKTILERKGPSTFTCAVEMISRTECRVHHRLDATVDAILAGKSPLFEIRQMEAEANVPLKATIIPKIDHLEQSDLPVNEEKCAEVDSDEEDEDYLPNPIIKKQRHNRSVSRRSSPVCVYTYKILEADLLQVATVMGLEDEIDVTDDIGMADAILASASEIKQNPWIRGIAKFHKLPMFVIKSNTMAQMVKALRMILERESHGSRLKHPNRNSSDIEIEDDAPKRKPTLEEIDALEEVRLAIEYIVIPGGEPVELLPRRSEIIARQLELVESYQLSAENSGTEHNPRLQILPQRLNKKLSSKYPKTTSSLQNEISLKPLTDSSGGTSVTRLPLLPE >OMO65604 pep supercontig:CCACVL1_1.0:contig12637:55753:56263:-1 gene:CCACVL1_21475 transcript:OMO65604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSYGRFFANSNGSCEFDLFIVQADGKKIIDRNKQNALCSRLRMELWRPVRVALVSRGPDMELLVANPVELSGRGRPLVFHDITLALKNLNTGIFSVEIGRHMICDREWEVYRILLDEGDGYHVPRNNIEEGVRKVLMGWE >OMO65603 pep supercontig:CCACVL1_1.0:contig12637:36061:37465:-1 gene:CCACVL1_21474 transcript:OMO65603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLIWRCSQWRWQDFDLRGPNEHYIEDCSSHPWNESTLSQAKILILPLENKNNNPNKVNEIKGFQEEDDPKTETKNSGGGDSDGNSDSDSGIAAKPTEEPKSTKRKKMKSKAEASANRVRESELQLKKSIWAKRDVVEEEEIVLKSNDNKAKLADKLMRLKIKYENLAKRCKNGKDPVFSKLHDKKSYELSKEILGKWANDFRVDMDSEGLNLFDNKFGSGMVYFNGIEEMILKKSMEVLKGEQRAVMERKLMKLKVVELELYL >OMO65601 pep supercontig:CCACVL1_1.0:contig12637:14074:15048:-1 gene:CCACVL1_21472 transcript:OMO65601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERDTGRPRGFGFITFADRRAMDEAIREMHGREFGDRTISVNKAQPKMGEDVDHGYRGGHLSSGRGGYAGGDRPVAQDECFKCGRFGHWARDCPSAGGGRGPSGGVFSSRSRYGGADDRGDRFRDRDRYVDDRYDGGRYGDRDRFDSRDDRYGSRDRYMGDRYPPSGDRFGDRYGGSDRFPQNGYGKERGYRDVPSRGSDRYGSGGPGRNDGRSYRNRTGPYDRPGRGGRPSSFDRY >OMO92361 pep supercontig:CCACVL1_1.0:contig08233:16749:19385:1 gene:CCACVL1_06875 transcript:OMO92361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSVGWRFVVQVGYLNKDAAGSELSAKVVFLLSSRPIKPPCPATPSLKLLSNLSKDAPQLPHKIGNSKANKVAQVNSDCEEDFPKPQECDEEEDVEEEDESVGGEESEDTVDEEEKSKFKISLSVLLRDFDDIYTKIAPLADMDRVICKVESISEKMKESPSGGLAEDLDQWADFL >OMP08021 pep supercontig:CCACVL1_1.0:contig04063:523:693:1 gene:CCACVL1_01177 transcript:OMP08021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TQYMLDQLSDLQNKEQMLMEANRALSIK >OMO60724 pep supercontig:CCACVL1_1.0:contig13674:4448:13094:1 gene:CCACVL1_23916 transcript:OMO60724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVSLNSLPNISFDLNSKPLSSIISATEPEANGGLSVVSVSRTHSFQDTPAITLSSGLTISLSCTLPVHNALNFKMNASSTPNFPPATSWMPTTPSFPMSTGSSGTSGAPGHPTLVPSVQMIPTSAAMDSPSSAVPRPSVPISSNPAVQQQMYPTYTPLPSMAPSPQGFWMQHPPMGGFPRPPYVPYPTIYQGPFPSASSGMPLATPASDSQPPGVTPLGTSPFAQSSATTANHSSVTSATQTGLPPQGIDNRKLVHDVGTRVEAAVNEQSDVWTAHKTDTGVVYYYNALTGESTYEKPAGFKGEPDKVPVQPTPVSVEQLAGTDWALVTTNDGKKYYYNNKTKISSWQIPSEVAELRKKQDSDASKEHAVPVLNVVDVVAEKGSAPVSLSAPAVSTGGRDAIPLRTPVVPGSSSALDLIKKKLQESGVPSSSSPVPVMPVPAAQELNGSREVDVKGLQSENSKDKLKDANGDGNISDSSSDSEDTDSGPSKEECIKQFKEMLKERGVAPFSKWEKELPKLLFDPRFKAIPTHSARRSLFEHYVKTRAEEERKEKRAAQKAAIEGFKQLLDEASEDIDLNTNYQTFKRKWGSDPRFEALDRKDKELLLNERVLPLKKAAEEKAQAERAAVASEFKSMLKEKGDINVNSRWSRVKDNLRDDPRYIAVKHDDREVLFNGYISELRAIEEKAERKEKAKREEEDKLKERERELRKRKEREEQEMERVRLKIRRKEAIASFQALLVETIKDPQASWTESKHKLEKDPQGRAGNPDLDPSDTEKLFREHIKMLIERCAQDFRALLAEVITPDAAAQETEEGKTVLNSWSTAKRLLKSDPRYNKMPRKEREAVWRRYAEDMLRKQKSASDQGEEKHTDSKGRSSGDLGRYSSGSRKTHERR >OMO60723 pep supercontig:CCACVL1_1.0:contig13674:121:3309:-1 gene:CCACVL1_23915 transcript:OMO60723 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein MAQSLELLLIQFLMPDNDARRQAEEQIKRLAKDPQVVPALVQHLRTAKTPNVRQLAAVLLRKKITGHWAKLAPQVKQLVKQSLIESITMEHSPPVRRASANVVSIVAKYAVPAGEWPDLLPFLFQCSQSPQEEHREVALILFSSLTETIGTTFRPHFADLQALLLKCLQDESSNRVRVAALKAVGSFLEFTNDGDEVVKFREFIPSILNVSRQCLAAGEEDVAIIAFEIFDELIESPAPLLGDSVKSIVQFSLEVSSSQNLESNTRHQAIQIISWLAKYKANSLKKHKLVTPILQVMCPLLAESSNVDEDDDLAPDRAAAEVIDTMALNLSKHVFPLVFEFASVSIQNANPKFREASVTALGVVSEGCAELMKDKLEPVLQIVLGALRDPEQMVRGAASFALGQFAEHLQPEIISHYASVLPCILNALEDVSDEVKEKSYYALAAFCEDMGVEILPFLDHLMGKLLAALQSSSRNLQETCMSAIGSVAAAAEQAFIPYAERVLELMKVFMVLTNDEDLRARARATELVGIVAMSVGRPRIEPILPAFVEAAISGFGLEFSELREYTHGFFSNVAEIMGDGFVKYLPHVVPLAFSSCNLDDGSAVDIDESDDDNINGFGEVSSDDEAHDEPR >OMO60726 pep supercontig:CCACVL1_1.0:contig13674:23955:27958:-1 gene:CCACVL1_23918 transcript:OMO60726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPPPRPVSPQDWEIVVEDFQHGGIRCERWNSLSPSVAELAIASLLKKEFPFKIPLLIFLDEFSHLLFPSPSLPILLDRLIETLRTVVQSPIDNVHVTYALKEQMMVSTTSILISTNSVGTVEARLTESAVELLLAVINRPNHGSDRHARSIACECLRELEKAYPCLLSDIAGHLWSLCQSERTHASQSYILLFTTVIYNIVNRKLSISILNTSVPLTPFNLPQSVLESEKEGLGLNYKELRRAMAFLLEWPQVLTPCGMMVFMGMVMPLAVALDLQPSMLKVQFFGMIYSYDPALCHVVLIMYLQFSEAFNEQEREIVRRLLLVSLEMQQYLVFRLLSVHWLMGLLNRLMLNGGDVEKKKSLVEIGFMFYPSVFDPLSLKALKLDLLAFCSVCIDTLKTQKSVPDMIVGDGHSVVKLFQDGLISVSAFKWLPPWSTETAVAFRTLHKFLIGASSHFDADPSTTTALMESDIFNHLKGMVVDMIVEFQRLVPVIVAFVDRLLGCQKHHWLGERLLQTIDENLHPRVTIDYRLVSYFLIFDRIAENQTIPPRRLLELLIKLMAFLVEKHGPDTGGKSWSQGSKVLGICRTMLTHHLSSRLFLGLSRLLAFTCLYFPDLEVRDHARIYLRMLICVPGVKLRGMLNLGEQLLGISPSSHSGSFFSVPSPRHSQDFKKSRNISSYIHIERVIPPLVKQSWSLALLPLGVRSNKLEFSSGIRDSEVSTDERELDANIQFQAISEDERIDKPQAPLYVMDSKVSEILRLLRRHFSCIPDFRHVPGLKVKISCNLRFDSEPFNRVWGGESPKSGLDGVDALPAIYATVLKFSSPAQYGSIPSCHIPFLLGQPPVSEYIPGETASLEIVATSDGCGEEEIYKAPVVIELEPREPTPGLVDVFIETNGEDGQIISGQLQSITVGIEDLFLKAIAPTDIPEDVLPDYYSDLFNALWDACGTTSNTGREVFHLKGGKGVAAINGTRSVKLLEISVDSLIRATEHYLAPFVVNVSGENLVNMVKDGGIIRDTVWKDEASDLLLDATNSVTESDSKLLHLTFGNEDERESQSNMSKRSMGCIHVLIFLPPMFHLLFQMEVSDVSTLVRIRTDHWPCLAYIDDYLEALFLS >OMO60725 pep supercontig:CCACVL1_1.0:contig13674:13957:22324:1 gene:CCACVL1_23917 transcript:OMO60725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGGGSYVSSPPAFSNDAKKLLLCTANTVSVFSTATGLQITSLEGHTALVTSVIVVPAPNPAAKGLCYCWTASLDGTIRRWDFSVPELLNTVDIGMPIFSMVIPSLCHPAEGKEKHGSLFAYVSVEYTKETQEKPKVFRRQIRKCNLSKSRLVGKLILGETPKPELLIVSPLGNFFGIRNKCKLHIWKVPDPEPERAITRITLHHTKQISVIAFHPTQRIVAAGDVTGRILVWRGFGNKTFNKGNAVVNERLIDIEEDKPGVRDNDDADSCSTWHWHSAKVKVLRFSSDGAYLYSGGKEGVLVVWQLDTGNKKFFPRIGSPLLHFIDSPDPTLSSISCADNQIHLLRMPSLGILKTISGIKAPSSYPEAYKGLSNGIAFDQTAGLVALRTENYCIQFYSLVDDRGISEVQVCERNHQPGDDITVIVTAVALSLDGSVMSTAEVKLAEEGIGGLVCLKFWVSGSQNKEFSLSTIVYEPHRDGGISAVTFHPSGCMAASSSFGGDFKVWTCNNESPKNEQVLQNSSWTCHAVGSYKKKQMTAAAFSADGSVLAIAAETLITLWDPYKNVLLAVLGETLMPIVSLSFVGNSDNLVATSCGSKAQLSVWSMSKLSLSWSYKLHIEALASAVDLSSFAALALLPESSNETTFDERDGVILLYNATEPVPTAIWSARKAKGGALGFLKVNPSLAEVISVDGKSPELLLAYMNGDREYVLFDPYSKNAREVSVIHKEDLAAPELKGQAGQFGYASIYGDLPEYDMKRTPNSRVPSFPSERPWETIFSGSSHALPPLTKLCSAFLESLLEKRTAAVE >OMO60727 pep supercontig:CCACVL1_1.0:contig13674:29309:29455:1 gene:CCACVL1_23919 transcript:OMO60727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEIIAGDPATQLNISLELPSSIWLRPSRQHDVVTATLGRSSLGYQP >OMO81649 pep supercontig:CCACVL1_1.0:contig10133:113:382:-1 gene:CCACVL1_12330 transcript:OMO81649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAKEMVVEETCTNKEEEVREMEGVETCRHKVGEVMGMEVVEAYTRMEVELREMVVEGTCRHKEEVVMGMVEVGTCRHKGEEVREMVE >OMO76278 pep supercontig:CCACVL1_1.0:contig10912:91872:94036:-1 gene:CCACVL1_15803 transcript:OMO76278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYSLNNNFSSGNCYSGFFDPFSRDLGPCNGNFRGGSLSVAAVPQSLVLDSEKGELVKAPAKVGKKGVSEEKVLAALKNHSEAERRRRERINAHLDTLRSLLPFREKMDKATLLGEVIRQVKELKKNAVEASKGCLVPMDDDEVKVEPFDDQANGTFLFKASICCDYRPDLLTDIRQALEALPIKMVKAEISTLGSRLKNDLVFTGSRSAHVDDAEARRFLACSIHQALNSVLEKASVSPEYSPSLTFPSKRRRISYFDSSSSSS >OMO76279 pep supercontig:CCACVL1_1.0:contig10912:97592:100620:1 gene:CCACVL1_15804 transcript:OMO76279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSTCLKASLSATPSISSSTSSRTKSTALNPRFTSSSSCYSPTLKSLIARVPANNGSSNGGRSSLSATMIAVPSSISTTTSLDFDSSVFKKEKISLSGHDEYIVRGGRHLFPLLDDAFKGIKQIGVIGWGSQGPAQAQNLRDSPAEAKSDIVVKIGLRKGSSSFAEARAAGFTEENGTLGDIWETISGSDLVLLLISDSAQADNYEKVFSHMKPNSILGLSNGFLLGHLQSMGLEFPKNISGKEINGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSGIFGERGILLGAVHGVLECLFRRYVENGMSEELAYKNTVECITGIVSKTISTKGMLAVYNSLSEEGKKRFEAVYSASYYPCMEILYECYEDVASGSEIRSVVLAGRRFHEKEGLPAFPMGKIDQTRMWKVGERVRATRSKDDLGPLCPFTSGVFVALMMAQIEVLRKKGHSYSEIINESVIEAVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQAFVAVDAGAPINQDLISNFLSDPVHGAIEVCAQLRPTVDISVPPDADFVRPELRQSN >OMO76272 pep supercontig:CCACVL1_1.0:contig10912:35427:36896:1 gene:CCACVL1_15797 transcript:OMO76272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSICLVSKLQFLTSTENLSFTPKVKPSVSSKTSIFSVNQHSPRPRFQRPIKATAAMETEQTANAATKSSTSNPPMKLLFVEMGVGYDQHGQDITSAAMRACRDAISSNSIPAFRRGSIPGVSFEQMKLMIKLGVPHSLQHSLDIERVKSVFPYGKILDVEVVDGGLICSSGVEVEEMGDKNDDCYIVNAAVYVGY >OMO76268 pep supercontig:CCACVL1_1.0:contig10912:14252:14335:-1 gene:CCACVL1_15792 transcript:OMO76268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYRCVPSGLLPNLICHGDTFVQRP >OMO76274 pep supercontig:CCACVL1_1.0:contig10912:70806:74719:-1 gene:CCACVL1_15799 transcript:OMO76274 gene_biotype:protein_coding transcript_biotype:protein_coding description:LPPG:FO 2-phospho-L-lactate transferase CofD/UPF0052 MAAHCHCSSNPRPSQPSLLVFSGGTAFNGVVEELKKLTVRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLADQSTSEALAVRRLLGHRLPINSQQAKSEWYEIVEGEHSLWEGVSKPYRETIRAFLAYFQNEILRRPNESFCFSNGSIGNFFFAGARVFFQSLDASIFLFSRVSDIPVESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPNHGSIGSVDKGRSSVPALPSRIKRVFYMSSEGRNSLHEVFPTANPAVLDQLSNVDCIVYAMGSLFTSLCPSLVLLGIGEIISSRSCPKVLLLNGTHDRETSGFSASSFVTAITDALNRTYGDSQNCLKNLPSQYINTLLVPKDGEIPVDIKTLSSQGIIDVVTVDSIHDPKVGIIFDPESLINALGNVVSRYMRTRDYLKR >OMO76266 pep supercontig:CCACVL1_1.0:contig10912:7188:8645:-1 gene:CCACVL1_15790 transcript:OMO76266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MAGSIASSWPELSGKNDWDKLLHPLDLDLRRYIIHYLQRAGAAGDIFNGNKESKGYKFSLYPPEGLFATSGLEVGNPYKYRVTNFIYAVVENEPEWIGYVAVATDEGKAILGRRDILVSWRGSVTQADLHDDLRFLLVPASELFPREILKPLVHAGFHSIYTGTIPDSPYCKTSARDQALKAVQEQVDKYQNEEAVSITITGHSLGSALATLNAMDIVYNGCNKPTGNSDKSFMVTAFVAACPHVGEEALFGPLFNRLNKDHDLHLLRIVNAHDPVPTLPPLIGYTDVGEHLDIDTSKSSYLKPIDIPHNLDVYTHGVAGVQENGEFKLEEELHFDNAIVNKHGGDLVDNLIPEAWWNNTDFKGMVQMDDGHWKLVDSDYVPDPPTA >OMO76273 pep supercontig:CCACVL1_1.0:contig10912:47950:48522:1 gene:CCACVL1_15798 transcript:OMO76273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34 MASMSVITSPQWLTAKSMKTQIPSASLGLLAGSRRRISISSNAAANSKVRSSLLHCSFLPSSSSSLSFPSSFSGLSLGVDFSSNNGLGNQKRRGLVVRAGKAALCLTKRNRSRKSLARTHGFRRRMRTTSGRAVLKRRRAKGRKVLCPKSNPNSGKRHI >OMO76270 pep supercontig:CCACVL1_1.0:contig10912:21359:22938:-1 gene:CCACVL1_15794 transcript:OMO76270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 METRRILKKWFGKKKKVIMNTGIAKKWKHLSGLNHWGDILDPLDIDLRRYIIHYGEMAQAAYDAFNTQKASKFAGSSLYGKKNFFSNVGLEKGNPYKYQVTKFLYATSQIQVPEAFLVKPLSREAWSKESNWIGYVAVATDEGKDVLGRRDIVIAWRGTVQTLEWVNDFEFNLVSPVKIFGDQRNDIKVHEGWYSIYTSEDPRSPYNKSSARDQVLNEVRRLVDQFKNEEISITVTGHSLGAALATLNAVDIVANGYNIPAKKSRKASPVTAFLFASPRVGESDFKKAFTGFKDLRALRIRNALDVVPNYPLIGYSDVGEELAIDTRKSKYLKSPGNLASWHNMEGYLHGVAGTKGSKGDFNLVVHRDIALVNKSIDSLKDESLVPVAWRVQKNKGMVQQEDGSWKLMDHEGEDDDDFDFIL >OMO76276 pep supercontig:CCACVL1_1.0:contig10912:79949:80167:-1 gene:CCACVL1_15801 transcript:OMO76276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPHSGPQTGRVAIGSPLHPREAQEGKKMMKNLVKQSLYKNMEMITRRANAADDPSKLKSLVLSTSSKSLPY >OMO76265 pep supercontig:CCACVL1_1.0:contig10912:3331:5169:-1 gene:CCACVL1_15789 transcript:OMO76265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MANSIASRWRELSGEKNWNGLLHPLDADLRRYIIHYGERTGTVGDLFNGENCMSPKEEFFSAACLEKGNIFKYEVTHYLYAGSNIVEPAWFGYVAVTTDEGKAVLGRRDILIVWRGTSSSSEWLNNALIIPICATDLFGKGTKAKVHPGFLTLYTGSRPNSAYNKTSAREQVLVAVRELLTKYKDEEISITVTGFSLGAALAVLNAMDIVAHKYNSPTGYPHKSCMVTAFTFGGPRVGNSGLRKLYEKLAGDHFHILRMRNAHDPIPKVPYLLGYYVHLGTELTVDSSKSTYLKWRFWFGKSSIIITVNGDQLSANSTGIIIAEDEDESDSDNSHSLTRLEKEERAMRGFFEADEWVSAHNMDVYLHSIAGVQENNGFNLEPHYHDMALVNKHLDRLKDEYGIPTNWWEDENRKNMVQMEDGSWVKK >OMO76275 pep supercontig:CCACVL1_1.0:contig10912:75429:76875:-1 gene:CCACVL1_15800 transcript:OMO76275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESESKGIAWVGNIYQKFEAMCMEVDDMICEDTFRYVENHLQTVGANVKQFCTDLMEDVLSSSPTDSEKELKSSPVQNAGVKVYENSKVNVDEEKELIHSSSAKELKSSPVQNAGVKGYENSNVNVDEGKEVIHASAAESIEDVHFGLSSEQSTEDEGSLTHCSGSIPCESVTLAQVCKNEIPDIESILNDASVETTEDASHKSVTKLELAAVPSFDKVKLEESCIIIDSTELHSLSNEAGERRSYKKKLRDAFSSKSRFIKRVCEKHEGLSKEKGNGAGLSSFQTNESELQDMEFCESDWEII >OMO76267 pep supercontig:CCACVL1_1.0:contig10912:12399:13880:-1 gene:CCACVL1_15791 transcript:OMO76267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MAVSISSRWRELSGDKNWEGLLNPDLDMDLRRYLIHYGEKTAAVGDLFNNEEESEGFGNSLFPKEEFFPAAGLEKGNKFKYNVTHFLYAGSDVVKSAWFGYVAVATDEGKAALGRRDILVAWRGTITDSEWFNNAQFFPKSASELFGNGNDAKVHSGFLDLYIGTSSNSANINNKTSAREQVLKAIRELVDKYKDEEISITVTGHSLGGALATLNAMDIVANGYNKPKSMVTAFVYGGPRVGNDGLERLFQTLGDNLHLLRITNRFDPVHHVPFENMSYTHLGKELTIDTSKSDYLKRQFFVDVLKFFRQSMTNIEDSLDIIRSRILTINAGTKENLRIISSSSQTVLNSDGRILVKESLETLVEENSARARGIVPDFIMEHVGQLFIAHDLEIYLHGVAGDQKNNGFRVEVDRDIALINKHLDHLKDDYKVPAEWWGNENRKNMVQMENGHWKFVQNLF >OMO76277 pep supercontig:CCACVL1_1.0:contig10912:82529:90728:-1 gene:CCACVL1_15802 transcript:OMO76277 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MMKRELGFLADSMKRCIHLPATSQPSIAVTSVRLCAMWHYMGKSTLFNVIQLLFSDMLDLKKLKFFTRVRRFLQSKTARKRYGPSTSDHANKLRITSKNITDQKEAEVIMQKEETEQLDDDSVVLQKSVKRLHFGSWEEKEMAAKAIEKLAKEDVKARKLMAELGVINMLVSMVATEVVGRRRAAIKALIELANGTFT >OMO76269 pep supercontig:CCACVL1_1.0:contig10912:15067:19529:-1 gene:CCACVL1_15793 transcript:OMO76269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MADASIASRWKDLSGQNNWNGLLHPLDPDLRRYIIHYGERTAAVGDLFDGVNCKSSKKDFFSISCLVKGNKFKYEVTHFFYAGSRPTSVNPAWFGYVAVTTDDGKAVLGRRDILVVWRGTRTATEWLNNARLYAVSASDLFKEDTEAKVHCGYLTIYTGSWPDSVSNKISAREQVPDAVRELLTKYKDEEGEVSITVTGFSLGGALATLNAMDIVANNHNRIRITGHPAKSCMVTAFTFGAPFVGNSGLLELYEKLVHDHNFHLLRIKNYNDPVPKLPYPSVGYVHLGEELIVNSSISDYLKRTRYGRSIVDDQEEEDLAKIMDPEERESRGLFKDNFSWFSAHSMDVYLHSVAGLKEKDGKILFELDSEPHYHDYALVNKHLDRLRDEYGIPANWWEDENRKNMVQMEDGSWVNKNATTSN >OMO76271 pep supercontig:CCACVL1_1.0:contig10912:28341:28430:-1 gene:CCACVL1_15795 transcript:OMO76271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDRKGGDELPKGSNLIGLSKAFNLAS >OMO94435 pep supercontig:CCACVL1_1.0:contig07885:18687:20297:-1 gene:CCACVL1_06008 transcript:OMO94435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-L-isoaspartate(D-aspartate) O-methyltransferase MVEHLQHYGVISSKKVAEVMETIDRGLFVPDGTPPYVDSPMAIGYNATISAPHMHATCLQLLEENLQPGMHALDVGSGTGYLTACFAIMVGPQGRAVGVEHIPELVASSIKNIEKSAAAPLLKEGSLSVHAGDGRQGWPECAPYDAIHVGAAAPEIPQPLIDQLKPGGRMVIPVGNIFQDLKVVDKNLDGSISVRSETSVRYVPLTSREAQLRGD >OMO94433 pep supercontig:CCACVL1_1.0:contig07885:5447:9307:1 gene:CCACVL1_06006 transcript:OMO94433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase MTITATPCVKDGCLMVRGKVLLTQLPNNITLSQGSWGSTSAFLGATSATPSSRHVFTLGVLEEGFRLLSLFRFKIWWMIPRYGKSGCEIPLETQMLLLEVREESALDDDGISSDPPTENAFYILFLPVLDGQFRTSLQGTPANELQFCVESGDCGVQTSKVLEPVFINSGDSPFKLINNSIKILAKHKGTFSHIENKKIPAHLDWFGWCTWDAFYTEVNPLGIKEGLQSLSDGGCSPKFLIIDDGWQDTVNEFRKEGEPLIEGTQFASRLVDIKENSKFKSSGSDAGCNDLHEFINKIKVTYGLKYVYVWHALTGYWGGVLPSSETMKKYNPKIVYAIQSPGNIGNLRDIIPDSLEKYGVGIIDPQKIFDFYNDLHSYLSNSGVDGVKVDAQNLIEILGSGFGGRVSLTRQYQEALEQSVSRNFKDNNLICCMSHNSDSIYSSKTSSVARASEDFMPREPTFQTLHIASVAFNSLLLGEIVVPDWDMFQSKHDTAEFHGAARAIGGCAVYVSDKPGNHDFQILRRLVLPDGSILRARHAGRPTRDCLFRDPVMDGKSLLKIWNLNKLSGVLGVFNCQGAGSWPLKQATEDLTSTPLCISGKMSPSDVEFIEEVAGEDWNGDCAVYAFNSGCLSRLPRNGNLEVSLATLKCEIYTVSPIRVFGEDVHFAPIGLLDMYNSGGAVEAIDGIMNLSGCRIKIKGRGWGRFGAYSSIKPKSCRVDNKEEEFIYNSENGLLTIDLEGDCSLRDIEFVY >OMO94434 pep supercontig:CCACVL1_1.0:contig07885:10485:17710:1 gene:CCACVL1_06007 transcript:OMO94434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNEGVVISAEPGVQGGSFMVSGRAVFTKVPDNIMVTPVRDGAVFVGATAKSSSYRHVFSLGVLQGHRLVCLFRFKIWWMLPCFGSSGCDVPAETQMLLLEAREDNNFYLLILPVLDGQFRTTLQGSLDNELRFCIESGDPDVQTTQIMEPVFINSGDNPFTLIRNSIKILEEHKGTFKHIDNKQMPQHIDWFGWNTWDAFYENVNPEGIVEGLECFSEGGCPPKFLTIDEGWQNLVPSYQDKATDELARLLNLEENDKFKGSSLVKPGNNLRDFINTIKQKYGLKYVYVWHALVGYWGGVLPESDAMKKYDPRIQSVTQSPGNLTHIICPTLDMMQQKGIGLIDPSKIRNFYKDYHTYLASCGVDGVKVDVQNVLELLSAGYGGRVSLTRKYLESLEDSVLENFHANNLICSMSLNTDFLYSARKAAAARATEDFMPNEPTFQTLHVAAAAFNSLLLGEIVVPDWDMFYSDHFTAEFHGAARALSGSAVYVSNKPGSHNFDIIKKLVLPDGSILRAKHAGRPTRDCLFLDPVTDGNSLLKIWNMNNLSGVIGVFNCQRAGIWPPIKGSIYEPVPGSGTPITGSIKALDVDSLEEVAGDNWRGHCAVYAHLSGSLKTMLKDAKFEVALEHLKCDIFTVSPIRVFGDNLRFAPIGLLDMYNSGGAVEAIKYENSSCLVSLPSIFTSSSKAPSLLSLPPKPIKLFLSSSHSSTLSALRTKTHFPSLVAFVAQTSDWAQQEGENDTTITIDGEEQQVQEETESESEGELTWENEESDGAEAKLSDWEAEEGEDAAFLGQGGDSEEEESIPEPPEEAKLFVGNLPYDVDSQSLAMLFDKAGTVEIAEVIYNRESEQSRGFGFVTMSTDLNGRLLTVNKAAPRGSRVDRPPRAYEPAFRIYVGNLPWDVDNARLEQVFSEHGKVVDARVVYDRETGRSRGFGFVTMASEAELNDAMAALDGQCNMLEIDFSWLL >OMO53463 pep supercontig:CCACVL1_1.0:contig15198:388:3065:-1 gene:CCACVL1_28620 transcript:OMO53463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERSRSLLQLMVTEGLVPSQEEEEKREIVISKLKNIVMAWAKRVAWQRRLPKQDIAASSATILTYGSYGLGVYNSESDIDALCVGPYFATMAEDFFIVLYNMLKSRQEVSEIHCVKDAKVPLMRFKFDGISVDLPYAQLKVLSVPENVDIFNPFFLRDIDETGWKSLSGVRANKRICQLVPNLEMFQAMLRCVKLWAKRRGVYGNLNGFLGGVHLAILAAFVCQCDPNASLSSLISNFFKTYAFWTWPTPVVLQDGMLQPTVNPIKTPLSMPIQLPCSPYEYCHSNITKSTFYKIRAEFLRGHNLTKDLWKSDFDWHNIFEPFPYTKKYTRFAKIFVSACQQDELGDWVGWIKSRFRCLLFKLEEVQGLCDPNPAEYIDMDVAEPNVVFYWGLQPGKTNNIDIESVREDFWRNISNGYQGPFGRIELSIVQASQLPKYAQNDTLTRKGTKACWKIIDYNQQRIPVYSRHLPHYVVGYVSTNGDPEYPSAGC >OMP03655 pep supercontig:CCACVL1_1.0:contig06016:620:889:1 gene:CCACVL1_02323 transcript:OMP03655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGREKESKSMDINEPSLMDVAHDTINSTQGLAPFPDLAADGRAGPEFRPDSSLFELLPRTQTGRSQLSWTGQVRRDPRGTGEGVSIDLF >OMO84099 pep supercontig:CCACVL1_1.0:contig09792:13213:13737:-1 gene:CCACVL1_10997 transcript:OMO84099 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid binding protein MEEEDQKELQLLPTPLSIASSSHIRSSDSSLKYRSTTTTSMNSDHHQYEGPSLDLQLSISLRPIQKPSNCVIAGPICDFGDVKADTGCVESLKWQAAEQIRLAAIEKAYAERVRELTKREMELAQSEFARARHMWQRAREEVEKAERLKERATRQIDSTCMEITCQSCRQRFRP >OMO84101 pep supercontig:CCACVL1_1.0:contig09792:17496:18377:1 gene:CCACVL1_10999 transcript:OMO84101 gene_biotype:protein_coding transcript_biotype:protein_coding description:CMP/dCMP deaminase, zinc-binding protein MNSRDVALVSTATFIGALASAFAYHLFSSSPKKQSSRIDSSGNCVVSGNRSSQDPYDSSKRKGYLSWDDYFMAIAFLSAERSKDPNRQVGACLVSQNGIILGIGYNGFPRGCSDDKLPWAKKSKYGDPLETKYP >OMO84097 pep supercontig:CCACVL1_1.0:contig09792:3002:3931:1 gene:CCACVL1_10995 transcript:OMO84097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xylan biosynthesis protein IRX15/IRX15L MPPEVSHSRAPQIIPSFQFPTSSASNSSPKSGRATRRMKFPAKKLLPVLIFILSCISILRLFKIAITTSHNSTSPAAALSSSLEQEVASNSPPHKSSANATLLTPKEFQLLSNLITSKAPCNLLVFGFQSEYLNLSSINEGGVTLFIEDDPYKINEIKAGSNETWVHKVTYKVPAKKAYNLLKHARGNPECSPSTSLLQQSSCKLALRNLPEEVYQFKWDVVVVDGPIGDAPEAPGRMSTIYTASMLARTGETTHVVVHDVHRTIEKWFSWEFLCEENLVSSKGKFWNFRISGQSNSTSFCSPQTVRIM >OMO84098 pep supercontig:CCACVL1_1.0:contig09792:5166:8561:-1 gene:CCACVL1_10996 transcript:OMO84098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRSVKPNPNPKLNLTNQNHKKTKKEEEKKKIEFEFCKVCKLNHNQGARHKYFPNHKKSLSAFLSRFQNKINDIRFFLKNPTILRPEHADRNRFWCVFCDTDVDELDSSFSCENAINHLASAHHLKNLKHFLWQYGGNMDHLDKYRILETDQTKWEKKCKSLKSEATAAADEGSFRDYGPLNDIHNNVNFEKINNLEQNNINPLIPSYSNVVMPLQYNTNEYQISDSGFPEVANFGTNLHEVNFSLPVGSHSCTSLWTSNDLTASSSSQQNLYKNGICSVNGYLNNNRVSKKEDCMDLLNALYFSVFRLQSLTQVTSVSMIDGGGNVHSGAPPPWLEATDQTLLNDHVKPALSSFNSSNKSEKSHKLNPKRVGAAWAEKRKMEMEKEKRGEIVNSDCDANWLPNFGRVWQSGSRKESRKEFEIEKQKFLKVESHSETPIKIQPYISKRMVSITSSYTMSF >OMO84102 pep supercontig:CCACVL1_1.0:contig09792:20458:25645:-1 gene:CCACVL1_11000 transcript:OMO84102 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA/THIF-type NAD/FAD binding protein MEVELKEMLDDLQSLRQSLSDPSLHASVDKLQSRVDHLIHLAKSVPVRRTKVKDMSAEVVDSNPYSRLMALQRMGIVENYERIREFSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPEQVGMTKTDAAVQTLSDINPDVVLESYTLNITTVQGFETFMSSLKNKTFCPIKEGSGVDLVLSCVDNYEARMVVNQACNELNQTWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNTLKFLLKFGHVSPYLGYSSLKDYFPTMAMKPNPQCSNAACLERQKEYILAKPARDAAEKAKMEAEAAAVAADVPLHDDNEWNISVLDDSEMESTGATSSGITADALPDGLTRELPIADDFQKPPTSGATDNAINDLEELRRQLEALNAD >OMO84100 pep supercontig:CCACVL1_1.0:contig09792:15263:15517:1 gene:CCACVL1_10998 transcript:OMO84100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYWFDGRARDVGPKYQKKVSTFQTQATIRPLARGMDTVVSLTMIVDTDSSTWTVHLCGSSPPTPLLLPSFSILLSSSCGLALI >OMO82139 pep supercontig:CCACVL1_1.0:contig10069:4938:17611:1 gene:CCACVL1_12065 transcript:OMO82139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSGTCEIVEAGEDLKPVQRFKGAYRPHSGMTVGDKDRKPPVLKLGYKDSLENDINQLFEAINLKGPKGLSVSYQGGASSSSSKKSALKKPIAVGMPQYPRIGGSESLSLKQALRDLCISKASEMAAMKRVSMSVSSPGVSEAGRIKSLFNSVVVEPPSGSGLSRDEDKRSMVEISLVPEESKSTSSRMMAVPHQDPKIKSLSQSANSSPRTVRATTQISHGTSTSRKVGSQVVKTEARQKEKHTSASSPSCSYADNNMLEPDNNVPATTKSPKRASTPKSGRKGRLHAVPSSSSINGNKVSRMTRNAPRVAKSVVRNKNTVKKKIKKDSPSTSASNACNEVNSSLDSTASQLICQRCQCSLKSGSNESNQDSMKSQSAGISTEVSSNQVNSDARKPTSVENNSNRIGPAVARAKKSPKSREKGEFSQSSSSLGDSSSTSISDDSNLSGSSCGNRPHMSKDVRWEAIRHVKMQDGVLGLRHFNLLKKLGCGDIGTVYLAELIGTNCLFAIKVMDNEFLARRKKMPRAQTEREILRMLDHPFLPTLYTQFTSDNLSCLVMEYCPGGDLHVLRQRQSGRCFPEPAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCTVNPTLLKSSSNLDPARISGPCTGSSCVDPFCIEPTCRVPCFSPRILPTAAKTKRKAKADHAALVRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGDGHGAAVDWWTFGIFLYELLYGRTPFKGAGNEETLANVVLQSLKFPDSPLVSFQARDLIRGLLVKEPENRLGTEKGAAEIKQHPFFEGLNWALIRCAVPPELPEFYEYGVPSVMSPETKSNYLECKATGEHLEFELIEESSYFRAILGGSFSESCLDSISVQWQLEIFLNVVKCMFGCPLDITSKNFVPLLEAALYFGVDMLLLQLKSWFSEVSLSKDPGRFQIQLEDLIHIWNFGNTFDEELCASYLARNFMWAMSSNFFENIPYGLLLLCMKHPDLTVDSEKHLSDALLIWLDSNTEQLERLSETECGFSGILKQIRIGLLPLWFGAGKRSSSSFSELANESVDLIFKLMKVTAAESIKVFGDMIHLRIRLTEYSKRVDLSGCPQITLVILLLSLLPSKNNVALQLSKSMKETVDNLDKAERSRCRLSFGLLPTFSFEAVQEVDISGCLKLHLEAAIECFSKSFPSLRKVKAAYLLTFKTTTLYKLIQKCSLVSEVDITVDLDPLILSQVSVISSSSAVTSVVPNRPYIVGDSSSMVSVYHPGPSLSNITKLTLEGRNDVCDSDIQHITKFCISLYYLNLKGCTSVTDICIANLIRSCTKLHSIVVCHTSFGTNSILALCTVSPSFSDCTTTEFGKKHSNTVASNLQLLHMGGCKRADEASLIELLSQTQMLKSLCLRDTNLVDDALCNFSGSLLEMLDVSNTMVSGAALKFVVQTNPGLKCLNARGCKNLFCREKMTVGENFSSSYTREEPFIELGKTCRLEEIALGWGLSYFSLQDMKPAISSLRAMTVGLGGSLPEGSLGLLPITCPLLEALVLYFQVISDCIIIDIITSLMQLQTLALCYCHGDISISSFNTSMPNLRKLRLERVTPWMTNNDLVLLTQNCVNLVDLALIGCKLLNSDAQWIVSCGWPGLISIHLEDCGEVTTNGVSSLFNCNALENLLLRHNGRGIPKNFIFDAVSKMPMLRQVSLDLCDASEGDFDLLDDNERYSLRSVKIARCKSQRCFAESHTKMVHKETLVVVYNTNNVVRTVVKERL >OMP11606 pep supercontig:CCACVL1_1.0:contig01108:301:1991:-1 gene:CCACVL1_00406 transcript:OMP11606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKINQDSGKHQGNKKLEAIKDDLWGTILPTELLELILSNLTFVVDIINFHVICKTWRSITVSVSPPPPRQLNPTPLPCADSSFPLLFHMMDFQRKYRIFHPLYNYTWDFDFPPQVPARDGPKKLYFSKYGWLLMSRFFKPAMPFLFNPLTQETIKLPAIPEFPGYLLSMFFTCPPSQSDCLVVAIAGRRNSSIYVHKLGEADWKMHDLKSKMDHYFEPVCHPILYQGLCYCLGLDRNLVVFDIQDIEHTWIVHKVFNIPSPSEFPARLTALVEHDGQLLVVLIGVGRPYIFELDLKTKFWKLAKNLGKNSLFISKGASFSQRAIVSGTGNKIFYPLVLKNKTDSFRFYSLATTNGAKISKRLVAGSTVRVVSGTFAEFVGSLKKLNRKTGKATVGFTLFGKESLVELDAEDIVLETK >OMO88738 pep supercontig:CCACVL1_1.0:contig08949:11879:15923:-1 gene:CCACVL1_08235 transcript:OMO88738 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 2 MDLMKGNESVDELLQAQAHVWNHALRFISSMSLKCAIELEIPNIIYNHGQPMAISELALALSLHPTKLNCLYRLMCILIHSGFVAEPKQHTSQSDEVEKGYVLTLAGRLLLKDSEMSSRPFLLGALDPVLMKPWQSFGAWFQNGDANPSAFATSHGKPFWDYAEYEPRINHLFNEAMAGVSLLIAKVMITKCKGFFKGLKSLVDVGGGTGTITKILANIFPEMDCTVFDLPHVVAGLQGEGNLKYIGGNMLDKVPFGDAVMLKWILHDWNDEDCVKILKICKEAIPSKDKGGKDVYLDPTSRASKTLFRIASLIGNPIKIDVHTTIPVLLTGDAENTAPKLNTPNNSPESRRSKKAKLPLSKQNAHSKSRALHLSIKTLSSSSIRNSNPFTNGASKHRIHKRHPIPRKREPFFSSKCLSWKASCSLRDPNPQEIVPYRWIN >OMO53902 pep supercontig:CCACVL1_1.0:contig15109:59046:60234:1 gene:CCACVL1_28255 transcript:OMO53902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQKALPPVLEASESLPLAQAKNIT >OMO53900 pep supercontig:CCACVL1_1.0:contig15109:166:2210:-1 gene:CCACVL1_28253 transcript:OMO53900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRLRSEALAPRLQKEIKFPFSLHSPINLQEKSTEKSRRKELEMASRPIVQQQVRGEGVVDRQTKKIGGGDGRSRRALGDIGNLVNVRGAVDGKPQPQIHRPLTRSFCAQLLANAQAAAAAENNKKNLCVKVDKAPVVDGNPVPKRAAAVPKPAQKKQTAKPKPAQEVIEISPDTEEAAVPLKKEKKEEAPKKDNLVTKRVTEAEGSSKKKSHSLTSVLTARSKAACGIAKKPKEDIVDIDAVDANNDLAGVEYVEDIYKFYKSVENESRPNDYMHLQTDINEKMRAILIDWLIDVHQKFELSPESLYLTINLIDRFLSVKIVPRRELQLLGMSAMLIATKYEEIWPPEVNDLVCIADRAYTHEQILVMEKTILGKLGWTLTVPTHYVFLARFIKASIPDDEKMENMVYFLCELGMMHYEIIRYCPSMVAASAVYAARCTLSKTPAWTDTLKFHTGYSEAQVLECAKLLVCFHAKAPQSRLQVVYKKYSSSQKGAVALLQPCQALLSATLV >OMO53901 pep supercontig:CCACVL1_1.0:contig15109:17686:24653:-1 gene:CCACVL1_28254 transcript:OMO53901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKISPEIEESMLRESILAVSADVSFASDHFPKYKLGPDNQILEEPKGDSDGPSLKEVVERETAQLSEQHKRLSVRDLASKFDKNLAAAAKLADEAKLREVASLEGHVLLKKLRDALEALRGRMAGRNKEDVEQAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRFGEALEEQEKNPENSQKTQQEVEEFVEEVQEARRIKLMHQPTKVMDMEHELRALRAQIREKSIFSLKLQKELVTNKKAEENKSRVYGFHGSETLGSCLRLQPCSDNAPPLSKSSIQWYRVSLDGNQKDIISGATKTMYAPEPLDVGRILQAEVLTNGQKVIVTTSGPIGSATGLGGYVETLLRKSSSDFNVVISQINGQNHPSHSTHSLNVGKMRIKLCRGWITKSREIYSTSMLLCGARGDGNTAAKSAFWQPRKGVSYVLTFESERERNAAIMVARKHAFDCSVMLGGPDDQM >OMO72365 pep supercontig:CCACVL1_1.0:contig11459:22650:24003:1 gene:CCACVL1_17840 transcript:OMO72365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIGAVNMKVLYIDFISGNRKRRHGLVTKTPLV >OMO72364 pep supercontig:CCACVL1_1.0:contig11459:2490:2709:1 gene:CCACVL1_17839 transcript:OMO72364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYKSQRNVILCATACLLYWCIYRICKYHKEVQSLEEVEKRYKDQ >OMO72366 pep supercontig:CCACVL1_1.0:contig11459:26088:31245:1 gene:CCACVL1_17841 transcript:OMO72366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSHFESTTNSIKWARERVHQAKGIPRWKTS >OMO72367 pep supercontig:CCACVL1_1.0:contig11459:35415:48691:1 gene:CCACVL1_17842 transcript:OMO72367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIVESSIPQCKDMAFIVESSIAKMNGSAIVVFKLPLSPS >OMO56131 pep supercontig:CCACVL1_1.0:contig14553:167951:170651:1 gene:CCACVL1_26757 transcript:OMO56131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITPHTIGVTRRNPAFGTRRHLTGVLGLLLITLKVPSAIGHGAAVCRGGLLCSTTTSATSMLGGSISWRPSKEIAKGGATTKQSGGSSCSAATTATAVGGGGYKGNNHDRDLCAIQKRSNCGVCKR >OMO56113 pep supercontig:CCACVL1_1.0:contig14553:14851:18508:1 gene:CCACVL1_26738 transcript:OMO56113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELRKLEQLQRTLTFMQSRNFNSSSSNDSNRFLASLILLLLQPCGELNLENKCSLISEYMPKISATFLEEASEWVSLEADEKGQFLFIFPLLRKRKLDYKVLDFMDCGSLKINREEVAMVGLEAMERANSTLEDFCRSYFMFHGMEINIPQMVFKYLPVLSFTESYIYQLDNLNEKITYIPTEGVTALGFEKVKHHGWAADVFEKDPFSPLLNLLECRGLLTERIREELSSGEEYWALERKLCCALMCKMEISVEDVMRAIHLKSFDYRVLNLLLYQLRGEEVNDLHMEFLSVSEFLVEISDDLFDYEDDVLENSFNILRMFVKIYGPSRAPAMLAKYITDAEEKYDNLLKALNPQLSSKYQRRCEEATKEGGKISGHPLGTWSIPPVIVNEDLYRSNCLKY >OMO56139 pep supercontig:CCACVL1_1.0:contig14553:196043:197342:-1 gene:CCACVL1_26765 transcript:OMO56139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLHPQGNEVQGGDGGEQAGGGNQDGGGLQPEGNQEAAAEIAVQVGENQVPVVNPQPENVNVNIPVQAQGGANQNIDEVPAPVIPQGPQSPAPQGGGNQVPVNVDVNPNPNEINEEEAPPPAPVIPPPPAPRSPPLNDDDNNNQVQQGTLEALRNMILGWFGITVNVETEKKILMVFTLVASITVAFFNILLFAIAIPMHKTKDNPHANDGTPVRPKDVVTGFRGVCIAIDVLLILAACYMKAFVKPNPGTRCAYELTAAFAFADLCLVAYDQDRTDNSIPVTGVLFGLSVLLFFASLWVNYGPWPSHCCGGNDEEAV >OMO56120 pep supercontig:CCACVL1_1.0:contig14553:113620:113817:-1 gene:CCACVL1_26746 transcript:OMO56120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDYVEWGMDIEEWEEDDDLNFPPAHLLADDNEVEEIIGNHKFHSAKQNAAGVGDHNTEIAHT >OMO56129 pep supercontig:CCACVL1_1.0:contig14553:156018:159102:1 gene:CCACVL1_26755 transcript:OMO56129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLDYYSSNKNVADAEENLLTCLADLFTQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEAQAAKNDAETPSPPEKTANGPKNPQANGVHKEPLVTWVHKNFQGILTNETRCLRCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDADSEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYESLGSNNKS >OMO56128 pep supercontig:CCACVL1_1.0:contig14553:149438:151539:-1 gene:CCACVL1_26754 transcript:OMO56128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29 MAKREEEMKEIRAKTTEEINEEVVELKGELFMLRLQKSVRNEFKSSEFRRMRKRFDIKIRKKQMRERELEEGINKRLSRKLDRQWKKSIVVKPVPSLIKLREKEAAEEAEKSSA >OMO56135 pep supercontig:CCACVL1_1.0:contig14553:174631:185591:1 gene:CCACVL1_26761 transcript:OMO56135 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MAFRIRRYHKFLHWDRKLLQCMRLLRFQLTFIFCDADIEQILMEAQHRWLRPAEICEILKNYKKFHIAPEPAHMPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGGIDVLHCYYAHGEENENFQRRSYWLLEDDLSHIVLVHYREVKGNRTNFNRIKENEEAMTYSQDTEGRIPNSEMESSLSSSFHPNNGQISSQTTDSTSLNSVQASDYEDAESVYNHQASSQFQSFLEPQQSAVVRIDGGFSDSYVPLSHSNDYNGKPSGSAFQLTQPGKDTEYNDAGLAYKPLKNLDIASWEDVLGNLTTGVESVQYQPQFTSTHDMMGQPFNNSFLKRQEFEDQLPVQEGQASEGDSSHLSKWPLDQKLHPDLRFDIGYRIHEQEVNHLVHPDKQQNNPIKYNQQTEPSNGKDGYVLKVDSQSYLTLEGKSIPSAMRQHLLDASSADEGLKKLDSFNRWMSKELGDVDESLMQPSSGADWDAVEGQNGVDVSVMPSQGQLDTFFLGPSLSQDQLFSIIDFSPNWAYEGLETEVLIMGKFLKSRDETKNYKWSCMFGEVEVPAQVIADGVLRCHTPIHNAGRVPFYVTCSNRVACSEVREFEYRVFHIQDLEIVDHLNSNANYLIMRFDRLLCLGAGSPHPNTYDVTDVSEVSNKINSLLKEDNEEWDQMLRPNSEEGFVLEKFKEQLLQKPLKEKLRVWLLQKVAEGGKGPSILDEDGQGVIHFAAALGCDWALEPTIIAGVSVNFRDVNGWTALHWAASYGREHTVASLISLGASPGALTDPTPEFPLGRTAADLASANGHKGISGYLAEADLSSQLVSLSLDKQDSNDNGDSRADAIQKILERNTAPPGYGDTSDGTSMKDSLAAVRNATQAAARIHQAFRVQSFQQRQLKEYGDDKFGMSDERALSLIAVKSKKPGGHHDEHVHAAAIRIQNKFRGWKGRKEFLIIRQRIVKIQAHVRGHQVRKNYRTIVWSVGILEKVILRWRRKGSGLRGFKREALIEGPSMHAPPKEDDYDFLKQGRKQTEERLQKALARVKSMAQNPAGRDQYSRMKNVVNEIQEKVMYDKAVMDVGVTDLDEDLIDLDLEKLLDDDIFMHTAF >OMO56121 pep supercontig:CCACVL1_1.0:contig14553:115715:115996:-1 gene:CCACVL1_26747 transcript:OMO56121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGADYVEWGMNIEEWEHEDQDLTPAYLLADEEEEEETIEKGVNKSGSPASTLTHFDLESQILVLMIVLLRMSMFDRRVMASNCAKMFEFSSL >OMO56114 pep supercontig:CCACVL1_1.0:contig14553:19524:21041:-1 gene:CCACVL1_26739 transcript:OMO56114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDGEFRRWDELIPDALALIFKNLSLQEILTIIPGVCKSWRKAVTGPYCWQDIDIEQWSQHCRPETLDRMLQMLINRSSGSLRKLCVTGLPNDRSFSFIADNAKSLQTLRLPRSEISDSVVEEVAGRLSSVTFLDVSYCRNIGAPALEAIGKHCKSLMGLRRTMHPLEVIDKQSQDDEALAIATTMPKLKQLEVAYLLISTEGVLKILENCPELELLDVRGCWNVKLDDNFVKKFSRLKVVGPLVVDYFGMKGWDDCSNYSGSSGYLAWDFVAGDVGVDYDDEISDGDWEDDHSMEDVEMRFYDGFDLDNAAFDWPLSP >OMO56115 pep supercontig:CCACVL1_1.0:contig14553:31398:35957:1 gene:CCACVL1_26740 transcript:OMO56115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Haloacid dehalogenase/epoxide hydrolase MECVVQGIIETQYVEALEILLQGLCGVHRERLRVHEICLKSNPNIGYGISEVRLLCDLEQAEPSWTVKHVGGAMRGAGAEQISVLVRSMVESKASKNVLRLFNALGYKLDHELLRVGFTFHFRRGADITVTVSSVNKMLKLHATDEAVPVTPGIQLVEVTAPATSENYNEVVAAVSSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSDATLQALIFDCDGVILESEHLHRQAYNDAFAHFNVRCPPSSEPLNWDLEFYDVLQNTIGGGKPKMRWYFKEHGWPSSTIFETPPEGDEERAKLIDTLQDWKTERYKDIIKSGTVKPRPGVLRLMDEAKAAGRKLAVCSAATKSSVVLCLENLIGMDRFTGLDCFLAGDDVKEKKPDPLIYVTAAKKLGISEKDCLVVEDSVIGLQAATKAGMSCIITYTSSTADQDFSDAVAIYPDLSNVKLSDLDALLQKAVAAN >OMO56112 pep supercontig:CCACVL1_1.0:contig14553:12132:14069:1 gene:CCACVL1_26737 transcript:OMO56112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVFQNLFTPSPPRLLKTYLKNPTSFPPITSRHLSLSISNSQDLHFRTRNLLNFKSTLRASSHFILKAYDSDSSIAASPEENPDFNDFNLDSFLSFAEFLCIFSSAVVSVVYAVLGWKGIVLGGIWRGLMVFGAVGLVGGVAIGAWIRRRQWRRICVETVKGRGNLNLIERIEKLEEDMRSSATIIRALSRQLEKLGIRFRVTRKALKDPIAETAALAQKNSEATRALAVQEDILEKELGEIQKVLLAMQEQQQKQLELILAIGKSGKLFEDKQEPTQEKRTVESSKFSEEPKQVESHQTQPLSTRKGSGNDRT >OMO56118 pep supercontig:CCACVL1_1.0:contig14553:99353:104493:1 gene:CCACVL1_26743 transcript:OMO56118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTLLKMDSLRGILVFAWLLSFVSADYQGDALYQLKTSLRATDNQLHDWNQNQVNPCTWSNVMCDPNNNVISVTLSSMNFSGTLSPKIGVLRTLSSLTLKGNGISGEIPKEFGNLTSLTSLDLENNRLTGEIPSSLGNLEKLQFLTLSKNNLTGTIPQSIANLQNLINLLLDSNDLSGQVPDHLFRIPIYNFSGNRLNCGQNFPNQCVSTGNDSGSSKKPKVGIIVGIVGGCIILLLFGGLLFFLCKGRQKGYKREVFVDVAGEVDRRIAFGQLKRFAWRELQLATDNFSEKNILGQGGFGKVYKGVLADNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHKNLLRLIGFCTTPTERLLVYPFMQNLSVAYRLRELKPGEAVLDWPTRKRIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRRTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLDAIVDRNLNKNYNIQEVEAMIQVALLCTQASPEDRPAMSEVVRMLEGEGLAERWEEWQHFEVTRRQEYERLQRRFDWGEDSLYNQDAIELSGGR >OMO56133 pep supercontig:CCACVL1_1.0:contig14553:173460:173567:-1 gene:CCACVL1_26759 transcript:OMO56133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVEEFITHEDKLVPPVEDVVGNKNANLFSSFL >OMO56136 pep supercontig:CCACVL1_1.0:contig14553:186398:186949:1 gene:CCACVL1_26762 transcript:OMO56136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MPSFSLRVLRAGNIGYTDNTDFFLAASIALTLGNAFLLFSLICRHKLREKLGLQRPIETPARIPTGKVVVEVVVPPQQQPPQAQEEAAANILSGSFAVYGATRCKWCGVSMNENNCRVVFQCKHEYHRLCIESQIEKYNSDCPLCLAATQPPDPSSILPCFLTLHWLYYSQPSSSSSSRSPVN >OMO56123 pep supercontig:CCACVL1_1.0:contig14553:121760:122008:-1 gene:CCACVL1_26749 transcript:OMO56123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVDYNEWGLSIEKWEADDASNCPPPHLLVDKKPQRVSKHAFEEVISNGNSGDNVDLGAINLGCMHGIVSQGRLLIQRLMF >OMO56126 pep supercontig:CCACVL1_1.0:contig14553:136088:136654:-1 gene:CCACVL1_26752 transcript:OMO56126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHPLEEQAVRLQAEAVQVAKLQYLQYLLQTPAASINNSLITDMETAVNLSNSVSPGQVDIAAAASLHQGLNDISILFPRLPDLQTPCDQYQTSTNNKDNMGRQAQEYSVLSQGENTTCNSSSPWLNTPSETPSPNSVAASATDQNLMINNLGDASSISPSYGGASSSSVWADNLLFEDPLLFHEIS >OMO56117 pep supercontig:CCACVL1_1.0:contig14553:89616:91970:-1 gene:CCACVL1_26742 transcript:OMO56117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLKPTKLLKHIPSSCLQSLSYSASNYINCQLDSFLSSSTSSSTLRSLLQFHGLIITSGNSKSNIFIAAKLIILYAYFNKPHFCSEVFDSLSIPKKDTFLWNSIIKSHFSNGNYNESFEYYLKMRLCNTQPNDFTIPMVVSACAELRWDACGKYVHGLVSKFGIFAENSAVGSSFVYMYAKCGSMRDACLVFDEIIVKDVVAWTALVIGYVQNGESEKGLRCLCDMHRVDGEGEERPNFRTLEGGIQACSNLCALDEGRCLHGFAVKTGLGLYPVVQSSILSMYSRCGSVRDSYASFSEAVHKDIISWTSIIGAYARIGFMKECINMFREMQLDDLHPDGILISSILLGFGNSMSVRDGKAFHGLIIRRNFLLDDMVNNALLSMYCKFGLLSIAEKLFNIESGRTDESWNIMVSGYCKWGQEANSIELFREMQKLGIETDSNSLVSVIFSCSQLGAIRLGRSLHCHIVKGYMDDNISIANSLIDMYGKGGNLTIAWRIFNQSQSDVITWNTMMSAYTRCGHFSEAIVLFDQMISRKLIPDLATLVTVLSACSHSASWEKGERIHCYIKEEGYELCQSLATALIDMYAKCGQLEKSREIFNSMKEKDAVCWNVMISGYGMHGDAKSAFQIFQQMEESNVKPNALTFLSLLNSCAHAGLVEEGKLLFSRMEHYSVKPNLKHYACMVDLLGRSGNLHEAEALVLSMPISPDGTVWGALLSACVIHNKSEMGIRIAKHAIDSDPENDGYYILVSNMYSSMGWWEEAEQIREIMKERGIGKKAGWSAT >OMO56124 pep supercontig:CCACVL1_1.0:contig14553:125216:126292:-1 gene:CCACVL1_26750 transcript:OMO56124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MSDPGEPFRIPPEYPIGGGPSLRQQDPIGVTDPRLPLQNLSTVKTRMDSLQNFLSQSVNSNTLISKDQMDLVSSEIASAIHQIIVNGAALLSCAQTAHYPNPTPSLTPNPTPTPAPEPKPEFEEYDEGVSDIIELDAVELLAEHLHFCEICGKGFKRDANLRMHMRAHGNQYKTPEALKKTENKWEWELKNSDRKFRFSCPYDGCNRNKKHNKFRPLKSVICVRNHFKRSHCPKMYSCNRCHKKNFSVLADLKSHLKHCGGGEETKKWKCSCGNSFSRKDKLFGHVSLFEGHMPAVMEEEEDQKMKGVVAMEEDEDNEDEMMNRDDGCLEDGVFDGLLDGFGSFDNYYFQDVLGSSHR >OMO56134 pep supercontig:CCACVL1_1.0:contig14553:173870:174073:-1 gene:CCACVL1_26760 transcript:OMO56134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKIEKTTLLSLRLASMHNNMKSAQSKPHDTYHYGKSRPSKTTIATKTTNDKMSNNIQVYLKVLTV >OMO56137 pep supercontig:CCACVL1_1.0:contig14553:188473:188943:1 gene:CCACVL1_26763 transcript:OMO56137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSSPMDSIVTRLTAVMYCFMVFLTVVLGMYLDKKVRKRFGLRPIDEPCMPPPINLVSDVVLHPRNPLQNQQQAVISAAEILRGAIFVYNAKCGICSQDFKGKECRLVFKCKHHFHKLCIDQYSLQLCPLCHGDDSIQASSSGSSLHQVVPIPSNIV >OMO56125 pep supercontig:CCACVL1_1.0:contig14553:129191:129316:-1 gene:CCACVL1_26751 transcript:OMO56125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIKTAAKDFILTLGLEIILSNEVYKLMNYVMRICENERA >OMO56127 pep supercontig:CCACVL1_1.0:contig14553:146751:148990:-1 gene:CCACVL1_26753 transcript:OMO56127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERLDSNLPIKELEIDADLQKKHQAMLDRLSNRHQARLDNSLARRSDSTNSTESTSSFLSRFSDCKKSIDSQFADARLIAQSDPSRLKSHFADISSSISELEKLVAENSYFLPSYEVRSSLKTVSDLKQNLETLNSELISKKKFSFRNKATTKKELPKEPEPVKSDAVSTTNFKIPDSPGFRNKTNETLVKNFKATEIGEFTLSDLESCEVRLFGCCSVVFMNRLKNCKVYMGPVIGSILIEEVEECAFVLASHQIRIHLAKKTDFYLRVRSRPIIEDSNDVRFAPYCLDYEGIELDLEKAGLSEESGNWGNVDDFKWLRAVQSPNWFILPENERIGKVHIEDSVSRIEASMAAKMVKELAFGILSFFFYPSCECSAVCFKAIVLSSTAEISSMALKQRRNVQRFANDELQLDYHCKFQSFDSNHV >OMO56122 pep supercontig:CCACVL1_1.0:contig14553:119006:119410:-1 gene:CCACVL1_26748 transcript:OMO56122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSLEALAMAGVDYIEWGLDVEEWERLNEQTPPHLLADEEEEEEEEFFMKGQVCNCIDNSSNSPTPSNLSEDENGSERVNYGICYPEVISSWGSIIGKIWFFLMSMEKMVRAMIKLLILVWLVELPRLNNNKS >OMO56138 pep supercontig:CCACVL1_1.0:contig14553:190189:194018:-1 gene:CCACVL1_26764 transcript:OMO56138 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin-like protein KIF20B-like protein MPPADQRSIDNDITGFYIGGIALTFCNAFILFGLLICRQRLWVKLGLERPIETTARIPSKVVVEVVVQPPPPLPPQQQQQEEAANILSGSFAVYGKEEATKLPLKILIFTASSCCGCGGGGGITTSTTTLLGGMVN >OMO56119 pep supercontig:CCACVL1_1.0:contig14553:109289:109585:1 gene:CCACVL1_26745 transcript:OMO56119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVSEVVLVKHELSEVDVDVEDVHLSPLAQAPTQPLEQAALVHDLVHPELWQKWWCENWLKWLQHKL >OMO56130 pep supercontig:CCACVL1_1.0:contig14553:159835:167296:-1 gene:CCACVL1_26756 transcript:OMO56130 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MKRRRLVKEADTVYSIPKLTSKDIEQILMEAQHRWLRPAEICEILKNYKKFRIAPEPAHMPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKAGSIDVLHCYYAHGEENENFQRRSYWMLEDDLSHIVLVHYREVKGNRTNFNRVKENEEAIPYSQESEGTIPNSEMESSISSSFHPNNGQLPSQTTDTSLNSVQASDYEDAESVYNHQASSQFQSFLEPQQSVAVRIDGGFPDPHVPLSHDDYNGKPSGSAFQLTQPDKNTEYNDAGLTYKPQKNLDITSWEDVLGNCTPGVESVQYQPQFTSTCDTMGQPFNNSFLRRQEFEDPLHVQEGQQASEGDSSHLSKWPLDQKLHSDFRFDLSYKNHEQEINHLVHPGKQQNNSIKYNQQTDPSNGKDGYVLKVDSQNHLTLEGKSISSAMRQHLLDGSSADEGLKKLDSFNRWMSKELGDVDESHMQPSSGAYWDAVEGQNGVDVSVMPSQGQLDTFFLGPSISQDQLFSIIDFSPNWAYEGSETKVLITGKFLKSNDETKNYKWSCMFGEVEVPAEVIADGVLRCHTPIHNAGRVPFYVTCSNRLACSEVREFEYRVRHIHDLEAVDRPTSNANDILIMRFGRLLCLGASSPHPNTYDVTDVSEVSNKINSLLEEDNEELLQMLRPNSEEGFVLEKLKEQLLQKLLKEKLRVWLLQKVAEGGKGPSILDEDGQGVIHFAAALGYDWAFEPTIIAGVNVNFRDVNGWTALHWAASYGRERTVASLISLGAAPGALTDPTPEFPLGKSSADLASANGHKGISGYLAESYLSSHLISLNLDNQDRNDNSDSRSDVTEEILGRNAAPPGYGGASDGPSLKDSLAAVCNATQAAARIHQVFRVQSFQKRQLEEYGNDKFGMSDERALSLIAVKSNKPGGQRDEHVHAAASRIQNKFRGWKGRKDFLIIRQRIVKIQAHVRGHQVRKNYRKITWSVGILEKVILRWRRKGSGLRGFKREALNEGPSTHASPKEDDYDFLKKGRKQTEERLQKALARVKSMAQNPAGRDQYSRMKNVVNEIQEKVMYNKAVMGAGVTDLDEDLIDLEKLLDDDAFMHTAP >OMO56132 pep supercontig:CCACVL1_1.0:contig14553:172138:172793:1 gene:CCACVL1_26758 transcript:OMO56132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVERELIYCSKSFSSIRQHYKLLHRHRKILQCMRLLSLPPPQNPPLAQPTPLQTQPQIVELEHLLPAAPACPCGQRTPPPVAPVLPLEIEQQERGQQIDVGDEMVVCCKKKTFGKVWI >OMO56111 pep supercontig:CCACVL1_1.0:contig14553:5781:8087:-1 gene:CCACVL1_26736 transcript:OMO56111 gene_biotype:protein_coding transcript_biotype:protein_coding description:patatin family protein MLVSVPVELRQCVGLVELSLEHNKLDHPLLDFRVMAELQILRLFSNPLDSCLRSCHCTSFITCPLALSRLWLMKISLNMLIKTQAECLSLYIPFFVLTPPFASLCTGQDNNARLVDVDLISMSHAPEELVPTLQVVVTLAFVSDTFAQKMLNKDVSCAHENPEGFDREGKLRPICGGGRFDRLLSTFGGEDIPACGSGFGDAVIVEEGVLGGSDDLMFGRDNQAASGKVLPTTILGTPQSFDVEPSQPETKNNTVDEIEGIEVEESVSADNVHLLDKFLPPPPKAKCSEDLQVKSPFYVRDC >OMO56116 pep supercontig:CCACVL1_1.0:contig14553:78167:87881:-1 gene:CCACVL1_26741 transcript:OMO56116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MAKCFSLAATRNSCFRYSFSSAGLRSTTTDLGDGTVMHVWVPKTHIRTKPTLVLIHGIGANAMWQWNDFISPLISRFNVYVPDLLFFGESYTTRPERSEQFQAQCVIRVMETHGLTVMNVIGISYGGFVGYSMAAQFKDRVEKLVICCSGVCLEDKDMEEGMFQVKSVDEAVSVLLPQTPDKMRELLKISFYKPAKGVPTCFLNDFIDVMCTEYRQEKAELIQALHKERKQLNLPKITQPTLILWGEHDKIFPLELGHRLKRHIGDNAELVIIKGAGHALNVEKPKELLKHFKSFLIDTLPPAKQEKYSNGISSDEKLKAMRFYELSHPKKVQVTFTIKIRRRIHAAGVGWREEREAEADDGRASVERGAEVGGGRVTNRGAIDGYGGDGVRACVGCEEELVGAAGYIGLEPWRAAAGAGSKRLGIRPQSSIRAYIPNRMITAHSGVTSRISYLGAPSSSLFSHDSLRLLSFVASSQTSHRRRSSRLIVRADSDFYSVLGVSRNASKSEIKSAYRKLARSYHPDVNKDPGAEQKFKDISNAYEVLSDDEKRSLYDKYGEAGLKGAGMGMGDYSNPFDLFESLFEGMGGMGGMGGMGMGGRSSRSRAVDGQDEYYSLVLNFKEAVFGVEKEIEITRLESCGTCNGSGAKPGTTPSKCTTCGGQGQVVSSARTPLGVFQQVMTCSSCGGTGEISTPCNTCSGDGRVRRTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGSPGDLFVVIDVIPDPVLKRDDTNILYTCKVSYIDAILGTTIKVPTVDGMVDLKIPAGTQPNTTLVMAKKGVPVLNKSNMRGDQLVRVQVEIPKRLSSEEKKLIQELADLSKSKTANSRR >OMP11578 pep supercontig:CCACVL1_1.0:contig01136:1690:1752:1 gene:CCACVL1_00429 transcript:OMP11578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PNMNLDFISGGLLNCNKVVDA >OMO52661 pep supercontig:CCACVL1_1.0:contig15489:17197:18654:-1 gene:CCACVL1_29132 transcript:OMO52661 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, decarboxylating MAPPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTSSKVDETVERAKQEGNLPLYGFHDPEEFVQSIQKPRVIIMLVKAGAPVDQTIETLSKYMEKGDCIIDGGNEWYENTERREQAMTELGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNEELQSVFSEWNKGELLSFLIEITADIFGIKDDKGDGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIAASLDSRFLSGLKEERVEAAKVFKSGGFGDVLTEQDVDKAKLIDDVRQALYASKICSYAQGMNLIRAKSIEKGWDLKLGELARIWKGGCIIRAIFLDRIKKAYDRNPDLANLLVDPEFAKEIIDRQSAWRRVVCLAINSGISTPGMSTSLAYFDTYRRERLPANLVQAQRDYFGAHTYERVDMEGHFHTEWFKIAKQLKN >OMO52662 pep supercontig:CCACVL1_1.0:contig15489:26141:28895:-1 gene:CCACVL1_29133 transcript:OMO52662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSQVFRALILSFQVGQDVLPQVQS >OMO52660 pep supercontig:CCACVL1_1.0:contig15489:14385:14783:1 gene:CCACVL1_29131 transcript:OMO52660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFKHFASQEGCSSSESGWTGYIASPMQEDSEYSEDNYKNNYTIKDDDDDVHGEGNSDDSTVSDASSAPSHYQYKHREGQASHGSANLKVDKGDYSSKHSSRKEAKKEAKKSTENSGKTKRRLGGLARYRK >OMO52296 pep supercontig:CCACVL1_1.0:contig15549:2392:3772:1 gene:CCACVL1_29271 transcript:OMO52296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type VDLDQPLLPKIKIGKHTQPILYESIAGLCFECGCIGHKSNNCPNKKAPIGTMDCDFEAAATSMPSQTIAADEPKEVEGFGPWMVVSRRKNRGNGNIHRDKTLNNQGNSKNVKGSGPNKGSVAGPSSHNTRRGKEVGLGQPNNTKPPTPKTKVQISTDINAVESDKRDSSPHPRALSPDTLAESSKIPEVTTLPMEKSHLLPQPGFVNSLVREISEAFPHSKEISKQKISPPKNGPSKGERNSSTSLSSGRLLLDRTRSAVISDVQIEDFPHLLIPPPTETETSMTESFKNQLLADLVDQEFREAREIAVAEVATPTADPWVTHLMKRIIFTPDLPFVKTIVIPKFVKETRVIAQSYIERVTNQMEYNVVVLPHHHEEANMIWKMTDGTVTAVPEIAKWWLGSGNPTPSDMKILIWNCRGAANSEFKRVFTDL >OMO72779 pep supercontig:CCACVL1_1.0:contig11378:428:508:-1 gene:CCACVL1_17601 transcript:OMO72779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLNTLAPPLLAADKKVNVALMPLWPC >OMO63082 pep supercontig:CCACVL1_1.0:contig13057:9389:9813:-1 gene:CCACVL1_22490 transcript:OMO63082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMIKMANVARVIVDVRMDLHASTFIKNNKHKNTFGSHEESKSKAISHWASEVEADKKSDDDFGKQRQKQDEHVFARSEKRLGVHVVQEVKLLAKEDRVALDSAIEELV >OMO85128 pep supercontig:CCACVL1_1.0:contig09665:1202:3513:1 gene:CCACVL1_10393 transcript:OMO85128 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MDARIRILVIVACFFPVLVESAVRHYKFDVVLKNTARLCSSKPIVTVNGKFPGPTLYAREDDTVIVKVTNHVKYNVSIHWHGVRQLRTGWSDGPAYITQCPIPTGKSYVYNFTVTGQRGTLLWHAHILWLRSTVHGAIVILPKRGVPYPFPKPQKEVVVVLAEWWKSDTEAVINEALKSGLAPNVSDAHTINGHPGPVSSCPSQGGFKLPVDTGKTYLLRLINAALNEELFFKIAGHTLTVVEVDATYVKPFKTDTILIAPGQTTNVLVSADKTAGKYMVAASPFMDAPIAVDNLTATATLHYSGTLDNSPTTLTPTPPKNATSVANSFINSLRALNSKQFPALVPQTIDHNLYFTVGLGINSCPSCKAGNGSPVVASINNVTFVMPTTALLQAHYFNISGVFTTDFPSKPPHVFNYSGTPPKNLQTMSGTKVFKLAYNSTVQLVLQDTGIISPENHPIHLHGFNFYAVGRGIGNYNPKTDPQNFNLVDPVERNTIGVPSGGWVAIRFRADNPGVWFLHCHLEVHTTWGLKMAFLVENGKGPNQSILPPPSDLPKC >OMO89221 pep supercontig:CCACVL1_1.0:contig08823:11233:15085:-1 gene:CCACVL1_07978 transcript:OMO89221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCSRLTAFTSCTLLPVFTGSPRPIALTHVLRTSIERKGQRIEKEKAMRKEWEAN >OMO69169 pep supercontig:CCACVL1_1.0:contig12099:45000:47835:1 gene:CCACVL1_19627 transcript:OMO69169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESENGVTVEEERIVSEKTDVEESATETKKEEENADINGEEEASNLKEASKQGTKSDSMASKAAANVSKSKISKPLKEPGNQTVKNSKVTKGKSNLKTSVSFPRNQRPVLSQSLSFPARRGNGDGLLKSIDGYPGKTDAKGSKVQAPSSNGSLSRLNNPNRRGSINLDSKPTNANGVTPRRNTLASLPGNRQAVAKSGPGTGNVAAKSPSSSESADSKPIAAAAVLSKEDDDAHSTTSATSRSTRRSSGSGFTFRLEERAEKRKEFFSKLEEKIHAKEIEKVNLQEKSKESQEAEIKQLRKSLTFKATPMPSFYKEPPPKVELKKIPTTRAISPKLGRHKSTVAATNNPSEGNGSSVSPSVNQEQNGSTKRTQANGNEENVGSKKTIRKSQPKIQSKEVSKAEEKPGKSKPKTKKPENTVQEACVEKPEENQNHPVNLPQCEDATISVAAEEINPAANGGPIPSLANPEIMPRQVTVGG >OMO69170 pep supercontig:CCACVL1_1.0:contig12099:52868:54561:-1 gene:CCACVL1_19628 transcript:OMO69170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSIYGVSFSSKILFFFFFVSFAIAALPQNPSGKSFSSSGSVQINSNSVLVALLDSHYTELAELVEKALLLQTLEEAVGKHNITIFAPRNEALERQLDPEFKRFLLEPGNLKSLQTLLMFHIIPKRVGSHQWPDHKTGPVKHNTLWNEHLHLTSKPTGKKTVDSAELVRPDDVIRPDGVIHGIKQLLIPRSVIEDFNKRRNLQSISAVLPEGAPEVDPRTNRLKKPAPVPVGAPPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFDGESQVKDFIQTLLHYGGYNEMADILVNLTSLATEMGRLVSEGYVITVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHIIPEYQTEESMYNAVRRFGKVRYDTLRLPHKVVAQEADGSVKFGHGEGSAYLFDPDIYTDGRISVQGIDGVLFPEEEETSTVKKPASVKVATKPTRRDHGGNHGVRVYIAVFFSMM >OMO69164 pep supercontig:CCACVL1_1.0:contig12099:2076:5777:-1 gene:CCACVL1_19622 transcript:OMO69164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLRHPYIVKLNGYCGEHGQHLVVYEFVRNLSLELDDALHNEAFKPLSWELRLCFALGIARALDNPSRLSIDLSSSLPPMDLPVPFPVPVYYNFFDKVQAEAFASSNPPKPPTTRSFHCRSRSRFADIVSLCIQ >OMO69174 pep supercontig:CCACVL1_1.0:contig12099:91875:92624:-1 gene:CCACVL1_19632 transcript:OMO69174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDLFLLLLLLFVSSIMMCNAQKGGGGTVVMELWCVAKNNAEDAPLQGALDWACGQGGADCSKIQQGGPCYDPADIQKTASYAFNDYYLKHGMTDDACSFSDTAALTSLNPSHGDCKFPSSKSVNNANISGNAMGGMVMGPNAADLSASHPISIPHFWLILAHSFLVMLLIVLK >OMO69166 pep supercontig:CCACVL1_1.0:contig12099:28474:31638:-1 gene:CCACVL1_19624 transcript:OMO69166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLIQYQLLHSQSRTKLLSFHFSKLRPLYLSTVSKSSKPPQTKKTPKTTLKNQVETCSIPTLFQEITDILGVVNVTSERTPTGISVSERSNTGELVLIEESLPSGPGVCQNAQEKSALVEKEENLAVLDDTQMGKPIELDVSPVVREITKIVRAENALVSMEEQLDKSGLSFEPEIVEKVLKRCFRFPQLAFRFFNWVKLREGFCHTTETFNTMLSIAGEAKEYGLVENVLGEMEEKSCKKDTKTWTILISLYGKSKLIGKALEVFENMKKYGYEPDAAAYRMMIRALYNADKGDITLELYKEMVEKEMSIDLNLYKILLKCVAKSGDNAAVYLVADDMIRLSQVPEQEVYGYVLESFCISGKIREALELIRDLKKKDFPLDPHYFEILVKGLCRADRIADALEIVDIMKRRELVSGKVYEIIINGYLRKNDLYKALDLFKSMKESGYSPATSTCTDLMQRLFRSKKYQEGCDLYNDMREKGVELDIVAIMAMVAGHIRQNHISEAWKVFNAMEDKGIRPTWKSYSIFIKELIRIGKTDEIFKVLSKMQEVKVIIGDEIFHWVISCMERKGEMDNVEKVKKVQRMCKLHSKKGELSSNNALKGQESLVELDHNHSEPNRTDSHLVHQPLSKAYNEQDLEDVYSILSSSKDWCIIEEALERCAIKFTPELVVEILRKSTLHGKTALNFFSWVGKQVGYWHSSETYNMAIKISGCGKDFKNMRRLFYEMRRRGLTTPDTWAIMIMQYGRTGLTEIALSNFAEMKQEGFNPTASTYKYLIISLCAKKGRKVDEAIKIYPEMIHAGYTPDKELLETYFGCLCEVGKLSEARSCIDSLSKIGFTVPLSYSLYIRALCRAGRLEEALDVLDNVKVEKSTLDQYVYGSLIHGLLRKGKLDQALAKVDSMKEAGISPTVHVYTSFMVHYCKERQMDRALGIFAKMQKEGCQPTIVTYSAMIRGYMNMEKFVDAWNVFHHIRLNGPKPDFETYSMFIHCLCKVGRSEEAMQLLSEMRDSRIVPSTVNFRTVFYGLNREGKQDLAQIVLQQKSVLKSERKLFT >OMO69175 pep supercontig:CCACVL1_1.0:contig12099:102735:103271:-1 gene:CCACVL1_19633 transcript:OMO69175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQIILRTPASVNRRQPLLQTQSSYSFSSADDEGSNRISRRSITRKSNVKFGEVCGETTAECAAICCCCPCGLANLLVLAIYKVPAGLCRRALRQKRRRKLLKKGLLQPHSHCEFDDEFQVHPVVCLEDFFTDVAVSEDAEKAKVELENEMWNRFYGTGFWRSPSQREVESPRLKQL >OMO69165 pep supercontig:CCACVL1_1.0:contig12099:14035:27504:1 gene:CCACVL1_19623 transcript:OMO69165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRISSDSDSLPDVVGILGGEKLRITLWYPELMDLNITDVIRLGYQPVLALDGIYVKDNQGNKQLNSCSGTKFYLDPQIPQATEMRAKFPVDERPIDLISSADADANQEYTYPDAVEKSIQHLLYMKSAVIKVNSHADLLEEVQTDQVICTPHAEISPSGTKTSPTATPVDEPNVLGVKPNQSAAKEMKTCDVFLIALDKIIDEMMIFLLYLYRERLDKTLASAELSNPETLRTLVKNQILRSAQHEKEGFTENLLDTRTQEVSNFLDMLRSTSVDDHHVSKSSEASHGEWKLKQDNEEFRVMYREGPHGTPFHTLLVEGYVDGPMDVCLCVSWESALYKKWWPQSSFPSFKVTSSTCLQKVRVGEQISLVSTTVLESEIIKCLFVFAFLEERVKVAWPLSAREALVHYFFFEYFQDDLIVILVNTISDVSSIDKATHGFTNDGIPEAKDVVRIDLVGGFALQKVTNGRSYFRTIANMDMKLDFVPPSLINFIARQLVGNGFRLYQKAVASVSDCDEDYNKALGDSLYAQIREALYSGNKSGEVLQGQELKSETCLLPNEHLVEGTQDDIHDLEQKAHANEHASEILPPEKAEDTKKKALGEIEEQKAHSNERASEILPEKAQDTKRKAFGEIEEEESEEITCLEEGVEAANQPSTNGFAAANDVNAKNKISIRPEVKEALGLLEKAISIVRQYGLNAESRSSSFSDEEPATSEEEAVEDLANAADNKDSLKLDAGVEASSKKIIERTLHDSRNSSNSNNRRSAGSNSFSREVNHNKVAPASPQQTVSIPIETNQVTLNSYDNGTIKLSKETIERKMYKTKKLWTPLSTVIRRALPTTCRLNTYTPVSQFRSLSSHLEASSRVSLSPPFFHDLYGNLKFSAENFCSLSAAKVNSTTIRCWNCDAVSAGTTPFLYCDSCRSIQPVDVSVDYFQIFGLEKKYDIEVDGLEGKYKDWQKKLHPDLVHSKSEKEREYAAEQSARVIDAYRTLSKPLSRAIYILRLEGVDVDEEQTVSDPELLTEIMEIREAVEEATDAQALNQIQSQMKEKLEESSKSFANAYRSRKFDEAATYSCCSHNAAMGSTTLKIEEMITLKNE >OMO69171 pep supercontig:CCACVL1_1.0:contig12099:60710:72058:-1 gene:CCACVL1_19629 transcript:OMO69171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sec1-like protein MSGETPLYRRSPVPVGLVNYIQKDFPRIKMKEMDLEYFAIESQSFITGNERVLEDLFVVEESTCQGDSNLSVMASRIATVFASLREFPSVWYRAAKSIDATTPTTLSDLIPTKLAARVWNYLMRYKDTMPNFPQTETCELLILDRSVDQISPVIHEWFYDAMCHDLLNMEGSKYVQEVLGKDEDPLEIKEVILDERDPIWLELRHAHIAEVNDRLHEKMTTFITQHKATQHISTRYGNELSMRELQKIVQALPQYSEEIDKLSLHIEIAGKINKIIGDLRLKELGQLEQDLTFGVAGMKDVIKFLEMNENATHENKLRLLMITAAIYPEEFDGEKGLNLMKLAKLTAEDINAVNNMRLLGGSPHTKTSISGNFSLNFDFHKELIEKLAKGELSKKEYPCLNEPIASFRGSSPISGLHQASARSMRTRPPTWACHQNSTSDSVPKHGSSDFQKMGQRIFVFIVGGATRSEIRACHKLTNKLKREVVLGSSSLDDPSHFVSYKENEKTISWNNYVSIAVHLCIIGTETCFLDLLRYVLVQEAIQSSGMEETQKPDNHMTSAAAFVEGGIQDACDDACSICLEEFSQSDPSTVTNCRHDFHLQCILEWCQRSSQCPMCWQSISLKDPASQELLEAVERERSFRVAPSRNTTIFRHPTLGDFELQHLPVGANDPELEERIIQHLAAAAAMGRSRHAGRRDGQRRPSAHGRPHYLVFSSHPGSQHSGSVSSPLTHMGGNTEPAAISIPSPATPLSSGGDELSQQTSPFSSGQNTSASGSTVNRRAYSLNHRGASSNSLSPDQERAGPSDLQSFSESLKSRLNAVSLRYKESISKSTRGWKERWFSRNSSMSDLGNEVRREVNAGIATVSRLMERLETRENSQASVSNRMAETSVTEQDNQHNTGGDDPLSISPTRAPHVASSLSN >OMO69173 pep supercontig:CCACVL1_1.0:contig12099:90951:91241:1 gene:CCACVL1_19631 transcript:OMO69173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFRFDKSTLLCEGVAKKMFPRENYPEYEAMEEAHYVYRVRDRLRKEVLVPLHKVLELVSELSEKPWKGKLITFSANPQLQMVQGKNKLIGTYEH >OMO69167 pep supercontig:CCACVL1_1.0:contig12099:32105:33807:1 gene:CCACVL1_19625 transcript:OMO69167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWVWKDEPNDAVETTARDGDRCTTSKSIRTQCKTEEVEPGKFIRKCEKTEEVLKQCVGRPPEVVQSNKEYTEEDVTEQVLSGNFLPESQGGSFDFPGLRSDMEEIERRFFGGISRFFDAAEEMRNGLFDAFGDFTGRGSSLPPSSRRGIPIEGSPQKEASPKPVESGQLDLAGLAKDV >OMO69172 pep supercontig:CCACVL1_1.0:contig12099:82923:87244:1 gene:CCACVL1_19630 transcript:OMO69172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPESPPYICTPKKHPTPNRSYSSRLLVRRRNNPDQSRHQNIEPNIKRNQSGHHQDGEHMAIPANRSIVKSNHLGLFARSKRTTSEEVGGRVNPTMGKLKLKPPLNPSAEIPKKSRLTASSRRKTSSSELFPKGNMSSVMGLIEVLGFDFWFEADGKEEEGRWMFSSRLCFEELDALMDPMRRIKEVGLPPGVLNILTGLGPEAGGPLASHPNLDKV >OMO69176 pep supercontig:CCACVL1_1.0:contig12099:103620:105289:-1 gene:CCACVL1_19634 transcript:OMO69176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYGRSSASDRRLAGWLGFL >OMO69168 pep supercontig:CCACVL1_1.0:contig12099:36173:39765:1 gene:CCACVL1_19626 transcript:OMO69168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVAIKRDGLFAAISNSLGLSKPAENEETKQIDNEAHSESKKGEEETTVENQPPEEMKMVKEESGREQQGKSHEETQRQHSKAAPQRLKSKPSQLAVAAYNKEQSRKEPGKPRKPHNVKRQSCAGLQVDSVLQTKTGNLKEFYNLGRKLGHGQFGTTFLCVEKGTGLECACKSIAKRKLTTLDDVEDVRREIQIMHHMAGHPNVINIRGAYEDSVAVHVVMELCAGGELFDRIVKRGHYSERKAAGLARTIVGVVEACHSMGVMHRDLKPENFLFVNEEEDSPLKAIDFGLSIFFKPETEQEIFDEVLHGDLDFSSDPWPSISDSAKDLVSKMLVRDAKKRISAHEVLRHPWVQIDGVAPDKPLDSVVLSRMKQFSAMDKLKKMALRVIAQRLSEEEIAGLKEMFKMIDTDGSGQITYDELKIGLKRFGANLDESEFHALMKSADVDNSGTIDYEEFIAATLHLNKAEKEDHLFAAFSYFDKDGSGYITQDELQKACQEFGIEEIRLDEIIQEVDQDNDGKIDYNEFVAMMQKGNPGKKGGQGKGFSTGFRPELLPVC >OMO60105 pep supercontig:CCACVL1_1.0:contig13787:16622:17723:1 gene:CCACVL1_24399 transcript:OMO60105 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box protein MKSVLPDWANIPCDVVCYIVGKIHPSMQDIVRMGAVCQSWQASIELEPKFPICLMLAEREDDNDMRRFLTASEDRVMELELSEIRGRRCWGTPFGWLASYSLDFEIRLFNPLSRASFSLPLISPDASTSFLELSFIFKLILSSSPISPNCIVEAIYGQTYSLAFVKPGDQQWTRIDSTRSISDVTYFDGNFYAVNRIGKVFRCELGLDEGSSAPKCVEFTDKPPQFNRTELNFIVDLGGHLCVIGCNYGEYQYIKEYGEVEMEYINADYPACTSNCIYFTDNQSEFYSTTKGDGVNTGIYNCANKEILRLPVGGR >OMO60106 pep supercontig:CCACVL1_1.0:contig13787:18820:23450:-1 gene:CCACVL1_24400 transcript:OMO60106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWVGRSQQGAVAAVEDRERRFYGLDYHPEVDEIFIQSIKDAGIYNQSGNAFAVCLYHFEHKFLDEVDQKICNRRYGMDRVVQDITSKPPSAIEWE >OMO60107 pep supercontig:CCACVL1_1.0:contig13787:25514:28116:-1 gene:CCACVL1_24401 transcript:OMO60107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MTIEEVGEDRQGMENKEESVEIEEAFKNIEVPSWRNQITIRAIVTSFLLSIIFNFMVCKLNLTTGVIPSFNIAAGLLGFAALKLWTSLLARLGFLKHPFTRQENTVIQTCVVASSGIAFSSGTASYLLGMSSRVAAQAEEGNTPFNVKKLSIGWMIGFLFAVSFVGLFSILPLRKIMIKKYRLTYPSGTATAHLINGLHTPNGSKLAKKQVVQLFKSACISFAFAFFQWFFASGDGCGFSNFPTFGLKAYQQRFYFDFSLTYIGVGMICDYMVNISLLIGAIISWGIMWPLIENQKGNWYDANVSASSLHGIQGYRVFIAIAMILGDGAFHIIYMLGKTIWSLIRAQNQNPSPSAAAAANANSVSSAQSYDEKRRSEFFSKDQIPTYLAVLGYISLAAISIIALPFIFHQLKWYHILVAYIIAPLLAFCNAYGCGLTDWSLASNYGKLAIMIFSSWVGLEHGGIVAGLAACGVMMSIVSTAADLMQDFKTGYLTLASPRSMFLSQVFGTAMGCVISPLVFWIFYKAYPIGDPGSSYPAPYGFLYRGIALLGVEGTSALPKHCLQLAIIFFVAAILINIIRELLSHFETKYNIYRFIPNPMCMAIPFYLGGYFTISMCIGSLVLLIWNMRNKQNARNFAPVVASGLICGESLWGIPAAILSLAGVGAPICMKFLSASTNNRVDQFLTAH >OMO60104 pep supercontig:CCACVL1_1.0:contig13787:12319:13206:1 gene:CCACVL1_24398 transcript:OMO60104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGHSFQLADVTCFNGNFYAVNKIGKVFRCELGLEEGSSAPKCVEFANTPPQFRRTELNFIVDLGGHPCMIGCNYGQYQYIKEDGEVEMEHINGEPQIAISFLTEAIKIVRLDMHSRIWESIFSLGDRSLFFGNCYSFSVLAADYLACTSNCIYFADNQSEFYNTTKGGGINTGIYNCANKKILRLPVGDDEQGFQSKFTPPLWILPTSQ >OMO51823 pep supercontig:CCACVL1_1.0:contig15707:22845:30768:-1 gene:CCACVL1_29570 transcript:OMO51823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPSGPGNFVKLSRLLGEIQKCALLKMSMNGKLSGSSVRLSSNFRLKKAKETMHGHNSFRKWKRILVFLWLLGFLSTGIIWFFLSFSSSASERNEMSSDSCEKKTRILLQHFNVSKNQLHAFASFFYDSDQITSLKCTRNSGPKSPSSDDIACALKVFCSGKHDFKKQQMWFVNNAELKDQCPVQLEDIPSETDSSLLQHDTSSYVSQHAVSLVSRELHIGGKNISQRSAEGTQSKDHCDNLSFCVVKGCWSLLVGVILICKIPGIHSKLWRNRENESAPSQPKTQQLQLLRQQKEHQQAVSPPKGAGKWRKKLLIIFVFAGIFGSFWLFWHLNQKTILRRVETLANMCDERARMLQDQFNVSMNHVNALAILVSTFHHGKHPSAIDQKTFGEYTERTAFERPLTSGVAYALKVLHSEREQFEKQHGWTIKKMETEDQTLVQDCLTENLDPAPIKDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARATGKGVLTSPFKLLKSNHLGVVLTFAVYDKDLPPDATPERRIEATAGYLGASYDVPSLVEKLLHQLASKQTIVVNVYDTTNASAPISMYGTDVTDTGLLHVSSLDFGDPLRKHEMHCRFKQKPPLPWTAINASVGVLVITLLVGHIFHAAICRIAKVENDYREMMELKARAEAADVAKSQFLATVSHEIRTPMNGVLGMLKMLMDTDLDAIQRDYAETAHASGKDLISLINEVLDQAKIESGRLELEDVPFDIRSLLDNVISLSSDKSNGKGIELAVYVSDRVPEVVVGDPGRFRQIITNLVGNSIKFTQDKGHILVSVHLVDEVKGTFDVEDKVLQQGLNLVQDVSSKTYNTLSGFPVVDRWRSWENFKTLNDQDTTEDTEKIKLLVTVEDTGVGIRLDAQSRIFTPFVQADSSTSRHYGGTGIGLSISKHLVELMHGEIGFVSEPGIGSTFSFTGTFGKGKASSLDSKWKQYDPVVSEFQGLGALIVDNRSIRAEVTKYHLRRLGISVEITSSMESACTNLSSTSGTSAFGHLAMILIDKDIWNQETVVQLRSLLKEHGQNGRLGLSRNLPKIFLLATSMSPVERSKLKTAGFVDNVLMKPLRLSVLIACFQEALGNGRKDQSHRKKTSTLGGLLREKQILVVDDNKVNRRVAEGALKKYGAIVTCVERGQDALEKLKPPHSFDACFMDLQMPEMDGFEATRLIRSVESEVNEKIASGEASLEMYGNKPHWHVPILAMTADVIQATNEECMKCGMDDYVSKPFEEEQLYSAVARFFESG >OMO51822 pep supercontig:CCACVL1_1.0:contig15707:20525:21131:-1 gene:CCACVL1_29569 transcript:OMO51822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLMARITLLLLLLSVIPQKSVGEFEQWCIADEQTPDDELQAAIDWACGKGGADCSKIQVNQPCYLPNTVRSHASYAFNDYFQKFKNNGGSCFFRGAAMITELDP >OMP02210 pep supercontig:CCACVL1_1.0:contig06297:13954:15328:1 gene:CCACVL1_02870 transcript:OMP02210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAIAPYAITYTTIFELALMHEPY >OMP02211 pep supercontig:CCACVL1_1.0:contig06297:17743:20939:1 gene:CCACVL1_02871 transcript:OMP02211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASISSLSINSLSISSSSSSKATSYHVQSLSKRLGVFCQINNSEIKAPIRDCSNSKYEGKGKNWRAVVSTALAAAMVAFGSDMSAMAELNKYEAETRGEFGIGSAAQFGSADLRKAVHVNENFRRANFTSADMRESDFSGSTFNGAYLEKAVAYKANFTGADLSDTLMDRMVLNDANLTNAVLVRSVLTRSDLGGALIEGADFSDAVIDLPQKQALCKYAKGTNPITGVSTRASLGCGNSRRNAYGTPSSPLLSAPPQKLLDRDGFCDKDTGLCEAK >OMP02212 pep supercontig:CCACVL1_1.0:contig06297:26381:26989:-1 gene:CCACVL1_02872 transcript:OMP02212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVRKMGEYESSCWYDDQVSFMQDIESPRRIPHQPYASYHHHYPCKQELELQYNLPHHDPFLQLPQLESPKVPQSAASVSCNSVVPNYGYDRNNNGSTLQSSTLTQEQNLNSLYNNNNSEQAVDQVTTDWRVLDKFVASQLSHEEALKENNYHNAATSSFHVGEEMNLVLAANESKRAPESVAHQEYASTSTSSCQIDLWK >OMP02213 pep supercontig:CCACVL1_1.0:contig06297:30796:32752:1 gene:CCACVL1_02873 transcript:OMP02213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIIRLIIRRRLGAQSGTWRLILAGVD >OMP02209 pep supercontig:CCACVL1_1.0:contig06297:9529:11967:-1 gene:CCACVL1_02869 transcript:OMP02209 gene_biotype:protein_coding transcript_biotype:protein_coding description:YABBY protein MSSSSTLSLDHLPPSEQLCYVHCNICDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLLLPSANQFHLAHSFFSPSHNLLEEISNPSPNFLLNQSNTNDFNLPTRGITDELPRPPVITRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQTTVKKTNVRQQEGEDVLMKDGFFASANVGVSPY >OMO83881 pep supercontig:CCACVL1_1.0:contig09843:34712:51086:-1 gene:CCACVL1_11097 transcript:OMO83881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDVDVPVILGRPFMATAHALIDVAAVALASCNAIPPFKLALVLQLGKRVSEIENKLDGQSKLLEIIKKHISDNPDRHNRKGYMGNGNNELSFDNTSIKTPFDYTSIKTPTKVIDVLTAACSFYEKFESKSKLMRMRRWYLDSHIKFAKWNSNEKKSY >OMO83882 pep supercontig:CCACVL1_1.0:contig09843:84772:85161:-1 gene:CCACVL1_11098 transcript:OMO83882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRKEMMKKMIKKVGENDLAPRVKEQLQKSIPDSKVAMGRDKGGLYAGRHIQFGKLDY >OMO83887 pep supercontig:CCACVL1_1.0:contig09843:123515:124897:-1 gene:CCACVL1_11103 transcript:OMO83887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCSFFPLLLLLLLLLVSLFPVPGVSTFSHHANTDNSQPTAMAPSEAETLFKIMESMSSDQTWRVSYPNPCKPDSSWPGIECKPGLSDNFLHVSRLDFGSSLNPTCKKTATFPTLIFTLPCLQSVFFFNCFTHTKTSLSFPKLFNSSLQQLSLRSNPALSGPIPPQISSLKSLEILTLSQNHFSGPIPVEIFSLNSLVHIDLSYNMFTGTIPTQLGNLKNLVGLDLSYNSLTGSIPQTIGELEMLQKLDLSSNSLVGKIPDSIEKLKLLAFMALSNNRLGGDFPKGLSKLQSLQYFIMDDNPMFTTLPVELGMLVKLQELRLANSGYSGIIPPSLSLLMNLTTLSLQNNRLTGEIPMSFGSFSHIYHLNLSRNLLDGVVPFNASFLKRLGRNLDLSGNPGLCLSSAEAYSVKIGVGICSKNISLSQPLNNKSQAPPALAISYSFFLFGVLGVFSLQLCIK >OMO83888 pep supercontig:CCACVL1_1.0:contig09843:127153:131275:-1 gene:CCACVL1_11104 transcript:OMO83888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLTRKIVVNPCFISSNAFRFLVGLSSNQDTRVFLSRGFGGFQGSDVLCKPRFFCPGTNLERLSWEGSSNAILLTKLENALKELKLDEAWETFNDFKRLYGFPNQLVVDRFITQLSYTSSRHWLQKACDLVMVASKEKTYHLQPNILAKLVLSLARAQMPIPSSMILRLMLKKKIQLPMNVLWSVFLHMVKTEVGTCLASNVLIQICDYHIRFCSKKSHCTNLLKPDTMMFNLVLDACVRFASPLKGQQIIELMSQTEVVADPHSINIIAQIHEMNGQRDELKKFKDHIAPLPVPFVSHYRHFYECLLSLHFKFDDIDAAAELLLDLNKSLESHPMEGLRKDYQKPRFVSIGSQNLKNGLKIKIVPELLQKDSVLKAEGKLDLIMFRDKKLFPTNRALAKLIYGYKRHGKINELSKVLLGLKKVLSSSGGSSLLCDVIDACIKLGWVEIAHDILDDMESSGDPLGSNTYMALLTAYHKRNMYREGNVLLKQMNKAGIVLNLSDEIFISKNVPENVD >OMO83890 pep supercontig:CCACVL1_1.0:contig09843:141565:142947:1 gene:CCACVL1_11106 transcript:OMO83890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MAMEIDRDEDEFSRKTSGETENGNQGTVDGDDDFDHGSSSSSTSSSSYFLDGKSSNTKSSASSSCFLDGKTKKKSPCSSSPSFVDGKTKTQTLKLLDLGQKNTNVLEDQEGEFGRSCPYCGQGFKSGKALGGHIRIHKVKVAKQIADQKDNNHVCDICNVNFPSKQSLCGHMKSHPGRTSKGIQPPKQTTLPKPEPEPEPESESEPELEPEFEFPVQNLVDFISNWTVTGRRGRKATISAEKLRMIEQVVEKKRKIEVEDEEDGNQLHQAKFQRVELMEKEISEEEAVMQVIKPEPELEEGEILDDQDLPEEGEIIEDHELDNDNGSNNNTIISHEDLLITNSYSTLQALDGSERDELGLFDNKKMKKREEAAYKPAGSMVRTSKKTAGQHYGNTVSNHKKSCLGMSDDDEEIDFQVEPAGKNGSFNHKASLSLKANQAAAGTMKKKMLDLDLNLLPYEE >OMO83883 pep supercontig:CCACVL1_1.0:contig09843:90290:98755:1 gene:CCACVL1_11099 transcript:OMO83883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDPLLPARRVVAAMAHWLSWRKQMTRKAQNSKMLAAP >OMO83884 pep supercontig:CCACVL1_1.0:contig09843:101852:102637:-1 gene:CCACVL1_11100 transcript:OMO83884 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1 complex, subunit D MSGQSQRLNVVPTVTMLGAMKARLVGATRGHALLKKKSDALTVQFRQILKKIVSTKESMGDIMKTSSFALTEAKYVAGENIKHIVLENVQNASLKVRSRQENIAGVKLPKFEYFTEAETKNDLTGLARGGQQVQQCRAAYVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTINYIKGELDELEREDFFRLKKIQGYKKREMEKQLAASKQFAEDQLAEKVSLQKGVSFHTAHNLLSAGAQKDEDIIF >OMO83891 pep supercontig:CCACVL1_1.0:contig09843:152092:160824:1 gene:CCACVL1_11107 transcript:OMO83891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSSCKSPARFTLGKQSSLAPDRESSADAAIEAAIDPRVRLMYMANEGDLEGIKELLDTGTNVNFKDIDGRTALHVAACQGQTDVVQLLLDRGADVNPTDRWGSTPLADAVYYKNQDVIKLLEKHGAQPPIAPMHVQNSREVPEYEIDPRELDFSNSVHLTKGTFRLAYWRGTKVAVKTLGEEVFTDEDKVKAFRDELALLQKIRHPNVVQFLGAVTQSSPMIIVTEYLPKGDLRAYLKQKGALKPTIAVKFALDIARGMNYLHEHKPEAIIHRDLEPSNILRDDSGHLKVADFGVSKLLKVAKTVKEDKPVTSQETSWRYVAPEVYRNEEYDTKVDVFSFALILQEMIEGFPPFHTKPENEVPKAYVANERPPFQAPAKCYAHGLKELIEECWSEEPFKRPPFRHIITRLDHINNQLSQPQGVMEGNDDIVETNNCKRQRVSEEQVIDRISSLQDSVIVGNTVVVLKLDLDDTRCRQKTMKTAGGLSGVDSIALDIKENKLTVTGDIDPVVAVKKLRK >OMO83886 pep supercontig:CCACVL1_1.0:contig09843:109804:119699:-1 gene:CCACVL1_11102 transcript:OMO83886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase-like protein MASSKLLCQPRWFRFLPRNLSNARFFSELASQPPVPEPQNNIESKSNSSFTKASSTPSSLKEPELAKFSAIADTWWDFEGPFKPLHKMNPTRLAFIRSTLCRHFGKDPLSPRPFEGLRVIDVGCGGGILSEPLARMGATVTGIDAVEKNIKIARLHANLDPTTSTIEYCCTTAEKLVDEQRKFDAVVSLEVIEHVADPAEFCKSLSSLIDHGGATVVSTINRSMRAYATAIVAAEYLLQWLPKGTHQWSSFLTPEELTMILKRASVDVKEMAGFVFNPVSGRWSLSDDISVPIHATFLRQVALMTSSDTCSNQFASLRQRRISTSSPSHCGPPAFTDELAFSSGFGLGFTFTQFPERKRKTMSSKDELSSRVKARLAVLSAHLAAPGWSSNELSSTVLEPWFVSAQTVGSAGGTLTIVDERTGKKYQVPVSSEGTVKATDFKKIKTGKDDKGLKIYDPGYLNTAPVRSSISYIDGDEGILRYRGYPIEELAESSTFLEVAYLLMYGNLPSESQLADWEFAVAQHSAVPQGVLDIIQSMPHDAHPMGVLVSAMSALSVFHPDANPALRGQDIYQSKQVRDKQIARILGKAPTIAAAAYLRMAGRPPVLPSNNLSYAENFLYMLDSMGNRSYKPNPRLARVVDILFILHAEHEMNCSTAAARHLASGGVDVYTALAGAVGALYGPLHGGANEAVLKMLSEIGTVENIPEFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAIALEKAALSDEYFIKRKLYPNVDFYSGLIYRAMGFPPEYFTVLFAIPRMAGYLAHWRESLDDPDTKIIRPQQVYTGVWLRHYMPLKERMSSNEAEADKLSQVSISNASRRRLAGSGV >OMO83889 pep supercontig:CCACVL1_1.0:contig09843:132977:139431:-1 gene:CCACVL1_11105 transcript:OMO83889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MPGMENESSVSKAEEIKLQANEAFKAHKYGQAIELYSQAIELNDQNAVYWANRAFAHTKLEEYGSAIQDATKAIEVDPKYSKGYYRRGAAYLAMGKFKEALKDFQQVKKISPNDPDATKKLKECEKAVMKLKFEEAIAVPVSERRSVADSIDYHTVGASHSSPSMSTQVALAAVAVAFLAALVMMVGAAAATKVAILVAVVLGTWWWGGSTEVEPQYSGAKIEGDVVTLDFVKKMMDDFKNQKCLHKRYAFQIVLQTREMLRAQPSLVDINVPDGSHFTVCGDVHGQFYDLINIFELNGLPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMCPSAIYLARGNHESKSMNKIYGFEGEVKSKLNDTFVELFAEVFCCLPLAHVINQKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGADVTKKFLQDNNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFKAPDLKPDIVTFSAVPHPDVKPMAYANNFLRMFQ >OMO83880 pep supercontig:CCACVL1_1.0:contig09843:13931:14056:1 gene:CCACVL1_11096 transcript:OMO83880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKLRHKTHKEKQKMTEQNQGQQKAQDLTIKIEAFKQAVFFA >OMO83885 pep supercontig:CCACVL1_1.0:contig09843:103488:105866:-1 gene:CCACVL1_11101 transcript:OMO83885 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit B MREMMEFRESDVDDLVKVREGVEWEEEEGEKNKGVESFVYFRFSLSFLDF >OMO65322 pep supercontig:CCACVL1_1.0:contig12668:73527:74229:1 gene:CCACVL1_21557 transcript:OMO65322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSHGDPEQGQGRRTPKKIPLDSIKEIYQKALDDLVNVNSLFTLAVFVGLSLARTGERSLENRTECDATPIYAKRLVVNEVVAFACYLLSSLVAKALKIHVTICQSDDFMLARKRLLRGGMVMLSVWASVFGCIFLTISMVDVIQIKVGVLSCGSHHAWKATAALIAIVILALSIYVPFMMTAIFSTVRNVNTPSVTTLES >OMO65316 pep supercontig:CCACVL1_1.0:contig12668:25674:26020:-1 gene:CCACVL1_21551 transcript:OMO65316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGNKLIQQCQLLPLLLPFNHRQKKVAILSIGPFLRRSNGLTRPLPSTFPPPLSRKTAVSLPKG >OMO65317 pep supercontig:CCACVL1_1.0:contig12668:43459:51166:-1 gene:CCACVL1_21552 transcript:OMO65317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, ZZ-type MQPSTSTRSSDEIQSNIDDKTVKFCNDDDTNEKTIVAEPPSVAFDISTNEKKSLIEETPQPAPRKHRKKNSIGKRELAQLLIPMGRSRGNFHSADEDPTQRSRRKKNASAGENLESSTSGQGTNEGKKALYHCNYCNKDLTGKIRIKCAFCPDFDLCIECFSVGAEVTPHKSNHPYRVMDNLSFPLICPDWNADDEMLLLEGIEMYGLGNWAEVAEHVGTKSKEKCIEHYENVYMKSPVFPLPDMSHVVGKNRKELLAMAKGHNEDKKGSSMFGELTVKEESPFSPSRVKVEGGPSGRLLSGLNADVESGIRSSSGSTKSAAVKKASNMAQVKDGNVKMEDSQMDRSFKGKKSNPSGNDGPSLLELSGYNPKRQEFDPEYDNDAEQLLADMEFKDTDTEEERELKLRVLRIYSKRLDERKRRKDFILERNLLYPNPFEKDLTPEERAICRRYDVFMRFHSKEEHEELLQTVISEHRSLKRIEELKEAQIAGCRTSAEADRYLEQKRKREAEETSQRGKDGVQVNLSGPGGPNSFMASESVKDSNSRRTPQASSSYANDLDIMGFSETQLLSEAEKRLCSEIRLPPPLYLRMLQIISEEVFNGNVTKKSDAHRLFKIEPTKTDRVYDMLVKKGIAPP >OMO65323 pep supercontig:CCACVL1_1.0:contig12668:74713:74904:-1 gene:CCACVL1_21558 transcript:OMO65323 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein FAR1-RELATED SEQUENCE 7-like protein DEKDVKIRELTAELQRERKRSAAFQEQLDMVLREMEEHSNHLSRNIDDIVQSVREIESKQVAS >OMO65315 pep supercontig:CCACVL1_1.0:contig12668:15096:21277:1 gene:CCACVL1_21550 transcript:OMO65315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEGKETVSSRVVAAWGKN >OMO65318 pep supercontig:CCACVL1_1.0:contig12668:56874:59698:-1 gene:CCACVL1_21553 transcript:OMO65318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKNTGAHSRWHDGPVEDPIELGKH >OMO65314 pep supercontig:CCACVL1_1.0:contig12668:3938:5119:1 gene:CCACVL1_21549 transcript:OMO65314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MHDQTSQVKGVAALMELYKWNTVILVHEENYDLNVDHDDTIPYMVSSFEEKNIRISFMSAIAASFNDDQIIEQLHELKTLQTSIFIVHLSHFLASRLFINAKRMGMMSKGYAWIVTSKSMNHFSLTDFSVVESMEGVLGFRSYIPQSKEVQNLTSRLRTKFYAEEPNVMQASMQFNVLGLLAYDITWRLTKAAESIVAKIPSNFTGLDTFKTSMQGSLLVQELLRSNFKGLGGEFRLINEKSISNTFEIVNVIGNREKRVGFCTSKGRVTREIINECNHRRQLIASATNSGLQPITWPGGSLTIPQGRMLQSSGKVLKIGVPVKLGFQQLVNVRHDLYTNTTDVSGFCVDVFKAALEGLNYQVQYQFIPFMDANREKTGTYYNDLVYQVYLQV >OMO65320 pep supercontig:CCACVL1_1.0:contig12668:61875:63422:1 gene:CCACVL1_21555 transcript:OMO65320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDRSIVPDKYVITSVLKACGSNLALREGKEVHGQAVKLGLGSNRLITMKLMELYGKCGEFDFARKVFDKMVERDVVASTIMINCYLDYGLVEQAIEVFDQVWIKDTVCWTAMTDGLVKNGEMNRALEMFRAMQKDNVRPNEVTIVCVLSACSQLGALELGRWVHSYMGKKHGIELNHFVGGALINMYARCGDIDEAERVFAMMKERNVITYNLMISGLAMHGKSVEAIETFQGMIKQGILPTSVSFVAVLNACSHGGLVDLGFEIFHSMTRDYGIEPQIEHYGCMVDLLSRVGRLQEAYDFIRSLKIAPDQVMLGAFLSACKIHGNLELGEQIARTLINDGVVDSSTYVLLSNVYASSGKWNEAAQIRAKMKEGGIQKEPGCSSIEVNNEIHEFLLGDIRHPQKEKIYKKLEELNQILREEGYTPATEVVLHDIEDWEKEHALAIHSERLAICYGLISTRPCTTIRVVKNLRVCDDCHSMIKLIAKITRRKIVVRDRKRFHHFENGSCSCGDFW >OMO65321 pep supercontig:CCACVL1_1.0:contig12668:68770:69474:1 gene:CCACVL1_21556 transcript:OMO65321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESEKYPKEYYTSNGNPRRVTSTSSSSSSTTSVHVTALDGLVNVNSLFTIAVFVGLSLTTPGQHSLENRAPCDADIDVAKKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDVDEAFRAHINLKVLRFGMMGSAVGSVMGCLFLMLSMVNVIEIRLGMLSCGSKSTVHAVSALVILVSSALLVYISTAVYAFMH >OMO65319 pep supercontig:CCACVL1_1.0:contig12668:59769:61116:1 gene:CCACVL1_21554 transcript:OMO65319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hrf1 MCDNLGPLPSVSGPPLNPPSNPFGNAFSGAGSGLIRGGLGAYGGKLFGSGSDYVQSNISKYFSDPQYYFQVNDHYVRNKLKIILLPFLHRGHWTRITEPVGGKLSYKPPLNDINAPDLYIPFMAFGTYLVLAGISLGLAGKFSPEALNWQFVKGMVGWFLQVMLLKVSLLSLGGGEAPLLDLIAYAGYSFSGLCVAIAARITLSYAYYFIVLWISLCVGTFLVKTMKRALFAEVRSYDSSRHHYLLLSIAVAQFPLIFWLSNITGNWFF >OMO73340 pep supercontig:CCACVL1_1.0:contig11261:34935:36189:-1 gene:CCACVL1_17322 transcript:OMO73340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MDFYLKKFPCSSTAKIYADINIPETRQLKQKFDGIIPLVKLLVADKSQSIEPVANPTDIYMLRYRIVGKIVEVDIINGWFYESCPKCRIKLMPKNGKFTCDDDDTVTPEFVMQLNLIIQDETAKIEAVMFGRQAEKLVGLPLTKTVANHCLDKTKLPATAKDPTKSEVEQGMMGTDASEKERTKGNDIGVVSTDCNDSGKF >OMO69226 pep supercontig:CCACVL1_1.0:contig12088:5055:8831:1 gene:CCACVL1_19597 transcript:OMO69226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box VEGSNLDLSSARDYHREYRVCESHSKSPKVILSALERRFCQQCSRIQLLHLQSLLLKVLVPFLAPSCISWITDYGAQKDSSSPPTLDSDYRRGSLTPGVLKESGPSFCTLVVL >OMO69227 pep supercontig:CCACVL1_1.0:contig12088:10880:15862:1 gene:CCACVL1_19598 transcript:OMO69227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MRMSNGLRELRPLVHLLLPLCVHWIAGEMTVSFLVDVVTAALCPSQSSCSQAIYLNGLEQTVVGIFKMVTLPVLGQLADEHGRKPLLLLTISTSIVPFALLAFIQSREAVYMYYVLRTISSIISQGSIFCIAVAYVADIVSDNKRTSVISWITGLFSASTLIGNLLARFLPEKYIFPVATVLLIFCPVYMQFFLVETLVIDQTMEQDSGCLTKSMKVLNKRYKSMKDAAGIVVSSPTLRSISFVSFFYQLGMSGISSVLMYYLKAAFGYDKNQNSEISMVVEVGSIFSQILVLPLLNPLVGEKVIICIAISGSIAYALFYSLAWAPRVPYLAASFGVINVLVKPSTYAIISKASRLTDQGKAQGFIAGVQAIASFLSPLAMSPLTSWFLSSDAPFDCKGFSIIIAAICMVISLCFACFLKVEENSIKQEDEDMEASLLSDN >OMP02015 pep supercontig:CCACVL1_1.0:contig06338:21565:24110:-1 gene:CCACVL1_02942 transcript:OMP02015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSMGLGRWNEQVSISDALLTNEIMVMRKIVEKVSPHPNVIELYDVYEDRSGVHLVLELCSGGELFDRIVAQAQSRYSEAGAAAVVTQIAQGLAALHRANIVHRDLKPENCLFLNSSEDSTLKIMDFGLSSVEDFTDPVVGLFGSIDYVSPEALSLSQGAKITTKTDMWSLGVILYILLSGYPPFIAQSNRQKQQMIMAGDYNFDERTWKNISSSAKHLISSLLQVDPDRRPSAEQLLAHPWVIGDSAKQEQMDAEVVSRLQSFNARRKLRAAAIASVLSSKVLLRTKKLRSLLGSHDLSKEEIDNLKINFKKICAQGDNATLPEFEQVLKAMNMSSLLPLATRIFDLFDSNRDGTVDMREIVCGFSTLRNSKGDDALRLCFEMYDTDRSGCITKEELASMLRALPDDCLPADITEPGKLDEIFDRMDANSDGKVTFDEFKAAMQRDSSLQDVLLSSLRQQ >OMP02016 pep supercontig:CCACVL1_1.0:contig06338:25553:26216:-1 gene:CCACVL1_02943 transcript:OMP02016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MKHKLETFVNFPIHDFDLTNYVADKRSSRSQLYELYALTNHFGGMGSGHYTAHIKLLDENRWYNFDDSHISPINEDEVKSAAAYVLFYRRVKSDASAGNAASSGRVRDRTFYKH >OMP02014 pep supercontig:CCACVL1_1.0:contig06338:247:1290:-1 gene:CCACVL1_02941 transcript:OMP02014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 35 MDLQQDGHYFVPAWSVTVLGGCNKEIYNTAKVKTQTSIMEMKQADNEDYNAPSQLSWMWTFEPMKDTLQGRGQFSAARLLEQKRATSDASDYLWYMTSFDNKIGSSWNNLTLYANTTGEVVLHAYVNGKLIGFEQGNQRFERPVSLVPGRNNITLLSATVGLANYGAFFDTYSNGVTGPVGVDG >OMP01313 pep supercontig:CCACVL1_1.0:contig06476:3406:3851:-1 gene:CCACVL1_03117 transcript:OMP01313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSVFGSSGSTPSRSGIGSNPTVES >OMP01314 pep supercontig:CCACVL1_1.0:contig06476:5382:7889:1 gene:CCACVL1_03119 transcript:OMP01314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPPFVRIFCLHYLFFTVSSSSTIIPLGSTLDASDRNQSWSSPSSIFSLSFIPVTPFSYVVSITYSVGVTVWSASDGSNGGGALVDSAATLHLLTTGSLRLTNGSGAIVWDSATANQGVSHASLDDSGNFQLLNNKSSPIWSSFDHPTDTLVPSQNFTLGAPLKFSYKELQQSTKGLSQRLGEGGFGAVYRGTLANKMAVAVKQLEGIEQAKGITYLHEECRDCIMHCDIKPENILLDDIYTAKVSDFGLAKLMNPKDQRNLSLASIRGTRGYLAPEWLANLPITSKCDVYSYGMVLLEIVGGRRNFEVSAETNGKKFSEWAYEEFEKGNVEGIVDKKLEEVDIEQVVRAILVSFWCIQEQPSQRPTMGKVVQMLEGVINIERPPPPKVVAEGSMSLTSITLDRNVSALSTYATSTIAPSTSSFQTIEASRTETNMGKESSSLLGLEKTET >OMP01315 pep supercontig:CCACVL1_1.0:contig06476:25212:30118:1 gene:CCACVL1_03120 transcript:OMP01315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol-4-phosphate 5-kinase, core MAYQWNLSTSTANPRELLDDEDKKGRPSGVGEAATAKACLHQSVAAKETEVATLMAALGELNIHSPTVLTRKSCESSHWQIDRDCEFLEAERIIDYSLLVGLQFRDDNRGDKMGLLPFLLHAGYLISSKAKMKKLGEEKGIIILFVISQR >OMO77863 pep supercontig:CCACVL1_1.0:contig10705:6844:9335:1 gene:CCACVL1_14759 transcript:OMO77863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MTDLENTQKQGRLCDYCNQSKALLYCRADSAKLCFSCDREVHSANQLFNRHSRSQLCDACDRSPASIFCETEQSVFCSNCDWENHKLSLSSSHNRRPIEGFTGCPSVSELVSFVGIEDLGNKVPFLGEEKVGCGDGCEEDGYLDLLSWETPVISGFDDLIVSSDFNHGFKPTDVPSLPKNRNASCGQHKEEIFRQLREMAKSEPNLSFEKTDLEAIVGFQSLIPDAGNLQPGSVNTTSKNDADPVPFPLYESSALECFSDNAEMGNQVFVPFSQLRSYTEENAVVPDKHIDTSKTIHVTSQEDQLEHQVAAGTIAAVPKIAFHELNSQERDSAISRYKEKRKTRRFDKHIRYESRKLRAETRTRIKGRFAKVEH >OMO77864 pep supercontig:CCACVL1_1.0:contig10705:10157:10876:-1 gene:CCACVL1_14760 transcript:OMO77864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNGSNIVKVVEQSSRRVTYCDVMLRFMGLLLTLVAAIVTGLDKETQTISVSISKNLPTLHVPVTAKWQYMSATVYFLISNAIACSYTLASLVASMSVRTSKDKTGILVVIFDLTIMAFLFSANGAAIAVGVLARDGNSHVQWNKVCNMFGGFCRQMTAAIILSLVGSLVFFWLVALAILNLHKKSR >OMO79893 pep supercontig:CCACVL1_1.0:contig10368:23553:24458:-1 gene:CCACVL1_13330 transcript:OMO79893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MEFDQVAEGARVRGASKIIGIDINPSKFAKGKAVGITDFINPKDLDIPVHEKIGAMTDGGVDYSFECAGNLEVLREAFLSTQFGWGLTVLLGVHPSPRLLPIHPMELFNGREIIGSVFGGFKGKTQLPGFAKQCMCGTLNLDEFITHQLPFEKINEAFQLLVDGKSLRCLLHL >OMO79890 pep supercontig:CCACVL1_1.0:contig10368:2684:8142:-1 gene:CCACVL1_13327 transcript:OMO79890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MTIPDSGFMMENGASCLPCTPEEEKKIVSDLRNESELNLKEGNLYFVISSRWFRRWEKYVGIEADENSLGNQSYDPQRLNGGVASAAAERPGPIDNSDIILNGIDCDSNEKEIQIRKSLQEGQDYVLVPQAVWEKLYEWYKGGPALPRKMILQGTFKKNFDVEVYPLCLTLIDSRDESQSVIILSKKASVTELFQKVCELKGVEQDKVRIWDYFNKRNNKQLHVSKKTLEESDLMMDQHIRLELIDGQHSSRLGMDSTGNELALVSLEPSRSSLTIAGGPALSNGHSSGYRSNLYPGSSLSSGLTDMDDGFDAYNSVRKAEKGGLAGLQNLGNTCFMNSALQCLLHTPPLVEYFLKDYSDEINTVNPLGMHGELALAFGELLRKSWSSGRTAIAPRPFKGKLSRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVRQKPYIEMKDSDGRPDEEVAAECWRNHKARNDSVIVDVCQGQYKSTLVCPVCNKISITFDPFMYLSLPLPSTITRTMTVTVFYGDGNGLPMPYTVSVLKNGFCKDLLLALSTACCLKSDEKLLLAEVYDNKIYRYLETPLEPLVSIKDDEHIVAFRFQKKVMGETKLVIYHRWQDKSTSDFLKSGKQLFGTPLVTYLGEDQRTGADIEAAVSKVLLPFKRTSKAHIGKENSFLSDGLDDGQCSISDDQSVENSELEGTPSMDLSFPLLLTDDRLISFKDLNKDTVIKSGKIITVVLDWTETEKELYDASYLKDIPEVHKAGFTAKKTRQEAISLSSCLDAFLMEEPLGPDDMWYCPGCKEHRQAIKKLDLWMLPEIIVFHLKRFTYGRYIKNKIDTFVNFPIHNLDLSKYVMNKDGQSYVYELYAISNHYGGLGGGHYTAYAKLVDENTWYHFDDSHVSSVNEAEIKTSAAYLLFYKRVRSESKMETGEASNSHSIS >OMO79889 pep supercontig:CCACVL1_1.0:contig10368:37:1780:1 gene:CCACVL1_13326 transcript:OMO79889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MVNSLGINYGQIANNLPSPEDVVPLVKSLGATKVKLYDADPRVLTAFANTGVEFIVGLGNEYLDKMRDPAKAQAWVKQNVQAHLPATKITCIFVGNEILTFNDTSLSDNLLPAMQSVHTALVNLGLDKQVTVTTAHSLSILQTSYPPSAGAFREDLIDCLSQTLSFHQKTGSPFLINAYPYFAYKGNPKQVPLDFVLFQPNQGVIDPVTNLHYDNMLYAQIDAVYSALAALGYKKLPVHISETGWPSKGDEDEAGATPDNAKKYNGNLIKLMSKKTGTPMRPNSDLNIYVFALFNENMKPGPTSERNYGLFKPDGTPAYQLGISTNNAVGSNTSPGDGSIGSAVTTPSTPTSSSTGYLSISAATGG >OMO79895 pep supercontig:CCACVL1_1.0:contig10368:37492:38124:1 gene:CCACVL1_13332 transcript:OMO79895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCIFGGFGDSDGVIKVINSNGGVMEFSAPITAGIITDEFPGHAIFRSHDLFWKPLFHHEELLPGKSYYLLPLNGKDSYSSSGNNSGHINVREGHVRSNSNPTSLVNAPYRMSCDYQGTLKRSHTDVFSRYNNNNNNSGFWKVKLVITPEQLLEILSQEARTQELIESVRTVAKCGNGVSKSGGFSDQWSLSSSSRNASSKKDGLLLDI >OMO79891 pep supercontig:CCACVL1_1.0:contig10368:9650:15378:-1 gene:CCACVL1_13328 transcript:OMO79891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MGSGRDSKTGLDILKPSRRGKKRKRKRRTPKEIHTSGFNSFSFSSGPSVRNEEAIFVTERVRQIIVSVTNNDLSRYSLLNSNSISATKREAVKLEYAFTSFNVNRIGRVRNLEFLGRTHRADPHDDWGDGSWTVDCICGVTFDDGEEMVKCDECGVWVHTRCSRYTKSEELFACDKCKSKSTRNDSEETEVAQLLVELPTKTVRLESSYVSHVPPRRPFRLWTDIPMEERVHVQGIPGGEPGLFEGLSGVFTPELWKCTGYVPKKFNFQYREFPCWDENKEGDDRNDKQNENDNENGNLVDNGAGVLVSLSKERVFGAPMYPMKDNLKGGKKSEGEDTDRRRWQNGARKDRTVLHPVVIPSNKRKKDELGASKDRSAKKKSRSAAEKEAHEKKRGAQSHKTVFRTGSDAKQLEFYEDRGSKSIKTDIQSVKNKNSKDGVLQDPTLDGNFALNHTIERPKNNFVGKERALDASTSGMSGHDGLIRSEPKEEKADHHLPPSLESSPKTEDVALLLELKDPGITPVKIEGDSVATDKVDNGVEGSSRSPKEHMVVNLASSASASGVQSNQVPKESNGSMSHSSVKPAFEVKREKNDDDGTRVVLTAQLSPHADAKDTGTSVHQTSETSEINVVVGGSAQPSNGKTKAVESGLVADCHSSKAKEMAGDCSVVKHDSSEVSESVQKNSSESKHVPASAEETKPSGNVATSEEQPIQHKTVVCVGKSPSTSSVAGTMSIPDNSKCTDTQNSNASTKLRVIAENNASIKKDHAASDVPRDEDRNDLSRKIAKERPRSSFGSTSKVPHHSRITHSSISKRTLADTKDSVPSSSSKASSVQNASVTSVSCESGGSLQSQSASHVQQNKTSASGCPQKGEKFNQSSTQPASKVTHASSAHPFVPSNSPTLSDEELALLLHQELNSSPRVPRVPRVRHTGSFPLLASPTATSMLIKRTSSSGGKEHSMVSRRKNKDASKDGSRGSREFDDEAKRSDKGLSSPDQRQDIGSTMHASAKRDDKIAPPAPTTTTNSGPSSSSEANDQNLSSIRSSPRNISNDDIGTLRGSVPRTLPGLINEIMSKGRRMTYEELCNAVLPHWPNLRKHNGERYAYSSHSQAVLDCLRNRQEWAQLVDRGPKTNSSRKRRKADAEESEDNEYSKGRNTKEVESKSMESQKEEFPKGKRKARKRRRLALQGRGIKDVQRRRKADLTDDDAGAFSNSSEESMFSEDEIQGGRGCPAGSEASASSD >OMO79894 pep supercontig:CCACVL1_1.0:contig10368:30211:33462:1 gene:CCACVL1_13331 transcript:OMO79894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSINSDAANGGGIKKIRLLVTKQELRQLLSKAMSMEEILVEGVQREGGFRVDFVQRWQPLLETIPEGF >OMO79892 pep supercontig:CCACVL1_1.0:contig10368:18409:22657:1 gene:CCACVL1_13329 transcript:OMO79892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVENAPNPDAAAAAVASNDQDQSKQNHVRSRIDPSLHPNDQGLYNKIGGPHHRSNGGDLQMSNGGGAGEVGDSFKRDMRELQELFSKLNPMAEEFVPNSLASHGLNGGFYTNNSFLPNNNNITRNGHANGNGAGRRKKTFSQGKRRVNSRTTMAQRDEIIRRTVYVSDIDQQVTEEQLAGLFVSCGQVVDCRICGDPNSVLRFAFIEFTDEEGARAALNLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRTEDERQMCARTIYCTNIDKKVTQADVKLFFETVCGEVYRLRLLGDYHHSTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRAPRLPMH >OMO93626 pep supercontig:CCACVL1_1.0:contig08086:25333:34512:-1 gene:CCACVL1_06428 transcript:OMO93626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSRLISNTSSIKHPSFSLSFTLIPSLSSSSSSSFSSKCRAESISAPSQLPPIPKRVPFTVSMHGHTWQDPYRWMRNIDDPDFLNYLAQENSYAQAFMADTQSLQRALVTEMKSRMPSSVYTPVERYGPWLYYEYIPEGKEYPVFCRRLSSYVHVGQCRISPDHNFLAYTLDTTGSEHFMLQIKDLGNGYIVPRAPVDKVYIVDSTDPLSSLQRIHKRVSGVQYFLEHHFGFFYILTNAPMKGNMKCNNEGYYLARCRVGDIQSTTWQNIFYPSEDTSLQDMDIFNGHLVLSLNKKGFPMLCSVDLPINVDCKHQMRIEDLDPWFFPIPSNSCSIAPGSNLDFMNSVYRVVLSSPVMPDLIVDYDMSRRIFSIVQQEEVLGISSNAPSCSSRNDLDSQQQLDSEKDENNQNVELQRWKVFTDTYSCEREEVISHDGIRVPLTILYSRKAWRKGQSPGILLGYGAYGEVLDKSWCGDRLSLLDRGWVVAFADVRGGGGGESSWHKSGSGLFKQNSIYDFVSCGKFLIDEGYVQRDQLGAIGVSAGSVLIGAALNMYPDLFRAAILKVPFLDILNSLLDPSLPLTILDYEEFGNPQIKSQFKSILSYSPYENISQGVCHPSVLVTASFNDSRELRKLRMAYNNLSEGSFEGAECGGEVKEKPWSYNKMKLMYNIRVIKADKLKSLILSKIRVARFKLWLTRAMSMLLLWAIVMQLKSLGEIAVSPKTPKFSFPLPPERVYENNGYLMVSSNGGLNQMRSGICDMVTVARFLNVTLIVPELDNTSFWNDYSQFADIFDVEYFIGSLRDEVRILKELPPAEKKKAAESLFTMPPISWSNMTYYYNVILPRIQTHEIVHFQKTDARLANNNLPDEVQRLRCRVNYEALRFAPPIQALAEKIVRILREKGDFLVLHLRYEMDMIAFSGCNEGCNEQEIDELTKMRYAYPWWKEKVIDSVKKRLAGLCPLTPEETALTLKALGIDRNIQVYIAAGDIYGGERRLATLREAYPNLVKKEILLPPSDLDPFRNHSNQMAALDYYVAVESDIFVPTNGGNMAKVVEGHRRYLGFKKTILLDRKAIVDLVDLYRAGSISWEEFSSEMKEAHADRMGNPIKRLVIPGKPKEEDYFYTNPEECLKKFDEPQVSHDDDQLQEQQEDDAKP >OMO93624 pep supercontig:CCACVL1_1.0:contig08086:4088:4282:-1 gene:CCACVL1_06426 transcript:OMO93624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASVASNHPHPLATMKFWGAVALVMVTLLWVWRVELTTVLNDIDMVSKSSLYNPFSASKPESE >OMO93627 pep supercontig:CCACVL1_1.0:contig08086:36704:39984:1 gene:CCACVL1_06429 transcript:OMO93627 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA binding protein MVLMVMAGNDENGNSEQLKEEAVRKTCKQDPSTDSLIPLKPLMIAALDQMKRFPRPLILLIMKPTCAGFVSVSSHRNILLACFFFFDTYISRVKSDSGSAQGDVSRFPNTNDNGFLGNLNSFGNQPSAKEESMSFSTASEQSRLVDPRLEGSKKPMGSVTALKELCMMEGLGVPFQAQPPSSSNPLQKDEVYADVCRYCVVSPCTVSPQLFHVHPALFRPDTSDLTQFEYSAGCLPIGAEHIPVGIGEWYFEWGYIEG >OMO93623 pep supercontig:CCACVL1_1.0:contig08086:2887:3051:1 gene:CCACVL1_06425 transcript:OMO93623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKANGKWWMCVDFTNQNKTCPKDNFPLPSIDRPDDTSSGNKFLSLMDAYSGR >OMO93625 pep supercontig:CCACVL1_1.0:contig08086:6433:21895:-1 gene:CCACVL1_06427 transcript:OMO93625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLWAFALQLVTLGETISPIKAAKFQPIPYFPPPNKRREDHTAAAGEKKAEKKKAMASRKHSSLACIKFWLVVGSTMLLIIWVCIMQMASLDGAEELGRGGRPGAPLQLPRENVDG >OMP06845 pep supercontig:CCACVL1_1.0:contig04783:3995:6603:1 gene:CCACVL1_01430 transcript:OMP06845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHALMVKLDVQPDDVLYTALIDSYVKSGKVRYARTVFDLMSNENVICSTALITGYMNKGLVEEAEDVFNKTLGKDVVVFNAMIEGYSKSVETAKRGLMLFVDMQRLNFRPNGSTSALGLSLGSALRFPVSPYLHSRRSRLDCRVGAVLYLPMLLLRNHPGGVCSGFDQGSYFGHEVVHLQDPLLEFGLVD >OMP06846 pep supercontig:CCACVL1_1.0:contig04783:9364:13060:1 gene:CCACVL1_01431 transcript:OMP06846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGNKIGSAAHPSSLPPPVRGGASAGTLGRHLASRLVQIGVKDVFSVPGDFNLTLLDHLIAEPELNLIGCCNELNAGYAADGYARAKGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTITCAQAVVNNLDDAHELIDTAISTALKESKPVYISISCNLPAIPHPTFAREPVPFFLAPKVSNQLGLEAAVEAAAGFLNKAVKPVLVGGPKLRVAKAQDAFVDLADASGYPISVMPSGKGLVPEHHPHFIGTYWGAVSTSFCGEIVESADAYVFVGPIFNDYSSVGYSLLIKKEKSIIVEPNRVTIGNGPSFGWVFMSEFLSALAKKLKKNSTAVENYRRIFVPPGMPLKYGNDEPLRVNVLFKHIQEMLTGDSAIIAETGDSWFNCQKLRLPENCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQDISTMIRSGQKSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTALVDAIHNGEGKCWTAKVRTENELKEAIATATGAKKDSLCFIEVYAHKDDTSKELLEWGSRVSSANSRPPNPQ >OMO76291 pep supercontig:CCACVL1_1.0:contig10911:18189:19844:-1 gene:CCACVL1_15784 transcript:OMO76291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPVRFSSCRGVAFEIKPHADPFAIASPTENESRSSSKRAWFPWLQSSSRVFPNSSIQRSMSRPSSHFCDLDLDDEDETSDDDFELERLEEGKDEEKEEKPIFPSASKREQTVPKPARKQESRLSVILLDQGLFTVYKRLFVVCLTLNIIGLVLAATGHFPYARNRAALFSIANILALTLCRSEAVLRVVFWLAVKVLGRSWIPIPVKTATTSLLQSLGGIHSSCGISSVAWLIYALVLTLKNRENTSIEIIVVASIILSLLCLSCLAAFPLVRHLHHNVFERFHRFCGWTALGLLWAFIILTISYDPITKSYSKELGSRLIRQQEFWFTVAITILIIIPWLTVRRVPVRVSAPSGHASIIKFEGGVKAGILGRISPSPFSEWHAFGIISDAKTEHMMLAGAVGDFTKSLVSNPPTHLWVRQVHFAGLPYLVNMYNRALLVATGSGICVFLSFLLQPCSADVCVLWVTKGVEQNFGKEIKEMMSGHPKDKVIVHDTAVLGRPNVSQMSVDAAKRWGAEVVIVTSNPEGSRDVVNACKGAGIPAFGPIWDS >OMO76290 pep supercontig:CCACVL1_1.0:contig10911:12287:17351:-1 gene:CCACVL1_15783 transcript:OMO76290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNDETSAQLSTALLHVQRRFHRETATTSLLQSLGGIHRSCGISSVAWLTYALVLTLKNRENTSSEIIAVASTILSLLCLSCLAAFPSVRYLHHNVFERIHRFAGWTILGLLWAFIILTISYDPATKAYSKELGTRLISQQEFWFTIAITILIIIPWLTVRRVPVRVSARSSHASIIKFDGGMKAGLLGRISPSPFSEWHAFGIISDAKTEHMMVAGAIGDFTESLVSNPPTHLWVRQVHFAGLPYLVNMYNRVLLVATGLGICMVLSFLLQPCSADVCVLWVANDIEQNFGKEIKEMMSGHPRDKVIVHDTADFGRPNVSQN >OMO76294 pep supercontig:CCACVL1_1.0:contig10911:39480:40868:-1 gene:CCACVL1_15787 transcript:OMO76294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MANSIATRWRDLSGENSWKDLLSPVDSDLRRYIIHYDEFFSRAGLENGNPFKYQVTNYFYGAADDKQANWFGYVAVTTDEGKTALGRRDILVSWRGTGTIPEWIDDARFFLTSAKELLGNDINAQVHSGFLAIYTGTIPTSPYSRTSAADQVLKAVQKLVDKYQNEELSITVVGHSLGAALATLNATDIVAKGCNKPTGNPNKEFMVTAFVYASPRVGDQGFKEAIEGLSNLHILRLTNFSDVFPMLPPTAVGYTDVGVNLAINSTKSPYLKPLINAHNLEVHLHGVAGVQENGEFKLENDRDISLINKKIDGLLDKYKIPPQWWNHEMFKNMVQKNDGRWEFVDSAYVPDPPLDYIE >OMO76292 pep supercontig:CCACVL1_1.0:contig10911:27711:28994:-1 gene:CCACVL1_15785 transcript:OMO76292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MGASSIAKRWRELSGENNWEGLLDPLDNDLRKYIIHYGERTQAVIDAFNGEKASKWAGFSRYSMDDFFSKVGLEISNPYKYKITKFFYARSEIQILDWFSAGESNWMGYVAVATDEGKAVLGRRDILIAWRGTMRNLELVNDLQADLVSIEDIFGDINEDSKVHHGWHSIYTAKDSESIYNKTSAREQANEEISITLTGHSLGAAVATLSAVDIVANGYNKPTTKPDKDCLVTAFVFASPRVGDSRFKELFSKLKNLHVLRIKDDTDVVPNLPLPLPLFQYTHVGEELLIDTLKSPYLKNFLGIKKLMVSHQLEPYLHGVAGTQGPKGEFKLEVNRDISLVNKYLDALKDEFRVPVEWWIEKNKGMAQQDDGSWVLDDYEPN >OMO76295 pep supercontig:CCACVL1_1.0:contig10911:41905:43394:-1 gene:CCACVL1_15788 transcript:OMO76295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MAGSIASRWEELSGKKDWDNLLHPLDLDLRRYLIHYHQRAAAAGTIFNSETRLSRYPPEALFATSGFEVGNPYKYRVTNFIYATPADSKVSEWIGYVAVATDEGKAILGRRDILVSWRATATTGDQNADLNFATTSAQELFGPDEAAKVHSGFLSLYTTKLSDSPYGKTSAREQVLKAVQEQVDKYQNEEAVSITVTGHSLGAGLATLNAIDIVFNGHNKPTGGNSDKSFMVTAFPIASSRVGDENLKKLFDRLNKDNDLHVLRIVNAGDLVPNLPPEGIIYRYTHVGQVLNIDAFKSSYLKPLNFPSNNNHDVELYAYGVAGVQENGEFKLEEELHFDIACVNKNGDFLVDDMKIPSLWWNHTDFKGMVQMDDGHWKFVASDYVPDPPTA >OMO76289 pep supercontig:CCACVL1_1.0:contig10911:6705:8183:-1 gene:CCACVL1_15782 transcript:OMO76289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISASRPSNHFCDLNFDEINEDDDFIWEQLEEGKDEGKQKKPIFPSVSNCEQTVSKPTRKQESRLSIILIRQGLFIVYKTLFVVCLTINIIALAFAANGHFPYARNRAVIFSIANIFALTLCRSEAFLRIVFWLAVKVLGRPWVPLPIKTATTSLLQSLGGIHSSCGISSVAWLTYALVFALKNRENTSSEIISVASIILSLLCFSCLAALPLVSHLHHNVFERIHRFGGWTALGLLWAFIILTISYDPITKSYSKQLGSRLIRRQEFWFTIAITIIIMIPWLTIRRVPVKVTAPSGHASIIKFEGGVITGLLGRISPSPFSEWHAFGIISDAKTEHMMLAGAVGDFTKSLVSNPPTHLFRHLRVFVIPFAAMLGRSVLGRPNVSQLSVNAAKKWGAEVVIVTSNPKGSRDVVNACKGAGIPVFGPIWDS >OMO76293 pep supercontig:CCACVL1_1.0:contig10911:30935:32208:-1 gene:CCACVL1_15786 transcript:OMO76293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MAGSIASRWEELSGKNNWDNLLNPLDLDLRRYLIHYIERADTFRFIFNEDKEAPGYALSRYPPEGLFPANGLEVGNPFKYRVTKFIYAVANGTLSEWIGYVAVATDEGKAVLGRRDILVSWRGTMTDEDVEEDKHFKTTSAEELFGPDEAAKVHTGFLSVYTTKLDDKPYSKTSAREQVLKAIQEQVDQYQNEEAVSITVTGHSLGGALATLNAMDIVYNGHNKPSGNSDKSFMVTAFPVASPHVGDNNLEQLFDRLNKDLDLHILRIENAYDLVPIVLAFTNYGYTHVGQVLSIDASKSEELDFDIALVNKRGDFLLEDMNIPTAWGNYTDFKGMAQMDDGHWKYVADYVPPTP >OMO87727 pep supercontig:CCACVL1_1.0:contig09189:20927:22342:-1 gene:CCACVL1_08799 transcript:OMO87727 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MSTFPDSVTLFQPHIALFPSAGMGHLTPFLRLASMLLSHNCKVTLITTKSTVSLAESTCISSFLSTHPEINHIEFQVPPMEPSNSTSDDPFFIQFEATSRSSHLIHPLISSLTPPISAIFSDFVVLSGVNKVASVLGVPNYTVSTTSVKFLSLMAYLPIILTSEYSTKLSDHNCTEIEIPGLTPLPISSFPPPFFNPNHIFTATLVENARALKDCKGILMNSFDFFENETLSAINSLSYLPPILPIGPLQAYELKKDQIQRRYLPWLNNQPEGSVVFVSFGSRTAMSKDQIRELRDGLEKSGYRFLWLLKTKKVDKDDKEDVEDLLSISFIERTKEKGMVLKEWVNQQEILGHPAIGGFVNHCGWNSVMEAAQRGIPMLAWPQHGDQRVNAEVLEKAGLGMWDRTWGWGGQRLVKQGEIQTKVFQLMTDEKLKRSAKKVGEEARKATAGNGGSSTKTILEVIELLKQNKRS >OMO87725 pep supercontig:CCACVL1_1.0:contig09189:7107:8483:1 gene:CCACVL1_08797 transcript:OMO87725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKSDEAFRLVDFQLGLLFDMLYTKSTIIYSSVFGIIVRCFSILASLSALIAFPIIMHHEGIRRSALNGTKASQSHDHDPSPYYIYISTKLKFDVFITYTLLIGTASLELYALAKLVASDRTQLLIARRWPHLSKLKIIQGKKRWSGSMGGYNFIRFCYNQIAKPIRTNLRKKVLPCMDEIFDKNVTWQGVDHQLQELIFQQVRGRIKYRDDLFDSETCKRLLNYRGDYVLDEFGCLEELKWSTVEIDFHQSLLLWHIATDLCYYDDHANRRFTEVEHSKIGRCLSDYMLYLLLKCPNLLPNGNGNIIFHNTSHQTINFFKIMKLHLNNIEIAGQELLMRDSSFAFAPWLPVPKDANTSESLLSLARRLAMKLQNLKPGKFTSWGCKEKWEMINKVWVELLTYAAAHSDWKEHAQHLNSGGQLLTHVSVLMANHALSEQYEHIQLYHHDSDSEPPEPPV >OMO87724 pep supercontig:CCACVL1_1.0:contig09189:6079:6336:-1 gene:CCACVL1_08796 transcript:OMO87724 gene_biotype:protein_coding transcript_biotype:protein_coding description:calcium-activated chloride channel regulator 1 precursor MAQTRWSGSSSFSSLEIIPNATIATQSTAAFADETKMLIHFCGERLRRKPNAASTICNDRLTRTSTRSSQKFNNALTISIYLTIL >OMO87728 pep supercontig:CCACVL1_1.0:contig09189:29923:32585:1 gene:CCACVL1_08800 transcript:OMO87728 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA/rRNA methyltransferase, SpoU MSACKSIIHTSLSTLRCKSKLDFNSKPFCLPFFARPISSVPLCSGIDSSRIQTRSFSAVQQAIPLEDESLEDFAKDTVERLLTDQDDMARLMKMERKSVLEEQSNRWFPYLDRFRCGSEYLSSREVLEAVGPYLMEERKERLKRVVRNRSYSVCLVVEGLSDFGNVSATFRSADALGLQSVHVVSCDSSKRYRENRHVSMGAEKWLDIELWNSPKECFEILKSRGYRIATTHVGMDAVSIYDMDWSSPTAIVVGNENRGISEEALGLSDLHCSIPMKGMVDSFNVSVAAGIVMHHAVCDRTTRLGCHGDLNEEESQILMAEFLLRHNNSSISIANEYARRKASMTMPRL >OMO87726 pep supercontig:CCACVL1_1.0:contig09189:17830:19719:-1 gene:CCACVL1_08798 transcript:OMO87726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEELLICDAQPSSLITLDILLNLPVKALMRFKCVHKSWKDLIKSEKFIKWHLDYNRRRSNNVGILMKRYYQDHHRSSGRKASGKRTKTTNPLTIISNNNRFFTTGVGDLNYVFDKLDIGISTSSRSQQRLDLAHCDGIFFASTTQGNNALFNLATRETIVLPKSNKSHGPFGFGYDIKNHHYKALRIGTAYYPSKVQVYTMGANCWRHLESNDIIDSCLWPWEHAGFYFNGAIHWGIGDNFNIILFNLGDEEFQKLEGPHIDVKYFWNDNEILIQTMKGVDNMHVELVPYNHRKQEFKTSILQISGTSHGFVYEESVASINSACGDLGVSRATVDPSYKNFALTSNFAVSTTLPIIPETKIKVWNRLGIRAVVVPLKIILIVFCLIGMYLLQRMMG >OMO73294 pep supercontig:CCACVL1_1.0:contig11270:1247:2388:-1 gene:CCACVL1_17342 transcript:OMO73294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKILDLRFREKQSKANQIRRVTAQHSPVPSMQQRVR >OMP06467 pep supercontig:CCACVL1_1.0:contig04926:450:898:-1 gene:CCACVL1_01559 transcript:OMP06467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLIKGNRGKERLIRAIR >OMO57375 pep supercontig:CCACVL1_1.0:contig14386:4867:4986:-1 gene:CCACVL1_25799 transcript:OMO57375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KYTNRKQILRLALIRFATHFMQLEEVVRQKQALRDMFNLK >OMO89531 pep supercontig:CCACVL1_1.0:contig08684:3343:6426:1 gene:CCACVL1_07779 transcript:OMO89531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKGLPIEQWRDYFRTANSDIFDIIDHAITVAALDCPKEFRLRRDQIAEKLFTCKFTRCSGCDRVELAVPEYGDDSDGGSGGGGCQGTTRFKREADEEEDGCEFFEAGGSKESKANSSRDDPLMNQIASNYSYGEAEALTDEIEEESMIIGEVYRIKELLLNSQDEPDSVLLDSLRRLELMALTVDILKATGIGKAVNRVRKHSSKQIRHLAQTLIDGWKELVDEWVNATKAITEGTPESANPSVVDEEEEEEGLPSPPLDIGAFFATQPTSMELSQFFDGMDDDENPRNSGEFIKNRDNRRKPSQENQNVSRRKQQTSSEANLRAKDERSQQMKRQEHVAKPNKPPSTNIGSGRPPKHDMEQKANIEPKLLNKSDKMTIPKKPLSSQQDKFKASDEERKLEATKRKLQERYQQAEIAKRKRTIQVMELHDLPKQGSGHKNPFVKPGNHNWHWANGRR >OMO52682 pep supercontig:CCACVL1_1.0:contig15482:18494:18553:-1 gene:CCACVL1_29116 transcript:OMO52682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HNLYVVGSKASRKLVRTRT >OMO62193 pep supercontig:CCACVL1_1.0:contig13324:72804:74705:1 gene:CCACVL1_22972 transcript:OMO62193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDPVSLVWSYALDQCRHPHHYTFFNSKVNLRGSPLHFKGTKGIKA >OMO62188 pep supercontig:CCACVL1_1.0:contig13324:5136:7172:1 gene:CCACVL1_22967 transcript:OMO62188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex protein Exo70 MEKMLNSEKSSSFSKICKRRSKSIPDDLLSFRFDHPDPKQCKENQNPDEFPSLEDGFNHDRILEEVDEFIQKLKLALDEDKCQAPEIPDCIESSLKMVESMIARYDQREASSKFGQNEDEDASFFDAISRLGKIINNLDGFGFPFESATTTNCYNRVGSVHHRALVLLEYEFRALLDNSKRTSLNLNINLDSTSNSKTPKTPKQSFFNSNQELESKAEELEFPCFSPESISTVNQIATAIVFEGFEAECLIAYSDLRLKALDIELSKQGFENLNTEDVQRMSWESLEEEISNWVQIVKHCSTNLFSAERNLCNSIFSQHPSIAQRLFIYLATAVIVRLLNFANAVVLTKRYNSTEKLFKFLDIYEALLDLIPGDIGDDPLANDLLFETSVTQCRVGEAAVSIFSQLENSIKSDNERIPVASGAVHPLTRWTMNYLKLACDYKDILEQVFQKHYQMEESARQRVEEQETKNAMDMFNVEGSPKTSPFSVKLMMVMDLLDANVERKSMLYRDPALRYIFLMNNERYVLQKIKGSKEIYEMLGHTWSRKRTSVVRQHHKNYQRETWSKVLQCINHEGLQVQGKVSKALLKERFKNFNNMFDEILKTQSTWVVSDDQLQSELRVSISAVVIPAYRSFLGRFKSYLDHGRAADKYIKYQPEDIEGLLEQLFDGNTVSMGRRRT >OMO62190 pep supercontig:CCACVL1_1.0:contig13324:9848:11235:1 gene:CCACVL1_22969 transcript:OMO62190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase MLMLDIAIQIFEILKQPGPKYLTQATISGFLSRGLSLEEGQSLLKKSVKLAVEARDKFWDAKGSVPGNSYNRALVVASIGSYGSSHFEGTSTASCLRYFSYERFYVIEFFPSEDGDAPRAYHGLEEHNGDHGEDEQGLQGSKDKLEEHGGCAQLVPISKEMTKMPFDPLKMPLGPMTRARAKKFKDALTSFVQTHLEELKTIEVQLKSFDEDKGKNVPIDSKLVTLLAIDG >OMO62189 pep supercontig:CCACVL1_1.0:contig13324:8203:8376:-1 gene:CCACVL1_22968 transcript:OMO62189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSPTPNSTKDKPSELCNWFEEKKKQGPHKPNQFKRSEARERENYSIIFRIFPFKF >OMO62192 pep supercontig:CCACVL1_1.0:contig13324:59689:71902:-1 gene:CCACVL1_22971 transcript:OMO62192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQNHHYQEQQQKNRGISESIPPSPSTAHSKSLSFSRSRIVDQIEET >OMO62191 pep supercontig:CCACVL1_1.0:contig13324:31682:31753:-1 gene:CCACVL1_22970 transcript:OMO62191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDGQEKAISKKIGAPMEQPNRG >OMO69306 pep supercontig:CCACVL1_1.0:contig12072:46:3848:-1 gene:CCACVL1_19560 transcript:OMO69306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSLTTLNLARFLTKSAPKMPEGKPNVQAVSALEAWKHSDSPCQNYVLNSLSDTLYNVYCVHKTAKQLWSALDHKYMIEDVGANKFIVRRFFNFLMVDSKSVAAQVQKLQVILHEIQAEGITLCQSFQVVVMIEKLPPRWKDFKNYLKHKRKEINMEELMVRLWIEDDNRKPEHRASMSAKAKIVEHKQGLSFKVVTIWFFKLKRQWEKEDRKREREMNQRYQLEIDGLVLQRDKLRWEREEENRLMEEERRLRFDLTVEEEQKFQEAEESARNSIISFISPHLKSTKTLIVRLDPLDFVPFRNELLLLYYSKGLSILTESSMESTGESTSSTEISEIRKELKEFVDQTNHIITSLAKMFADFMNIQSQASAQIPSYVPQRYMREDTQGYGINAIEETQQWYAQKIGLSVAPISPSTPPSAPIFPDVPPPMAVINDNLPKDEEIPVESKKDQPTSLPKASQPRSRFEGMKKMETIYGPPPFPQRFVPQSKTCNKESQSDSLQKREVHIPLIAAIQEVPKEQWKPVDLVETTQRHQGPIVKEFKGVLSKTINNPYELDLQDSFQFLFNNEEDEPSMVDVSVLPKEVSKIVHEESEATKEDIKMDIPPPSPPKIRRRQGKSH >OMO71446 pep supercontig:CCACVL1_1.0:contig11630:7820:8429:1 gene:CCACVL1_18214 transcript:OMO71446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGRVGKKSKKNIESKPKPPSLKDKQEMVDKEEQIDAIDAMNDEQLKEYLNNRPEELKSVKIQKSKPKQKVQSIVKPKLSTYSGIMASVWKFHKEDDDKEFSTRSDS >OMO71447 pep supercontig:CCACVL1_1.0:contig11630:9138:12371:-1 gene:CCACVL1_18215 transcript:OMO71447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 10 MTIVCLPNPVYGCFFPLSQSSSREREERESNKIKEELPSQTDNYRPNMQLKPLNTLTVTLMLFFTFFILFFSGFLEFPSVSSSIQNFSNPNQSLNSDPDPFTDLLSAFKKWDSQMGCARFRENHKDLIHLLSNRSGSLQEAVADSGCSDLKMEHASVLVKGWTWIPDNLDNLYSCRCGITCLWTKSSVLADKPDALLFETTTPPLQRFSGDPLRVYMDLEAGRRRSGQEDIFISYHAKDDVQSTYAGALFHNGRNYHVSSYKKNDILVYWSSSRCLPQRNQLAKSLLRFLPYHSFGKCLNNVGGLDMALSFYPECANDAGTPKWWDHLHCAMSHYKFVLAIENTVTESYVTEKLFYALDSGAVPIYFGAPNVLDFVPPHSIIDGTKFSSMEKLASYVKALANDPVAYAEYHAWRRCGVSGNYAKARAASLDTLPCRLCEEVSRRGGRNAKT >OMP07468 pep supercontig:CCACVL1_1.0:contig04412:833:904:1 gene:CCACVL1_01297 transcript:OMP07468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TAKAQVETETLTSKARADAVKAG >OMP00926 pep supercontig:CCACVL1_1.0:contig06582:63:2585:1 gene:CCACVL1_03241 transcript:OMP00926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPFRTYPARSAFIRTPAPPLFLTAATIMEKIVWPLSSGSLRLASTDVRVNPIIWFNYFSNPMDVERCVNGTRRIGDIFRSQSMDYFKFNEMVWDKKFQVFPSFPKKRAQENNENSVDRRHKRMMKNRESAARSRAGKQVPVKADLPLLCCVLLSNGIRDSLKAERRMLESFIKSKVRKQLRH >OMP00927 pep supercontig:CCACVL1_1.0:contig06582:3689:3772:-1 gene:CCACVL1_03242 transcript:OMP00927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILKPYTKVTQSSSKVYFTYKLFFTSHS >OMO95112 pep supercontig:CCACVL1_1.0:contig07736:8259:8680:-1 gene:CCACVL1_05572 transcript:OMO95112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YRWLSSKSSYPANSKTTFEEKFLPQQSQNSARWPNFLCVCSVFSSGSVHL >OMO95113 pep supercontig:CCACVL1_1.0:contig07736:9001:10514:-1 gene:CCACVL1_05573 transcript:OMO95113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNNLPRRTIKGPAHVVRDASCWQREHEWWQDIAVAKLI >OMO95114 pep supercontig:CCACVL1_1.0:contig07736:11784:18668:1 gene:CCACVL1_05574 transcript:OMO95114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MEFRMVGSTSSDENDDEHRGWSPSSLVPVPVFFTVESVKNQLPVMQYSSFVKRKSGGFIADDPTCIVCLKSVEASDEVRELGNCNHVFHRDCLDAWIDIGNITCPLCKSKLTSASSQGNNNTRFGNDPWRRERMIYLFGEDIDFDDLMIHF >OMO74632 pep supercontig:CCACVL1_1.0:contig11106:12720:17432:-1 gene:CCACVL1_16566 transcript:OMO74632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEMEAFIRVMVSLLVSGALLVWGWRILNWVWLKPKSMEKWLRQQGLAGNSYRFLSGDIKEMFSMIRQARSKPMPLSDDIGPYVSPFLHQTVNKYGKNSFTWFGPRPRVTVLDPEDIKEVFNKFNDFPKPDLNPLLSLLLPGLVSLNGDEWAKHRKIINPAFHQDKLKNMLSAFYESCIEIISEWEKMVSVEGSSEVDVWPYIVNLTGDAISRAAFGSSYEQGTRIFQLLEEQAGLAVQGMLLDYIPGWRFLPTKANKRMKLINKDIKDSLREMTNRRVNEIKGGKGGNGDLLGILVESNNREVEEHGMGMSIEEVVEECKLFYFAGQETTSGLLVWTMILLARFPDWQTKARDEVFQVFGDNKPDFDGLNRLKIVSPFLALLVSLYAIRKAI >OMO74631 pep supercontig:CCACVL1_1.0:contig11106:1765:3464:-1 gene:CCACVL1_16565 transcript:OMO74631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEMEVFIRVMVSLLVSGALLIWGWRILNWVWLKPKRLEKWLRQQGLAGNSYRFLSGDMKEVFSMIRQRRSKPMPLSDDIVPYVFPFLHQHAKKYGKNTFMWIGTRPRVTLLDPEDIKEVFNKCNDFPKQDLNPFFRLLLPGLISLDGDKWARHRKIIKPAFHQDKLKNLLSAFYQSCIEIISEWEKIVSVEGSRELDVWPYVANLTRDAISRAAFGSSYEQGIRIFQLLEEQVDLVVQAMWSIYIPGWRFLPTKANKRMKLINKDIKDSLREMINRRMNEIKGGKDGNGDLLGILVESNNREVEEHGMGM >OMO94824 pep supercontig:CCACVL1_1.0:contig07797:38171:38704:1 gene:CCACVL1_05785 transcript:OMO94824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin MAEHHQQHGQHHHMQQHGQHHQQQSSQLGPYQMVKAATAVSAGGSLLVLSGLTLAGTVIALTIATPLFVIFSPVLVPALIAAALLVTGFIASGGFGVAAISVLSWIYGYATGKHPPGADQLDQARMKLAGKYREMKDRADQHYAGAAAS >OMO94826 pep supercontig:CCACVL1_1.0:contig07797:44438:45495:1 gene:CCACVL1_05787 transcript:OMO94826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLAVGAEQGLNRAYLQKQLDG >OMO94825 pep supercontig:CCACVL1_1.0:contig07797:41424:42839:-1 gene:CCACVL1_05786 transcript:OMO94825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASLESLQHSFGNLRVNNANVDINGNAPSSSLPWNGSLTGVFPSLESSDRVSTLGSSNTSTLLPSFNLAQTLMGGYGFGEPDPYFLAPTTWNDPLQQNHFSFFSIENPFLHPNPEAIAAGENLLKNGFNMGNDESCVGFLQGNKDRIITLAMLEESSKHLQSLILINDPRITKLIFEGVIDNIFQLMTSQYGRYLFQKILELKEQDMLQRIVEKLTLSDKSIIYNASIDRYGSFSVKKLIKVLQKSPLVSEVVKSLASSFWDLMINQTGQYVIMECLDVLDSQRNDLLYIEAIDKCLKLATDERGFGALNNFIVRIKGPRRDQLLEQICEQVVFLSQHPDGNFVVQCVLGLQNPAFIQKICYKLRGYYVKLSTQKGGSHLVEECLKSSGLVHVVQEFLQSNQLVQLAKNRYGNYVLQAALKEAKKTSGLLHKSLVMKLRSCHNLKDLNHGYGRNILSLIAAPTLPLNKA >OMO94818 pep supercontig:CCACVL1_1.0:contig07797:3587:6667:1 gene:CCACVL1_05779 transcript:OMO94818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNGDVSDGVCSSESVNGSRDVWSCKPSDSLSADHLVVMVHGILGSSSDWKFGAEQFVKSLPDKVVVHCSERNMSRLTLDGVDVMGERLAKEVLDVIQEKPNLRKISFVAHSVGGLVARYAIGKLYRPPTEEVKDDTSGNECKQEPRGTIAGLEAMNFITVASPHLGSRGNRQVPFLFGVTAFEKAASCVIHWIFRRTGRHLFLTDDDEGKPPLLKRMLEDHDDFYFISALRLFKRRVLYANVAYDHIVGWRTSSIRRDSELPKWEESLNEKYPHIVHEEHCKACDSDQYETISTEEDGSSDKIEEELVRGLSRVSWEKVDVSFQSSKQRFAAHSVIQVKDEYMHKEGADVIQHMIDHFLT >OMO94822 pep supercontig:CCACVL1_1.0:contig07797:30410:31249:1 gene:CCACVL1_05783 transcript:OMO94822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVSMCDQFSFSHQNPRSSSFSCLNSCLSETWGDLPLKVDDSEDMIIYNSLHEALNFGWSPTSDSTVETAVKPEPEEEPHIALTPVMEVNPFGIGNNEPPPVRVTAKRREQEAPAPALYNNSSSSSSSPKRRKSLAANKAELERDMGLTVFQLGHQIGLMPVGEQLLVN >OMO94819 pep supercontig:CCACVL1_1.0:contig07797:7865:13645:1 gene:CCACVL1_05780 transcript:OMO94819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSIPSHLSNSKASPLPSTFLSSKSQLPRFPIRHHLSFKSRNSLKKPPLISRQNFAIRAQEVSDQAVDDGFTLDDVPHLTDFLPDLPSYPNPIQKSPGYAIVKQTFVRPEDVVAQTIVVQKDSPRGVHFRRAGPREKVYFKSEDVRACIVTCGGLCPGINTVIREIVCGLHYMYGVEDILGIQGGYRGFYSKNTMALTPKVVSDIHKRGGTFLRTSRGGHDTQKIVDNIQDRGINQVYIIGGDGTQRGAARIYEEVEKRGLQVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEVESVENGVGIVKLMGRYSGFIAMFATLASRDVDCCLIPESPFYLEGKGGLFEFIEERLKENGHIVIVVAEGAGQEYVAQSMHETDEKDASGNRLLLDVGLWLTENIKDHFTKEQKMAINMKYIDPTYMIRAIPSNASDNIYCTLLAQSAVHGAMAGFTGFTVGPVNSRHAYIPISHVSQTRTVKLTDRMWARLLASTNQPSFLNCDQSIGGKVCKDTIDLINDMKITSI >OMO94827 pep supercontig:CCACVL1_1.0:contig07797:46619:48013:-1 gene:CCACVL1_05788 transcript:OMO94827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSLETLQHSDGNLRMNHGNVEIKGNMPSSSLPWNGSLTGVLPSLESSDRVGTLGSSNTASLFPSFDFTQTLMGGYGFGEPDPYFLAPPTWNDPLQNHASFFSIENPFLHPYPEAIAASENLNMGNVESCVGYLQGNRDRIITLAMLEESSKHLQDLILIKDPDITKLIFEGVIDNISQLMTSQYGRYLFQKLVELKDEDMLQRIMDKLTKSDRNIIYNASIDRYGSYSVKKLIKVLQKSPLVSEVVKALANSFWDLMINQTGQYVILECLDILDSQKNDLLYVEAIDKCLKLATDERGCGALNSFIERIKGPRRDQLLEQICEQVVFLSLHQEGNFVVQCVLGLQNPAFIQKICYGLRGYYVKLSMQKRGSHLVEKCLKSSGLVHVVQEFQSNQLVQLAKDRYGNYVLQTALKEVKKASGVLHESLVMKLRSCHDLRDLNHGYGRNILSLMAAPIVPLNRA >OMO94823 pep supercontig:CCACVL1_1.0:contig07797:36056:37845:-1 gene:CCACVL1_05784 transcript:OMO94823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKSVVSDFRVKIIVQIHAPSNEVTLL >OMO94820 pep supercontig:CCACVL1_1.0:contig07797:15867:16577:-1 gene:CCACVL1_05781 transcript:OMO94820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLEESSTLEFIRQHLLGDFASADAFLTSLDFGLSQLHHQPDNLIPELELDSPVSDPSYQIPDFFSFEVKTEVMDLESPTNSITSAGEAAPPATTGRKRRRETRIGLPAGSDVTSPESAELAWEVKEEEGEVDDVDQKSEASALSSKRVMVTC >OMO94821 pep supercontig:CCACVL1_1.0:contig07797:16894:25781:1 gene:CCACVL1_05782 transcript:OMO94821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPCKKKESFPNHVTKAFPHLVNGRLKTRQSLILYITASLEYPKGDKGDNGGTLNIPFISSLSQNPVQFDGVKQTPGVTHSVSFFAGESPSRLLLRPKSFGIIGNKHTLVTENSKFYRYSIS >OMP08704 pep supercontig:CCACVL1_1.0:contig03653:478:591:1 gene:CCACVL1_01102 transcript:OMP08704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFGCVKTAPSQIKGLRPIVKSFDLFLRPVRGNLGS >OMP05947 pep supercontig:CCACVL1_1.0:contig05119:10514:11110:-1 gene:CCACVL1_01780 transcript:OMP05947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allergen V5/Tpx-1-related protein MKPQLLLPFFILLLLCTLPRDNSLANSQSTPSPQTHGKIPDNETIYKTSKQLCWGCIGESLEFLFTQNLVRAAKWELPLAWDFQLEKYAKWWATQRKADCKLQHSFPEDDFKLGENIFWGSGATWRPSDAVRAWSEEEKYYDYASNSCQEGQMCGHYTQIVWKSTRRIGCARVVCDNGDVFMTCNYDPPGNYIGERPY >OMP05950 pep supercontig:CCACVL1_1.0:contig05116:4917:7868:-1 gene:CCACVL1_01778 transcript:OMP05950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFGSSSDQGTSHCYSSTNIPTTPGTSNNCSNNMESSATSSTSVCRSQFSETVSEIVAEGSPDGRILETPNLKVFTFAELKTATKNFKGDTLLGEGGFGRVYKGWVDEKTLAPSKLGSGMIVAIKKLNHESMQGFEEWQSEVNFLGRLSHPNLVKLLGYCWEDKELLLVYEFMQKGSLENHLFRRNPAIEPLSWELRLKIAIGAARGLAFLHTSEKVIYRDFKASNILLDGDYNAKISDFGLAKLGPAGGESHVTTRVMGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEMMTGLRALDTKRPSGQQNLVDWLKPTLSQKRKVKTIMDARIEGQYSSKAAIQAAELTLKCLEQDPKNRPSMKEVMEALERIEALNKDRSKDSSKSGSIHSSTGSGTPRSNRFPLIHSRQRGA >OMP05949 pep supercontig:CCACVL1_1.0:contig05116:988:2130:-1 gene:CCACVL1_01777 transcript:OMP05949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-trans-poly-cis-decaprenylcistransferase-like protein MVNSATTGVGKFGGNGLSRLFGDMASFLRSCLFRVLSVGPIPTHLAFIMDGNRRYAKKHHLKEGDGHKAGYLALMSLLHYCYELGIKYVTVYAFSIENFKRRPDEVHSLMDLMLEKIEGLLMKESIVNQYGIRVHFIGNLKLLSESVRAAAEKVMRVTADNDKAVLLVCVAYTSSDEIVHAVEESCTVKGGEIQLSNSIESFNGMREYVIGGGKINGTVVHDAKKLCDDDRLDIFQELNASRGCNGVNQGYDGENGVATFDLREPFESDGDEVPTVNANKTGEYANVQVEGCENVRNEHPVIKLVDVEKYMYMAVAPDPDILIRSSGETRLSNFLLWQTSHCPLYSPAALWPEIGFWQLVWAILNFQRSHSYLEKRKKQL >OMO50017 pep supercontig:CCACVL1_1.0:contig16327:19348:22102:1 gene:CCACVL1_30688 transcript:OMO50017 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative K(+)/H(+) antiporter MALTANAVMSNQIDGQIFALIVVANVAMASLATPLVDFFYKPLDRLETSSSSNLTTMSLQSTPSIGELRILSCIQKEDDVHGIITLIESNATPMTIVCSYVVHLLELVGRATPVLASYTKSGGHGSTDRVMRAFTNYSKSTDQSITVQPFISIAPYKTMYENVCRLARAKHVALIIVPFRKAEVGEMNSDQFRHFNVQMQTYAMSTVGILVDRGLTCVNSAEFSCKIAVIFLGGADDREALALAIRMSDHPCVKMSVMRIKVGEYRHEDEVENQLDDQLVKEFELKNKTNDSAQCSEVKLKEGIEIFNWIRSLENGNYDLIIVGKRQGSILKLEGDMESWTENPELGTIGDMIGSEDFCGGTVSVLVMQHCVVGGGCSKKRSNSVPDSSKKYRISSFSTILKHYTSTLERN >OMO50019 pep supercontig:CCACVL1_1.0:contig16327:36347:38845:-1 gene:CCACVL1_30690 transcript:OMO50019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PVSSQAWDGVIVTAADFQALQAFKQELIDTKGFLKSWNDSGYGACSGGWAGIKCAQGQVIVIQLPWKGLGGRITEKIGQLQALRKLSLHDNLIGGSIPRALGILPDLRGVQLFNNRISGSIPATLGSCPLLQTLDLSNNSLTGSIPENLANSTKLFRLNLSFNSLSGPVPVSFTRSVSLSFLALQHNNLSGSIPDSWGATQKNSFYQFQYLTLDHNSLSGTIPSSLGKLSELQEVSLSHNLITGPIPNDMGRLSRLRNLDLSNNALNGSFPVSFSNLSSLVVLNLENNDLESQIPESIDSLHNLSVLVLKRNKFSGPIPASVGNISSLTQLDLSENEFSGEIPFSIADLKRLNSLNVSYNNLSGPVPIPLSQKFNSSSFVGNIQLCGYSGSTPCPSPAPSESIPSSPSETSRHKHRKLSTKDIILIAAGALLIILLVLCFILLCILIRRRATTKAKDGQTTSRAAAAASAARGEKGTPVTAGEVEAGGEAGGKLVHFDGPMIFTADDLLCATAEIMGKSTYGTVYKATLEDGSQVAVKRLREKITKGQREFETEVNVLGKIRHQNLLALRAYYMGPKGEKLLVFDYMPKGSLATFLHARGPDTPIDWPTRMRIAKGVSRGLLYLHTQENIIHGNLTSSNVLLDEDTTAKIADFGLSRLMTAAANANVIATAGALGYRAPELSKLKKANTKTDVYSLGVIILELLTGKSPGEAMNGVDLPQWVASIVKEEWTNEVFDLELMRDASSIGDELLNTLKLALHCVDPSPSARPEVQQVLQQLEEIRLETPASSAPSGDDGAGVPSTSE >OMO50023 pep supercontig:CCACVL1_1.0:contig16327:58678:61051:-1 gene:CCACVL1_30694 transcript:OMO50023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQSSVFLNQRNSGVREKKVKNWRGIWSYRLSKKAKYGIEMIGLGNAMMSSLLIDDIKCCYAIVLLVTHLFVIITLLFSIVNVCPADIMLELALQIIVVNLREGA >OMO50021 pep supercontig:CCACVL1_1.0:contig16327:41550:42206:-1 gene:CCACVL1_30692 transcript:OMO50021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenylated rab acceptor PRA1 MANPATIPMSTPQSTAGGGAQSQPPIATPAFRAFLSRFTSSIRQGLSQRRPWYELIDRSAMARPDNLTDAYSRIRKNLSYFKVNYITLLVVVLAFSLLSHPLSLLVLLGLFAAWVFLYLFRPSDQPLVIFGRTFSDRETLGALVVLTVFVVFLTSVGSLLISALLIGVAIVCLHGAFRVPEDLFLDDQEPVNSGFLSFLGGAASSAAAAAAPAVASRV >OMO50014 pep supercontig:CCACVL1_1.0:contig16327:2371:3009:-1 gene:CCACVL1_30685 transcript:OMO50014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MPSSLQLHRAVSPTATIGCHQKQAQPTPTATLTRFFPLPCDVSVPDTVATYHIHTVATNQCCSAVVQSIDAPVETVWSVVRRFDNPQAYKHFLKSCQVIVGDGDVGTLREVHVISGLPAACSTERLDILDDERHVLSFSVVGGDHRLNNYRSVTTLHASPNGKGTVVVESFVVDIPPGNTRDETCTFVDTIVRCNLQSLAQMAENMARRDQK >OMO50022 pep supercontig:CCACVL1_1.0:contig16327:44917:54000:1 gene:CCACVL1_30693 transcript:OMO50022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVEVDYVDGWRYNSGIKVRMRRKKSHGREKESKTKN >OMO50015 pep supercontig:CCACVL1_1.0:contig16327:10031:15427:-1 gene:CCACVL1_30686 transcript:OMO50015 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MRKRHLYHSKHPFNEYAFEVPYYGSWQAVELIRIKNGVMTLHMMDDQYLSARQPFSDLRVRSRQSTLSDCTCYLRPGVDVCVLSSSQLIGSPDEENQEPVWLDAKIRSIERKPHDSQCSCQFYVNFYVNQGPLGCEKAILSKETEAVGLNQISILQRLEKEPCEDQHYRWGFTEDCSERRRTKMFLGRFLTDISWLLVTSVLKQIAFDVRSVQNKIVYQILSEDDSSPLISDNLLHAVKFKVEDNISVSTVVQFDPLENNVYRAVSSAADEIRQLPVYDAMNLRRSKRRNMQPERFVGGDNPPETESSWFRAEPPMRLTEWSEEEEEPEEEDMDLPLSLWPKKEKCMFGMNASPSEEHTQGEKTDVLCKSKNTSRERKSPFTTPRKSSVNNPRKNRHQLSIVPVSSETSPLAFDPSHFSQLPGSYPEEVQDVSLNYYSVRRNHATQRKKVPALDFMDYENMWKARPLPKKGKSKSRSSARSRRDNYDEPITYRRTPLSAGAFTKLINSYMKNIDSTFRKDDPHIIDQWNQFKEAKSAEMSKKKEAEEPAVEDDGETSETEILWREMELCLASLYFEDDEARVSAESFRKSSSNCQHEFKLDEEIGLLCRICGFVSTEIQHVSAPFLEHKSYITGSKVVTEGEPDNKTEGSEGLDLFFNYTSSDSRISEENDNVWALIPELRKQLHFHQKKAFEFLWKNIAGSLIPAEMEKASKTTGGCVVSHTPGAGKSFLIIAFLASFLKLFPGRRPLILAPKTTLYTWHKEFKKWKTGVQHYLIHGRRTYRVFKQKSAARYQRGPRPSQDIMHILDSLEKIQKWHAEPSVLVMGYTSFLTLMREDSKFEHRKLMAKVLRESPGILVLDEGHNPRSTKSRLRKVLMKVETDHRILLSGTLFQNNFCEYYNTLCLARPRFMHEVLKKLDPKFRKKKNRLDKARHLLENRARKFFLDNIGRKIDSSEGEERIQGLNMLRNITNGFIDVYEGGNADTLPGLQIYTLMMNSTDIQHETLVKLHNIMAGYSGYPLELELLITLASIHPSLVRTSNCVNKFFSPEELMALEEIKFDFRKGSKVMFVLNLVYRIIKKEKILIFCHNIAPINLFLELFERVFRFQRGREVLVLTGDLELFDRGTVMDRFDQPGHPSRILLASITACAEGISLTAASRVILLDSEWNPSKTKQAIARAFRPGQQKVVYVYQLLATGTLEEDKYRRTTWKEWVSSMIFSEAFVEDPSRWQAEKIEDDVLREIVAEDKVKSFHMIMKNEKASTG >OMO50016 pep supercontig:CCACVL1_1.0:contig16327:18666:18862:1 gene:CCACVL1_30687 transcript:OMO50016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSLYDKKIVCMILLDIILASKALETA >OMO50020 pep supercontig:CCACVL1_1.0:contig16327:40081:40215:1 gene:CCACVL1_30691 transcript:OMO50020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQLQFNHRPMDLHVRPKYGKIAKRRMGNGPPWSMAQALQVNQ >OMO50018 pep supercontig:CCACVL1_1.0:contig16327:26787:27455:1 gene:CCACVL1_30689 transcript:OMO50018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTKSEKQLPYSYSSLQVETSHTHQTSTFDVWSMIRVLALILVFALFALLALILYICLVSATFDPQPVTMNPTSFSLSNFSVNWEADFTFGCQDCDADETEYYDIQVNINYNDKDDIAFSKVFIEPFQLGTNEQKKVHAKFGNDLGILKDGKKMDWKPLHMTMELKPMLSYKLMLMGPVWIPSGIVMDSHCWDLFLGVILDTGGGKLILSSPIECPQPKY >OMO99151 pep supercontig:CCACVL1_1.0:contig06949:12856:16337:1 gene:CCACVL1_03907 transcript:OMO99151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MGIDEEKSEGEGKVWRLCKMPFWQTGNGSSSSSSSSSSIGNNNVYQQSQSNQLGERSTVTSSNAVSSMAKSLLPTRRRLKLDPANKLYFPYEPGKQVRSAIGIKNTSKSNVAFKFQTTAPKSCYMRPPGGILAPGESLIATVFKFVEPPENNEKPIDQKSRVKFKIMSLKVKGETDYVPELFDEQKDQVAVEQILRVVFLDPERSCPPLEKLKRQLAEAEAALEARKKPPEDAGPRIVGEGLVIDEWKERRERYLARQQVEGVDSA >OMO99150 pep supercontig:CCACVL1_1.0:contig06949:7961:8215:1 gene:CCACVL1_03906 transcript:OMO99150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWKKKALAVAGTVSVTAVEVAHEKKLCRWSSAKQQHHPQAAINSVGSSSSSSSTSSKMASKRKDNQSEESLRTIMYLSCWGPN >OMO99148 pep supercontig:CCACVL1_1.0:contig06949:2661:2936:1 gene:CCACVL1_03904 transcript:OMO99148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSRAWIVATSIGAVEALKDQGICRWNYTLRSAAQHAKNHMRSVSQAKKLSSQSSAAISNGVIQSWEEKSKQSEESLRNVMYLSCWGPN >OMO99149 pep supercontig:CCACVL1_1.0:contig06949:6204:6479:1 gene:CCACVL1_03905 transcript:OMO99149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSRAWMVAASIGAVEALKDQGICRWNYILRSAAHHAKNHMRSVSQAKKLSTQSSAAISNGVIQSWEEKSKQSEESLRKVMYLSCWGPN >OMO59027 pep supercontig:CCACVL1_1.0:contig14063:332:14465:1 gene:CCACVL1_25151 transcript:OMO59027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIANVKKRHSLGNGPLGQEHGLVKTRQTMEAIRVYQLI >OMO59843 pep supercontig:CCACVL1_1.0:contig13863:50014:58827:-1 gene:CCACVL1_24578 transcript:OMO59843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MEFVGKSVKKKSKGFGVFSGTVNSFDSSSGFFEIVYEDGDSEELNFHEVASLIMADDPNPTYETRTEPGVEVVREKPRVGRPRKRRRVDRKARAGNLEKETLESNRNGDLNRNVDLNEGFVGNLEENGGFNGNLNETLDEKGVGSRRDLNLNLDNGNVEVKNGIDLNSSGFDLNLNDTYYNNNYLDDAGNCCGGGENLKKRGCIDLNLDANCDLEDNINVNCETQRRECGFDLNLGIDEEIGKDSIDGNCGGQVQVRESTTFAEIAQVTPKMERSHLEENVSKKDLREDRSDLGFIGGNLEKGGGLHLDVVKADDSRGGGFEGVPEPGTAVIDGCQADNGSSYKQASGRRKRRKVSNDLDSTTERVLRRSARRGSAINHVPSTPLTTTTCAIGDLSASPSASAVTEEKPVRSGRKVCEEPIVLPPKLQLPPSSKNLNLDGISVLDIFSIYALLRSFSTLLFLSPFELEDFVAAMKCQSPSSLFDCIHVSILQTLKKHLEYLSNEGSESASECLRSLNWSFLDSITWPIFMVEYLLFNGSGLKCGFDLSRLKLFRTDYYKQPVTVKVEILQCLCDDMIEVEAIRSELNRRSLASESDMDFDRNMNIEVCKKRKAAMDMSGGSGLCEDMVDDTNDWNSDDCCLCKMDGNLICCDGCPAAYHSKCVGVVSALLPEGDWYCPECAIDKHKPWMKPHKSPRGAELLGIDPHGRLYYNSSGYLLVLDSCDAEYSLNYYHRDDLNVVIDVLKSSNVMYGDIIKAIHKQWDLAVSSNGASSNLDSLNSVCSEVLVKEQIPTVKTTLPAVASAEICAMKNETGDDGKPEEKGVAENSGLFDVEVTESANLMDSVAGIDIPYISSEGSAETMQMSSVIHNFQKQGSVELSNQSEIPEKSSNVEDHSLVSSSLDTKQESNIKLAPQHTPCLVNTKRGDSGQRQPGTGYMNYYSFAQNAAGVVEELLRKPSEKTNEDSLQTLEEIIAMQMKVILKKCSKFCWTDIHNQYAHARKEDCGWCFSCRYPTDDTGCLFKITSCGAQEVPKEMLGLESKWNKKGHVIDLMCHIFSIESRLHGLLLGPWLNPQYIKIWHKSILKASDITSVKHLLLMLEANLHHLALSADWVKHIDSAVTMGSACHVMTASSRASARHGVARKRGRYNDGESNPTSNSAAGPSICWWRGGRVSRQLFNWKVLPRSLASKAARQGGGKKIPGILYPESSDFAKRSKSVAWRAAVDSSTSVEQLAFQVRELDSNIRWDDIENTHALPTLDKDFKKSIRLFKKCVVRRKSIEGDGVKYLLDFGKRRSIPEVVMKYGTVVEESSSERKKYWLNESYVPLHLLKSFEEKRIDRKSSKMVSGKSPEISGVAKKLSKERGFSYLFSKAERSEYYQCGHCNKDVLIREAVCCQGCKGFFHKRHVRKSAGAIISECTYTCHRCQAGKSSVNAKRGGSDPKRGKRETKGAKTNTKNAKRLPQKSKKASTNCKSVQSKDNKRSLSVRMSLRPRKDKKVPAGVPLRRSPRKLKYISVQKKKPGVSKKGKQKSKKKAPKKTKKSTSWKKKRTSAYHSYWLNGLRLSHKPDDERVMHFQRKKFFAPSDHTAINQPKCLLCCEAGYASNSNYLACEICEEWFHGDAYGLNSENKSKIFGFRCHVCRNRAPPICPNMVAKGIDQSQLAEMQNGIRTEFSEEVHGALVSQCHVNSKTESPSSDTRQGSLAADECLQKEEKLDSNCDGSQSSALEHKLESNGTVHDEKQNIDAQKNSDNELKPYTLTSDEKVMLEESRTNSGNDVTATAADEAECPSH >OMO59840 pep supercontig:CCACVL1_1.0:contig13863:35715:36920:-1 gene:CCACVL1_24575 transcript:OMO59840 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 2 MPLMIKLNPITSHSQNLPYFVPKPVITSCLISSKFFMPSKFPSNYKLQADNLKKPFMPHGKVLVQNSHPIPQEKIEIFKSMETWVESNILTFLKPVEKCWQPQDFLPDASTDGFDEQVKELRRRANEIPDDYFVSLVGDMITEEALPTYQTLLNTSDGIRDETAASLNSWAIWNRAWTAEENRHGDLLNKYLYLCGKVDMKQVEKTIQYLIGSGMYVGIEKNPYLTFIYTSFQERATFISHGNTARLAMEHGDSKLAQICGIIAADEKRHELAYSKILEKLFEIDPDGTVVAFGEMMRKKITMPAHLMYDGHDPDIFDHFSAAAQRLGVYTANDYADILDFLVNRWKVKELTGLSAEGRKAQEYVCQLGPRIRRLEERAQVRSKEAPSIPFSWIFGREVKL >OMO59847 pep supercontig:CCACVL1_1.0:contig13863:86542:87282:-1 gene:CCACVL1_24582 transcript:OMO59847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEDSGNDEDYGSGDPTMGFEPGNASPNNRTIYFFENDIHPGKKMKLDQLTKAINEARFLSRQVADSMPFSKDEFPAILEQFSLKPESAEAKAINRTITNCERRTIRGEDMYCAKSMESFVELGVSKLGNKNIKVLSSEVEIRDMGNSEFTIVDEGLEMVGEKEIVCHKEKYPYAVFLCHSLDKSAVYKVPLVGEDGSKIKALAVCHRDTSAWNPMHMAFYILKVKPGEVPICHFLMREDLVWIQN >OMO59848 pep supercontig:CCACVL1_1.0:contig13863:108727:110512:-1 gene:CCACVL1_24583 transcript:OMO59848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASHFLLIFALFNLLFAGNHGALYNEEGYWNSVFPNAPMPKALKDLLSPADEGNVPFTKWVDDDEDPTKNFVPDYLYVGDSTFLKEFDTRPNSFINPDSEEVPFSKWIDDEQVPTGNNLNSINKDDGNPDETPDRFSKIPATFYEGDSTKESKNHHDDYAALDKYSMINSIYFLQKDLRAGTKANLPFFVKQAMETKKFLPYTVAESMPFSSDKFPEILKHYSVKAGSMEAKAMNVTVRNCARASSYSIGEDKYCATSFKSFIDMGVTKLGKNIKLLACEIEDGTNSPLFTIGNGVRIMGKKEIVCHSMPYPYVVFLCHSIENTVVYRVPLLGIDGGKKLALALAVCHLDTSGWDHNHPSFRILKIEPGTLPVCHFLYPDTVVWVPSEMPKDH >OMO59837 pep supercontig:CCACVL1_1.0:contig13863:157:240:-1 gene:CCACVL1_24572 transcript:OMO59837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVNDYHQGNTQVATTGTKPAAPSAKT >OMO59844 pep supercontig:CCACVL1_1.0:contig13863:62585:72018:-1 gene:CCACVL1_24579 transcript:OMO59844 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G-like, type 3 MSSWKSLLLRIGDKCSEYSSSSEFKDHIETCYGALRRELEHSSNDIFPFLLQCAEQLPHKIPLYGTVVGLLNLEDEEFVKKLVENIQASFQDALDSGDCDRIRILMRFLTVLMCSKALQPASLIVVFETLLSSAATTVDEEKGNPSWQACADFYVTCILSCLPWGGAELVEQVPEEIERVMAGVQAYLSIRRHNSDAGLSFFEDDESKGDLVEKDFLEDLWERIQVLSSNGWKVESVPRPHLSFEAQLVAGKSHEFGAISCPEQPDQPSTISAVASGKQKHDAELKYTQRSRRLNIFPASKFEDIQPIDRFVVEEYLLDVLLFLNGCRKECASFMVGLPVPFRYEYLMAETIFSQLLLLPQPPFKPIYYTLVIMDLCKALPGAFPAVVAGAVRALFDKIADLDMECRTRLILWFSHHLSNFQFIWPWEEWAYVLDLPKWAPQRVFVQEVLEREVRLSYWDKIKQSIENAPALEELLPPKGGPNFKYGVEDGREKTELHALSAELSSKVKGRQTSREIVPWIEESIYPVHGPEVTLSVVVQTLLDIGSKSFTHLITVLERYGQVIAKICPDQDKQVMLIAEVGSYWRNNAQMTAIAIDRMMGYRLISNLAIVRWVFSPENIEQFHISDRPWEVLRNAVSKTYNRITDLRKEILSLKKGVISAEEATAKAKAELEAAESKLSLVEGEPVLGENPARLKRLKTQAEKANEGEVSIRDSLEAKEALLARASEEIEVLFLSLYKNFSNVLKERLPDASRAGTLQALKSINGDSMAVDLEESSAMEVDDENGRPKKSQSNGGKASNIYNVRENEQWCLSTLGYVKAFSRQYASEIWPHVEKLDAEVLTEDVHPLFRKAVYSGLRRLSN >OMO59845 pep supercontig:CCACVL1_1.0:contig13863:80348:83376:1 gene:CCACVL1_24580 transcript:OMO59845 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiE/COQ5 methyltransferase MVGHVFDSVALKYDLMNDIMSAGLHRLWKDRLVSKLNPFPGMKHIDMAGGTGDVAFRILDAINRIESKPMHHAGDDLQEEAQIYVCDINPNMLHVGKKRATERGLGEDKSLFWLEEDAESLRFGDNSMDGYTIAFGIRNVTHMEKALAEAYRVLKPGGRFLCLELNRVEIPVIRELYDLYSFTVVPAVGKLVTGDRDSYQYLIESIRRFPPQETFASIIEEVGFKNVEYENILGGVVAIHSGWKL >OMO59839 pep supercontig:CCACVL1_1.0:contig13863:30997:34842:1 gene:CCACVL1_24574 transcript:OMO59839 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MACVKLGSKNDAFQRQGQAWFCTTGLPSDIVVEVGEMSFHLHKFPLLSRSGVMERLIADASEEGEEKCSIELPEIPGGAKTFELVAKFCYGVKLELTASNVVYLRCAAEHLEMTEEYGEGNLITQTETFLNQVVLRSWKDSLRALQTCDDIISHADDLNITKRCIESLAMKGSTDPNLFGWPMMEHGGPMQSPGGSVLWNGISTGARPKNTSSDWWYEDASTLSLPLYKRLIAVMESRGIRQEIISGSLTFYAKKYLPGLNRRQGANDSNSSARLGPVALGAAPSEEDQKLLLEEIDRLLPIQKGLAPTKFLFGLLKTAMILKASPSCISNFEKRIGMQLDQATLEDLLMPNFSYSMETLYNVDCVQRILEHFLAMDQITGGASPSSVDDGQIIGSPSLTPVTMVAKLIDGYLAEVAPDVNLKLPKFQALAASVPDYARPLDDGLYRAIDIYLKSHPWLSESDREQLCRLMDCQKLSLEACTHAAQNERLPLRIVVQVLFFEQLQLRTSIAGCFLVSDNLDGSRQLRSGFAGSTEGGWASAVRENQVLKVGMDNMRMRVSELEKECSTMRQEIEKLGKVKGSSTWGNVSKKFGFKLKSQMCSAQEGSVSKQNSRSGSEKLEKVKDRRGKHKKSLTPDE >OMO59838 pep supercontig:CCACVL1_1.0:contig13863:1093:5843:-1 gene:CCACVL1_24573 transcript:OMO59838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRRNIGEQEIAEYIARKAEKAMRAARFGDSNPNQKFVWKKKIERDVSRGVPLDAFSVKAEKKRQRERMAEIEKLKKRREERAIEKAQLLQVNLESQQQQDKQQRLIKPKYLNRVHTGYEWNKYNRTHYDHDNPPPKFVQGYKFNIFYPDLVDKGKAPKCIVAEEDGGETCILRFEAGLPYEDIAFRIVNKEWETSQKKGYKCAFQGGILPAEELIQHLKNENEKLHAEVNDLRNEVASVMSSKDNQCAEYQKLLIEENEKYKALSEEVTRLQNLHQEEHVKGGKGDNITEISPGSAQVASVKISGCSTRKRSRNSGTETEDDIVTPPLADHNIAMPECCKGSLDANATASGTCLFQALIECLLGMKICTVDQTEGQCISALHQSSGYSFSLTWIKKAGGEDSELLYRASSLGTFERVAPEWMRDVIMFSTNMCPIFFERVARVIKLHC >OMO59841 pep supercontig:CCACVL1_1.0:contig13863:38542:38718:-1 gene:CCACVL1_24576 transcript:OMO59841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34Ae MVQQLIYRTRHSYATKSNQRRIVKSPTSAASSNLLVESLFTRPPKRELADPNAHLLER >OMO59846 pep supercontig:CCACVL1_1.0:contig13863:84837:85855:1 gene:CCACVL1_24581 transcript:OMO59846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MAKTLSIVMLCFCLMLVLLGEIQASSPASQKQGQGSNHANGMYGATQGSLRPQECNPRCTTRCSATAFKKPCMFFCQKCCAKCLCVPPGTYGNKQYCPCYNNWKTKRGGPKCP >OMO59842 pep supercontig:CCACVL1_1.0:contig13863:40301:47509:-1 gene:CCACVL1_24577 transcript:OMO59842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium chelatase, ChlI subunit MAFSPTASTCSSLSLSQLQSSSLILKPYPFLFFPSSSRLNPRKPFQSQKITRFRSIVASSNATVDSSNGALLASEDPSSNYGRQFFPLAAVVGQDAIKTALLLGAIDREVGGIAISGRRGTAKTVMARGLHAILPPIDVVVGSIANADPSCPEEWEDGLAQRAEYDSEGKVKSQVVRSPFVQIPLGVTEDRLIGSVDVDESVKMGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFKHPCKPLLIATYNPEEGAVREHLLDRIAINLSADLPISFEDRVAAVGIATQFQEASKEVFKMVEEETELAKTQIILAREYLKDVTISRDQLKYLVMEALRGGCQGHRAELYAARVAKCLAALEGREKVGVDDLKKAVELVILPRSIINENPPDQQNQQPPPPPPPPQNEDSGEEQNEEEDQEDESDQENEQQEQIPEEFIFDAEGGLVDEKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVKRLAVDATLRAAAPYQKLRREKDIQKTRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDAAEVLLPPSRSIAMARKRLERLPCGGGSPLAHGLSMAVRVGLNAEKSGDVGRVMIVAITDGRANISLKRSTDPEAAAADTPAPRPSAQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDSVISAATKEALSALKSS >OMO88564 pep supercontig:CCACVL1_1.0:contig08990:154:4242:-1 gene:CCACVL1_08311 transcript:OMO88564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLCAVHLKNANSGNPAAGVLSLKRNQIPEKPNSWNFGKFGVFEIRVSRLCLSSSFSVKAMAKKNNNHDNSSSSSSGNGDESIPDRDSSRRNNSSDSNKSDDSASQKSHHASTDWREFRAILYNNYQVEKAESDVHKQGGTPHVSKPLGSKWAHPLSVPETGCVLVATEKLDGVRTFERTVVLLLRSGTRHPQEGPFGVVINRPLHKKIKQMKPTNNELATTFSDCSLHFGGPLEASMFLLRVGKKSKFPGFEEVIPGLCFGARNTLDEAAELVKRGVLKSQDFKFFVGYAGWQLDQLREEIESDYWYVAACSPNLIFGDSSDSSSESLWKEILQEMGGHYSELSRKPKQDI >OMP00045 pep supercontig:CCACVL1_1.0:contig06734:1591:3663:1 gene:CCACVL1_03475 transcript:OMP00045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MAFNIGSGQEGGENPFGPDGGRRTIREMVPAMFVFGDSLIDNGNNNDLPSFAKANYFPYGIDFNGGPTGRFSNGYTMVDEIASLLGLPLIPAYSEASGDDVLHGVNYASAAAGILDITGRNFVGRIPFDQQIRNFQSTLDQITDNLGAIDAAQALAKCIFFVGMGSNDYLNNYLMPNYQTKNQYNALQYADLLVQQYTRQLRTLYNLGARKFVIAGLGRMGCIPSILAQSTQGICSEEVNQLVLPFNTNVKAMLNTLNSNLPGARFIYIDIAHLFEDLITNSRTYGLSVVNRGCCGIGRNRGQITCLPFQTPCPNRDQYVFWDAFHPTEKVNIIMARKAFNGDTTVVYPMNIQQLATIDIEPN >OMP00046 pep supercontig:CCACVL1_1.0:contig06734:7995:13051:-1 gene:CCACVL1_03476 transcript:OMP00046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MVALLLMLLGVNFASGAAGIRDETGDNLGAHTSMNGQVSNFASTVQQMSRLFRGDPNALSTYLSKCIYYSGLGSNDYLNNYFMPNFYTTSSDFTPKAYAAALIQDYTRQLTQLHSLGARKVIVTAVGPIGCIPYQLARYHGNSSRCNENINKAIILFNTELRKVVDRFNAGQLQGAKFVYLDSYKSSIDLYQNATASAKEEKDYEIVWGDELPKAR >OMP00047 pep supercontig:CCACVL1_1.0:contig06734:14428:15834:-1 gene:CCACVL1_03477 transcript:OMP00047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19e MEAAKTVKDVSPHEFVKAYAAHLKRSGKIELPTWNDIVKTGKLKELPPYDPDWYYVRAASMARKIYLRGGLGVGAFRRIYGGNKRNGSRPRHFCKSSGSVARHILQQLQNVNIIDIDPKGGRRITSNGQRDLDQVAGRVAVAL >OMP11870 pep supercontig:CCACVL1_1.0:contig00755:9549:11145:-1 gene:CCACVL1_00232 transcript:OMP11870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKDGNNHVKDTTAWTLSRIFELLHSPASGFSVISPENLKRVIGVLLESINDAPNVAEKVCGAIYYLVQGYEDAGPSSSLFSPYLTDIISCLIATADRTDGGDSKLRSSAYETLNEVVRCSNIAETSPIIAQLLPVIMNKLGQTVEIQIISSDDREKQGDLQASLCGVLQVIIQKLSSTDETKNIILQAADQIMILFLKVFGCRSSTVHEEAMLAIGALAYATGPQFEKYMPEFYKYLEMGLQNFEEYQVCAITVGVVGDICRALDDKILPYCDGIMGLLLKDLASSELHRSVKPPIFSCFGDIGLAIGEHFEKYVPYALPMMQGAAEICAQMDTADEEMVDYGNQLRRSIFEAYSGILQGFKCVKPDVMMPYAQHLLKFIELVSRDRERDESVTKAAVAVMGDLADALGSNTNTKLLFKDCAFCNDFLGECLQSDDEQLKETASWTQGMIGRVMVS >OMO66244 pep supercontig:CCACVL1_1.0:contig12566:2910:8926:-1 gene:CCACVL1_21247 transcript:OMO66244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTHFPYSPDRSWLLPVLIISIISVTFLLALTFTHGKSSSSDTDDDDFSFHKPKFSFSERDYRRLPKLPRFAYLISGTKGDGPSIRRLLQAVYHPRNYYVLHLDLEASDSERLELAKYVKSEGVIREFGNVMVIGKADLVTYKGPTMIASTLHAVAILLKEAKDWDWFLNLSASDYPLMSQDDMVHIFSYLPRDLNFLEHTSSIGWKEYQRGRPIIIDPGLYHTKKSGVFWAKEKRSLPASFKLFMGSEWVLLTKSFLEFCIWGWDNLPRTLLMYYTNFLSSPEGYFHTVICNHKDYQNTTVNHDLHYIKWDNPPKQQPVTLTLEHFNDMVQSGAPFARRFAKDDPVLNKIDKELLRRSHGQFTPGGWCIGGSFSDVLSSLSGLESRDKNRDLSLEIRLFTISTNTFRMMDDPQFEDSPSSEQSLVTTPTNASTSGSTCGINQSAVKQKNDNADGGTKKKVSRMPGGGLRQFSLMVCKKLESKGITTYNEVADEIIEEFATAQSSTPGSLEEFHEKNVRRRVYDALNVLMAMDIITKEKKEIRWKGLSTTTQASDLNELKAQHIRLMAGISKKAAYLKELEEQVAGMENIIQRNQKLVKNDGTPKEGFTLPFILVQTSPNATVEIEISEDMRLVHIDFN >OMO66245 pep supercontig:CCACVL1_1.0:contig12566:13033:15401:-1 gene:CCACVL1_21248 transcript:OMO66245 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RING-H2 finger protein ATL2J MDQDQQGRPGVFKFSAILVGLLGVIAGAIMVALYHLVTTFCTCHRRPSSLNSVTNTRQNVQNNPQETSINRNTWLNLHSNCPLCRADTSSAPGRQVALSMYLPEYSNTSSAPDNATNTSSSPPPFPFSSQIYPIDQNGTYSTIITLNPPPPFPDAPRSVDLSPLEFILALMAVVTIPAIIYAFFFAVKCPVWVSRERPESPPELPRSSQGSAAVEVVDRRRDPVSGVKYQKETHSKDIGIECPVEIVIFIKVCQMQQI >OMO66243 pep supercontig:CCACVL1_1.0:contig12566:178:815:-1 gene:CCACVL1_21246 transcript:OMO66243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MVFTSIPAYLDPANWQQHPNHQVGVTSGVNTHQLPPPPPPPPPPQPHGGGGGGAGSIRPGSMADRARMANIPMPETALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKAGSSSKSPVSGDRQTASGSSGA >OMO53024 pep supercontig:CCACVL1_1.0:contig15360:31518:33236:-1 gene:CCACVL1_28943 transcript:OMO53024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPMIYVTQMNVSLHSAAAVAAELHAESSPIHSTRIYGPPIRFTECI >OMO53020 pep supercontig:CCACVL1_1.0:contig15360:23290:25553:-1 gene:CCACVL1_28939 transcript:OMO53020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLKPIAKLSFIGQIAKLSKYYLGAEASPKAFVTVATPLQASCQFWFNSFIFHINWSQCKKDEDSPENVKEAADSVKKAAQSISEQVKKVSDKVNETADVVKAKATEFLTFGAAKIATEVVKKKAEMKDKKKAEEKEKGKK >OMO53023 pep supercontig:CCACVL1_1.0:contig15360:30554:31036:1 gene:CCACVL1_28942 transcript:OMO53023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSAIFLLVLVAVHASHARNVPNDVGLDSQQKMVADSPKEQTLEAGIAPKAESPKGNGVDDKKNFIYGGVGGFAGVGGFGGVVGGLPLIGGLGGIGKYGGIGGAAGLGGYSGLGGLGAGVGGVGGAAGGVGGLGGGAGLGGGSGIGGVPGGSGILPSP >OMO53019 pep supercontig:CCACVL1_1.0:contig15360:5496:7876:-1 gene:CCACVL1_28938 transcript:OMO53019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ham1-like protein MATVAANAVFLSRPVTFVTGNAKKLEEVKDILGQSIPFQSLKLDLPELQGEPEEISKEKARLAALQVRGPVLVEDTCLCFNALKGLPGPYMYNCRELVKMDRVEFTILDFWYYYFDIGELNLVRSCKWFLQKIGHEGLNNLLMAYEDKSAYALCAFSFALGPDAEPITFLGKTPGKIVPARGPNDFGWDPIFQPDGYDQTYAEMPKEEKNKISHRYRALAMVKSHFAEAGYKFSAS >OMO53021 pep supercontig:CCACVL1_1.0:contig15360:26123:27651:-1 gene:CCACVL1_28940 transcript:OMO53021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDAGNHKNQLFWAEEVDDEVEVDDGENGDELKAGNPNQLCWVDEVDDEEYGDETEPGIYDVDDEEYGRDELKPFQNPFVNYRTVPTNTGNANKNKLCWADEVDDDGDELEVDLSSLLPPREIIGPDEKGIKKVIEYKFNEAGQKVKVTSTYRVTKTVTKEYKRVAERRSWAKFGDAVDEDVGSRLTVVSTEDVFLERPRVAGEEELELKAKTEEKKGGFLMVCRTCGKKGDHFTAKCPYKDLIGASSNNHDQRPSSSDGTVASSSKATGGGGGSTYVPPNQRQGAKLSGADMKRRNEENSIRVSNLSEDAQESDLRELFSPFGQITRVYVAPDRNTGLNRGFAFISFARKEDAEKAINMLDGYGYDSLILKVEWSQPRSK >OMO53022 pep supercontig:CCACVL1_1.0:contig15360:28241:28988:-1 gene:CCACVL1_28941 transcript:OMO53022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRYFSCFARLLIVLIALILFFSLFAR >OMO64558 pep supercontig:CCACVL1_1.0:contig12801:83044:89076:1 gene:CCACVL1_21676 transcript:OMO64558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEALEVMWKKCTLTEEEKCDVVVDPKLIAETLDEGKACLIGKLLSRQAVNIEVMRNILHWVWMLTGGLQIQVVGDKLVVFQFEKEIEKDRVFQQWSFNKALLVLVIGTSMGVVEEVDTCGEKVAWGPFLRIRVQLKVHKPLRRGMVLTVPDVGKLLISFRYERLPDFCYVCGCLDYIENECEKTVIMRREIGKVVKEYGPWLRAEVPHSNLSKFDGPRVSETMGNSQSLGHHRQHQVPIEGSRSESVEKGNGKAIVDGAPEDNLGFVENLNVELSAKAVLSDGDQGGSKSRRIDRVSNLPNIGGEGDEVISNLKLGQGDGRMGGIGPIKEHGNIGPDGKPVFQFNSAASQSNSVHCRKWKREARAGGGRPNSTHSSNKVFSSTKRGSANKGSKLNAKKSRDGGSVASESISNFNESLSAEVGSMDVTILSYSSSHIDVQIKEDSESVPWRFTGFYGNPDTSRRVDSWCLLRQLHGSNSMPWAIGGDFNEILSCDEKVGGPPRPSWQVQNFRDAIDDCDLDLLPVVGPEMTLRRGRGENAVFERLDRHDLSLLESWLAELAELEKCYEIYWRQRAKQLWIKEGDRNTSFFHKFASNRKAENSVLRIQGLDGIWKTDQEEIEGIFVAYFEKLFTSSRAHMLVDFIEVISTRLSAEQVIVLEKPWTRREIWEALKQMNPTKAPGPNGMTAMFYQKYWDIVGNEVLANRLKQILPDIISENQSAFVPGRLIFDNALVAYETVHKLKSKKCGKEGYMALKLDMRLSYLLNSADAQGIVQGVAVCRAAPRVAHLLFANDSLLFANDSLLFARANILEADNIMELLRQYEVASGQCVNIDKSTIYFSNDTDMARREVIKQRLGVSRMLAGDRYLGLPILIGREKKLHFQHIKDRIWKRIKSWHGKLLSVAGRGVLIQAVAQAIPVYAMSCFLFPKSFVHELNMMLAKFWWRHGKDNHGLHWANWESLCTSKLDGGLGFRDFEAFNLAFLAKQGWRFHHNTQTLCYRVFKAKYFPHCSFMEASLSSNPSYVWRSILAGRRVLKGGCRWRIGDGSSVRIIEDNWIPTLPHGQPSSICSTQDQFPCVFVVDLIDHEDRCWKEDILNELFRPNEVEAIMDIRLSMERADDKLIWVDSRVGVFTVRSAYHIARACLERELFDMETRNPIWKIIWTSKLIRKIRLFVAEFEEGIFNVNGERRVIKVPNASSWLPPPPGHIKLNCDAAVNMRDGTAKLGVVFRDDKGEVILAGGFSNIVAETNSLSAVDEIHKGRDSLWQEASLIYVTVARLSSFDFISFVHVKREPNYLAHNIAKFECDLGAQRVWVGYIPSAIFKNQAIPRSFLSERDINGDGSAKRFRVQEIRQLKLLIPLLEETKEFDKSVSGLALNYRMSGEYQIKANCPAYVSFSDGIKATQVGLAMKYQLVQR >OMO64557 pep supercontig:CCACVL1_1.0:contig12801:29999:31181:1 gene:CCACVL1_21675 transcript:OMO64557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLPFEDRQRGESSPAVGIFEVKEGGGQRMSRGRGA >OMO64556 pep supercontig:CCACVL1_1.0:contig12801:20412:28219:1 gene:CCACVL1_21674 transcript:OMO64556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRFHLSQLLFSVSVPAVSSPHPIQTHFVGVSGSRRRFGLSLGGVLLWDEWSNKV >OMO64554 pep supercontig:CCACVL1_1.0:contig12801:749:2833:1 gene:CCACVL1_21672 transcript:OMO64554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIAGRRFSSLPWRSSATLSRNNLPNDGVGLASDSPSVSRSVPSLSPFSFNSQLPHLIRGFSSEALTPGHDMGMIPGAPATSVAIKNPTSKIVYDEYNHERYPPGDPSKRAFAYFVLTGGRFVYASLIRLLVLKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEEDINLANSVDVASLRDPQEDAVRVKNPEWLIVIGVCTHLGCIPLPNAGDYGGWFCPCHGSHYDVSGRIRKGPAPYNLEVPTYSFLEENKLLIG >OMO64555 pep supercontig:CCACVL1_1.0:contig12801:17901:20071:-1 gene:CCACVL1_21673 transcript:OMO64555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellular retinaldehyde binding/alpha-tocopherol transport MRALVEREDPSAKDEDDFMIRRFLRARDLDIEKASNMFRKYLSWKKSFMPKGFISESEISTQLPHNKLCIQGIDKQNRPVVVAFGARHKPSKSNLEEFKRFVVYSLEKICARMPKGEEKFVAIADLEDWGYTNSDVRAYMASLTLLQDCYPERLGKLFIVHCPYIFMTAWKAIYPFIDKNTKKKIVFVENKKLTSTLLKDIDESQLPDIYGGKLPLVPIQDC >OMP04293 pep supercontig:CCACVL1_1.0:contig05764:8943:9558:1 gene:CCACVL1_02171 transcript:OMP04293 gene_biotype:protein_coding transcript_biotype:protein_coding description:keratin, type I cuticular Ha4 MNWDARNLREVVTAMEVELGWEREMTMVMEESLWDDEMALQQKLQQHSTLMADKERMLADIETKEKFIVDFMVFIEAVENKDLEKAQQFDEKEMMDAVVTSMTNGQGNYGGNCGGFDCFFGTEWNKLEMGQILDEESMVVLVQTVVGTQAIEGTESGGGCGSEGSNAGGNSTN >OMP04294 pep supercontig:CCACVL1_1.0:contig05764:12159:12541:1 gene:CCACVL1_02172 transcript:OMP04294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEFQVPSPLVPTRENYFVRYCKQHTDGTWAVVDVSLDTLRPSPMSKSRRTPSGCLIQELPNGYSKVTWVEHVEVDDRSVHNIY >OMO89352 pep supercontig:CCACVL1_1.0:contig08761:1943:5830:-1 gene:CCACVL1_07890 transcript:OMO89352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKHSNLIENPSPSFEEDEKDHDCSSSSNDEKREDESKTSPQNTPVPKKLQANPIEEKDENFDGSDCDVLFSSVEVTLYQSSNYVILARFRFYSSCLSGSASDIEVQLQHTSEKLHDESIIMESENINVTLESTTSITVEQDDDCVEEIPKFEAKQQGGRKKRKKTSKVWDVVQTLDQKTPDGKPQAKCMYCDIVLKYDSKFGTGLSKF >OMO89353 pep supercontig:CCACVL1_1.0:contig08761:6198:6272:-1 gene:CCACVL1_07891 transcript:OMO89353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSVVKEEENTVKSKAIFSEDFL >OMO89356 pep supercontig:CCACVL1_1.0:contig08761:37142:37291:-1 gene:CCACVL1_07894 transcript:OMO89356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKHPNPNKKPTHSFGEEEKDHESSSSDEKQEDYSKTKPQNTPVPQKL >OMO89351 pep supercontig:CCACVL1_1.0:contig08761:291:560:-1 gene:CCACVL1_07889 transcript:OMO89351 gene_biotype:protein_coding transcript_biotype:protein_coding description:adenylate cyclase MARKHPNPIENPPPSSRENEKNQKFSSSSNDEKQEDEFRTKPQNASVPQKLQTNHVEEEDENFGGSDWDGNSDSDYGMAAKNSPNAIGK >OMO89354 pep supercontig:CCACVL1_1.0:contig08761:7242:7397:-1 gene:CCACVL1_07892 transcript:OMO89354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKHPNPIENPLHSFGEDEKDHESSSSSNDEKQEDESKTGPQNTPISQRL >OMO89355 pep supercontig:CCACVL1_1.0:contig08761:21095:21304:1 gene:CCACVL1_07893 transcript:OMO89355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MAAVHVPSALPMPLVTLPPAAPKRASTKDRHTKVEGRGRRIRMPTTCVARIFQLTRELGHKSDGETIRC >OMP12121 pep supercontig:CCACVL1_1.0:contig00411:794:1027:-1 gene:CCACVL1_00118 transcript:OMP12121 gene_biotype:protein_coding transcript_biotype:protein_coding description:desiccation-related protein PCC13-62-like protein MCGVKDEGLIVALQLGAGNRTTTNVIPGDVNSLAFSRTEREILRSFYGTGNATLPGGIFPHGVNGEIAEEIVRERLS >OMP00847 pep supercontig:CCACVL1_1.0:contig06603:7536:10008:-1 gene:CCACVL1_03279 transcript:OMP00847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTNGAATSSAAAGGGGGSLAVTVDSSSAAKKNSKKPKYSKFTQQELPACKPILTPGLVITTFTIIGIIFIPIGLVSLSASEHVVEIVDRYDEVCASIHPNKVAYIQNSLTNKSCTRTLIVPKLMKSPVFIYYQLDNFYQNHRRYVKSRNDKQLRSKAAEFDTTSCEPEDKTSAGPIVPCGLIAWSLFNDTYGFSVKNKMLAVNKKNIAWDSDKEHKFGSDVYPKNFQSGGLIGGAKLNSSIPLSEQEDLIVWMRTAALPNFRKLYGRIEEDLQANDKITVIIQNNYNTYSFGGKKKLVLSTTSWIGGKNDFLGIAYITVGGLCLFLAVSFILLYIIKPRPLGDPSYLSWNRCPSGHPN >OMP00848 pep supercontig:CCACVL1_1.0:contig06603:11426:13506:1 gene:CCACVL1_03280 transcript:OMP00848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKFIDKKKAATFQLFARDSSDPNYSDAPGSDKVFVRVDNNRVSFNTVFDEDNHYYGNTQYNDEEDSIFADAPGDDGDNDDGYDRVVGNPSGTDMNEKGALPENVRREILELGFPDDGYNYLTHLREIRNTGGGSAFYPNPKFKPNQLPHDVKAYDASRVQISNSRGDYNEKSIYTVASKTINVRMQKVVDPEVAALLDDSDLSRFGSDVEDLEEDFIVIGNMAEGEDNESDKMWNLIEESEVTNEGVKDINHDSGNQQIASEEKNHRVEDKPRVRRLLDEQFDILELQEYGTDDDQYEGYIAEEEFLADKLKNVLNENDMDEMELDDKYKAPADLLHGSERPKSKEILDSAADILRRCAEYAEKYENEGNNEKMVIVEESSDESEQFDCESIISTYSTLDNHPGKIEAPEVARKKKLAETVSGALGGKNQVISLRGKEKLPVDFLPNSRKATTEKVKSPGSCKPEQLKRKPNRQETKEEKKERKVAVKAERREARIMKKATKELYRSEAQQAQKVAAIAGPSSIRLM >OMP00849 pep supercontig:CCACVL1_1.0:contig06603:14425:16108:-1 gene:CCACVL1_03281 transcript:OMP00849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWIFFSSFCWNADSRFTQQELPACKPIVTPGLVITTFTIIGIIFIPMGLVSLSASEHVVEIVDRYDEVPKLMKSPVFIYYQLDNFYQNHRRYVKSRSDKQLRNKAAENETNICDPEGRSEASGRGPIVPCGLIAWTLFHDTYGFSVKEKKIQLSEQEDLIVWMRTAALPNFRKLYGRIEEDLQPNEEITVVIQNNYNTYSFGGRKELVLSTTSWIGGKNDFLGIAYITVGGLCLFFAVTFILLYVIKPRPLGDPSYLSWNKSPSGHPN >OMP00846 pep supercontig:CCACVL1_1.0:contig06603:5526:6275:1 gene:CCACVL1_03278 transcript:OMP00846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFTRTVVLLSLLCLNVLVVSVVSNKEDDHEEKFPSTQKGGGFGTGAGVSFGGGAGAGGGVESGLSVGGGGLGGGGSGGGGEADGGSSKGGGSDGGISKGGGFGSGEEKGGGIGKGGGVGGDTGKGGDTGGGIGRGGGHVGGGIGKGGWFGGRIGNGGWFRGRIGKGGRFGRRGIIGGRIGKGGGIGGWGGFGKGGGGMGGGIGKGTGGGSAGDGAGGAAKGGFQGGVGGGSGSDVGGGAGDGGHHYH >OMP00845 pep supercontig:CCACVL1_1.0:contig06603:3673:3816:1 gene:CCACVL1_03277 transcript:OMP00845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKYIANALFLLKGVFRPHDPRKGLALGYFHIGQTLTRIDGGGKRP >OMO81447 pep supercontig:CCACVL1_1.0:contig10174:2321:13038:-1 gene:CCACVL1_12416 transcript:OMO81447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSRDVQEIVSKLSSDRAKAREEGIKLLNMWLEGERSIGFCKFLGQNTAKLKPNEIPQSETWPFLIKLLTQCVISEISTSKRRSPKLIFAKTLRIVIQRAEDSKFSGNMFPLLSVVKSLFSHIFNVLKNVPSFQSEYGIILRNLLVVRDYRFHIKKDIFSGFILFYLEKVETILAEKSNTQHSQKEDIFRSILTLHSLLENPPGDFADNLREDIVKGFVKIFSYIRDEGKVSRKLIECINTYLLKDGPNLSCESLEIHEAVQQFVFRCWLTTHDKGLKDVLVLYARLQLNLIRGVIDGSFLVEQLLDVICKELDQSNLYIAGTSWSDGVKDDKFGTLSSSQYNLVELAALVLYRACANTSRGTATEKRVKTESTAARLKEALIEGKWLWNAAFCYLIHNYYTRINKGLLTDWFEGIYTSFERILNDANIAHAYDGLLWTLRSLQELSSVMLLSDAHAEISLSSFTSKEFDCGWQLIWSHLMHALPTFSNVTPVVDAALALLGSIISNDLTNKCLVPHDVWDLRFFKRTPSVFALYFIACYFSRKGSQGDLRDILHLRKSLLRATLGSLSWNESYLLNDRMVLLLPAAVYALCAGSEPFTQFYKEILPLNSFVDATEVADDWIKVDEYDHERQLENFECSVEVLANIDVDSNMQVSPSRFHQSVRLPRQLREPLLHEMEAYILEVIADHKAEKKPLSDVFFICALLSNLIYGFHVTRYMIFLTMFYHPLS >OMP11731 pep supercontig:CCACVL1_1.0:contig00942:3724:3849:-1 gene:CCACVL1_00308 transcript:OMP11731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGVSGVKRVSEKEPVVAERGAEVVAAAGFKKEEKNYSSV >OMO77406 pep supercontig:CCACVL1_1.0:contig10767:5404:10853:1 gene:CCACVL1_15021 transcript:OMO77406 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MRLYVYVLQAKDLAVEDTYVKLKVGKFKSKTRTLRNALNPVWNEEFAFRVHDVGQQVVVSVFHHHDDSGFFNASKDLVGRVKIPVWLIANEDNQTLPPTWFSLEKPKHGSFKDKDCGKILLTISLHGKGHDISANHLLYAQPHIQHEENKELESTCKLSNDMFRSKAPCVKIMEGKHLLKAIAGRLEKLFYKNGETLRTDDSSSELSTTVSDHEDSLEGHSSSCSFEEAMELMSRHNQHEMPENLEGGILLDQMYALSAYELNKFLFAPDSQFRKDLAELEGTTEVQEGHWTWKSVDFSSLTRIVTYTKAATKLVKAVKASEEQTYIKANGQEFAILITVSTPEVPYGNTFKLELLYKIMPGPELQGGEESSHLILSWRINFHQNTMMRSLIEGGVKQGLKESFDQFSNLLAQKLKIVDHLELSDKDQVLSALQEEYQSDWELATEYFWNFTVVFTIFMVLYYMVHIFLCDSSKVQGLEINGLDLPDSFGELITSAVLIIVLQRVYNMVSHFIQARLQRGSDHGVKAQGDGWVLTVALVEGVNLASLDSTDFSDPYVVFTCNGKTRTSSVKLQTRDPQWNEILEFDAMEEPPSVLDVEVFDFDGPFDQAASLGHAEINFLKHTSTELADLWVPLDGKLAQSSQSKLHLRIFLDNNKGVEAIKEYLTKMEKEVGKKLNLRSPHSNSTFQKLFGLPPDEFLISDFTCQLKRKLPVQGRLFLSARIVGFYANLFGHKTKFFFLWEDIEYIQVLPPSLASVGSPSLVIVLRKDRGLDARHGAKSQDEEGRLRFHFQSFVSFNVASRTIMALWRTKTFTPDKKAQIEDEQQDEEDFPIALEGFGSIVDVENAKMSKIYTAELPISMTSLMEIFDGGKLEHKIMEKSGCLNYATTMWEPVKPGVCERHVSYKFNRHVSIFGGEVTCTQQKFPIANGEGWIVNEVMVLHDVPFGDHFRVHFMYQIEKSGLARNACTCDAYIRITWLKSTKFQLRITRNITEKFIHRLKEIFELVEREILFATEPDGQI >OMO59976 pep supercontig:CCACVL1_1.0:contig13830:23142:26577:-1 gene:CCACVL1_24500 transcript:OMO59976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLSFKVFTDLNVGTRKNCFPGRVCPGLAADLDFGRRRVGLGCWDGGAAGGRKCRIVACAVERNGNGGNGGGGESSSLNPNPTSNQSSYFSRSQTYAMLKQQMEVAAKSEDYKEAARIRDSLRMFEEEEPVLRLRRLIKEAVADERFEDAASYRDELKEIAPHSLLKCSSDATTLGIRVQVRSVYIEGRSLPSKGQYFFAYRIRITNNSDRPVQLLRRHWIITDANGKTENVWGMGVIGEQPVILPKTGFEYSSACPLGTPSGRMEGDFEMKHIDRVGSPSFNVAIAPFSLSTLGDDTDTF >OMO59975 pep supercontig:CCACVL1_1.0:contig13830:9797:11594:-1 gene:CCACVL1_24498 transcript:OMO59975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTWWRLRRICLLRRETWKLEYTLTVLEVGERVFLFQFEDELERDRVLVNQPWCFNRVLMVFREYDPDLAPETLSFDLFPFWVRIFKLPLSMMTEKIGVVLGETMGTVLDVDLSTGRYLRIRVEINVLNPLKDTMKVSTPNVTMLKTMGHVEKRFEGKLQAESPITKSDKLGRPEVCFSLANGVSFSSVGAGDPSDKRRGFRNHGDSMLHRSRAVARAINDVEVSCEIVSKFHGKGKPKNQDNLDADEVTSRRKERENVAYVGPLIPGGSRINTKGVVINEQAARNGNHQNVDKSLKQKQIMEESEESMDSWSMADPGVRLGSRRRIVLGRNIRGVGFSVLGPNPIPHGNTVGNASNFVPAQPADSFIPLEEPYTPTTPFVFGAQANVQPRKVRKWKKTTRVSQKYSFKTLGPATNFQVGQKRTSFNFTSEGNRTGTWKRTKDSETVARVNAYGFRGWTAGLISAGRDAAGVRFDAQQANLLQEGDAGFQDETDLGGGIAKEGMPNYAADDEQADAVYDNDVPAARMGGVSYPCREP >OMO59977 pep supercontig:CCACVL1_1.0:contig13830:26949:27077:-1 gene:CCACVL1_24501 transcript:OMO59977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLSLTAVRFTVDSNTSHIQPRGSGNNSTRAVISSISSKTA >OMO55795 pep supercontig:CCACVL1_1.0:contig14589:67453:70324:-1 gene:CCACVL1_27008 transcript:OMO55795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCEGCERRVKKSVQGMKGATQVEVDPKQSKLTVVGYVDPDKVLERVRHRTGKKVEFWPYVPYDVVPHPYAPEAYDKKAPPGYVRNVLQDPEVGQLARATSFEVKYTTAFSDENPNACMSRCFPYPPPGYVRNGIRDEALIESIKIQREEEKAKKERKKEKKEKKEKKREKKERDKGRDSGEIESKKHGHKKRHKDERSQEDQKRGDHQKRREKEVESFEKSTLTEEHGHAVGSQNSSDSTFSSNKRQKLSSPADSGQNSGSIIRIRLPSQRHKDPEVLPSKEQPCSTSGRIDDASVQRMHEPAPRSCKELEEQPCSTSRIKRPEITFKLSKEKPCSSSAASEKCAHDAEALLPSNLCSSCSPALALQFKNLVEDWVVPTLQSELTDSEGDDWLWQRKQNLDGGIKCSKEGNIGLNQISSTTWPQACYLPEADIYALPFTIPF >OMO55787 pep supercontig:CCACVL1_1.0:contig14589:39262:41114:-1 gene:CCACVL1_27000 transcript:OMO55787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MDSNSTPPSHRSNPSSQTKSASRLARIGYSVIESEEQQRPTIPHLSLDHISPSPKKVTTPTSPSLLSLRSSTNSLPLQELLLLSPGSPLRRSRTRLADRIEMAEDAAVEQGGSRRRCKSRTAQMVNLGCGSPRNNRRSRRRMEMELRDDRDLVLAEENGKPRKRRHSGKSKKEKLSLVPSLPSSSLSPNCEKGNLDRMGEVISDLVMWRDVAKSSLWFGVGCLCFLSSCFTKGVTFSIFSMISQIGLLFLGVSFFSNSICHNVEKRNEFKLREEDFLRLASVHNSGDNPVLSATFGAKVR >OMO55793 pep supercontig:CCACVL1_1.0:contig14589:58833:59598:1 gene:CCACVL1_27006 transcript:OMO55793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MASKRIIKELRELQRDPPTSCSAGPVAEDMFHWQATIIGPTDSPYCGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHIYKTDKVKYETMARSWTQKYAMG >OMO55794 pep supercontig:CCACVL1_1.0:contig14589:60429:66506:-1 gene:CCACVL1_27007 transcript:OMO55794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 35 MIADGVEDEEKWLAAGIAGLQQNAFYMHRALDSNNLRDALKYSAQMLSELRTSRLSPHKYYELYMRAFDELRKLEMFFKEETRRGCSIIDLYELVQHAGNILPRLYLLCTVGCVYIKTKEAPAKDVLKDLVEMCRGIQHPVRGLFLRSYLAQVSRDKLPDIGSEYEGDADSVVDAVEFVLQNFTEMNKLWVRMQHQGPAREKEKREKERSELRDLVGKNLHVLGQIEGVDLDMYKDTVLPRVLEQVVNCKDELAQYYLMDCIIQVFPDEYHLQTLDVLLGAFPQLQPTVDIKTVLSRLMERLSNYAASSADVLPEFLQVEAFSKLNNAIGKVIEAQPDMPTLGVITLYSSLLTFTLHVHPERLDYADQVLGACVKKLSGKGKLQDNKATKQIVALLSAPLEKYNDIVTALKLSNYPRMMEYLDSETNKVMATVIIQSIMKNKTRISTADRVEALFELIKGLIKDLDGSPDDEVDEDDFKEEQNSVARLIQMLYNDDPEEMFKIICTVRKHILAGGPKRLPFTVPPLVYSSLKLVRQLQGQEENPFGEEESTTPKKIFQLLNQTVETLSNVPAPELALQLYLQCAEAANDCDLEPVAYEFFTQAYILYEEEISDSRAQVTAIHLIIGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDNIKDGERVLLCLKRALRIANAAQQMSNAARGSTGSVTLFVEILNKYLYFYEKGNPQINVAAIQSLIELITTEMQSETSTPDPAADAFFASTLRYIEFQKQKGGAVGEKYEPIKV >OMO55789 pep supercontig:CCACVL1_1.0:contig14589:44027:47247:-1 gene:CCACVL1_27002 transcript:OMO55789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Checkpoint protein Rad17/Rad24 MGKRNTVVISSSDDEDYEPSSRLERSYVKPKSKAPVTRTNSRAKKPRLSGSRLSKQSSNADEMRLAFEDFDEVLNGFKVSAGSNRKISKELWVDKYKPRSMEELAVHKKKVEEVKSWFEERLRSPKVEVDSCVLIISGQAGVGKSATVHLIASQIGAHLCEWNTPTPTVWQEHVHNSSAGITYTSKLDEFENFVERVRKYGMITSSFNGESKSSIILVIDDLPVTNGRAAFERLKRCLVLLVRSTRVPAAILITDYGNADSSDLMARWLEELQLSLQSSGASKVSFNPITNNSMKKTLSRICRLEKYNVAAEEIDLIAKASGGDIRHAITSLQLFCLKPNVELDLSLTNSAPSYPKENANELNAFSGGFPSQYGRDETLTLFHALGKFLHNKRETEDVTKLDQNAFCVGEKFSRRPIKMDSPEKILCQAHGQSRPITDFLHENVLDFVSDEAMDDAWAVTSYLGDADLLLASFRGMLTRHNETENVLQSSAASVAVRGVLYGNFHPSPPRWHAIRKPKLWQIEQSSSHNQNEMLRQRFITNGGSSLSEASIIATEYTPASKWLSYRTSGGLESHDSEDDNSQNMSLDEHESEISDDDDIEEW >OMO55785 pep supercontig:CCACVL1_1.0:contig14589:34600:34689:-1 gene:CCACVL1_26998 transcript:OMO55785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAERSQSLLLPATTKLTIGGQLERCYC >OMO55784 pep supercontig:CCACVL1_1.0:contig14589:31056:32689:-1 gene:CCACVL1_26997 transcript:OMO55784 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase, plant/fungal/bacterial MAPRLVDENYLKEIEKARRDLRALISSKNCAPIMLRLAWHDAGSYDAKTKTGGPDGSIRFDQELNHKANSGLRIAVELCEQVKARHPVISYADLYQLAGVVAVEVTGGPAIDFVPGRKDSMVSPEEGRLPDANQGARHLKDIFYRMGLSDKDIVALSGGHTLGKAHPERSGFEGAWTKEPLKFDNSYFKELLNESDSGLLKLPTDKALLEDPKFRYYVELYAKDEDAFFRDYAVSHKKLSELGFISPSRFALKVAVGAIVASAVAILSYYYEVHRKFILK >OMO55786 pep supercontig:CCACVL1_1.0:contig14589:35220:38324:1 gene:CCACVL1_26999 transcript:OMO55786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCWYSRIDREETVSRCKARKRYMKQLVKARQALAASHSMYLRSLRGTGSALLQFSNNETTLHLHHHVPPPPQPQAPPPPMSPSSDTWTSATTASPALPPPPPPPPSSSWDFWDPFAPATASRSATEEDWEATTSASEVAVTATTTATGAASMAAPPSVVSEFSKDTGSELAMVVSRNTKELVEIVKEVDEYFLKAADAGSQLSVLLEVSNSSFSTQCKGGKVYNYGCNLSTPTTWTWNWNQKMEGMGKLGEDRIGGNLGASVVGTSHCSTIERLYAWEKKLYQEVKNAEAIKIEHEKRVAQLRKLEVKRADYIKTEKTKKEVEKLESQMMVAAQAIETTSTEIIKLRESELYPQLLDLVKGLMCMWRSMYEIHQVHTHIVQQLKYFNFIPSNEPTSEIHRQSTLQLELEVQQWHLSFCNLVKAQRDYIQSLAGWLRLSLFQFSKNPLLRTSQESKIYSFCEEWHLAVDRIPDKVASEGIKSFLTVIHAIVVQQAEEYKQKKKVDSAFKDFEKKAAELRSMESKYGPFSMPEMSKDPIADKRTKVEILRAKAEEEKSKHEKSVSVTRAMTLNNLQMGFPHVFQAMVGFSSVCMQAFESVYNQAKSIDQQHDVKRILP >OMO55791 pep supercontig:CCACVL1_1.0:contig14589:53120:53257:-1 gene:CCACVL1_27004 transcript:OMO55791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFWLLKLKEIPRHFNSAGLD >OMO55792 pep supercontig:CCACVL1_1.0:contig14589:55175:57204:1 gene:CCACVL1_27005 transcript:OMO55792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFIDHPGAVPISTAQGEELRKLINSPAYVECSSKTQQNVKAVFDAAIRVVLQPPKQKKKKSKAQKACSIL >OMO55783 pep supercontig:CCACVL1_1.0:contig14589:13687:29776:-1 gene:CCACVL1_26996 transcript:OMO55783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRPAPFTVSFFLFFQSKLPRKDSVAVDQSSSGEAHPVLKVKKVVQASEAPTAGQTQKPSLLSMPMQMLFYQKPHVSKQFGGHNPQIQSQCVKWTSIAAATLHTRSSEECKYFLPEFQRPGVDKAYKIIVHRQRGGEEIRCRLEEADRQDFRVGVQGLILELGEPFRVSWEFRHGDLRWLTGQFLALGLKL >OMO55788 pep supercontig:CCACVL1_1.0:contig14589:42089:43106:-1 gene:CCACVL1_27001 transcript:OMO55788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVTRSRRQQPALQQEQPSRAKWTAFLTKILADLLVEQVHRGNRLNNSFSKKAWKSMSDDFYKKSGFKWDNEQLKNRYGVLRRQYVLVKSLLDQSDFSWDESTGNITGKDEAWAEFIKGHPDAESIKTSGCPIYKQLCTIFSEPTTNGKHYYTAELRGDVPSLLPPLDPLSTIQEESSSEFEEVEDLAEGRFNISATSSTSLPGSINGRKRGRRGIDDAIAAAILEMAAASKLRAAAVKQCNAKYSIASCIKELDEMQDVHQRVYFAALELFNDPIARELFLSLKGDKRSTWLNRKCTALLDPIS >OMO55790 pep supercontig:CCACVL1_1.0:contig14589:47949:52596:1 gene:CCACVL1_27003 transcript:OMO55790 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MEQILSMEGGSEEQSHANYSKIQVPPELIDKANPLLNKGRIFISKTSPPTVTDSYSTQFRKDFSLFLKLRSIELAPEGRMVLTLRGRRTADPTDESCVLWDYLGQAFQELVTELPNPRPESETRSMACSTNAFSLYLSSKSASDFNPNSSDLFLRVNKPAKVSLRGSSSGEFNPGRVALSRPSGLRGRICANATQVMDQSVGEKSSKVPTIVEVDLGTRSYPIYIGSGLLNQPELLQKHVHGKKVLVVTNTTIAPLYLDKVIDALTKGNPNVSVETVILPDGEKYKNMETLMKVFDKAIESRLDRKCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLVDTDTLDTLPDRELASGLAEVIKYGLIRDAEFFEWQEKNMEKLMARDPDAFAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIETGFGYGEWLHGEAVAAGTVMAVDMSYRLGWIDSSIVKRVNDILVRAKLPTAPPEIMTVEMFKSVMAVDKKVADGLLRLILLKGPLGNCVFTGEYDRKALDDTLKAFCKS >OMO55782 pep supercontig:CCACVL1_1.0:contig14589:10942:12709:1 gene:CCACVL1_26995 transcript:OMO55782 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MMQDVWNAPPGFRPSKSAPTSPAKPLGGNLGWALMQQNNYIEAEDAYRRALSIAPDNNKMCNLGICLMKQGRIGEAKETLRRVKPAVADGPRGVDSHLKAYERAQQMLMDLESEMMNKGGDRVEQSRLFDAFLGSSSIWQPQPCKDTITLPLPTANTVKPVPHDDFADENINSNIMGNQGLIPSAKPVPFPFGNSLNIDARPFYSTKFVKEPVVKEPIGTGNQLQETLKRTRSGNSANSMRVNEMGDYNTKTFSAEVEKPEVKTRRLFHSSEERGEDKLADLLPDSEDFEEAIIAAVLGPANETTNNPRIFPKKIDKRLKVFQDITLSLSPRA >OMP07029 pep supercontig:CCACVL1_1.0:contig04716:651:710:-1 gene:CCACVL1_01390 transcript:OMP07029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFLRAVSYRKRAIALNLIN >OMP00498 pep supercontig:CCACVL1_1.0:contig06668:561:626:-1 gene:CCACVL1_03341 transcript:OMP00498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AINKGKISFVVCQIEDDSKQH >OMO97023 pep supercontig:CCACVL1_1.0:contig07322:17102:25346:-1 gene:CCACVL1_04703 transcript:OMO97023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPNYIDPLTLLYKSTDQFLKPKPKVQFESSG >OMO95813 pep supercontig:CCACVL1_1.0:contig07598:25329:26267:-1 gene:CCACVL1_05232 transcript:OMO95813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKKSKQTSKFTSQTPSELESLLDSKFEEKLSCFKTEFKNEFKTEILVEFREMLETVVAKRELGGKGATDSPMSSNSKTPQNNALPPPPGWPPLASPWPMAGQSSIESQACIPPTTSMIVPRQYKIDLPKFNGENFRGWLLKLLHYFKAERVPDDSRVRVAMLGLEGDALEWHQYLINTKGDLNDLPWATYVDAMWDRFASAEFTNPLAQSVALKHTNIVAEFYREFVSIFNLMNMSDAQGLSIFTSNLKVEIAKQLQLYRPQTLNQGFLYAKILEDNMDPTQKDHLLAAPPTLLPPHPCNLPQCISVKSQ >OMO95814 pep supercontig:CCACVL1_1.0:contig07598:32800:32904:-1 gene:CCACVL1_05233 transcript:OMO95814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALSSIMNPIPLLMNTEMDSMAPSLFNRSSFSDLH >OMO52075 pep supercontig:CCACVL1_1.0:contig15624:108:188:1 gene:CCACVL1_29372 transcript:OMO52075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRPALTTGQPFKPNDLDKLNILSV >OMP06004 pep supercontig:CCACVL1_1.0:contig05093:3450:3566:-1 gene:CCACVL1_01758 transcript:OMP06004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKQFRDFKCSKVLPMPTDDTNVKFDRKDRFGAHDSR >OMO79232 pep supercontig:CCACVL1_1.0:contig10465:29352:40843:1 gene:CCACVL1_13824 transcript:OMO79232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinonprotein alcohol dehydrogenase-like-superfamily MLLVLQWNSIGKNQESSQNWLNHGGDLYNRRYAEKESRISPETVSRLRLKWEFYAGKDITATPAIFDGTLYFPSWNGNIYAVKASDGSLIWQKNLHELTGLNGTGFLFNVNWTVARATPTIADDLVIIGISGPAIVIAVERLTGELVWSTQLDNHAAGVITMSGTYYKGLVNLLTYYYVLQFDVNNSALLEAGPGGPAGGGTWGAATDKKRIYTNIANSLFQNFTLRPSTRNTTAGGWVAMDAGSGEILWSTADPSNATATGPVTIANGVLFAGSTYNQGPIYGIDAKSGKILWSYNTGATVYGGMSVSNGCIFVGNGYKDVQDWLSHGGNLLNRRFADKETKISPETVSRLRLKWKFDAGGYISATPAIYDGNLYFPSWNGYLHAVKASDGSLIWKKNLQQLTGLNSTGAVPGVNVTASRTTPAIADDLLIFGLSGPGYVGAVKRSNAQLVWLTQLDKHPRAVITMSGTYYKGSEAGPGGLGGGGIWGAATDEKRVYTNIANSGRNNFTLKPSVKNTSGGWVGMDAKNGQILWSTADPSNGTVSGPVSVANGVLFGGSTSGQGPIYAINANNGKILWSFNTGATIYGGSSISNGCIYVGHGYFSPPFTAGSSLFAFCVS >OMO79233 pep supercontig:CCACVL1_1.0:contig10465:48541:51364:1 gene:CCACVL1_13825 transcript:OMO79233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinonprotein alcohol dehydrogenase-like-superfamily MDGQDWLIHGGNLLNRRYADKETKISPETVSKLRLKWKFVAGKDITATPAIFRGILYFPSWDGFLYAVKASDGSLVWKQNLQQITGLNYTRLFTNVTTVVSRTTPAIVDDDLLICGISGPAYVIAVKMSNGKLVWLTQLDKSPKGIITMSGTYYRGSKGGPGSVAGGGIWGAATDEKKVYTNIANYLHQNFTLKPSTTVTTAGGWVGMDAKNGQILWSTADPSNGTANGPVTIANGVVFAGSTYRDGPIYAMNANTGRILWSYNTGATVYGGFSVSNGCIFGGNGYKVSLGALNPDFTAGNTLFAFCVY >OMO79234 pep supercontig:CCACVL1_1.0:contig10465:61729:68848:1 gene:CCACVL1_13826 transcript:OMO79234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIISLCLLPLLVSTASADSNDVQDWLSHGGNFLNRRFADKETKISPETVSRLSLKWRFNASKDITATPSIFDGTVYFPSWDGYVYAVKASDGSLIWKQSVQQLTGLSPTGIVTNVNVTVSRTTPTIADDLLIIGISGPAYVIAEAGPGGLTGGGTWGAATDEKRVYTNIVNSDGKNFTLKPSTRNTTAGGWVAMNANNGEILWSTADPSNGTTNAPVTVANGVLFGASTFRQGPIYAMNANTGEILWSYNTGATVFGGMSVSNGCIYVGHGYKMGSLFRASKNLKPPKYLSPFLSTLRSNHPLDSFGLIHEFCSHSRQNSKEAAPIDLSQYPTEKIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKHKFHGSNIGDVNEPSTFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLTIIPVINKIDQPTADPDRVKAQLKSMFDLEPSDALLTSAKTGQGLEHVLPAVIERIPPPPGSSISPLRMLLLDSYYDEYKGVICHVAIVDGSLRKGDKISSAATGQAYEVLDVGIMHPELKPTGVLLSGQVGYVVTGMRSTKEARVGDTLYHTRTTIEPLPGFKPAKHMVFSGLYPADGSDFEGLNHAIERLTCNDASVSVTKESSTALGLGFRCGFLGLLHMDVFHQRLEQEHGAHVISTVPTVPYIFEYSDGSKVEVQNPAALASNPKIRVTASWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFIDSQRAFMKYRLPLREIVVDFYNELKSITSGYASFDYEDSEYQQSDLVKLDILLNGQPVDAMATIVHNLKARRVGRELVDKLKKFIDRQMFEIVIQAAIGSKIIARETISAMRKNVLAKCYGGDVTRKKKLLEKQKEGKKRMKRVGSVDIPQEAFNELLKVS >OMO79230 pep supercontig:CCACVL1_1.0:contig10465:10058:11104:1 gene:CCACVL1_13822 transcript:OMO79230 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyltransferase MEGDELNNFPRLIVLTVVSILYCYFIAAKIPKGFLRLLSVFPVVIILLLIPFSIYSFHIGVTAWVLLWVCGFKLLLFAFDQGPLSSSSSSSSSLFDFLCQAAFPFKIKENPPKSKSTLSKLVPSSILEASYKSALLALLFYSYNYKQYFPQHVLLILYFFHTYFVIQLLFNIGAIPAQVLGGAVEIEPQFEAPLLSTSLQNFWGRRWNRRSSDMFRATIYDPVKSLFKPIIGPRWSSLPAVFVTFVVSGFIHEVLYYHITRQFPTWEVTWFFVLQGILVDIEIFLKKKLVETNKFRLHSAVSRPLALAILALIAACLSFKQLLRNGIDDKIIGEFNMFVAYLKGTALQ >OMO79231 pep supercontig:CCACVL1_1.0:contig10465:18908:25452:-1 gene:CCACVL1_13823 transcript:OMO79231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MVISWIFNALHPTLLDSIAYCVAAQEMWNDLEERFSHGNTAHPLSSLSRAYALVPQEERQQLVAASRLPSVERAAFMTNSANKSNPNRKPASSRDVSKLFCEHYKMTQHKKDSCFELLGYPEWWDKGKKQPKTKAVNTAQHVETTSGNNNVPINGLTSEQYAQLISMLNLDKIQIPTANFVAMVAKQFGKAVKQIRSDNAPHTTTMMTHTPEILDSNQTTNIDTPPTIPQENRPPVKRNCHPIIVTFMSIYQEIVSLLQPLPTMLPQVIKDTHWQEAMKKELEVLEQNHIWTLEQLPTGKRAIGSKWVYKIKYHLDGTVERYKARLVAKGYTQVEGLDYTELLHRLQNLPRSWSSKYFLGLEVARSPEGIVSSQRKYTLDILQEAGMLGTKHVLFPMEHNHKLAIDDSALLDDPGPEIPMISKSSAIVGVALATVQLTLKRKSVPLRPVKSCKFFCQMRYPSEALTA >OMO79229 pep supercontig:CCACVL1_1.0:contig10465:5143:6671:-1 gene:CCACVL1_13821 transcript:OMO79229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MEKLSLLFYTLVVIEFFLVAKQLDLVHGSPEVKMYELYKGALYVTFTNWGASIVSLKIPAGRGGWSNIVLGYDDVKDYMNDPTSFGGVAGRVTNRIGGAKFTLNGTEYKLKANAGENMINGGAKGYANVIWNVAKYKNRGRHPYIQFTYDSYDGEEGFPGGVQVSVKYTLSTEEDKEEEFQLSVVMRAKAINKPTPVNLALHAFWNLNGDDSGDILSEKIVIFASNYTPVDTKLIPTGEIVPVEGTPYDFLNVEHHTIGSRINKLANGYDINYALDGAPNEMKLVAIVRDDNSGRLMQLHSNQPGVQFSTVKDVKRNGEIIYKAHSGLCLQTQGFPDSVNHPNFPSQIIAPGKDYKHYMIFRFSVLKP >OMP08213 pep supercontig:CCACVL1_1.0:contig03930:916:1020:1 gene:CCACVL1_01147 transcript:OMP08213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRNMINDEPLPPFVTFKLCSWFEARGLETSS >OMO92390 pep supercontig:CCACVL1_1.0:contig08226:17336:18994:1 gene:CCACVL1_06866 transcript:OMO92390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIRLEEFVDLPALNDWIDAEEMRAELAWLNDSSTKTGDLFVPLGLPSFTRRVEYCCGFSGAT >OMO92388 pep supercontig:CCACVL1_1.0:contig08226:5805:6209:1 gene:CCACVL1_06864 transcript:OMO92388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSDTPKVDHGLEDDYGVQGKDVEGLQGSMKMHGEHEDIGDHIPSTKNMPFDPLKLPNGPMTRARAKRFKDVLMGLVRIHLDDMKTIEVQLKSFDDDLSKKMQIDTKLITLLAIDCKWACMYLAIWSFNFILD >OMO92389 pep supercontig:CCACVL1_1.0:contig08226:7112:11080:-1 gene:CCACVL1_06865 transcript:OMO92389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MESVSHVLRASGDVDQPTANEPPAKQEPVETLLETSGTKLDLSGTMGDKSQEGEQADLAAMLHTLMQRMDTMNTRFDTLANDVQQVREGQNQQAQPPKQRGNAANNNERVQPPPPRQVVPRLDPMERLRQQELGGQAYNENLQPRRRVEREEPKDNIKYKIPKFNRRGSPADYLEWESKLDMRRNLERPIETWLELKSLMRKRFVPSFYVNSLYQSLQSLSQGTRSVDEYYSEMMLLMSRAEVDEAPQATMARFMAGLKRDIHDIVEMQQHYDVEELLQHALKVESQVKRNGAKKSFALSSSSWKTPIKEDEKTFNKEKEVAQKGVSPKSDSKSSSSSSSKAHVKCFRCQGYGHYAKDCLNKKVMYLNDHGEIVSEDEEFNLGSSGDGDDEGEEYAQDEEDDDGDTPVLLSLVARRTLSAYVKGDVQNQRENLFHIRVHAHGKPSSVIIDGGSCTNIASVYLVKELSLPTTKHPKPYSLCLFNDKEEIKVNKQVLVSLSLGRYKEDVLCDVLPMQACHVLLGRPRQYDNKDQLKVRDEFAKLESQFRDKEKAKHAKPKENCDEHRVALVDKETSSKKVVKECMLATKSEIKEALSDNSVLILLLLKNTLVATNNLEKELPSNIVSLLSDYVDVFPEEIPSVLPPIRGIEHQIDFIPGA >OMO73815 pep supercontig:CCACVL1_1.0:contig11180:3953:4126:-1 gene:CCACVL1_17133 transcript:OMO73815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIIGTRTPYHSAQNCSKCRFDRLETSSYWLAQIKLADSVSKHFVSASYFRLASESKAE >OMO55612 pep supercontig:CCACVL1_1.0:contig14650:5265:7636:-1 gene:CCACVL1_27145 transcript:OMO55612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MDEALLLPKKEGRIWEKIAWEVLVEELKKVSSLAAPFVTVALLQYLLQVVSMMMAGHLGELALSGVAIASSFCNVTGFSLLWGLSGALETLNGQAYGAKQYQKVGTYTYCAIISSLPICLPVCLLWMYMDKLLVLIGQDPQVAVVACRYAMWLIPGLFAYAILQSQIRFFQSQSLVLPILFISLATSCFHVPVCWVLVFKSGLGNTGAALAISISYWFNAILLGLYMKYSSSCEKSRILYVNNVFLSVKEFLKFAIPSAVMACLEWWSFEILVLLSGLLPNSKLETSVLSICLSSTSLHYFIPFGISVAASTRISNELGAGNPQAAQISSFVVVLLALVETVVAGTILFCCRHVFGYAYSNEKEVVNYVTEIVPLMSLSIIRDGLHAVACGIVRGIGWQHIGAYANLAAYYLVGIPSGVLCGFVLHLRGEGLWIGMLTGSTVQGILLAFVIAVTNWNKQASKARERIFQGTIPESGLF >OMO55613 pep supercontig:CCACVL1_1.0:contig14650:17752:20037:-1 gene:CCACVL1_27146 transcript:OMO55613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MDEAPLLSKREGRIIITWEALVEELKKVNLIAAPFVAVALSQYLLQVVSMMMAGHLGELALSGVAIASSICNVTGFSLLGGFSAALETLNGQAYGAMKYEKLGSYTYCAIISTLPICLPVCILWMYMDRFLVLMGQDPQVAEVACSYSMWLIPGLFAFAILQSQIRFFQSQSFMLPLLFTSLATLCFHIPVCWFLVFQTGLGNIGAALAISISYWFNVFLLGLYMRYSSSCEKTRLVCLKDVFLCVKEFFQFAIPSAVMACLEWWSYEILVLMSGLLPNSTLETSVLSICLTSAALHYQIPFGFSVAASTRISNELGAGNPQAAQLSTFIVVALTLAETFIASTTLFCCRHVFGYAYSNELEVVNKVTNMVPLMCLSLIMDGLHGVTSGIVRGIGWQHFGAYANLAAYYLFGIPAGIICAFVLHLKGEGLWIGMLTGSSVQGILLVFVIAFTNWKKQAVEARERIFQGAIPEEAEAERAECGEIVKPFESRHISADFI >OMP11959 pep supercontig:CCACVL1_1.0:contig00639:2215:2301:-1 gene:CCACVL1_00196 transcript:OMP11959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVERSESESGGVSVTCGLGPTLRDHDQ >OMO68657 pep supercontig:CCACVL1_1.0:contig12199:504:13879:-1 gene:CCACVL1_19881 transcript:OMO68657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPDGSTILRGCIEGKEEIRLISSPTRHNGWNVMASNITKMAAIVCHIRKGQYGGPRRSYNMLFMHSGAASSVVIPQKQLDTKPPEGESSMFASDTVNIDGY >OMO50223 pep supercontig:CCACVL1_1.0:contig16254:2369:3979:1 gene:CCACVL1_30566 transcript:OMO50223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAEQLTEEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADQNGTIDFSEFLNLMVRKMQDTDSEEELKEAFKVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQVNYEEFVRMMLAK >OMO60579 pep supercontig:CCACVL1_1.0:contig13708:8199:8318:1 gene:CCACVL1_24034 transcript:OMO60579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTRTPGDCKDQAYYDKEMALKVAPVLEEVEVRTNLRSSS >OMO60580 pep supercontig:CCACVL1_1.0:contig13708:11219:14769:1 gene:CCACVL1_24035 transcript:OMO60580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDVSCLLMISVCQQIVVGSSLNYQTLANKDLMPGILPISSSILLPHYCTTSRHIVRMAAAHTTSAGVSLEAMPIKPPSHPTYDLKGIIKLALAEDAGVRGDVTCMATIPFDMEVEAHFLAKEDGIVAGIALAEMVFQEVDPSLKVEWSRKDGDYVRKGLQFGRVYGRAHSIVVAERIALNFMQRMSGIATLTKAMADAASPAYILETRKTAPGLRLVDKWAVLIGGGRNHRLGLFDMVLIKDNHISIAGGISNALKAVDQYLERENLQMEVEVETRTHEEVKEVLQYASQTKTSLTRIMLDNMVVPLANGDVDVSMLKEAVDLINGKFETEASGNVTLETVHKIGQTGVTYISSGALTHSVKALDISLKIDTELALEVGRRTKRA >OMO94899 pep supercontig:CCACVL1_1.0:contig07786:13:468:-1 gene:CCACVL1_05713 transcript:OMO94899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKSAAAFHRKLVFSPKDLENAELKGENDSVSIEEAAGLNQRAEVVVKPKDSEKSVHGMKQNRAIMTSLVGVPIEKQQDVSVSERRRKNNDERTGFFSDYSRPRTRPPSHN >OMO94901 pep supercontig:CCACVL1_1.0:contig07786:3526:9397:-1 gene:CCACVL1_05715 transcript:OMO94901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGVSYLAVSAVSTALSFVGLQLWTGFSLDKLQTDGLISENFIHTENVNHALELLLGSYATVALLANFVLNVFILLILTLKTVFFGDLHTSETRKLLERLINYVIYKGTFLPLVIPPTIFQAGLWSIWLTVMCCLKMFQALARDRLERLNASPSATPWAFFRVFSVFLFVLSVDFLWIWPSFVIYRTLNSSMFLLLFFEPLSIAFETMQAILVHGFQLLEISLHSVSADCSSAKHINLSAAGSFWEWKGILIRNLGFFLDMATLLMALGHYVLIWWLHGMAFHLVDAILFLNIRALLSAILKRIKGFIKLRMALGALHAALPDATSEEIRAYDDECAICREPMAKAKRLHCSHLFHLACLRSWLDQGLNEVYSCPTCRKPLFVGRAENEVNSRTGEALSDEQLAHQINSGLDRQNVPGQTLPAGVFPNQTQNPVEGNPWRSAGLDPGWLHPWPNQSVDGAGPSTGIRSVGLGRFHMMMRHLASVGETYAQTALEDTAWSLWPMNPSQVAGSASSVPPNIGGRYPGNANLHTRIAPRTANDNIANILAMAETVREVLPHVPDELIFQDLQRTNSVTVTVNNLLQ >OMO94902 pep supercontig:CCACVL1_1.0:contig07786:13441:13611:1 gene:CCACVL1_05716 transcript:OMO94902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIRSSFSFIVGTVWGIYIAQNYNVPNIKKLADTALFTAKHVEEKYRKPKNKDDD >OMO94900 pep supercontig:CCACVL1_1.0:contig07786:1378:2708:-1 gene:CCACVL1_05714 transcript:OMO94900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVPHFPEKKDKYPFDGAKLS >OMP10301 pep supercontig:CCACVL1_1.0:contig02644:534:707:-1 gene:CCACVL1_00998 transcript:OMP10301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KNSSCETMPFELAHLSSGFHFLLIFLFHNPHSNARIRFQLTFFSEDDFSAFEIDGDT >OMO50727 pep supercontig:CCACVL1_1.0:contig16066:321:1265:1 gene:CCACVL1_30292 transcript:OMO50727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPLANHGSALPSGGNGGGASGGDGATIEVVRRPRGRPPGSKNKPKPPVIITREPEPAMSPYILEVPGGTDVVEAISRFSRRKNIGICVLTGSGTVSNVTLRQPSTTPGATITFHGRFDILSLSATFLPQTSSCHVPNSFSISLAGPQGQIVGGFVAGSLLAAGTVFIVAATFNNPSYHRLPGEDEARNTVSSGGGGEGQSPPLSAGGGDSGHGGGADSCGVSMYSCHLGGSDVIWAPTARPPPPPPPY >OMO69067 pep supercontig:CCACVL1_1.0:contig12117:18388:18783:1 gene:CCACVL1_19666 transcript:OMO69067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVCGETAGHIDAPIRDELANETRWMHFGTNQNPPRQRKPLVLTSSLMDLNRKTNELKYLASLCMKPNQLK >OMO49601 pep supercontig:CCACVL1_1.0:contig16451:12264:16232:-1 gene:CCACVL1_30905 transcript:OMO49601 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MAAMSVSSACFSVFSYKKKVNRKIRAVASQNALETEHDKVKLGGSDLKVTKLGIGAWSWGDTSYWNNFEWDDRKMKAAKAAFDVSVDRGITFFDTAEVYGSRLALGAISSETLLGRFINERKEKDPDTEVAIATKFAALPWRFGRQSVLNALKDSLSRLGVSTVELYQLHWPGVWGNEGYLDGLGDAVEQGLVKAVGVSNYSEKRLREAYEKLKKRGIPLASNQVNYSLIYRLPEQNGVKATCDELGITLIAYSPIAQGALTGKYTPENPPTGPRARIYTPEFLTKLQPLLNRIKDVGEKYGKTPTQVVLNWLIAQENVVPIPGAKNAEQAEEFAGALGWILSKDEVDELRSLASEISPVIGFPVENL >OMO49600 pep supercontig:CCACVL1_1.0:contig16451:2440:4828:-1 gene:CCACVL1_30904 transcript:OMO49600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCLPDWNFESDLPISNHKKFLGQDNELVELLWQNGQVVLHSQNPKKHVSVPCESRQVTRNDQPTLRGGATGFSYGNSSHLTHEDETVSWIQYPLEDTFEKEFCTNFFSELPASDPMETDDSNNKPNRDQHQQQFVKSSSVSPAIQHSMITSSQQLNVSPVVPEFRGNPMPPPKFQFDSAQQNKSSEGLNKGFNFSPVVEQAGGMNRDGGNLMQREVIKECSGMTVGSSYCGSNQVRNDVDFSRGSSNGFGTTTTGLSAGTSKDDAGKAIAQNKGAKTETIEPTVTSSSGGSGSSFDRTGKQSTGAISSHKRKSRDGDDSECQSEAAELQSAAGNKQMQRSGTSRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQVMWMGSGMAPMMFPGIQHYMSRMGMGMGPPTMPSIHNPLHLSRLPVVDQSMSMAPSQNQAATCQTQQLNPANYQIPMQNPTFSEQYARFLGFHHMQTASQPMNMFGYGSQNAQQSPMVSAPSSSGKPLNGAATTTNTSLSGKM >OMP10485 pep supercontig:CCACVL1_1.0:contig02423:362:475:1 gene:CCACVL1_00944 transcript:OMP10485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGVDKLKALASCLVNFHVPIVKEAASNSSRYKGQG >OMO90824 pep supercontig:CCACVL1_1.0:contig08422:17499:17576:-1 gene:CCACVL1_07276 transcript:OMO90824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEADILPEGKLGLMSTTSKSNLCN >OMO80230 pep supercontig:CCACVL1_1.0:contig10340:163833:165756:1 gene:CCACVL1_13124 transcript:OMO80230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRRLSFRSCGLFGGTMNHCNLQQNAAVSAYEELRGLISISEHQKGGPVVCPKPRRIGVLASNPTRPLRLHMSHQSELNDLRAGAELLDIILNKEDFGTEQSATHVASSPPFFSGSPPSRAANPLVQDARFGEERLAALSSLQIPSPSSPSSAAARKGGCVRMKFGLKPAAVRVEGFDCLNRDRQNSSIPAMA >OMO80224 pep supercontig:CCACVL1_1.0:contig10340:120451:124075:1 gene:CCACVL1_13118 transcript:OMO80224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGKESYLVAKGLWGETDASDPKKAAAALYAIQVSCSPAMLKKIIRMTTAQDAWYELESYFGDPALVERDLALTFFKAIIKDDVTEINDLLDHHPQLLNTRMLTIYIPGFRPIDFAILCGRSKILETLVSRFSNNDDDQQKWSDEELKLMVSNALCYAVLDGGEEMINIFDCLTRGRDGLLTEKGPTGGYPVWNAGYSGCKDMITHVYSRTINKLRQDGDGAEAARIIIYAIRFNLHGLIVNQPKPPNDADVRIFISEQLGIKGLYDLKVRHGYNQTILEMLTPMMATVDYNYIRDDEIKNVIINAVKFGITNVLVELIRVNPDILMFIDDMRRNIFLLAVIHRQEKVLSLIYGIGALRKVHFRSTCDKDGNNMLHLAGNSPPQRLIDDIQGPALLLQRELQWFEEVAYVLEPVNRAIKNWDGKTADDLFEENHREMVNQAEKWMKAIAQSSTVVGALIITVMFAALFTVSGGKNQDTGIPLFLHKKAFKVFILSDAIALTASSTSVLIFLGILTSRYSAKDFLTSLPTKFMIALFFLFISIATMMIAFCSATTMILKGQLSIVVPIIVLASIPVAFFLWMQSPVLVTTFWSTYGPGIFDRKMKNWLI >OMO80208 pep supercontig:CCACVL1_1.0:contig10340:16717:20141:-1 gene:CCACVL1_13101 transcript:OMO80208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MGEDYLQQFMDETSFYNNIVLGNLLPAKLWDPLPHFFQTWLRNYIAGTLLYFISGFLWCFYIYYLKRNVYVPKDAIPTNKAMLLQIYVAMKAMPWYCALPTLSEYMVENGWTRCFARISDIGWLPYVVYLCIYLVVVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPLDGILQAVPHVIALFMVPTHFTTHIGLLFLEAIWTANIHDCINANIWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMLGTLRDPVDEEGKKVM >OMO80226 pep supercontig:CCACVL1_1.0:contig10340:131895:133444:1 gene:CCACVL1_13120 transcript:OMO80226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFRQQLQGFIKPSQAMSEMEISMEMTKQPSELNSTLLENFSIADFSLETLLAHQLPEFPAISTHDNLSSTVLAGSLTAIPMAQTVMVDEDVFVESKKRKAMEQSTSSNYQNISPARLGKGKKGKNNEKEAEKVEEVIHVRARRGQATDSHSLAERVRREKINEKMRCLQDLVPGCHKTMGMAVMLDEIINYVHSLQNQVEFLSMELAAASSSYDLNMESECIKKAQGTNSHVAEQMEKWARDRYGEQNGFHSTWPL >OMO80222 pep supercontig:CCACVL1_1.0:contig10340:109117:112427:1 gene:CCACVL1_13116 transcript:OMO80222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase, type I MAKANADRLRRLRAWVQNYDWGKYGAEAQVARLLALNCGVEIEPERPYAEFWMGTHGSGPSFLADEGGEDVNVGLKEWIGKNPNVLGHKVLENWGSDLPFLFKVLSVAKALSIQAHPDKELAKELHKLQPNLYKDGNHKPEMALAITEFRALCGFITLEELKGVLEDVPEIVELVGTASANQVLNIDEQDGAEKVKFALRSVFTQLMSASKEMTMKAISKLKSRLHKVSQLRCLTEKEQLVLQLERQYPGDIGVISAFFFNYVKLNPGEALYLGANEPHAYLSGECIECMATSDNVVRAGLTPKHRDIQTLCSMLTYKQGYPEILKGFPLSPYITRYLPPFDEFEVDLCILPKGSSTVFPAIPGPSIFLAFVGEGTLHTGSWKDIVTEGDVLFAPANMEITISTSSSELQLYRAGVNSRFFHVL >OMO80211 pep supercontig:CCACVL1_1.0:contig10340:35641:46369:-1 gene:CCACVL1_13104 transcript:OMO80211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MQSNKQTSKVTGRKRRRAGLTCAHAISYATANLIQNPPTLQKLAHHFSLSASSFRLSLLSASEFVRKKNLTLKRNICLRSGSVCSSLNSGAVSMGAELGRASHGIETVVRNSVKSRSIKAQASAGDVEDLTPINPQGKSSGTVLPFVGIACLGAILFGYHLGVVNGALEYLAKDLGIAENTVLQGWVVSTLLAGATVGSFTGGALADKFGRTRTFQLDAIPLIIGAVLTATAQTVQTMIIGRLLAGIGIGISSAIVPLYISEISPTEIRGALGSVNQLFICIGILAALVAGLPLAGNPVWWRTMFGIAAVPSILLALGMAFSPESPRWLFQQGKIPEAEKSIRTLYGKERVAEVMYELRTAGQGSTEQEAGWFDLFTSRYWKVVSVGAALFLFQQLAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGTAIASSLMDKQGRKSLLMISFAGMGASMLLLSLSFTWKVLAPYSGTLAVVGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWISNFVIGLYFLSVVNKFGISSIYLGFAGVCLLAVLYIAGNVVETKGRSLEEIELALNPST >OMO80231 pep supercontig:CCACVL1_1.0:contig10340:179626:180431:-1 gene:CCACVL1_13125 transcript:OMO80231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMMSSATVVSRASPAQASMVAPFVGLKSASAFPTTRKNNKDITSIVSNGGKVRCMQVWPPLGKKKFETLSYLPDLSPVQLAKEVDYLLRSGWIPCLEFELEHGFVYRKNHSSPGYYDGRYWTMWKLPMFGCTDSSQVLKELEEAKKEYPESFIRIIGFDNKRQVQCISFIAYKPPSFTSA >OMO80232 pep supercontig:CCACVL1_1.0:contig10340:183991:185074:-1 gene:CCACVL1_13126 transcript:OMO80232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAMMSSATVVNRASPAQATLVAPFVGLKSASAFPTTRKNNKDITSIVSNGGRVRCMQVWPPLGKKKFETLSYLPDLTPVQLAKEVDYLLRSGWIPCLEFELEHGFVYRKNHSSPGYYDGRYWTMWKLPMFGCTDSSQVLKELEEAKKEYPESFIRIIGFDNKRQVQCISFIAYKPPSFTSA >OMO80214 pep supercontig:CCACVL1_1.0:contig10340:57498:58169:1 gene:CCACVL1_13107 transcript:OMO80214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETCDSLRQITHRANPALQSLFHALDPISLILSQNSSPSNPIPLRLTTESYIMERGPRYRAYAELRETKLRMRSAKQQEREEIEFKPTPTKKQVKFSSTVGVSRKGSSVLAQSVPDFSATLRKENRKPPAMSGIELTPPPSKNWSKVNGASLSNSRGSKSANAMEKKGGLMMARKSYASVEELKGISLAAAAAINGDNNRGGRTNGRGLGGNNKTVLGYRQF >OMO80220 pep supercontig:CCACVL1_1.0:contig10340:99887:99997:1 gene:CCACVL1_13114 transcript:OMO80220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGVRLKPSIPHPSPQQLAVGSLPLSVLAAYNLAP >OMO80218 pep supercontig:CCACVL1_1.0:contig10340:75904:76653:-1 gene:CCACVL1_13111 transcript:OMO80218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVELCSDNSGMSPRISFSHDLCHFDVVPVEQRPLRSKSSGLNSSIDFDFCVRESFEQESSSADELFSDGKILPTEIKKKSVPNKQTEDQCSSPAPPLPRSNSVHEDSKKQGTKITRDETGDEVDEKQSSKSFWGFKRSSSLNCGSGYGRSLCPLPLLSRSNSTGSTPNVKQASMSKDSHTQKQNSHKNGGSTSSYKSSASYQKPPLRKSYKPYGNGVQVNPVLNVPSGNLFGLGSIFFNGKDKNHKKK >OMO80225 pep supercontig:CCACVL1_1.0:contig10340:124550:129409:-1 gene:CCACVL1_13119 transcript:OMO80225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFSQILPPNDVTQAVQVILGESNYNHWRQLMTSYLKIHKLWRYVTGERPKLIKGPDETDSSFADRLEDWDSINQIIITCFTKTSVTYINMLIRKFDAAKEAWDFLAERFKLRIYRYQHLSKLRSIRRKPGQSVEDVFVEMSFLWNELTLLNLSLNMLIVLKANLRLLGTQETESWGQARIFHQLPSGLNMEVIVQKSAKEKDPDGEKSPPLVFVHGSYHAAWCWAEHWLPFFSASGFDCYALSLLAQGESDAPSGSVAGSLQTHAGDVADFIQRNLNLPPVLLGHSFGGLIIQYYIANMRNEPTLEADTSYPKLKGTVLVCSVPPSGNSGLVWRYLFSKPVAAFKVTHSLAAKGFQTSLSLCKETFFSSTMEDNLVLRYQELMKESSRMPLFDLRKLNASLPVPKVTNSFTEILVLGAKDDFIVDTEGLNETGRFYNVTPICIEGVAHDMMLDCSWEKGANVILSWINGLS >OMO80209 pep supercontig:CCACVL1_1.0:contig10340:23137:24860:-1 gene:CCACVL1_13102 transcript:OMO80209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MAFEQHPVQTFPKPFSSYLMHNLVLHAISFVIGLSLGIFITLQLKSLPIFFQSSFVSTTSPSAPPGTSTTSLPSSAPPSPPSPPPLPSNGTSNYSSVSLEEGHRLSLIHHNMSDEELLRRASSMVPTVQVAMTPKVAFMFLTSGPLPLAPLWEKFFQGNEGFYSIYVHSRPDYNETIPQDSVFYGRRIPSQPVYWGTASMIDAERRLLANALIDDVSNQRFVLLSDSCIPLFNFKKIYDYLINSNLSFLSVFDDPGKPGRGRYNHQMAPMINLTDWRKGSQWFEVHRDIALHIVSDNEYYSIFEKLCRPPCYNDEHYIPTLKVLTKCLGALVTDCSFVA >OMO80207 pep supercontig:CCACVL1_1.0:contig10340:10541:15885:-1 gene:CCACVL1_13100 transcript:OMO80207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATLLISPIPLSSSLNSNQNPSKTHKQNLSFNNSSKSSVSKDPNNGPLKMPTAPWMKGPLLLQPHEVLNPTKPTTKRSSNGNAESPDKALFGKESGVRGKKVMEKIIRNVERLQGNEVLEGTQSEICEEFEVGDWLEEFGSDGEVKRFNGRIPWLRDEEKVVFRRMKKEKVLTQAEISLDKDLLERLRGKAMRMRKWIKVMKAGVTQAVIDEIKSSWRKNELVMLKFGVPLCRNMDRAREIVEMKTGGLVVWSKKDALVVFRGCKHELTSKISPMEYSHPASGQEISSLTCSNLTSGNNINLSQEKFNTSTLGKSLYREEKEKESMPTSIFIKADDNNEMVMGSLYEREIDRLLDGLGPRFIDWWMRKPLPVDADLLPEVVPGFRPPLRLSPPNTTPKLTEDELTYLRKLAHPLPFHFALGRNRNLQGLAAAILKLWEKSLVAKIAIKWGIQNTDNEQMAYELKNLTGGVLLLRNKYLIILYRGKDFLPQGVADMVMEREVALRRCQLNEEGARVKVAETFQVPDEPLAKTSTVGTLSEFQDIQSKFGDFVRENSELELQLEAQRENLERELRDQERKLSILKIKIEKSAKELEKLNSSWQPAQQDVDQEFITEEERECLRKIGLKLNSCLVLGRRGVFDGVIEGVHQHWKHREVIKIITMQRVFSRVIYTAKMLVAESGGILVSIEKLKEGHAIIMYRGKNYRRPPKLMTDNLLTRREALKRSIEMQRIGALIRVIVYAAKSIANTYSDDLAQYPESKVGMMKL >OMO80221 pep supercontig:CCACVL1_1.0:contig10340:100634:104163:-1 gene:CCACVL1_13115 transcript:OMO80221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetylation protein 2 MAESICRVLRDGALEGEHAPALTIKDSIASPFGFHVFSHVLSQLSSFLFAGKSQSRGLVIVSFSRSPSFYLELLKSKGIEVVSSDKRIQILDCYSDPLGWKDRLIEAGSFTALSHESSVSSTAIVFKNVKYMDKLYNSIIELGRGLVGGGKNRFSVAIDSVDEMLRHASMSSVASLLSNLRSHDQVSSVFWSLHSDLHEDRVTSVLEYLSSMVASLEPLHEPANGQRGDLEKFSLIEHNAKKGKFHARFKRRNGRVRLMSEEVRIEQSGINLTSLSSEGAVNQGLAPKVQFNLQLSEKELIDRANVVLPFEHQGNGKPIQIYDGRRSLVDSKQERPVAVTEKVQTNEDSGRGEIIYFRDSDDEMPDSDEDPDDDLDI >OMO80217 pep supercontig:CCACVL1_1.0:contig10340:70721:71394:-1 gene:CCACVL1_13110 transcript:OMO80217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQCSSPFMDSSNVDFEFDIRIDSIDKESYSSAEELFCNGKILPREMKKKINDIPSSKQELIITQKQKPQPQAKITASSSKDIKKMNEEETNNNNNNQNRSNGSSSKSSFWRFKRSSSLNNFVTGNKKKLCSNSSLSRSSSEGSVPKVKPFLQSSTNYTQKSNLKKNTINPILNVPLVDFSCLYELTKMSK >OMO80206 pep supercontig:CCACVL1_1.0:contig10340:6340:7369:1 gene:CCACVL1_13099 transcript:OMO80206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKLSGTYPFFLLFTTILSVQVLVCLCSLEYEKSPECNKPFQCGVIQNISYPFRQRGSPQYCGRPGFELSCEDGNPMITFMSKRYQVYTFNIMLRMLTVGPTDDRNQILCPQQLVNISSNLGPFYVSYNTKNVTLYYDCPTMANQSIGLSNHQFNCSMNGTDHVQVGYFVVTSAFANLSAATKEALGSCRSSVIGLAFASMVQILEDNPSSETLAVALTNGFGLQWSENFTVPAGSSASSSSNLKLIIGTHYAKLF >OMO80223 pep supercontig:CCACVL1_1.0:contig10340:113310:118622:-1 gene:CCACVL1_13117 transcript:OMO80223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7e MKKPNNSIATSSKIHFEDSEEEEEDIESSSEDEKSDDSIDSDEEEEIERELAEVTFEELQKARADGTDSIARKPLLEKKHRRANKNRPIELSSKKPVKSFRQVIQAPKRVARDPRFESLCGKLDVDGFRKRYNFLYENNLPAERKELQKRLKSAKRPEVISELKNQISWIDKQLKYESAKHTDAEILAEHKKKEREAAKQGKRPFYLKKSEIRKQRLIEKYKKLKASGKLESFIDKRRRKNAAKDHRFMPYRRPGLSSSNAAAEAEEVRIQIQSFTESWQSSLICSGLVIVFSVFRTAKMYTSRKKIHKDKDAEPTEFEETVAQALFDLENTNQDFKAELQDLFINSAVQMDVSGNRKAVVIYVPYRLRKAFRKVHLRLVRELEKKFSGKDVVVLATRRIVRPPKKGSAVQWPRTRTLTAVHDAMLEDIVHPAEIVGKRTRYQIDGSKIMKVFLDPKEKNNTEYKLATFAGIYRKLTEKDVVFEYPVTEA >OMO80233 pep supercontig:CCACVL1_1.0:contig10340:188577:189646:-1 gene:CCACVL1_13127 transcript:OMO80233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMMSSATVVSRASPAQASMVAPFVGLKSASAFPTTRKNNKDITSIVSNGGRVRCMQVWPPLGKKKFETLSYLPDLTPVQLAKEVDYLLRSGWIPCLEFELEHGFVYRKNHSSPGYYDGRYWTMWKLPMFGCTDSSQVLKELEEAKKEYPESFIRIIGFDNKRQVQCISFIAYKPPSFTSA >OMO80215 pep supercontig:CCACVL1_1.0:contig10340:59053:63188:-1 gene:CCACVL1_13108 transcript:OMO80215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEPTGKTASALAITEKKPHRPGGCVGIFFQLFDWNRRFAKKKLFSRKLLPPARTKASKRFGGDEKMPKSKLHLIADENSGRFPSVKKNGRHSNGEIEQKHEMRAPSLVARLMGLESMPAVNRDKSNKKASVSGSKISSSVRDEKKVNDQSGANGEDLALEKVSRKVEPRPQKIQKVESYDRRVVTRFGAEALQIKSVLSRTKKHQHPKFVPPVKSPRTSSARNASRASRLIDAAAKILEPGLQATNRAKFTLAYSSSMHYPAKNEVVTEGTRGVLPDVLKQSACNNVSTTKSLMGQTSCKNCSDLLDVVESRAKLEEQPFVCPSFAPNMVDASSQGSEKNWPRPTSTSFSQGKDAIFLRSHDQPSSFISQGENSIQSGSESNAYRKPVSGEAQAQWHFPSHAGKPQKDEKSPTALKPRNQTPNHILLDRDRIPARARSGNLQSRRAASAANAVSGAKDFVPRSRSLNSRTRIRVPTKEDASPIVIERKSFIHRDDSMSQLRSPVRKRTISANRQAESAGFINSAITKERNVKCNPVTRREMAHGARSLDQRCTKSRLSSQETGNRANNKNERDIISFAFNSPLKQNHGICTEEEHKRKDKNDVNCGSISLQRGEIFEENNGETSLQKNLPLTGDALSFILEQKLKELTAQEEDEFRTGCTLPKRSTAMILQELISALTSQQPISHNADMLNSEFMIQTDVKTEGTSVRYASHCDHFSPGSVLEASFSNDSCVSSSLDESLGHMLHLDSMNITYDEPQPTEPDADLLDSATSLDKETDTKEMMTDIVNQISALLRVISNAGLGLSGDKLKRVKEAILKAELLFGNVIPHDLDGTDDFLLGPFIYDEVESLSDAMWVDFTSLIGFDQTKDSNQLRAFLFDCAMECLDSKYSRFYNSGFRAWRSLPPSMNSRKLIRDVAGEIRRWTKLAGMIPDELIEWEMSYSLGKWTNFDIEAFETGVEMDWDILQSLVDEIVVDLVSP >OMO80216 pep supercontig:CCACVL1_1.0:contig10340:63793:63858:1 gene:CCACVL1_13109 transcript:OMO80216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAVAVAVGYCWAQQLHAH >OMO80229 pep supercontig:CCACVL1_1.0:contig10340:157165:160667:-1 gene:CCACVL1_13123 transcript:OMO80229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MAKPVRLKGVDDELQKILDANMDEAPARRRAREAFKSIQLGIDHILFKTPSDGLKMKESYEVNSRGLEIFSKSWLPETSRPKAMVFFCHGYGDTCTFFVEGIAQKLASCGYGVFAMDYPGFGLSEGLHGYIPSFDRLVDDVIEQYSKVKEDPDFSSLPSFLFGESLGGAVALKIHLKQPNAWSGACLVAPMCKIADDMVPPWIVKQILIGVANVLPKKKLVPQKDLAEAAFRDVKKREQTAYNVICYKDKPRLRTALEMLRTTNEIEHNLEKVSLPLLILHGEKDIVTDPSVSKALYEKASSSDKKIIIYPEACHALLEGEPDDMILRVFNDIVSWLDEHSKQ >OMO80228 pep supercontig:CCACVL1_1.0:contig10340:150769:152360:1 gene:CCACVL1_13122 transcript:OMO80228 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-N-acetylenolpyruvoylglucosamine reductase MERYCHMYSIPYIIIGKGSNCLFDDLGFDGCVILNRIASLERIEHGIYRVGSGFRFNHLGVLTCNEGFTGLEFAGGIPGTVGGAIYMNAGANGQETANTIESIDIVTTEGKFQTLNRVDLSFGYRTSPFQSMKDLAAIIAVTFQLQSSKSAKKRQQEFLKRRRMTQPVQERSAGSVFRNPSSLGVSAAELIDKAGLKGCSIGGAMVSHIHANFFVNTGGATSQDMLNLIALVKDRVDRKFGIELGEEILYFRPYCNYMNREI >OMO80212 pep supercontig:CCACVL1_1.0:contig10340:49749:50411:1 gene:CCACVL1_13105 transcript:OMO80212 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger protein 6 MADVDNYHTKPLKLFGFNIVETTANNNDSSKSPTGSSGSPELEADAARKYECQYCCREFANSQALGGHQNAHKKERQLLKRAQMQASRSFSSPHIHNSMISAFAPPPHLLAPAVLPGAAVPPQYHSSFYMSHGGVGAGAAPLHMLHGGTYLCGPAAGLGRPRVYTGEGGDQAMAAAMSGDIQAHAGVLPAVRRFTGEDGGPKVDKGLGLDLHLSLGPAVP >OMO80219 pep supercontig:CCACVL1_1.0:contig10340:82916:85541:1 gene:CCACVL1_13112 transcript:OMO80219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNWELKRCCNHDQVTFLVTIGVFTFVILALWRTVLLTPFKLITVFLHEASHAIACKLTCGHVEGIQVHANEGGVTTTRGGIYWLILPAGYLGSSFWGMALILASTNLLTARIAAGCFLLALVVVLFYAKNWTLRGLCIGFIIFLAVIWLLQEKTKVRILRYVILFIGVMNSWFSVYDIYDDLISRRVHSSDAEKFAEICPCPCNGVGWGVIWGMISFIFLAGAMYLGLVILS >OMO80213 pep supercontig:CCACVL1_1.0:contig10340:51910:55810:1 gene:CCACVL1_13106 transcript:OMO80213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-tRNA hydrolase MLHAISVPKPCILYPRSRCFGQRNRGSTRLSVRASLPETNDGFEVEYTPWLVVGLGNPGNKYHGTRHNVGFEMIDQISRAEGIALNTIQSKALVGIGAIGEVPIVLAKPQAYMNFSGESVGPLAAYYQVPLRHILLIYDEMSLPNGILRLQPKGGHGHHNGVKSVMGHLDGSREFPRLCIGIGNPPGTMDMKAFLLQKFSPVERNQIDEALEEGVEAVRSVVLRGFNHQITRFNLGQKYKFHKV >OMO80227 pep supercontig:CCACVL1_1.0:contig10340:137623:141746:1 gene:CCACVL1_13121 transcript:OMO80227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKPISEDRGSPNLRHTPLQIIHIVGNFLRIWSIYSMYRYLTQTGASVVLFIFSCLVPSSIIFLLLQKPWKGRPLSNTQVVPSVINGAITALYFILWGKGLKSCGPLRAILAEYSGAVLGVLSAVLYGRRGHVWKKVGGLIAVLASFYFLSQGWALATDSVDSEVQTEQVLGMSQMTVPILAGILSALRRVIARRVSLKNQLKRRLHAITIASATCFLFPVAMWDLIIGSSESSVELPFSAWAFLSTILFGIILIFYVDSIAEEKLHMVFSSPRHLMAAGGCIIVMEIVYKMDFSLAGFIICSSILGFGIYEATALERGRKDSFQKPDVSNGMLDDELEMSSLPT >OMO80210 pep supercontig:CCACVL1_1.0:contig10340:33177:35063:1 gene:CCACVL1_13103 transcript:OMO80210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKEEEEEHIDNQRESAIASALPFQPNFNPSGVTQQQLSKFRELHRRRLQIKAKSKIHKTPKDRTKKIPAEGCSTRDSQEADSNAKIEASSVPNLKGHGEDKNPFAQQDNVDSIRRKGGKGKPTCRKVGKESQHVDIREDNMASLGGWYLKCELCYYTCLEESLVQPT >OMO69864 pep supercontig:CCACVL1_1.0:contig11945:1186:2087:-1 gene:CCACVL1_19221 transcript:OMO69864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRAIIRSPFDLLLFDVKLKALTAAAARSRYPHFNFKLKA >OMO60390 pep supercontig:CCACVL1_1.0:contig13724:14552:15310:1 gene:CCACVL1_24196 transcript:OMO60390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MAMEFIVENIHNEIIKPSSPTPLHLKVSELSLLDQMTPTVFVPLIFFYPNDDNNDFAKAEARSQLLKRSLSETLTRFYPFAGRIISCSSIECNDEGVDFVETRVNCRLQDILKNPVGESLAKLLPSQMGSKTKPFLLVKVNIFECGGMAIGISFWHKVADLSTMKLFIKTWAAMAQGYSSEVVFPDLTTIPSLFPPLDFPVNAKEMDPLIQLPKIGSRRLVFDASKISTLKAQAASRDVPQPTRVEAVAALI >OMO60388 pep supercontig:CCACVL1_1.0:contig13724:928:1107:-1 gene:CCACVL1_24194 transcript:OMO60388 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein phosphatase 2a, regulatory subunit MEPAVSKAANGEEVLLPHDPEVFNECMNKLKQDKQKLEGIKIKLFQKLLMVKKFFFLML >OMO60389 pep supercontig:CCACVL1_1.0:contig13724:1593:2928:1 gene:CCACVL1_24195 transcript:OMO60389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MAMEFIVEKIHEEIIKPSSPTPLHLKTSTLSLFDQVQPIAHVPLLFCYPNNGIAEGESRSHLLKKSLSETLTRFYPFAGRLSSSLSSMECNDEGVDYVETRVNCRLQDMLKEPDCESLAKLLGFPQMAATGPSPVIIVKVNFFECGGMAIGISFLHKVADVSTIKLFIKTWAAMARGSSDHAVPDFTTIPSLFPTISDFSVRSDDVPGQLREVAFRRLVFDASKIPMLKAQVASRDVPQPTRVEAVVALLWKCARAAATTNRAQTLDCETELQSLVKQLRDGMRDQFSESSMRKLQGNGAVFEILFIPSGSLLIQRDDLDLYMCSSWCGFELYESADFGWGKPRWVTVCIGRKKYNTSKPIPINFILMDSSDGKGIEARVELFEDEMVFFESNQELLAFASVNPCVKL >OMO81004 pep supercontig:CCACVL1_1.0:contig10250:1262:4941:1 gene:CCACVL1_12660 transcript:OMO81004 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MEIHGPKLLGTSYKDPIASFNLFRDFTVQNPEVYWSIILKELSVIFRESPKCILDTADKSKPGGTWLPGSVLNLAECCLLPSAHPRKEDNSCAIVWRDEGNDDSNVNRMTLKELREQVMLVANALDATFEKGDAIAIDMPMTVNAVIIYLAIVLAGFVVVSIADSFAAKEIATRLRVSKAKAVFTQDFILRGGRKFPLYSRVAEASPYKVIVLPVSGNDVGIQLREQDLSWKDFLSCVNHRPRPYHYTPTYLPIDSAINILFSSGTTGEPKAIPWTQLSPIRCSSDAWAHINIGVGDVYCWPTNLGWVMGPILLFSCFLTGATLALYHGSPLGRGFGKFVQDAGVTVLGTVPSLVKAWKNTKCMEGLDWTKIKSFASTGEASNVDDDLWLSSKSYYKPIIECCGGTELASSYIQGSPLQPQAFGAFSTASMTTGLVILDEHGNAYPDDKPCVGEVGLFPLYLGATDRLLNADHGEVYFKGMPTYNGMPLRRHGDILKRTVGGYFVVQGRADDTMNLGGIKV >OMO94328 pep supercontig:CCACVL1_1.0:contig07916:6135:7310:-1 gene:CCACVL1_06058 transcript:OMO94328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate-dependent decarboxylase MLCTSFNSVGFNWLASPASTELEMTVLDWLANMLKLLKSFMFQGTGGGVIQNTTSEAMLVSLIAARDKALDAVGFHNMHKLVVYASDQTHSTFAKVTVDSIRPLADVAKEHGLWVHVDAAYTGSACICPEFRHHLDGIERVDSLSLSPHKWLLSFLDCCCLWLEEPSLVFKTLSTNLEYLRNKQSESDSVVDFKDWQVGMGRRFKSLRLWLIFRSYGIANLQSHIWSAIRMAKMFEGFVKSDPRFEIVVPREFGLVCFHLKSDEEIGSDYTEMLNRKLLEWVNSTGRVYMTHTKVGGVYILRFDVGATLIEERHVVAAWKLIKEGADALLTCFDL >OMO72129 pep supercontig:CCACVL1_1.0:contig11504:21141:26882:1 gene:CCACVL1_17932 transcript:OMO72129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPKGKGNIQSTIEVFESAGTRNVGQSGDTSEGFSTQALAEENVASAARKEPANKWMAFGGETAKNPKKDESIKNLSESSSAGKDSNGQSTGRILTEANIAKRTAEWGIAVKSDVGEGSFKIIGKPSGPFGEGDQTKNFLERFSMESARTSGDSSYGLEGIPRVSQELKDALATLQQTFVVSDATKPDCPIMYASSGFFTMTGYSSKEVIGRNCRFLQGPETDRKEVDKIREAVKTGKSYCGRLLNYKKDGTPFWNLLTVTPIKDDNGNTIKFIGMQVEVSKYTEGINDKSLRPNGLPKSLIRYDVRHEDMALDSITEVVQTVKRPQSHIRTISNDTTNKIEEQEKFNLDYFLPNSADGESVSTPGRYTPRSDFSAATPMEDIGKKSSRKSARISLFKAKSVPKQEKEPAVIEPEELMTRDIERTDSWERAERERAIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDMSTVSKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSERTEQQSAKLVKATAENVDEAVRELPDANLRPEDLWAIHSEPVFPRPHKRDSPCWLAIQKITSRGEKIGLDHFKPIKPLGCGDTGSVHLVELKGSGELFAMKAMEKSVMLNRNKVHRACVEREIISLLDHPFLPSLYSSFQTPTHVCLITDFCPGGELFALLDKQPMKFFKEESARFYAAEVVIGLEYLHCLGIIYRDLKPENILLQKDGHVVLTDFDLSFMTSCKPQVLKHAVSNKRRRSRNIQPPTFVAEPVTQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFSNILRKDLTFPSSIPVSLQARQLINALLNRDPASRLGSSTGANEIKEHPFFRGINWPLIRMQSPPPLEVPLQLTVKDADDTKEISWEDDGVLTNPMDMDIF >OMO72130 pep supercontig:CCACVL1_1.0:contig11504:27628:30310:-1 gene:CCACVL1_17933 transcript:OMO72130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQFVLLISRQGKVRLTKWYSPYSQKERTKVIRELSGVILTRGPKLCNFVEWRGYKVVYKRYASLYFCMCIDQDDNELEILEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDELLIAGELQESSKKTVARLIAAQDSLVETAKEQASSISNIIAQATKFERS >OMO72128 pep supercontig:CCACVL1_1.0:contig11504:2613:10737:1 gene:CCACVL1_17931 transcript:OMO72128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKETVEACQCIRHNTLKTKVRAER >OMO83563 pep supercontig:CCACVL1_1.0:contig09876:147:1484:1 gene:CCACVL1_11345 transcript:OMO83563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDVLKLDMEIDQAMEIAGNHEEEKKAYCVCHLPKDVMRIILSYLSVVDYIKFRIVCKCWRLAFNACLASYDIISQEHPARELPWFLVLKNVKPEAEEYSPFYPILSTAGEWGNLSSPRIRSKTAIPELCGTRILLSKFGWLLLFDELRREIYFFNPFSKAKIVLPFMHVAKLLWARFDISCPPTSPDCMVLAASCTEPVLPVYLRELCRQQRLPAIGIEHVGLRIDLCRRGSSTWSSYTQLKGVHTRVTNSVFVNGIWYLFHSGAQLSRFDVSNGTLHRVRVEDFDGGDFDGSNYANIITYPVKRGEQIFLRIHSIDCFEIELINSQSTLVARKVEYWVRDTDTGTISHLNWEDTEVLFRMAEFEKAIAKERAVMSVDSFNVDAWCGQFDEDGYLTVRWHQFVSNRAFAFFFHESICCRTNEYCSTFLMWFEPVWVQPSPNLSWI >OMO83564 pep supercontig:CCACVL1_1.0:contig09876:2799:3440:-1 gene:CCACVL1_11346 transcript:OMO83564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESELRSSKFTLSNVLKSCTYLENLTEGMIAHSLLIKTGCEHDGYVGCCLLDMYSKCGLPDDALKVLKRIQAPDIVAWSAMIDYLDEHGQIQEAAEMFCLMRRQGVSPNQQSFASIVGAAASLAEQFYSEGIHSCILKHGFESENILSNALIAMYMKIGSVQNGLQVFKEMTYWDSASWNALLSGTHDQGPSIFQKMLAEGFKPDILKFTRF >OMO73775 pep supercontig:CCACVL1_1.0:contig11184:7568:9393:1 gene:CCACVL1_17149 transcript:OMO73775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MAENGGAVMADNKRLAVADLEPATKPKRNKFAFACAILASLTSIMLGYDIGVMSGAIIYIQDDLKISDFQVEILVGILNLYCLVGSCAAGRTSDWIGRRYTIVFACVIFFVGALLMGFATNYAFLMVGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINSGILLGYVSNYAFSKLPLHLGWRLMLGVGAVPSFFMAIGVLGMPESPRWLVMQGRLGDAKKVLYKTSDTEEEAELRLSDIKEVAGIPQDCTDGVVQVQKQSHGEGVWRELLLKPTPAVRHVLICAIGIHFFQQSSGIDAVVLYSPRIFAKAGITSANKKLLATIAVGFVKTIFILVATFFLDRVGRRPLLLSSFAGMVISLVTLGFSLTIIEHSDEKLTWAIVLCITMVLAYVALFSIGAGPITWVYSSEIFPLRLRAQGAGIGVAVNRVTSGVISMTFLSLYKAISIGGAFFLFAGIATVAWFFFFTCLPETQGRTLEEMGGLFGNLVGWRKEAKMQKLKMKSKEVNGDGTSNGLIQLGNAHAWLGCEG >OMP07517 pep supercontig:CCACVL1_1.0:contig04374:835:912:1 gene:CCACVL1_01290 transcript:OMP07517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDLGQKQTYVNDNPSLKHFGSAPYQ >OMO50327 pep supercontig:CCACVL1_1.0:contig16213:3236:6298:-1 gene:CCACVL1_30503 transcript:OMO50327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNETDHQALLELKAKITGDPLGVMPLWNNSVQFCQWYGVTCSRRRQRVTNLQLPSLKLMGALSPFIGNLSFLRVLNLQNNSFSHAIPREIGHLYRLRVLGLNNNSFVGELPANISSCSKLEIFNVESNLLEGEIPGIIGSLSYLKELDFGNNNFNGGIPHSFGNLSALEIIILSENRLSGRIPEALGQLINLTLFGLFENEISGNIPSSIFNLSNIRVLDFGLNQLQGNLPSDLRINMPYLEILSIDCNKLTGSFPVSLSNSSNLSILQVQANKLSGSLPSFEKLDKLSRVMFYGNYFGSGGVSDLSFLCSLTNATSLQYFSIAENNFRGILPECIGNFSVSLIGLAIGHNKIFGRIPAGIENLINLEVLSVTSNEFTGSIPHGLGRLQKLKQFYAYINSLSGAIPTSFGNLTMLLSLKLDVNNLQGSIPSDLGRCENLLELGLSFNNLTGSIPSAVIGLSSLSIFLDLSSNQLTGMLPVEVGNLKNLGALYVSSNKLSGVLPQNLGSCVRLEILHLQGNFFQGKIPSSLNSLKALQVLDISRNNISGEIPDFLVGFELLQYLNLSFNDLEGALPTEGVFKNASATFIQGNNKLCGGSPAFHLPRCNLKSSKSRSSNSLRLKLAIVFAILGGILVFSFLLLLSFRKKKKQPTTTNFAENSLLKLSYQSIIKATDGFSSENLVGSGNFGSVFKGILEEGGVVIAVKVFNLLSRGASRSFMVECEALKNIRHRNLVKILTAVSGVDYQGNDFKALVYEFMQKGSLEDWLHPPVGNGINPLTLIQRVNVAANVASALEYLHHQCETPIIHCDLKPSNILLDDEMVAHVGDFGLAKFLTTERSNQSSSLGLRGTIGYAPPGEVYTTSTSFQPLILQILIFRSFLIVEYYLMYNYCFRHELIAEYGLGSEVSTKGDVYSFGILLLEMFTGKRPTDEHFREGLSLHNFVKAGLAEQLDEIIDPILLVNQERLDRQYFQYLNSIFEIGLICSVESPSERLHMIIVAAKLCSIRDKLIRPTRVHR >OMP10253 pep supercontig:CCACVL1_1.0:contig02677:691:891:1 gene:CCACVL1_01004 transcript:OMP10253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ECTPFPPSNDSASNTTNDDAAQIDEMLIQRQLGQHSIQQDECKAQANDTAHETIDSRVNQLGALNV >OMP00051 pep supercontig:CCACVL1_1.0:contig06733:9144:16985:-1 gene:CCACVL1_03474 transcript:OMP00051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGLLLQLVLLLARENEVAALFASSVYFGLFILVLTKNLYQIPTL >OMP00050 pep supercontig:CCACVL1_1.0:contig06733:135:371:1 gene:CCACVL1_03473 transcript:OMP00050 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MEANPGSKEHMVDLEMWPMVVRAAFEAMIRNHFGFGDEMIQELFEIYKKKRSDNVSIFEADDVLPLVQLNIVLKRKNS >OMP06569 pep supercontig:CCACVL1_1.0:contig04884:1224:1624:1 gene:CCACVL1_01505 transcript:OMP06569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNYLQRRIIKVIFRSLVDPFPFPSDLKLNVFSVSQ >OMO69813 pep supercontig:CCACVL1_1.0:contig11977:25312:27918:-1 gene:CCACVL1_19247 transcript:OMO69813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MVHRVNSDDLPNPGPGDFNGPRSGSGLKTSAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITILYYVLLG >OMO69814 pep supercontig:CCACVL1_1.0:contig11977:32439:34850:-1 gene:CCACVL1_19248 transcript:OMO69814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MISGKDIYDVLAAIIPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMNYHFIAADSLQKVVILVALFLWQCLTKRGSLEWMITLFSLSTLPNTLVMGIPLLKAMYGDFSGSLMVQIVVLQSVIWYTLMLFMFEYRGAKLLINEQFPETAGSITSFRVDSDVVSLNGREALQADAEIGDDGKLHVVVRRSSASSMVSSFNKSHGLNSLTSMTPRASNLTGVEIYSVQSSREPTPRASSFNQTDFYAMFASKAPSPKHGGMGMGDVYSLQSSKGATPRTSNFDEEMLKININAKRSRPGGRSMSGELFNAGGLLSSYPPPNPIFSGSTSAGPGPGPAGKKKVEVGGGGMPNNKELHMFVWSSSASPVSEGNLRHAVNRATEDPSKASTPFQQENAEFGSPLKVEEGGDGTSKKQQMPPASVMTRLILIMVWRKLIRNPNTYSSLFGLTWSLISFRWNIQMPTIVSGSIAILSDAGLGMAMFSLGLFMALQPKIIACGKSVATFSMAVRFLTGPAVIAATGIAIGLRGVLLHVAIVQVIISIQCF >OMP11481 pep supercontig:CCACVL1_1.0:contig01250:3906:4028:-1 gene:CCACVL1_00497 transcript:OMP11481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTLQRHNFTNPNRHFPQIEHKPPETQELTLNPNQFEQK >OMO79244 pep supercontig:CCACVL1_1.0:contig10460:18945:19028:1 gene:CCACVL1_13813 transcript:OMO79244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAHPGCASAMAQAHPGCASAMAQAH >OMO79243 pep supercontig:CCACVL1_1.0:contig10460:5515:10072:-1 gene:CCACVL1_13812 transcript:OMO79243 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3 MENIRKPWVVAKTGLNAARKSAATTGGVKKPHRYRPGTVALREIRKYQKSTELLSGNWLSRGLSVKLPQDFKDKYKSLVVEKYGQEAAETAQFDANVWKNAGGVRKRGQLYGGTEVPSPTVAANQGGGSENIEAGTSTSPNDPIQHRASEGNEANVLDDNEGSRDDGDIGRRW >OMO70017 pep supercontig:CCACVL1_1.0:contig11900:14326:24735:1 gene:CCACVL1_19145 transcript:OMO70017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRYTSKDVENVQLVFQALVSILQEFPPDEGKRSREMNTPKQKGQVMDSAFTQKLAIDILKGLNRKTGQRAAIGKEKEYYQKQDSTPKKNQDTTKTEEQNRTQQQTKNQRTKRHKQTTTAKNQYS >OMO54177 pep supercontig:CCACVL1_1.0:contig15042:4187:4843:1 gene:CCACVL1_27997 transcript:OMO54177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRGTKVLAENLRYLLKNPAARKQMGIGSNPELLPEMSFGPYPASSLR >OMO81547 pep supercontig:CCACVL1_1.0:contig10149:604:663:-1 gene:CCACVL1_12370 transcript:OMO81547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSSSRVPCRSTRPLSLP >OMO52594 pep supercontig:CCACVL1_1.0:contig15505:268:1381:-1 gene:CCACVL1_29176 transcript:OMO52594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MVRAPRSLKGLTIVNGSIEIGEQSGDQPTSAHDEMDTDTEEEQTGVRGKTTMAHIWAMGADSEKILIEVDEFGVPCTKNAATFASFLGVIAKNGVYVPLNIPTWRHDDFTPYKLKCLKLLEEKFEFPHTENTVDWILKNLNKRWRDWKGSLKATYYIPKGKQRVLSKPPKSVLEEQWPGLVRQWYDPRKEELAQINKINARKQNNAHTCGRKSFARIRNEM >OMO96632 pep supercontig:CCACVL1_1.0:contig07419:38113:38409:-1 gene:CCACVL1_04853 transcript:OMO96632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFKSLKGIRIRVSKEKDKRRQEKLVWDMPYVAMQKRGGKVFTGAKIDGRSFKEVVSNGKADIRKNDEQRSINVQSTPNQRLIKNGVHTGEVDEKAH >OMO96631 pep supercontig:CCACVL1_1.0:contig07419:27218:29706:1 gene:CCACVL1_04852 transcript:OMO96631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVMVALELMKNISTLPTPTKPSNVNLMKTNANYGASVAVCELPFSTISSETTDGVGGTCVLRGCVPKKLMVYASKYSHVLDESHGFGWKYETEPKHDWSTLLIKMLSYSVLLIVDPHTVDVDGKLYTTRHILISVGGRPFIPEIPGSEYAIDSNAALDLPSKPEKVGIVSGGYIALEFAGIFNGLTNKVHVFIRQKKVLRGVGVLVLRGFDYEIRDFVGEQMALRGIEFHTEETPQAIVKAVDSSLSLKNNCEIWTNKSANVIEEIGN >OMO60878 pep supercontig:CCACVL1_1.0:contig13653:7306:7518:1 gene:CCACVL1_23826 transcript:OMO60878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKIKRSKERDLTSVFKVESESERKSFVFVRKRPSTAKYFGAKKEMKESRDFQEGEDVFSLDATAAKAR >OMO60879 pep supercontig:CCACVL1_1.0:contig13653:7926:8302:1 gene:CCACVL1_23827 transcript:OMO60879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGWRVDVDCGGGKDMSKYEGSSYNTKQR >OMO60130 pep supercontig:CCACVL1_1.0:contig13780:4543:5334:1 gene:CCACVL1_24374 transcript:OMO60130 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSTAFSNSPSGEFRILEIKLESSNLNVKKGGQVYVKLSMQDRELQTTSVKNLSRGPNSNPVWNQKFIFSVPHHNFSGKGNSILSFQIFRARKFFKDQLIGSTCCDIKSLFQVHPHHQQDDSVRYDESEEESSDREEEDLRFENMFGRPKIDAEIFLGPKINDHDEEIIAEDDEKTKTTKKNSAGALSASMSLVVGKGLKRKGVLKIEVAVWEGFIACVGDHKWRNAAMPYDDFMCLTNPNFSQKMIKKNREVNVYMCCTGQLM >OMO60132 pep supercontig:CCACVL1_1.0:contig13780:15649:18008:1 gene:CCACVL1_24376 transcript:OMO60132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MALAVPDKKPLEIIHARLSELRPGLMAYVMARVARKWETVLPGGTIPINGDLWWMTGFSSDKVVEGKVYKILHFEVLDRKKKYKSIPAEFTIYFNSSTKLIELTEGINKYPRYFLRFADMIEIRERSEKDPVFTDVIGMFVGYGEPIAISVSHISHLNLAEFAAMAQKPIFVVAATTVREYSETKFLSSSSATKVYVNPDIPEAEEIRQRFRTAFFTVLLLHCWSRSFGVNLLAPEHTSQSLASTNAKDAVILELLYLTLEKAQGQKFRVEAEVTEVDTTNGWYYESCPDCHLKLPPAKGGGYSCTQHGRVTPKLVMQLTLMIKDDTSDLEVAVFGPLAENLISVNLANEVAERTVNPKKLPACANDIIGNQFIFILGVSDQTVKGGWRKFNVFAYTGKQASQPLPPSVKGKQILGSTSHPALPIFTDVIDPSAVNQDS >OMO60134 pep supercontig:CCACVL1_1.0:contig13780:35312:35437:-1 gene:CCACVL1_24378 transcript:OMO60134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KERGRGEAAGDESREPRSPADVVESKKERRGWAGDLGIEKM >OMO60131 pep supercontig:CCACVL1_1.0:contig13780:6950:7495:1 gene:CCACVL1_24375 transcript:OMO60131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGRVYVKLWTHPDRQLRTSVKYYLSQGSKAVCVWDDKFIVLVPEAENFLMGSKNKDKSSINNNILWFKLFMSRKYLKDKVIGAAFIHLNSLFHDHHQHQQDKDDSISSSQFYECYEDEDFLYDPVYDDDDDEEEEDFLEDPRYENMFKPKYEIDFELSGDQLDANMMEAAAAAANKKIC >OMO60133 pep supercontig:CCACVL1_1.0:contig13780:20571:26998:1 gene:CCACVL1_24377 transcript:OMO60133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCVYIIVVLTLYCLIFINSSSNWTLSNFFRSICTAFFTSYQSRTTSTNRAGSSNPSEPIAGSTTPMEFPQKTDPIDNQNSRLTLQLQFST >OMO56624 pep supercontig:CCACVL1_1.0:contig14496:5884:6426:-1 gene:CCACVL1_26410 transcript:OMO56624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGTGVVVAATRESSRKSTERPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVFYLRGPSARLNFPDLLAGEKVGGGSLGGDLSAASIRKKAIEVGSRVDAIEAAQLHHHHHNHNHHHGHSYNINHHQNYNRSFGDELKPSGGFLQRVDLNKLPDPEDSDGEWERK >OMO56625 pep supercontig:CCACVL1_1.0:contig14496:13176:16462:-1 gene:CCACVL1_26411 transcript:OMO56625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFYAPPSGPPHYPYYQAPPPPAAVALAPLPPPPPPGAAAPLPHHHHSFIPQHHPPPQPPPSYIVPYAPAYSSYDSVRTLFIAGLPEDIQPREIYNLFREFPGYESSHLRNPNTAQNSQAFAFAVFSDQQSAIGAMQALNGMVFDLEKGSTLFIELAKSNSRSKRPRADDEWTSSDKKSRGLSTRPKTDSAGFGSVYMSGMSNSAHNTIGYPSAQSSGHADANADPTALKSSAPPCPTLFVANLGANCTEDELIQVFSRCPGFLKLKMQSTYGAPVAFVDFQDTASSTGAMNSLQGTILYSSPPGDGMRLEYPLDITFILLIAFHVSFLRFFLMSNPDTQSHGWECEGNENDHIDEAETIDNETNGRQLAPPNFKVVKYIM >OMO56626 pep supercontig:CCACVL1_1.0:contig14496:17347:19635:-1 gene:CCACVL1_26412 transcript:OMO56626 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MSGGIARGRLAEERKAWRKNHPHGFVAKPETLPDGTVNLMVWHCTIPGKAGTDWEGGFFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDAPNPADPAQTEGYHLFIQDGTEYKRRVRQQAKQYPSLV >OMO56623 pep supercontig:CCACVL1_1.0:contig14496:772:3851:1 gene:CCACVL1_26409 transcript:OMO56623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MDSILHTESLSLTRLRAASTSTASIHHMPCQLIVRPVHRRRLPLSSVVAASGSRRSSASVVAASGTLTANSVSPRGGVYTVGDFMTTKEDLHVVKPTTTVDEALEALVEHRITGFPVVDDDWKLVGLVSDYDLLALDSISGRRTENDLFPEVDSTWKTFNEVQKLLSKTNGQVVGDLMTPAPLVVRETTNLEDAARLLLETKYRRLPVVDVEGKLVGIITRGNVVRAALKIKRDIEGKA >OMO99324 pep supercontig:CCACVL1_1.0:contig06914:10019:14067:1 gene:CCACVL1_03851 transcript:OMO99324 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein MVDDEFKGKAAMKKLKTLANSEEISSLGPDETDFTNQNLPSSTNAPEDPTEDDMHTFFTRLESELASHNYVSSQEEAKEALAKIEEALNMAPADFSETGKISPLNKAFKVLSRFDCSSTLTDKQKTELLAMEETLERLPERVVKLVQDRNELLEKESKKLKLIHDLEHSLNRFKDGNVAEKQIEQKLAALHEQEQAERDKQKLLAERKVIFKGSKDLKMELEALREEWPDEWGMEQVRKLRWRIEKFSSIKTMCLYSSTFSVGANKWRILIYPRGEKYRGLSIYLNVADSATLPLGWSIYAQCRFGLVNQIDHQTKFIRDGFAREFNSKDVCWGYPAYMSLSELHDPNNGYLLNDACLVEVQVCTDKTIDLLSHGLMVETIELPSHNMVVEIDSDDEVERQAAFKKLKTMVNPEEISSPGQEKIVSTIQNLPTPTIGPEAPTEEDMDTFFTSLESELAGGDIAYSQEEAKEALAKIEEALNMAPSNFYETGKISSIKQAFKVLSRFDCSSVLTVEQKTQLLAMEENFKQLPQQLAKAMQHKNRVSEKESMKLKLTRDLEHSVNKFKDAREEVKQIEQKISALHEQVCEAKKNKEKILAETKGIFKMSKELKMELEALGKELAEYEGKAKVADEDEKKVAAEWSRMKYFICSLRGKI >OMO99327 pep supercontig:CCACVL1_1.0:contig06914:47617:52897:1 gene:CCACVL1_03854 transcript:OMO99327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRDYSCTAPPHHLANVASRGRGSSLYCYKGREVSNTANDAELKLFLPPGSGARTGFAAASSSTCED >OMO99326 pep supercontig:CCACVL1_1.0:contig06914:33533:33781:1 gene:CCACVL1_03853 transcript:OMO99326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRTRRKYLAPGRLPVPPYVSNLQNLTEKQTDPDQEDIDKIFTNLESEIPICNEIISSKEIEKAPKNVVRVTKASKAEECVV >OMO99325 pep supercontig:CCACVL1_1.0:contig06914:29561:33068:1 gene:CCACVL1_03852 transcript:OMO99325 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like protein MLPYGWSRYAQFSISIANQLRKYSLVREIGHEFSGGSSNCGFEKFMPLSSLLSPNGGWLVKDTCIIHANVAIERASNDIMSVDLVNKKRSASKAFDNEAAAAAPPSSLQQHKIVKREPNQHTELTDEEMEAFFAIMEAEVALTKPALSKEVKDALDRIEEAFKMGPALFFKSERTPPIQQAFQVGSTFLTGEQEAKLVAMKQIFDEMPERAAMAINEKKVLNILVPIQHALTNKLNGSLSRFKDAESAIEKEERQIQEFKAQIRSLRDQIGKAEEKKKKLLEEQQVSLSFSNELQGKLEELEKRRPENEAKAKAVEDEMKMIAAEWSRMKELIASLKKDFN >OMO99323 pep supercontig:CCACVL1_1.0:contig06914:6262:8016:1 gene:CCACVL1_03850 transcript:OMO99323 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein MEQVRKFTWRIENFSSIKKKWLHSDTFSVGGTEWRIAMYPRSASGKDISIYLNVANSASLPLGWSRYAQFRFALVNQIEHKPKFVRDVCKRELYKEVFGWGYPSFMPLTELQDPQNGYLVNDTCIVEVEVCTDKSIDLLSHNLIVETVELPTHRSMVDDESNGKAGIKKLKTMVNVEEISSPGQDKTDSTNQKLPTLTIGPEDPTEDDMDTFFNGLETELASHNIVSSQDEAKDALAKIEEALNMAPADFSETGKIAPLNKAFKVLSRFDCSSTLTIKQKTELLAMEESLERLPERVAKAMQDRNELLEKESKKLKLIHDLEHSLNRFKDAKVEVKQIEQKLAALHEHEQAEKDKQKILAERKVIFKGSKDLKMELEALEKEWLEYEAKGKVAEEEEKKVGAEWSRMKDFISSLKEKI >OMO96273 pep supercontig:CCACVL1_1.0:contig07499:72768:76143:-1 gene:CCACVL1_05024 transcript:OMO96273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYFHGSSEFQAASAAAAAAATPDGTMHTLFLMNPNYVPVPYSDTPPTSSATNMFFLNPAGNALNPSSLPHAPPPSHHHHHQLLGLPLPTPTASTDDPSRPSPLQGIVSGIHYNLWAGSNNIDHQNNSTGGSSHHQVVSAAAAGSSGGAQDMASQLGLRRGGPVVSPRQGLSLSLSSQQQQVYRSSNNVETDHNIQGQISVADEMRISAGNSASSVSVVSNGMNNPGGVQSVVLGSKYLRAAQELLDEVVNVGKGIKSSDVSEGTKERIKMNKDSVAGGEGSSGGVENGAKRGPELTTAQRQELQMKKAKLVSMLDEVEQRYRQYHHQMQIVVSSFEQAAGFGAAKSYTALALQTISKQFRCLKDAIAGQIKATSKSLGEEDCLGAKVEGSRLRFVDHQLRQQRALQQLGMIQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEVKEQERNGSEEKSEPKDHSGSASSSAQESASLNKMDHQVKSQSSRPNKSINQINITSPTDQFSNSTISTSPNMRGTSLLMPPTAFNLIGSSDLIDVNAAQRSPKKPRGNILQDMHNNSHSSILSMDMDMKQGETRPEINIKFGDERMSSKDSYSLLTGTANHGDGGGGFGGYSTMGEIGRFDPEQLTPRFHGNSVSLTLGLPHCDNLSLSGNQQNFLSNQNIQLGKRLELGPSESDFCGINTQQASHSSTGYDSIELHNRKRFAAPLLPDFVA >OMO96268 pep supercontig:CCACVL1_1.0:contig07499:32544:36754:1 gene:CCACVL1_05018 transcript:OMO96268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDSMRRQQMKFFHRSLHFPKDLLARRKVAAKLQDINRRMKSITDRAHQFRVHQQLEDWGSKRIMCDLSWKNRLSEYKWYVTTSFLAHLFC >OMO96269 pep supercontig:CCACVL1_1.0:contig07499:38135:39316:-1 gene:CCACVL1_05019 transcript:OMO96269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQNLPRDIALDILSRLPISSLNQIQYVCKTWYVLTRDQSLPVVFDAHASNRNPGLVLHSDCLKQNKLYFLENSDGADQCYSNSKVRRIDAKLKSFIDEYQIVGSCNGLICLADALNFNAMIVCNPLTGNVIKLPKENEYPKREVALGFGYHPTTKEYKVVRLVYSVNINHGIWSMNSDFQVLTLGTKKWRSLKSLPLSLDQNPSNALLNGALHWVTARNKTTCFPGPGLKIISFDIAKETFREIPLPVCGSLDVCNFELVVLGQCLSAAVCHSDGSIEIWRMKEYGMKESWIKDYVIRGYMPIRLNSTAAGPMYARPWKKSTESKGVVQVVCVLKNGEILLQYMNGAFVLYNPLNKEFNDLVIPGLPKRFCTIAHVESLSFDEIWRNGSKKQ >OMO96271 pep supercontig:CCACVL1_1.0:contig07499:50258:51048:1 gene:CCACVL1_05021 transcript:OMO96271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRTSHCTTFQTKPYPPMELKTKVTNIIVTHYHKYMLISSQYLGDGFDAFRYCIVDDEIRFQE >OMO96272 pep supercontig:CCACVL1_1.0:contig07499:56918:58964:1 gene:CCACVL1_05022 transcript:OMO96272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESLPPGEPPNSPLMRSPATKRTRNANDPPTQSKGGNLQARPDMGKENSFSFKDAILNKRYQPKLTWEDVLEDFNEDTTMGEADWDVCDTENTKWPLLKVTKEEHDELYQPWRSTLIVKVLGKSVGYNFLLDRLQQLWKLEEGFSLVDLGNNFFLVRVSNPDDYNSIMTKGPWIVAGHYLTMRKWKPLFRPHQEPIRFTLVWGVNQESEPRKNVDETITQQPHEEINVEARYGPWMLAQRRPKRNNARPNHQGATKAQSTAGSRFAPLEGMEKEMSETPKSMTDGASSSKAQQNPRPNNGKSKALTDITNDQSSMHVKLGPKTAQTIDHTRKAKSKPKSTFTLPKSNTDTIPSLSQTLSCSPSPKPLAVTPPTSSTPFTFSSSPSTNTVQPNPTNIQVNGGDHDKTDSPPPPQIDMDWAGVGETRDCPTADDSGYSGGIWLL >OMO96270 pep supercontig:CCACVL1_1.0:contig07499:40160:44117:-1 gene:CCACVL1_05020 transcript:OMO96270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MEKGEVNWSEEVEDLVTAGDTQGAISFLENLVSKFQTTSSCSSDDLQLASAYSELASLYSSIGFSLKSDELLSRASVLKERALSSSDVGLAKKGLKEDSLSLPNASSAVKDESLSHGNVERNPGDDGSPRKSSSEDDWEAIADREPDELLASESLPGVSNLSLEDSKVEAPKRRGRGTFSYRKSELYSDRLSEMFAAKDTEEEDLCINSEKKTAETNVEEAAAKYGTRHVLVLADFSPSTRTTDLEKLFVDFNDRVFVIRWVNDTTALAVFRTPSIALEACNRVQCPFTIRVLDAGDKLLGSISARDLEPPRQRPQTSARTAQRLIAQGMGLKLPSTFGSRELRNQEEARRNRIVTRQKLRDDAWGDD >OMO96266 pep supercontig:CCACVL1_1.0:contig07499:1353:7546:1 gene:CCACVL1_05016 transcript:OMO96266 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA 3'-terminal phosphate cyclase MGKITYKKLKGSQNMRQRLLLATLNSTPILIEDIHADETLPGLRPHEISFLRLLEKLTDDCHIEINETGTKLKYKPGIIVGGSSLVHDCGVSRAIGYFLEPLIVLGLFARKPLFIILKGITNDSKDPSVDTFRKATLPMLKRFGVPPEGLKIEIKRRGVPPHGGGEVHLAVPTIQQLTATIWTDEGMVKRIRGIAFTSRVSVQLGNEMIYTARGIFNKLLPDVYIDSDYRFREEAGSSPGYGISLVAETTSGCFISADTTISYPRGEEADIEDEKEELMPAADVGEQMASILLEEIEKGGVVDSSHQGLLFLLCALCPKDVSKVRVGKLSPYGIETLRHIKDFLDVQFVIKPDPSTGTYLQLRRYLIVLDDVWNIRLWQEISIVFPEGLRGSRVMVTTRYEDAVRSQYVYRIQPLKEDEAWQLFCKRAFPDDVGRWCQTYLDSLARNLVEKCEGLPLTIVTLGGLMSSKKSIAEWKRVHDNLNWELSNNTALEKDYMIHRKKLVRLWMAEGFLKADNDATPEAVGESYLMELIYRSLLEVKGRNTSGRPKVIKMHDVLLEILLPISNEEKFVAVSDGGKEVKENGIHCCSVIVKGEGVQPGMSQLHSLFVFVVGDVSISWFYKLQYGFKLLRVLDLEDVPIHVLPTEIGKFFHLRYLNLTRTQVNVLPKSIGKLVNLQTLVFKGANIEELSFEIVKLQNLRHLSGFVFHTLEGPTAYVCHQITLALGNLKEADEEHLCSTIGGMKDLQNLRLVTGARSGRLKLDALLSVPPYLEKLFLNGEMERVPKA >OMO96267 pep supercontig:CCACVL1_1.0:contig07499:32073:32228:1 gene:CCACVL1_05017 transcript:OMO96267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFVRRSLLFPKDLLARHKVAVKLQDINRRMKSIIDRARQFGVQQLEERGS >OMO73387 pep supercontig:CCACVL1_1.0:contig11240:9412:9714:-1 gene:CCACVL1_17286 transcript:OMO73387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVQARLTGSFQVMLNWATTGASSEKNPKTQKT >OMO94718 pep supercontig:CCACVL1_1.0:contig07811:16610:17115:1 gene:CCACVL1_05866 transcript:OMO94718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLESEAAGDWATGHNSIKSK >OMO54902 pep supercontig:CCACVL1_1.0:contig14869:5360:5428:1 gene:CCACVL1_27480 transcript:OMO54902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDKEAVMFSKSIPQGNREPHVRK >OMO68736 pep supercontig:CCACVL1_1.0:contig12184:9852:9929:-1 gene:CCACVL1_19858 transcript:OMO68736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGIWRGQREKVVAPNQDQFQKQA >OMO88682 pep supercontig:CCACVL1_1.0:contig08968:14644:20467:-1 gene:CCACVL1_08263 transcript:OMO88682 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MEELTLDLVAKPPSKDAAHRFMAIGKVIAEKSLNRGTVKSIIRNIWPERTVPLIGDVATNVYSLTFTSQREMEEAIGENPWSIMGYCFNIKVWPPELSASEVDMSELSPAESGVKEKEPLVPGFWVPRDSGRRLWAEVKYEKLSDLCFNCGMLGHSNRFCKQPENPDSRYGPNLRAPPARKLLSPGRQRSNSWGGRSDRIQGGVEIPRVARALNFDKAREAGNRGEILLENNQETVSEKVGGVSANQEGLTQNPREDHSGRPMPSQQKGKEIIFKDIGEIFFTSIEHATAENIVGPISLRAESSGPSVVGSAVQEWQVHNEPTIPANRETHNQAFSGPIITEIEDGADLESAGVPELPFPESDYHVEMDSDDLPEASRASYNEVYRAPGSTQFISRRGSTLSPSRVIRTVLNLSNVFRCLNLKISAYDEEGWGGDIKRGRFTPLLLEVAGQTEITSPCIPPPCVGRRRKGKSVGRVWLSYNSHSATMIGLVWNYQGIGPALTMNVLKQLVSKIGPTIIFLSETKNKSEKLEVLRRKLGYNNAKYVEPVGKSGVDFDERRRLYERITDIVHIGELPIVCCGDFNDILCQEEKSGGNLKAKRKIDCFKDFVHGCNFIDMGFKGQQYAWFYRIGSDHSPILLDTCYSEEKAMRSFKFEAMWLESPDCEDVVKRGWSVPFEGSGCYQLVNKLKKSKNELIAWSKKAFPNNRKVIDELMTELVHLQNQKVEEQDVLAVQETMMKLKEAWDREEKGGRLGTVLDHIPTLVMEDMNQSLTKPFGSEEIREAAFQLGAHKAPGPDGFNGIFFQEFWDIVGETVTKATLGFFNGGFMLRELNSTCIVLIPKVNSPVEVTQYRPISLCNYAYKVISRVLVNRLKEWLPNLITENQNAFVAERQIHDNILIAQEVFHYIKLKNKEKKSVLALKLYMNKAYDRVEWDFLEAVMKKLGFCNQWVNWIMQCVSTVSYRLIINGKPYDVIQPSRGLRQGYPLSPYLFLFVADALSRMVQVVADNKELRGIKLSRECHVLTHILFADDSLFFLEAEGGSCRKMADIIESYGNASGQKVNFSKSSVIFSFKATDQVKADVANWLGIIKADNPGTYLGIPSLWGKTRREVMVVMRDRILNRLQGWKQKLLSQGGREILIKAVISAMPTYMMAIFKVGGFFKINMLSGPGCLKVGDGCDINIWRDRWIPLSDSGRVTTTQPIESAVPQRVVDIIDKESRSWKLDEISHLVSETTVEEVHKVPLSRSCMKDKLIWPKEKNGTYTVKSGYYTKKSSIPMRPQYSSSSSHVVDKKAWRFIWNVKAPPRVKHFLWRACVNGLATKLALKQRRIGHDATCPICHEMDQSVEHILLQCPWVETVWFGVLGLKVDRGSITSLDDWFLAVVSNMKGTREEIDQLGTKIAFTMWVIWKCRCENVFQNRVVDPFQCVQRSQNAIWNFIKTKTECASNKRKTCNASRVPEVWSPPNPHFLKFNCDGSFAVEGGKASIAVVVCNGDNGVLDGLAESTMANSALETEAKALLAAVKLVKSSNTHNAILEIDSEKFMGALSSVKKCRWEINTIIQDIRSLMCDSGTMKISLVRRTANSAADWVAKSVRLGECPDGWVLQPPLPLLHIYNKDIIPAPPDV >OMO54692 pep supercontig:CCACVL1_1.0:contig14910:1048:5214:1 gene:CCACVL1_27657 transcript:OMO54692 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MRVVAGRVLSTIAKRRRWLRKPISADIDELHRLELPGFGGYPFTGFYGKPETSYRNESWQLLSTLRGQSSLPWMCAGDFNELLSNDEKIGVTNDWLTKFGASYEEHIPTTVSDHLFLYVRVSDHQELRYGRQLSFRFENMWCSHAGIEEVIRSAWEDLGGVDVVSKIHQCGEKLKQWDRNVFGNVKYKIGWMKREYDRRYNEAQLGGLTKGLQQCQDELNELYKQEELMWRQKSKATWLRDGDRNTTYFHSVASARRNRNLIAGIKDVDGNWQTDMQVIEREIMDYFQTIFASLNPITSNIRLVTTKVADHLSADMKRHLEEEFTPEERFWGIVGADVTNMALSFLNQGENQSAFVPERMIFDNAFIAFETIHFVRNKRSGRKKHMALKLDLSKAYDRVEWSFLEDIMCSMGFPSRWVALVMTCVRTNFEKASGQQINIDKSAIMFSYNTTSGLRDSIMQRLGVEKIMENDRYLGLPIMIGRSRTRELRLIKDRLWSRVNTWKGKLLSIAGKAVMIQSIAQSIPLYLMSCFQFPKSFIHELNMVIADFWWGERNGRKKIHWRSWTDLCVSKMDGGLGFRDYEAFNLSLLAKQCWRLVQNSDSLCFRLMKAKYFRGSNFMAARQGRNPSFLWRSLLAGRAVLAEGCRWRVGDGASIRVFVDKWINSPPSYKPMSQYVEDENLNIRVSDLIDVDLKAWRVDVLERLFSDEDKFRIQCLPLSSYPRCDSLVWNLDPMGQYTVKSGYFVARKLLGREEFPIHSRSIIWKSIWDARIYPKVRYFAWRLEVWNTSCPRMANFLEDEIEEMEFWSRLFSRAAQLGSIERVLFTLWAIWTNRNRCYHEVVCGSAIALTLAVAHHLQQMIQVFPGERRGGISTQLVRWMPPTVGSFKLNVDAAFDKNRGVAGLGAVIRDHNGDVRSYATKQLSFVSDLFYAEIYAIKMGLQLAKEEGLQRYLVESDCLVGISAINSSSTLFWEGACLIDEIRNLATSFESIRFRHLNRKANYCAHGLAKFAFQTCTDYVRYGELPPDVCNPDLQF >OMP07758 pep supercontig:CCACVL1_1.0:contig04217:387:548:1 gene:CCACVL1_01231 transcript:OMP07758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEKRMHLKLVSLCPTGSNDIGRQANFLVGSRVEKVDITGLAGVAHRSPEFIVHS >OMO94443 pep supercontig:CCACVL1_1.0:contig07880:42450:43728:1 gene:CCACVL1_06003 transcript:OMO94443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKPKFLQSSPQSLHPPTSPSPANSPSFPIQKQLKLSRRELTVSGNSSLLLLLASQALEPVLHTSKAQAQEIPPETDNASVTLNCSKKVPTKRAFLDIAIDGEPAGRIIVGLYGNDVPAGVGRFSSIVSGAAGISYRRKEFVKIMPNYVQHGGLRSYGVDAELAKGGGSNQAVEKLKEEWEGVFDKCQGVNNLAGTVSIIVRDPSKPPPKMKLVARKGKLEIDQEEIGSDPNGTEFVISIKDSPELDSSALVIGEVLEGMEVVKRIGQVKTVQENTSSPYFRVAKLIGDKRAVVAERGFNRPYSKVVITNCGLMD >OMO94442 pep supercontig:CCACVL1_1.0:contig07880:37734:40748:-1 gene:CCACVL1_06002 transcript:OMO94442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primosome PriB/single-strand DNA-binding protein MANSLANLSRRVCRSLVSNPRGPQLSYSFCTSTTDTVTSSSESSDLDIDSPPSESSPPSKSPNNSNAQRRLYDTPLENGLDVGVYKAILVGQVGQTPVQKKLKMGDLITMFTVGTGGIHNYRRPYENEQPQEYANRCAVQWHRISVRSQLLGNLAMKHLVPGSIVYLEGNLETKIFADPITGLVQKRREVAIYKNGRLVILGNSENAQRPTLGEMQGAGFH >OMO94444 pep supercontig:CCACVL1_1.0:contig07880:44149:50167:-1 gene:CCACVL1_06004 transcript:OMO94444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C3HC-like protein MVLTGSMNKLLLDRPMKALDIGPSRKTAFQTQSEHTWRSSRLLEFQIPEERKSSPGGQLSRGKKRPNPLSALAIEEPKRSLVAAEAPLCRPWDRGDLLRRLSTFKSMTWFAKPKVVSAVNCARRGWVNVDMDIIACESCGARLLFSTPPSWTQQQVEKAALVFSLKLDSGHKLLCPWIDVTSDERLVEFPPTMPADLVDKFRERFSSLFQLSALPVISSSAIECMRSPQLEEFLRRPLTVYKENNEVSQSENIEDEIDVDSANLYYQAQKLISLYGWEPRSLPYVVDYKDGSNQFVKDADILKLSQGGYRNQSLSFHSVDGNKNLMANKGSENSCGLEYDPKSVVLDCKLCGASVGLWAYSTVQRPVEFIRLVGYAEVNPGVHDSGHESNADNRLVVFASNGGSSSLEQSSNLKLTIAGGPPPTRQNFKATISLPVIGQSLRARLSYHPEFRDRIPNNQEDTRPESEFNIIQGEVDCVNNSISGQVVPLEGMRTLDSKEDDQLNCNNTSNDQSPHSNPDVSARDDIFRNLAPLEGTSFNVEEMSSGTDSGAHDHHMGGQIKSFQEVAQGSCPSNKFPENVDHNRSDHLAEQDSGTQNKESSATTEGANFPPRNAGTIENDSSVMITSEKGHPEQIAETDKVGNKDVSSSIHQESTGVSYSESDIVIHSREDNSCSDAPIASNQEGMIAGVQMAENNKLLSCARGKDPKQLHMDKTTEFDPIRQHRHFCPWIASASGMAPGWQQTLSALLCQKDIPHSSPTCSPSSASMIEVDDPILSVRNLFASPIAKRMKTAREST >OMO94441 pep supercontig:CCACVL1_1.0:contig07880:31346:36144:-1 gene:CCACVL1_06001 transcript:OMO94441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAETSSQSADSYIGSFISLISKSEIRYEGVLFHINPHESSIGLKNVRSFGTEGRKKDGPQVAPSDKVYDFIYFRGSDIKDLQVLSSLSVPSVPAIPDDPAIIQSHYQYPASSSSLSHSSASSMTSLSSNSQLGLTSSTFQGSVPQYQPVGNMVSWGFEAPTTVNSNEHSMPMYWQGLNGLSGGYSYLQQSSLLRPLPGLLPSAGPQQMQHSTIDASIPSGAPNFPEIPDSLPQPSSFDSLKSTLLPSLSASSMNSVLLPPSSSGSQNSTVLPPSGSLNSTFVSSASSTFPANIPMSHAAQASNYTAINDPNASSLTLSSNLPSASPLTYAVNTNNVAQFSEKSKLTLRSTFPNISMSTPKPPINGTSGSKHPEVTTPSLVTPGQLLQSAPTSPPTVLPSSLPLQTAQKDIEVVQTSALEPLSTDINEAQAPILPTPSVSSGKMNEASLDSRHHDKGLERGKRNGPYRAAVRTHQRIRGHVEERENKANGVIRQSHQTNNKIHGRGRRNGLYGTASHSHPSNKRHTGGIEKTIGTALCAYHGGRGRAKGRTNMISRSATKFTEDFDFEAMNEKFNKDEVWGQLGKSMKGISEDNGDANDSQEDNEQHEGDDGLPKIDIKPVYVKDEFFDSLSFNTFDREPKKERSKFSEQKKLDAETFGGFRVNRGGRGGRGVGRGGWLRGSGYGRGYGHAGRGQGRAVWSRET >OMO94440 pep supercontig:CCACVL1_1.0:contig07880:10257:13485:-1 gene:CCACVL1_06000 transcript:OMO94440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MEREDSIRSHPTHAGADSHTIVSYSNGEELYCCNKSEMVKELKKQTRLAGPLIAVTFLLFSFNIISVIFVGHLDKVSLASASLANAFAWATGFSFMLGMGGALDTLCGQAYGAKQYNIVGVHMQRAMAVLAFMSLPISLIWAFTAQIFIICKQDREISMHAGVYARWLIPSLLPYGLIQCQLRFLQDQNITLPLMISTGASSLVHILVCWTLILKLEFGNRGAALSTAISYWFNVFILAMYIKLSPTCTQTWTGFSIDGRKKLLSFLKLGIPSALMVCLEFWAYECLLLMSGLLPNPKLETSMMSISLNICGVAFRIPYGFGSAVSTRVSNELGAGNPRAALLAVKVVMLLAVTQGVSLSLITVALRRYLGFLYSNDHQVITYLASVIPIVAVSNFIDGIQGVLSGTARGCGLQKLAAWVTLGSYYLVGLPSAILLTFVFKVGGKGLWLGIICGSSFHAFLLVVITMRTNWKLQAVKARDRVHGSTVSTSTESNRHDEENPQL >OMO89213 pep supercontig:CCACVL1_1.0:contig08827:623:754:1 gene:CCACVL1_07985 transcript:OMO89213 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex subunit 7 VDELQSTIEEEQKSLIEEMRMVTEEQKSGMEDVNGGSEAMAVD >OMO89214 pep supercontig:CCACVL1_1.0:contig08827:5511:6361:-1 gene:CCACVL1_07986 transcript:OMO89214 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor MYB39-like protein MNIPLDNALRLQSDAAQLAKIQLLHNIFQILGTNGGTSSSSIPTMEAMNFLAGPTFRENNSQLHELLRMNSQLEGNSNNNNILPFPNIAPHELTQLHSNFLNLEASNSHQNQIQLSDYHPQVMKECPNNINEHFASSSSSPANYNIPTSSQLPALVPASPDHKRPNTANQSENNKISPNDHISNHSSTSTTFEAWGDLMDDEASDSYWRDIIDQASSQSWPIS >OMO78917 pep supercontig:CCACVL1_1.0:contig10529:199:2343:-1 gene:CCACVL1_14014 transcript:OMO78917 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MASTDDSGENNFCDEYLLLKPEEASFFDLFRLLFSSDQLEKGKFIDCPQGYDLKNFRRRWLIFVSIVAQKLLLLVRRPLAIVGNVVETWLNLLSENGGFFKLLVNLFTGRLVWPDKTSARFRSILGQIDRRIDLDDNIKPDDTKYKAMLTMMASKFSYENEAFIQTNITEHWKMKFLKFYNFWNDYQQRYSTQGFILQDTQANPNMTVVAFRGTEPFAADDWQADVDISWYKLKNVGKAHRGFMKALGLQKEGWPKEITDQHEFAYYTMREKLKEILKTNDEAKFIVTGHSLGGALAILFPFVLVLHEEEWLLNQLEAVYTFGQPRVGDEEFGEFMKENLSKYDVKYF >OMO78919 pep supercontig:CCACVL1_1.0:contig10529:11002:11064:-1 gene:CCACVL1_14016 transcript:OMO78919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDSHTAKTGKGNPFKGFC >OMO78920 pep supercontig:CCACVL1_1.0:contig10529:12314:12469:-1 gene:CCACVL1_14017 transcript:OMO78920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKAHCRLFKAFNIFGLLFNFTLPIERAFLIDVYIISLCVHHQPPTARP >OMO78922 pep supercontig:CCACVL1_1.0:contig10529:22111:23361:-1 gene:CCACVL1_14019 transcript:OMO78922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding protein MALMLDNCEGILLSLDSHKSVPAPFLTKTYQLVDDPATDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKKGEKHLLCEIHRRKTAQPQVAINHHHHHHPHSPLGNGPTFFPFASRVSISPADSDEQANWCDSPPLSSPRGATGVSVVVGGGGGGGGGGGYNNSSVTALSEDNERLRRSNNLLMSELAHMRKLYNDIIYFVQNHVKPVAPSNSYSPSLLLCGPPSSAAPVVTTNTSLLQKPLNQLLGYYPNSTQKQTPQVQVLNSPTATSQSSLTILEEPSSNTCKTKLFGVPLQSKKRLHPEYGAPNMETNKARLVLEKDDLGLNLMPPSRC >OMO78918 pep supercontig:CCACVL1_1.0:contig10529:8728:9507:-1 gene:CCACVL1_14015 transcript:OMO78918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEQLKSATEDQMEMMMMMQMDKLPEFFGAYNDVVELPPAEAELSPGASNNNTSAMPHFVETPPFINHLPTISFNGSTPVQEPGGAAAAVAAGFLSSPGGSARWRGGAGELSGANAFSTPSQKKNSMAAMREMIFRIAAMQPIHIDPESIKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAANRPTTTGLGFPVAMSNGSTFLPMGKVPFQNVQQFGDA >OMO78921 pep supercontig:CCACVL1_1.0:contig10529:15294:18496:-1 gene:CCACVL1_14018 transcript:OMO78921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVIASSSVDGGIGCWDLLTGVEQLRYKTCASPSHGLVTVGGRFLASSQLRDPSATSGHVLYWSWSKPRPEVKSFPAEPIKSLAANSEGTYIVGGGPSGDIYLWEVATGRLLKKWHAHYRAVTCLVFSEDNSLLISGSEDGCVRVWSLFLIFDDFRRQQASHLYEYSFTEHTLRITDIAMGYGGGNAIIVSASEDRTCKVWSLSRGRLVRNIVFPSIIDAIAIDPGEHVFYAGSRDGKIYIAALGAESSPSSSFGSHIIGSLSDHGKAVTCMAYSTEENFLLSGSEDGMVRVWNVRNQNITRMFRHAKGPVNNIIIVRRPISSGQAESNAKASSRKHELSLPPPLEKYANSTDEDMDDKTIITLPNSNLPPVPSYLSSQLISDHIKELQQRGSSAAAELEVERLKLDCKRSMQMVHQWKKIYGNLQEFCVNELLEGEQMEVSEGNPP >OMO78923 pep supercontig:CCACVL1_1.0:contig10529:26780:29651:-1 gene:CCACVL1_14020 transcript:OMO78923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIYESCCCRKQEGPGGAAGFLAV >OMO97739 pep supercontig:CCACVL1_1.0:contig07204:32047:32106:-1 gene:CCACVL1_04475 transcript:OMO97739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAGRAGRSLGQRDPALT >OMO68057 pep supercontig:CCACVL1_1.0:contig12290:24277:25045:-1 gene:CCACVL1_20103 transcript:OMO68057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSPSVFPLFFCLFVTLLLPLSFSISNPDPVNIQPLPITSSPPATIPAFPEQSNVVGCPLDLPEELFHSIKSACGTSKNNGVTGQLHRSRCCPVLAAWLYAAYSATALGRAGRVVPAVAGHTPSYDMPLLPDDSETCVDDLGKALRQKGIELVKPNETCDVVYCYCNIRLHPLSCPGAFSVDQNGKLVGDKNVERLERNCLSSSSNVNGFPGLGGCNKCLKSLHSLKI >OMO68056 pep supercontig:CCACVL1_1.0:contig12290:5674:6837:1 gene:CCACVL1_20102 transcript:OMO68056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MPYPRMPLPPMSYQPMAFPSIPFQQVNPYHVRTSSLSILSIDRSSFSRQLGCTTFNSDISPVEAQKWLTHVSDDFTKMGLDDAAKVEFVKGFLSGTAWTWWQSVRKEVGGEITWSRFVAEFCAEYYTVYNKSSATKEFFELTQGSMSLEEYEIKLRRLARCIRLSHDKDILCSRFAFGLREQLKPALAIKLKYNRFVAAAKQAEVEAGRAPNKKKSSWFHKIFKGKNKRQQHESSSNQSSKRSRSSGSSSGASLSSYGGSPQVHASPLGISAQGSSYGNRAQMRSNICKNCRSEHDGLCPFPSLCYHYGGTDHLKRDCPIRQLINDISKWSNQYTSFDRTTAVANVFATSSGTLAPESHTRGRAQPRMHTRTRQNRQFNPDNSSGNH >OMO49626 pep supercontig:CCACVL1_1.0:contig16449:5168:10066:1 gene:CCACVL1_30885 transcript:OMO49626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTVIKRTATLASRRILTASSQILLHHFHSAAAAASITRNADTLSVNGFKPFSGFSGPMARDFHVKSGPLNFRASQSLQAEYAVADYEEEKGGSDGLDISSLGIAPEIITALKNKGITKLFPIQKAVLEPAMQGRDMIGRAKTGTGKTLAFGIPILDKIIRYNAQHGRGRNPLCLVLAPTRELARQVEKEFQESAPNLDTICVYGGTPISRQMRQLDYGVDIAVGTPGRIIDLLKRGALNLQEVQFLVLDEADQMLQVGFAEDVETILERLPANRQSMMFSATMPNWIKSLTQKYLKNPLTIDLVGESDQKLAEGISLYSIAADMRGKASILGPLITEHAKGGKCIVFTQTKRDADRLAYAMGRNFRCEPLHGDISQAQRERTLAGFRDGQFNILIATDVAARGLDIPSVDLVIHYELPNTSETFVHRTGRTGRAGRKGSAIVIYTQDQSRDVKVIEREVGCRFSELPRIEGDGGNCDMLNDMGGGGRFGGARSGDMGFGRSGRQGEYGFGRPGGNRSSGFGRSGGHFSGQMGGFGGSSSGRFGNSGGHSGGFGESGRSGGFGGFGSRNTGGFGENRSAQTGGGFGSFGSGRSSGFGDFGSGRSTGFGDRSGQSSGSFGDSRSGRFSSFGDVKVHDG >OMO49634 pep supercontig:CCACVL1_1.0:contig16449:80428:83212:1 gene:CCACVL1_30893 transcript:OMO49634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNSFKNATKTNPNLFLLKTRNRSLDSYSHSYSCLILSQSPSSSEESPQHRLNINAKDVVASFKDWFKSRNNALFDRIFTILSSQDHSAVDDTPSRRAADLALSHLNLRLTESFVLQVLYYGSSSKDVLSCLRFFDWAGRQPGFHHTRVTFHAIFKILSKAKFVSLMLDFLEDCMGCRYSYGKISIHNTLVIGYAMAGHPEKALHLLGKMRFQGLDLDEFSYHVLLNALVEDTCFDAVDMIARQISMRGFQGPITHTIMVKSLCKQNKLDEADAYLRRFVDASNRAAGYAVSFVVDAFCRSKRFHDAATLLEEFKELNVPMEHAYGIWLTNLGRDGKLTRALEFLKTKKLLDGYVPNVFSGMAYNYLINTLCGKGGADEAYRVLRNSMDEGYFPGKKTFDILADALCAEGKLDKMKELLVIALERNLMPSNSVYSKFIAALCNSNRVEEGYLVHGEVSRLAGYAKKPELARQIFEMMKRSGMEPTMTSDIIMLHSYLKNNRISDALNFFNDVRRRRGTIVRGLLRSGRKEEAGKWLKEMFNKGFHPTNATIREIGNVKRL >OMO49635 pep supercontig:CCACVL1_1.0:contig16449:84392:84649:-1 gene:CCACVL1_30894 transcript:OMO49635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFYTVILEEKGDVATVATVRVHDGKVAELPLAATRFSHRRRGMCRLLVEELEKQLRELRVERLVFTSSAKCSGNMDQTRILQDDR >OMO49627 pep supercontig:CCACVL1_1.0:contig16449:11807:18461:-1 gene:CCACVL1_30886 transcript:OMO49627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSLAAHQRNEASSSDPPNHTQVCIEMEKSVEASLVQTARRPNLSSLQIPLRSLETSFYDFTSVDIPSDPSPSSTKAGLPPRPHSAKIRSSVRNILPQRSFRGNNLCQKGEKMVLIVPDTPPSDGSLEKPSTSRSFSLNKVLFPSTKAAHSLPVTPIAHSGASSIPERHVEAPSHVSKSAVQQHITRSLSVPVNVKSKSLRRADSGRGLVRVISATSRPVAVEGALSNDAQSTEITSEDAGEDIPEEEAVCRICLVELCEGGETLKMECSCKGELALAHKECAVKWFSIKGNKTCDVCKQDVRNLPVTLLKMNDPRTVIRRPPAVSQQAQVARYRVWQDVPVLVMVSILAYFCFLEQLLVSDLGARALAISLPFSCALGLLSSTIATTMVSRSYIWAYASFQFAMVILFAHIFYTVLAVNPILSVLLSSFTGFGIAISTNSLLVEYLRWRLRRQTQSNQQQNNRTQQHHQVQQQPDQNQRENDRHRQPQDQQQFFDSHHVRSTATISTMEVSSCESDDHVVEGVSKYGTTLKWFKVKFLGKGSFGVVHQVMPLENNSKVYAVKSCADEYSSSLRKEAEILKRFIFCHHMVQCSGDMLSVEHGRRVYNIFLEFAASGTLLDLIYNKKYGGKIPESDARCYTRMVVKGLLEIHERGYVHCDIKPENILVFPSEYHYGEVGRLKIADFGLARRHGEIIKERQPEELKGRPWLPVFPGFSSQTCLVEQVLSSQSQTWLLEQVFCKIMLKPNTVLIFLWGMECYAKL >OMO49629 pep supercontig:CCACVL1_1.0:contig16449:34543:37066:-1 gene:CCACVL1_30888 transcript:OMO49629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNWEFFLFVLSVFDVTKGKSHYGAGGGYNHFAGRDASRAFVSGNFTGDGLTDSLKGLSSTEVHSVVGWRDFYFKSYKYVGRLVGRYYDSRGNPTKYLKGVESKAARGAQLMEKQKDMEAKQPSCNSRWSQDDGGEVWCDDAFPRLVQRPFEIALTGKMSKRCACFNEDQLGQPGLEVYNGCDYLAKRCRV >OMO49628 pep supercontig:CCACVL1_1.0:contig16449:28375:29153:-1 gene:CCACVL1_30887 transcript:OMO49628 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEVVRSKFCRAPKYLTYRKDDDPKGLSGWVMHEYLADQGLNDNTTVAICKIQFKASSAKINNNNKRQDAMLEKEKENPSFPQPNVEVEETQEDCFGQLVADTNPIEEALGTSQTIDIDYDGFALVVIEPLDNLGSDQCNGVS >OMO49630 pep supercontig:CCACVL1_1.0:contig16449:42563:52908:1 gene:CCACVL1_30889 transcript:OMO49630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MGVKQAIKSLDAFPRAEDHLLQKTQSGALVSIIGLVIMASLFFHELSYYLTTYTVHQMAVDLKRGETLPIHINMTFPSLPCDVLSVDAIDMSGKHEVDLDTNVWKLRLNSHGQIIGTEYLSDLVEKEHEGHKHDHDHEKEHHDDSEKKLHALGFDQEAEKMIKKVKQALENGEGCRVYGVLDVQRVAGNFHISVHGLNIYVAQMIFGGATHVNVSHMIHDLSFGPKYPGIHNPLDGTVRILHETSGTFKYYIKIVPTEYRYISKEVIPTNQFSVSEYFSPMTEYDRTWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFAVTGMLDRWMFRIIEALTKPSPRSVLRQSQDCETVRELCANGVCIKTTEVEAKLDEGNIQEAESSLREGLSLNFEEARALLGKLEYQRGNVEGALRVFDGIDLQAAIQRLQPSANENSNSSAVSSSSSTSSKRGRGTRDSQHQHAASLVLEGVYLKAKSLQKLSRFSEAAQNCTNVLDAVGRIFPQGIPEGQVENRLQETISQAVELLPELWKLAGNYQEAMSAYRRALLQSWNLDNACCARIQKAFAVFLLHSGLEAGPPSLGAQVDGAYVPKNNLEEAILLLMVLMRKIHQGTIQWDPSVMDHLMYALSLCNQTPVLSKQLEELKPGVLHRTERWNALALSYSAAGQNKAALNLLRKSLHKHERPDDLMALLLAAKICSEDSHLAAEGVGYAQRAINNAHGEDAHLKGVGLRMLGLCLGKQAKVSSSDFERSRLQSEALKSLDAAISLEQDNADIIFELGLHYAEQRNLKAALSYAKKYVDLTGGSVLKGWRLLALVLSAQQRFSEAQVVTDAALDETAKWEQGPLLRLKAKLEISQSRPMDAIETYRYLLALVQAQRKSFGPLKIDFQVDDDKVNEFEVWHGLANLYSSLSHWKDVEVCLKKAREMKQYSAELLHTEGFMFQERGEVQDAMSAYTNATLLEPSYVPCKVLIGAMLSESSSNSLPVARTVLSDALRIEPTNRKAWYHLGMVHKNDGRIADAIDCLQAALMLEESDPVESFGSIV >OMO49632 pep supercontig:CCACVL1_1.0:contig16449:59222:65653:-1 gene:CCACVL1_30891 transcript:OMO49632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MGSILEEQALLAVGSDICDMDSDALEEILEVGGGGGGVRWWARLFAWESRLLWQLSAASIIVSVFNYMLSFVTLMFTGHLSALELAGASISSVGIQGLAYGIMLGMASAVQTVCGQAYGAKKYAAMGIICQRSMLLLLGAAFLLTFLYYYSGAVLKAIGQSESIAEQGQVFARGLIPQLYAFALSCPMQRFLQAQNIVNPLAYMAVGVFSIHVLLTWLAIDVLKYGLVGASLTLSLSWWFLVIANWLYILISPSCKETWTGFSSKAFKGIWPYFKLTAASAVMLTLEIWYNQGLVLISGLLPNPTISLDSISICMNYWNWDINFMLGLSAAASVRVSNELGAGHPRLTKFSVIVVNVTSISISVVFSAIILIFRVGLSQAFTNDAEVIKAVSNLMPLCAISVFLNGIQPILSGVAIGSGWQHIVAYVNLTTYYIIGLPIACLLGFKTSLGVAGMWWGMIIGVLLQTITLIILTARTNWEKEVEKAADRLKQSANVETLQSCVGVGRCFHCQCWNPGSCLWNHAMGIVCQRAMLLLLGAAVLLTFLYYYSGAVLKAMGQSESIAEQGQVFARGLVPQLYAFALSCPMQRFLQAQNIVNPLAYMSVGVFLIHVLLTWLAVDVLKYGLVGASLTLSLSWWFLVIVNWLYIVISPSCKETWTGLSSKAFKGIWPYFKLTAASAVMLTLEIWYNQGLVLISGLLPNPTISLDSISICMNYWNWDINFMLGLSAAASVRVSNELGAGHPKLTKFSVIVVNATSISISLVFSAIILIFRVGLSQAFTSDAEGVWWGIIVGVSLQTVTLIFLTARTNWKTEVEKAVDRLKKSANMETLQLVAAV >OMO49625 pep supercontig:CCACVL1_1.0:contig16449:2137:2571:1 gene:CCACVL1_30884 transcript:OMO49625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DKYDAAMNQMHGQAADQVEFDPVAYASAVGERNRSHLYGFGSLPKESDILGQTSSRTSTSPSTAPAELSDEMKQLFQQWAREALPGILTPLLPGMLNSLGNRILDSASRDNIVDPHHHHNKMILKGMVMLMVVMMMDDDMEDLR >OMO49633 pep supercontig:CCACVL1_1.0:contig16449:69815:71218:-1 gene:CCACVL1_30892 transcript:OMO49633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASKRRTALLLCQDQHQNPSSIRTGKNVKLLRKLNKKSDPIHADHKKPNNPRKPRTALSLLIDRNVALPMAKIYYRNKAGVSLKKGRITRDGIVCDCCLKTFALTAFETHAGSTNHRPAAFIILDDGSGRSLSDCHKQVDDGSSSLKKKVSKVQINGQYNPSCGSESPDHVCSVCGDGEELIVCQQCPAAFHLKCVGLKEIPKGLWFCPGCCCGVCGNGVEGCCAACRQCDRKFHSICLKMKTKSSDYWKNCQTGNVNWFCSQSCENIFSGLENLLGNPIPISVEKNLSWTLLRSNNGDSDHYDKKKLSAALDVMHECFETSKDFYTGRDVAEDVIFGRESKLKRVDFKGFYTVILEEKGDVATVATVRVHDGKVAELPLAATRFSHRRRGMCRLLVEELEKQLRELRVERLVLPAVPSALETWTKLGFSKMTDEVKSSLLLNYTLLDFQGTIMCQKLLKTTHSPC >OMO49631 pep supercontig:CCACVL1_1.0:contig16449:54283:58540:1 gene:CCACVL1_30890 transcript:OMO49631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPSSASIHGSWIHQNVFRRSPLPYSMANNSQLSFSSSLVANPLSSMLNFSSKHAILRVGQSPRLDKVDGYNSLVGVISMGMLAPRKFMKKRKKVEEFKDAADETKQKSWRKLMNEIEDTGSASTVLRRQRTDDQSLPRDMVLGTLNRFKQMKKWNYVSEILEWLRAQSWWDFSEMDYLMLITAYGKIGDFNKAERILSFMNKKGYVPSVVSHTALMEAYGKGRRYNNAEAIFRRMQSSGPEPSAVTYQIILKILVEGNKFKEAEEVFETLLDKEKSPLKPDQKMYHMMIYMYKKAGSYEKARKLFALMTERGIKQSTVTYNSLMSFETDYKEVSKIYDQMQRAGLQPDVVSYALLINAYGKARREEEALAVFEEMLDAGIRPTNKSYNILLDAFAISGMVEQARTVFKSMRRDRYTPDICSYTTMLSAYVNASDMEGAENFFKRLKQDGLRPNVVTYGTLMKGYAKQKLQMNKRRLTNL >OMO51113 pep supercontig:CCACVL1_1.0:contig15970:18357:20483:1 gene:CCACVL1_30000 transcript:OMO51113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIIVTKERGGQTQRRKTPLITTFKSPGLKNHEIGKESR >OMO58402 pep supercontig:CCACVL1_1.0:contig14236:17805:18008:-1 gene:CCACVL1_25461 transcript:OMO58402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPDQRPRKLRWRLAFKGIFQAVCFEFCLGGRQASREELSRDISELRTTLENERVMAAVRVCKEEW >OMO72341 pep supercontig:CCACVL1_1.0:contig11464:175:5966:-1 gene:CCACVL1_17851 transcript:OMO72341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAKQALQKVDENVVDIRRCPKSNLEILDIVFPFASADRYSPFPLPLCHVYHLYLVWADQELRFLEQLKGIYPTSLTVMSNSIEDDSSSKPVGRWSILYYGVGHMLNDITSACWFTYLLLFLTEIGLSPRDAAIVMLSGQIADGLSTIFFGELIDRFGHFKIWHGAGSVLVAVSFSSVFGGCVPCKILANSSSKVETISYSTFAAIFNVGWAATQVANLSLYGIALIVVSISIGTTPADIENQYRWIAYLSIFIGCCFVGIFHLGTNEPRSKRDIHGNIHARISWTYWFKKILYYQVALVYLLTRLVLNVSQAYLAFYVLDDLLMAQSAKALVPAIIYISSFIVSILLQEIAWNGQRLKAYYTAGGILWMLCGVGILLLPRRMSLFMYGISVFIGIANALMTLGWNVIRNLKLKELNFWRV >OMO72342 pep supercontig:CCACVL1_1.0:contig11464:17343:30818:1 gene:CCACVL1_17852 transcript:OMO72342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVNPGVEAQGAILVWAQKIFGDRWTEIANVVSGRMQLFVFIEDETASIKVAIGREFDWCGAEQANCFEQRPDLVAITETRLSGNKGVCARQAMGFQAKASIQPEASRSEARG >OMO72843 pep supercontig:CCACVL1_1.0:contig11352:6903:10664:1 gene:CCACVL1_17564 transcript:OMO72843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEPALVPEWLRSTGTVTGGGNSAHHFASSSSHSDVSSLVHHGRNRNSRNINDFDSPRSSFLDRTSSLNSRRSSSNGSAKHAYSSFSRSHRDKDRERDKDRSSFADHWDRDSSDPLECISTSRVEKDTLRRSHSMVSRKQGEPLPRRIAVDSRDSGINNHNNGNGLLSGGTSGSSIHKAVFDKDFPSLANEERQGVPEIVRVSSPGLSSASQSLPVGNSALIGGEGWTSALAEVPTVVGSSSTGSLAAPLTVSSSGSGAPSVNPGLNMAETLVLAPSRTRTAPQLSVKTQKREELAIKQSRQLIPVTPSMPKGSVLNSSDKSKAKPAVRTSEMNVAVKSAQQQPSLMHHGNQSTLGGHAKTDMPKTSGKLLVLKPGWDNGVSSPTQKEVASPTANANSRAVTNQHAVAPVTSAPARNSNNTKLSAVERKAIALNHIAGFTVEKRPSLAQTQSRNDFFNLLKKKTSTNTSAGLSESDPNSSSPTAEKSEVTKEVAGASATSHAKENGAAATSNGDNCEEAQRFSDDGEKNMSSAAMVYPDEEEAAFLRSLGWEENSGEDEGLTEEEINAFYQEYMKLRPSLKLCRGMQPKLGECFATDLAGASSELSSSDSGSEA >OMO62514 pep supercontig:CCACVL1_1.0:contig13253:5697:5983:-1 gene:CCACVL1_22778 transcript:OMO62514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTKPLQRSYYAMVHQVMSIMLQDRSFDDQLASSLISNPWTTDSVSNILRAVPRLFFQSPCLNSKLNRIKKESGKPYRYPSSKEERALPKYYYS >OMO62516 pep supercontig:CCACVL1_1.0:contig13253:18718:20126:1 gene:CCACVL1_22780 transcript:OMO62516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARTPPKQRKIVVAPLNPALLRETVKKVEKCMARLQELQYTVAGGTKVVSGVSLSPRSTRGYLKTSLRCKQESLRIKNATPRKSPVGKFPSTAGGEWKRMSLPAMLVGETVGEILQASQFAREILSAVDNKTKKKISVVEDPKTPLTEHKKQRPQQPDENTELKARRKREKQNKLQLIRTESGSPSLQRARSRINFKVSPPKIREFDKENNRHLANRVSPRNKPWAKKTVLFPNPLFSSTPASQQQKFCRTRSPVIARTRQTQTPHKFLIKSPPSASKFQVKIKSPPLVSSISPTRSSTNLTKKSPKLSAASKLRRSFSPSRLANRLVSPLKSRKSLPKCDGPMSGLKQRPALMTIRFSTGRI >OMO62515 pep supercontig:CCACVL1_1.0:contig13253:11397:12553:1 gene:CCACVL1_22779 transcript:OMO62515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLFGDVGVLGAKLTGILVVWGLITPWAEKLWEWLCKLRDLVFPKMYIEIDEFRSGLKKRNKAYSAIESYLSSITAARKNVPSTLKVDWFGKKRPMLFKSANAEVIKDEFEGVKVEWQLGTRSIGKKMIFLKSAASGDEKRYYALSFHPKHWDLVKDNVYWDFARLDHPANFNSFAMDSEKKMGIIKDLIAFKNGKEYYSRTGKTWKRGYLLYGPPGTGKSSMILAMANLLDYDIYDLELTTVKDNAMLKSLLIEIPRRAIIVVEDIDSSLEITGKRKTGNEDDEANSDDEDDGEGKQTSRGKSTVTLSGFLNFVDGIWSASGGEKIIVFTTNHVNKLDPALIRKGRMDMHIE >OMO62517 pep supercontig:CCACVL1_1.0:contig13253:20885:24773:-1 gene:CCACVL1_22781 transcript:OMO62517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLIAANSASKEKAQAHVRELEEEVKLLKNLSHPNIVRYLGTVTEEETLNILLEFVPGGSISSLLGKFGPFPEAVIRTYTKQLLLGLEYLHNNGIMHRDIKGANILVDNKGSIKLADFGASKQVVELATVSGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFYVGTTKSHPPIPEHLSNEAKDFLLKCLQKEPELRPAASELLKHAFVTGESLDSNPIFHASVMVSPLEPKFVFFAFPLNGSSENQFFDQDNSEISSSSPSHEGFHVSTCPDSVDICNLGSLNCSNTFADKFSESKDMWRVNCGEDDMCQIDKNDSIISEVWLCSASMLDNVNKNSDTNGEPSHDWKRKYGEDPETAPIGHPSTCNEGGNDFSFTCGPSLSEDDDELTESKITAFLDEKALELKKLQTPLYEEFYNSVNVLCSPSFIENKRDETPPNYLKLPPKSRSPSRGPMGTPSSAADAISTGSPGSNNSRRVSNIGNASDQASQENSSPQLSDRKGVLLDGQPEPSSPSVVFSEIQRKWKEELDQELERKREMLRHAGVGGKTSSPKDRALNRQRDRTRFASPGK >OMO92761 pep supercontig:CCACVL1_1.0:contig08173:2468:2838:-1 gene:CCACVL1_06760 transcript:OMO92761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSKIDSCGWKTLDSAALKRSSISLYNTEKQEIIVSKWARAKTRAAK >OMO92762 pep supercontig:CCACVL1_1.0:contig08173:5734:9035:-1 gene:CCACVL1_06761 transcript:OMO92762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MFPWLLAFSCESWFTLYWGIIVNCKWNPVDFKTYPENVDKRFPDLPNVDMFVTTADPVLEPPIITVNTILSLLAVDYPAEKLACYVSDDGCSPLTFYSVVEASKFAKLWVPFCKKYNIQVRAPFRYFLGDSDQPSNANYKANSEFQQDWLKMKAEYEVLTRKIEEAARKPIPCDLTGEFADFSDVERRNHSTIVKIILEHKEINSDHVVPDLVYVSREKRPKQPHNYKAGAMNVLGRVSGVMTNAPFMLNVDCDMFVNNPQVVRHAMCQLLGCPSEEIAFVQYPQVFYGSPRDDPYGNQFVAVFHYIGRGITGTQGYFYAGTGCFHRRKVIYGLWPDDVDEAPKHTSINGKLVDETALRKEFGNCEEFINSAAQALKGKQGAFPKNLSNSLEAACEVASCNYEHGTSWGTKLGWIYGSTAEDLHTGLVIHNKGWNSTLHALEPPAFMGCAPSGGPEAMTQQKRWATGLSEILIGKNSPIFSTMTTNLQFRMRLAYLWILLWGLRSVPELLYATLPAYCILTNSRFLPKVEEGAIWIPIAIFVTYNLHNLREYLKIGLSVRAWWNNMRMGRIAATSAFLLGLFSVILKLLGISETVFEVTQKDQSSDGDDNNPTKFTFNKSALFVPGTTLVLLHLISLLALPLRLQSPFRGVQGVGLGELFCSLWVMLCFRPFLTGLFEKGKYGIPSSTIFKSAALATLFIHFCRS >OMO79903 pep supercontig:CCACVL1_1.0:contig10364:5852:7807:-1 gene:CCACVL1_13324 transcript:OMO79903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAVCYYKFKSAKDFDSIVMDGPFISVRTLKEKIFESKRFGRGRDFDLIVTNAQTNKEYLDEAMLIPKNTSVLIRRVPGLPRKAIVAAAQEPKVENPIENAQPEKSNFDSEWDDEFGSDLYSTITETLLPVQSSNPPATNEADDEDSKIKALVNTPALDWDWQRQGRGMGGRGFGMERKTPPEGYVCHRCKVAGHFIQHCPTNGDPKYDIKRMKHPIGIPKSMLMETPDGSYALPSGEVAVLKPNEGVFEKEMEGIPSSSCSSNRCVDVPPELHCPLCKQVMKDAALTSKCCFMSFCDKCIREHIMSKSKCVCGATNILADDLVLNKTLRDTINRILESAGNSSSAGSTIFQVQDMESAGCPQPQVKVASPTTSAASKGEQKPTSAKQEGLKIANEPFDASVESMSVKEPASQLVEEEVQQRVASGEAGKKKKKKKVGPPANDLQWKTPQDFQTGNYNYNPYWNGMHPEAGMDGFMGPYYAGAMPYMGGYGYGYGYGYGGVNVMPPESDPFGAQYMFPQMMGGDHCHEIPRQRSDRTTPEQSLRDHQVPPRPAKRKADQHHDRDHHHHHHKPSSNGYYDDYKTSGGGGGRKSSSSSNAVDYESSDDDRHFKRRKPSRYESSPPPSAEWEEKARRHSRGSRERERSSYSQHRY >OMO79904 pep supercontig:CCACVL1_1.0:contig10364:13612:14777:-1 gene:CCACVL1_13325 transcript:OMO79904 gene_biotype:protein_coding transcript_biotype:protein_coding description:granule bound starch synthase MLLQNERASGKGTGMNLVFVGCEVGPWCKTGVLGGLPPAMAAALEAPRALNFNCCKNFSGPYGMDSSSVSSYSRKNLKKPRRDYVLEQGLALSRASIRSVAIARNVTATFKIDNNVPVGDVYLNPSTFYLSLPPPSEVRRYDSK >OMO79902 pep supercontig:CCACVL1_1.0:contig10364:1959:5154:1 gene:CCACVL1_13322 transcript:OMO79902 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MATVTASHFVSRTAHVNSHGAETNANVAQIGMRNQAMTHNGLRSLNKLDGLMMRTKAKAAARKHVTATERPSGKIICGTGMNLVFVGCEVGPWCKTGGLGDVLGGLPPAMAARGHRVMTICPRYDQYKDAWDTCVLADVKVGDRTETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGSKLYGPKAGVDYTDNQLRFSLFCQAALEAPRVLNLNCSKNFSGPYGEDVVFVANDWHTALLPCYLKSMYQSRGIYMNAKVAFCIHNIAYQGRFAFSDFPLLNLPDEFKSSFDFMDGYNKPVKGRKINWMKAAILEALRVLTVSPNYAKELVSGADKGVELDNILRKTCISGIVNGMDVQEWNPATDKYIDVKYDETTVMDAKPLCKEALQAEVGLPCDRDIPVIGFIGRLEEQKGSDILAAAIPKFIGENCQIVVLGTGKKAMEKQLEQLEIQYPDKARGVAKFNVPLAHMIIAGADYILVPSRFEPCGLIQLHAMRYGTVPIVASTGGLVDTVKEGYTGFQMGAFSVECDAVDPSDVDKVAKGVKRALATYGTPALTEMIQNCMAQDLSWKGPAKQWEQFLLSLEVAGSEPGVEGEEVAPLAKENVATP >OMO60862 pep supercontig:CCACVL1_1.0:contig13654:2934:2996:-1 gene:CCACVL1_23828 transcript:OMO60862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLGGRRRSHNSAGIPTWDG >OMO60863 pep supercontig:CCACVL1_1.0:contig13654:3449:3925:1 gene:CCACVL1_23829 transcript:OMO60863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGVQTRLQKDVATLQKEVQRIELSIEESSEHLRTEFKTSLEAAAAEMRQLFAQVTLKLGSSSSSQIPETPINASTSRINDSVQPTTDPKPFTKHSKLQCPRFNGEDFLGWKIKINQFFEADETEERNKVRIAMMHFDGRALQWHQRFMRVIGRQQS >OMO52637 pep supercontig:CCACVL1_1.0:contig15494:9296:18245:1 gene:CCACVL1_29151 transcript:OMO52637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat (LRR) family protein MNLIQIILTVQKINGAASVFCLPGSDILGWFNHKRIGSSITVKLPSGWSKRSFSGFALCIVVDFKDYEDHCQSRNGFEQCPAVGSLHPTIICRVRFKTKHGDNHNEQYHNFSCKWKIGNDDEPRHIRHDHVLFLYHNYIYRSVVHHMVDEDNGNEVSFEFFEENLRCKVKKCGVRLLYALVEVAAEVTREVTHVEDDDVNQDINSEDEEHESSNDGNDEDHESGSDEGESGSGSDDALHDSSDDDYQSSHHSQMQIASVNLMYDIRRITAASSSAIAALN >OMO52638 pep supercontig:CCACVL1_1.0:contig15494:19188:19307:-1 gene:CCACVL1_29152 transcript:OMO52638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPALPPPPSPKGATGRQPKPKPQPLPPSPPPPPPIST >OMO52636 pep supercontig:CCACVL1_1.0:contig15494:7941:9201:1 gene:CCACVL1_29150 transcript:OMO52636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLPKLRLLNLRDCKSLRKIPDLSLAPNLEMLDISYCSSLHHELPSLSSFPSLERLEIHGCLNLKKFPEVPLHITTLNLSGTSVEEIPKSVMELECLEYLSLSGCPLTNFPENLPRSIKSLYLDQTNILAVPSSIGSFSELSKLHMSGCERLKTIPTSLCRLKHLEYLDFSNCSRLDSFPEILEPMETLWKLDLSGTALKGLPPSLSFLVGLMELRLNDCRNLTSLPNNLCSLTSLRLLYVQNCPNLVYVPDIKSLWRLYADETALLQLPSSAGHLEELSLSRCKDLKLGLFSGLTSVSNLCLRDSNISEITEHLGKLTNLSRLDLSKNEFTRIPTTIRRLPNLAELLLISCKRLRSVPILPPGLKILDAHNCTSLESVAQQPHCKPDDEDGSCSYIFSNCFNLDQNSYNKIVAHAQLKFQ >OMP10644 pep supercontig:CCACVL1_1.0:contig02079:773:853:-1 gene:CCACVL1_00837 transcript:OMP10644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEEDQSTKWPDHAVNCRGIKAMPL >OMO89577 pep supercontig:CCACVL1_1.0:contig08670:19727:21381:-1 gene:CCACVL1_07760 transcript:OMO89577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRKKKKPIKKNPQFLSRLSTQISPRSLSPSDSNAMRSPNKLTIFPKRSALSFVYPSSTIPFPPYNHSISTVYDCLVCRNCCNYANGFGGSIIWFLKE >OMO95709 pep supercontig:CCACVL1_1.0:contig07622:9645:10918:-1 gene:CCACVL1_05296 transcript:OMO95709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MTRCFSLTEAKNWFHRTFFTKSGLRSTITDLKDGTVMHCWVPKNPKDSKPNLLLIHGLGANAMWQWGDVLRYMIPYFNVYIPDLVFFGESYTTRPDRSESFQAQCVMRVLEANSVRKFSLVGLSYGGFVGYSLAAQFSEAVERVVICCSGICMEEKDLKEGVFKVSDLEEAAGILVPQTPEKLKELLGFSLFKPPPLSLVPSCLLADFINVMCTEYTEEKKELIRAIPKDRKISNIPKISQPTLILWGEYDQIFPLELGHRLKRHLGDKAHLVVIKDAGHAFNIEKPKEFYRHLKSFLVDFKPPPDLPPKNNKS >OMO95710 pep supercontig:CCACVL1_1.0:contig07622:15759:18988:-1 gene:CCACVL1_05297 transcript:OMO95710 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MDWLCCFSSSQLGGGRSSSSSGKGKSHEGMMRFGFSLVKGKANHPMEDYHVAKFVQIQGHELGLFAIYDGHLGDSVPAYLQKHLFPNILKEEEFWADPTRAISKAYEKTDQAILSHSSDLGRGGSTAVTAILINGIRLWVANVGDSRAVLSRGGKAIQMTTDHEPNTERGSIENRGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDVQNTNVDGSTDLLVLASDGLWKVMTNQEAIDIARRFKDPQKAAKQLTAEALKRESKDDISCVVVRFRG >OMO95711 pep supercontig:CCACVL1_1.0:contig07622:40340:43158:1 gene:CCACVL1_05298 transcript:OMO95711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MAYTPSITEEFSHSPKLSPLKHSSVEISPKLISLPTKYSIEEEARANHSREFSSCIPPSSLQKTVAELVGTFFLVFVGCGAVIISQDHDLGVAGIAIAWGLVLTAAIYAVGHISGAHFNPAVTLAFATARKFPWKLVPTYLLAQVLGATLASLTLRVLHHEKDKIKLAVTQYQKPQTSDLEALTWEFIITFFLMFNICAVATDHRASKVITGITIGATLAFNAIIAGPITGASMNPARSLGPAVVSGVYKNLWVFIVAPILGALFATLVYSILRVPEPEKPEEGEV >OMO95713 pep supercontig:CCACVL1_1.0:contig07622:57269:61411:1 gene:CCACVL1_05300 transcript:OMO95713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHQLRVRNQLCPFRKVKRLFRIGDLLVQMAEFDLLVIPTGPRLSHRGLEGHTHCVLCNQAVESIQHLFKECSFAVNIWSRVGLGSSNLDFELWFKNLVSDKSFFQVHRVPRGLIATHIIWEIWKTRNRAIFQGTQPDLNSTISIAMSKAVEFFAINGKMKPLPLGSVIPVSWQPPGAAWLKLNTGGSSLGNPGLAGAGAVIRDHEGGWVRGLLLLSIPMPKVKRKVEAHEKRIRKELEKQDVLSLVIVSLDGGRGLAESLKKEKNLKLLEFLVCGLNGIKRKKLKDLLAVREELYGKSAKVVALTKWGWVFGGIKCREDMEDQAQSIRFISNGMVIDTIDVL >OMO95707 pep supercontig:CCACVL1_1.0:contig07622:6237:6431:1 gene:CCACVL1_05294 transcript:OMO95707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STSSFCPCDIAIEKNQTVKISPKSLTSIAAIPPSPAPNISRFAHTELILNRRFSLPSKPHPTVR >OMO95708 pep supercontig:CCACVL1_1.0:contig07622:7285:8630:1 gene:CCACVL1_05295 transcript:OMO95708 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 protein MVEAMKRVAKLDVELTVEERNLVSVGYKNVIGARRASWRILSSIEQKEEAKGNEQNVKRIKEYRQRVEDELAKICNDILSTIDEHLIPSAGTGESSVFYYKMKGDYYRYLAEFKAADDRKEAADQSLKAYEAATATASSDLPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLPEEGGEQSKGDEPQAES >OMO95712 pep supercontig:CCACVL1_1.0:contig07622:44101:47674:-1 gene:CCACVL1_05299 transcript:OMO95712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome/DNA photolyase, class 1 MSGGACSIVWFRRDLRVEDNPALAAGVRAGAVIAVFIWAPEEEGHYQPGRVSRWWLKNSLAHLDSSLRSLDPISLVRDHRAKEVLTTHGVAVRSFNADLLYEPWDVNDAQGRPFTTFDGFWERCLSMPFDPDSPLLPPKRIISGNVSTCPSDQLVFEDDLEKGSNALLARAWSPGWSNADKALTTFINGALIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNKAGEESVNLFLKSIGLREYSRYMSFNHPYSHERPLLGHLKFFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGREFDRIDNPQFEGYKFDPNGEYVRRWLPELSRLPTDWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKARLEEALSQMWQQEAASRAAIENGTEEGLGDSSESAPYAFPQDIQMEETIEPARNNAPATTRRYADQMVPSMTSSFLRGEEEESSLDLRTSAEDSRAEVPRNVNINQEPRRDTLDQGIAQTTRNNNTFPLINFPMAVGNAEDSTAESSSSSSRRERDGGVVPVWSPPASSYSEQFIGDENGIGASSSYLQRHPQSHQILNWRRLSQTG >OMO54865 pep supercontig:CCACVL1_1.0:contig14878:17141:22860:-1 gene:CCACVL1_27512 transcript:OMO54865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MLMNPFQELDHRDKVVMKDPDDVEAYRKSIERLRVHIFLAGLDNVYEHIRGEILMKKSIPTLEDCYALIRREAVRRVMLKIEHENLEAAVMVTRNKAKATTGGEKSTYKCSHCSQTGHTKDRYYELVGYPDWWDHNRASKKKNSKKTPTATVAETKADDDVVEMSSGLAATIDKGGGSRWFVTFIDDCTRMTWLWLMKSKSDVNLLFQKFHKIIQTQYNEQIRVLRSDNGGEYLNSELTHYLETQGMINQTTCVDTPRQNGVAERKNRHLLEVVRALLIQAHMPLAYWGEALSSAAYLINRTPSRSIEFQTPFQAFTKAVAAPPIPNLPHRVFGCVAFVHIHKHQCNKLAPRALRCVFLGYATSQKGYRCYHPPTKRMFITMDVVFHEDTMYFSESDFQGQNEKEIHTLDYDIQGECKGDYQFLDGNVVNDIIGDNVVGSSGNGVDDQEEHESRIESEEIGDVVPSVTEDETLIDIPHQLSAEDVPIPALELPRKQLLERLTRGIPKPTYEPEISSRVKYPMSHYVSNNNLSESNKSFVNQLSGVAIPNRVQEALDDPKWKAAMNEEMNSLQKNQTWEIVECPPGKKPVGCRWVYTVKHKADGTVERFKARLVAKGYTQTYGIDYTETFAPVAKINNVRVLLSLAANMDWPLRQFDVKNAFLHGELSEEIYIELPPGNDPDERKALQEYLSREFEMKDLGCLKYFLGIEVSRSKKGIFLSQRKYALDLLHETGMSACQPADTPVEEGLKLCVEADQVPVDKGRYQRLVGRLMYLAHTRPDLAYALSIVSQFMHNPSEQHMKAIMRILRYVKSAPGKGILFTKNEDWQGINVYMDADWAGSLDDRRTTSGYFTFVGGNLVTWRSKKQNVVAHSNAKAEFRGIALGLCEALWPRLLLQDLGYPPRQPIRLYCDNKAACDIAHNPVQHDRTKHVEVDRFFIKEKLDEGIVDLPKIWSEDQLADILTKAVSGHVFSKFLGKLGMHDIYGPTWRGLLRYYKRFSP >OMO54864 pep supercontig:CCACVL1_1.0:contig14878:788:889:-1 gene:CCACVL1_27511 transcript:OMO54864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLLLAEETHVSEANSRLESERAVVGELPRSM >OMO52588 pep supercontig:CCACVL1_1.0:contig15506:6681:8287:1 gene:CCACVL1_29177 transcript:OMO52588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MALKLILLPLVFLHCLCFLEAKPLLPPPFHINQTDLLNYLHLENFNNITTNLPAIYVFGDSYIDSGNNNFLPTTSKANFLPNGVDFDRSIPTGRATNGRTVVDFIAQVAGLPFPPAMLGMSESERKTTLTGLNYGSASSGILPLPPMALKLFIELFKNTTKSLKEKFKTVECFDNYMSKSLFFIHIGTNDLGISWDIERNRNFTTAETYAYFLTKEFSSKLKAIYQLGARKFLVNNVSPLGCQPFNIITKNHTSSCVEEVNERVAFYNGLLPKLFEELEISLKGSKFVLCDLYKVFQDVYAMPSAYGFSNVNGSCCIDKEGNGTRPCTKNVAPCNDRNSHVFFDPFHPSENMHFLWVRRFLKDDSICSPMTLYKLMQL >OMO52590 pep supercontig:CCACVL1_1.0:contig15506:23925:25802:-1 gene:CCACVL1_29179 transcript:OMO52590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAACKGIFNGECRGNNGMERKHSGRRRVFVQTETGCVLGIELDRSDNAHTVKRKLQIALHVPTEERSLTYGDAVLKNDLSTVRNDSPLLLQRNFMHRSSSTPCLSPTGKDIQQRDQSGPIEILVSSNHFSRTKRLVKEIVEAMKIGIDPVPVCGGLGGAYYFRNCQGENCVIVKPTDEEPYAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDNFANVPSTALVKVTHSIFNVNDGVNGNKQQERKEVSKIASLQEFIPHDFDASDHGTSSFPVASVHRIGILDIRILNTDRHAGNLLVRKIDADGGLGQVELVPIDHGLCLPENLEDPYFEWIHWPQASIPFTEDELEYISNLDPFRDSEMLRMELPMIREACLRVLVVCTIFLKEAANFGLCLAEIGEMMSREIRGKEEEPSELEFICIEARRLLEEKSILFDNVKAGENEFQFEIDCEEEEADLTSNVGRKLATQAYCRPLGGNIRNPLSKLDENVEEWAEDEENMMHSRPGENASAVQDWISSVPRLSMSMKNVSTGEKSWRHEGAMQKSGYLAGTSSGNRSVNEQLPGSTSFVKLADMSEDEWVHYLENFQKLLYPAFSNRKSGSMGQRQRQRLGTSCQF >OMO52589 pep supercontig:CCACVL1_1.0:contig15506:17477:20347:1 gene:CCACVL1_29178 transcript:OMO52589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKGDLVAIGISVGLALGILLAALVFFGIRWYRNRANLRRCSNERSVTVLPIRANGFGTSTDFSASISNTIAVKGSDNQKSSSNSWWNLQSKDRFASASGLPKYSYKDVQKATQNFTTILGEGSFGPVYKATLPAGVVAVKVLASNSHQGEREFHTEVSLLGRLHHRNLVNLVGYCVDKGQRMLIYEFMSNGSLASILYSEEERSLSWEERLQIALDISHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSKEEVYDGRNSGLKGTYGYIDPEYISTNKFTMKSDIYSFGVIIFELITAIHPHQNLMEYVNLAAMSPDGVDEILAKQLVGKCKIEDVRDLAKIAHRCLHKSPRKRPSIGEVTQAILKIKQRRLPKEDTMSLAEGDFARIMSRIQEQHIELGKLASLKETN >OMP12002 pep supercontig:CCACVL1_1.0:contig00588:968:1027:1 gene:CCACVL1_00180 transcript:OMP12002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKRLRRDGTSDRSDAA >OMP11028 pep supercontig:CCACVL1_1.0:contig01684:1612:2802:-1 gene:CCACVL1_00718 transcript:OMP11028 gene_biotype:protein_coding transcript_biotype:protein_coding description:C1-like protein MQMQMQQSIPMMMIVKGHSSSSREKSKTVAKQLAEHLKYFLIDQDDLAPISPDDVNINSSFKILHQIASAQLSLKLGVVINLSPNSDQTHVNQLEDLSNTHKAQMILLDTTTSTSPFDVKSYIEKLSLGFPQAADYFRPHLKPNLAKTTSFRPSREKVPVPPKIFKHLHELGSFTKSTAEKLPCKSCQKQLLVSDPIYHCLESNCKDYVFHKACAESPGLEDARKNCPEFLRQAKPEYGFESDQKYRCKICEDNKNRSDGNECSDCLLQTIINGKLLPVLVLHESHPHPLNLIIVPISLNYEFRCCGCGGLGQTISYRCFDCNFNLHVRCVLLERNFKKDNKQTLRLNYGSLEENCWEKGECNVCYEELPPELWFYYNSATESKGHIFCLNPAADK >OMO49645 pep supercontig:CCACVL1_1.0:contig16447:28396:46487:-1 gene:CCACVL1_30879 transcript:OMO49645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 48 MASSSGTKGDLGRTPSKRMTRAQTMMLEIPNEESSTADSELVPSSLAPIAPILRVANEVEKDNPRVAYLCRFHAFEKAHKMDPTSSGRGVRQFKTYLLHRLEREEEETRPKLAKTDPREIQMYYQQFYLKNIAEGQYTKKPEEMAKIYQIATVLYDVLRTVVPAARVDDETVKYAKEVEKNREQFEHYNILPLYAVGAKPAIMELPEIKAALSAIRNVEGLPMPRVHVTSNVPTDDVPKERVKPVNDILEWLSSLFGFQKGNVANQREHLILLLANSDVREKQNLENYVALNGETIRKLGDKIFKNYRSWCNYLRCKSHVRFQQGCDRQQVELIYISLYLLIWGEASNIRFMPECICYIFHNMANEVYGVLFSNVHPVSGDTYQSAVPDDESFLRNVITPIYQVLRREVKRNKGGKASHSKWRNYDDLNEYFWSGKCFRLKWPMDLKADFFAHSDELPPVNERHNQATVGKRKPKTNFVEARTFWHLFRSFDRMWIFLIMAFQAMLIVAWNSGSLLGFSDGEVFRRVLTIFITAAFLNFLQATLDIILSFNAWKSLKFSQILRYLLKFAVAAFWAVVLPIGYSGSVPNPTGLVKFFSRWTNNWQDESFYNYAVAIYLLPNILAAIVFLLPPVRKTMERSNWRIITLIMWWAQPKLYVGRGMHEDFFSLLKYTLFWIVLLISKLAFSYYVEILPLIQPTKIIWDLHVDNYQWHEFFRYVTHNIGVIIAIWAPIVLVYFMDAQIWYAIFSTLFGGIHGAFSHLGEIRTLGMLRSRFESVPSAFCRRLVPLTNQYSRKRNLDEATERKNIAAFSLVWNKFIESMRMEDLISDRDRDLLLVPSSSSDVSVVQWPPFLLASKIPIALDMAKDFKKRDDAELFKKIETDPYMHSAVIECYETLRDIIYFLLEDEADKIIVRAICYEVDISIQQQRFLNDFRMSGLPALSNRLEKFLKILLSDIEDVDTFRSQIINVLQDIMEIITQDVMVNGNEILQRPHPHGGSDQHDRREQRFEKINISLIQQKRWREKINRLYLLLTVKESAINVPPNLEARRRITFFANSLFMNMPSAPKVRDMLSFSVLTPYYKEDVLYSDEELTKENEDGISILFYLQKIYPDEWNNFLERMKRNNIGNKDENEEACRNEETRKWVSYRGQTLSRTVRGMMYYRQALELQYVLEFSGDSAIIGGFSAFEEDQGYYGEHAQALADMKFTYVVSCQVYGAQKKSPDPRDRSCYLNILNLMLTYPSLRVAYIDEREETVNGRSQKVYYSVLLKGGEKLDEEIYRIRLPGPPTEIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAYKMRNVLEEFLKHRHKDRKPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHITRGGISKASKIINLSEDIFAGFNSTLRGGYVTHHEYIQVGKGRDVGMNQISAFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYMVMSGLEREILENATLRQSKALEEALATQSVFQLGLLLVLPMVMEIGLEKGFRTALGDFIIMQLQLASVFFTFQLGTKAHYFGRTILHGGSKYRATGRGFVVYHAKFAVNYRLYSRSHFVKGLELLILLVLYEVYGKSYRNSSLYWFITFSMWFLVASWLFAPFVFNPSGFDWQKTVDDWTDWKRWMGNRGGIGIHPDKSWESWWEEEQEHLKYTNIRGRVLEIILAFRFFIYQYGIVYHLDISRHSKSLLVYGLSWVVMLTALAVLKMVSMGRRKFRTDFQLMFRILKALLFLGFMSVMTVLFVVGGLTLSDVFAAILAFLPTGWAVLLIGQTLRSALKGLGIWESVKELARAYEYIMGLILFMPTAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGRKEKDITSPVKYA >OMO49644 pep supercontig:CCACVL1_1.0:contig16447:21498:22320:-1 gene:CCACVL1_30878 transcript:OMO49644 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MLRYSVDIGEGSLPPGFRFKPTQQEILKYFVRPAIETGFLPFPITCCDLYTSEPWNLFDKRAADCFWIYTPLKKLKNENKEIGMSIATQKKKRKTKTKENIERTAGCGSWKEKTLKDIKDCAGKLLGHGRYYLYDAKEGLGLGLDYGDKDHDDLWFMHEYTLPKDEGPIPKKPRLENASASGASSLNDDFASLDDDDEFLEYLYTVLTDSDETQILECLPD >OMO49646 pep supercontig:CCACVL1_1.0:contig16447:49372:58671:-1 gene:CCACVL1_30880 transcript:OMO49646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 48 MVYFMDAQIWYAIFSTLLGGILGAFRHVGEIRTLGMLRSRFGKVPQYFGEHLQSNTKLSDKPKDQKNMREFSRMWNQFIHSMREEDLISNKDQELLLVRPSDDSLTRWPLFLLASKVPAALNIAKEFKKEEDAELIKLIKGDSYRLPAVVECYETLRSLIFGLLEDENDKMIVKVIYDKVNYSGQEKKILKEFKMSAMPMLIGKLEKWLNVLMNDSVDDEAYKTQITKSLQGIMDTVTLDVMINGQKLHRLLTFKESVADVPRNSEARRRITFFANSLFMNMPTAPKVTDMCSFSVLTPYYNEDVRYSDGELNEENEDGISILFYLQKIYPDEWTNFRERRNKRENKEDICDWATYKGQTLARSVRGMMYYRKALELQCSLEYHNHNATSQEEDIRAQALPDLKFTYVVSCQIYGALKISDNPKDQSRQKNIVNLMSRHPSLRVAYIDEREENIGGKSQKTYYSVLVKGGDKFDGYEREIYRIKLPGPPTKIGEGKPENQNHAIIFTRGEALQTIDMNQENYFEEAYKMRNILKEFEDTGGWRRKPTILGLREHIFTGSVSSLARFMSNQETSFVTIGQRFLASPLRVRFHYGHPDIFDRIFHITRGGISKASKTINLSEDIFAGFNSTLRGGYVTQHDYIQVGKGRDVGMNSISSFEAKVANGNGEQTLSRDVYRLGCRFDFFRMLSFYFTTVGFYFNSMVTVLTVYLFLYGRLYLVMSGMERNILQNSIINQNESLEAALIPQSVFQMGTLLVLPMLMEISLEKGFRTALAEFIIMQLQLASVFFTFQLGTKAHYFGRTILHGGSKYRSTGRGFVVFHAKFADNYRLYSRSHFVKGLELGMLLVLYQVYGKSYRSSNLYLFITCSIWFLVGSWLFAPFIFNPSGFDWQKTVDDWTNWKRWIGFRGGIGIEPDKSWESWWDGEQEHLKYTNIRGRVLEIILALRFFVYQYGIVYHLNITHHSRSWQVYALSWGVIVGALLLSRILSVGRRILGFELQLVFRMFKAFLFLASLAIIIVLFKGGGLIISDLLAAVLAIFPTGWAVLLIAQACRPLLEGLIWKPIKEMARVYDYTMGLLLFTPIAILSWLPFVAEFQTRILFNQAFSRGLQISMILAGRKDKA >OMO85880 pep supercontig:CCACVL1_1.0:contig09563:3217:3294:-1 gene:CCACVL1_09939 transcript:OMO85880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIRLIREEKLLMCLLLQNCGNWQ >OMO85882 pep supercontig:CCACVL1_1.0:contig09563:14377:18420:1 gene:CCACVL1_09941 transcript:OMO85882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLKRFKYGDVGSRQYDLCCGAANK >OMO85881 pep supercontig:CCACVL1_1.0:contig09563:8096:9520:-1 gene:CCACVL1_09940 transcript:OMO85881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETWLIKVKKSITHSLDSARSSIPKHHHHHHHKSNVGVLSFEIAGLMSKLLHLWNSLSDKTIIRLRDESISLEGVRKIVSNDESFLLGLACAEMAENVRHVAKSISRISKRCHDSSLQCFDRWFDEFANSGHDNHGWVLSYKEMEAKNKKIDRFVTVTATLYKEMEELSNIENSLRKLSLQCNNKDYESSSIKEQKIIDLQQKLFWQRQEVKYLKERSLWNRSFDTVVSMLVRSVFTILGRVKLVFGLGHGYPPSLPRSLSTSATVHPTENPNSCNNNFVSGPLMKQQPEDFGVGFFESNSKLLKPPASTLGAAALALHYANLIIIMEKMIKSPQLVGVDARDDLYSMLPNSIRSSLRARLKGVGFSASDPVLAGEWRTALGRILGWLSPLAHNMIKWQSERSFEQQNLLPKTNVLLLQTLFFANKEKTEAAITELLVGLNYIWRFEREMTAKALFECTNFNGLLNLQSSSS >OMO88990 pep supercontig:CCACVL1_1.0:contig08871:32926:34842:-1 gene:CCACVL1_08075 transcript:OMO88990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLFFFKRHCCSFASLNPQNNIEIPLDKHSQILHFCRSAQLLPAIHLLNTLNIPTETTSSKKPLLYASLLQTCTKVQSFAHGLRFHAHVIKSGLDTDRFVGNSLLALYFKLGHDFRETRRVFDGLFVKDVISWTSMVSGYIRAGKPESSLEMFWEMLDFGVEPNGFTLSSVIKACSELGKLRLGWCFHGVVVKRGFDSNLVISSALIDFYGRNLQLNEACQLFEELPEPDAICWTSIISALTRNDLYGEALNFFYSMHRNYGLSPDGYTFGTILTACANLGRLRQGKQLHAKVITTGLCGNVVVESSLLDMYGKCGLVNESRRIFDRMSKKNSVSWSALLGVYCQNKDYESVIRIFRGMDEVDLYCFGTIIRACAGLAAVRQGKEVHCQYVRKGGWKNVIVESALVDLYAKCGCIDFAHRIFIQMSIRNLITWNSMIYGFAQNGRGVEALKIFDEMINEGIKPDYISFIGVLFACSHTGLVDQGRKYFTSMIKEYGIKPGIEHYNCMVDLLGRAGLLEEAENLIESADFRNDSSLWAVLLGACTTCTSSSTAERIARKMIELAPDYHMSYVLLANVYRAVGRWSDALKVRKLMKDRGVKKITGKSWVETNSDMGSHLDVADMDMSGRNDFLDIRDPI >OMO88988 pep supercontig:CCACVL1_1.0:contig08871:22451:27040:1 gene:CCACVL1_08073 transcript:OMO88988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein MSRYESNPFEEEEVNPFSDPSVRKGSGQPNYGGGAFYTTNPGSVPPATSRLSPLPPEPYDRGATIDIPLDSAKDLKAKEKELQAKEAELKKREQELKRKEDAISRAGIIIEEKNWPPYFPIIHHDITNEIPVHLQNMLYVAFTTLLGLVLCLTWNIVAVTAAWIKGEGPTIWFLAIIYFISGVPGGYVLWYRPLYRAMRTDSALKFGWFFMFYLLHIGFCIFAAIAPPIIFKGKSLAGILPAIDLVGGHAFVGVFYFIGFGLFAVESVLSIWVIQQVYMYFRGSGKAAEMKREAATRTMMAAL >OMO88989 pep supercontig:CCACVL1_1.0:contig08871:28654:31710:1 gene:CCACVL1_08074 transcript:OMO88989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSYSSGELGHESFQRQVLVSPSKLPRLHFPCIARVFSRLNYYDRKYSKDQYSFEQGSSTSLNSYNRKQNSRGFRVSVGFKLQCHSTTLFLPTKCSISDARKKKYGGALPSILRSLESDKDLEKTLSSACENLSPKEQTVILKEQRNCDRVVRVFEFFKSLEEYVPNVIHYNIVLRALGRAQRWDELRLCWIEMAKYGVLPTNNTYGMLVDVYGKAGLVKEALLWIKHMRLRGLYPDEVTMSTVVRVLKDAGEFDRADRFYKDWCIGRVDFNDLELDSIVDFENESGSAPISFKNFLSTELFRTGGRSPVLETSGSPDKESQTRKPRLTGTYNTLIDLYGKAGRLRDAAEVFSEMLKSGVAMDTITFNTMIFTCGSHGHLSEAESLLNKMEERGIPPDTKTYNIFFTLYAGVGDIDTALEYYRKIRKVGLSPDIVTNSAVLHILCERKLVQEVETVIQEMDKCGMQIDEQSLPVLMKMYIDQGLLDQAKILFDKFLLNCELSSKTRAAIMDAYAEQGLCSEAEAVFYGNSNLPRKNKGVVEYNVMVKAYGKAKLYDKAFSLFRSMRQNGTWPDACTFNSLIQMFSGGELVDQARDLLGEMLAVGFKPPCLTFSSLIASYVRLGQVSDAVDVYQDMIDAGVKPNEVVYGALINGFAEVGDIEKAQQYFHMMEESGVSANKIVLTSLIKAYAKVGCLEGVQKVYEKMNDLEDGPDIIASSTILNLYGELGMASEARCVFDSLKGKGRVDGVSFAIMMNLYKSVSMLDEAIDVAEEMKQSGFLRDSRSYNQVMACYVSNGQLRECVELWNEMVSQNILPDTWTFKVLFKALKRGGMPIEAVKQLQSSYLEEKPYAREAVSIAIFSLVGLHDLALQSCEAFANAEIALESFVYNVAIYAYESSGLIDKALNMFMKMQDVGLEPDLVTYINLVACYGKAGMVEGVKKIYGLLEYGEIEPNESLFKAVIDAYQKANRKDLVELVKQEMKYALEKHDYSESDVESEEEEEDYSEEEEEDYSVSW >OMO88987 pep supercontig:CCACVL1_1.0:contig08871:11912:19184:1 gene:CCACVL1_08072 transcript:OMO88987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKRSNIEWKNLKIFVALLLICVARISFALTNPSDVAAINSLYAALGSPLLPGWVASGGDPCAEAWQGVQCNGSDILSIVLNGANLGGQLGDNLGMFASIKAIDLSNNQIGGSIPSSLPVTMQNFFLSANQFTGSIPDSLGSLTLLTDMSLNNNFLSGEIPDVFESLSGLINLDLSNNNLSGQLPASMENLSSLTTLRLQVNQLSGTLDVLQDLPLRDLNIENNLFSGPIPQKMLSIPSFKKDGNPWNSSVAPLPAPTSPLTPPRAPPHSESSFFNQTPTSGQTPGKHANGPSASEGSNSGEKKKFLTTKKVVWISIAGVLLFIILVLALCLFLPKCSKGREEAGGVFKRHQVGAYRGNRENLGDDGRPTTNSQTEKGPKEAITRPVAGTQTERGRMGAIAKLQNEKERNVERMGTTPKRFDHEIDMSSIDMMLMDPHHHHHHLLLLLLPRLHLHLPHPLHLLNYFLRSFSQENLIGGGMLGSVYRAELPDGKLLAVKKLDKRVASQQKDDEFFELVENIDRIQHVNIVRLMGYCAEHGQKLLIYEYCSNGSLQDALHSDDEFRRKLSWNARVRMALGAAKALEYLHEACEPPIVHRNFKSANVLLDDDLDVLVSDCGLDPLIASGSVSQLSGQLQSIYGYGAPEFESGIYTSRSDVYSFGVFMLELLTGRKSYDRTRSRGEQFLVRWAVPQLHDIEALSRMVDPSLNGDYPAKSLSRFVDIISRCVQSEPEFRPPMSEVVQDLLDIVRRERPSNDSNGD >OMO81404 pep supercontig:CCACVL1_1.0:contig10178:7176:13004:1 gene:CCACVL1_12422 transcript:OMO81404 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAGTLSNLCSKMSLQEEDNQERVIIDQEWIDEPEGALAWFCLIGKSLAKKQPNLEALKAVMSKAWNIESDFQVEEMNLSHSLKAGTLVTAPNEELYVDFRYEKLPDYCWVCGLLDHLDNDCPVTVMLRKNHQVVKKKYTCSLKAEFPPVTPAKEPASSHRRGGSAASVSPVLRTGREVGNIGPAGSKSVPFKSITGDGPVRNHVDNPALYGRRAARALQFDEALCEIISRMNGIPVRQQPNLKENQGQRQQRGNGGNVDVGLSVDSARRGILSKMGAVSKENLLVEGEGESRGLAVVNDVESSNNSQYPDDYIPLIDNRGCGSFIGAEIIGAQSIQGVGLSYIGPISEASEGRKIRKWKKTASTAQSASVDLLCHETIGSVGVADLREDEADSGIRKHVGLPNDNGSMVDLKHLGDMNLDRHVPSRYRKRPFKYEQMWAAHESFDEVISQAWSSGDIASSRKSKKVIDRIEDDAGVVCEEPSGIENIFNNYFKDIFTSSHPTQCNIQAVLNHLECRVTEEMCVHLEEKFTVDEVQQAVFQMSGSKAPGPDGLSPAFFQRCWKVVGVDVTDFVLDFLNNGSPLPNVNHTNIVLIPKLDSPHFAKDYRPISLCNVIFKIVSKVLANRLKAILPELIGENQNDSILFLQASRKECEAMISLLNLFEAVSGQKINIDKFSFLFSANTPVAIQNEVKSYLGIQRILDRDKYLGLPIMIGRSKSREFQFIKDRLKKRISSWNSRLFLRAGKVVMLQSVAQAIPVLLAGRKVIIAESRFRVGEGNLDIWNDRWIAKPLSFRPSPRIASIVPDLKVSDLIDGDNRMWRIDLVSDLFEEEDASRILGLAILRHPVCDCLIWNANRMGDFSVKSAYYVARDVLQRADSLQGPRQQTWKLVWSSHIMPKILFFTWRLIWNILLTKGNLLSRGLDVPQLYEVCGEQPESVFHFFFRCKFSELVWDRVGHWVNPTLDQWNSDGDWWDFFIAKAASIGQIDKVLITLWLIWNNRNKALYEQASALPNAINFSTSSILEQYTSIQRRTDQFSLTHPRQLTWVPPPMGMVKINTDAAFCSGSGEVGLGVVIRDSAGEIIVCASRCLNFIADSLYAEVHALLFGFKLAFEYGIESCIFESDSLLAITQINKTDPVFWEANTVAHNLANLWQDCVWCGTFPPGVL >OMO68116 pep supercontig:CCACVL1_1.0:contig12278:32165:37739:1 gene:CCACVL1_20074 transcript:OMO68116 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHS domain-containing protein MAAELVNSATSEKLTEMDWTKNIEICELVARDQRQAKDVFKAIKKRLGSKNPSTQLYAVLLLEMLMNNIGENVHKQVIDIGILPILVKIVKKKSELPVRERIFLLLDATQTSLGGASGRFPQYYSAYYDLVSAGVQFPQRSHATPSDTPTLLANTNNTLNEQLASARHEAVAQQAETNKSVPESSIIQKASNALEVLKEVLDAVGPQNPGGAKDEFTLDLVEQCSFQKERVMHLVMTSRDEKVVSRAIELNEQLQKVLLRHDALVSGRTAISSTPMSTLNHFDHEEEEEEEPEQLFRRMRKGKACARPEDEECSRERPHLGLYGSSIPGEKERLNRPLIRPLSSDTSGENDSNPPAVAIPPPPAKHFEREKYFQEKKVDGSALAGHMRGMSLHSRNASSSRSGSMDSSD >OMO93492 pep supercontig:CCACVL1_1.0:contig08098:30438:39152:-1 gene:CCACVL1_06482 transcript:OMO93492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRKALSSSLLFHRPFSSSSTTEIPTLYSFLQPTVFALKPNQQPNETQDSQTKTLTQDQKTSLETTLQQSLITQNTDEAWKSFKALTSNSIFPNKPLTNSLITHLSSLKDTHNLKRAFASVVFVIEKDPKLLSFETVTTLLSSMNFANTAAPAFALIKCMFKNRYFIAFELWGNILVDISRKNGSFVAFLRIFEECCRIAIDEKLDYMKPDLAACNAALECCCYELRSVSDAEKVVETMSVLGVRPDESTFGFLSYLYALEGLEEKIDELETLMVEFGFSNKKVFYSSLIGGYVKSDKIDLVSATILRSLREGDGKGFDFSDQTYCEVVKGYLQNGVIKSLASLIIEAQNLESSLVEVDKSIGYGIISACINLGLSDKAHSILDEMNAQGGSVGLGVYVPILKAYCKEHRTAEATQLVMDISNAGLQLDSGMYDALIEASMTSQDFQSAFTLFRDMRDARIPDLKGSYLTIMTGLMENQRPELMAAFLDEVVEDPRIEVKTHDWNSIIHAFCKAGRLEDARRTFRRMTFLQFEPNDQTYLSMINGFVTAEQYFSVLMLWNEVKRKISGNGQKGITFDHTLVDAFLYALVKGGFFDAVMQVVEKSQEMRIFVDKWRYKQAFMEKHKKLKAGMLFSQRNFLFQKLRWLRGVWKRGSSYSYSNLDIHKSCNQMGMRLFISILSLLPELHEVTAAEPAAGRAIPTHGKCNLTCGTVPVMFPFGTGFGCGHPYFARYVKCNDGVLQFSTGTGIYTVSSIDYPTSTIVAVDPFMSTCSSMQNSGSFSLDRASPFTLTGDNIFVLLGCSTTSPVFDPSEDLCDTGSGSRVCSGLYSCKGVTGIGLPENAPTSTCCVYDSLMGVGSGFSLDLPKLQCSSYTSIYEFGDEGDPMKWKFGISLQYNDSYYSPACKNCETSGGLCGFSVLDQSFSCICRDGVNTTTNCYGHGYSWSGAWEPKIQTKIIIGEDGMHEVNRRWLSVGEMFLGKKGLRLILQTILLVLAILQEASSHGVQPLSRIAVHKTTFALDNRAFVKASPTVLGLNPKLVAVSNTVSFANPNAPLYPRLAQGKEWNEMTVTWTSGYGIDEAEAFVQWGSKGEDQRHSPAVTLSFERNSMCGAPARTVGWRDPGYIHTGFLKELWLNRVYTYKVGHRLFNSTYVWSQEYQFKASPFPGQNSLQRVVIFGDMGKDEADGSNEYNNFQRGSLNTTKQLIKDLKNIDIVFHIGDICYANGYISQWDQFTAQIEPIASAVPYMLASGNHERDWPGSGSFYENMDSGGECGVLAETMFYVPAENRAKFWYSTDYGMFRFCIADTEHDWREGTEQYKFIEHCLASVDRQKQPWLIFLAHRVLGYSSGISYATQGSFAEPMGRESLQKLWQKYKVDIGIYGHVHNYERTCPIYENICTDYEQRHYKGAFKGTIHVVAGGGGASLSSFTTLRTNWSLYRDYDYGFVKLTAFNHSNLLFEYKKSSDGKVYDSFRITRDYRDILACTVDSCPSTTLAS >OMO93494 pep supercontig:CCACVL1_1.0:contig08098:43850:45919:-1 gene:CCACVL1_06484 transcript:OMO93494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNTGAPPKVKFLGEEEVRNLKAGTSTVVTKARRYLESHSKKPVDPPAAIDPPAAIHPPYVRTKPRARCRAPKNLNISNNVPSQQTEETEQGNLRSTQREGEKETVRVDCSTRPSLKSTQREGDRETAHVDCSARPSGSAFLPGDRETARVDCSARPSGSGEKETARVACSARPSGSSTNHPSVPAMEKIWRGTFEILDIDKTLQDLQFCEDKTTLQDLQFCEEFSAHPAATVSHKAYMFTKQIANVIQFRLCKLSSSWHEIFKTDPPRAADIALYFYATDKTSKVYSTLFKLMDKNKLMLKSRMGAVELLAFPSALLPTKFQVKGLIT >OMO93491 pep supercontig:CCACVL1_1.0:contig08098:15656:29815:-1 gene:CCACVL1_06481 transcript:OMO93491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARPGSKLSDIPQYMMFKNIVCDIIGGKLLLAVRSLLKLRRVVVN >OMO93495 pep supercontig:CCACVL1_1.0:contig08098:50479:54009:1 gene:CCACVL1_06485 transcript:OMO93495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKALEMEQESRDNCVPTESYARIYIKDVPLDVASKLCVVSRTCPIVLCGLLQHESKMSVLHFSIKKHDSYDAPIKAKEEFIFHVGFRQFVARPIFSTYNMNSDKHKVERFLHAGRFSIASMYALVSFPPLPLIVLKGAGGSSAPLAAAVGSLRSIDTDGIIIKKIILTGYPQRVSKFKASPVEAWTKCGRRGRIKEPVEQGGKFFINNHLAFTVKYHWELKTDCRNCE >OMO93493 pep supercontig:CCACVL1_1.0:contig08098:39616:43478:1 gene:CCACVL1_06483 transcript:OMO93493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESKTKQPLIIEEWNGSSSTKLFRTATITASPSLSIQRSANRFNHVWRRFLDAFVPEGFPGSVTPDYVPFQVWDSLQGLSTYIRTMLSTQALLSAIGVGEKSATIIGATFQWFLRDLTGMLGGILFTFYQGSNLDSNAKMWRLVADLMNDLGMLMDLLSPLYPSAFIFIVCLGSLSRSFTGVASGATRAALTQHFALQNNAADISAKEGSQETMATMIGMALGMLLARITTENPLAIWISFLSLTMFHIYANYKAVRCLALDSLNFERSSILLQHFIETGQVLSPKQVSTMEHVLPLWTTLRWSKSDKFLHTRVILGIRVSSLDHHEMANLLNSAVSFYKTAKYLLVERQGIVSVIIHKDSTAADILKSYIHALVMTNLMDEKASLHLESQAWMDKQYEDLVQKLKSRGWKTERLLSPSVIWKACWYSESWDEKTN >OMO74398 pep supercontig:CCACVL1_1.0:contig11132:45072:46520:1 gene:CCACVL1_16762 transcript:OMO74398 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MRTLCDVCEGAAAILFCAADEAALCRSCDEKVHMCNKLASRHVRVGLADPSDVPRCDICENAPAFFYCEVDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKPGRLEELGLQPLDPNEVRKDQNQQSKLAARENQQNHRVSPVPVLDGNVDGDGKVGNKLIDLNAKPQRVHGQASTNQEQGMDVSSGNNHDSASVVPVGSFKREPEK >OMO74394 pep supercontig:CCACVL1_1.0:contig11132:24381:26357:-1 gene:CCACVL1_16758 transcript:OMO74394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 LYR protein MSGVQTAAYLARRAAQKERVRNLYRRALKDTLNWAVHRHLFYQDACDLREKFEANKHVEDLDTIDKMIAEGEATYNKWRHPDPYIVPWAPGGSKFTRNPEPPSGIEIVYDYGRD >OMO74396 pep supercontig:CCACVL1_1.0:contig11132:29212:35843:-1 gene:CCACVL1_16760 transcript:OMO74396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIGGEELKKLDKEQKAQMAGSREERILVLVRLRPLSDKEIVANEVADWECINESTILYRNTLREGSTFPSAYTFDRVFRGDCPTKQVYEEGAKEIALQVVSGINSSIFAYGQTSSGKTYTMTGITEYTVADIYDYMSRHEERAFVVKFSAIEIYNEAIRDLLNSDNTQLRLRDDPEKGTIVEKVTEEPLRDLKHLKELLAICEAQRKIGETSLNERSSRSHQIIRLTIESSAREFLGKENSTTLSASVNFIDLAGSERASQALSTGARLKEGCHINRSLLTLSTVVRKLSKGRQGHINYRDSKLTRILQPCLGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEITTRAQVNVVMSDKALVKHLQREVARLESELRTPAPPSSNSDYAALLRKRDLQIQKMEKEIRELTKQRDLAQSRIEDLLRMIGQDQDSGQSARINYHPSQQAGDTWEDDHSVSGASCLADSNRLHFRKFNSGHCYDTESGSNLEEPYHGPQDNNEDHSVSSSPMSFGKKLVRSDSGQTGGTADDPDEYCKEVQCIEAEESGRENNSHSHALPNGESEGTLALTMFGDGDVDGQETVSTLMNGDIEANHTQNGFTYDALEQRLHHVQKTIDSLVSPSPDAEVADLSSSRHLKLSRSWSCRADVAGGTSSPYADGEHIGRTPPNGFEKNFPGRPEGYRKKFPSLNYGANNGVLSRNDSQSSLGSVSIKTSADEDITSIQTFVAGLKKQLVNGQGTGLEVDESGKSMKDVGLDPMLDASGTPLDWPLEFERQQRAILELWQTCNVSLVHRTYFFLLFKGDPTDSIYMEVELRRLTFMKDTFSQGNQAVEDGRTLTLASSLRALRRERQTLSKLMRKRFSEGERQKLYQKWGIELNSKQRRLQLVNQLWSNNKDMNHIMESAAIVAKLIRFVEQGQALKEMFGLSFTPPRPRRRSYGWKNSMASLLLKRSGEEPEKEESRESKSNRNERSSDGGVFSSVSSAKREGSDPLPPRSQRYPQLGRPSPPLLSRCKSSFLSRSH >OMO74402 pep supercontig:CCACVL1_1.0:contig11132:64254:65944:1 gene:CCACVL1_16766 transcript:OMO74402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MSSDSRSRSRSRSRSPMDRKIRSDRFSYRNAPYRRESRRGFSQNNLCKNCKRPGHYARECPNVAICHNCNLPGHIASECTTKSLCWNCREPGHTASSCTNEGICHTCGKTGHRARDCTAPPMPPGEVRLCNNCYKPGHIAADCTNDKACNNCRRPGHLARECTNDPICNLCNVAGHVARQCAKSNMMGDRGGGGRGGGYRDHRDIVCRNCHQFGHMSRDCMGPLMICHNCGGRGHMAYECPSGRYMDRGYPRRY >OMO74391 pep supercontig:CCACVL1_1.0:contig11132:16542:19780:-1 gene:CCACVL1_16755 transcript:OMO74391 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MKMKVFCSPTSLSWTHSISPSLHIPHSFSVGENKFRVFCAAAQSQKANQMTVSVTGATGFIGKRLVQRLHADNHNVRVLTRSKSKAELIFPVRDFPGIIIAEESRWKDCIEGSNAVVNLAGMPISTRWSPEIKKEIKHSRIRVTSKVVDLINNSPEGVRPNVLVSATAVGYYGTSETRVFDESSPPGNDYLAEVCREWEGTALKVNKDVRLALIRIGVVLGKDGGALAKMIPIFMMFAGGPLGSGKQWFSWIHLDDIVNLIYEAISNPSYKGVINGTAPNPVRLSEMCEQLGNVMGRPSWLPVPDFALKAVLGEGATVVLDGQRVVPAKAKELGFPFKYPYVKDALRSILS >OMO74401 pep supercontig:CCACVL1_1.0:contig11132:56418:59121:-1 gene:CCACVL1_16765 transcript:OMO74401 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MKLSSLLLLLRHQPFLFIFLILFPGFPLVHSSYHDYSDALSKSILFFEGQRSGYLPQDQRMTWRANSGLSDGWMYNTDLTGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGDLMPPNELRNALVAIRWATDYLLKTVSQPNRIFVQVGDPNIDHSCWERPEDMDTARTVYAVDAPNPASDVAGETASALAASSMAFRSVDPGYAETLLRKAVQTFQFADNFRGAYSDDSNIRDGVCPFYCDFSGYQDELLWGAAWLRRASQDDTYLSYIENNGKTLGADDNINEFGWDNKHAGLNVLVSKEVLEGNMYSLESYKASADSFMCTLIPEASSSHIEYTPGGLIYKPGGSNLQHATTISFLLLVYANYLARTSQTLNCGNVMVSPASLRVQAKRQVDYILGDNPMGLSYMVGYSNNFPQRIHHRGSSLPSVKDHPQFIACKEGSIYYNSTNPNPNVLVGAIVGGPSEDDSYGDDRADFRKSEPTTYINAPFVGVLAYFAANPNPS >OMO74404 pep supercontig:CCACVL1_1.0:contig11132:77437:83217:1 gene:CCACVL1_16768 transcript:OMO74404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNSKEEAETETKNPNPNSNTQSQGVKGKSCKGCLYYSSALKSKSQNPTCVGIPRTLHQVPSYIIGESELEASKEGRTLLDFKYACVGYSVFLKNKEDSSTNQPPKQVELPFCVGLEPVYNFFVFFIVRLVCSGEFLRFDKETMSACKRVVQLGFNAYSTSLANRVAQRQISQLVNSNGKRAFLVDTLALVRSLEAQGVPSKQAEAITAAITEVLNDSLENVSHSFVSKAEMQKSEMLQEANLSKFKSEVKSSQEHHFSMLQRETEKLRGDIEKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQNAETTNLTNKLDREIHALRAHLEAAKYDVIKYCIGTLVSISAVGLAVVRILM >OMO74397 pep supercontig:CCACVL1_1.0:contig11132:37304:37489:-1 gene:CCACVL1_16761 transcript:OMO74397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLDHVPSPFRKSRKKGKGKVVINLVGGSNPWSMDPTTAGPQRAREEKSETSKDVDTASDF >OMO74399 pep supercontig:CCACVL1_1.0:contig11132:48957:51525:-1 gene:CCACVL1_16763 transcript:OMO74399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase, class-I MESTKSKAHLVIRILQASATLLKSSPILDKSEFVKGQSLRQPSVSIVRCHPNAPSALTVRASSYADELVKTAKTVASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVSAPGLGEYISGAILFEETLYQSTTDGKKMVDVLVEQNIVPGIKVDKGLVPLPGSNNESWCQGLDGLSSRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDSGLVPIVEPEILLDGEHGIDRTFEVAQKVWAEVFFYLAENNVMFEGILLKPSMVTPGAECKDKATPQQVSDYTLKLLHSRIPPAVPGIMFLSGGQSEVEATLNLNAMNQSANPWHVSFSYARALQNTCLKTWGGRPENVKAAQDALLLRAKANSLAQLGKYTGDGESEEAKKGMFVKGYVY >OMO74400 pep supercontig:CCACVL1_1.0:contig11132:52022:52750:-1 gene:CCACVL1_16764 transcript:OMO74400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEDDTREGSASSVILESLESIQKGDKLSAADLAWVDSCLVTDTEILESNWTSFKDVLLEIIGDKSELVMSSASESDGFVGGTEIEIVPSIEEAETANYSGSTEDDLAVIPIDGDNETNTSNDRIKRRVGITFKGDPFLPTYNEDEVWGEATDLGLDMNISAIEAIETNSSTVDIFRVWDLNIPAEEDDLIKQLNKAITETSAESVPSAFDDSMAWKDLKDEPLDNLIAAIADLSLDKSSS >OMO74405 pep supercontig:CCACVL1_1.0:contig11132:84955:85752:-1 gene:CCACVL1_16769 transcript:OMO74405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MKLMGQLSHRGLAQLAKQYGHGGLLHLRMGKIHIVAVTTPEMARQVLQTQDIVYANRPANDAIIYLTYDRADMAFANYGPFWRQMRKLCIMKLFSRKRAESWVPVREEVGSTVETVMKELGSPVNIGELVFALTRNITYRAAFGSFSREGQDEFVKILQEFSKLFGAFNISDFFPWLGRWTHKQEFRKRLVKARGSLDGFIDHIIDEHLEKKNGKNGETDEDMVDELLAFYSEDVSKGDFDEASQSAIKLTKANIKALIMVKISK >OMO74403 pep supercontig:CCACVL1_1.0:contig11132:67068:76765:1 gene:CCACVL1_16767 transcript:OMO74403 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MDAEEEEPHHVPKVESSLRLEDNPATTDTNPELPHGDSELQCQVEPVAELDPSQPPAPSEVSPVAENEEEGGGKGTPEVVVRDEEGDDMIAGEGKHEISDACDEVKAPSPVAVDREESHLANEEEQVKEKKADIVEDAAVIDVMEETEKADVSDARGAEGIEVVGEEQQAAKETEILEEKVVDDMHEQIDVPKEKQAADVAEERRIAEAAEVVDGATLVVDTHVADLVKERGIAEAAEVDDKIEQTVVTDEIVLADETEKTGIVEERELPVGRRMLEEEHLDNEVEQTGNLEETGVGDIVDKTNLLKEEPVDDVSQQTGIVGEIGVINMVEEKELPKDKLEVNFKGTGVVDMVEKSETLEEKIVANLPEQTEILENINAVRETGTDIAEEEKDIVVGEESEKAEDTETENVVEDVEKAEGTETEMGDVAEGMDAADDTEMLDMTEEAEEAAEETEDAEEAEETSIASGGKRKRAKNSNSKVLARAPSRKKVEEDVCFVCFDGGDLVLCDRRGCPKAYHTSCVGRDEAFFQSKGKWNCGWHLCSNCKKNAHYMCYTCTFSLCKGCIKDAVILCVRGNKGLCESCMNLIMLIERNEQAQVNFDDKNSWEYLFKDYWIDLKRRLSITSDELAQAKNPWKGSEHRAAKQESPNDDVGGSGSDGSSGNAEVTVSKRRKTRSQSKSRARLGDSPTDENAEWASKELLEVVMHMRNGDKSVLSRMELSQLILDYIQKHKLRDRRNKSYVICDSRLKNLFGKPRVGHIEMLNLLDPHIFFTKEDSQTDELQESVVDAETNQLDADWNSDAMAKTGKDKKRKSRKKGDARGLQSNLDDYAAIDMHNINLIYLRRNLVEDLIEDPETFHDKVVGSFVRIRISGAGQKQDLYRLVQVVGTSKVAEPYRVGKKTTDFLLEILNLNKTEVVSIDIISNQEFTEDECKRLRQSIKCGLINRLTVGDIQEKAIAIQAVRVKDWLESEIMRLSHLRDRASEKGHNYLRPRGSSFSRRGREPISPRKGGFSSSDSWSGSRNYSSMNRELGRNLSNKGFTSKGDDPVGVSETGNEPLWSLGRERETQQTNSWDKPKAAFSSESGLRNTHTVVIPEPSLKVASESLPTPLSKGVAAGVQINETEKVWHYQDPSGKVQGPFSMVQLRKWSNTGYFPAELKIWRATEKQEDSILLTDALAGKFQKDPPVVDNSFPKAQTTVYGNSIGATLKQGVESQVGERSRFDQNLVAWSPQRTLGSSGQSPRESWKSQTEVGSSTDIAASSLLEIPKYSRDAWSSDTNLPSPTPNQNTTSGTKGQAFESKWSPTPAQSSGSLSIANPFRGGSAGLQPPTVVSDSGSPAAPVVHSHMVSGELRRTQVHAQASINPGVDMKNAGVSLQNLVQPVSSHNPSDTHGWGSGPVSRQEAVAASSIPASANQAWGNASAQKLEPSPSLSMPSQPAAYGHWNDASQSGQNSAPFSTPNPAGGFRTPAQPAMLASDSWRPTVPVHSNVQHPAPANLPWGTAVADNQGAALRQAPGNQNTGWGPMPGNQNMAWAAPVPANSNVNWAASGQGSASVNPNPSWAAPSQGQVPGNANSGWTAPGNAMQAWAPPGQAPGGVNASSGWVAPGQGLAVGSGNPGYAAPSGNSGMWGGEQNHNGDKFSNQRDRGSQGGDPGYGGVKPWSRQSSFGAGGGSSRSPFKGQRVCKFHENGHCKKGASCDYMHT >OMO74390 pep supercontig:CCACVL1_1.0:contig11132:13325:15876:1 gene:CCACVL1_16754 transcript:OMO74390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation protein UFD1 MFFDGYGYHGTSFEQSYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELRNESAERVSHCGVLEFIAEEGMIYMPYWMMENLLLQEGDIVRVKNVTLPKGTYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPERPAVATSSSKALPQGEDAPAEAEPKFSPFTGAGRRLDGKPLKEQPAPVSSSVSKGKGPAVSNGNNSQPLGSSTQSTARQAQGKLVFGSNVGRPKETKQETGKEPKQEQPEKKEEPKFQPFSGRKYSLKG >OMO74389 pep supercontig:CCACVL1_1.0:contig11132:7325:9541:1 gene:CCACVL1_16753 transcript:OMO74389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine/DAP/Arg decarboxylase MPALACCVDAAVAPPGYAAFIAGDSSLPAAVPFSPSTITAAAAAAAAEPNTNLTHWSPAHSSALYRIDGWGAPYFSVNNSGNITVRPHGTDTLPHQEIDLVKIVKKVSDPKSVGGLGLQLPLIVRLPDVLKNRLESLQSAFELAIQAQGYESHYQGVYPVKCNQDRFVVEDIVKVGSSFRFGLEAGSKPELLLAMTCLCKGSPEALLVCNGFKDAEYISLALLARKLALNTVIVLEQEEEVNLVIEMSKKLSIRPVIGIRAKLRTKHSGHFGSTSGEKGKFGLTTTQILRVVKKLQDSGMLDCLQLLHFHIGSQIPSTALLQDGVGEAAQIYSELVRLGAHMKVLDIGGGLGIDYDGSKSGNSDLSVSYGLQEYASAVVNAVRFVCDRKSIKHPIICSESGRAIVSHHSILIFEAMSVTAPTTPSMSEINLPFIMEGLSEDARSDYCNLSDAAMRHENETCLHYADQLKQRCVEQFKEGTLGMEQLAAVDGLCELVSKVIGAYEPVQTYHVNLSIFTSIPDFWSIGQIFPIVPIHRLDERPEVRGILSDLTCDSDGKIDKFIGGESSLPLHELEGDGGGSGGGGGGANGRYYLGMFLGGAYEEALGGVHNLFGGPSVVRVSQSDGPHSFAVTRAVPGPSCGDVLRVMQHEPELMFETLKHRAEEFCCGQDHGNGIGNDALASSLARSFHNMPYLAAMSSSCSLTAMNNNGFYYCNEEEYNAAVDSVAGEDEQWSYCCA >OMO74393 pep supercontig:CCACVL1_1.0:contig11132:21669:22429:-1 gene:CCACVL1_16757 transcript:OMO74393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWRYTAVAARAFHIGVTTMVFGFFFLIVGLSILADLFLNISQQLPDLSGIHQGQEAKTVSKAIAKVIVTVITVSMILLAMYTGFRLATESGETKHHVLTVSIGVTTILFGLIYFIIGVAIVMELALDL >OMO74395 pep supercontig:CCACVL1_1.0:contig11132:26710:28794:1 gene:CCACVL1_16759 transcript:OMO74395 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit N/Rpb10 MIIPVRCFTCGKVIGNKWDTYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKSETS >OMO74392 pep supercontig:CCACVL1_1.0:contig11132:20270:20539:1 gene:CCACVL1_16756 transcript:OMO74392 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 30S ribosomal protein S31, mitochondrial precursor MAMMQWCGAVARRVMTGQRPSLTSASPSGAAAMGAPILCGRGDKKTKKGKRFKGSYGNARPKKEKMIERIKDKVEVPRSTPWPLPFKLI >OMP00913 pep supercontig:CCACVL1_1.0:contig06589:74332:75201:1 gene:CCACVL1_03255 transcript:OMP00913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFQSNKVKSSSGSTAELQSNKVKSSSGSNSMFFNRSITMHATPAESNPPKVHLLLNNPSLNRAASISKFYNSFDSVKGKVKKLCNIFESSKSSISSSNSTTTPKESAPKFLLRHPKSLGGSRKVFDEDEGLLKRCLECNENGLIRCPECCL >OMP00909 pep supercontig:CCACVL1_1.0:contig06589:18193:34336:-1 gene:CCACVL1_03251 transcript:OMP00909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRSHGNVSTLTLPQVLTNEAFKYVADKCVALEILEIPRGLYYNPLYLTDHPKFCFEQTLIMKSHGSDFEHEQSLIIQELIGKNKNLVHLKLGSSINLKEVVAQISLNCKNFMRLGFSDASIEEDEALAIATFLPNITHLFLPETYIIEKSLVTILQCCKQLVHLDTLLLSSVLFNFIQFASSRVSILQGFIMMYGDPQQQQNQPPPPQGGEYQRGPPPPQMMRQPSASSTTLNSEYHHPAPPPQIPPYEAHGDNFAAKRMRKLTQRRAVDYTSTVVRYTQIRMWQRDSRDRTALQPTPAAAIDVLPTAAYLDNPSTCFAAKFVHTSLNKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQNNMNNVKANKTAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCQEERSLTGHGWDVKSVDWHPKKSLLVSGGKDNLVKLWDAKTGRELCSFHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSFDGSIFHWLVGHETPQVEIPNAHDNSVWDIAWHPIGYLLCSGSNDHTTKFWCRNRPGDTARDKFNMGQNQGYGEQNPALAGRMPGGFAAPEGPTTPGPFAAGLTRNEGTIPGVGVPMPLSVPSLDASAQGDQQQPLPGSMPFGGPPLPPGPHPSLVANQQQGYQQNPQQIQQQQQQAMQQQMPPMQMAPPNMSQLQPPSHMPLLPHPHLQRPPPQMPPHGMPSPVPSSMPGSMPPPSSMPTSHPMPMPGPMGIQGTMNHMPPMPQGHFRGMNPMHSGSLQSSGGPSVGGFPNGMQNMQGPANVGGGQMYPQGGAFNRPQGGQMSMMPGFNPYQSGQSGMPPPPGPPPHGQTPQ >OMP00916 pep supercontig:CCACVL1_1.0:contig06589:106302:110793:1 gene:CCACVL1_03258 transcript:OMP00916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKERLAIARAAQGLVDSTFFLCASLLLIDCEAKMNVLIISGG >OMP00915 pep supercontig:CCACVL1_1.0:contig06589:83825:88833:-1 gene:CCACVL1_03257 transcript:OMP00915 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSSQHGNSDSKHSSSGSGSCLFDLLCSETPNWRPSDAESVPWIQRKELWMQNDAQGRLAGLLRESGNAVCADCGSPDPKWVSLSLGVFICIKCSGVHRSLGVHITKVLSVKLDDWTDEQVDSLVNSGGNTAANTKYEACIPDNLRKPRPDSSIEERSDFIRRKYEMLQFLDSNDHPMISCPFPPQKNSSCSPQGSSCHQITEYRKCEKQPTRHRIGHKFRNSWGRKDSEHKSTKKSNSLAGMVEFVGLIKVNVVKGTNLAVRDMMTSDPYVILSLGQQSVKTRVIKNNLNPVWNESLMLSIPENIPPLRVLVYDKDTFSTDDFMGDAEIDIQPLVAAAKAYEKSEIQEPMQLGKWVASKENTLVKDGIITLIDGKVKQEISLRLQNVERGVLEIELECVPLTQ >OMP00910 pep supercontig:CCACVL1_1.0:contig06589:38097:38910:1 gene:CCACVL1_03252 transcript:OMP00910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHWRIKEIWIRSCKIVGPPSWSLIPEMLASPIASFLNFSMTKVDTDDENYRPSKRSRADFRPSAAARSYDDTDGMQSSPGRSQQGHSRDDVPMTDPIMAMRMKMIKVTLRCTNIEGVGY >OMP00908 pep supercontig:CCACVL1_1.0:contig06589:380:7076:1 gene:CCACVL1_03249 transcript:OMP00908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reactive oxygen species modulator 1 MARDSCLSRITAGVAVGGAVGGAVGAVYGTYEAIRYKVPGLLKIRYIGQTTLGSAAIFANLEVLTAIGNSGKVWHGGGWRFHSGFGQELSFGLAGN >OMP00911 pep supercontig:CCACVL1_1.0:contig06589:43092:48545:-1 gene:CCACVL1_03253 transcript:OMP00911 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase METQRERSGEEEDLLARSTKKIKSAKVNFVEDFDHVMDENQDDFGSIPSDAFESDGGANDNGDGGDKCNSMANANLDAEVRSPRQTESTAPSFRDMVRGDSRAKTFDEEDIFGVLTENEGLIEVSTVDSWPALRTSPKMKQFLHFKWRNCLIVKLLGRNIGYKTLQTRVTNMWKPRGHLELIDLGEGFFVAKFSLEEDLQFALAEGPWVIFGHYLTVRRWRPDFRPSEAVINSTAAWIRFPELPTEYFDERFLLALGNTIGRAIKVDKTTHFAFRGKFARVCVEIDLNKKLVPNVMVDDRWTRVEYEGLPLFCFHCGYIEHRDCSDFKAQIVETNSKGDAETHANAIEVNGGTHSNQVEEQGVGAVGSRFAALANIPEQDSVIPITKDGDGLGLGATTHQSTHMAWKVRGTSGGKSGHVPKNKNQDKENMMSRETRMVEGIDGSTIQGNKAIELGHEESSSFSTRPIRNWETSTEVVDVDALDCGDMIIDGQMRLGNSMVDGTKNAFKHPSSMKSSDYRNRDPPNSDNLSFVPETQHLDGDFRIADPIGFSGGLWICWEAQHVSLEILYTSTQVIHAIVRVPGETEWLLSAVYASPLLTVRKKLWDQMEEFALVVRLPWMMLGDFNDISNSSEKFGGATTSIDRCLRFNTMVTNCGLLDLGFQGPTYTWTNRRQGRHRIHERLDRALSNVEWRLRFPEAIVKHLPRFYSDHCPILVQYTGIDLVKLNVGCPTLATEQFQPLDAPITLEELVRDAFDNGCFPEELNETLLVFIPKVQHPEYLKQFRPISLCCVAYKVITKVLVNRIRPHLGDLIAPTQVSFIPGRQAADNILLAQELIYTIRRCSSKNGLMAVKIDLAKAYDRVNWSFLRDTLREFGFSDKWIRLIMFCVESSKMAVLWNGEKLDSFLPQRGLRQGDPPSPYLFVLCMERLGHLIDREVRTGAWKPIKAGRNCPGISYLFFVDDLFLFSRADPSQATVIKHVLDEFCMASGAKISLEKSKLFVSPKARGSGRDMSSLLGITGSDNLGKYLGVPLIHGRVTKATFKEIIEKVQSMLSSWKSRFLSLAGRATLISSVTTSIPAYNMMTMALPKNVTRSLDSLNNRFLWGGNEQKRGVHLVSWEDVCRPKRMGGLGLRRMELHNIALLQKTAWRFITEEDSLWVKFIKAKYRVRDDVFNFIRNRQGTSASWSYTWKGIMKALTYLEHGLKWRIGNGSLVRFWTDPWLLNEPILQVIDSYSQVNDTEVFVRDYRHTEGGWDSDKLFQELPLDLALKILGYPIPRLLEVKDRRIWKYTANGVFNTQSAYLASIDEEVDDAHSGWKWFWRLPALARWLHFLWLVRRGRLLTNSLRASWGLGDALCRLCGVTEKSIMHTLRDCTHAYKVWVALGKMPDNESFFEWVDANLLFTELHGDVEWRVIFIVTLWRLWTRRCDFVMKNGEIGLEEGALVALILHSAGEVMQNLVRETRLRETFETCGSLGAMVSS >OMP00914 pep supercontig:CCACVL1_1.0:contig06589:78433:80646:1 gene:CCACVL1_03256 transcript:OMP00914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MSFLRPYGKVNEVDHAMLMARRKTRKRIAIISLSLIVLAAIVVAAVFGSRAGSKDDSKNSGVQPISSSIKAVCDVTLHKEACYNSLGPMGANSSQLQPEDIFKLSMKVALIELSKASQHFSINGTIFKDKMSNAAMETCQELLSLAIDHLNNSLSSEVSVMEAVDDLRTWLSAAGTYQQTCIDGFEEIKGDIKSNVHDNLKYSSELTSNSLAIITWISKVVSAMKLRRLMNFPLDHEEPEWLHQKGRMLLQSSDQLKKKADIVVAKDGSGQYKTIKAALKAVPDKSKKKRTVIYVKKGIYKENVKVEKNKWNVTMIGDGMDSTIVTGSLNFVDGTPTFETATFSVFGKGFVAQDMAFVNSAGPEKHQAVALMSSADQSVFYRCRFDAFQDTLYAHANRQFYRECTITGTVDFIFGNSAVVFQNCNILPRQPMSNQQNTITAQGKVDPNQNTGISIQNCTIAPFDKLSSSLRTYLGRPWKAYSTTIVMNSNIGSLIHPSGWLPWSGDSAPSTIFYSEYKNTGPGSSTKDRVKWKGLKNISEKQAKMFTVKEFLQGDKWISDTGITYKSTL >OMP00912 pep supercontig:CCACVL1_1.0:contig06589:66599:67462:1 gene:CCACVL1_03254 transcript:OMP00912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sensitivity To Red Light Reduced-like, SRR1 MVSSEKVVNLENHTQNGEWTVVLPRKGRHRRNSPKITTGKGQQQEQQPWVPTDVEIDPERQSKLMHKIQVCMKRIENSRFFLTLLDQMQSAEVFNHFSRILGSELKLQMVIYGIGSIESHETPRLQLSLAVLMKRRFSWIGDIEVFDPVLSATESQVLEALGCSVLSVNEQGRRQAMKPTLFFMPHCEAELYNNLLQANWGVEPLNRVALFGNSFETYEQHVSFKYYEQEVSFMDSSVAESVTHILAARRFTDEFKINTVSDDYFAAFHDSSWHFFKPACQNELQLN >OMO55656 pep supercontig:CCACVL1_1.0:contig14628:10626:10709:1 gene:CCACVL1_27113 transcript:OMO55656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDIMEETNINMAIDIRGIKPFRITA >OMO55655 pep supercontig:CCACVL1_1.0:contig14628:89:5952:-1 gene:CCACVL1_27112 transcript:OMO55655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNRREKEKWSPSPLGLTQSEHRLIGEPLPDNVTLDVVDTLPKK >OMO55657 pep supercontig:CCACVL1_1.0:contig14628:13838:17265:-1 gene:CCACVL1_27114 transcript:OMO55657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHTKYLRHNSSRKVPQYTKDVAGLLLRVTEVGVVRKR >OMP02557 pep supercontig:CCACVL1_1.0:contig06225:53469:55165:1 gene:CCACVL1_02770 transcript:OMP02557 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligosaccharide biosynthesis protein Alg14-like protein MEKNNSCCFSNFSSNLPVTFLITIIAIILVRFLYVIWRTGKPLSKRPPQQPLSTLIVLGSGGHTAEMINLLLVLQKERFSPRYYIAAATDNMSLQKARVFENSLADSSGVKGISAEFMQIYRSREVGQSYVTSIWTTLVALAHALWLMVKIRPEVVLCNGPGTCIPLCVIAFIFKLIGIRWSSIFYVESIARVKRLSLSGLLLYKLRIADQFFVQWPQLKRKYPRAHYVGCLM >OMP02551 pep supercontig:CCACVL1_1.0:contig06225:28532:28870:-1 gene:CCACVL1_02764 transcript:OMP02551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNCGLIQPNGSCFTPDTPINHASVVMNFYYQLVGRPISACYFGYVNDYASCQYLYKA >OMP02552 pep supercontig:CCACVL1_1.0:contig06225:32208:35937:1 gene:CCACVL1_02765 transcript:OMP02552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKCVISLIIVTVYSVLVTLPYAAEPSLEVEVEALQAFKNAVTYDPLGALADWTEAKHHCNWSGIACEPSTSRVISISLVDKQLKGKISPFLGNLSSLQVLDLTLNSFSGHIPPQIGLCSQLSELILYYNSLSGPIPPEIGNLKNLQSMDLGDNSLNGTIPDSICNCTSLLALGLIYNNLTGTIPKDIGNLVNLQLLVAYRNSLEGSIPSSIGSLGALQALDLSENHLSGVIPPEIGNLSSLQYLQLFNNSLVGEIPSEIGRCRMLLALELYTNKFTGAIPSELGNLVHLQTLRLYKNKLNSTIPLSILQLKSLTHLGLSENELTGTVPNELGSLSSLQVLTLHSNKLSGKIPSSITNLTNLTYLTMSYNSLTGELPSGIGSLHNLQNLSMEVNHLEGSIPPSIINCTSLMYMSLGFNRITGKIPSGLGQLPNLTFLSIGPNRMSGEIPDDLFNCSNLRLLSIAENNFTGLLKPDVGKLYNLRIFKAGFNSFVGNIPPEIGNLSQLMTLALAGNGFTGFIPPELSKLSLLQGLSLHENSLDGALPEKLSELKQLTYLDLQHNKITGSIPAAFSKLESLTYLSLNNNKLNGSIPKSLGSLYRLSTLDLSHNHLTGPIPNSVLAGLKMMQLYLNFSNNFLEGSIPDELGRLEMVQVIDISNNNLSGVIPTTLKGCRNLFSLDLSGNKLSGPIPAEAFTQMDMLRSLNLSRNKLDGEIPEDLAQLEQLRSLDLSQNQLRGSIPESLGNSSSLKYLNLSFNQLEGHVPETGLFKTINSSSLIGNTGLCGDKFLRSCSNRSSHRFSRKVLIILTILGSVSVLLVLVLAVSILIQRAKKSKVVKLENPEPEFTPALLKRFDKVELENATDSFSKDNILGASSLSTVYKGKLEDGQLIAVKTLNLRQFSKESDKSFNREVKNLSHLRHKNLVKVLGYAWESEKLKAIILQYMENGSLDNVIHGSLMDQIWTLSERIDICISVASALDYLHSGYDFPIVHCDLKPSNILLDGDWIAHVSDFGTARMLDVHLQDGSSLSSASAFEGTIGYLAPEFAYMRNVTTKVDVFSFGIVVMEFLTKRRPTGNMEVEGMPVSLRQLVEKAMANGTKGLLEVSDPLLALNVSKEQTEALEELFKLALFCTSTNPEDRPNMNEVLSFLLKLKAKYCEIQTQ >OMP02555 pep supercontig:CCACVL1_1.0:contig06225:42517:43314:1 gene:CCACVL1_02768 transcript:OMP02555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein, plant MAAATMALSSPTFAGKAVKLYPSTSEIQGNGRVSMRKATKPSAPSGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >OMP02549 pep supercontig:CCACVL1_1.0:contig06225:22501:23229:-1 gene:CCACVL1_02762 transcript:OMP02549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDQQFYPRKAVPTLADEVGDNYMHFPVTSAFGSVFPPCAKPLPPLHGIEFQPSEVCPKNFIIFDQTDHGNQIMYNTAIAHKFNGHGLNYFATCMEGKYQKQDVNDVEKEASSFLKEDSDDIDALLSSEEEEQEDYDEEEISTARTYGYYEGDSADSHSAYGSKPRNRSCSSTLKSSGSGSSCDPEMRRLKMKKMVKVLRGIVPGADEMGTVAVLDEAVRYLKSLKVEVQKLGVGNFNNGD >OMP02558 pep supercontig:CCACVL1_1.0:contig06225:55741:57762:-1 gene:CCACVL1_02771 transcript:OMP02558 gene_biotype:protein_coding transcript_biotype:protein_coding description:28 kDa heat-and acid-stable phosphoprotein isoform 1 MARGKTKPKRTGQHTFSSIEEILAGTSSRPPTFTQREAKYNEEEESKRGFRQESEEESDNETEQKQKHKGIQERLALIRQEREEAAKKREEEKAVKEQRKAEARK >OMP02548 pep supercontig:CCACVL1_1.0:contig06225:18540:19042:-1 gene:CCACVL1_02761 transcript:OMP02548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S26A, signal peptidase I MGKLRPGDVVIIGSPVKPGRIVTKRLIGMEGDQVTYVVDPMNSDRCQTIVVPKGHVWVEGDNIYASKDSRLYGAIPYGLIRGKAFWKVLPRKDFGPVASKPRE >OMP02556 pep supercontig:CCACVL1_1.0:contig06225:45059:52470:-1 gene:CCACVL1_02769 transcript:OMP02556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MSAKLSNCFLSHGFIGLNYNNNKSNVYSYNRNGNLRLLFLPSRRLLPASCKMRQRNLSSQHKRQGKKPPPKRIPTSAGLQTNSDEESEPETSVPFPNGDVEHMVNHETSYKDDVDTRVDVEHIDEQNLDSLTLPAVTKALALSRDGGEQLSGVQLEDLIAMIKNAERNVLLLNQARVHALEDLHKILSEKESLQGEMNVLEMRLAEADARIKVASQEKIHVELLEDQLEKLQNELIQRGDSVKNETELYKNQNKISKEDALLAHDSRVHSLSKEVDSLRTENLALRNDIQALKSMLSNVKNTDERMVSLENERSFLESSLKELESKLAASQQDVSKISTLKVEYKDLWTKVENLQLLMDKATKQADHAISVLQQNQDLRKKVDKLEESLEEANAFKLSSEKMQQYNELMQQKIKLLEERLQKSDQEIHSYVLLYQESVKEFQDTLNSLKEESRKRASNGPVDDMPYEFWSRILLIIDGWALEKKISSNDAKLLREMVWKRDRQIRDAYMACKEKNEREAVSTFLRLTSSQASPGLYVVHIAAEMAPVAKVGGLGDVVTGLGKALQKKGHLVEIVLPKYDCMQYDRIRDLRALDVTVESYFDGKLFQNKVWVGTVEGLPVYFIEPHHPNKFFWRGQYYGEHDDFKRFSFFSRAALELLLQAGKKPDIIHCHDWQTAFVAPLYWDLYAPKGLNSARICFTCHNFEYQGSAPASDLASCGLEVQHLNRPDRMQDNTAHDRINPVKGAIVFSNVVTTVSPTYAQEVRTAEGGRGLHSTLNFHSKKFIGILNGIDTDAWNPATDSFLKVQYSANDLQGKAENKASMRRHLGLSSSDDQQPLVGCITRLVPQKGVHLIRHAIYRTLEMGGQFVLLGSSPVPHIQREFEGIANQFQNHDHIRLILKYDESLSHYIYAASDMFIIPSIFEPCGLTQMIAMRYGSVPIARKTGGLNDSVFDVDDDTIPHQFRNGFTFTTPDEQGVNSALEHAFNLYNNDKEFWRQLVQKDMNIDFSWDSSASQYEELYAKSVARARAAAATASRGT >OMP02546 pep supercontig:CCACVL1_1.0:contig06225:3506:7105:-1 gene:CCACVL1_02759 transcript:OMP02546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MSAAPVKKTKGRQKIEMKKIENEDDRLITFSKRRSGIYKKASELTTLCGAEIAFIVFSPAGKPFSFGHPSVESVANRFLNQNPPPNDNTHPLVEAHRKVRINHLTQQHNELLNQLDAEKERAKALEQVTGGKGKLRNEVVSKLNDKGAAAAPLINGAMDPSQLMANPFDHVNANEEVVPVIFAAPAPGYGPVFPVIDLRLVITYVRVELVADRFLNQDKEPKVSIPSHVEPQTQSNVQKLNQELNELTKQVQAEKKKGEMLAKAIKAASGKQYKPEKPIKELTLDELFEKKKSLEELREKLQGRVNEIEASSSLLLLSNQGA >OMP02547 pep supercontig:CCACVL1_1.0:contig06225:12265:17890:1 gene:CCACVL1_02760 transcript:OMP02547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEEKEKSCSFPRELVGVNVGLAFFDAIIAVLAFTQVFHLLIGSTNAGYFIYFVLTLIAACRGWLCWSYSCGFVAMAFPRILFFATFLLLLSFWVDLCHQADDEEEEDEEQGFLEALLQSSLNRPRSSTADSRRICYPFRLIHVGSRQKMVILITVLVFLFMMTFAVLIWIGIKDNPIDSSTVARVYVDLFAAAILLLGGALACYGLLLCRKMRHVRSERASSEIQKVAGLAIVSVLCFTSSALVAFFTDIPVLYHWQELHIDGLYTSLLLILYYFIGSSVPSAFVLWVMRELPPVPANIHEESTTLTFITDSSAEVRHPQSWTTAASSQNQVSRGSPI >OMP02553 pep supercontig:CCACVL1_1.0:contig06225:36265:37060:-1 gene:CCACVL1_02766 transcript:OMP02553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPSLHSNFFSSLKQVEKRLRLELPADSSSTPSHVPETNPTLTESPLYLQIDQPDNTNINNTLQDSSDCPQAFLSASPQFPSINQPQTQINPPAPDPNPTTSINDIDDIDYLIQLLGLSDNLGGTQKREKQKGKGVVCEEKGNSCGCECGFYEKIVGVKGPKCEKEVERMEGWIRYFLKNGSDPLRLAFLLMGKAAFERGDNDSESLEFPCVIDEFLKIDPPEEAKD >OMP02554 pep supercontig:CCACVL1_1.0:contig06225:39456:40253:-1 gene:CCACVL1_02767 transcript:OMP02554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein, plant MAAATMALSSPAFAGKAVKLSPSTSEIQGNGRVSMRKATKPSAPSGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWACQVILMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >OMP02550 pep supercontig:CCACVL1_1.0:contig06225:26474:27500:-1 gene:CCACVL1_02763 transcript:OMP02550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGQKTWCIANPLSHDSALAANIEYACSLLDCRWIQPDGPCFEPDTLLQHASYVMNMYYQAYGRHLSDCDFKNTGLVSLTDPSYGNCTFESGGALAEEEPSETWCVAKPGTSDELLQQDIDFACNHVDCSPTHVGGACFYPTNLINHASYAMNLCYQTTDRKNSSCDFRGTGLLVSVDPSYGNCSY >OMP03605 pep supercontig:CCACVL1_1.0:contig06025:12439:12594:-1 gene:CCACVL1_02343 transcript:OMP03605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIVAYLAMVIKTRAIVTASIAKVNFTAIIVRRGGEKVESVVRVGFVLQL >OMP03606 pep supercontig:CCACVL1_1.0:contig06025:13500:13820:1 gene:CCACVL1_02344 transcript:OMP03606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRTRKSPSSSSQFSAKPPVTRSKHHRKIPHEKSYYYSDDDNVDTSQSHYPIESNGNDELEQQDNHEDDYDNEVDDASEYQSESMAINDPNPHRLLMHAYIYLLVE >OMP03604 pep supercontig:CCACVL1_1.0:contig06025:7622:10645:-1 gene:CCACVL1_02342 transcript:OMP03604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLNSMSLRITATTAPIYPEEQAPDPRKTKVILPKKKPLKWSTGVAPGDYGGPPTTTKLRKYWGGEEEDPITSDEFIWNKDFVGRMRKLIEDPVDDSSLQPSSAKEEPSGFLSLNRVMSLDSLEVDLSKELTAPPKSQLERPLVSTTQGSGRLSRKWKLVPTRREQEKWDKATKAATGGSDVMLREIRQPRGDPEVLAAQSREQYFKLRNKLQVLTLAIGGVGLVSAYVSYTPEIAASFGAGLLGSLAYMRMLGSSVDSLAEGARGLMKGAVGQPRLLVPVVLVMIYNRWNAILVPEYGYMHLELIPMLVGFFTYKIATFFQAIEDAITVAGKETEA >OMO94085 pep supercontig:CCACVL1_1.0:contig07983:13070:13183:1 gene:CCACVL1_06180 transcript:OMO94085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKFQEAFNVGRGDKKGIEDIVVGIVLNASKVRDGCRV >OMO95738 pep supercontig:CCACVL1_1.0:contig07615:16007:16108:-1 gene:CCACVL1_05282 transcript:OMO95738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSNGKVDSTFESSTLFHGNRLTGLLFNPSTQ >OMO95737 pep supercontig:CCACVL1_1.0:contig07615:14066:15220:-1 gene:CCACVL1_05281 transcript:OMO95737 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IA, variant 3 MVLVESIATSLLGHRPFCGTISVKDVSSKQKSSSNCRLLSAQFAGKRIIVSPMLRLRVERLINSSVKALAMELTKEVHAYRDEKRTPRDWNYKIDDISVDRKPGLWPPENKADNPSLHNPLLRQERMGCGWLGALFEWEGVLIEDNPQLEKQAWLAVAEEEGKSPPPAFILKRIEGMKSEQAISEVLCWSRDPAQVRRMASRKEDIYQALQGGIYRLRNGSQEFVNTLTRYEIPMALVSTRPRKVIETAIRAIGMEGFFSVIVAAEDVHRGKPDPEMFVYAAQLLKFIPERCIVFGNSNQTVEAAHDARMKCVAVASKHPVYELAAADLVVNRLDELSVVDLKNLADIESTEFGSGEPELEMEMEMEEEDYGPSTSVAVDDIFW >OMO95739 pep supercontig:CCACVL1_1.0:contig07615:20555:25692:-1 gene:CCACVL1_05283 transcript:OMO95739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin/calnexin MLQIKKTRERKKKKKNPKYGWQSRWVKSDWKRTEGKAGSFKHTTGKWSGDPDDKGIQTSGDAKHFAISAKIPEFTNKNRTLVLQYSIRFEQDIECGGGYIKLLSGFVNQKKFGGDTPYSLMFGPDICGTQTKKLHVILSYQGQNHPIKKELECETDKLTHFYTFILRPDASYSILIDNRERDSGSMYTDWDILPSRKVRDVKAKKPADWDDREYIDDPDAKKPEGYDSIPKEIPDPNAKEPGNWDEEEDGIWKPPKIPNPKYRGPWKPKKIKNPNYKGKWKIPYIDNPEFEDDPDLYVLKPIKYVGIEVWQVKAGSVFDNVLICDDPEYAKQVVNEVFANREIEKEAFEEAEKTRKAREEEEAQRAREEGERRRRERGYDRRHRDRERYRDKYRRIRDKYVQEQNVKIKVDIGLDRFCDLTFSV >OMO95740 pep supercontig:CCACVL1_1.0:contig07615:37314:41496:-1 gene:CCACVL1_05284 transcript:OMO95740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYRYEEENVACLFSSDEGTGSVIPTQAQSLVEGSGAVMVSELKPVPDVDYLQELLAIQQQGPRAIGFFGTRNMGFMHQELIEILSYALVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVKTVIEKPYNDHLPLLEASRLCNRDIISHVQQVICFAFHDSKLLMETCQEAKSLRKIVTLFYLD >OMO68975 pep supercontig:CCACVL1_1.0:contig12146:11685:22570:1 gene:CCACVL1_19732 transcript:OMO68975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MAPKTGKTKPHKAKGDKKKKEEKVLPTVIEITVETPEESQVTLKGISTDRILDVRKLLGVHVETCHLTNFSLSHEVRGPQLKDSVDIVTLKPCHLSIIEEDYTEELAIAHIRRLLDIVACTTSFGAPKPAGRPGAKEPSSKESAAAENGPSNGSESPDNSKAKEKTDATAAATAAAAAVSMCPPPRLGQFYDFFSFSHLTPPIQYIRRSSRPFLEDKTEDDFFQIDVRVCSGKPMTIVASRKGFYPAGKRPLLCYTLVSLLQQISRVFDSAYKALMKAFTEHNKFGNLPYGFRANTWVVPPVVADSPSVFPPLPVEDENWGGNGGGQGRDSKHENRQWAKEFAILAAMPCKTAEERQIRDRKAFLLHSLFVDVSVFKAVASIKNIIETNQNTLNDPSASNVHEEKVGDLIIKVTRDVPDASEKLDCKNDGRRVLGMSQEELAQRNLLKGITADESATVHDTSTLGVVVVRHCGYTAVVKVLAEVNWEGNPIPQDIDIEDQPEGGANALNVNSLRMLLHKSSTPQSSAQRSQCVEIENLRSARASVRKVLEDSLQKLQDEPSNNSRSIRWELGACWVQHLQNQASGKAESKKNEDVKPEPAVKGLGKQGALLKEIKKKADIKGGKVEQGKEGNNLDMNKKSEISNQKELEKQEEEMEMMWKKLLPEAAYLRLKESETGLHLKSPDELIEMAHKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMVVRAYKHVLQAVISAVDSVGDLAASIAACLNILLGTPSIENGDLDIINDDKLKWRWVETFLSKRFGWQWKPESCQDLRKFAILRGVSHKVGLELVPRDYDMDTPSPFRKSDVISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKARDAQKKARAKIKGRPGQNWEAVPEEYQNDEILSPTDPVIENSSDKENKSIAQFEESRNEKPDSVLPEQPMLIRNDDQEQDDTSDEGWQEAVPKGRSPAARKSSTSRRPSLAKLNTNFMNVSQSSRYRGKPNNFTSPRTNPNEPAASAGPSPPAMKKFVKSSSFSPKPTIPNSTAGGVEKSVNPKSAPASPASHEQVTKPTPVTTPISVQAAGKLFSYKEVALAPPGTIVKAVSEQLPKGNTLPEQNSQASQETAAPDVTPNDVPTSTVAKEAGEAFQGSGTETKSTADDEKRAETRESVIAEASKDIKGNAIEDIKVEDKKVEVNSGVETKKKEASNKDSNSVSSKTEALETGSSDKCQVTSSNAEPLVVATQNTAQLPEKEASIPTGKLSDDEDSQELPSGGEVSSKQLPTEEEQEGETGKEPTKKLSAAAPPFNPSTIPVFSSVTVPGFKDHGGILPPPVNIPPMIQINPVRRSPHQSATARVPYGPRLSGGYNRSGNRVPRNKSSYHSSEHSGEGNQYSPPRIMNPHAAEFVPSQPWVPNGYPVSPNGFIASPNGMPISPNGYPMSPVTPNGYPASPNGIPVTQNGFLATPIGTLESPVVVTVDNGAENDGEEAAEQTPENSSKEVEGDNQSSEQKPPKDQSFGRENTLHENEGKPADVVPLNGDVTLEKEACGEIQVDGKSSKCWGDYSDGETEIVEVAS >OMO68976 pep supercontig:CCACVL1_1.0:contig12146:23239:26816:-1 gene:CCACVL1_19733 transcript:OMO68976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hly-III-related protein MQKNRYRHRHHRGREVEAMKNNDDDVKKLERADSVEEDCYKKNIKRFKRKLVKFEELPEYLKDNEFILDYYRCEWPLKDILISVFAWHNETLNIWTHFLGFLIFAGLTVMSSMEEFGGGGLITSFSRAQLSGPLMMMMKNNVNVSDKNHSVLPDSHFRQIPQSPLYELQKDDGHNPLPTWPWFVFLSGAMGCLVCSAVSHLFACHSKRFNLFFWRLDYVGISLMIVCSFFAPIYYAFSCHPYSRLFYLTSITVIGILAIITLLSPALSSPRFRSFRATLFLTMGFSGVIPAAHAVALHWGHPHIFVSLGYELAMAILYAAGAAVYTSRIPERWKPGAFDIAGHSHQIFHVFVVLAALAHSVATLVIMDFRRQSPTCVY >OMO68977 pep supercontig:CCACVL1_1.0:contig12146:38262:38927:1 gene:CCACVL1_19734 transcript:OMO68977 gene_biotype:protein_coding transcript_biotype:protein_coding description:release factor glutamine methyltransferase-like protein MMKLRLCSLHYGVRANIWRRPVSLYCSNSKSIISSSSIEVKPKLALYLRPLSFSTSLSELTKWHKWAKNLASSVGSSFVHLDNGPDSTLLQRELNWLLEDLLEVQHHSRILPLLESCSNENADVAVALRVPLEDLYCAWRQRIEERRPFQYVVGCEHWRDLVLSVQEGVLIPRPETEIIIDLVEDVALKNNPKLAQGLCLYGLIWGLVLVLVLLLLPLQRP >OMP08712 pep supercontig:CCACVL1_1.0:contig03649:559:621:-1 gene:CCACVL1_01101 transcript:OMP08712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSTSWGIRLITDPALGGFLAQ >OMP03716 pep supercontig:CCACVL1_1.0:contig05999:4178:7304:1 gene:CCACVL1_02295 transcript:OMP03716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 14B, plant MPKSAITDPKKLAENYVLVFVMLPLNIVSSENVLVDKEGLKKQLLKLKEAGVDGVMSDVWWGIVELKGPKQYEWSAYRSLAELIQECGLKMQAIMSFHQCGGNVGDEVYIPIPKWVLDIGENDPDIFYTNRAGNRNKEYLTLGVDHQPIFHGRTAIHIYSDYMKSFRETMKDLIDAGVIIDIEVGLGAAGELRYPSYPQTQGWEYPGIGEFQCYDKYLKAAFKEAAKKAGHPEWELPDNAGEYNDTPEKTEFFGPNGTYLTEKGKFFLTWYSNMLIKHGDEILEEATKAFLACKLKLAIKVSGIHWWYKSENHAAELTAGYYNLKTRDGYRPIARMLSRHDALLNFTCLEMRDCEQDAAAYSAPEPLVQQVLNGAWREHIKVGGENALSRYDKDAYNQILLNARPNGLTENGEPEMYQFTYLRASSDLFQDDNFELFKKFVKNMHAGLEYISDPDKYGHKLSPLEHSKPKIPNEVLLEATEPLEPFPWDDETDMKVDG >OMO61245 pep supercontig:CCACVL1_1.0:contig13555:11325:14252:-1 gene:CCACVL1_23649 transcript:OMO61245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MADFTSSAVAAAPPPSFSLFDKPIAFTEASSSSLPSSYGGTFDDGCEDGCSICLEPFSTQNPVTVTSCKHEYHLQCILEWSQRSKECPICWQLFVLKDPASQELLDAVRIERHRRSRNTTAVPRDFHHIDDDFGAEEDASHSDDSDFDERILQHLAAAASRARYVHRRERQRASEVLFFTSPEHMAHMQQTHPSSPEDILSYGSTQCDSPVSSIPSISTDPSSPATPTVGVVSTAAASGNKAIKPRQLQADTPHRPSSSETLSFSESIRSKWSIASARYKESIFKGTRGLKEKLLARNNSVKELSKGVQREMSAGIAGVAKMIERLDIATKRPGASVPVSGGAGGTSNLLFKGKAVQENVIVQTPNNYCIVGLNSEAPSYPSNNIPGTVEVSHTQRGGH >OMO61247 pep supercontig:CCACVL1_1.0:contig13555:19931:22864:-1 gene:CCACVL1_23651 transcript:OMO61247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trimeric LpxA-like protein MALSADGRLSLSTAGQLRGNVALPRRDWRLVKFCNGELMGKKLNMMKRQCNSSNKNVKQNICMSLTTNNIAGESKLRDLELERRDPRTVVAVILGGGAGTRLFPLTKRRAKPADARSKDIEDVLILSGDHLYRMDYMDFVQNHRESGADITISSLPIDNRRASDFGLMKIDNKGRVLSFSEKPKGQELKAMAVDTTVLGLSKDEAEKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPASANEFFIKAYLFNDYWEDIGTIRSFFEANLALTEHPPRFSFYDATKPIYTSRRNLPPSSIDNSKLVDSIISHGSFLTDCFIEHSVIGIRSRINANVHLKDTVMLGADFYETAEEKSAILAEGGVPIGIGENTKIKDCIIDKNARIGKNVVIANSERVEEADRSAEGFYIRSGITIITKNSTIKDGVVI >OMO61246 pep supercontig:CCACVL1_1.0:contig13555:16215:19296:1 gene:CCACVL1_23650 transcript:OMO61246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSATATQFLSLTKAAPYRKPRFHLQPRNQVETNDSSATLREICQNHVPDHVLRRMEELGYEVPTDVQKEALPVLFSGNDCILHAQTGSGKTLTYLLLIYSVINPKRSAVQALIVVPTRELGMQITKVARMLAAKPIDPEVEQKSTVMALLDGGMLRRHKSWLKAEPPTIVVATIGSLSQMLEKQIFKLDSMQVLVVDEVDFMFYSSKQVGYLRKLLQSYSSCDNRQTVFASASIPQHRRFVHDCIQQKWTKRDVVHIHVNPIKPMPSCLLHRFVMCGRKEKCRLLLSLLQSDLPESGIIFVGEQSEKSKKAGKAPSTTLLIDFLKTSYGGSLEVLLLEEDMNFNSRAASLSI >OMO61244 pep supercontig:CCACVL1_1.0:contig13555:9281:10447:1 gene:CCACVL1_23648 transcript:OMO61244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MASSAQKSQKQQSRPGKEHVMDPRPQFFNPEYKPSNKLQGKVALVTGGDSGIGRAVCYYFALEGATVAFTYVKNHEEKDRDETLQMLNEAKSREAKKPIAISADLGYEEECKKVIDATVQEFGKLDILVNDAAEKHFTTSVEEITENQLERVFRTNIFSQFFMHALKHMKEGSAIINTTSVMAYTGSPTMLDYTATKGAIVAFTRGLALQLAERGIRVNGVAAGPTWTPLVHSTFPQQLLNNFGNDTPMGRPAQTCELAPSYVFLASPQCSSYFSGQFLHPNGGMVINT >OMO52073 pep supercontig:CCACVL1_1.0:contig15627:7289:7381:1 gene:CCACVL1_29373 transcript:OMO52073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLQLRPPVDLNDRHPFLSTLPVTLLHLLTSS >OMO62865 pep supercontig:CCACVL1_1.0:contig13137:7405:8367:1 gene:CCACVL1_22603 transcript:OMO62865 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M10, metallopeptidase MASSKILPFLGAFLLIVLLLQPISIQSRPTKNPTKNAFEFIKSLQGCHKGQKVKGLKNLKGYLHKFGYLSYHQEANKNKSRNIHANDDDFDDLLESAIKTYQQYYHLKVTGDLDAETVNQMVQPRCGVPDVVNGKKVHHHSHDDSLHTVGHFRFFPNRARWPDSKTDFTYRLTSSAQVPEPDNIRSIISRAFERWSKVTRFTFQEVSESSTSDIVIGFHRGSHGDNAPFDGPGGVLAHAYAPTRGIFHYDAQENWSSNPVAGSRQVDLESVAVHEIGHVLGLHHSEVRSAIMYAYYQPGTTKRDLDADDIQGIQTLYGLQ >OMO62864 pep supercontig:CCACVL1_1.0:contig13137:72:1270:1 gene:CCACVL1_22602 transcript:OMO62864 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCR4-NOT transcription complex subunit 10-like protein MDSRDSSLSSAPNTDGTSGDDDGVLSVASALAEEPPSFAYNIPKTRVLMLTLILLVVPLIRLKKLAMEIGKNRRRKKEMNTVEIDEKRWGRGHGVRGLSIGL >OMO60044 pep supercontig:CCACVL1_1.0:contig13798:18761:19082:-1 gene:CCACVL1_24442 transcript:OMO60044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTERTKVGIVGKYGEPEGLDRAARFGTKQSRRN >OMO60045 pep supercontig:CCACVL1_1.0:contig13798:27476:27592:-1 gene:CCACVL1_24443 transcript:OMO60045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVFGLRFPDDGGAIQGGNEGDNANEGGNAGGLEDLD >OMP11405 pep supercontig:CCACVL1_1.0:contig01313:512:595:-1 gene:CCACVL1_00535 transcript:OMP11405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEKIIQKMKRSLHQTLLRLKQIKFKTYK >OMO51329 pep supercontig:CCACVL1_1.0:contig15882:55439:58592:1 gene:CCACVL1_29855 transcript:OMO51329 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MSAEHLLVAVDEAEDHQNNNNNHHVAVDEGGGMKFEIRDLRKVSDTGVPILNGINVDIPKGVIVGIIGPSGSGKSTFLRALNRLWEPPSDTVFLDGRDIVDLDVLALRRKVGMLFQLPALFQGTVADNVRYGPQLRGKKLTDDEVSKLLTLADLDSSFFNKSGAELSVGQAQRVALARTLANHPEVLLLDEPTSALDPISTQNIEDVIVKLKKTKGMTVIMVSHSIKQIQRVADVVCLLVDGEIVEILKPDELSEAKHPMAQRFLQLSG >OMO51330 pep supercontig:CCACVL1_1.0:contig15882:59111:60841:-1 gene:CCACVL1_29856 transcript:OMO51330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHELQGPDRFIKALSTPTTTNNDWRSQIKQNQLVSQVSSILLQRRNWVSLLLPLNLSAKLTPTLFLQILHKTQHHPQISLSFFNWVKSNLGFKPDLKSQCRILQIALESGLSRPVEPLMNTLIQSHPAALVADSMVQACKGKNVQSNVLNSVIRCYSEKGLYLEGLEVFRKMGFHGFTPSVFACNELLDALLRGNELKVAWCFFGSMFRVGVEPDQFSCTFFAQILCRSGKFENVVRLLDRGICSSEIYDLVIDFYGKSGDFEAAFNGLNDMCDRKLDTSFHTYSSILDGACKYNNREVIERIMQMMAKKELLPRFQFSKNELNLMIQKLCDLRKTYAAEMLFKKACDENIRLEEGTYGSMLKALSMEARIDEAIRVYQMISKRGITVNESCYSEFVNVLCEVDKSDDGYGLLVAIIKKGHNPCVSKLSIYIASQCSKREWKKAEELLDLMLEKGLLPDSFGCCCPLIEWYCLNRQINKVIVLHDKMEKVKGCFSVTTYNLILDVLWREKKAEEATRVFDYMKAVNLVDSASFTIMIRELCHIKEMRKAMKLHDEMLNLGLKPDKGTYKKLISGFK >OMO51327 pep supercontig:CCACVL1_1.0:contig15882:45179:53918:1 gene:CCACVL1_29853 transcript:OMO51327 gene_biotype:protein_coding transcript_biotype:protein_coding description:THO complex, subunit THOC1 MEDFRRVILQPGPPESFALQAVQEVIKPQKAKLAQDENQLLENMLRTLLQELVSSAVQSGEEIMQYGKSIDDDDNAQGVIPRLLDIVLYLCEKEHVEGGMIFQLLEDLTEMSTMRNCKDVFRYIESKQDILGKQELFSRGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNQTKFEKDPPEGIALDFNFYKTLWSLQEYFCNPVSLSTTPIKWQKFTSSLMVVLNTFEAQPLSEEEGAGNNLEEEGTTFNIKYLTSSKLMGLELKDPSFRRHILLQCLILFDYLKAPGKNDKDSSESMKEEIKSCEDRVKKLLEVTPPKGKDFLHCIEHILEREKNWVWWKRDGCPPFEKQPIEKKTAQDGVKKRRPRWRLGNKELSQLWKWADQNPNALTDPQRVRTPAITDYWKPLAEDMDESAGIEAEYHHKNNRVYCWKGLRFSARQDLEGFSKFTEHGIEGVVPLELLPPEVRSKFQGKPNDRSKRAKKEDTKNASHQVDESQIATPASEIDGEGTRADTDASAAPMDTDAMAASGNNSQGGTPTPDEHQKQSPDIDVGQEAGQLEADAEVEAGMIDGETDPET >OMO51324 pep supercontig:CCACVL1_1.0:contig15882:34843:35391:1 gene:CCACVL1_29850 transcript:OMO51324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKIPVSLHSSRPHDNHIHGGTRMTGTLFGYRKARVNLAIQENSKCLPILVLELAITTGKLLQEMGVGLVRIALECEKKPGEKIKIQDEPIWTLFCNGKKSGYGVKREPTDEDLMVMQTLHPVSMGAGVIPAEATENPDGELAYMRAHFERVVNSRDSETYYMMNPDGNSGPELSIFFVRVG >OMO51323 pep supercontig:CCACVL1_1.0:contig15882:7619:8767:1 gene:CCACVL1_29849 transcript:OMO51323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MIGGDGFIVEDKLENNRVIIHQEVDGISNDEDRPRAEISRLSTGPVNMGSEGINSGHEMDLSLLSSNQERALVCNDNNRPIESKGLNKRKEKKHLMEIFREQWEIRSTLSGTSLSYGDISNSNKVILKEAEAIWEVSSALGVVFEDHRESVIKAIAAQEDRDARVLVEGYDIVFLQCGFGNVYAPNDDNGRSVCFNEIASEMAQFEVLWVFINSLGLIDLPLVVDKFIWGNNWETPSFGRLDRILLADKSVIQFTNIVQKNLPRSLSDHNPVFLCVDKKN >OMO51328 pep supercontig:CCACVL1_1.0:contig15882:54358:54462:1 gene:CCACVL1_29854 transcript:OMO51328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLISHLKSTRPVRGEGRLANDRLETARRANTLD >OMO51333 pep supercontig:CCACVL1_1.0:contig15882:82095:90610:-1 gene:CCACVL1_29859 transcript:OMO51333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGSHLFTDLEDGIYDPRASPRGSRFSGNVGTDHAVYEQGISAGNVDNENLVEQKKTNLVTLSIHLQFRSFADRLETVVWGFHHGRYTVSFGSNQ >OMO51325 pep supercontig:CCACVL1_1.0:contig15882:36285:37442:-1 gene:CCACVL1_29851 transcript:OMO51325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVDDPAANFPSVLSIKEERGVLDLLHQLQQYPHGTQDLDTSISSQSTCPHPALVNGVCYDCRQKIEIEDAFGLEFSYLHMGLNLSHSEIHRLRCLESKKQLSQKKLHLVLDIDSTLLQSFPPKQASELGLSKNHKDTKDLGWQVVKLRPFVLEFLEKASAMFEMYLYTLGSRPYAEEIAEILDPQGVCFNYRIISSDDNPYRIITHHNPNGVEVKTLGFVLGEESNILILDDNAEVWPDHVRNLILMKEFVFTGTKTDETEMNTLILGEILEVLQTIHSLYFQQDVGCRDVRKLAREVRSNVLRGCNLYMKQVKDSEFWDVAKALGATCCSELNSCVTHLVSCETGTKDYNWGVKNKKFLVHERWIEDAYYLWQRLPEENYL >OMO51332 pep supercontig:CCACVL1_1.0:contig15882:80333:81018:-1 gene:CCACVL1_29858 transcript:OMO51332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIALQLACLLQTLHIPPDPIDETNYYIVRNIDASHFVLDKVCNLVLCDFGMFTGGSLPEINWEKPRRKRCRRLCGCYGYIDVFISRTGRVGLIENGNEYVSKD >OMO51331 pep supercontig:CCACVL1_1.0:contig15882:65942:68219:-1 gene:CCACVL1_29857 transcript:OMO51331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKEIREISLEDLKLYTCNFSNDNLIGNFQFGKVYRGKINGDHHVTVKVWMPQTLYTCFPGDNEIRLQAEFVLLTESRMKSHPNLPKLIGYCRQGQLAVVYDFDPIDTLHNYILRDDFSWLQRIKIALQLACLLQTLHIPPDPFDETNCYIVCNIDAAHFVLDKDCNLVLCDFGMFTGGSLPEINWQNPRRKRCRILSGCYGYIDGFTTRTVGWYPEVDVYAFGVILVGLIAKKVFIVEDEGPYVGRWAIKEFAIRRSVSGTGEKVSLVHQSLEEDEDFEYADGLAATNLAMQCLEFVAHDRIFIDQVVEELLKLHVVRDTLRLWILGRL >OMO51326 pep supercontig:CCACVL1_1.0:contig15882:38546:41810:-1 gene:CCACVL1_29852 transcript:OMO51326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWYYCNGIEDLVVPKDQELADRLPSPDSWSKWGFAAPESFESSNKCFIEDASLSHEELKLNGKLCNGADFEKLADAKGPSSNSSVCGGLSEVSLNQASISHSQPDFQLDDFSRFQQLDDIFLTSLMQDQDLPETEDLHKSCCSSSEDQCARTLASYHLTDAPPEQKSTNGFVSAGISLEESVLQELETVMAQLSDKTRICFRDAFYRLAKNTKQNHIAPNQQENLYVQTHPPRSGRKEITESETNTVDRAIANLTFNKMETNVQDFPVTTRFNSKQNVKGMGQLDHRSRQSQVHYFSQLPAAPNDAEVPFLVHNGSLMRMAGHG >OMO89434 pep supercontig:CCACVL1_1.0:contig08721:19353:20318:-1 gene:CCACVL1_07829 transcript:OMO89434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPIDMKAEVGLRRWEELDSNILKNIFNRIPSSDLILKVRCVCRPWHLACWDTLFWSDPKTLDFSSFCDAFGLGTEDHMIWVNGAIGYVLFMMNKFERFLRRIMEGNDAYGNPLDRWSKPISKIVIPIELSDILSDDHLVFIAERTPAVENLSLLATIKITVTGLARALSYWKNITHLAVGFCHLRCPYLKMIEQIGKSYPQLTTIEFCSRDPVQFRVDMPIAQAIARGLPKLTTLRFEIVTLFKKAVVFIANNCPELKVIHCDECSVVSTVFSYTFDCLPKGRKGKGEDLELEWCFQYSRSNSELYLYDQWVKYDNHRY >OMO89433 pep supercontig:CCACVL1_1.0:contig08721:16355:18805:1 gene:CCACVL1_07828 transcript:OMO89433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MENPKSAPTGCYKCGRPGHWSRDCPDDPKSDHPIPNPSSTGGNFAPKQLPRPEKPKKEPKSRSRPKLTPELLLSDDGIGYILRHFPRAFRYRGRGHEVSDLGNLIGLYREWHKHLLPYHSFDHFLHKVDKVASSKRVKNCIRDLRERVASGGDPTKLHESPDEVNVTNDEQGNTEEVRNPEEQTNNHYEGDHFDEMQENMLNEIYEQVSEGPSHHDIHADMVAAEVHANSSSGAEHQRPNNGTSCSSEVHITEEQRARMEANKLKALERAAASSSSSETQITEEQRARIEANRLKALERAAARARSLQST >OMO89435 pep supercontig:CCACVL1_1.0:contig08721:21634:23412:-1 gene:CCACVL1_07830 transcript:OMO89435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPIDDEKAWVGLRRWEELDGNILKNIFKRVPSSDLILKVRGVCRPWHLACWDTLFWSDPKTLDFSSFCSAFGFGRKKHEILVDISKVYVRHRMEKFKRFLTRIMELGDHGNDAYGNPLDRWSKSIKKIVIPIQLADILTDDHLVLIAERTPAVETLSLLATIKITVAGFARATSYWKNISHLAVGFCHLKCPYVEMIEQIGKSYPQLTTMEFCSRAPTSFRVDMPIAQAIARGLPKLTTLRFEIVSLYKQAVVFIYNNCPELKVIHCPSVSTNDNSRQTSENIQNKGLNRACEKFLTKSCRRIQMVTNTIKGTTSSCRRRLRSCCEELEQIEVQRRCQEIRRFVREKLEEEELRGRERKEMLQKAKNLPALCYMGIGRCDIRPFFLGLD >OMO89432 pep supercontig:CCACVL1_1.0:contig08721:11285:15449:1 gene:CCACVL1_07827 transcript:OMO89432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEQQPKVEVEEQNIKPSKSEETVSVVSDDPGEFSDVEMEQSEEKEVKKPPQQGKENSVSNSIPNGSHEKVNKTHESNVKVEVNNVVQNQTNNVSNGHSTTNHYIPAIKAQNHLPRPEPPPQRMERSQSLSIAESMPSIGKYIRDRSSTFSAALVKRLSSFREDAGDFVVKNDSLNFEVTEFKIPGVKVIVKLKNEDEITQLIGRVTFFTKSNCRDSTAVRKFLREKGMRYVEINVDVFPKRAKELVELTGSSEVPQIFFNEKLLGGLVTLNSLRNSGELDKRMKEMLSTKCPDNAPAIPVYGFDDEENEQEDELVGVVKAMRQCLPIEDRFIKMKIAKNCFAGDDLVEAIIHHLDCGRKKGDKKANKGIATAKLMAQKHFIHHVFGENDFELGKHYYRFLEHEPFILGCFNFRNSTNDAEPKSASFVADRLMRLMTAILEAYASEDRLHLNYYAISKSEEFRRYLNLSRDLQRINLQLLTPDERLAFFLNLHNAMVIHAAISIGHPEGILDKRAFFSDFQYVVGGHPYSLNTIENGILRINRKSPYSLLRPFSNGDRRLELAPTRLNPLIHFGLCNGTRSAPAVRFFTPQGVEAELRTATREYFQRGGIEINLDKRTVHLTRIIKWFSVDFGEEKEVLKWVLNYLDATKQGLLTHLLDDGGSVNIVYQNYDWSGNF >OMO81657 pep supercontig:CCACVL1_1.0:contig10131:10732:10836:1 gene:CCACVL1_12319 transcript:OMO81657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHEMMQTKALLKVFVVGAFMEENGVRLWTVKF >OMO81658 pep supercontig:CCACVL1_1.0:contig10131:17395:22909:1 gene:CCACVL1_12320 transcript:OMO81658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucosylceramidase MENGIKETVENDVTSSEVDPGKPASLTWQRQLNSICKPPVAFGMSFQEIRQLAPVGFRLWRHTKEQEAIGRTSIFDIFRKHLVTADHGVPLGGMGAGSIGRGFRGEFQRFKLFPKVCEEGPILANQFSAFVSRPNGQKHSTVLCARSPEVPKGSTGLGIESWDWNLKGEKCTYHALFPRSWTIYEGQPDPELTITCRQISPFIPHNYKDSSFPISVFTFTLSNTGSTPADVTLLFTWANSVGGMSGFSGDHFNLKMQTEDGVRGVLLHHKTANGQPPLTFAIAAKETSEVHVSECPCFLISGNSQGISAKDMWQEIKKHGSFDNLGHEESSPSERGSSIGAAVAASLTVPAGSVRRVTFSLAWDCPEIRFGDKTYHKRYTKYYGILGDAAARIAHDAIFDHSKWESQIESWQRPILEDKRLPDWYPVTLFNELYYLNAGGTIWTDGLPPMRSLASIGERKFYLDKSRSSIVDGAINISKQDDIAIEILERMTSILEKAHNPLISNAAFGTRFLHEGEENIGQFIYFEGSEYLMYNTYDVHFYSSFALLMLFPKLELSIQRDFAAAVMLHDPNKMEIMGDGKWVPRKVLGAVPHDIGLNDPWFEVNSYNLFNTDSWKDLNSKFVLQVHRDIVATGDKNFAQAVWPSVYTAMAFMEQFDSDGDGMIENQGFPDQTYDAWCVSGVSAYSGGLWVAALQAASSIACQVGDNESAAYFHKRYKKAKSVFDTLWNGSYFNHDNTNSSTSRCILADQLAGQWYTRASGLMPIADDDKIRSALKKIYEFNVLKVKGGTRGAVNGMRPEGKVDMSALQSREIWAGVTYAVAATMIQEGMVETGFKTAAGAYEAAWSQQGLGYSFQTPEAWNTDDQFRSLCYMRPLAIWGMQWALTKPKLFKEEMKHEEKFDESLYHKQHLGFSKVANLLKLPDKEETSKTFLQSLMEFICRRVPI >OMO81661 pep supercontig:CCACVL1_1.0:contig10131:31271:33892:-1 gene:CCACVL1_12323 transcript:OMO81661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRIVDHGHSRGGGKVKNENGNRPALRDIGNLDTLKITYPITRGFQAKLLADAQAAGPNKNSAVATFGDWLPVNKKGGNVKKVAKTKKLSDKPDAATIINISSDEEEEKVKKKGGRQTSDASVNPVSERSSNVKCSRKNVKTLTSILTARSKAACGVRANELKDEVFNIDEADVNDELAVVEYVDDLYKFYKSTENDARVQDYLCSQLLITIGMRKVLVDWLIQAHNSFQLMPETLYLTINILDRYLSRKAVSRDKLQLVGLGSLLIACKYEEIWPPQVADLVSISDYAFVEEQILAMEKAILEKLEWYLTVPTPYVFLMRYIKASSVSFDLEMENMVFFLAELGIAHYDTVVQYSPSLLAAAAVYAARCTLNRSPFWTATLKHHTGYSEEQLMSCAKLLVAFHQNAAKGKLKGICSKFVSSSRGSVALLTPAKALLAST >OMO81659 pep supercontig:CCACVL1_1.0:contig10131:23584:25380:-1 gene:CCACVL1_12321 transcript:OMO81659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPEGEARGSMKMGIVQLCVILGILTLYNSLKKSPLLKSTVQLQGSMLIACKYEEIWPPQIRDLVSISDYAFVEKQILAMEKAILEKLEWYLTVPTPYVFLIRYIKATVSLSSDLEMENMVFFLAELGIAHYITVVQYSPSLLAAAAVYAARCTLNRTPFWTATLKHHTGYSEEQLMSCAKLLVAFHQNAAKGKLKGIYSKFVSPSRGGVALLTPAKALLAST >OMO81655 pep supercontig:CCACVL1_1.0:contig10131:3648:5495:-1 gene:CCACVL1_12317 transcript:OMO81655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERNVVSWTALVSGYAQCGRSVECFGLFSGMLVECRPNDFAFTSVLSSCDYLRGKQVHSLALKMGLDASVYVSNALINIVKTGFIFEVEVATAFMKAYSNLGGDVSEIYHLFWETNCCHDIVFWTNMITAFAERDPAEAFFLYRQLLREDLTLDGYTFSIILKACAACSHCGLVEEGIEIFNSMFKNHGIVPQLDHYACIVDIFGRAGRIIEAKELISRMPMEPDFVVWSALLGSCRKHGETHLAKIAVAKLKEMEPKNSLGYVQMSNIYCSGGSYNEAGTIRKEMNGSGVKKEPGLSWIEVGNQVHEFASGGRHHPQREAICTKLEELIGKLKEIGYVPEISLALHDIEKEQKQEQLLHHSEKMALVFAIMNEGNLHCRGGAIRIMKNIRICVDCHNFMKLASDLLQKEIIVRDSNRFHHFNNKVCSCNDYW >OMO81656 pep supercontig:CCACVL1_1.0:contig10131:5919:10319:1 gene:CCACVL1_12318 transcript:OMO81656 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MPPKPQTTSNDDIINHLSTAFSPQFQELNSSIAALTSTLSAQTATITDLQHKIAKIEKAPHSLLPSPSSTSQPNLDLPTDLSSPSSSHSLKTPKLTLAIFEGTNPLDRIFQAEQFFTYHSVQMHQRLALTSFYMKGDALSWFQWMHRNNLLVDWPSFTMALECRFGPSAYFNHEAALFKLRLTSTVANYRREFEALSNRIYGLSPSTQLNCFISGLPVYMQREMSILKASSLSEAGDIAQLLEDKILDLQSPLPRFSISPIPPPPPLPKASFSVPQLPPPRPSTSQIPQLPAPKPVPSTFPIRRLSPTEMQARRAKGLCFNYDEQYKPGHRCRTTPFLLLQADDDLPALESLEAVHSMPLSAVPALPPPQDTIVDDSLDFQVSLHALFGTISYNTLKLSGVLKGQNLTVLVDTGSTHNLIQPRLAKHLNLSIEPAPPFSVSVGNGEQLFCLGRIPSIQLGLQGHEFNLDLYMLDIRGADVILGIQWLSQLGHVIADYKALYMSFYHLGSFITLQGDKPNCPQPVSYGQLKRLVHTNSIADTHFLTMEATSSTAPLAKSPIHPDLVQLLCKFQTVFDTPKGLPPQRFHDHHIHLQPGTGPINVRPYRYPHSQKSDMATIISEMFHEGIIRPSTSPFSSPGLLVKKKDGTWRFCVDYRALNDATVKDRFPIPTVDELLDELHGATVFSKIDLRAGYHQIRVAPEDIYKTAFRTSDGHYEFLFYAKESKCAFGRSSIDHLGHIITGEGVKVNPSKIEAIVGWPTPSNLKALRGFLGLTGYYRKFVKGYAAIAAPLTNLLRKDQFLWDSTTSKTFQDLKLVLTSTPVLRLPDFALPFCIEADASNIAVGAVLSQGGHPIAYFSKKLSPQMQSASTYVREMYAITEAIHKWRQYLLGRSFTIFTDQQSLRNLMTQTIQTPEQQKWLAKLLGFNYSIVYKPGRHNLAVDALSRCPEPAAQYLAISSPVFSFLDSLRHYYATSETGQQLLNQGQQDSNMGYSISDGLLFYQGKIVIPEGHELQSQLLHEYHGTPTGGHDISMDFITSLPPSNGKTTIWVIVDRLSKYAHFIALPSSVTAASLAELFSKEICKLHGVPRSIVSDRDSLFLSQFWKELFRLQDTSLKMSSAYHPQTDGQTEVLNRCLETYLRYFASDKPRLWSKFLHWAEWSYNTTTHSATGFSPFEVVYDKPPPTIASYVIGQTKIAALEDSLLERHNILNELKTNLAYAQNRMKMQADRKRSEKSFSEGDWVFLKLQPYRQQSLAQRSSHKLAKRFFGPFQVVRRIGSVAYELKLPESAKIHPIFHVSLLKRCYGSPTDSFTPIPALSDDEKPILEPAEILERRKVSSGGIFSD >OMO81660 pep supercontig:CCACVL1_1.0:contig10131:26756:30461:-1 gene:CCACVL1_12322 transcript:OMO81660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCECYPHVASSRKLSHSYTSHRQNFTVHKRTPLSSMNAPAMVEVATAFMKAYSDLGGDVSEIYHLFLETNCCQDIVFWTSMITAFAERDPAEAFFLYRQLLREDLTPDGYTFSIILKACAGFVADLVSISDYAFVEEQILAMEKAILEKLVWYLTVPTPCVPYSIHQGYGFTFIRFGAHYITVVQYSPSLLAAAAVYAARCTLNRTPFWTATLKHHTGYSEEQLMSCAKLLIAFHQNAAKGKLRGIYSKFVSPSRGGVALLTPAKALLASP >OMO81662 pep supercontig:CCACVL1_1.0:contig10131:34656:35888:1 gene:CCACVL1_12324 transcript:OMO81662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSYAHYMLTTEGNKIKETEGEPSAYQKVLAEALNMNRTRILAFRKKPPNPVDFFPPENSSTSVHSTKSKPQRHIPQKSERTLDAPDIVDDFYVNGLDWGGNNVVSIALGNRVYLWDASDKSTSELVTVDDEIGPVTSVSWAPDGQHLAIGLNNSEIQVWDSVSNRKVRTLIGCHSGRVGSLAWKNNHILTTGGMDGQIVNNDVGIPSHVVGTYRGHRLEVCGLKWSTSGQHLASGGNDNLVHIWDRSMASSNSPRQWLHRLEDHNAAVKAMSWCPFQSNLLATGGGEGDGTIKFWNSHTGACLNSVVTGSQVSALLWSKNDRELLSSHGSPENQLTLWKYPSMVKMAELTEHTSRVLHMAQSPNGCTVASAAADERLRFWNVFGIAKVPKPAASGRKSRPFSHLSLIR >OMO93852 pep supercontig:CCACVL1_1.0:contig08049:33362:47591:-1 gene:CCACVL1_06326 transcript:OMO93852 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MLWRERTLWFRNEEWFMFVVKMLIRDEEIRSGGFKSIQILNDTSEGRKSRSSKQMTPQNSNEEFLKPDDAPRAYHGHEEHNGGHGEDEHMRQGSKDMIQDQGVCASHDSSFKEMPKMPFNPLKMPLGPMTRARAKKFKDALTSLDRTHLEDLKTIEQLTIAGLIEDLRPTKEENCLSVGCRHTHLGVEGVQINLWRVSKIDLNLKQLDSYQLVQFEEFQALLETSGIKLDLSGTMEGQQGEQNKEVNTAAMLQTIIQQLGTMSTRLEALETRNQQAQAPQQRANAVQNNEQKVQPQVHKAREVLKEMSLKTTSSTKSQNSMGEGPLRITWSGESKLDMYFDYHPFAEPKKVQIAILEFVENGLNWWNQLVQSRRRNLERPIDIWVELKSVMRKRFVPSFYINSLYQSLQTLRQGSKSVDEYYSEMMLLMSMAEIEEAPQATMARFHAGLNRDIHDIVEMQQHYDVEELLQHALKAKGYGHYAKDCINKNVMYLTDHGEIVSKDEEFNLGSSGNGDDEREGFAHDEDDDDNGETPALLSLVARRPLSAYVKGDVQNQRENLFHNRMHACGKHSSVIIDDTKRMCFVMFCQFKHAMYYLVNHGNLTTRSIMMALLKMLMKYDNSRTEALPPKKEIIPEVERFVKNRNSERRAVIQESHQRMDNQNRVANPDEENPDGVENLVEDPAALPPPRNWNDLPQPPIVPPARVVDNRSMREIMAPNLNDQPLCIQYNMLNVPCELKTRFIHLLPKYNGLSGEDPIKHIKRFLMICSSTGPVGVDEDQVRMRVSLFHLKGQQGIGWMIYRLVGSCPNHMFSDFQLLHSFFNGLFVLEKRLLNCSAGGDLLQKNTDEIRRLIEAQAKSSHGYGVDERKAHKVKEASINTARLEQQISQLTSLVKEQMGMGNKRRVCGLCASNEHPTDACPTLFDDQVKEANAIGYQGPPQRKYDQYPNTYNSRFKQHPNLRYGNPNNAVRPPYQPPPAQQGNQGHNSNMEALLQKLTESQLMTDETLLRYDGEFINIKNQIGQLANAVSRLENQFSGKIPSQTIDSRADLKAITLKCGKQVGDLRELEKEHETLVQKQEISSPDAYRERGDKLATSEEPLDVSGEVNSKHPKSNVKTNVCIPPFPSRLAKKTKEDVDNEIWETFKKVQVNIPLIEAIKQVPRYAKFLKDLCTNKRKHKGNERMEEDELDLRPIPLLLGRPFLRTARTKIDVYEGILTIEFDNHIVLFDIFEAMRYPSDVHAVFSIDVVDTLKDQALLLDNDDGVEVVLHNGLNGKEYDSHDISLPDRFSEVISDLHSIPPKNVKDDLLYLFLPIPSSKSVPSIIKPPVVELKPLPDHLKEYKEAIGWSIADIKGISPLIYMHKILLEDEAKPVRQPQRRLNPNMMTVVKDEVVKLLEAGIIYSISDSKWVSPVQCVPKKSSMTVVKNQDDELVAKRVQDSWRVSIDYWKLNEVTRKDHFPLPFIDQMLERLAGHEFYCFLDGYSGYFQVPIAPEDQDKTTFTCPYGIFTYRRMPFGLCKAPATFQRCMMSIFQDYVEQIIEVFMDDFSVYGNSFDTCLDNLALILNRCKGNNLVLNSSKCHFMVDQGIVLGHVVASRGLEVDKAKVNIVQNLPYPSTVREVRSFLRHAAFYRTFIKVFSKIGAPLCHLLQKHVEFHFDDACKKAFDTLELALTSAPIVQPPRWDLPFELMCDSSDNAVGAVLGQRVNKLVHVIYYASRALNSAQRNYTKTENELLAIVFALVKFRSYLLGARVIVFSDHAALRYFLSKKESKPRLIRWILLLQEFHIEIKDKKGAKDLVADHLSRLHNGEDATPLVRRSIPQHEQASILGFCHSYACGGHFGPKRTARKVLECGFYWFSIFKDAYMICKTCDRCQRVGNSSQRDQMPLHPIIVVEIFDVWGIDFMGPFPKSSSNLYILLACDYVSKWVEAIATKTDNAEVVADFVKTNIFNRFGIPKALISDKGTHFCNNVIQSLVKKYGVTHKVSTTYHPQTSGQAEISNREIKLILEKTVNPNRKDWSLRLSDALWAYRTAYKTSLGMSPYRLTLGYFITALVSFDWLLEFALVIMSTRKKTRAKKGPINDREEVTRRFISKDAKEWYDKMAANAYVVEQSVAPSIYEEYQITAAFEHFGWAPVLNLPTYYYPRLVREFYANMKERTILDCFELTSTVQGIDIKITPEYLHQCKFIDLRLIDIFLLHMLRLDPCPHPDINLAEIIIEEIHLVGRFNKPFVFPCLITEVLRKFGVDLTDELLVLVNTTFDDDTMKAFGFIEKNGIWENDHRQFYSPNPVVQVSERPKRAAKKRSAASSPAHEESDFTARLDTIEATQNQLLAGQAELLAGQAELRSYFLHILQHFDLQPPPP >OMO93851 pep supercontig:CCACVL1_1.0:contig08049:25746:25976:-1 gene:CCACVL1_06325 transcript:OMO93851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLMIFLIVILSLMSSQARILKGERAMQKNIDNQLLLHELGFDLSKLKAYRKMSTPEPRSDRVSPGGPDPQHHF >OMO67475 pep supercontig:CCACVL1_1.0:contig12426:12116:15993:-1 gene:CCACVL1_20502 transcript:OMO67475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVSSGRCLVTGGFHGVRLIDHALVLVAAAATAFTDSTAGTQLCTLQSRNRRIAASVDGENGVPTLTPLPAGDKKPRKQTIAAIIGGASAALLVVIILVLVYICLMRVKRLMRRTSETASSVPSPTVELPRFKTSQYVGAPSPYDTQNLRQLTMSELEHATNNFSQSNIIGEGRFGLVYKGLLQDGAIVAIKRCCLNTPVHIFLNEVNQIAQVNHKHLVKLVGYCEDSHQQFLVFDYIPNGNVGNHLYGSPTGKLNMRQRLLIALGAAKGLEYLHSLAPPVLHMHFRSSNVLLDENFAAKVSDFGLSKLVSDDHFQASSSAIDCFLDPDTFFSDIINVKSNNNLINFVDKTLADKSMRAAKDVVELALQCLDVSSRRPSMKSIVQELERIQEKEIGRLHIGLEEEIGAVTLGSELFR >OMO67474 pep supercontig:CCACVL1_1.0:contig12426:10178:11620:1 gene:CCACVL1_20501 transcript:OMO67474 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase 22kDa subunit MASLSDNLNTPSPTAEIQIMNINWFQKQPQGNDEVSLTMNISADLQSLFTWNTKQVFIFVAAEYGTPKNSLNQVSLWDAIIPTKDDAKFWIHTSNKYRFIDQGNNLCGKKFNLTLHWHVMPKTGKMFADKIVKTGYSLPEEYR >OMO67473 pep supercontig:CCACVL1_1.0:contig12426:3283:6813:-1 gene:CCACVL1_20500 transcript:OMO67473 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MKSFRCFLVLSLISLLLFSTLLPALSEATKNDDVEDDEDLRFLEENEGKSDAHSHLHSDEEDDDQYGDLDDDDFGNYHDFDDSDSDPYKEPQVDDKDVVVLKEGNFSDFIENNKFVMVEFYAPWCGHCQALAPEYAAAATELKGEGVVLAKVDATEENELAQEYDVQGFPTVYFFSDGEHKPYPGARNKEAIVTWIKKKIGPGIFNITTLEDAERILTSESKVALGYLDSLVGPESEELAAASRLQDDVNFYQTVNPDVAKLFHLDPQVKRPALVLLKKEAEKISHFDGQFAKASISEFVFSNKLPLVTIFTRENAPSIFESSIKKQLLLFATSNISEKVFPAFQEAAKLFKGKLIFVYVQVDNEDFGKPVAEYFGVSGDGPNILAYTGNDDARKFFLDGDVTFDKIKAFADGFLEDKLKPFYKSDPIPETNDDDVKIVVGNNFDEIVLDESKDVLLEIYAPWCGHCQALEPTYNKLAKHLRGIDSLVIAKMDGTTNEHPRAKPDGFPTILFFPAGNKSFDPITVDTDRTVVAFYKFLKKHASIPFKLQKPVSSPKAEPTSTPETKSSDSKESEKSSSADLKDEL >OMO67477 pep supercontig:CCACVL1_1.0:contig12426:20960:21367:-1 gene:CCACVL1_20504 transcript:OMO67477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSILLLLSCLSLLVSIAWGQDRAPHGIAYESPMAFSPSAYEFFHPKTQDPETKIPCASSNCSPLPIAAQVDSAKSLDSKVSTTEQKSRNRLGAGGIAGIIFGLAFAVLLAMGVYYVLNTRRANANRANSVQPDA >OMO67472 pep supercontig:CCACVL1_1.0:contig12426:304:786:-1 gene:CCACVL1_20499 transcript:OMO67472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLGFFTISLLVVFQLTSSADSPVPAPAPSLGADSSPQLAPPPETGSPHSAPTISPVTSASPPAPVAPSPSDLEGGKSPASSPAPSPDASDINHSNINADGSEEYVGGGGGMSGGKKAGIVVAVLVAVCLVGLGGLVYKKRQDNIRRTQYGYAARREIL >OMO67476 pep supercontig:CCACVL1_1.0:contig12426:18461:19616:1 gene:CCACVL1_20503 transcript:OMO67476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVLAQERLLGVALGTALTGVVVFEQRKRIYESISDHQSQLHSQSQMKDPILGKKFRSEFALLWNKAVDQIFVPVIESISSRRL >OMO72917 pep supercontig:CCACVL1_1.0:contig11335:1934:2449:-1 gene:CCACVL1_17516 transcript:OMO72917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLNFRASLYLLTEGTKKAVTNKSKKEENRTKMTTSIRGGGDSYLWRKGIHWRGEYILGSFNHLADSPLNSHCSFFRSKGFFLSEICTTFHRILAHLHPLKNTIPP >OMO72922 pep supercontig:CCACVL1_1.0:contig11335:137741:144904:1 gene:CCACVL1_17524 transcript:OMO72922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVSNKICCLFTTATDSSSAAAAGIRVESLDGEVAFGEPIDSDDG >OMO72920 pep supercontig:CCACVL1_1.0:contig11335:70613:77473:-1 gene:CCACVL1_17522 transcript:OMO72920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNLQTSPPDSSEAKAAFRKPANDASNRQYRRRSPIIGPSSSEGNSPQQDRSASPILTRNDPTNGADARQGRDRRELDTDSSRIQHGRSGDSYRYSDRRSFRSAHGYSRHDDNVRHDKHVDEDSKYDRFPSRSSRESRLSAHSDHPRQESDITRSKDYSRDADKYCRDRYDGSGHRSRDKEKESPSIDYQKYKDKDSSFERVGSGRRQGNSVSEETDRDRRRQDRDDRDRRRQDRDDRDQRRQDRDDRGENSDSRRSLGDRKDDYTTFNEESRGHRNDSSSWRERDNDKYRHKEGYKKERINYDEREVNMEKDRYGRVRKEQFEEKSINDSKSLENPAKKLKLFRSGKGIDYDEAVSKFSYAVANEKPTSLEQAEENGGRVTKGQAHSNDVDITNDLNAAKVAAMKAAELVNRNLIGAGQSNLTTEQKKKLLWGSKKSTPAEESGHHWDTALFTDRERQEKFNKLMGVKGDVKVEKNTENQDGNGALQAEKQRELQMDLEKQYTAGLRRRDGRTVGLGL >OMO72918 pep supercontig:CCACVL1_1.0:contig11335:51850:51945:-1 gene:CCACVL1_17518 transcript:OMO72918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTPSMSSSKSVGQTSVGFLSKNQIPQRFA >OMO72921 pep supercontig:CCACVL1_1.0:contig11335:113336:116669:1 gene:CCACVL1_17523 transcript:OMO72921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLKKEKIHNYEVSITILVTGIVFLTATSTIFLLKGFLRPCDPYKGPKAHKGLVTAEPLMKAQNTRRPKLCHRVICPKK >OMO72923 pep supercontig:CCACVL1_1.0:contig11335:146165:153455:1 gene:CCACVL1_17525 transcript:OMO72923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYGLAKIRFKGMVRWQLIGRNSIQRGEGQTKQLSKRANEGKIAALYFIKFKVNASIRNSAKGGSSYK >OMO72919 pep supercontig:CCACVL1_1.0:contig11335:60280:62616:-1 gene:CCACVL1_17519 transcript:OMO72919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFGSKSKAENEEEGRICLLDLPELTLECILDRLSPAGLCSMAGVCSSLRERCISDHLWEKHMKQKWGQVIGGAAYREWQWHMASSMKKPNTLLPQTNQKGFLGSLNNIKIWPFSCFAQNLESNSSCHQRKIDLPIDSIMSWYLSLETGKFWFPAQVYNRENGHAGFMLSCYDAKLSYDFKTDTFQARYSPHGRRTIEENITWDRLRVPPVDNPSYNLHISDCLLDLKPGDHIEIQWRRNKEFPYGWWYGVVGHLESCNGNEHHCRCQYTDTVILEFKQYPASSRWRKTMINRKEHREVGNEADGFYGGIRKLYKEEEISMWKRLWPNQDLE >OMO53138 pep supercontig:CCACVL1_1.0:contig15314:2523:5413:-1 gene:CCACVL1_28861 transcript:OMO53138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVQHKFEFDQANFPFQDHKQHPMSNYFLQNFSSGQTNLKQTTQFSDPRKRPPDALDLNLRLSLGGIYNNGMYPKEKPLTRSSSIAGVVTLNKNCSDFEKPLPKSFLSLARSCSLPAEVEKSKRVVNVNVNVNVKELRIMRRVEAKKRVVEKQRNAQIANEIMEGPPSSPSKIPAWAAASAAKSPAFNRAIDKIKEGFRKMEGLEVSGTTKVSSESKSPSKPIKAEPEFTFETTLNEKSVKLKPAETMSENPTKKAKLSNNDCIQHDGIDVMKKMPSVTTTGDGPNGRKIEGFLYKYLKGQVSIVCVCHGSFLSPEEFVKHAGRKDVTNPMKHINVCSTSFSY >OMO61730 pep supercontig:CCACVL1_1.0:contig13421:44023:44244:-1 gene:CCACVL1_23296 transcript:OMO61730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPDQAARHSSEVLHQTRKGGPCPMKMAVGGIAIAATLGYFVLYSKKKPEASALDVAKVVSGVAKPENTRPR >OMO61727 pep supercontig:CCACVL1_1.0:contig13421:7665:10036:1 gene:CCACVL1_23291 transcript:OMO61727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MTFNLHEDQPEDSPNSWEKRRDLCISVITSYSPMILCTQQGVKSQLDYLQQGLPGYDQFGVSRKGPQDTSDEHCTIFYDKEKLKGVEPPGFSFQVVNTNMDEFSPRARRRSALLTWQHIASLPPSLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDVWPNARVRKNVSLIRTYHGFKGDKQGALEFLKLIFRALCLCWDRQTQDLHIDWILFRGRSLIPVLCEVVSDNMDGYYPSSHYPIFAEFLLPRTLRHVALSYGNMGCLSHHLWLCLPVSSAVDMVIPILMARR >OMO61729 pep supercontig:CCACVL1_1.0:contig13421:40840:43106:-1 gene:CCACVL1_23294 transcript:OMO61729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MELSSLPSLSPLPLYHPKPRSYATLPLLRSCDRKGACLSYSLCKRATLRNSYNSAQNNFLHLSRVHEGDYCRNFNPNGGLIGSFYNSNLQKHTKLSRDVVARSQLAGTGNPDASYSLSEIKLGSKVRGVCFYAVTAIKALFYIWFMLVAHPFVLFFDRYRRKYQHFIAKLWATATVAPFFKIEFEGLENLPPQDTPAVYVSNHQSFLDIYTLLTLGRSFKFISKTAIFLYPVIGWAMSMMGIIPLKRMDSRSQLECLKRCMDLIKNGASVFFFPEGTRSKDGKLGAFKKGAFSVAAKTGVPVVPMTLVGTGKIMPAGKEGVINSGTVKVVIHKPIRGSNPDILCEEARNIVAETLKHQA >OMO61731 pep supercontig:CCACVL1_1.0:contig13421:44862:47303:-1 gene:CCACVL1_23297 transcript:OMO61731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASASICCWEVCSSTSHPSYGKSASLATRIGPSTLSYHSRAKNLKLNRCRGLSSLSSLEGISGKLKLQLEPRAPSRKFYQPCALVVRCDYPQNADFPRYYSRKEKKPFPKPIVELRRAARERVKKNRGQPRKPIPPPKNGLIIKSMVPLAYDVLNARVTLINNLKKLLKVVKVHACSHCNEIHVGPVGHPFKSCRGHNASIRRGLHEWSYAIVEDVFLPVDTYHLYDRLGKRIRHEERFSIPRVPALVELCIQAGVDIPEFPTKRRRKPIIRIGKREFIDADESELPDPVPEEPLKPILTEIPDTEIVAPRDEEETILIAEETLQAWEQMRRGAKKLMKMYHVRVCGYCPEVHVGPTGHKAQNCGAHKHQQRNGQHGWQSAVLDDLIPPRYVWHVPDVNGPPLQRELRSFYGQAPAVVEICAQAGAAVPDQYKATMRLDIGIPTNLREAEMVV >OMO61733 pep supercontig:CCACVL1_1.0:contig13421:65920:67623:-1 gene:CCACVL1_23299 transcript:OMO61733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSEKKRHRHREKSDRDRNRDRERERERRRYEREKSSRDQERERERERRREKSSDSERERRRKRRRRERDDDYKERDREKGPVTVRKKSGDDETEKTENKTREQRKLDEELKRKKQELEREKRGEGNLAAKVGKAWTLDRESDDDEVKFDTEMDVDRKEVGDPISDDEIDPLDAFMNSMVLPEVEKLSNAAGVLNKDKKDGLSDKALGKILPGEDSDSDYGDFENDQENIEDDEEFMKRVKKPKAEKLSIVDHSKIDYKPFRKNFYIQVKEIARMTPEQVAAYRKELELKLHGKDVPKPVKTWHQTGLTSKILETIKKLNYEKPMPIQAQALPTIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVVSGDGPIGLIMAPTRELVQQIHSDIRKFAKVLGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDVLCTSGGKITNLHRVTYLVLDEADSMPEHYEAELEINEFPQNARRKVIQKETLGQISERTGAAITARGQFFPPGRIPAPGERKLYLFIEGPTEISVKRAKAELKLVLEDFSHQALQLPGGNQPGRYQVL >OMO61728 pep supercontig:CCACVL1_1.0:contig13421:13055:15939:1 gene:CCACVL1_23292 transcript:OMO61728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MASHHRLNVAWIFFSLFAFFFLTANSFYLPGVAPEDFHKGDPLTVKVNKLTSTKTQLPYSYYSLPYCQPEHIVDSAENLGEVLRGDRIENSPYVFKMREPQMCNVVCRKILDKKSAKAFKEKINDEYRVNMILDNLPVVVPIRRPDQENAVVYQHGFHVGLRGQYAGSKEEKHFIHNHLTFTVKFHKDPLADTARIVGFEVKPFSVKHEYEGEWNEKTRLTTCDPHAKRAVTSSESPQEVEEKNEIIFTYDVEFQESDIKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRTPTNSDLLCVYVGTGVQFFGMILVMMIFALLGFLSPSNRGGLMTAMLLLWVFMGLFAGYSSARLYKLFKGTEWKKITLKTAFMFPATIFAIFFVLNALIWGQKSSGAVPFGTMFALVLLWFGISVPLVFVGSYIAFKKPAIEDPVKTNKIPRQIPEQAWYMNPAFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFIVFVILIITCAEITVVLCYFQLCSEDYQWWWRSYLTSGSSALYLFFYAAFYFFTKLEITKPVSGVLYFGYMLIVSYAFFVLTGTIGFYACFWFTRLIYSSVKID >OMO61732 pep supercontig:CCACVL1_1.0:contig13421:48021:54274:-1 gene:CCACVL1_23298 transcript:OMO61732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFNTQGEGRCGQIFVQAAEAKERMNPFGHDALYKAGTEVIKTELGVYGKKLLEPGSTYVQGNIRRYFSNPRYYFQVNDDYVMNKLKLILFPFLYKGHWIRATEKVGGELTYKPPIDDINAPDLYIPFMAFATYLDCLELLFLRYYYIVGIFLYGNFAGQDHEENSNCRGDLLKSKSCLLEERSGAASYNYDAAGASYTLKWTFHNDLGLVFVAVYQRILHLLYVDDLLAMVKREFSEIYDPKKTVYNDFDETFRQLRKEAEARAEEFKKTKQMVKPVNNGKKQGQVQNGGFDKGSKKSNGSLAADGGDGDKVKGRKLENGHSNGNYAEIQEPRNRATGVVNGKENTSSNVSAFDISKLQKKTKGGKKTDTVVNNDSKVDPKKKVVKKNRVWDDAPKDTKLDFTDPVEGNGNQNIEVVAADQGESMMDIEEIVSSDSESEEDDDVGKDSKPEAKKKGWFSSMFQSIAGKANLEKADLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLASFTRISSTVQAAMEEALVRILTPRRSIDILRDVHTAKEQRKPYVVVFVGVNGVGKSTNLAKVAYWLQQHNVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAIVAKEAIQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLIYLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTSTTPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >OMO52669 pep supercontig:CCACVL1_1.0:contig15486:8154:11229:-1 gene:CCACVL1_29123 transcript:OMO52669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MALLILLLLLAVSQATADEDPYIGVNIGTDLSDMPHPTQVVALLKAQQLRHVRLYNADQGMLIALANTGIRVMVSIPNEQLLGIGQSNATAANWVSRNVIAHYPATNITAICVGSEVFNTLPNAAPVLVNAIKFIHSALVASNLDSKIKVSTPLASNIILDSFPPSQAFFNRSWNPVLVPMLNFLQSSGSFLMLNIYPYYDYMQSNGVIPLDYALFKPLPPNKEAVDANTLVHYSNVFDAMVDATYFAMAFLNFTNIPVIVTETGWPSKGDSTEPDATLENANTYNSNLIRHVLNKTGTPKRPGIAVSTYIYELYNEDMKPGPVSEKNWGLFDANGDPVYILRLTGSGSLLANDTTNQTYCTAKEGADPKMLQAALDWACGPGKVDCSALLQGKPCYEPDNVIAHATYAFDTYYHRMGKTPDACNFNGVADITTTDPSHGSCIFPGSLGRNGTMIGNITAPSMNSTSSDSPGRKYGSGSFTSILVLIKVMLWSVIFL >OMO52670 pep supercontig:CCACVL1_1.0:contig15486:13506:20606:1 gene:CCACVL1_29124 transcript:OMO52670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLCVPEGEFDELLEHVPLSTRRKSLLSSTGVFQNQDESSNAPGLLSLRPCSSGDQVNQHLPQDQSPDCGVTASEHSSDYGTVGQASQFVTSCQDVNLSVNGNSQGSQAGTLRATKANGDASGIEKVDVGNPLPPHPVPSNVSEILIVDCADSMLLSLSENGTSSSAHTGVTASCKDVGWSSSGNIQGSQADKLAGTEASADASRLEKIKVGVPLSPNPENVNEIIKVNCADNILSSLSEDDINSSAGTIVMACQDVALPFNSSNSQGGEADQLKGTEANADASGMEKVNVGVPPPPNPVPSNVPEINKADFSGNMLLSLPKDDTVIPTCTGVMVNTMDNKFSDFTFDELDHIVLKDRRKLLLKRKLMESEKPALKGSSVGLAEDTIEYSPMTQLIDGEFMTDQNQLNDIPIRNVSNLCSSSGNGLSSLEQSTRRNKEDLQVHGESGLAGDKFNDIPDRSASDLLRTSAIESKLISRSERTPVEFMSCNGDDTSPASTTEIYCSTLNTSLKVKAEPLDYSSLQNPKRITFGNMVSVKHEEDISDPIDHMLLRDRMKLPTSVEDSEFSSARKFECSEKTEPGSLEFTPIISDAKPIRVHRPRKRKKTATDSVETALEEDAPGLLKVLLDQGVSVDEIKLYGESENDDVIDDSFNENSFSELEAVMTKLFSQRSSLMKFAPLRCTKGSRPSYCLACLFSLVEQTRYLQFRRWPVEWGWCRDLQSFIFVFKKHHRIVLERPEYGFATYFFQLVDSLPIDWQVKRLVTAMKLTSCGRITLIENKPLSVGEDLTEGEAKVLMEFGWTPDTGLGTMLNYCDRVVHDRKNESDSSEWRSKIGNLLVDGYNSGTIVSTSVVDEVIEQNSGENIHIKQEI >OMO97428 pep supercontig:CCACVL1_1.0:contig07240:36083:37768:1 gene:CCACVL1_04563 transcript:OMO97428 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MEELKPRPASSSPLTPLGFLDRAATVYGDCTSIIYNDTSYTWSQTHRRCLQLASSLVSTTGIGNGQVVSVLAPNIPAMYELHFAVPMAGAILNSVNTRLDARTVSILLRHCESKLLFVDTQSLSLALEAISLFPPNAKPPLLVLIKDDYADAEIPNSSSSAVDSRFCCTYESLVEKGDPDFKWVRPQTEWSPIALNYTSGTTSSPKGVVHSHRGIFMITLDSLIDWEVPKQPVYLWTLPMFHANGWSFTWGMAAVGGTNVCVRKFDSPTIYSLIKKHGVDHMCGAPVVLNMLSNSAEIKPLQNPVKILTAGAPPPAAILSRTEALGFIVSHGYGLTETGGLVVSCAWKRAWNKLPATERARLKSRQGVRTLGLTEADVVDPESGVSVKRDGSTLGEIVLRGACVMLGYLKDPIGTNKCMKENGWFYTGDVGVMHPDGYMEIKDRSKDVIISGGENLSSVEVESILYSHPAINEAAVVARPDEYWGETPCAFVSLKTGLTQKPSEKEIIEYCRGKLPRYMVPKTVVFKEELPKTSTGKIQKFVLREIAKRMGSPSSARVSRI >OMP05016 pep supercontig:CCACVL1_1.0:contig05548:164:2624:-1 gene:CCACVL1_02072 transcript:OMP05016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MGTKSHSFNQKIFTLSLILLSFSLLILVTFFGSKHNIITERLKLQQSSYDDHQPNQVFTNPRWFDLIQEEINGKKIKVGLINFDEKEISEYQMHGSAVSTVHVHFDHVSKSTKWEDIFPTWIDENQESGPPPACPEIPMPRLEAYRDLDVVVAKVPCEGWTGKAGLRDVFRLQVNLVVANILVRSGWVMPDIKRPVYVVFIGVCGPMPEIFRCDDMLSKVGDHWVYKPELRRLKQKVLMPLGSCQIAPALGKTGKEAWRPHDPKLHENFKKMKLSRFHQKEAYVTVLHSSDAYVCGAITLAQSIILSNSTRDLLLLHDENISPKSLTGLRYAGWKTRQIERIRSPFSEKGSYNEWNYSKLRIWLLTWYDKVIFIDSDLLVLKNIDRLFVYPQLSAARNDRTLFNSGIMVIEPSLCMFEDLMVKSFKLESYNGGDQGFLNEAFTWWHRLPSKVNYLKYYQGNESHESIPDDVSAIHYLGLKPWMCYRDYDCNWDVEVRQVFANDKANEKWWQVYDAMPEKLQQYCGLTKHMDWRIKKWRKIARNLRLPDEHWKIGVKDPRQFNLIIE >OMP05017 pep supercontig:CCACVL1_1.0:contig05548:4695:5628:1 gene:CCACVL1_02073 transcript:OMP05017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin P/U MGTLMMIKGKAIESDPYVSLGLDKSGNWVPGIPRILSLLSNILEKSIQRNEKLQEGSRRKEVVTIFHGSKSPSLSIKQYAERIFKYSKCSNSCYVVALIYIDRFLQQMGAFLTSLNAHRLLITSVMVAAKFMDDQCYNNAYYAKVGGVSTEEMNGLEMKFLFSLDFRLHVTTEVFRKYCLKIEREGGSVVDDKTSHQIQGYRHRAGRRT >OMP05018 pep supercontig:CCACVL1_1.0:contig05548:6123:6457:-1 gene:CCACVL1_02074 transcript:OMP05018 gene_biotype:protein_coding transcript_biotype:protein_coding description:mitochondrial Rho GTPase 1-like protein MGIEAPIPISSKLGDFNNIFRRIVNAAEHPHLSIPETEAGRSRKQYHRLINRSLMVVSVGAAVAIVGLAAYRVYATRKNASS >OMO56185 pep supercontig:CCACVL1_1.0:contig14551:168326:174282:-1 gene:CCACVL1_26720 transcript:OMO56185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGISIWDTDIDFRMLGTVSTYNVV >OMO56178 pep supercontig:CCACVL1_1.0:contig14551:110567:111193:1 gene:CCACVL1_26713 transcript:OMO56178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSATHKLNYYYSSSKVVSKENDMMLGGLISHKSGIDFMQNCDLPPPSKVFTGLEKAVGFSMNRVCTMGRENDHKDDQNQFLGYRNEVDHQQDEKLELLKALRLSQTRAREAERKAASLVEEKERISNAFMKDSLQFFAYRQWVRLLEIQVSKLQSQLVKQEKQERKGLLEEGNEGGNGDEMSLLVALALCLGIASVGFAFGCRYLF >OMO56166 pep supercontig:CCACVL1_1.0:contig14551:35541:36722:-1 gene:CCACVL1_26701 transcript:OMO56166 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein MGRITILRDLIGIIKDKASQSKAALVSNPKTLSLHLALLRATTHDPFTPPDPRHLDALLSTGHSSRATVSSAIEALMDRLQTTRDAAVAIKCLIVVHHIIKRGSFILQDQLSIYPAAGGRNYLKLSNFRDNTTPLTWELSSWVRCVDKDTEEEKVSSLINSELLKEINSLVNLLEQISKRPDSLHANGNILIEEVQGLVGEDYLSSIDEVSVRVREFRERLSCLSFVDSVELVCALKRLEDCKERLLSFSQRKRVLIETVWGSISEIKDQVDNLKVCRDERRLLTMGSKSSKISESARFGDRVLKQGNSVKFSSGRFLSLDNVPFRSFESVESWLKWESL >OMO56182 pep supercontig:CCACVL1_1.0:contig14551:139208:147606:-1 gene:CCACVL1_26717 transcript:OMO56182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKALDYCFYFITGDMAS >OMO56172 pep supercontig:CCACVL1_1.0:contig14551:73509:80673:1 gene:CCACVL1_26707 transcript:OMO56172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MQGGILRIALECNDNNSNNNSESVLSMAAWTMYCNGRKVGYAVKRRPSKADMDALKAMSSVVVGAGMISGKELGRHDDELMYLRANFERVRGSSDSESFHLIDPEGNIGQELSIFFFRSRNGFFSNSVFRILRRFQSRGKIDGGSKTEEEEKVYSWLYALARSDKDLVFEYVRSTERGLSFTEAERRLKENGPNVPLEYTFPSWWHLLWSAFFHPFNIILIVLSALSYITSDNSNGCIMLVLVFISVSLRFYQEYGSSKAAMKLSEFVRNPVKVQRCAGRVIQTELIVQVDQRDVVPGDIVIVEPGDLFPGDVRLLTSKHLVVSQSSLTGEYWATEKTADVREDRSTPLLELRNICFMGTNVVSGTGTGLVVSTGSKTYISTVFSTIGKHKPPDSFEKGILQISYVLIGVMLLVVTVIILIEYFTFHNLSESTLFGISVACALTPQMLPLIINTSLAKGALAMARERCIVKSLSAIRDMGSMDTLCIDKTGTLTMNHAIMVNHLDSGGSPREKVLRFAFLNSYFKSEQKYPLDDAILAFVYTNGYRFQPSKWRKIDEIPFDFMRRRVSVILETDSNPEGRNSQPLYRFMITKGALEEVMKVCSYVEHVDKGDISSFSAEDHQRILNLVEELSNEGLRVIAVAIKNLQQKQVSEQSMDNEEVVESDMVFLGLITFFDPPKDSAKQALWRLAEKGVKAKVLTGDSLSLAIKICEEVGIRTTHVTTGPALEQLNQDAFHETVKKATVLARLTPSQKLRVVQSLQTAGNNVVGFLGDGINDSLALDAANIGISVDSAASVAKDLADIILLEKDLNVLVAGVEQGRLTFGNAMKYIKLSVIANLGSVLSLLIATVVLRFEPLTPKQLLVQNFLYSVGQIAIPWDKMEEDYVKIPQRWSVKGLLMQTLIYHLIRTEKIPFIMEIASWPVIGSTILVSAVGIAIPFSVIGDVMGFTDLPLSYFGFLVVLFIGYFTVGQVVKRLYIIVYKKWL >OMO56177 pep supercontig:CCACVL1_1.0:contig14551:109097:109628:1 gene:CCACVL1_26712 transcript:OMO56177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLDKVDTVVETVECVAEVVELVAEEVEMVADEVADSLPEGTLLKETVCSIEAIAKETAEDARKVEEFIQKVEDMEEEVIESLIKPFIDQVEKVVEGAIEAE >OMO56180 pep supercontig:CCACVL1_1.0:contig14551:121876:125133:-1 gene:CCACVL1_26715 transcript:OMO56180 gene_biotype:protein_coding transcript_biotype:protein_coding description:3,4-dihydroxy-2-butanone 4-phosphate synthase, RibB MASINLNSPSVPLSRLQGYKYNYGNPIITANGCILTRKLGLSFKGVGIGKVRAALVSGEGDVLSYPNGNGVVAGNTGFVNGIVDHQAGIEIQPDAISFGTLSAEITPTTSGFFADEYDLDRPTDGFASIPEAIEDIRQGKLVIVVDDEDRENEGDLIMAASMATPEAMAFIVKHGTGIVCVSMKAEDLERLELPLMVSQKENEEKLCTAFTVSVDAKHGTTTGVSARDRATTVLALASKDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAVLAGLEPAAVLCEIVDDDGSMARLPKLREFAQAENLKIISIADLIRYRRKRDRLVELSSAALIPTMWGPFEAYCYRSLIDGIEHIAMVKGDINDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMKQIEAAGQGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGLGAQILRDLGVRTMKLMTNNPAKYVGLKGYGLAISGRVPLLTPITKDNKRYLETKRAKMGHIYGSDIDSTSNSNISS >OMO56165 pep supercontig:CCACVL1_1.0:contig14551:28695:31924:1 gene:CCACVL1_26699 transcript:OMO56165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MSSNGALEEAKAPLLEDLALKAEQDDENQSLTKRVWIESKKLWQIVGPAIFSRIASYSMLVITQAFAGHLGDTELAAISIANNVIVGFDFGLLLGMASALETLCGQAFGAKKYYMLGVYMQRSWIVLFICCIFLLPLYLFASPFLKLLGQPEDVAELSGVVSMWMIPLHFSFAFQFPLQRFLQSQLKNKVIAWVSLLALLVHIFVSWLFVYTFKFGVIGTAATLNISWWVLVFGCFAYTVCGGCPLTWTGFSMEAFSGLWEFIKLSAASGVMLCLENWYYRVLILMTGNLENAKIAVDALSICMTLNGWEMMIPFGFFAGIGVRVANELGAGNGKGAKFATIVAVATSSLIGIFFWVLIMVFHDKFAYIFTTSEEVLKAVSKLSLLLAFTILLNSVQPILSGVAVGSGWQSYVAYINLGCYYLIGVPLGFLMGWVFDLGVMGIWAGMIFGGTAVQTVILAIITMRCDWDKEAQKANMHVKKWSEKI >OMO56170 pep supercontig:CCACVL1_1.0:contig14551:50101:51189:-1 gene:CCACVL1_26705 transcript:OMO56170 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MFNLSRWVYLYIVTVVVVAAQPTSPPAGEDSNQLYSHFDPSMAIIVMVLVCAFFLVGFISIYMRQCSEANARANAAAAVAAASTGRSRMKGLDPAVIESFPVFIYSYVKDLHLGKGALECAVCLSEFDDDEALRLIPKCSHVFHPECIDAWLEFHVTCPVCRAKLTPDSGGKAVESISNVVIALNSNSNEPSSPPTSTSTQRVEEQNDVVINVNEESQPRVKITGKFPRSHSTGHSLIQPGENVERYTLRLPEELRKQIMKSGNLKRTRSYDAVLAKEGSSRKGEGSSRGKSYIDRWVFRATPPFVSKTGSVKSQKGGPPGNGDGDGSTSWRGLTSVKGKLNCLNLKVEQTDKEEPSARPPV >OMO56176 pep supercontig:CCACVL1_1.0:contig14551:104553:105231:1 gene:CCACVL1_26711 transcript:OMO56176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDSSTRYYVKVVVAAALVPLAVCGAGPLAAYGAYNVGALAANGAYNVAYGAYNLCRKTTMKVCKTITKTMEMKEDAVMIITKLRDEARMSKEARMREEEEEEKEEEEANYVTVEPQA >OMO56175 pep supercontig:CCACVL1_1.0:contig14551:100575:101729:-1 gene:CCACVL1_26710 transcript:OMO56175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGNRKLLSDDECDCKKKEVPLLSCVKVYATVPPPCPEQTPLPALPPPSYKHNKLMVTGITVVGSMISTALLLAIFFAIVRFHFNRRNRSRTRSLPLIFDTRQDFLDEDRGPDPMAQHLDHPIWYINTIGLQQSVIDSITIFKYKKDEGLIDGTECSVCLTEFEEDESLRLLPKCSHAFHLPCIDTWLRSHKNCPLCRAPIVCDAMVGIGTQASASSSSSELNSIPSGPSNETQVENSENSSSDVAEGGISESEDGNSSENSMKSLGNSKRRSFDSGSGVQPIRRSVSLDLSSAMEIYRDVARLGIGAHKHHGSLDSELGRIKCPKGKIVGKRSNESSSKRKLMKSSSIGRSFSRGHVSMKRSFSSGGKFLFSKQSRSQDSIFPL >OMO56181 pep supercontig:CCACVL1_1.0:contig14551:132524:134036:1 gene:CCACVL1_26716 transcript:OMO56181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKLRQDSGYCTVVNLYNLVQVGLVLDKDENSPRNNHIYSREFQSMLDGLDEEGCVEESGHVSEKKRRLSVDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGLLKNSYETLKVNFDSLQHDNEALLKEIKELKAKLNGESCTESNLSVKEEVIVPETDEKTLEKSDQPPESAELINNSNGAAVLFLDMKDGSSDSDTSAILNEDNNLNSSNSSSSPNNAAISSSAVGILQSQHLLMSPTPTTTSSPSSMGCFNFSKSSYESSPPHTYVKMEEHNFFSTADETCNFFSDEQPPSLHWYCPEQWN >OMO56173 pep supercontig:CCACVL1_1.0:contig14551:81132:84822:-1 gene:CCACVL1_26708 transcript:OMO56173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 5 MELVFSKWVFAFSLSSWLIFSVAFSVEGLPEDSKVRGVNLGGWLVIEGWIKPSLFDGIPNGEMLDGTQIQLKSVTLQKYVSAENGGGMDVSVSRDEASSWETLTLWRVSESEFQFRTTQGQFLTCYSNGCSVSATATSASSTETFQIERNNNGRVHIKIKSGAYLQATLGNQLTADFPGTPGWDDNAATFEMSIVANNLHGDYQLANGYGHNKAKHVLETHRNSFINIGDFEFLYRHGINTVRIPVGWWIAYDPDPPAPFIGGSLEALDNAFSWAQAYNIKCIIDLHAAPGSQNGMEHSASRDGTVGWPTSTDYISQTLHVIDFLASRYAKHPALLGIELLNEPSAASVPLDILVSYYKQGYEVVRKHSPSAYVIVCQRIGNADPLELYHADIGSHNLVVDVHYYNLFDNFFVNLSAIDNIQFIYKSREAQLQALNGANGPLVFVGEWVNEWNFTSGSQSDYQDFGRAQLEVYNAASFGWSYWTLKNDRKHWDFEWNIRNNYLQLSNILNHSSFCF >OMO56168 pep supercontig:CCACVL1_1.0:contig14551:45668:45886:-1 gene:CCACVL1_26703 transcript:OMO56168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLVVLAMVVVAQGRELGLKIEPDGIKDLGPCAKRRGRWDLMELGLIGCRRRRSGRHGWFMLCELQQSEQ >OMO56184 pep supercontig:CCACVL1_1.0:contig14551:161895:166847:-1 gene:CCACVL1_26719 transcript:OMO56184 gene_biotype:protein_coding transcript_biotype:protein_coding description:LMBR1-like membrane protein MWVFYLISLPLTLGMVFLTLRYFAGPDVPRYVLFTVGYTWFCSLSIIILVPADIWTTISRPENASENGGISFFWSWSYWGTFLLTWAVVPLIQGFEDAGDFSVTERLKTSVHVNLVFYSVVGSIGLVGLILLIIMNKNWSGGILGLAMALSNTFGLVTGAFLLGFGLSEIPKTLWRNADWTIRQKVLSHKVAKMAVKLDEAHQELSNAIVVAQATSNQMSKRDPLRPYMDVIDNMLAQMFREDPSFKPQGGRLGENDMDYDSDEKSMATLRRHLRLAREEYYRYKSEYMTYVSEALQLEDRIKNYERRDSTGWKYVSSFRPARSGKTGAILDTMEFIWRCILSKQLKKVLAIILGIISAAILLAEATLLPRGVDLSLFSILINSVKKEEVLVQAFAFVPLMYMCMCTYYSLFKVGMLMFYSFTPRQTSSVSLLMICSMVARYAPPISYNFLNLISLGGKKTIFEKRMGNIDDAVPFFGEGFNNIYPIIMVVYTLLVASNFFNRVVGFFGNWKRLRFQSEADDADGFDPSGLMILQKERSWLEQGRQVGEQVIPLARNFNGADIESGNNIADRTVVELKATTTSVTDGTKGSSSRPMKEETRKYGTSREAISNKYAAMREQSRHASNQKPVENNITSAKVSLLEAGNSNPNNQKGGGPSSRLSSTWASMKTGFQSFKANMEAKKFLPLNPNQETRLITRVNSSDSESLDEIFQRLKRPSTDHHIDEDEDDS >OMO56164 pep supercontig:CCACVL1_1.0:contig14551:23466:23870:1 gene:CCACVL1_26698 transcript:OMO56164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Early nodulin 20 precursor MSSTMFKVFMILGLLATSCMAQAPGPAPTTSPPPAATPPPAATPTPTPSPTPTPTPTPTPSPSTAPTPSPTTSPTSSPSDSPASSPPAPTPESGVSPSPGPSADQVPPPPSAASTTGVFFGATVAATFFAALLA >OMO56162 pep supercontig:CCACVL1_1.0:contig14551:16333:16887:1 gene:CCACVL1_26696 transcript:OMO56162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIPPRIVILALAAYGAYNIAYNAVTLVADGAYNLCRKITEVMEMTEDAVMIITELRDEVRMKKEARMREEEEEEEAAAVPIVP >OMO56174 pep supercontig:CCACVL1_1.0:contig14551:93687:94984:1 gene:CCACVL1_26709 transcript:OMO56174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVFQQDPFTYGCKDFYGCSSFDFGFQLQQGEAKEETLSKSRSFGFSAISNWGSSSSSPSLMVQQQQQQPLWNSSSPDHNDFPTGDQFSPADPPPGPPPSYRRKRRRTKTVKNKEEIENQRMTHIAVERNRRRQMNDYLAVLRSMMPPSYVQRGDQASIIGGAINFVKELEQLLQSLEAKKRMEKKSSNDDINFSKLFSDFFTFPQYSTSSTSTHHSAATTAAAAEKRSSPFVADVEVTMVESHANLKILSKQHPKQLLKLVTGLYSLGLYVLHLNVTSVENMVLYSLSVKVEENCELSTVNEIASAVYEMVDRLQEEAAATSL >OMO56163 pep supercontig:CCACVL1_1.0:contig14551:17450:19501:1 gene:CCACVL1_26697 transcript:OMO56163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPYRSREGLSARPVANSDEIQLRIDPVHADLDEEISGLHKQVTQLKHVAQEIETEVRSQNDLVSDLQMLMSRAQAGVKTGMKRLNRTVANQRSNHILQVIIFGLGCFTIVYLWSKYFKR >OMO56161 pep supercontig:CCACVL1_1.0:contig14551:3296:9682:-1 gene:CCACVL1_26695 transcript:OMO56161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydrolase FSH MLASRASRKPRILCLHGYRTSGEILKKMIGIWPETVLENFDFDFPDAPFAARGKSDIGSLYDPPYYEWFQVKKGECLNFEECIAYIEDYMIKHGPFDGLLGFSQGGLLASVIPWMQREGVAFTKVPKIKFQIIISGFKLRELKSGPPKLLVNSFSTPIECPSLHLIGEKDSVKETGFILLKSFVNPFVIHHSMGHTIPKLDEKGLETMLKFIEIIQKMSQQDLQIASWPRAALRNRKTGEMLGSQAPRKPRILCLHGFRTSGEILRKMIGKWPETVLENFDFGFPDAPFPARGKSDVESLYDPPYYEWFQVNEVECLNFEECIAYIEDYMIKHGPFDGLLGFSQGGMLAAVIPWMQREGVAFTKVPKIKFQIIISGFKLRELKSGPPKLLVNSFSTPIECPSLHLIGEKDFLKETGYILLKSFVNPFVIHHSMGHTIPKLDEKGLETMVKFIEIIQKMFQQDLQIASSGPRAALRSAEIFKKQVLQWPETVIEKLDLGFLDAPFPAQGKSYVEGIFDPPYYEWFQANKDFSEYTNFEECVAYIEDYMIKNGPFDGFLGFSQGAVLAAALPGMQRDGLALTKVPKIKFVILISGAKFGGSKYGHAKQLTSTTFSSPLQCPSLHFIGEMDILKLASTELLDSFVDPFVIYHPEGQTIPKLDEKSLEVMLGFSERIQETIPTDEARICLNKESKL >OMO56169 pep supercontig:CCACVL1_1.0:contig14551:46558:49020:1 gene:CCACVL1_26704 transcript:OMO56169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSVKPNKAAPSADFLPLEGGDLRKLPDDKPQQKKATVLYIGRIPHGFYEKEMEAYFQQFGAIKRLRIARNKKTGKSKHYGFIEFENPEVAEVVADCMHNYLLFEHLLQVQLVPPEHVHPKLWKGFNYKYKPLDFVQIERKRQNKVRILEEHKKLVEKIIKRDQKRQKRIDAAGIDYECPEIVGSIQPAPKKIKFDED >OMO56167 pep supercontig:CCACVL1_1.0:contig14551:40316:40687:1 gene:CCACVL1_26702 transcript:OMO56167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGVQGVIPREIKLDLEKKFVVGRVDKIMRFQILECEIGYNTVPLDHIIFFNGRTAFDEISEDVARFPCHHFRFATMEEMKTRHEKSPVLTGEVL >OMO56171 pep supercontig:CCACVL1_1.0:contig14551:69395:69805:1 gene:CCACVL1_26706 transcript:OMO56171 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OMO56179 pep supercontig:CCACVL1_1.0:contig14551:111588:118744:-1 gene:CCACVL1_26714 transcript:OMO56179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFSSSSNHRHPPPVGGHKPYHYHNQNNHYGNQRPNFQNNPYYRRYPRPPPPAAAFPNSGILQPPGFTILLLHDSPFSSLSKFNNFDTLISQLDPRPQSFRTHSRDNPASLYFREWVHTLSAVLSLWRSRLDGDHHFTPKVVSHLLQPSDKDELQQKLKTLFSNHIKGLLEGELVRKWQKKIEGKSDEIAGVSRQMSKRNYSIGGFCDLNDKKKALMAERSMISKRLKEFKGALRSLLGRLEAGEARNEGEEEAVEVFQLDGEFDEKRLHRLILRECRRLEDGLPIYAHRQEILTRIHGEQIMVLIGETGSGKSTQLVQFLADSGIAADEAIVCTQPRKIAAISLAARVKEESMECHDDKSVICYPMFSSAQQFDSKVIYMTDHCLLQHYMRDRNLSGISCIIVDEAHERSLNTDLLLALVKDLLVRRLDLRLVIMSATANAIQLSEYFFGCGIFHVTGRNFPVDIKYVPCATEGTSGSSVVAPYVSDVMRMADEVHKTEKEGTILAFLTSQMEVEWACENFKAPNAIAVPLHGKLSFEEQFCVFQNHPGKRKVVFATNIAETSLTIPGIKYVIDSGMVKESKFEPGTGMNVLKVCWISKSSANQRAGRAGRTEPGRCYRLYSESDFESMHSNQEPEIRRVHLGVAVLRILALGIKNVQSFDFVDAPSSKAIDMATRNLIQLGAIVQKNGVFELTDEGSYLVKLGIEPRLGKLILSCFHCGLRREGLLLAALMANASSIFCRVGNEDDKVKADCHKVQFCHPNGDLFTLLSVYKEWEAIPNDMKNRWCWKNSINAKSMRRCQDTVAELEFCLKKELAVIIPSYWLWDPHKLTERDKLLKSIILSSLAENVAMYSGYDQLGYEVALTGQHVKLHPACSLLIFGQKPGWVVFGELFANANQQSLVCVTAFDFESLASLNPPPLFDAFKMESRRTTCMDERIGVQVNVDQNEILLFASSVDMEKVLTYVKEVLECEMKWLLNECMEKCLYHGSTASPSMALFGAGAEIKHLEVDKRCLAVDIFHSNVNSIEDKELLMFFEKYSNGSICSVHKSQANVQENDEKGKWGKITFLTPEAARKAVELDGVDFAGSALKVCPSRTSFVGDHKMFSFPAVKAKVFWPRRRSKGFGVVKCDLLDVSFIMDDLSRMVIRGNFVRCEPSRRSSDAIVIYGIDKDVSEVELWDLLRMATRRKIHDFFLVRGDAVENPTCGACEEALQREISPFMPKRNPHANFCRVQVFQPEFKDAFMKAWITFDGRLHLEAARALEQLEGKVLPGCLPWQKIKCQQLFYSSVSCSSSVYAVIKKQLDSLIASFRNLKGADCHLEANENGSCRVRISANATKTVAELRRPVEELMNGRTIKHASLTPSVLHHLFSRDGINMMRSLQQETRTYIFFDRHSMNVRIFGSPDDSAVAQQKLIQSLLSYHESKQLEVPLRGPGLPSNLMKEVVNKFGPDLHGLKEKIPGAGFTLSTRHHVISICGDKETKRKVEEIVLELAQTGKGLAERSNTEVTCPICLCEVEDGYRLEGCSHFFCRLCLLEQCESAIKNLDSFPVFCAHQGCKVPIYLTDLKSLLSTEKLEELFRASLGAFVAASGGTYRFCPSPDCPSVYRVADPESFGEPFVCGACYAETCTRCHLEYHPYLSCEKYREFKEDPDSSLKEWCKGKDQVKTCPVCGYTIEKIEGCNHVECKCGRHVCWVCLEFYSTSDECYGHLRAVHNAFI >OMO56183 pep supercontig:CCACVL1_1.0:contig14551:159995:160477:1 gene:CCACVL1_26718 transcript:OMO56183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSSESNHGCKNHPNHQEKQGVCPSCLREKLSRLCSVSSHKELASRIAPSGSSSLSYSPVYYHSSASSSTSASPARQEKQRLHKRNGSDVMGSLSFMVKVGNGLKKSRSIAFVPRNFDEEDVHKNNKKKKGFWSKLLGFKGKKDVLTHSTSMRIIGRVF >OMO55225 pep supercontig:CCACVL1_1.0:contig14763:30312:32498:1 gene:CCACVL1_27346 transcript:OMO55225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MADPGNNNKFDSLAKANYAPYGIDYPNGPTGRFSNGRNVQDVIAELLGFDDSITPFVNANDTNILQGVNYASGGAVILYESGSKTLIMRVTLKEQINNHVSIVSKISGKLGEESAKKLLSQCIYSLQIGSNDYINNYFQPRFYNTSRQYSTEQYAAALVQQLSGHTKTLYEHGAKKFALYGLGLIGCTPYSIQVHGTADGSPCVDKMNLAVSIYNEKLKTLVRQLNANLTDTKVTYLNPAPKASEFASFVNSGTCCKIGGGSGELCLHDAQVCETRDKYVFWDGEHSTEAFNLLTGESAYEAQSPMEAFPFNLKTLAAANNNQGA >OMO62579 pep supercontig:CCACVL1_1.0:contig13239:13438:15342:1 gene:CCACVL1_22738 transcript:OMO62579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRTCGRRSCSCKIHFIDRDFMKKKMAKPKKKECGPIFRWALFATS >OMO62580 pep supercontig:CCACVL1_1.0:contig13239:19306:21473:-1 gene:CCACVL1_22739 transcript:OMO62580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MASEKVETVVAGNYLEMEREEGDASWTAYLISLLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNIGLFFNCTFLLFGSVIQLIACARIWSFLGLIMTSYTAWYLTIASLIHGQTEGVKHTGPAKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKMIYLLATLYVLTLTLPSASAVYWAFGDLLLTHSNALSLLPRTPFRDTAVVLMLIHQFITFGFACTPLYFVWEKFIGVHETKSVFKRALARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMVTFAPAAARENAVERPPSFLGGWAGMYSMNAFVVVWVLIVGFGFGGWASMLNFIQQIDTFGLFTKCYQCPHKA >OMO62581 pep supercontig:CCACVL1_1.0:contig13239:32504:33473:1 gene:CCACVL1_22740 transcript:OMO62581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MYECARIFTIINNCKETIWPGITPDENFNGGGFELKPGQSIVFQAPVSWSGRIWGRTGCKFDKNGNGPCQTGDCGNTLKCKASGKTPASLTEFTLAAVDYYDVSLVDGFNLPLAIVPINGKGNCSVSGCDSDLRQDCPSELAFKANGKVVGCRSACDVFNTDEYCCRGVYGNSVKCQPTYYSKKFKSACPTAYSYAYDDPTSIFTCSGADYVITFCSGRNQPVCTYHDRKLSCKASNGSTLLIGKWGYALLALLFMPNLWFTL >OMO52001 pep supercontig:CCACVL1_1.0:contig15644:9481:11403:1 gene:CCACVL1_29439 transcript:OMO52001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSISAKRSTSATQNLDVQAHLKNLNKPAVKSIKLRPNFHPEGIFGQKKIGSKKKQGSSKPITQMWHLKGRCPEGTIPVRRTTEDDLLRASSIQAFGKKKHKSIPQPRSADPDFISQSGHQHAIAYVDGDKFYGAKATINVWEPKIQQPNEFSLSQIWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNEIAMGASIYPLSRYHGSQYDISLLVWKDPDEGNWWMQFGNGYVLGYWPAFLFSYLSDSASMIEWGGEVVNSESDGQHTSTQMGSGHFPNEGFGKASYFKNIQIVDGSNNLRNPKDIGTFTEQSNCYDVQVGKGGNWGSYFYFGGPGRSPNCP >OMO52004 pep supercontig:CCACVL1_1.0:contig15644:23547:30116:-1 gene:CCACVL1_29442 transcript:OMO52004 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MASSLYSFLCVTFLTLAIVALHVSPAFSTSRKALVGNNIKIQDGFRVTLKHVDSGKNLTKWERIQRGLKRGNHRLQRLNAMVLAATDSAGVFESPVTAGNGEFLMDLAIGTPPESYSAIVDTGSDLIWTQCKPCAQCFNQPTPIFDPKKSSTFSKISCSSDLCSALPQSTCNDGCEYLYTYGDYSSTQGLLATETFTFDDKVSVSKIGFGCGDDNEGNGFSQGAGLVGLGRGPLSLVSQLKEPKFAYCLTSIDDTQKGSLLMGSMASVNNTFSDEIKTTPLIQNPLQPSFYYLSLQGISVGATNLPIKKSTFALQDDGTGGVIIDSGTTITYLEQSAFDLVKKEFVSQMKLSVDTSGSTGLDLCFTLPSDAADVSVPTLTFHFDGADLDLPGENYMIGDSSSGLLCLAMGGSSGMSIFGNVQQQNMLCLRAAGEMEIGSAKNPIVDFHGIDEGLLQKMVYDALVWSSLHGLVVGDRNSQRSGQVPGVGMVHAPVALLPMPFPESHWKQACEVAPIFNELFDRVSLDGEFLQESLSRTKKVDAFTSRLLDIHSKMLELNKKEDIRLGLHRSDYMLDEKTKLLLQIEFNTISSSFPGLGRLVTDLHRTLLNDYAEDLGLDAKRIPGNTAVGQFAEALAKAWTEYNNPRAVVVVVVQNEERNMKTLAEIDRDGELLSDGTLLVDGQAVAVVYFRAGYTPNDYPSESEWRARLLMEQSSAIKCPSISYHLAGTKKIQQELAKPNVLERFLENKEDIAKLRKCFAGLWSLDDSDITRKAIENPELFVMKPQREGGGNNIYGDDVKETLLRLQKDGSEEDAAYILMQRIFPTVTPTFLMRDGICHKDHAISELGVYGTYLRNKEKVIINDQSGYLMRTKISSSNEGGVAAGFAVLDSIYLT >OMO52000 pep supercontig:CCACVL1_1.0:contig15644:4915:4983:-1 gene:CCACVL1_29438 transcript:OMO52000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDCNIVVIEFVQTLAGRRKG >OMO52005 pep supercontig:CCACVL1_1.0:contig15644:32557:37356:-1 gene:CCACVL1_29443 transcript:OMO52005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPGISSSSKEPDVEGEALVDLLKVLNNSRNQITDWNDYFVSPCFSWSHVTCRNGNVISLDLASKGFTGTLSPSITKLKFLVNLDLQNNELSGPLPDFLGDMVNLESLNLANNKFSGSIPENWGQLTKLKHLDLSSNNINGRIPMKLFSVPTFNFTGTHLSCGSSLEQPCTSATTLPVSTNRSKIRIVVTFVSCGAVILLSLGALLFYRYNQVHKFKRDIFVDVAGEDDRKISFGQIRRFSWREIQLATNNFNECNIIGQGGFGKVYKGVLSDNTRVAVKRLADYYSPGGEAAFQREVQLISVAVHKNLLRLIGFCTTSSERILVYPFMQNLSVAYQLRDLKPGEKGLNWPMRKRVAFGAAHGLEYLHEHCNPKIIHRDLKAANILLDDNFEAVLGDFGLAKLVDTKLTHVTTQIRGTMGHIAPEYLSTGKSSEKTDVFGYGIMLLELVTGQRAIDFARLEEEEDVLLLDHIKKLLRENRLVDIVDGNLKFYDHKEVETIVRVALLCTQSSPEERPTMAEVVKMLEGEGLAERWAEWEELEEVRNREFLLFTHQFTWGEDSTVDQEAIQLSRAR >OMO52007 pep supercontig:CCACVL1_1.0:contig15644:47190:49723:-1 gene:CCACVL1_29445 transcript:OMO52007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MAAVGEVSDEIKDVGQPAGGSLTSQNLPASVSETIVDQQPQSSEGEEKLRPTTNTLVPTAMGQYDGAEYVTIGEAHTPRMDNFKKVSVLPLIFLIFYEVSGGPFGVEDSVHAAGPLLALLGFLVFPFIWSIPEALITAEMGTMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGIPALGGGLPRVIAVLALTLVLTYMNYRGLTIVGWVAVLLGVFSILPFVVMGFISIPKLEPSRWLEVNLHDVDWNLYLNTLFWNLNYWDSISTLAGEVENPKKTLPKALFYALILVVCGYFFPLLAGTGALPVDRELWTDGYFSDIAKMLGGVWLRWWVQAAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFAKRSRHGTPLVGILFSASGVILLSWLSFQEIVAAENFLYCFGMILEFIAFVRLRMKYPAASRPYKIPVGTVGSILMCVPPTILIGVVLALSSLKVALVSLAAVVIGLVMHPGLKYVEKKRWLKFSISPDLAVLDPSGHESTDTSLR >OMO52002 pep supercontig:CCACVL1_1.0:contig15644:13514:19669:-1 gene:CCACVL1_29440 transcript:OMO52002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESQKGLIDVGNMGSETETVILSQEVLLKEVKMLEARGLEKNKIALACASNLDQLLNEVYISRLPKQIDYDNRIDLVRIFNAMLKEIFGNRNDSPIVEGFGSFIMGIFSPDSDLDLSINFRYNAGKIPRLKKIQTLRNLAKRLHLFQRGGHVSGIQTIMTARVPIVKVIDRGTRIECDVSVDNRDGIEKSLIIRAISTIDERFRKLCFLIKAWAKAHDINSSKDRTLNSLSLVSLVAFHLQTRDPPIFPPFSALFKDGNDPTAVMKMVKNYLNYGIRNKESVAELFVTLLIKLASVENLWPKGLYVSLCRGSWISKALGFRCTINVEDFTDQCENVSRAVGREGFEKIHGCIRRSLHYLEAFSNGQMQISKLKELLFGRDTVGDSVATDLVKTTAEINSNEIKTIKLTENQSKTELVHNESSQTKKRVFAEDLDKSKGKKQCKGVTLLEGKGGVQFKEVGRKQPVENEVKPLLISRDTIGDNIATDLVKTTTNSSVPNNSDEKNKTKLTEHLGPEKQSKGQLVHCGSSQTKKRPFTEDLDKSKGKKQCKGANHLEGKGGVQFKEGGDKQPVENELGHHESSQTEKRPFKDDLGKSKGKKHCKGAKPLERKGGVQFKEGSMKQPVENEVKPQPTSGWQGKHPVDGRREWKSFGLNASYILTPPAPMPHIGPIHGQHAQHQPHTVPRRPWE >OMO51999 pep supercontig:CCACVL1_1.0:contig15644:285:1724:-1 gene:CCACVL1_29437 transcript:OMO51999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation, RCC1 MLRNALRKSKLISCGELQFLLWRRGLSSSASGEPARRFAAVWGNGDYGRLGIGTLDSQWKPKSLHCSSFNHQSLKAIACGGAHTLFLTETGRVYAAGLNDFGQLGSSDSVNYSMEPIEVSGLTKEIVQISAGYHHSCAITAGGELYMWGKNSSGQLGLGK >OMO52003 pep supercontig:CCACVL1_1.0:contig15644:22422:23262:1 gene:CCACVL1_29441 transcript:OMO52003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGLPKKRFGCQGVLRLHFTTRLVRLLNSTFSDCSKKDPYVKELRKSAVALLIEEIALQRIVSNDTLDRTRAATKSIKKASSKYRKKAEMCIAAVETCEEARERAEAELREELKLTALWKKRARQLGWKGDSKRAHNS >OMO52006 pep supercontig:CCACVL1_1.0:contig15644:40988:45911:1 gene:CCACVL1_29444 transcript:OMO52006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGICSRSSGNDNNGVGNANGGHDLNHYKTKAATVENNVMAPPQVREVMEKGIQEPDDFYDGIPRYTLKSRSVRSTHAAVAKVSEVSLRLGTVGLGKAVEVLDTLGSSMTSLNHNSGFAAGVPTKGNELGILAFEVANTIVKGSNLMQSLSRRNMRHLKEVVLATDGVQNLISKDMDELLRIVEIDKREELKIFSGEVVRFGNRSKDPQWHNLERYFEKISRDLTPQNQLKDEVESVIEQLMTSVQYTAEIYQELQVLDKFEQDYLRKRQEEDNSSALKADSLAYLRAELKGQRKQVRSLKKKSLWSRSLEEVMEKLVDIVHYLILEIHYAFGSSEYQISAKGSVSNHQRLGPAGLALHYANIIMQIDTLVTRSSSIPANTRDALYQSLPPSVKLPLRAKLQSFHIKEELTVTQIKDEMEKTLHWLVPVATNTAKAHHGFGWVGEWASTGSDLNRKPANGPADIMRIETLHHADKEKTEAYILEQLLWLHHLVNRSKSGVPVGLKTSIKTVTPPPQEMNEQPKNGSTNAITPEEQKLLQDLTKKVRIPGISRSQDFDSERRLKRHDRLSKSTSHSPPRESKEKASAKRLPSGVPIIGFSMYKEKVLDAIDRVDSLR >OMO81303 pep supercontig:CCACVL1_1.0:contig10210:19447:20338:1 gene:CCACVL1_12486 transcript:OMO81303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHDLICHSGLPEPCHPSSSQHGCTFHRPQSASAFPVWPPLGKKKFETLSYLPDLTPEQLAKEVEYLLRSGWIPCLEFELEHGFVYRENHRSPVYYDGRYWTMWKLPMFGCTDSSQVLKELEAAKKEYPNAFIRIIGFDNKRQVQCISFIAYKPPSF >OMO81304 pep supercontig:CCACVL1_1.0:contig10210:29435:30264:1 gene:CCACVL1_12487 transcript:OMO81304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEDFFETEVETGKCKDLLAIYFLSIEIGKACIKKVLLSNCLQELMELHQETEEEVIDTEQAENWFSLTSAQRICDMFLALDKDMNGTLSKQELKEYADGTLTEIFIERGML >OMP06659 pep supercontig:CCACVL1_1.0:contig04866:7593:8711:-1 gene:CCACVL1_01482 transcript:OMP06659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSWVYHHHQIRQKDGYFQYPPPLPPSPYTAAVTTFHKDSNPSPSSSGTRISPAVLFIIVILAVLFFISGLLHLLVRFLIKHPSSSTSAQSNRYPEMSTSDALQRQLQQLFHLHDSGLDQAFIDALPVFQYREIVGLKEPFDCAVCLCEFSEKDKLRLLPMCSHAFHINCIDTWLLSNSTCPLCRGTLFTPGFSMENPIFDFDDIREDDGHGCAGHGENGYTPGPKTVEMEEIVVDKGVLPVRLGKFRRLNDGPGEAGGETSSSTLDARRCFSMGSYQYVLGESDLRVTLSNDQRGARDVKLSKGLEQNGNSSVDNDVEGKKISSVSKGESYSVSKIWLWSKKGKFSSSSDTQNSMPSSLNTDLPWLQKTQEQ >OMP06658 pep supercontig:CCACVL1_1.0:contig04866:2487:2963:1 gene:CCACVL1_01481 transcript:OMP06658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLQPVEEAPEVVEEDIHDRKFSSGGCCFWIPCRSAVRSIWWERIAADEFAGSTNTSHSERWWTRGWKKVREWSELVAGPKWKTFIRRFKKNHRTGNGGTKFHYDAMSYALNFDEGPGQNSHFDEDFMYRNFSSRYASLPVSAKSSMDLGKEPPFFT >OMP06660 pep supercontig:CCACVL1_1.0:contig04866:12955:15091:-1 gene:CCACVL1_01483 transcript:OMP06660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQISIWVVLVSFFLVTHLAYSVDDNVRQSLVEFLKGMSSNGQIDPSFGWNLTSDPCKDNWKGVTCDNKTNNFVKKLTLDNSSLSGFLDASTLCNLDSIASSLNVLNLTLNKIGGVIQADLGNCKQLTRLSLGGNQFSGNLPGSLAMLSNLKQLDISNNQFSGDLPGFGRITGLRMFLAQNNQLTGEIPEFDFDNLDQFNVSNNLFKGPIPADANRFPVSCFLGNPGLCGDILQIKCPLKKKKLLSKDQILMYSGYAALALVIIVALIIVCCLKKKKVEKVEAPSPKNKVASVDDVVVDKTSILTSTEFKTDISRSEFSLNSESALVSSSLIVLTSPTISDLKFEDLLRAPAELIGKGKHGTLYRVIFENGMVLAVKRIKDWTVSTDEFKKRMQRLDQTKHPNVLPPLAFYCSKHEKLLVYEFQQNGSLFALLHGIPKGQNFDWASRLDVAAKIAEALAFMHRELQGDGIAHGNLKSSNIMLKKNMEPCISEYGLMTVDPQESSLDSNGNSLIAVQKTKDSASNAFKADIYCFGVILLELLTGRLVQSEGVELTSWVQSVVREEWTVEVFDKSLISEVASEERMLNLLQVAIKCVNLSPEARPSIDQVVAMINTLKEEEDKSLILYEP >OMO60003 pep supercontig:CCACVL1_1.0:contig13823:42356:45381:1 gene:CCACVL1_24479 transcript:OMO60003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNSYSYIPDKATESVFSSYVFGVTFGDSLTDSYLLLRIKSKLVDPAGVFETWSSQAHVCSWNGLTCSEDEAHVIGLNLSDSKLSGSIPPEVWNLISLRTLDLSLNFLTGSIPSEVGQLQNLTTLLLHSNYLSGKIPPEIGLLQKLQVLRIGDNMLTGQIPTTIGNLTELRVFALAYCQLNGSIPEEIGNLKNLVSLDLQQNSLSGFIPTEIHGCQELQNFAASNNKLEGEIPASIGRLKWLQILNLANNTLSGLIPTEISQLSSLQYLNLLGNRLRGEIPSGLNHLVQLQKLDLSRNNLSGSINLLNSQLQNLEVLVLDNNALTGNIPSNFCLQDSNLQQLFVAQNNLSGGFPLGLLNCSSLQQLDLSNNNFEGELPGSIDKLGNLKDLLLNNNSFSGSLPSEIGNMSNLETIFLFDNMITGSIPMEIGKLQKLITMYLYDNQMSGSIPMELTNCTSLTAIDFFGNHFSGSIPETIGKLKNLVLLQLRQNDLSGPIPPSLGYCKKLQLLALADNKLSGVLPPTFRFLSELSTITLYNNSFEGPLPESLSFLTSLKIINFSHNKFSGTIFPLTGSNLLTALDLTNNSFSGAIPSRLANSTNLRRLRLANNHLTDNIPSELGQLKELTFLDLSFNHLTGQVPSELSSCQKLQHLLLNDNQLAGEMPSWLGKLQELGELDLSSNHFHGGVPAELGNCSRLLKLSLHTNNLSGEIPEQIGELTSLNVLNLQGNNLSGSIPPSIQDCKKLYELRLSQNLFTGSIPSELGRLTELQVILDLSRNQFSGEIPSSLGNLLKLERLNLSFNKLEGEVPSSLGKLTSLDMLNLSNNHLQGEIPSAFSGFPLNSFLGNDKLCGPPLTSCMDAEAQENNTLSSTAVICIIVAIVFTSTVICLVMIYIMLRIWFNWRKVSISNSEGGGNIEQIKREEDHQKWVYGDEKKRKGEYWRVNSMALVPSQNEHISSSCIFHIKMDSKKINHGK >OMO60000 pep supercontig:CCACVL1_1.0:contig13823:15645:16340:-1 gene:CCACVL1_24476 transcript:OMO60000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MSQLTPLANRTARQCLKPSTVSSDGDHRKVDTTKSDDEKETEHEKASFFLLYEWLQSRRFCITWHAFNLKKKKTTSRSLFWEIPRSLDTNKSVEINVCPSADALGSVIYLIGGCCGYPIYECYQGRINPHFHNSLVYLDTLQPDDGWREATPMISDRNLPTVVAVDGKIFAFGALFRRLSPQPLVEVYDPRDNSWTPLPDYHCLMVPRVCMPVAVHDKQLCFSLTGIPSIH >OMO60001 pep supercontig:CCACVL1_1.0:contig13823:22072:29048:1 gene:CCACVL1_24477 transcript:OMO60001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTLSGDRRWASSRRSGMTVLGKVAVPKPINLPSQRLENHGLDPNVEIVPKGTLSWGSKSSSSSNAWGSSTLSPNTDGGSGSPSHLSARPSSGGSGTRPSTAGSDRAHEPANAWGSNSRPSSASGALASSQSSLASLRPRSAETRPGSSQLSRFAETIPENSGAWGASGTAEKLGMTSSKNDGFSLASGDFPTLGSEKDSTGKNAELQDHGSQGRPGSSSGVASMKERTGTSTVVDVSANANPKSGSVNSWRRDNPPYSEDGVRPSMEKWHADPQGPHPPYPNTGIPPQHYDAWHGPPINNHPGGVWYRGPPGGPPYGPPPGAFPMEPFPYYRPQIPGNALGNPQPVPPPGAGPRGPHPKNGDMYRGPMPDAFVRPGMPIRPPFYPGPVAYEGYYGPPMGYCNSNERDIPFMGMPGGPSPHNRYPGQNASDHGGSHARPSGYGPPGKALAAEHLESGHPHDVRGPYKVLLKQHDGWDGKDEENRWEDDATATVEKGDRHRTSSWENDWKADQRKEEEVKIRRVQSPGQIIDHHGGDSILGKVKSSDAVDNAKAYDDISAKRVEYSEVPATTKDSNLIQQIEGLNAKARASDGRLESVSVYNREEQKNKSQVVNAKAKHFANEVATGSRAAFTGKMPASGMSEPTSNEVGFSAADKSLDVPADGAGVNRRSTHGMHTRPDHHRGRGRFNPQDADGWRKRPPYTDSSNVRPATDSENPSNVNMQDYMSLEASEKSVLLSYPQARDEGESMQPVFDPSDSQSKRAMMRELAKQRVKQRQKEEEERARDQKAKALAKLEELNRRTQMGEGLTQKLESVPDSATQSKQEECQTLAEESILTSRSAVTSLAPVSNPFIDADVGQSSTGGLEKPTVFNNQQPLVSTKNVHKASTDMCEQSLPVQQRVNTPDASINNHPQVSDGSTSKQKRVGYKKRDNNSMDKNSSEKPISTGTIELPKHTDAAVDVAPSAETVVKENVPSPEFISAQNVVNEPSVQQRRKNNRSGKSKHKMEEASSIAPLPSGISKDTNLSSTSAEGSKPKSSEIESDPRPVQSLTDSKDGNRSSEQDSAPNEEVHGRVNNQWKSQHSRRMPRNAQTHRSAVHSNDAVVWAPVRPHNKVEVIEEESHKAVVDAVAPQVKNDPQVQSNTRSKRAEMERYIPKPVAKEMAQQVISQQPVALSDNQNAAEETGGRVDSGSHGVECSQPVGFAVGKVENSTESRNDGRQSRQGRGHGSWRQRASSEATVQGLQDGQYSNQGKNTRKTTEHNQQQKPDLSLVKEQPKYDEWNTSDGWNMPEAPDSSAPPVVPVVRDQGVTGRGKRQAFKGHKGGGSNHDFDHKKINNGEAEKVSTQSPVHEMAQSDLPATPKENRVGDRSTSQWQPKSSASNHRGTRPDTDQNVGPEIGRANKKDTAQGKVSLPSQPEKETTGSMTQPLKDQYIPDKQNVEETHNAGHYESKRERKVGSLKGRPQSPNQGLDLPMEAPPSNVDNRNEQRTTSGFRKNGNQHNRFGRGHESRGEWGLSGQEIRQQHNPPANRDRPRHNNSHYEYQPVGPQNNSRPNNPEGPKDATHTGGRFRDRGQSHSRRGGGNFHGRQSGAVRVDGGYE >OMO59999 pep supercontig:CCACVL1_1.0:contig13823:8824:9470:-1 gene:CCACVL1_24475 transcript:OMO59999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactose oxidase, beta-propeller MSQSSFSNLPPPLLPMETTAKSIPPPPSLTMRRKQSMRRQVFTFFMSGCNRDVFVSLGMLSISKNAKEALWKSLDRCTPTGIFDPHVHKSLVYFNTLRPDDGWREAAPMISDRNLPTVIAGDGKIFAFGGLFPGPGLSPQPLVEVYDPRDNSWSPLPDYPCLMVPRVCMPVAVVHDKRILF >OMO60002 pep supercontig:CCACVL1_1.0:contig13823:31349:38750:1 gene:CCACVL1_24478 transcript:OMO60002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVTHQLQGSYVAFPSKPLSWSKGLALRRHVVTLKILSRREKGISLKRNVYLSTGALHTFGLKAKNIRISAFKGSARNDESGGRANDASVPKNSVKVSYVPKDGEEALIEPSKAHNGPVAYASQTTETIVGSPAIKLFKKWIMILRSQSPSQVMDESLREGPPPRDTSEAQIETQINRQSDFLKIIGSHFWSMDATIKVPLLIFVPCYLAVSVIYGAEVSKELTPLWVFGPLIVALYIKMLRGLCALYAFTFKQTVKIIRNLPTYYLLAYNYIARGKLKEDVRARVWQPVVEMKNLGYKELSRRKMKDFQEWWMEKNLDFVESIWPYYCRTIRFGSASASASFSYAAPSLSSPFHSRLCLLRRPYIDQRDRAEQHRNTKVLKLKRFQRRQNVLLATLKDQSQYIEINPDDSEELNDHSGPEDISPPGYSSISFEGSDGKTGLISFYNRPYKRNEEVIPNVQRNESSLLWFVGPAVLVASFIFPSLYLRRILSTIFEDSLLTDFLILFFTEALFYCGVAIFLLLIDRLRRPIGPVSAAETSAPHLGQRISSVATLVLSLIIPMVTMGLVWPWTGPAASATLAPYLVGIVVQFAFEQYARYLKSPSWPVIPIIFQVYRLHQLNRAAQLVTALSFTVRGAEMTTHNLAINNSLGTLLNVLQCLGVICIWSLSSFLMRFCPSTTRIT >OMO94974 pep supercontig:CCACVL1_1.0:contig07760:12682:18702:-1 gene:CCACVL1_05665 transcript:OMO94974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYNNENQRIGWSSTADCTPEDHWLRPGTQPGQKVVLKSKGERLEGTSFLLVV >OMO84730 pep supercontig:CCACVL1_1.0:contig09711:37762:38275:1 gene:CCACVL1_10682 transcript:OMO84730 gene_biotype:protein_coding transcript_biotype:protein_coding description:inhibitor of Bruton tyrosine kinase MEIAVKMEREMKRVMEESLWDDELALEEKVQEHSTLVANKEQMLADMEIKEKLIDNFMVFMEAEENNDLQKAQMFDEKAMMDAVVTMIDTQGNHGGVSDAKGNKDQIEMGQIPNEESIMVIVEAVMGAQAIEGTNGDCGEFSNAA >OMO84729 pep supercontig:CCACVL1_1.0:contig09711:15066:20614:1 gene:CCACVL1_10681 transcript:OMO84729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MEHQPMECSYSNNPLMSQKKPNSLLTSEAKNDQQPILRPESDIPSQYIWPDHEKPCFDAPELEVPTIDMTVLSSGDPLAISKAAELVNEVCKKHGLFTVSNHGVDSGLLRRAVEHMNFFFGLKVSDKKKAKGEISYGYENSIASRFSSKLPWVESLAFRYCPDVQDIVVDYLVNVFGEDMRQFGRVYQEYCEAMEKLSLRIMELIGISLGLEQAYLRDFFQENDSILRMNYYRPCKNPDLTFGVGPHSDTPCLTILHQDMVGGLQVLTDEKWHSVTPIPGTLIVNIGDTFMALSNGIYKSCLHRAVVNNQTVRKSLVFFLSPKMDKPVIVKIHSVSFTISDCWEPDGRGVVWNKKNSSFRSNSTSIPTKLLEMDPREQGDQVEASRRLLEAEERLRAEEAALRDKERELTMLRTRKERVLGLIQAGETLVDAFLELEEGGYDQVDFDHDQNASIMEAIAFIALDLMNLNPGPDNNPGEFAGV >OMO84731 pep supercontig:CCACVL1_1.0:contig09711:40365:44597:1 gene:CCACVL1_10683 transcript:OMO84731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQRKLQERSTLVADKEQMLADIEIKKQLFDDFMIFITAVENKDLDQRAQKFDEKAMMEAVVTKINGHGSLWRQLGGFNCFFGAKGNNKVGFEKAQIPDEESMVVLVEGVVGTQAIEGTRGGDGSPKKISEMDPRERGIVEASLQLFEVKLAMEAEKNRLMEVCLRADQAALRMKERECSILGTEKERLLELNEAGEILVDTFFQLEAYGNMNVDDQNLMMAAIVAWMNSFGGGSGGQGRNGGGFGGA >OMO84732 pep supercontig:CCACVL1_1.0:contig09711:50074:50544:1 gene:CCACVL1_10684 transcript:OMO84732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYKSGLTLDQRWQIILNLENSDGGAVTHD >OMO84728 pep supercontig:CCACVL1_1.0:contig09711:649:2385:1 gene:CCACVL1_10680 transcript:OMO84728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MEHQPMECSYPNNPLMSQKKPNSLLTSEAKNDQQPILGPESDIPSQFIWPDHEKPCFDAPELEVPTIDMTVLSSGDPLAVSKAAELVNEACKKHGLFLVSNHGVDSGLLDRAHEYMNLFFGLQLSDKQKAKEENGYGYTNSFVGRYSSKFPWMESLTLRYCPDVQDIVVDYLVNVFGEDMRPFGRVYQEYCEAMEKLSLRIMELLGISLGLEQSYLRDFFQENDSILRMNYYPPCKRPDLTLGIGPHTDPPSLTILHQDLVGGLQVFTDEKWHSVTPVPGTLIVNIDDTFMALSNGIYKSCLHRAVVNNQTARKSLVFFLNPRMDKPVTPPAGLVTSKNPRKYPDFTWATLLHFVRNHYRVDRKTMDAFSKWIQEQEPNNKIQA >OMP00365 pep supercontig:CCACVL1_1.0:contig06680:946:1080:-1 gene:CCACVL1_03363 transcript:OMP00365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEDHPVERSKHSSWSPKRISAFTELRIYNFKQDEVRVDSLETCL >OMO56998 pep supercontig:CCACVL1_1.0:contig14457:20819:22539:-1 gene:CCACVL1_26094 transcript:OMO56998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFFSLGGGRGSSSQEQEDQHNNNNNPPTEIPPESWFWYKNEDISYKGTFELWQQQQIFQRQQQEQQQQQDLYTSAAGLGVGPSRNNLSDDSSSRSAAAFMMMRSSGAAGGGGGGGMSCQDCGNQAKKDCPHMRCRTCCKSRGFECQTHVKSTWVPASKRRERQQQLVALQQQQQQLQLRGDNPKRQRENPTSSSLACTTRNLPTNASGLELGNFPAEVSSSAVFRCVRVSSVDDGDDQYAYQTAVNIGGHVFKGILYDQGPESGYNNMAAAGESSSGGGSGIQALNLITAGPTAATNTASLAANASGGSVTAASSSSTAAFLDPSSLYATPLNTFMAGTQFFPNPRS >OMO56997 pep supercontig:CCACVL1_1.0:contig14457:11046:14296:-1 gene:CCACVL1_26093 transcript:OMO56997 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MGFGGKSRSNKRSSSSVSYTSTITTVVFVTLCVFGVWMLTSNSVEPPQTTTRTATGDNNADNNAFSGNEEQHTRHSNNDDKDNKAVFEDNPGQLPDDAVKPDDDKGSESESKGKDVAAAVEENPGGLQGKESSEEQMKQKLSETQISEESVMTQNQHQQTSMKEFDVDKHTKIEETSSNQDSSEEDQSQNAEQQNQDSEDQQLSEDSKQKEDVGSQEETSEENKKEDDDQGKAKNESTESEDHEQIKGNKQQSQEKEMQMQEIANTTFGDETKDKQKEILKEPHQTDAFQTLLKPSTDTATTDQKNTQSETQNQEITQQQVISSDSSNLGESLGNSIPKESSESKKSWKTQKTQSESEKERRKDDSKGKESIYGYTWQLCNVTAGPDYIPCLDNEKALRQLHSTKHFEHRERHCPEDPPTCLVPLPEGYKRPILWPQSRDKIWYHNVPHTKLAQVKGHQNWVKVSGEFLTFPGGGTQFIHGALHYIDFLQQSVPDIKWGKHTRVILDVGCGVASFGGFLFERDVLTMSFAPKDEHEAQVHFALERGIPAISAVMGSQRLPFPSRVFDIVHCARCRVPWHAEGGLLLLELNRVLRPGGFFVWSATPVYQKLPEDVEIWKAMSSLTASMCWELVTIKRDTLNSVGAAIYRKPTSNECYDNRQGNSPPMCKSNDDPNAAWHVPLQACMHHVPVNQAERGARWPAAWPARLQDPPYWLNRSQMGIYGKPAPQDFARDYEHWTRVVGKLYMSGLGISWSNVRNVMDMRAVYGGFAAALRDIKVWVLNVVNFDSPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKARCKLEPVMAEVDRIVRPGGKLIVRDESNVIGEVENLLKSLHWEVHLTFSKDDEGILSAQKGDWRPTAYQAVS >OMO56995 pep supercontig:CCACVL1_1.0:contig14457:7973:8431:1 gene:CCACVL1_26091 transcript:OMO56995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTLLFRCCHYLPPTSTTESRLTKSDKTRTHVGSPMRLPISTLRFVSSNIISIDRQRIGDPLRFLFVNGRIPLKLMATKLEESEVKDGSDKKKQEEEELTKNLPDPPEKPEPGDCCGSGCVRCVWDVYYEELEAYNRLCKPDPKNSISNSS >OMO56994 pep supercontig:CCACVL1_1.0:contig14457:5209:7619:1 gene:CCACVL1_26090 transcript:OMO56994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase NAD(P)-dependent MEGSIAELRETFTSGRTKSVEWRKKQLKALLDLINENEDKIFHALHQDLGKHPAESYRDEIGVIQKSVDFTLSCLDKWVAPNKENCLLFFFPAKGEVLSEPLGVVLIFSSWNFPISLALDPLIGAISAGNTAVLKPSELSPACMSFLSETVPLYLDNKAIKIIGGGADVGQRLLELKWDKIFFTGSPRVGSLVMTAAAKHLTPVTLELGGKCPVIVDNFSSPSKSKAAAKRIVGGKWGPCTGQACIAIDYLLVEEKSASNLIESLKKTIKRFYGENLKDLKNLSRIVNKHHFERISNFLKDPQVAASIVYGGSVNEEKLIIEPTILLDPPLDSDIMTEEIFGPLLPIITLKNIEESIEFINSKPKPLVIYAFTENETFKKRILSETSSGTVTFNDVMMQFICDALPFGGVGQSGYGRYHGKYSFDTFSHEKAVFHGAFFPEIEPRYPPWNDFKLLFLKLAYRLDYFGLILHMLGLKRP >OMO56996 pep supercontig:CCACVL1_1.0:contig14457:8833:9604:1 gene:CCACVL1_26092 transcript:OMO56996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MKRSGFIVTILGAVVVLLMVGNALRLAKANNSASAFVQNTIFSNKIVIFSKSYCPYCFRAKRIFSDLNEKPHVVELDLRDDGGQIQYVLLDLVGRRTVPQVFVNGKHIGGSDDLKAAVQNGTLQKLLAAS >OMO87078 pep supercontig:CCACVL1_1.0:contig09336:356:478:1 gene:CCACVL1_09271 transcript:OMO87078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVFQHIHRTGQKHEKQMVAIRFKISATGVNHLSRREGQQSQ >OMO63682 pep supercontig:CCACVL1_1.0:contig12920:1885:6700:1 gene:CCACVL1_22355 transcript:OMO63682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCSFLFRAIKVSAFQSLPTLTLASPSSAAFCLYPKPSTCKILSRRHHSTTFHNPLKPSLTSSTASGVVGFSKMASAAGEEDGQFKLSETSLLKINKGDITKWFVDGSSDAIFLAIGGFWFVYSMVKIEHKDCSNDNLLQVNPANQKMLGGGGADGAIHRAAGLELRDACYEVPEVQPGIRCPTGEARITPGFKLPASHVIHTVGPIYDYDEDPRASLRNAYKNSLTVAKENNIQYIAFPAISCGVYGYPFEEAATVAISTVKEFANDIKEGCRSDLSDLNACDACFRSVGEVLSKLVSLDGNVTDDTDCLNFVVLYATGIVNEFGPETDGCVECAFGLNLNEQSRSKGSVHLLWLRILIPATMIILIGGFSYLVYRILKNRIKPMDDNELEIVQEIQRSSSAPQKFRLKELKAATDSMLLTNLDFIAEVTVIGNLHHKNLVKLIGWCYESNELLLVYEFMPSGSLDRFIFRNTVPNNAADHNATLNWETRHNIICGVARALDYLHNGCEKRVIHRDIKASNIMLDSEFNARLGDFGLARTIQMKEKTHHSTKEIAGTPGYMAIGIAPGLDS >OMO62695 pep supercontig:CCACVL1_1.0:contig13208:16150:16320:-1 gene:CCACVL1_22690 transcript:OMO62695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAGAVTGGSKLTRTSAVVAKLIKVGLGFVPLGLSETLVSPPASDTFLGTAVLSA >OMO71213 pep supercontig:CCACVL1_1.0:contig11705:16668:20928:1 gene:CCACVL1_18362 transcript:OMO71213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNQSYDCLQSVGRFADRFDGLRSSQLNASLCVACERGLYGLKEHYGIEIHDTNYLAVRYFSALADARREENLVMNDQCFTALTGWLQSQEIVSQQERIIQLEQENVQLHSQAALISFHRIDCELYKQLVFILRRDPLRSMDVMALWIWMERMGIRNLIKKILFLPFVVIDGLADEAIFIMDNTLHSSSLTVLDWEEKTPLKKYLMMESSVGFECLLGDPRVLAVGTTLVFDKVCLEMLIDLVQDAIDMNECHDLSRDRTIVIEFPLSQAIESCEIENFFSEHYGECIESFHMQRKTLDVEPGSARMVIRSPFLVEAILDAKKKLNCLSTE >OMO71212 pep supercontig:CCACVL1_1.0:contig11705:7821:16444:-1 gene:CCACVL1_18361 transcript:OMO71212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRKDEDDYARRRKNACKFPTTTTVFNPIVDVTMPIKSDFDYFWGENRLDAIEEIRMSDLSNVAIFTGSKLIAVMVALRHLIDNPSAKDDKTKEEIA >OMO51796 pep supercontig:CCACVL1_1.0:contig15716:5085:13628:1 gene:CCACVL1_29587 transcript:OMO51796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MEEINKANENKRLKKEANQLAAVLKEMKSGLDVVTAKVQALTAKIRPIDKKLQYQIQKLTRASGLQQVSSNDKSDDAQNNEDPLNYRPNPDMLISKVDMMPEDGIGVYRPPKFAPAVVEEDHRMSREEKNALRREKEALRRASQSAYIRDMMDDLEGKPEEVREIIGAKSRELSRYMSALDLSKNTMYHARTKHIDVRYHWLRMATEDKELQLKKIHTDRNVVGMLTKVVPREKLELCSNLAGMDTLVKANFNGDNLQLKSKKREQRNQLSIAISGWRDILCDFASGSMMKLTTTNYNIWKPMMEDHLYCKDLYDPILGDSGKPKDTSDDDWKKLDRKSLGTIRKWVDITVFHYVAQEKSAHAAWEKLAALYERKSPMNKAFGARKLSNMKYKEGQSMAEYLSDYQEMLNLLLTMKIDLGEEVNVLFLLGSMPESWDTLVVTVTNSAPDKKVTMKMAKDALLNEEARRTNRESGSNQALVTQNRGRSREKGQGFRGRSKSKNRSKSRDSVKLLSLCVIVCGHGDCNHVADPSIEWIVDISAAYHCVPKRELFTTYKAGDFGETRMGNKSVSQILGIGDIVVQTSTGCTLTLKNVRQIPDLRMNLLSINVLDKEGYESRQKDGQWKLFYGSLLVAKGSLCCTMYKTWLKHCGNNVNAVEDDASPNLWHNRLTHLSEKGCYFWRDSPSFLYPRPKVKVESLGGNRYFVTFIDDASRRTWVFCEIQKPVKVACYLINRSPSAPLGFDIPEKVWSDKNPSYAHLKVFGCKAFLHVPKEQRSKLDSKVTPCIFVGYGGEEFGYRFWDPEKKNIVRSRDVVFHEHETIADFEKKEKTSRVVHDDDDLTPTTVPPRRATDGGDEQDAVGIEQGEQPPLPENNEPQLRRSARGNIPSTKYPSSEFVLLTDDGEPESFRDVQSTSDKQRWLEAMQEEMDSLQKNGTYELVELPKGKRPLKNKWVFKLKKDGNKLVRYKTCLVVKGFAQKACIDFDEIFSPVVKMSSIRVVLGLAASLNLEIEQLDVKTAFLHGDLQEEIYMDQPEGFKVKGKEHMVCRLKKSLYGLKQALRQWYKKFDSFMVSHLFKRTATDPCVYFRSFGNNFIILLLYVDDMLIVGQDVELISKLKDDLSRSFDMKDLGPAKQILGMDIIRDRKAGKLWLSQEKYVERILKRFNMQDAKSVSTPLANHFKLTKKSCPVSEKKKDEMAVILYSSVVGSLMYLMVCTRPDIAHAVGVMSRFLSNPGKVHWEAVKWIFRYLRGTSKMCLSFETSQTILEGFTDADMAGDLDSRKSTSGYIFTFAGGAVSCSLSCRSVLPCLLRRLNILQRLKQSALDLSKNTMYHARTKHIDVRYHWLRMATEDKELQLKKIHTDKNVADMLTKVVPREKLELCSNLAGMDTLVKANFKAFPTLFTRAPVKKDKKIEKHLKKSRNIGMGKLKKRKLCMNFIILDHNDRIQLILLKIGLSLLSERLLSSDYSLMAAVWGLAPPIWKEGSGKFPIDSFLVNA >OMO51797 pep supercontig:CCACVL1_1.0:contig15716:27469:27807:-1 gene:CCACVL1_29588 transcript:OMO51797 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi anemia-associated protein of 20 kDa ISCSASPVSSLHCKRRQLVPSSTTLPRLDPDRSKQPPFDQKPKKETLIHPKTILKQQLYTVSPKSFSLSTILTQSLTNEGSKQRLSNESNPKKHETQLESGIGRDKRRPRRR >OMO95947 pep supercontig:CCACVL1_1.0:contig07569:39116:42551:1 gene:CCACVL1_05158 transcript:OMO95947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipopolysaccharide-modifying protein MTGNTRAENNVQPGNNGSGISGYFMETIWRPFVQSSARTSAVFIVFIVLLVGALVSTRFLDTTGCWPDGDYWWFTNFLFQWGAAALQTFMGSLASKPMLSSGTRAAGIPKKPRQKIEIPLNCSALDLTRTCPTNYPTTTEEDPASTSETTCPDYFRWIHEDLRPWAQTGITWEMVSRARRTANFRLVVVNGKAYVEKYRRAFQTRDVFTLWGILQLLRKYPGKVPDFDLMFDCVDWPVVKSSDFCGPNATTPPPVFRYCKDAETLDIIFPDWSFWGWPEINIKPWVSLLNDLIEGNKKIRWEEREPYAYWKGNPNVALTRQDLLTCNVSEKQDWGARVFAQDWGKEAQQGYKQSDLANQCIHRFKIYIEGSAWSVSEKYILACDSVTLLVKPRYFDFFTRNLEPMHHYWPIKDDDKCRSIKHAVDWGNSHQQEAQAMGKAASEFIREELKMDYVYDYMFHLLNEYSKLLRYKPTVPRKAVELCSETMACPARGLEKQFMMESMVKGPSLTGPCTMPPPYDPGSLYASISKKENSVNQVDEMEKNFWKTQN >OMO95942 pep supercontig:CCACVL1_1.0:contig07569:14818:23056:-1 gene:CCACVL1_05153 transcript:OMO95942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRFLNTDYFTISPSPSETLSFLNLQPPRLPPSNLPSFSGDLLHFDPLLYDVPLQAEKLPIDAALSKFLSDSIPQFIDVDFRYFEDTQPPSGNFGAKLSEEEAMVCNEEKEVESQNIVGSENLEKDNVTSFNDKNQERFDVILFETPELYAFLDNAHFNEEELAPFSAVREIDKNQDESESTVPYSTKIQQSVYSVENVTSVYSIEQNNCTLEEDISFGDQVQHSMFPFLEVDELSLGIMTSHSMDDVLPSVFEYIESQLWTQENNVLIDSRELLGSIGQDILNFLSDHCLSEQCPKPELAFPEMFLEMNLICMVEAPQFDGSSEFFMAKQDTDYVLPMNLDIFEEFQVFDIDSSNILEVFLNRQMAHDLDAFNHDFREDMNLKSFNELVVSHELALVDATFKSLPVPVLSDNGGMRSICTVVDKLLSGLKPLPLSASNGIYLDWFILEEDKCNPKVYSLFQKMVEETDSCKCNIDFDQETFEDGKLVSDFIFSDDTLSELATEQHEETVKISSSSWNGHLPDDLSGNLQDNEFRIPGNTEQLAEKDSKRASLLFKSTSQFNDLDFFLNPQKGSAGVNAGPAAVLFDPIATLPNVSSGHSVEACTSTGVQSQQWDVINYKIKLSDDMMALIENFEKSYLAILQNETELISFLTADNLELLSLPKQKLMDCIKKKMARRMTSHKDEDVMPFVTLCAIKQMAWYMCFYGIHVAHFYVDKLCLSLGCMHSRLSFLHSLIEEARGNVNKEITRSHPALCIIKGILQSNTSPSSFKVLILAEQVFWWSLKSLLLSIGLSWTEVSTFCTTSNSSDAYKMDSQLISDCWLVSQENVSASFPFNKFNLILEYGGSCGSSRVSSFSPNLSGVTHLHFLKIELDGSSASKALCDGVYMPQNMKKLMEGEFHSNLAIDDNVNYQKLEDILNYVPVEDKNVQSSLRNVDITEPPCVPLPVAVETKQPQQSMADIVIIVNTQNFDKEMIVSRRSTYQKILAMEKKGAQVVERDSNLPVDVIISSAICLVWYDCINIGRKAATLDEASSCLPSCIENIATNILTLLSFSFSGCFLVFEGDIGFLSTVMESSAGLYAAAATLGIDFQLFCSYSSELTDEIILNCIDYAAKMTGGLYPKMPDSETLAESFLTKFPSINPLTAHAILSSGGTLIEFLEWSHERRINAVQNYCVPDESIALFSALCKYGEREDTKSVMTDCSSSVSSGQDSDKCHLNVGSEGKYIKSSHKMNIGMDELLHFEPFNKCTDDFLNPSGLLKPYDSLKSKGSEIFQDYEMRSSSLNDVFDQKHEFEFPKLLSSQVPDVYDSHVTEGPERLNEAKKPKLNVPLKDNTWNYNQGENMVMLNTLDWQNMNSFENQHKNLVGEVIDLTDSPTFGEDVSCFGNSIPFSSSVPENEKDSTRKSKIARRLSFGKGGRTVLPYVSEINTGPDIFGSVKYPRESLQGTNDNLDTGYRDKLQPRNQENPIRDVLAQGSAACRVSLLKKDVSNHSETPLSKAILSAHPQTDSPWTIEFLNRIREKSRLRQQNLPSDTSASPFEISGNITNVRKRRSPSILDFFKYQGGSTPRKILDQRKQKGYLQPSSSSKKEKASSSLPQTRTPIDKRARQTLSFAMNESGSQTKLVWSDGGAHGMRKKPRNYN >OMO95940 pep supercontig:CCACVL1_1.0:contig07569:7893:9270:-1 gene:CCACVL1_05151 transcript:OMO95940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADGTDDPTTLWVIVIISPEKPMNNGEGPETDVPSLSCNKCYNEPDRTAHFCGETTNF >OMO95944 pep supercontig:CCACVL1_1.0:contig07569:29297:30723:1 gene:CCACVL1_05155 transcript:OMO95944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKGASCDTVLDENNDNFGGNASASDYESRFSTRHGFFESGRDDAKKILEILQQDGPGFDAKAALSELQMRVSGFLVREVLVGILKNINYANRTRCAKLGYKFFVWSSQQESYRHTANSYHLIMKIFAECEEYKAMWRLVDEMIENGFPTTARTFNILICTCGEAGIARKVVESTLVSHLRNAGRLSEARKIIKDMVNKGQILIGLAMSAPRACCL >OMO95943 pep supercontig:CCACVL1_1.0:contig07569:24562:26817:1 gene:CCACVL1_05154 transcript:OMO95943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQTAYHHGHNQEHDPNVVGHHHHEGEDDNDHHEKKSVLKKVKEKAKKIKNTIKKHGHGHDHDHGHEGHIPDDHDLDEEDDDEDEEDIVDPEVHGAPMYDSAAARSAVPVYQQPEALSRPGITHVHPDTPVPRDGHFYSGSEKTKFNNEPAVNPVTGIGALVTEQPKVNFGKQTAVYDDPLLAPQNTPVGVPRQTRDTDPSKTFVHGKEEYPAGQPKVNLQRPKGLEEDPAAPKDTPGAYTTNYQTKVTDPTGKGGEVTGITPILHSFDKMNIHGTQDTGLREQKFPPAGTHQRPSDLSFPTGSHDQFSPEPTAPSRITKTPENSPLVSETVDTSKLREEQLHSTESDKPSNQSSYTEKISSATSAIADKAVSAKNIVASKLGYGEKDSTPANESYEGQDNKTTKQSSSAMDYGKKIAGTVTGTLSPVYEKVAEAGSSVISKLHGPGTGTATEVGTDELQGQDKGVSMTGYISEKLKPGEEDKALSEFLTEAWNPQKEKTKPRGKVTESVEVTRRLGAADQGYERADSVSENSTSKGMVDKIKGTVGSWFGKTEEPQQQQQANVSSSDANEDYTSSTYERKLQESGN >OMO95948 pep supercontig:CCACVL1_1.0:contig07569:44928:46707:1 gene:CCACVL1_05159 transcript:OMO95948 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipopolysaccharide-modifying protein MGSLASRPLLGSGTRAAGIPKKPRQQIEIPLNCSALDLTRTCPTNYPTTTEEEDPASTSETTCPDYFRWIHEDLKPWAHTGITLEMVSRARRTANFRLVVVNGKAYVEKFRNFGTREIFTLWGILQLLRRYPGKVPDFDLMFDCNDWPIIKSSDYSGPNAMIPPPLFRYCKDAETLDIVFPDWSFWGWPEINIKSWVPLLNDLMEGNKRMKWEEREAYAYWKGNPDVALTRQDLLKCNVSEKQDWGARVFAQDWKREAQQGFKKSDLGNQCIHRFKIYVEGSAWSVSEKYILACDSVTLLVKPRYYDFFTRNLEPMHHYWPIKDDDKCRSIKHAVDWGNSHQQEAQAMGKAASDFIREELKIDFVYDYMFHLLTEYSKLLRYKPTVPGKAVELCSETMACPAQGLQKQFMMESMVKGPSLTSPCTMPSPYDPASLYALISKKENSINQVDEMEKIFWKEQN >OMO95946 pep supercontig:CCACVL1_1.0:contig07569:34285:35380:-1 gene:CCACVL1_05157 transcript:OMO95946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMVEVRVPNLDCEGCASKLKKALFKLKGVEEVEVEMEIQKITVRGYNLEEKKVLKAIKRAGKAVEPWPFPGHSHYASFYKYPTYIVNHYYDSYKSEVSNGVHTFFHTPSVYSVAIASDEAVASLFSDDNPHACSIM >OMO95945 pep supercontig:CCACVL1_1.0:contig07569:31803:32430:-1 gene:CCACVL1_05156 transcript:OMO95945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEKTKQKAIEAAADIYGVDSIAADLKDQKLTVVGMMDAVAVVKKLKKKVKFLQKS >OMO95939 pep supercontig:CCACVL1_1.0:contig07569:845:3562:-1 gene:CCACVL1_05150 transcript:OMO95939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561, eukaryote MAIGVRAMHLTIVPHVLAVAAAVMVLVWCISFRGGLAWDSSDKSLIFNVHPVLMLIGFILLSGEAIMCYKSLPLSKEVKKLIHLVVHAIALILGIVGVYAAFKYHNESNIANLYSLHSWLGIGVIVIYGLQWIYGFLVFFYPGGSGGLRSESLPWHVLVGMFVYILAVATAAIGFLEKLTFLEVSGVDKYGAEAYLVNFTAIVTILYGAFVVLTCFTQAHQADDYSYSAI >OMO95941 pep supercontig:CCACVL1_1.0:contig07569:9748:14169:1 gene:CCACVL1_05152 transcript:OMO95941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MFKSWRSDKKKIKVVFKLQFQATQVPRLKKSAVTIALVPDNVGKPTLRLEKVAVQDGSCLWENPVFETVKLIRDQKTGKLGEKIYHFVVSNGSSKAGFLGEASIDFADFAAETEPVTVSLPLKFANSGAILHVTIHKIEGAADQSYHGENGGFAISREGSLQSQDNNYRMHEDMTEPGRDSTSQDPGNFLSPLRQNSMPQRGAGAVMAKKQMHHRTNTDWSISSMSEGSLNESSNSPEDEPRAWQEGFDSSVEKLRSENALLMRQVEMSELELQSLRKQILKETKRTQDLSRQIISLKEENDEVKTELKRLKSQKNTDEAEIESRLQAENEDSKALLEEIRQELNHEKDMNANLHLQLQKTEDSNSNLILAVRDLNEMLEQKNREISHLSSEIEASKKVEEALSNSNCYMNETEGEMMKQTITDLNAELELYRKQKEELEMHIEELNQENEVLKQENKDISSQLKQNQQEESIKTQQEYSESLATIHELESQVHRLEDKIKQQSEEYSESLVTINELESQVKELKKELENRTQGFEDDLNAMIHAKTEQEQRAICAEEALRKTRWKNAVTAERLQEEFKRLSVEMATKFDDNEKTTMKAVAEANDLRIHNRNLEEMLQKANEELRLLTDRIEIERQELSDQLNLKGKQIEQMSVELNDKTKQLEYAQKQENEKQEAFSKEIHMLRAEMEKITEQKSKFSDQAKENGKQCDETKNVKTSNEKTEMLIQRWNKERDELEKKIALAKKEAEKAQKQLISTRSLKDKKQMMITNLQSEMEKIRLEYNDLKHSLIQEEREKENLKKQVTQLKNDLQKKDEEISSFEKELKNNGGQVGITPGSSQSTLAPHDSMDITTLQNKLRLLKEQINLKEAALKTSANSAAEKERNLSNMIKELESSMEQFKEIISTGIFSANVNKSNGSGKSQDNMLHPKMSTAEGMSFSNREVAVERRKAQKELKVSKSDTSSCSNVAELLSEVECLKESNKAMERELKDMEERYSEISLKFAEVEGERQQLVMTVRNLKNGKKN >OMP10484 pep supercontig:CCACVL1_1.0:contig02424:404:1085:-1 gene:CCACVL1_00945 transcript:OMP10484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHAGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNEVIREASKWSPELAAACEVWKEIKFEFQAMDTL >OMO61380 pep supercontig:CCACVL1_1.0:contig13502:7096:9403:-1 gene:CCACVL1_23566 transcript:OMO61380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSLKEYVEMKKNQERPKPTGEEIYGVVSKIVGLNRNDIFGAVNRAEEEGTSSGPRHTHSRNVNASACREREAQFQRERELQRKAQRGRELQREDQREKELQREAQRERQLQREPGYFDGAEDWTSSDSHSESHPCIDLPLTRGSTKRSRQARTPVDSWMVSESVPGGPIDGTVIPSFLGHVASLHGPDERRPEVLEQENYLAEVESLPDTNSFHMPFGEMTIMLHYVHHILGVPIAGHLITLDPNFQDLQLACMQQLNVDVVFLNNQHWDHGGVLTESVFDLCGANREVEKQAIAWVWIMLGTTLFIDKSGNQIRQSCLYELITIGVA >OMO53837 pep supercontig:CCACVL1_1.0:contig15150:37816:39084:-1 gene:CCACVL1_28307 transcript:OMO53837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQISQLMQQLRKMAQAYYESASRKVKKAVDTFFLEMDFDGNGVVELSEFMEFMREEPNIAIQYTSCHFFHLLCKINKNHLDFLDVMTLFYIIQSGRPFCATCGEFIMDTYFCCTQCFFGRQKRYCVCFKCYEQKQYRNHDHSGDQFLDNFAFLEFCKRNHHDTSSSYQMITDQNDETLAIVPPQPTAKLDKRKREKLSKALGKVVNLIHIGGAIAQISTCSIQ >OMO53828 pep supercontig:CCACVL1_1.0:contig15150:9462:10528:-1 gene:CCACVL1_28298 transcript:OMO53828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVRKIAEAYYLAGPDEVRNAAHEFFDKMDEDKDEYISQEEFATFLEQLGDKKLETSPVFWLLDSMGDEKLNFMDIMALYYLIKSGRPFCNGANCGELITGVYFSCIECFYNSKGFCICTECFKKKNHDQSHRFLDNFTLLECVRQTALEKPGSCQIQRQVSSRFMINNVPNNEENAASCSTLEPTRRRPSSALALNPESKKKKMEKIINKKSAIIAFEVFDKLVSYGLMAAQLASCNIM >OMO53830 pep supercontig:CCACVL1_1.0:contig15150:21633:23250:-1 gene:CCACVL1_28300 transcript:OMO53830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLQLLRKLAEAYLEAASAKVKEAAETFFKEMDIDGNGVVELSEFMEFMREEPSIATEYKSRSFFESLCKINQKKLDFLDVMTLFYIIQSGRPFCATCAEFITDTYFCCKQCFRTKDRYCVCFKCFQDKHYKFHCHGEEAGEDT >OMO53832 pep supercontig:CCACVL1_1.0:contig15150:26456:27820:-1 gene:CCACVL1_28302 transcript:OMO53832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLVPGKGLTLVRWSDSGRGSRIRWYYVTHEFAVVLGKRSTDRSGSVSPTFSSGVFS >OMO53836 pep supercontig:CCACVL1_1.0:contig15150:33659:34802:1 gene:CCACVL1_28306 transcript:OMO53836 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative F-box protein MAAVCRSWQASLKDQKPKFPVCLMILAERGDNDNRRSFLTTSEEKVMELELSKIRGRRCWGTPFGWLVTYRDNLTDFEIGLFNPLSRVYSSLPSGNKLIDLIDDSDYSGDHWTDKTQDLIQKLLLPSSPNSSEDCNIVMAIYSWKSSLAFAKPVKYIGQLFICQGLEGNSSPKAVEFANEPPQFRRNNSSKYLVELGGHLCTISRDVRSYRGDDLCMIRRDVRSYEHTSEDEDEDEEDECLYLTYEFRIVKLDMHTRNWENQRYDLYDNDVIGGGFDTIIYKFDNKKILRLPVAVRDDEQQPFRSKISPPLWIHPNS >OMO53829 pep supercontig:CCACVL1_1.0:contig15150:14396:16471:1 gene:CCACVL1_28299 transcript:OMO53829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDAQLPSPPANSAAKPSQTPSINTTNATESAPDSLATQVGNLKLSGEPPRGRTKNISGTFLSSGNTCLDFFFHVVPDTPSDQLIQRLELAWAHDSLTTLKLVCNLRGVRGTGKSDKEGFYTAAIWLFSEHPKTLALNLNAIVEFGYFKDFPVILYRILEGPESRRIQKEEWKERKGSGLKKSSKKPKNSGKLEQETDHGKTEVSDKEIDGALPLEDEDVKEMGSENDKDKARTLRKEREKAKAERALDKYNSESDYRFLFDHIYELFAEYLKSDINNLNEGKLFDISLAAKWCPSIDSSYDKTTLIYEGIARRVFPRESDKEYKELEEEHYVYRIKDRLRKQVLVPLHKALELPEVYMSAKAWNLLPYNRVPSLAMKKYKKLFTKHDNERFKEYLDNVKAGKEKIAAGALLPHEIIGSLKDEDGGEVAELQWSRMVEDVAKKGKLKSIAVCDVSKSMIGTPIEVSVALGLLVSEVSEEPWKGKVITFSRNPELHKIEGDTLKAKTEFMRKMDWGANTDFQKVFDQILLYSAKNKVTKDRLVKRVFVFSDMEFDQANGKRDEFQTKVYGRFVVHSKRNWKERHKEMDEKRKEWVKNGKALMKEAWETDYEVIQRKYRDCGYCSVPEIVFWNLRNSSSTPVIAKQNGVAMVSGFSKNLLSLFVEEGGIVNPEQVMELAIAGEEYKKLVVFD >OMO53827 pep supercontig:CCACVL1_1.0:contig15150:2621:3946:-1 gene:CCACVL1_28297 transcript:OMO53827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLQQLGKMAQACNEAASGKMLVKATFEKLKEMDFNGNGVVELCELRKMALAYYQVASGQMKATFEKLFKGMDFNDDGEVELCEFMEFMRDQPTIEEQYKSRSFFELLCKTNQKNLDFMDVMTLFYIIQSGRPFCATCAEFIPLTYYCCMQCFLSKKSRYCVCFKCYLDKKYCNHCHPGDDQFLDNFAFLEFCRVKHDSPSSNRPSSSKEASSKIMVYQVPNDEENEASSSTLEPISATPSSIGACSCSKAKDEKEKKKGENEKGRF >OMO53833 pep supercontig:CCACVL1_1.0:contig15150:29306:30308:-1 gene:CCACVL1_28303 transcript:OMO53833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQPLLPDLQDSPVHHNTFTRRRPINHSLKKDYGRQVAHTLALEIGAALASTDIKSARSFPFRLSAPVTEKSFPLFVSTVNSTSPKGSALNDPLMDALPDSGTSFSFGNFIQPALNEPMNTSSLKPVGGL >OMO53835 pep supercontig:CCACVL1_1.0:contig15150:31620:32460:1 gene:CCACVL1_28305 transcript:OMO53835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNHQVIKISLSFFDLRLSVNGAKRNESRVIGIDGSVSNSLFLYAKDLNVSGMEKNLLTKVQRTPQMFKSSEDERGGCLLKSNGGVRREGIDPKAGRIISRDENPLQQLEIRFPRKKK >OMO53834 pep supercontig:CCACVL1_1.0:contig15150:30733:31059:-1 gene:CCACVL1_28304 transcript:OMO53834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKLLVIGGHSIKGLDPALASVSTAYGASLYSLVYILRSRSRHTPGIDLDYFQSLHRFRIRFPTAIRVDTSNTVFSQSFIFRRLSSKLARPCSLYSEYTFPLTTFSA >OMO53839 pep supercontig:CCACVL1_1.0:contig15150:45328:48010:-1 gene:CCACVL1_28309 transcript:OMO53839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSRLSRVGFWIARELSRDFVVVMMTYFAGGYTNISRTRYRPRLCRQYQRSLPEILPETRAFQGALFQKHHDFSTSASNDTSEGGNEQEETISVTFVSKDGEETQIRVPIGMSMLEAAHENDLELEGKNHDFFVGACEGSLACSTCHVIVMDMEYYNKLEDPTDEENDMLDLAFGLTETSRLGCQIVARPELDGIRLAIPAATRNFAVDGYVAKPH >OMO53831 pep supercontig:CCACVL1_1.0:contig15150:25415:25830:-1 gene:CCACVL1_28301 transcript:OMO53831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIAHVVDRCLFLKGDIHRLGEVSILIVGLHAENPPYDANGVFYSKSSSSPLAGNRGYQPNLGKPLTIMTSKN >OMO53838 pep supercontig:CCACVL1_1.0:contig15150:41875:43905:1 gene:CCACVL1_28308 transcript:OMO53838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSDALLLGPPAISAAKPSQNPSINSAATTESALDSLTTQAGNLKLPGDGEPPRGRTENNSATFLSSGNPCLDFFFHVVPDTPSDQLFQRLELAWAHDSLTTLKLVCNLRGVRGTGKSDKQGFYMAAIWLFSKHPKTLALNLRAIAEFGYFKDFPEILYRILEGPDSRRIQKEEWRERKRSGTRRSSKKIKNSGFPDKEIDGGGIDKEKARTLRIEREKAKAQRALDKYNSDFNYRFLFDHVCELFAEYLKSDIKNLNDGKLFDISLAAKWCPSIDSSYDKATLICEGIARRVFPRESEKEYEGIEEGHYAYRIRDRLRKQVLVPLHKALQLPEVYMSAKEWNLLPYNRVPSVAMKNYKELFTKHDNERFKEYLEKVKAGKAKIAAGALLPHEIIGSLKDKDGGEVAELQWSRMVEDLAKKGKLKNCIAVCDVSGSMEGIPMEVSVALGLLVSELSEEPWKGKVITFSENPELHLIEGDTLKAKTEFVRRMDWGGNTDFQKVFDQILSVAVEGKLSEDQLIKRIFVFSDMEFDEANASRSMYGYDSDEDEEDVDDFNSDSNEDEENVDDFDWDVRYKKMEEKRKEREKKRWETDYEVIQRKYRECGYNRVPEIVFWNLRNSSSTPVVANQNGVAMVSGFSKNLLTLFLEEGGIVNPEQVMGLAIAGEEYKKLAVYD >OMP11045 pep supercontig:CCACVL1_1.0:contig01644:3439:4034:-1 gene:CCACVL1_00705 transcript:OMP11045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPTLIIVFGMIACLVSIASATAGIATFYTNYVPSACYGQQGMGNMVAAAGDTLWNNGAVCGKFFTVKCTGPRNPVPHPCTGKSVTVKMVDHCPGCPSTIDLSKEAFTQIADPVAGIINIDYQQN >OMO65278 pep supercontig:CCACVL1_1.0:contig12674:4198:4665:-1 gene:CCACVL1_21566 transcript:OMO65278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MEGMDAAELRRVFQMFDRNGDGKITKKELSDSLENLGIFIPDKELSQMIEKIDVNGDGYVDIDEFGALYQTIMNERDEEEDMKEAFNVFDQNGDGFITVEELRSVLASLGLKQGRTIEDCKMMIKKVDVDGDGMVNFKEFKQMMKGGGFAALSSS >OMP06707 pep supercontig:CCACVL1_1.0:contig04836:776:943:-1 gene:CCACVL1_01463 transcript:OMP06707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVRGREVTLIVLIQKISFSVSTGQFTKRMVNEIDSGRTSSSRPVPTTDAIHKITQ >OMP12209 pep supercontig:CCACVL1_1.0:contig00280:21:814:-1 gene:CCACVL1_00076 transcript:OMP12209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHFLKEISHAVVLEPCLSAFGAGRTCNFPTEFVESRLFDERVATGLSILVGRSSPYDNDGLIGFGGLKGRRELVAWHTRRKAHECPCCVLRCFQRSGRPRTGLHLCGHCSSLRHVRWDCVVDYCLALCESMRCADCAKENCGQMKCAFLNHGRFARAASTSCTGIALLLRRIDSQPWRLLRICAPEASKGCVSSPISIRVLSLPPRAPLHVPPVPFADVVPFRGHQ >OMO83974 pep supercontig:CCACVL1_1.0:contig09810:16325:26082:-1 gene:CCACVL1_11066 transcript:OMO83974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAINPGQRPDIDLAAFNVSRYQIDRIKPGQNGTLTRQYSMYLVCADLSPAATETPSHQGGVQFCYALNRFNETINTSC >OMO83973 pep supercontig:CCACVL1_1.0:contig09810:6023:7912:-1 gene:CCACVL1_11065 transcript:OMO83973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MASGFSGGGPDFYGGIAGRSMANTGAMSNNQPTAPYRTQIPGIFMDPTSQIVNRAAPSLIGKRTLADFQTQQHQNNHAALSSLYLRSVKPRTYQHASPISPLSPIDFASNLSPDVTSNFSSASSCMSQRYGLPLLQHLRPQQFPLGTTSGTTIPPVNPGLPGVPYVNPVQTRVVQQQQDPEKKMLNQLQELEKQLLDDDNDEEGDAVSVITNTNSEWSETIQNLISSSSPTNPIAPSPTSSTTSSSSSTSSVASPASTCSKQTIMEAASAISEGKTEVVNEILTRLAQAANPKGNSEQRLMDCMLLALKSRVNSAENPPPVAELFSKEHAAATQSLYDLSPCFKLGFLAANLAILDATLDQPNSNKLHVIDFDIGQGGQYMNLLHALSERGTGKPAMVKITAVSDNGGDDRLKTVGDNLSQIADRFGVCLKFNVITSLKLNDLSRESLGCEPDEPLAVNFAFKLYRMPDESVSVENPRDELLRRVKGLAPRVVTLVEQEMNTNTAPFATRVGEACGYYGALFDSIESTVPRDSSERVKVEEGLWRKVANSVACEGRDRVERCEVFGKWRARMSMAGFELKPLSQNVAESMRARLNSGNRVNPGFTVKEENGGVSFGWMGRTLTVASAWR >OMO84880 pep supercontig:CCACVL1_1.0:contig09684:276:4104:-1 gene:CCACVL1_10592 transcript:OMO84880 gene_biotype:protein_coding transcript_biotype:protein_coding description:LMBR1-like membrane protein MGMVILTLKYFAAPEVPIYVLFTVGYTWFCSFSIIILVPADIWTTIVGHSSRGISFFWSLTYWSTFLLTWAVVPTIQGYEDAGDFTVSERLKTSIHGNLVFYLCVGSIGVVGIILFIIFGKNWSGGILGFAMGCSNTFGLVTGAFLLGFGLSEIPKGIWKNINWTIRQKVLSHKVAKMAVKLDDAHKEFSNAIVVAQATSNQISKRDPLRPYMNIIDSMLNQMLKEDPTFKPQGGRFGENDMDYDTDEKSMATLRRRLRIAREQYCRYRSEYMSFVVEALELEDTIKNYERGGATGWKFISSFRAERTGKLGASLDTIEFIWRCVLRKQLEKLLAIILGCMSAAILLAEATILPSGVDLSLFSILINSVGKQEMLVQIVAFLPLMYMCLCTYYSLFKIGMLVFYSFTPKQTSSVSLLMICSMVARYAPTISYNFLNLIHLPGDRKTIFEK >OMO84885 pep supercontig:CCACVL1_1.0:contig09684:22282:24252:1 gene:CCACVL1_10597 transcript:OMO84885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6e MKFNIANPTTGCQKKLEIDDDQKLRAVFDKRISQEVAGDAFGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSHDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKTGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAAEYQKLLATRLKEQRERRSESLAKRRSKLSAASKPSVAA >OMO84887 pep supercontig:CCACVL1_1.0:contig09684:67282:69403:1 gene:CCACVL1_10599 transcript:OMO84887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MTRSLLFTLPQNLAPDQASPDWMSKGDNAWQLTAATLVGLQSIPGLLILYGGAVKKKWAVNSAFMVLYAFACVLVCWVTWGYRMSFGDKGISFWGEPNVALDGNYLITQAFSGKFPNATMIYFQFVFAAITLILIAGALLGRMNFYAWMMFVPLWLTFSYTIGAYSIWSLNGFFWKLGLIDYSGGYVIHLSSGVAGFTAAYWVGPRLTQDRERFPPNNILLMLAGAGLLWMGWTGFNGGDPYSVSTDASLAVLNTHVCTATSLLTWLALDLVFFRKPSIIGAVQGMITGLVCITPAAGVVQGWAAIIMGICSGSIPWFTMMVVHKKSELLQKVDDTMAVLHTHAVAGSLGGILSGLFAVPKLNVLFYGSAGNYIGLFYGLANNRTSHGFRQIGIQLLGILFVIGLNVVTTSLICLFVQLFVPLRMSEEDMEIGDEAAHGEEAYAIWGDDDDMPKSKAAGSVEMT >OMO84884 pep supercontig:CCACVL1_1.0:contig09684:17227:19146:-1 gene:CCACVL1_10596 transcript:OMO84884 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 protein MATPSPREENVYMAKLAEQAERYEEMVKFMENVVSSVPAPDELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEEGRGNTEHVSIIREYRAKIEAELSEICAGILNLLDEKLVPAAGTGDSKVFYLKMKGDYHRYLAEFKTGDDRKAAAENTLTAYKAAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEDSYKDSTLIMQLLRDNLTLWTSDMQDDGTDEIKEAPKREDEKQQ >OMO84886 pep supercontig:CCACVL1_1.0:contig09684:25851:28675:-1 gene:CCACVL1_10598 transcript:OMO84886 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family MLKPLAATLSSSRTGYGVGVNLECLAKHCYLTRSYEREQFPKTFRGSLHFCSNDQNFFQITRLKIRCPNRQQHIFPKCSADISDSLHQEEKDVSKATLIWRAIKLPIYSVALVPLTVGGAVAYLQTGLFLTRRYLMLLASSVLVIAWINLSNDVYDFDTGADKNKKESVVNMVGSRLGPFIAAYLSLAVGFMGLTWVAADAGNMRSLLLLACAITCGYIYQCPPFRLSYQGLGEPLCFAAFGPFATTAFYLLLGSTSEITFLPLTSTVLSASLLVGLTTTLILFCSHFHQVKMGAERGAVVVKGAVLTLYSLLFALGLCRALPLTCLVLCALTLPIGKLVVSYVEKNHKEKGKIFMAKYYCVRLHALFGAAMTAGLVLARMLAK >OMO84888 pep supercontig:CCACVL1_1.0:contig09684:69846:73816:-1 gene:CCACVL1_10600 transcript:OMO84888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDATVGLEGQGEQKFGARKKRAMPSGKL >OMO84881 pep supercontig:CCACVL1_1.0:contig09684:5769:9575:-1 gene:CCACVL1_10593 transcript:OMO84881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDIDNEIAKTQEERRKKEEELASLTSLTFDRDLYGGTDRDAYVTSIPVNDDDDANLDSMDSEVARKLASYTAPKSLLKEMPRGEEDDNSLGFRKPSRIIDREDDYRRRRLNQVISPDRHDAFAAGEKTPDASVRTYADVMREKALQREKEETLRAIAKKKKEEEEAKAEKESSGAAPAAAAAVPKRRNRWDQSQDDGSSAAKKAKTTSDWDLPDATPGIGRWDATPTPGRVSDATPSVGRRNRWDETPTPGRLADSDATPAGGVTPGATPAGVTWDATPKGLVTPTPKRQRSRWDETPATMGSATPLPGATPVVPLTPGVTPFGGTDLQTPTPSNFRGPMTPEQYNLLRWEKDIEERNRPLTDEELDAMFPQEGYKILEPPASYVPIRTPARKLLATPTPMGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGSLLNEENEEELKPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLKSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAIYASYYTKEVMVILIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRSDILPEFFKNFWVRRMALDRRNYRQLVETTVEMANKVGVADIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDSRLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLMNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALEDEQQNVYSRPELLMFI >OMO84883 pep supercontig:CCACVL1_1.0:contig09684:16475:16621:1 gene:CCACVL1_10595 transcript:OMO84883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGHIVSWRPQPQPQALQRHSAGHYKRFCVTSASCVAKVTQTAVSR >OMO84882 pep supercontig:CCACVL1_1.0:contig09684:11936:15619:-1 gene:CCACVL1_10594 transcript:OMO84882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDFDNEIAKTQEERRRKEEELASLTSVTFDGDLYGGTDRDAYVTSIPVNDEDDADLDSKGSGVARKLASYTAPRSLLKEMPHDGDDDNSLGFRKPSRIIDREDGYKRRRFNRVLSNDAYADVMRDRALEREKEETLRAIAKKKEEAKAEKESSGAAAPLVPKRRNRWDLSQDDGPSAAKKAKITSDWDASSTPGRVSDATPSVGRRNRWDETPTPGGLADLDATPAGGVTPRGTLSGVTWDATPKGLVTPTPKRQRSRWDETPATMGSATPVVPLTPGVTPFGGTNLQTPTPSNFRGPMTPEQYNLLKWEKDIDERNRPLTDEELHAMFPQEGYKILDPPASYVPIRTPARKLLATPTPMGTPLYAIPEENRGQQFDVPKEAPGGLPFMKPEDYQYFGLLLNEENEEELKPEEQKERKIMKLLLKVKNGTPPQRKYALRQLTDKAREFGADPLIDKILALLMQPTLEDQERHLMVKVINRVLYKLDELVRPYVQKILVVSEPLLIDEDYYARIEGREIISSLSKAVGLATMIAAMRPDLDNIDEYVRNTTAKAFAVVASTLGIPALLPFLKAVCQSKKSWQARHTGIKIVQHIAISDGCAVLPHLKSLVEIVGHGLSDENQKVRTITALSFAALAEAAAPYGIESFDSVLKPLWKGIKSHSGKVLAAFLKAIGFIIPLMDADYASYYTQEVMKILVREFQSPDEEMKKIVLKVVKQCMSTEGVETDYIRSEILPEFFKNFWVRRMALDRRNYRQLVETTVEMASKVGVVDIVGRIVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYVPQICGAIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRLCFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVGETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEIGKEYIYAVTPLLEDALMDRDLVHRQTAASAIKHMALGVAGVGCEDALVHLLNFVWPNIFENSPHVINAVMEAIEGMRVALGAATVLTYCLQGLFHPARKVREVYWKIYNSLYVGSQDSLVAAYPTLVDEQPNIFTRPELMMFV >OMO84889 pep supercontig:CCACVL1_1.0:contig09684:74177:74281:-1 gene:CCACVL1_10601 transcript:OMO84889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEPEVTVTGNLLLIVLSPATTLELPLSPDEAQKL >OMO60024 pep supercontig:CCACVL1_1.0:contig13812:19802:19951:1 gene:CCACVL1_24456 transcript:OMO60024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLILVSGVAEDSATVKIEGLGCVLCLETEDMEVVVGKAVLQRWGRLEGG >OMO60022 pep supercontig:CCACVL1_1.0:contig13812:2161:2451:-1 gene:CCACVL1_24454 transcript:OMO60022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQEDGGQRQIPPLIQVPIMPIPLAGAPPEHTKATPPSIQDVLRILREIANENADEESDSEFLLPKRKLLNIIDIMQKDLIEELERKRTNPTAKT >OMO60025 pep supercontig:CCACVL1_1.0:contig13812:21371:21580:1 gene:CCACVL1_24457 transcript:OMO60025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLQLCVKSFICYEEMRLSFVRCDQDSLRLEMREAEHEFWMFNRVGKMSVKWHLSMARMRMMPIFPIS >OMO60023 pep supercontig:CCACVL1_1.0:contig13812:3083:3379:1 gene:CCACVL1_24455 transcript:OMO60023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIGKKLAVGSKAPYTSNDFKHLLHVGTLLPQQFCIAQQQHLRHAQHDLRRQKSSLSHRAALAKGALIFIFLQKRDVLKCSAWLEEKVHLYSDKSHV >OMO82584 pep supercontig:CCACVL1_1.0:contig10014:36889:38013:1 gene:CCACVL1_11882 transcript:OMO82584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLGHRIRVLGNGSYGKVHLVKIISGGLLFGHLIAEKTSEETLIVEKEILDQFHGSPHIIQCYGCSSSIDYEAIVFSLFLELASGGSLLELMEDYGGQIPEEHVKCYVEMVLKGLVEIHSRGYVHCDLKPENILVFHRGDCSDLPILKIADFGLAQVRGVKNMRKWDYGFCGTPTYISPESIVGQIWGALDVWSLGCIVIEMITGRPAWGNYQGPEDMRDKLLRGQKPDIPENMSAWGKDFLKRCFGTGPNERWTARMLLEHPFLQPESETILLPHEMSFTNSADNEEKSSTLGTTKFSDSICPESTSGNSVPTRKVQGDREEKATLMMESILEEELSNEYDDELAEDIMFEALLRSRKMKIRPRHRMLLVYC >OMO82585 pep supercontig:CCACVL1_1.0:contig10014:38538:39399:-1 gene:CCACVL1_11883 transcript:OMO82585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PVTGVTQILHFVPFCYLLFEAASCHLRGQWKGGRGMEGRDTQN >OMO82581 pep supercontig:CCACVL1_1.0:contig10014:21042:23204:1 gene:CCACVL1_11878 transcript:OMO82581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease MEAKEEEPDKADGKKGSIAGAVALIIGTSIGSGILALPQKASPAGVLPSSISLIICWAFLLIEALLIIEINVGLRRKKGMMEEGSELEVISVRTMAQETLGNWGGTLATVTYVFLGYTSMIAYSSKSGEILFHLINLPESVSGFLFTGIFTILISVGGTQATDQVNQWLTISMIGLLIAIEVVAVVFGGWSGLEGSGNWSKVPATIPVMIFSLVYHDIAPVLCAYLGSDLARLRASVFIGSLVPLLALLVWDAIAIGLSAQADQIVDPVELLMGVKWGGIPFMVEAFSLLAVGTSMIGTLLGFSEFFKEQLKNLSWNSSLIQVPDRSSGVTNWWERNKTNFTAMAMVITPTLLVSTTVPDAFSAATDIAGGYCMTMLYGVLPPAMAWALHTTDSDHKALKGAKAAVFGVGLFACGIVVEQILQDFLALQF >OMO82580 pep supercontig:CCACVL1_1.0:contig10014:10569:13794:-1 gene:CCACVL1_11877 transcript:OMO82580 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin/Phospholipase A2-related protein MSSNTSSEMQEPSIDTDKLSYEIFSILESKFLFGYDDHQKLWIPKTISPPASEPKVEPVAQMGDENYQSAIKNQRGKICVLSIDSGGMRGILCGKALAYLEHALKSKSGNPNARIADYFDVAAGSGVGGIFTAMLFATKDNNRPSFTAEETWRFLAENGKRIYRSGSAKNGGILKKILKNGSTGSSSSGLEKATKEMFEAEGRSLTLKDTLKPVLIPCYDLSSAAPFLFSRADALETDSFDFPLWEVCRATSAEPVLFEPVMMRSVDGQTRCLAVDGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQLLEVSYEYDQVKNWKVKDWAKPMARISGDGSADSVDQAVAMAFGQSRSSNYVRIQANGSSLGRCGPNVDTDPSPSNVKMLIGIAEEMLKQKNVESVLFGGKRIGEQSNFEKLDWFAGELVLEHQRRSCRIAPTVAFKQATPKAN >OMO82583 pep supercontig:CCACVL1_1.0:contig10014:29728:30812:1 gene:CCACVL1_11881 transcript:OMO82583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MVNFYAPWCGWCQKLAPEYEAAAALLKGDGVAFAKVDADTERELAWKYEISGYPTLLWFAGGVRSKTYYGQRKRDAFVKCVRNSTAEVPIITEKADAKHLLATDFLKVVGFYETLEGEESKELYIASKLRPDVKFYQTTNPEVAKMFHINPETQHMVTVQLRKKDEGFMEFDHSLPGKTLAYFLCSDEDELPCYKGKAPPVFS >OMO82582 pep supercontig:CCACVL1_1.0:contig10014:25257:26393:1 gene:CCACVL1_11879 transcript:OMO82582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQFLGHRIRVLGKGSYGKVHLVKIISGGLLSGHLIAEKTSEEELAETLIVEKEILDQFHGSPYIIQCYGCSSSIDYEAIVFSLFLELASGGSLLELMEDYGGQIPEEHVKCYVEMVLKGLVEIHSKGYVHCDLKPENILVFHRGDCSDLPILKIADFGLAQVRGVKNMRKWDYGFCGTPTYMSPESIVGQIWGALDVWSLGCIVIEMITGRPAWGNYQGPEDMRDKLLRGQKPDMPENMSTWGKDFLKRCFGTGPNERWTARMLLEHPFLQVQPETILLPHEMSFTSTNSADDNEEKKPTLGTTKFSDSTSGNSVPTRKVQGDREEKATLMMESILEEEFSNEYDDELAEDIMFEALLRSRKMKIRPRHRMLLVYC >OMP05859 pep supercontig:CCACVL1_1.0:contig05167:1613:5225:1 gene:CCACVL1_01804 transcript:OMP05859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGINSNSTGSVSKPQTEGSSLSSPDMGVSQHLFPYAREYVKGSFRWPIILGFSNPQVNNGSTFNPISEIATGCHLFGISLGHHSSSSSTPLERTPTQLHTKSSGTTQGNGPNLLFAQLPLEAAMQVIGYPLSGFKAINDAYVWSGTSNGKFTTRSAYMFLLQDVGVNLSGNFNWLWKLSIPARWIYFLWLAWGGRLVTNGLRLRWGLATDASCVLCGAPMEDVIHVLRDCAESRSIWELVLPDVIWLEFSSQVLEDWLQSNLDSSAHDWRCIWGWGLLRDSSGRWLVGFAAHLGVTTNMVAELHALRLGLLLAWDEGYRNVECEIDASVVLKLIDDADVAFHLLASLILDIRELLRREWTCKCLHTLREGNFCADVLSKLGCSLDEDYVVFRQPPTEVQETLQADCLGVAYPRGFKM >OMO81700 pep supercontig:CCACVL1_1.0:contig10121:17499:18627:-1 gene:CCACVL1_12281 transcript:OMO81700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKSLLGALLASSCEPNAPVKEKKKKRHRDKSDSKTKEKRSSKSKDASEPEPKRAKESHSKEGKTSDGTSPVVNTVGDIGVGVVAGEASSSLPDFAKLRQKDLGVWHESLGLDEDGHPQNCISITLPLSKNDSAYDSGANAYLLAKSMILPEDESHLDDLKANLSISAHAFAHASYKYRFVGIALVEAVDKVHNEHSNLDLKNGELSRNLKESQEQLGKALASCTSLQKENNGLKLDMEKMREELERVTREKDEAVTCGKQEVEEAKKQAVAEYKSSPNFSADSASFHTEALKIGFSFCLEKVRSDFPKIKFPDYSCLEVAKEAHSKSSDSGESSGGEEEEEKN >OMO81701 pep supercontig:CCACVL1_1.0:contig10121:19752:28163:1 gene:CCACVL1_12282 transcript:OMO81701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTPTWGLEDHCRCADKFSSNYFACLLFRVFAGLLFRVFGDLCCKRVKAGELAKNGVKCQNKFKALQLGIATWPNRAPIEPDS >OMO59127 pep supercontig:CCACVL1_1.0:contig14054:139272:139792:-1 gene:CCACVL1_25072 transcript:OMO59127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein MENDYGNITLTREYNDEHIEIEVDWDDLTDEDSSFPFVVSITKEDYVIGLKFECTAISDKIEINDFSIEDYEYPDQFNLTDKLKEGFVKYLEMRGIEASTSNSLHKYMMSYKNNRDDVNYVRWLKTLKKLLKYDGN >OMO59128 pep supercontig:CCACVL1_1.0:contig14054:140361:140552:-1 gene:CCACVL1_25073 transcript:OMO59128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASILRKSAAPYAIRLARVQRNYHSCIATAFNHGFQSHSPAINCFSTASYFSKANAAESLL >OMO59129 pep supercontig:CCACVL1_1.0:contig14054:145769:145864:1 gene:CCACVL1_25074 transcript:OMO59129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQLQQLIRFKDNKSSDLIKEAWWRVKYFP >OMO59132 pep supercontig:CCACVL1_1.0:contig14054:163153:163212:1 gene:CCACVL1_25077 transcript:OMO59132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVGGKISPNPSPTRNRT >OMO59119 pep supercontig:CCACVL1_1.0:contig14054:93961:100573:-1 gene:CCACVL1_25063 transcript:OMO59119 gene_biotype:protein_coding transcript_biotype:protein_coding description:Folylpolyglutamate synthetase MSLRLDFLCFFFFFFASFVSLSTSSPYLSANILPQNYQKMLKNFRIYTYPPPETLSFDSKVESLFYSSLLHSSFITHNPEEAHLFFIPYAFNSQVSPRAAAYVVSDYRTQYIYWNRTLGADHFFLSCLGVGHGSDRNVVELKKNSVQISCFPTTAGLFIPHKDVSLPPLANVHAPAHAPGSKTTNHLGYVRYNWVKESTLVEQLLADPEFLVESEPSDQVTYEERLAISKFCLFENGPDISAIGEAMSFGCVPVVITDRPIQDLPLMDLLTWQKLAVFVGTSGGAREIKRVLGRVVVEEFEEMSASAVVASGSTESAAARYESTLEALSSLITKKSRADKSNKGDRFDLLFDYLKGSTCTFTESILRHCGFRTGLFTSPHLIDVRERFRLDGVEINEEKFLEYFWWCYDRLKEKTNDDMPMPTYFRFLALLAFKIFAEEKVDVAILEVGLGGKFDATNVVQTPTVCGVSSLGYDHMEILGNTLGEIAGEKAGIFKHGIPAFTVPQPDEAMRVLEEKASKLNVPLQVAHPLDASLLNGQKLALEGEHQYLNAGLAVALCSTWLQRTGHPITNLNETGRLPEQFIKGLTTASLQGRAQIVHDQFTDIESPGDLVFYLDGAHSPESMEACARWFSLCIKEDNQQHSEERFKKDTAQILLFNCMSVRDPQLLLPRLMRTCTSHGVSFKKALFVPNISVYNKVGSNAVPTIDPQVDLSWQFALQRVWENLVQGDKGGEASNTDQVCEEVKDDTGMSVRSCKNSSVFSSLPLAIKWLRDTAQKDRFVRFQVLVTGSLHLVGDVLRLIKK >OMO59121 pep supercontig:CCACVL1_1.0:contig14054:115584:127721:1 gene:CCACVL1_25066 transcript:OMO59121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl phosphate reductase GPR MEESSYSNLATSHLLGSVPAVVSDEEKKVSYEVPEANMQIFPPNNGSSSGGGGSSRGYQTLGAPTEGFEQQPPNNWKGVFSISSYTQYFNVDTDVVVNRLMSSFYPTAGDFFSKIDANPDLYGLIWITTTLVFMVASFGNCATYLMQKHTDKTTSWSFDVSYLNVAAGVIYGYAIVVPMAFYFSLQYLGSTASLIRFWCMWGYSLTIFIPTALLLLIPVEFLRWIIILMAGTASASFVGLNLRSYIEGNDMTMMVVAAFLLQLALAIFIKVGTAVVTRNDGRLALGRLGALCEQIKELNYQGYEVILVTSGAVGLGRQRLRYRRLVNSSFADLQKPQLELDGKACAAVGQNSLMALYDTLFNELDISSAQLLVTDSDFRDKDFRKQLDETVRSLLSLRVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPSDPKSRLIHTYVKEKHQSEITFGDKSRVGRGGMTAKVKAAVNAAYAGIPVVITSGFAAENIIKVLQGQRIGTLFHQDAHSWEPVKEIGAREMAVAARECSRQLQALSSHERKKILLDIADALEANEKLITIENEADVAAAQQSGYEKSLISRLALKPGKISSLAKSIRVLANMEDPIGRVLKKTQLADGLILEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITDAIPETVGGKVIGLVTSREEIPDLLKLDDVIDLVIPRGSNKLVSQIKSSTKIPVLGHADGICHVYVDKSADMNMAKRIVLDAKVDYPAACNAMETLLVHKDLVQTGLLNELIVDLRVEEHTSMVVFGSWNLVGKCGVTLYGGPRASLLLNIPQARSFHHEYNSLACTIEIVDDVDAHTDCIITEDREVAEVFLHQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILQGSGQVVNGDKDIDYLHKDIPLDS >OMO59130 pep supercontig:CCACVL1_1.0:contig14054:146665:148414:-1 gene:CCACVL1_25075 transcript:OMO59130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein MAFASVLRKSAKYLAPACIATACIATALNYSSHPVVNHPSSTAAKFRKANAADESLLRHLESKIQIFQSEVDAACGFPFKMEDKKCGKITLTREYNGELIKIDVDMKNLKDDQKDSSFPFVVSITKGDGPWLEFLCTAMADKIVIDDLNVNDYGKPEFYLGEKLKEDFLKYLEMRGIEASTSNSLHKYMMSYKNNCVDVNRNRVGYFMTLKKFVEK >OMO59116 pep supercontig:CCACVL1_1.0:contig14054:64295:69177:1 gene:CCACVL1_25060 transcript:OMO59116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLAASGGDTVKIFDIKLEPNDPCVLSYSPSPSCLVNSVKWNHTNLVVASAGEDKKISLWRKNGQSMGTVPVAGTDSGDNIEESILAISFSNKGSRYICSGGSGQVVRIWDLQRKRCIKWLRGHTSTITGVMYNCKDEHLASISLSGDLILHNLASGARAAELKDPNNQVLRVLDYSRISRHVLVTAGDDGSIHLWDTTGRSPKVSWLKQHSAPTAGISFSPSNDKIIASVGLDKKLYTYDSGSRRPSAFISYEAPFSSLAFRDDGWTLAAGTSNGRVVFYDIRGKLQPFTVLRAYNSSEAVSSLCWQRSKPTIVNENTCTAETALLGGAVEDSVLMPDPLPSVTSSSLSLSTSVSGSRIIGRSVSAEISSLTSSSGSMSSTLNLSSREETPHRSHLWPGGTLSRLHAPRSTYNFKDDMEVFSPLVDVQPITPSLDKLWDDNDGLKKPSSLLFPSSRRFAFADDGASDHPIFDWKSGSTSRQDDSRSFTALGSTPTPSSKSEETSITPPEAWGGEKISDKFAHLRQLPSRFGLQASGGLTSGSIYSGQDQSSTLSQTSISSLTSSNLSYENLRTRDNSSNHETSLGFPEHLSSSSMSSLSLGSKSITGAGSLDSPKLASLATPRRFSTYAERISTTSAFSDGTSHLVASPKTKKTGAETREELLNNLLSRSDSLAAVESGNLPAMNGGTLQPHKAPQADSQQGSNFTLQLFQRTLEETLDSFQKSIHGDMRNLHIEILRQFHMQEMEMSRVMSSILENQAQLMEEVKSLRKENQQLRQLL >OMO59133 pep supercontig:CCACVL1_1.0:contig14054:164094:166523:-1 gene:CCACVL1_25078 transcript:OMO59133 gene_biotype:protein_coding transcript_biotype:protein_coding description:squalene monooxygenase-like protein LGLSLFYFSALFFFSFNFTQTNAVNGTEIGERSPEIGPGADVIIVGACVAGAALAHTLGKSKFRFKEMAKKNLDSKR >OMO59118 pep supercontig:CCACVL1_1.0:contig14054:83145:83663:-1 gene:CCACVL1_25062 transcript:OMO59118 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MASSRHFAVFISSLLLLSLAFPSIASQEENNKSEVVIEGMVYCQSCDYNGTQSLSKAVPIASAKVNVTCKNETNQVSFNKVFETDGGGYYFAELKGFQMSHSLLDHPMQSCSVKLVSSPDENCNIPTNVNNGIDGSPLRYEGKRLNGTGYDSVIYAAGPVAFRPAQCLAPSP >OMO59126 pep supercontig:CCACVL1_1.0:contig14054:137388:137618:-1 gene:CCACVL1_25071 transcript:OMO59126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASILRKSANSLAPLAIRPLPIRLTGVQRNYNYGAAAAAYYYLQSQKKSRLCSYTVRETVREGGCEHNLPDLDC >OMO59114 pep supercontig:CCACVL1_1.0:contig14054:48961:52832:-1 gene:CCACVL1_25058 transcript:OMO59114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MAPPPPSNVNHLSHPCIYGDFASNYTERKSRLMKWLGKLFKTSSSSRRGGGGIGGSGGHNPHFLGEENMVVRAPTRLPDGHPRTKKEQEELDHAIALSLSEGLGKPSGYNEWRTDDNYGGALPRAADHNGNMNPSSAYPPYGTMQYYPAGVRVCAGCHYEIGYGNYLGCMGAYFHPNCFRCHSCGYPITEHEFSLSGKDPYHKTCFKELTHPKCEVCLQFIPTNGAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESWNVRYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVERQALNEAIVGEKNGYHHMPETRGLCLSEEQTVTSILKRPRIGGRQLIGMRTQPQKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESEVLPGSSSNRASTSTSAASSSSSSSTSSKKGGKSNVENKLGEFFMHQIAHDASPAYGGGFRAANAAVNKYGLRRTLDHIRLTGNFPL >OMO59117 pep supercontig:CCACVL1_1.0:contig14054:75709:77854:1 gene:CCACVL1_25061 transcript:OMO59117 gene_biotype:protein_coding transcript_biotype:protein_coding description:BUB1-related protein MKESLPPEILKEKLPRFLQKCTQSFESDRHYRNDLRYLRVWLHLMDFVDDPSVLLRRMEMNNIGTKRSLYYQAYALYYEKIKKFDQAEKILAEPMDELQKSYEQFLNRMERHKKKKIQCQERKTARKPLQITQSKENNERICIVEGRELDKPRKVGNDDTVVVKFVDTAIVGKSVAEDACHHGLVEPTINTKEAMNAIYSMFREPLETAPIGRRSRQMQPKEDHSRNLGFKVFDENLDSGMNSSIQPQEMGGLGKPQTCQPQEEPFKIYVDDEGNSEAEEGNDENDNSEQIEVKNSQGNVFVFPSPNDHSPESSDDVDAKSARRMRLREDTVVHRFVGSTILDEPLVENVCHHGLVEPTINLKEAMDDINNMFGKPIDFVRAKRKQQDRAPVSKKQDLAGFSILPDEDLEHQERQPPPKSSGRSSDSDLFEATVFTKEAMDDINKMFGMPLDF >OMO59122 pep supercontig:CCACVL1_1.0:contig14054:129044:130411:-1 gene:CCACVL1_25067 transcript:OMO59122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein MAFASILRKSANTLAPLSIRLTRVQRNYHSCIATALNHVCHSQKPAVNQFYPTTLRFSTAVVDSRKANSDDNLLRVLESEIQCAEDESDEIDQVGAPGGFPFDIEDTPGLQTITLKREYDGELIKVEVHMPDLVTGMDEGEDDNEDNDEDYEKPDKSSLPLVVMITKKDGPSLEFSCTAMPDEISIDSLAIKYQDSEDMLAYEGPDFQDLDENLQKAFHKYLEIRGIKPSTTNFLHEYMLNKDNKEYTMWLKNLKNFVES >OMO59110 pep supercontig:CCACVL1_1.0:contig14054:31299:31460:1 gene:CCACVL1_25054 transcript:OMO59110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTVGSSSFLSILLTQNTPKPRLQSSIPVNPTVSYVEAVAKKHSSSRMNTK >OMO59113 pep supercontig:CCACVL1_1.0:contig14054:41873:47487:1 gene:CCACVL1_25057 transcript:OMO59113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MQTEARVAVVNPGHGGGKGGVETTTLKFKQQQQELLQAQKTQIGTVSQLLAGGFAGALSKTCTAPLARLTILFQVQGMHSDAATLRKASIWREASRIVGEEGFRAFWKGNLVTIAHRLPYSSLNFYAYEHYKKFLYRLPGLESHGGNMSADLCIHFFGGGFAGITAASATYPLDLVRTRLAAQTNILYYRGISHALQTICKEEGALGLYKGLGTTLLGVGPSIAISFSVYESLRSFWQSQRPHDSTVLVSLTCGSLSGIASSTGELILLNQDINVRAIFPLDLIRRRKQLEGAGGRARVYKTGLLGTFKHILKTEGFRGLYRGILPEYYKVVPGVALLLMSRFLTATPSTSSTTTAPALAQRLPAGHRRSDLGLPREWKVLIHNMLMDDKLLTVHCENAMGPVRAVLKVPKLPHRVMVELIRFRETPNKATHYACRFAKDNVHVRFDVYHSRRVPWGTSEPVFWACNDNTAVCIWIVKEDGIYYQKDYDKILGFRWEP >OMO59124 pep supercontig:CCACVL1_1.0:contig14054:134556:134621:-1 gene:CCACVL1_25069 transcript:OMO59124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFEPKFNLKTGPIKPDIRA >OMO59112 pep supercontig:CCACVL1_1.0:contig14054:36641:39525:1 gene:CCACVL1_25056 transcript:OMO59112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNYDEPFHIPQQNRRNRLRVTLGNNNNHEEAQEQLPSQQLSLLSSSSSSSHQPTFMQNPRDIYYQMFNGHGLSLSLSSQHQENSINLPMNLDAEKGNGNSILGSFLKQNGQMTSCVPLGPFTGYASVLKSSKFLKPAQQILDDFCGINNKVFDFPLDSLADPITCSERIQHRLKNSKLFLMLDEVYKKYKLYCQQMQSVVASFKCVSGLGNAAPYVCLAFKAIAKHFSSLKSAILHQIRFTDKTVNNASARKDNVPSLWTSDQIPPIHSLPFLQHPLWRSQRGLPDHAVAVLKAWLFEHFLHPYPSDSEKLMLARQTGLSRTQVSNWFINARVRLWKPMVEEIHMLELRQAQRTFSEATSQEVHDIQTKRARNNNFQTDHEQSQLQKTTSVSYKNLGDNNQHVGSVGGGNSSNFCLALSLNQNNGHIDLAQPFPMNLCHNNFNFGTDGDQLSLKAGFDVERQNHHGKNF >OMO59120 pep supercontig:CCACVL1_1.0:contig14054:114400:114498:1 gene:CCACVL1_25065 transcript:OMO59120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPVTLIVGAARVRLNNVGSPHRNASKNALS >OMO59123 pep supercontig:CCACVL1_1.0:contig14054:132359:133688:-1 gene:CCACVL1_25068 transcript:OMO59123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein MVLASILRKSANSLATLAIRLTGVQRNYRYYSATAAYHFLHSKKPAVQVIQKANSDDNLLRVLDSEIQFCEESDEIDQVGVPFGFPFEIEDTPGLETITLKREYDGELIKVDVHMPDPVVTGMDNEDNDEDYKSSLPLVVMITKKDGPCLEFNCTSMLDEISIDSLALKYDQESEEDMLAYEGPDFQDLDENLQKAFHKYLEIRGIKPRTTNFLHEYMINKDNKEYSRWLKNLKNFVES >OMO59131 pep supercontig:CCACVL1_1.0:contig14054:148861:152435:1 gene:CCACVL1_25076 transcript:OMO59131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein MANKAINLSSVGSVFPSSDDKLKKKSSNSVQKNSFRLPRIPSVKAVQSPAESATGKWVRQRRPQNVDGDFFVDHTCIDCDTCRWMAPQVFTRVDGMSAVYKQPTCKEDRLKALQALLSCPTSSIRTEVPPPDILETQKTFPIPIDEKKLPGVYHCGYHSEKSYGATPYLIVHPEGNILIDSPRFTERLAREIEMLGGVRYMFLTHKDDVADHRKWSKRFGCDRILHSQDVEDCTIDVEVKLEGSGPWSLGEDIMLIHTPGHTEGTVCLLYKSHKILFTGDHLIMREYGLDIMEIYNKSSVPEQVDSVKKLLEFDFTWIIPGHGRRIEFKDAEEKNTILEAFVREKYAQYSSAMNSHLHV >OMO59115 pep supercontig:CCACVL1_1.0:contig14054:59993:61570:1 gene:CCACVL1_25059 transcript:OMO59115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAAGQTVTMDPALLDDIIRRLTEVRSARPGKQVQLSESEIKQLCVASKDIFIQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPNANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKSFTDCFNCLPVAALVDDKILCMHGGLSPDLTNLDQIRSLPRPTAIPDTGLLCDLLWSDPGRDVKGWGMNDRGVSYTFGADTVSEFLTKQDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKAKFMSTKM >OMO59125 pep supercontig:CCACVL1_1.0:contig14054:136467:136960:-1 gene:CCACVL1_25070 transcript:OMO59125 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein MEDYDGNIRDQYNGERIKINIDMKNVKDEDSSIPFAVSITKRDDGHCLESECTSMADKIEIHDLTVKDYEHAEFNLSEKLKEGFLKYLEMRGIKASTSNSLHKNMMSYKSNGDEVFYVRWLKTLKKFVNGK >OMO59111 pep supercontig:CCACVL1_1.0:contig14054:35339:35476:-1 gene:CCACVL1_25055 transcript:OMO59111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPLRHALKMAFSPLDSNGTQANQSESRPNCYDARLIQLECRHS >OMO95363 pep supercontig:CCACVL1_1.0:contig07689:572:2428:1 gene:CCACVL1_05423 transcript:OMO95363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNLLTLLHSSLRLNQFKQTHAQIITTYASLTHTLVKKLVDSSLLGYARQVFDRIPHPDQALYITFISKYTKLSFNKEAVKLFVLMHGSCTHMSTRAFLAVIKSCSGFSVGSVGKQIHCLVIHYGFDLDVYVQSALMDIYAKNGDLFSARKIFYGILVKDPVVYNCLISGYSKAGDVMAAECLFDEMKERTVASWNSIISCYVNNGYHDKALETFERMQAENVPPSQITLVTLLSLSAKLRDLKLGLKVKKLIADSKICANTIVLTAMVKMLVKCGAVDEARKEFDRMSTRDVLAWSAMISGYAQNKRATEALELFECMEREKTRPNDVVLVSVLSACSQLGSEEAGEYIAAYLGTEDMSSNVYVASALLDMYCKFGNIAKARQIFDAMSVRDIVSWNSMIVGLAVNGFAEDAILLYEKMKETEVKPDIITFVGLLTACSRTGLVELGLEFFRSMESQYNIEPEIEHHACIVDLFCRTGRLNDAYEFVCRMKMEPNVVIWSTLLSASRTHLNVELAELCVEKLLKLEPENSGYYVLLSNIYASLGRWQSSFEVWNLMKNKRVQKMAAYSWIEMDNMVHKFLVGDTYHPRSNEVYSIVDGLALQSIYAHSDVDPIADI >OMP05446 pep supercontig:CCACVL1_1.0:contig05386:14901:15836:-1 gene:CCACVL1_01900 transcript:OMP05446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKTDSILCFTRHSNLSFSGLTGESSAGDYQDCGASSMLLMGEPPWCPPCTDNSFPSATRNDAVMRYKEKKKTRKFEKRVRYASRKARADVRKRVKGRFVKAGDAYDYDPLSPTRSY >OMP05445 pep supercontig:CCACVL1_1.0:contig05386:10935:13513:1 gene:CCACVL1_01899 transcript:OMP05445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEGSVPGKRKRNLGKKKGNKKAKNKKLKMLEGKGKKLRVSKKMRNLFEKRAREYNSDDDDEADEEKEESSASEEEEAAQDDSRMAGGGGHSSEEEEEAEDDEIQPGIMKFTEGVRAFRLAFKNIIKKNVADDALGPVLSGHKQLVAKKLAEEEAERKVKGEVKKEKHLVAEKGHVKPANYLDSHDKFLIGIATKGVVKLFNAVNKAQKAQKGLDPSRSKDAKVIRKRRKEAFFSELGKPARDSSSKSNNTSSDHKNDEAPGWAPLRDNYMLTNPKLKNWDKMADSTIADDAGRMSEDSGSDED >OMO52172 pep supercontig:CCACVL1_1.0:contig15576:4061:6215:1 gene:CCACVL1_29327 transcript:OMO52172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQNEAGRKREQLGYSLEESRRKAGPQYSSFPFNYLTFKVSHYCLRLLDIERQRMSELSDEVNYRCGCMLRRTHDIPYACEPKGAMESNTNAGLDRINIFWRTLDIGDQVQQHDFVGTDNVSEHHQYFQSLVDKVRVSDPTVVRNVSPIIHSHLYPNEANYLEPEVNTKVRGRPRGSRSTRRDPSSWEYSERDRGRGRDRSSSGLSSSTGCGRSSSSSVHNASPNGRCGNDISKYCHRRRIP >OMP06251 pep supercontig:CCACVL1_1.0:contig05006:1289:1459:-1 gene:CCACVL1_01654 transcript:OMP06251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKRFTKYYTNQRAGQPKTPLPSLTNDTHAIALNYKKTMERETSHVNTSPETHTSWL >OMO74906 pep supercontig:CCACVL1_1.0:contig11075:5555:8224:-1 gene:CCACVL1_16418 transcript:OMO74906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKLDKKKMSSEVKKNPKQLPLNPNWAQLQQKLKSIGSAKPPRHPRNQQSETPNSILGKRKERPTSESDDAKPNPLIPTNDDCSLTDVLAMDCEMVGVGQGNKSALGRVSLVNKWGNVVYDEFVRPVEWVVDFRTRISGIRPRDLRKAKDFRDVQEKVAELIKGRILVGHALHNDLKALLLTHPKNDIRDTSEYQPFLKEGRRKALKHLAAEILGVEIQSGEHCPIDDARAAMLLYQKNRKGWEKTVKDQKRLKEKQKKRKQRKKPKLDSNHAPTES >OMO74907 pep supercontig:CCACVL1_1.0:contig11075:9937:12852:1 gene:CCACVL1_16419 transcript:OMO74907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase substrate, phosphoprotein PP28 MGRGKFKGKPTGHRHFSTPEELQRLALIRQQRAEAAKKREEEKAAREQKKAEARK >OMO74904 pep supercontig:CCACVL1_1.0:contig11075:69:2034:-1 gene:CCACVL1_16416 transcript:OMO74904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPSNGGPAFRFNPTEVAEMETILQEHQNQMPVREILVSLADKFSESAERKGKIVVQFKQVWNWFQNRRYAIRAKSSKVPLPGKLNVTSLPRDDSNPVRTVSQPVAAPMPPPMSASMPAAMPASTVPGVGRPISEHSYMEFEAKSSRDGA >OMO74908 pep supercontig:CCACVL1_1.0:contig11075:18996:21114:1 gene:CCACVL1_16420 transcript:OMO74908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MDQRGPGYLHPEPCIFVGGIANYPSPDIQMAVTAPGNTPSLDTHPLPDHYDSGMFYGIPQYPGVQHHHHSPNLDLGIGSSSNFYIPYVTTPASSVPVNHGPTDQMPSSSNYNFLGVSADDYGRNCHFMDNVRGSYKRKNSEGFPGNVQHFNASSSSSSSSITQLNTRHPDGVGAVDAASFTIPQYRGNGPPPIREAGSQRSVRNRLGATAVDPVLMHGANHFFQGNYMGQPFQPTIADGGSSAWTQAPGVPYIHGNTIGGPMDTRHRSSTSFSHSSPLDIRNHNFHHPPPPIEGVRGHSINVHPQVAAVPYRFPATYASQTMNPSQDSLEMGRRHLGPIPPTGIRIYRSRREGGVVPEATLRHRNLPHLRVLPPEGVAVLEFPEFYEEVGSFIDHHRDMRLDIEDMSYEELLALGERIGNVNTGLSEEAIARKLKTRTYSTFATNINLEEAAPMDQEPDSCIICQEDYKNQEKIGTLGCGHEYHADCLKKWLFVKNVCPICKSEALASSEPNDV >OMO74909 pep supercontig:CCACVL1_1.0:contig11075:30212:31300:-1 gene:CCACVL1_16421 transcript:OMO74909 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MALDGTHQQVEAILSSNKPDMVFFDFGNWVPTLARQLGIKSVYYAVVSTMAHSIIKKVTKEMAVEDVLELPPGYPCPTVKFKACEADAVYFLAESFGDGLTFGERITTSIEQSDALAFRTYREIEGPFCEYLAQKHHGKSIFLTGPCLPETKTQQLEDKWVDWLSKFEPKSVVFCSFGSQSILQKDEFQELLNGFELSGLPFLVALTPPQGCTTVEEASPEGFEERVRGRGLVYGGWVPQQLLLKYPSIGCFVNHCGYGTMWEFLLCDCQIVLIPENADQMLNTRLMANELKVAVEVERGENKQISKEDLSRAIKLVMDKDSEIARVLKSNRAKLKETLAKGDLQEQYINNFIQGLQNLVIK >OMO74905 pep supercontig:CCACVL1_1.0:contig11075:3267:4623:-1 gene:CCACVL1_16417 transcript:OMO74905 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor 1 MGKRKSTAKPPPKKRMDKLDTVFSCPFCNHGTGVECRLDMKNLIGEASCRICQESFSTTINALTEPIDIYSEWIDECERVNNYEDDG >OMO85296 pep supercontig:CCACVL1_1.0:contig09642:14900:16710:-1 gene:CCACVL1_10290 transcript:OMO85296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MVRTQFSKPIKRLRADSAGEYKSGGLKEFLASQGTLLELSCTDTPQQNGVAERKHRHIMETTRALLLSSLVPKRFWGEAVLTSVYVINRIPSSIIGGISPFERLYNSSPNYSELRIFGSTCFVSLPKVERDQLSQKTAICVFLGYGIGQKGSTLSEQVVPEETCPTADSTASNSAPTAPNSSESIVPKLRRSTRERIPSKRYLDFHCFLSTILSVYEPKSYHEACLDPLWQAAMKEELNALEKTHTWDLVELPVGKSVVDCKWVYKVKTKSDGSVERYKARLVAKGFTQEYGIDYEETFAPVARMTSVRTLIAVASIRGWDMSQMDVKNAFLNGDLHEEVYMKPPPGLSCQTNQVCKLRRALYGLKQAPRAWFEKFSSTMLDSGFVQSAHDSTLFIRQSSRGIVLLLLYVDDMVITGDDDLGIKDIKNHLSRTFEMKDLGPLRYFLGIEVNSSSHGYVLSQVKYASDHISKAGLSDNKIVDTPIEMNVKLKATDGGLLTNATLYRQLVGSLIYLTVTRLDISHAVQVVSQFMTAPRTIHFC >OMO85295 pep supercontig:CCACVL1_1.0:contig09642:10297:14167:1 gene:CCACVL1_10289 transcript:OMO85295 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MCAEPRAKHMKRLPFDRFFDSSTIGCRGGIWLLWKSDKLELDLIGSTEQEIHATVKVISSNLVWFLSAIYASPRAAERRILWNNLCIVADSHTLPWLITGDFNDIINSHDKRGGAPMSLSRTRAFLNCLNYCNVTDLGFKGPRFTWSNNRNLSQLIQERIDMAFANPDWRLMFSDAYVSHLPRTHSDHCPLLISFFKNQVEKGNKPFRFQKMWFNHLDFFPLVHSSWIGVEGDLSNRLNNVKENLKFWNKHSFGNVFRKKKKLLARLAGVEREIDISHSQFLLDLHKTLSQEYKAILKDEEDIWAMKSRVDWLNDGERNTRFFHVSTLVRRNYNRILRIQDANGVWTDNLEIIKGIIQQHFVSLFTTSHSDTSRVYNSGPRKIVALDDSAQTSLITPISDIEIQKTVWSFKPQKAPGPDGLHPCFFQRCWGILKEKFCGDIREIFSKKEMPKGLNDTLISLIPKIPNPEKVGHFRPIGLCNFDYKVVTKLIVRRLRPFLNDMISPYQASLIPGRNGVDNVILTQEIIHSFNKKKGKAGFMAVKLDLEKGYDRLEWGFIRKVLTFFKIPTELSDLIMSCISSSRISVFVNGFAMDDFCPSRGIRQGDPLSPYLFIMCMEFLSLSILETYDNKNWQPVKISRNNPVFSHLIFADDIVLFGRADKKTATAMVNVLSLFCNESGQCINLEKSKVFFSKNTPMSQRTNIVNILGVNETYDLGRYLGYPLHTSRVKKDDYLFIVDKMRGKLAGWKSRLLSTAGRLVLTQSVLESIPAYSMQCRALPASICNEIDQICRNFLWGEEEGKKKLHLLNWKDVTKPKYAGGLGLKEARLFNKAILGKLVWSFKRDPNTLWASSLTAKYGNDLNIKNKSSSLTWRAMVWANELVENGTIKSVYSGKETSFWFEDWTCLGTIRSLVLGPLNFGEEFLTVEQFFSQNHLFSFSLPHDIFSVIIATPLQTFVSKSDTFLWKASNDGQYTVQSAYNLAKGIGQVDQFWKWVWSLKFLPKLKFFLWEVILGIVPTRSLLFHRGFIVNLSCPFCNSEEETLQHLFRDCIVTQTFWQQAGFIFQPTSPFDLWLKDNLLRKDYHQNPSIPFSLLFTHLLWEIWLERNQVVFKNVRVRENLVSRAFNKAVEFFSLTGRIKRVQSISAPVSWKPPDQGWFKVNCDGSSLGNPGKAGAGSLLRDEMGNWIAGTSRHIGRASNFLAELWALKDGLALAKSLNVKKIIVELDATAIISAVTSQYDTNTNVKYMAIIDECMWMLKQFEQVKI >OMO95071 pep supercontig:CCACVL1_1.0:contig07738:63265:68457:1 gene:CCACVL1_05612 transcript:OMO95071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase MASLEIVLSLLFFLAFAPWSIYSQQKLLVGMTLVKNATAHGAVCLDGSLPAYHLHRGFGAGVNNWILQFEGGGWCNDIPSCLERAKTRRGSTRYMSKLEVFSGILSNNASLNPDFYNWNRVKLRYCDGASFSGDSKFDNGTSLLYFRGQRIWEAIIHDLLPKGLASAHKALLSGCSAGGLATFLHCDNFTKMLPSNASVKCLSDAGFFLDIHDISLNYTKRSLYHSLIALQGIEKNLNNNCTRLMNDPKQCLFPQYALRYITTPFFILNSAYDVYQFHHSLVPPSADVHGHWNRCKLDPAACNASQIKVLQGMRQDMITALYPFYKNSRRGGMFINSCFAHCQSESQDTWFAVDSPTIQNKVTT >OMO95064 pep supercontig:CCACVL1_1.0:contig07738:13066:19890:-1 gene:CCACVL1_05605 transcript:OMO95064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MPLNRAFETGSVTLLLNKLVTQDVLDYLMKWNIDKSLLQKLKLEFLHINAVLNHAEEKQFSDPDVKDWLEEVKGLTYDAEDIVDEIATSILESRHSTNKVRNFVYDSVNASESVKEGIDFKMKDIAAAINPFKKRLESRMNGIVERLETVVKQKDILNLKEDFGGVKLSQIIGRTPTTPMFDESCVLGRESDKKEIMKLLESRPGTGDKVHVIPIVGLGGIGKTTLARIVYGKMEKNRFDLKAWACVSDEFDVKRIIKTIVESITRNTCKDKNLELLQEKLASLLHRKKFLLVLDDVWNEVYENWDELKILFTKGAPGSRIIVTTRSEKVASIMGTLPNQYLKELSKKDCLSLFEQIVFPNGDSNAYPKLKDIGEKIVSKCRGLPLAVKALGGLLCSEFDASKWESILLSKIWKLPDNTILPALRLSYHHLPVHLKRCFAYCSMFPKDFEFDKDRLILLWMAEGFLQQPEEWEDMEDVGHNYFSAMVSRSFFQQVDGDNSRFVMHDLIHDLAQSVSGKTCFRFEENMDLGQGEDFIAKARHLSYTRGFNETVHKFEPLSKVGCLRTFLPIDPSLGFHWTSLSNKVLNGILPKLRLLRVLSFSGYSSITELPDVLGDLKHLRFLDLSHSQIKELPESTNSLYHLQTLILFNCCSLRTLTMDMGSLTNLRHLNIHGTDLQKMLLQMGKLTNLQMLSNFVVGTSNGSGIREFEDLSNLRGTLIISGLHNVTNIRDADQAKLKTKKNLDELVLEWSNNINNSRNETVETDVLDVLEPHQNLRSLTIKYYGGVEFPSWMEDPLFTNMVCLKLHGCKRCTLLPSLGQLPSLKDLSIGGMDSIKHLGTEFFGEDNPLIKPFPLLEILKFEDMKQWEEWSFENGVNGFPCLRQLSILLCPMLKKFSHRFPSLEKLKVQQCAALESFTSISQHDNLESKEFPCLRSLIITGCSKLNQLPIALPSLEALEIENCSELVAFPSLTNLQRLELWDSNAKLLWSMVDFKSLTSLHIRGIKNLKCLPEGIIKQLGNLMDLKIVVCGDLEGLSDKQLGLEHLASLQHLTISDCPKLVSLPDEEIKLPPNLKLLDLSHCDILETLPYELPKVKYLQELTIDWCPKLESFPEHGLPSMLQRLVIRNCGALKTLPSTLLQNNTALEYLEILNCASLTSLLEQYDLPTTLKHVKIYHCKSLASLPCGIMSRDKLNLEHLEIDSCSSLVSFPAGELPTTLKSLEITECSKLQSLPASFLNLVNLEHLNISGCTTLECFPKGGLPTNLRLLKISVCEKLKSLPERIDSHKFLRELEIAYCSSLECLPRQGLPKSLLFLTITDCEKLNRVQEWKLHKLTSLETLKIGGIPDLVSFPDEYPLPYSITKFSVTDMPDLESLSEGLKSLKSLESLLIKGCRKLHSLADKGILPSTLAKSTLHLVLRLRGGIIEPSLMALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >OMO95069 pep supercontig:CCACVL1_1.0:contig07738:45502:46353:-1 gene:CCACVL1_05610 transcript:OMO95069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRGGCCIARYAASGVYDMSKVDRIMLRFRPIAPKPVTGESVSPRENSDVYCKSGRGKRRQSKESNNINGTKRCNRKRRALSDERKVTLPLLPEAPDCKDSIFKEEKKEVVENIVPVWLNFGQAHGQKNGFHGGGVAADRAVVMAAAPQTVRVVWSCVTVECVTETWVSGDGLGSTDEERKVNLGKDTCPGFISDGLGRVTWTNGAYKEMVGGVGGETMVWLVMKERLPMITYPALTCRVRVQQYTCGKESSSLTLPCDVWRMDGGGFAWRLDINAALSLGR >OMO95065 pep supercontig:CCACVL1_1.0:contig07738:20574:22474:1 gene:CCACVL1_05606 transcript:OMO95065 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconolactonase, DevB-type MASSSLHFSTPLRSLYSSPSLRRLPRIPFQASLFSPQPTTRKTLALSTGISGVSGSDSGRFCWRAKASMAGTTTEKGKGKVEVFNSEEDLSVSLAKYTADLANKFSKEKGSFTVVLSGGSLIKSLRKLVEPPYVDSVDWSTWHVFWVDERVVPKDHDDSNYKLAFDGFLSKVPILPGNVYSINDALPAEGAADDYETCLKHLVKSGVISVSTATELPKFDLMLLGMGPDGHVASLFPGHPLVKETERWVTFIKDSPKPPPERITFTFPVINASAHIALVICGAGKAGPVHAALGDGQNSDPLPVQMVSPEGELVWFLDKDAASKL >OMO95068 pep supercontig:CCACVL1_1.0:contig07738:34976:38212:-1 gene:CCACVL1_05609 transcript:OMO95068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MATMEEMREIERVEKEEDLEEEMNNNETEDLKRITPWMKQITFRGLVASFFIGVIYSVIVMKLNLTTGLVPNLNVSAALLAFVLVRSWTKLLQKAGFVATPFTRQENTVIQTCAVACYSIAVGGGFGSYLLGLNRKTYEQAGVDSVGNNPGSIKEPGIGWMTGFLFVSSFVGLLALVPLRKIMIIDYKLTYPSGTATAVLINGFHTPKGDKIAKKQVHGFLKFFSLSFIWAFFQWFYAGGNTCGFVQFPTFGLQAWKNSFYFDFSMTYVGAGMICSHLVNLSLLFGAILSWGVMWPLIGGLKGDWFPATLPESSMKSLNGYKVFISIALILGDGLYNFLKILFFTARSIHIRVKNNTLKTDSDSQKHHVDELHRNELFVRESIPMWVACVGYTVFSIISIIVIPQMFPQLKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFVLAALSGKENGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLVSQAIGTAIGCVVAPLTFFLFYKAFDVGNPDGEYKAPYALIYRNMAILGVQGFSALPQHCLQLCYGFFAFAIVANLFRDLTPKNIGKWVPLPMAMAVPFLVGAYFAIDMCVGSLVVFVWHKLNGKKAGLMIPAVASGLICGDGLWLLPSSILALFKVAECQ >OMO95073 pep supercontig:CCACVL1_1.0:contig07738:86377:89253:-1 gene:CCACVL1_05614 transcript:OMO95073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MSSGVMGKSWGKPNVFKHDREPMFGNGLVMVEGDDWVRHRHVITPAFSPSNLKAMASLMVEPATKMLDRWTTLINSGKPEIDVEREITTTAGEIIARTSFGLSYQNGSKVFEKLRAMQITLFNSNRYVGVPFSKLMCPKKNLEAKKLGKEIDQLLLSIIDARKKSWDYESPQKDLLGLLMEGKQVDGRAGKSLTARELVDECKTFFFGGHETTALALTWTLLLLATHPNWQTQLRDEIREVIGDGEIDFAKLSGLKKDPQIKMDL >OMO95072 pep supercontig:CCACVL1_1.0:contig07738:71261:85201:1 gene:CCACVL1_05613 transcript:OMO95072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSSIETSSISCGGGGGGGGEENGRLRNFKLNESTFLASLMPKKEIAADRFVEANPQYDGRGALIAIFDSGVDPAAAGLQVTSDGKPKILDVLDCTGSGDVDTSKVVKADGEGRIRGASGATLVVNSSWKNPSGEWHVGCKLLYELFTSTLTSRLKKERKKKWDELNQEEIAKAVKHLDEFDQKHTKVEDPKLKRTREDIQNKIDILRKQADSYDDKGPIIDAVVWHDGEVWRVALDTQSLGDDPNCGKLADFLPLTNYRIERKYGMFSKLDACSFVVNVYDEGNILSIVTDCSAHGTHVAGIATAFHPEEPLLNGVAPGAQLISCKIGDSRLGSMETGTGLVRALIAAVEHKCDLINMSYGEATLLPDYGRFVDLVNEVVNKHRLIFVSSAGNSGPALNTVGAPGGTSSSIIGVGAYVSPAMAAGAHSVVEPPAEGLEYTWSSRGPTADGDLGVCISAPGGAVAPVPTWTLQGRQLMNGTSMASPSACGGIALLISAMKAEGIPVSPYSVRKALENTSLPVGSLPEDKLTTGQGLMQVDKAYEYIQKSRDFSCVWYQIKINQSGKSTPVSRGIYLRDATACQQSTEWAVQVEPKFHEDASKLEELVPFEECIELHSSDNAVVRAPEYLLLTNNGRTFNIVVDPTSLSDGLHYYEVYGIDCKAPWRGPLFRIPITITKPKAVVNQPPQISFSRMSFVPGHIERRYIEVPLGATWVEATMRTSGFDTTRRFYVDTVQICPLQRPIKWESVVTLSSPTAKSFSFPVVGGQTMELVVSQFWSSGMGSHETTIVDFEIVFHGIGVNKTEVLLDGSEAPTRIEAEALLASETLAPTAVLNKIRVPYRPTEAKLCTLPTNRDKLPSGKQIMALTLTYKFKLEDGAEVQPYIPLLNNRIYDTKFESQFYMISDTNKRVYAMGDCYPDASKLPKGEYTLQLYLRHDNVQCLEKMKQLVLFIERNLEEKDAVRLNFFSEPDGPVMGNGAFKSSVLVPGKKEAFYISPPNKDKLPKNSLQGSVLLGAISHGKLSYASQEEGKNPKKNPVSYQISFVIPPNKTDDDKGKGSSSTSTKPIAERLAEEVRDAKIKVFGSLKQDTDEDRAEWKNLAHSLKSEYPKYTPLLVKILESLLSRGNIGDKIHHYEEVIEAANEVIDSIDREELAKFFSLKSDPEDEEAEKNKKKMETTRDQLAEALYQKGLALAEIESVKNEKAATEGTKDGDQTGDQSSVGSDKRSDLFEENFKELQKWVDLKSSKYGTLSVLRERRCGRLGTALKVLNDMIQDDGEPPKKKLYEMKLSLLDDIGWSHLSTYERQWMHVHFPTSLPLF >OMO95063 pep supercontig:CCACVL1_1.0:contig07738:10205:12389:1 gene:CCACVL1_05604 transcript:OMO95063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MAMFLSNSTPAACSITCLSSSNQFSLPSSPFKSLKFPLLWPWQKVKMGPLTVSPMGFGTWAWGNQLLWGYQQSMDSELQQVFNLAVENGINLFDTADSYGTGRLNGQSERLLGKFIKEFPGWASRDRMQIEQIGIGQLHWSTANYAPPQELALWNGLVAMYEKGLVKAVGVSNYGPKQLIKIYDYLKARGVPLCSAQVQFSLLSMGEDQMEIKNICDSLGIRLIAYSPLGLGMLTGKYSPSTLPSGPRGLLFKQILPGLEPLLVSLRQIAEKRGKTIPQVAINWCICKGTIPIPGVKTVKQAEENLGALGWRLSSNELLQLDSAAQESPRRMIQNVFQTK >OMO95067 pep supercontig:CCACVL1_1.0:contig07738:32263:33645:-1 gene:CCACVL1_05608 transcript:OMO95067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPKVHTFEEVSKHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSATGKDATNDFEDVGHSDSARDMMEKYYIGEIDSNTVPEKRTYIPPQQAAYNPDKTPEFVIKILQFLVPLLILGLAFAVRHYTKKE >OMO95061 pep supercontig:CCACVL1_1.0:contig07738:4928:6083:1 gene:CCACVL1_05602 transcript:OMO95061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAKMTLEQPMNRPRSNYVQSLKKYKNKGKWGQSVDEYRTM >OMO95062 pep supercontig:CCACVL1_1.0:contig07738:7946:9629:1 gene:CCACVL1_05603 transcript:OMO95062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGCVPGTEEAIIIAEELEATQNQRKKKNYKMKNKRRFSDEQIRLLESIFESETKLEPRKKLQLARELGLQPRQVAIWFQNRRARWKSKQIEQDYRTLRDNYDNLASKFESLKNEKQALMLQLQNLSELLAEPHEINDKGGKGVDGSSNGGGSEAEAEAEPPPKPSFQQEGLMEDRGFLGLQENDRKKIEHAGKQPAEEDYMGNESPASPEKLYGFDSAAFRQGRSYLFGYIIEYFVDKHSWEINGLASSEE >OMO95070 pep supercontig:CCACVL1_1.0:contig07738:46789:48883:-1 gene:CCACVL1_05611 transcript:OMO95070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVIFLFFNHGDKRKYRFASSPPPRLIVRSKFYDVTHPNQNPRPGSSSDRPRGNHLPQLFMETRYPNLLYP >OMO95066 pep supercontig:CCACVL1_1.0:contig07738:27708:31319:1 gene:CCACVL1_05607 transcript:OMO95066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPGNNSPTGIHLFGGGGGGGFWSAVATPPSKTAVAVTALAGLVIFTAIFFNSTSVRLKSPWSRRRKKHALSPQQWRSLFTSDGRLRDGGVKFLKKVRSRGVDPSIRAEVWPFLLGVYDLNSTKEERDAVKTQKRKEYEKLRRECRRLLKRSTGIFKSSESGGTCESGDSGSLFQETDTYSSEDVVSARESLSSEERSPDAEFSDEPSSTLLEGDDSSRRITNAVVSALNSESSDSDSSEDPEVIQGSPSSEGREENDPDVSSKRNVSPSSSEGVSKLRATEDFSTWQRIIRLDAVRANAEWLPFTTSQAAVSEGRARRSAEAVGLKDYDHLEPCRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIVSVIPEDYEAFWCFVGFMKKARHNFRLDEVGIRRQLNIVSKIIKCKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELTFEQTICLWEVMWADQAAIRAGIGKSAWSRIRQRAPPTDDLLLYAIAASVLQKRKLIIEKYSSMDEILRECNSMAGQLDVWKLLDDAHDLVVTLHDKIESTY >OMO53106 pep supercontig:CCACVL1_1.0:contig15326:3027:4712:-1 gene:CCACVL1_28874 transcript:OMO53106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease METSIEPPNNKHTIPSRLNSYVARSRVGKRFKLTERKTTFTTELRAGTATFLTMAYILAVNASILTDSGGPCTIADCNNPSESCKFPPLDPGYAACLERTRKDLIVATVASSLIGCLIMGIFANLPLALAPGMGTNAYFAYTVVGFHGTGNVPYKSALTAIFIEGLLFLLISAVGLRAKLAKLVPKPVRISSSAGIGLFLAFIGLQNNQGIGLVGYSSSTLVTLAACPRSARKTLAPVTAVNGTISLIPGGTVSGEIFCMNHRMESPTFWLGIVGFVIIAYCLVKNIKGAMIYGIVFVTVISWFRNTSVTAFPNDGPGNSAYEYFKKVVDVHVIKSTAGALDFKGMNKGSFWEALVTFLYVDILDTTGTLYSMARFAGFTDETGDFEGQYFAFMSDAASIVIGSLLGTSPVTAFIESSTGIREGGRTGLTAVTVAGYFFLAFFFTPLLASIPAWAVGPPLILVGVLMMKSVVEVEWDDMRQAIPAFVTMILMPLTYSIAYGLIGGIGTFIVLHLWDWAEDLLARFGIIKRVKDNDLLNDRSNGNSNGASERNEIDDKRPEL >OMO53107 pep supercontig:CCACVL1_1.0:contig15326:13341:17299:1 gene:CCACVL1_28875 transcript:OMO53107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPDFRFEITSPRLACVFVLLVTLFWYEILMPQLSAWRVRRNARLRERKRFEAIEMQKLRKTATRRCRNCLTPYRDQNPGGGRFMCSYCGHISKRPVLDLPVPPGLGMSNSGIIKDLVGKGGKILNGKGWSENGWMCGQEWLENGNWVSGSVAGKSSYWWKNGSGVFGGDEDCLAEKSYSGIVIFVCKLLTSFFLSIRWLWRKIFRVSSSGDDASSDADHRGMLAKRGENGTNLNESRGEKARRKAEEKRQARLEKELLEEEERKQREEVARLVEERRRQRDEKLEAEKDRSKLSAAAREKEIKREAEKKRQERRKEKDKASSKSNSDAEELEKRAGKETERKRDIDKKSENDRREHPKSGTDNVKGNTVETGYGMKNTLTSNFSRGNAGARYFDRMKGTFLSSSKAFSGSSFFGRSANTPATVTKENKTNNSVDHVHTSAYRRDFCPPERVPGKLGMSGDDKNINTNHPVLSEPQLRPAPKKSWQQLFTRSSSVTPASNQNVISRPNSKIQAEAQSPPLPGHSSTIQTFDNPINFGLPSPFISTYPNGAPSSSLGFSPAIEPIFPRAGEGLHDFITEEELFEDPCYVPDPVSLLGPVSESLDNFQLDLGAGFGMDMGMERPRTLKNISASEISKPSPIESPLSRLRSADERHNNSTRFPTTPKAQDLRTFPMDDTNANDKGTWQMWNSSPLGQDGLGLVGGPGSWLLPMEHRSNKEEFVHPSQKTMASLFSKEDPILAGTQSPQKVFLGNGQNGGAFSPVTGPSDQDPWIQNAFFPPLSGNDNHFPIKPQEEMSEMSYGSPSGSACTHPFEPSPANCWPKNEWTMQGSGEGVGKSSVARPHVGGLFSTPDVQSLW >OMO53108 pep supercontig:CCACVL1_1.0:contig15326:18923:20233:-1 gene:CCACVL1_28876 transcript:OMO53108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKGSVLMKRYELGRLLGQGTFAKVHYARNLKTGASVAIKIVDKEKILKVGMIDQIKQEISVMRLVRHSNVVELYEVMASKTKIYFVMEYAKGGELFNKLLKGKLTEDAARKYFQQLISAVDFCHSRGVCHRDLKPENLLLDEHGKLKVTDFGLSALAQSKHQDGLLHTTCGTPAYVAPEVINRRGYDGYKADIWSCGVVLYVLLAGYLPFHDSNLMEMYRKIGKGEFKFPNWFTPEVRRLLSKILDPNPSSRISMDKIMENSWFRKGLSPNLELLDAEVKEVAPLNVDAVFDLNTVVEEKQESTKPSNLNAFDIISLSAGFDLSGLFEEKEEKKEVRFTSNKAASTIISKLEDIAKRLKLKIKKKDGGLLKIEGSKEGRKGVLGIDAEIFEITPFFHMVEVKKSSGDTLEYQKVMKQDIRPALSEIVWTWQGEQ >OMO53109 pep supercontig:CCACVL1_1.0:contig15326:26468:29261:-1 gene:CCACVL1_28877 transcript:OMO53109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Saposin-like type B, 1 MDTRVGLFFLFVLGASWACAARELITIQVVIPDTSDMQINQGQDKLVEKVGRNDNVCTLCEEFATEAIAYLSQNKTQTEIVDILHKSCSQLRTFKQQCITLVDYYVPLLILELSSIQPQDFCQRVNLCERIALISSQIREDSCGLCQNAVSQVLEKLKDPDTQLEILELLLKGCNSIQNYVKKCKRLVFKYGPVILVNAEQFLETTDICTALHACKTPKQASLADS >OMO83290 pep supercontig:CCACVL1_1.0:contig09910:5703:6522:-1 gene:CCACVL1_11453 transcript:OMO83290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKGVALAAADIRRNLGVIKERVLEKLTAAAVPADALENARHFLESVVRDVTVATHGLTKNALLRIKTHLVDILPSLSPAVTRKMVDDAEKEANEEQESEAEEQRQDNSNDQLSAKSAFASPASSLFALIKPLSRSRL >OMO83291 pep supercontig:CCACVL1_1.0:contig09910:8513:10704:1 gene:CCACVL1_11454 transcript:OMO83291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L20 MMNKKEIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGARQHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDISRNAFPGNKNIVLPKRKADASINV >OMO83292 pep supercontig:CCACVL1_1.0:contig09910:13906:18441:1 gene:CCACVL1_11455 transcript:OMO83292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MGSVKGDFMVSVSKKEVVAAALPSQDHWLPLTNLDLLLPPLDVGLFFCYKKPNYSNSLVNNFGSAVGHLKKALAEALVSFYAFGGKVLPNDLGEPELLCNNRGVDFVEAYADVELQYLDFHNPDESIEGKLVPKKKHGVIAVQATELKCGGIVVACSFDHRVADAYSTNMFLVSWAELAQSKPISIVPSFRRSLLNPRRPWHIDPSIDDMYVQISSLPPPPPPEELPDDHLISRIYYVPADQLNELQSLSSSPGDKRTKLESFSAFLWKMVATIAAVEDKNKISKLGIVVDGRSRLGEGDEDKATLLASHFGNVLSIPYGCETIGQLVEKPLPWVASQVHDFLKPATTKEHFLSLIDWVEAHRPDPAMTRVYCAGKDDGPALVVSSGLRFPVPKVDFGWGSPTFGSYHFPWGGLCGYVMPMPSPVSDGDWVVYMHLLKGHMELIEKEAANVFRPLTFDYLNLVSS >OMO83289 pep supercontig:CCACVL1_1.0:contig09910:446:1624:1 gene:CCACVL1_11452 transcript:OMO83289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFHRTRSLAFYNKPDLEPAHKRPIPQGPYDTWDAPGLVDDFRLNLLDWGSSDMKAIALGNRVYLVGHSGISNLVTGLDEDGIVTSVSWAPDGRRIAIGLDNSQVQLWDAASSQKVSTLRGCHAHGSRVGSMAWNNNHILTTGGMDGLIVNNDERMRSHIVDSYEGHTLEVCGLKWSASGQQLASGGSDNLVHIWDRSMASSNLPTPWLHRLDHHTSAVKALAWCPFQRNLLASGGGEGDGSIKFWSTKTGACLNSVNTGSEVSALLWSKTERELLSSHGHGYDQNQLILWRYPSLVKMAKLAHTSRVLCMAQSPDGCTVASAGGDERLRLWNVFGVPEIPKPTPKLKPEPFLPAIHMR >OMO55039 pep supercontig:CCACVL1_1.0:contig14804:13:4367:1 gene:CCACVL1_27428 transcript:OMO55039 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC/ECF transporter, transmembrane component MQLSAFSDADWAGCPTTRRSTTGFCTYLGKNCISWVAKKQPTVSRSSTEAEYRAFASAAAELCWLIFVLRDIGLHLTEPPILYSDNLSALHMTLNPVFHARTKHIEIDYYFVREQVAAGTLVTMFVPSINQVADIFTKALPRHLYDEFRAKLGLWLAPRPSLRGCEDNSNQSMAIGIGCVTSTRSHSSSFRIGSVHCSFVSIMPPERRVEGKVSNLLTARSEWLGTDGAPQLLQPRTPSSSISGLPDLPTSLSGYSYLIMKLGPLQLTRKGLSVASTASCLTFIIFQSASLCLATTTPEQLAFALRWFMLPLRRIGVPVAEIVLTLMLSLRFINLVFDEVRNVALGIVSRRINWQQLTTMETVDVFASYIRRIFKNIFSHAQQVSQAMIVRGFRGDSNAHKLYFLSSSSSGMANFISLLCLVGITSAALLSDYFLV >OMO55040 pep supercontig:CCACVL1_1.0:contig14804:5956:7179:-1 gene:CCACVL1_27429 transcript:OMO55040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKWNRLESPPHPHETHAPSPQSSKRSKKAEPSPLFVKPNFPQEIILEIFSNLPVGSLLRFRCLSKSCKSFIADPFFIKKHLVKTQNDDPKFTKERVLLYACDSRNSNSYRSCSLNAVCKDPFIDSIEVAYPSKEFHSFDRILGSCNGLICIHSRDTHFLFNPTLGIDKRLPDLGFKRRGLFRTVYGLGYDASLDDYKVVRVFTPQINGFEYASKSIVRVYSWRTNSWRRIQDLALGAPFDETGKYVDGSLNWSAFRRQETGFSWIIVSLDLAKETFKEVLQPCYGNGVSDKSLGLLNGCLCVFCNYGELYIDVWVMREYGKIESWTKLFTIPYLSGPGFELFSTSKPLYVSGSDEILLHIGVKLILYNPKENTFRVPVIDEDHIFYMFQSAIYVESLVSPFVINHH >OMO55041 pep supercontig:CCACVL1_1.0:contig14804:8353:8835:-1 gene:CCACVL1_27430 transcript:OMO55041 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRE-ALP-1 protein MDCDADKMDYDSDEIDTAYRLDHKKLLDRLNRLDHQELLNPYIDHEADKIGNVHQELDYDGDAIGNLDHQELLDCDGDAEDAIGNLDHQELLDCDGDVDEIGSLDQQELLDCDVDKIGVAYMSPLDREIGAAYMGRFYVIWIIWILGIVLIFGLFGSFVL >OMO75836 pep supercontig:CCACVL1_1.0:contig10961:20292:22354:1 gene:CCACVL1_16017 transcript:OMO75836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATNVSDFNVATGYWEVGKKIKLQIFQYVSKSEAQLVPFFVLDSSDGS >OMP11543 pep supercontig:CCACVL1_1.0:contig01179:6764:7372:1 gene:CCACVL1_00454 transcript:OMP11543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVLMDSPLEALAFNYVSFGIFTIINNLWTWVAVITAAVSFWRIRASGAATLSCSVKRPDHKTSTCIINRAQDESHEAEEKPTTSASVSAPSAVTEMMRVPSTPLLCNDAVVTTKGTKFRLSVYYEHDGKSTVTGMDGKSTVTEWYDGDGDCKEWWERVLSLRNGERGWYSFQDLTVINGNVVRLWDDFRRVKYSSSCAVW >OMO66574 pep supercontig:CCACVL1_1.0:contig12537:11757:15046:-1 gene:CCACVL1_21068 transcript:OMO66574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiolase-like protein MEALQAQSLRPSPLNPLQKPKSNTHFPNVSSLRPGTSKSKRFSTITASSPTVSAPKRQKDPKKRVVITGMGLASVFGTDVHVYYEKLLAGESGVGPIDRFDASLFPTRFAGQIRGFTTQGYVDAKADRRLDDYLRYSMVAGKKALEDADLGVDKLTKIDKERVGVLVGSGMGGLTLLSDSIQNLIQKGVKKISPFLVPYTITNMASALLAIELGLRGPNYSISTACASSNHCFNAAANHIRQGDAELMIAGGTEAVIAPMGLGGFVACRALSQRNDDPQTASRPWDKDRDGFVMGEGAGVLVMESLEHAEKRGAPIIAEYLGGGVNCDAYHMTDPRADGLGVSSCIQRSLQDAGVSPEEVNYINAHATSTLAGDMAEINAIKRIFKNTSDIKINATKSMIGHCLGAAGGLEAIATLKAITTGWLHPTINQFNPEPWIEFDTVANTKQQHEVNVAISNSFGFGGHNSVVAFSAFKP >OMO66579 pep supercontig:CCACVL1_1.0:contig12537:49267:51036:1 gene:CCACVL1_21073 transcript:OMO66579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRVRSSDRMKRSAQESFVSEREQRSKSKSNSRTKSKQKKKITRIDAKMPKKPPTAFFFFLQDYRKEFQEQNPDIKSMRDIGKACGEKWKIMTYEEKVKYYDIATERRAEFDKAMAEYIRRKESGEDLETEDEDDSEFDE >OMO66582 pep supercontig:CCACVL1_1.0:contig12537:65180:66212:-1 gene:CCACVL1_21076 transcript:OMO66582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDKEPKVSIPGHVEPQTQSNVQKLSQELNELTKQVQAEKKKGEMLAKAIKAASGKQYKPEKPIKELTLDELFEKKKSLEELREKLQGRVNEIEASSSLLLLSNQGA >OMO66578 pep supercontig:CCACVL1_1.0:contig12537:42142:44549:-1 gene:CCACVL1_21072 transcript:OMO66578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHILYDSKEPKATITDSDGKEEQNPLLPIWLQEDRLVKSLIIATLSPEVRALTIGLTSVREVWKTLEECFANSSKQRARDLLRKLQEVHRDDHPTLHAYLEEVTMISNELAAINQPIDDGDKEELVQSNLWLNLSDLEDQNSSNDAQPATLTHEDCQELLSVPEPSVHVEEQTLSQASIPMETANLPDTSTNVQEHTQQQRLLHLILGVTYA >OMO66583 pep supercontig:CCACVL1_1.0:contig12537:70292:70639:-1 gene:CCACVL1_21077 transcript:OMO66583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFAWDLDFKKIGSEPTLRRSRREVKKTPLWGLWKDEEDQTPMEDSKKGIDSDNLYLLADICVGFHKRTPTRPRSRLETKKNPLWGSKRKSGKILMFSSVAGQWHLQICKVNLS >OMO66577 pep supercontig:CCACVL1_1.0:contig12537:36370:37197:-1 gene:CCACVL1_21071 transcript:OMO66577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHPASKGSARGVRMTPFGCA >OMO66576 pep supercontig:CCACVL1_1.0:contig12537:34491:34682:1 gene:CCACVL1_21070 transcript:OMO66576 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone acetyltransferase HAC1-like protein MVPNQGGLPQHNGNPLQPAQMQNSGVAGGMGGAGIVGGAGPTHNPLNIDPDLIRIRELMRGKM >OMO66573 pep supercontig:CCACVL1_1.0:contig12537:6808:11164:-1 gene:CCACVL1_21067 transcript:OMO66573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance, DNA-dependent ATPase MEMGAQEYADRKKDISQFLSQDIYQDEIKAMINHKRRRLIVNISDLHSFNNLAPRILKNPSEYMQPFCDAVTEAAKTIDPKYLKEGEIIHVGFEGPFVSRRVTPRDLLSEFIGSMVCVEGIVTKCSLVRPKVVKSVHFCPTTENFTVREYRDITSYNGLPTGSVYPTKDEHGNLLVTEFGLCQYKDHQTISIQEVPENAAPGQLPRTVDVIVEDDLVDACKPGDRVAIVGLYKALPGKSKGSMNGVFRTVLVANNVSLLNKEANAPIYSPEDLKNIKKIAERDDTFDLLGNSLAPSIYGHSWIKKAVILLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLGDRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSITPTKNIGLPDSLLSRFDLLFIVLDQMDVDIDRQVSEHVLRMHRFRSAFDGGEAADGSSRYGREDEAEADSSVFVKYNRMLHGRKTDRGRKRDTLTIKFLKKYIHYAKHRIQPELTDEASEQIATAYAELRNSSSNAKTGGTLPITARTLETIIRLSTAHAKMKLSRKVTKTDVDAALKILNFAIYHKELTEMEDREQERQTEEARKRRADRQRQTNTTTDAMEVDDPPTEQQPTPTDSLERIEAFKTKFGQHMRANHKDSITIAEVEDVVNTGADAIYSRPEIMSLLEKLQDDNIVMIAGETVHMIV >OMO66575 pep supercontig:CCACVL1_1.0:contig12537:16185:19770:-1 gene:CCACVL1_21069 transcript:OMO66575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALQSPSLRASPLNPLQKPKPNPHFPNVSRLRPRPTRRFSSITASSPTVSAPKREKDPKKRVVITGMGLVSVFGNDVDVYYDKLLSGESGIGPIDRFDASKFPTRFAGQIRGFTSQGYIDGKNDRRLDDCLRYSIVAGKKALEDADLGGDKLSKIDKERTGVLVGTGMGGLTVFSDGVQNLIEKGHRKITPFFIPYAITNMASALLAIELGFMGPNYSISTACATSNYCFYAAANHIRRGEADLMIAGGTEAAIIPIGLGGFVACRALSQRNDDPQTASRPWDKDRDGFVMGEGAGVLVMESLEHAMKRGAPIIAEYLGGAVNCDAYHMTDPRADGLGVSSCIERSLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKNTSEIKINATKSMIGHCLGAAGGLEAIATVKAITTGWLHPSINQFNPEPSVEFDTVANEKKQHEINVG >OMO66580 pep supercontig:CCACVL1_1.0:contig12537:51547:53357:-1 gene:CCACVL1_21074 transcript:OMO66580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSICLPVSSPSNTALKQSLSPFLGNFLPSKPYAPLKRRACLTTTKALLSTTKEAVLKDFHERRALKIISGLQNFDRDNVASVVTAADKGGATHVDIACDPELVKLAISLTSLPVCVSSVDPAAFSAAVEAGALMVEIGNYDSFYDSGVVFSPEQILKLTKETRNLLPSVTLSVTVPHTLSLPDQVKLAELLEREGADIIQTEGGKCSTPSKSGVLGLIEKATPTLAAAYSISRAVRIPVMCASGLSAVTAPMAITAGAAGVGVGSAVNKLNDVIAMVAEVRSIAESLSTASDRQTASKERSLKL >OMO66585 pep supercontig:CCACVL1_1.0:contig12537:80342:82425:1 gene:CCACVL1_21079 transcript:OMO66585 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycine--tRNA ligase 2, chloroplastic/mitochondrial-like protein MQDLRLVQNWLMFTVLHPYQFVELLQVQILVEKNTNMDLKHALELAADVQPIKVDATTIEDVNKGISREVIRSTLAECSNLPCLAAKTAQKMDDAVFETNEEKAPWETFLSVKNKVHPGIEIDDFIEISADLVQPLEDFFNVYL >OMO66584 pep supercontig:CCACVL1_1.0:contig12537:74487:74615:-1 gene:CCACVL1_21078 transcript:OMO66584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSQEVHFRQTPKQFAANRDAARKKQQSKTPNTRLGTASKQN >OMO66581 pep supercontig:CCACVL1_1.0:contig12537:53909:56579:1 gene:CCACVL1_21075 transcript:OMO66581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MAAKLQNATQGQIINTSQSCLLLNITSTNGLWQGNNPFLDSIPLLISQLAAILIVSQSLYHILRPLHQPRIISDILGGILLGPTILGREAFFAQLFPLKDIYVIETIAYLGLVFHIFIIGLEIEQNVIWRISRKAISFTACGLVFPFAIGIALFYLLQAFEKHAEHIHPDVEGSLLWSAALTVTSFPIVNIILTDLKLLDSEIGRLAMPIAFVSDMGCCLLIAIVIPFCDNWSNAPYIILTTLAYVLGCLYTLRPIFKRLFHYSSKRNNNHFNEFHLCFVLSGVLLSSFITDALGTHPIVGSFVFGLIIPSEFSVLLIQRIDFFVSGLMMPIFFTICGIKVNIFKVEEWKLVVVIVLVLCAMKVISFMPISLVSDIGPRESFVLGLLMNTKGVWAFLIVQAGLDKGALLDRDYAVMILTLLLMTSLVAPIVNAIYKQANLPTEYKSRTIQDVKKEAELRMFTCIYSFHNVPGILNLFDLSYATRHNKITVFTLHLVELIGAASATLIVHDSYSHRFDDSHYPPGYSDNLETDQIVNAFREYQSKNRHISIQSLTSVSFFAAMPGDMFRLAEEKQVAFLILPFPKQATTREGLVNKSVAAFRKVNKSVLLDAPCSVGIYIEHGLVANTKSFGNHQVAMVFLGGHDDWEALAFAWRMSCHPKVSLTVIRLSEVDSGDLASRKSSMEPVRSHLDRQREMDDDYIAEFRIRTVGKEHVEFKDKPLNNEEELVSTLKDIETRFELFILGRREGLESPLTTGLSSKMDCPELGVIGDWLAKSDSAMGSVLVVQQFIHPENRLDIEQLTMPQLPSPNTVVYGPTTSQSLADFG >OMO81248 pep supercontig:CCACVL1_1.0:contig10222:1989:3011:1 gene:CCACVL1_12516 transcript:OMO81248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKERRDRSMSFDRCRRSPFSCSSSHSHRSSPKLPSESEENLKEWEEARCPVCMEHPHNAILLICSSHEKGCRPYMCDTSYRHSNCFDQFRKSFADTSATPQEPQQEGAPLETANTSPVATATSDSTFTDLQEERGEEPTTPSITLSDDQDLSKLVCPLCRGEIKDWIVVEPARRFMNAKSRSCSSETCNFTGAYKDLRKHARIEHPSVRPSEADPERQRNWRRLERQRDLGDLLSTLQSSFGEERTDDIVMPADEGSWLTVFFLIRVFRPGSSPRSSSWSGTSRARAQLSIRRRSTRLWGESYDGETGAARDEDNESSDGGSFPWRRRVRRRTTPDNQQ >OMO81247 pep supercontig:CCACVL1_1.0:contig10222:1186:1314:-1 gene:CCACVL1_12515 transcript:OMO81247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKLKSSIHRETLAVTALGEYPHPILSPTSPSNIASGIAAG >OMO81250 pep supercontig:CCACVL1_1.0:contig10222:7576:10611:-1 gene:CCACVL1_12519 transcript:OMO81250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase/carboxylesterase/thioesterase MSFTGPSVGPGGRTVRRALEFGRTYVVRPKGRHQATIVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPITIFGGFPSTAWFDVGDLSEDAPDDIVGLDAAAAHVANLLSNEPSDIKLGVGGFSMGAATSLYSATCFTDGKYGNGNPYPAKLSAVVGLSGWLPCAKTLKEKLQGQDEAASRAASLPILLCHGKGDDVVAYKFGEKSSQALSSNGFQDLTFKSYSGLGHYTIPEEMEEVCAWLTSKLGLEGRSA >OMO81249 pep supercontig:CCACVL1_1.0:contig10222:4521:6598:1 gene:CCACVL1_12518 transcript:OMO81249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGEVSNSSSGNQNASNNIETNVILNVYDLTPLNNYSYWIGFGIFHSGIEVHGKEYGFGAHDFPVSGVFEVEPKSCPGFVYRCSISLGRINMPFSEFRAFIESVASEYHGDTYHLISKNCNHFTDDMSHRLTGKHIPGWVNRLARLGALCSCLLPESLQVTTVKQLPEYHEMEDGTDSLSTATPRESAEIDTDQEKHLLSPKDGNTDVAFIKEAKS >OMO58583 pep supercontig:CCACVL1_1.0:contig14203:14076:14165:-1 gene:CCACVL1_25432 transcript:OMO58583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQAADLAILWLTGTNCFGASCVILFL >OMO58584 pep supercontig:CCACVL1_1.0:contig14203:14535:18453:1 gene:CCACVL1_25433 transcript:OMO58584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERWKPPFKFQSAVESNLRSQ >OMO58582 pep supercontig:CCACVL1_1.0:contig14203:2947:5465:1 gene:CCACVL1_25431 transcript:OMO58582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVGSDNNNVCHNLLDGIGDDNHLQVLGCKLINRTGPSVFGPLKIKPNINSPLLHRRNKGENPYIFGIKQFSTPGGTLGMFLQIMPPKTRHSDNEEEDNSTSNVNVNENVRVDDRLTHEDGVTPAMLSKAMMELISQLQKTRMSTDKNAKQVSLLVQSQNAMIEQKGQNHAILTNAINNLSKHVISMTTLNTGAIPEGLRVSNFVNGSSQNNMTRVSNSRHPPRIIIRETGTCSNPISGNVMDNNNGKSGRLSPDHNVLPQMDKFAPSRVRPFEEIGDNVRITANGHFNQNKSTVEAWGQTQPRNDVNGYSNYDQVQNPVRRDNDFQNLLRDQVLGILQEQLVPVRRNRQRPVYRSHIRIGLINVIHFQEEKVHVSKVRSGLVLELRKKFEDREFIDLAQLASCVSKCERLLEEDDDKRRVPRATYYTQNEVNVGLDYYSDDDVDVNVVELSLSKPHDAIKAGKLKFPNGDKAMMID >OMO74541 pep supercontig:CCACVL1_1.0:contig11114:27411:29214:-1 gene:CCACVL1_16627 transcript:OMO74541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sedlin MIVCVAVVGHQNNPLYIQSFTDADDALKLHHIVHCSLDVVDERVNNPKRSGPTLNETFLGLLYPTENYKVYGYLTNTKVKFILVTTDLDVRDVDVRNFFKRFHAAYADAVSNPFHMPGKKITSRTFAERVSTIVKSFGFSSAG >OMO74537 pep supercontig:CCACVL1_1.0:contig11114:4592:8338:1 gene:CCACVL1_16623 transcript:OMO74537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 3 MAFWRRINQSKLQFISNQCRRFYFGAPYASSLDQTRVCFYNKFVSSVDKKPSYFYNNVRFFAAPVQANKNIKEEVSKGGPRLNEQITASSVRLVIGEDHTIVSLHEAMRRAKELDLDLVEVDSYAKPPVCKVMDFYQAGETLKKDSPKEIRFSEKIEGKDLKQKAEAGIRLMERGYRVKFTATGKGKEDEEEDLGGLLSCLTELIKDVSVMETEPKVERKHAYVIVRHVKFGPARKAGGKSSKVVGDTKAEHPTGSGTDRQNPMVPRDNVIESVSESENEILPDEDDLPTSSPMHMQDKSVEGKKTARTISESGDEPRNQLPPRSMDHSGPRFSYQQQQPPQNMNAYQQQQPPQNMNAYQRQQPPQNMNAYHRQQPPQNMNAYQRQQPIQNMNAYQRRQPPQSMNGPSSVGETKQVGNDASLSRNSRANSNDLPKQQPSNSDVPGKPARSFGIFSIPNANLSGKQGINAADANGSNEGRNGASQNSPGSQFDGSQRLNPNGDVGAKDKFGIFSRGSSNTTPNRTPNSN >OMO74538 pep supercontig:CCACVL1_1.0:contig11114:9467:15485:1 gene:CCACVL1_16624 transcript:OMO74538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKMVENPEPQKAKKENGNGSIDNVKSKERDKSKSSKHKREDRADDYEDDYSHSKRSKSREESSRDHDRRKERGSRDGEKDRHRSSREQKDKDRDREESNGRDRERERERDRKERDRGGERERERELRRSRSQSDREQERSRDRELREREKEREPRERDRESRCARAIFRWSFWQLGQALELRRYKDKKENGAEPEVDPERDQRTVFAYQIHLKATEREIYEFFSRAGKVRDVRLILDRNSRRSKGVGYIEFYDVMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSTTSTAGGQTGPYSGGARRLYVGNLHFNITEDQLRQVFESFGSVELVQLPLDESGHCKGFGFVQFARLEDAKNALNLNGQVEIGGRVIKVSTVTDQGLLDVAANATDLDDDEGGGLSLNSSSRAQLMAKLDRTGIASSITGSVGIPANSSGLTASTATILGTVPSLVPPTIQASVPSGLAGAALQLPTNDVPTIDTIGVPSECLLLKNMFDPERETEPDFDLDIKEDVQEECSKYGKLKHIFVDRDSAGFVYLRFEDTQGAMNAQRNLHGRWFAAKMITATFMAPETYEAKFGGSNR >OMO74539 pep supercontig:CCACVL1_1.0:contig11114:16080:18274:1 gene:CCACVL1_16625 transcript:OMO74539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monooxygenase, FAD-binding protein METVEEVVIVGAGICGLTTALGLHSVLVTSTFLEKPIAEVSYKGHEIRCVQRKLLLETLANELPSGTIRFSSKVVSIEEESGFFKRIHLANGAIIKTKVLIGCDGVKSVVAKWLGFEKPVFTGRSAVRGTAKFEGHGHGFGPKYSQFVGKGVRSGFLPCDDDTVYWFMNYWTPSTKDHKELKKDPAKLKQFATNKLQDTSDEMKSVMEKTSLDGIVSSPLKCRKPWELLWGNISKGNVCVAGDALHPMTPDLGQGGCSALEDGVILARCLAQALLKSSAGGEEEIDEHKRIEMGLKNFAQERRWRSFDLVSTSYMVGFIQQNNGKMMNFFRDKFLSKFLSGLLLSKANYDCGKLAYY >OMO74540 pep supercontig:CCACVL1_1.0:contig11114:21027:26240:1 gene:CCACVL1_16626 transcript:OMO74540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVEDAVIVGAGIAGLTTSLGLHRMGIRSLVLESSDRLRTTGFAFTTWNNAWKALDAIGIGESLRQQHQLAQGILVTSTFLEKPTAEVSFKGHEIRCLQRKLLLETLANQLPSGTIRFSSKVVSIEEESGFFKRLHLADGAIIKTKALIGCDGVNSVVAKWLGFEKPVFTGRSAIRGCAKFEGGHGFGPKFRQFVGKGVRSGFLPCDDDTVFWFMTWTPSTKEEELEEDPAKLKQFAMNKLQDTSDEMKSVMEKTSLDGIVSSPLRYRRPWEILWGNISKGNVCVAGDALHPMTPDLGQGGCCALEDGVILARCLAQALLKSSAGEEEEIDEHKRIEMGLKNFAQERRWRSFDLVSTAYMVGFIQQNNGKMMNFFRDKFLSKFLSDFSNMTQDVEMKEQAAPSNSLTSSSPSTLHHLKEIASLIETGAYAREVRRILRAVRLTMALRRKLKPSVLSAFINFALTPGSEAHTRLSSYLPKEDEHEMEVDTATSASQAPAKHSLPELEIYCYLLVLIFLIDQKKYNEAKACSSASIARLKNLNRRTVDVLAARLYYYYSLCYELTGDLAEIRGNLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKECLLQAARKAPVAALGFRVQCNKWAIIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFRSVAEKFSSTFSSDRTHNLIVRLRHNVIRTGLRNISISYSRISLVDVAKKLRLDSVADAESIVAKAIRDGAIDATLDHANGSMLSKETGDIYSTNEPQMAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDEF >OMO98203 pep supercontig:CCACVL1_1.0:contig07151:9089:14062:-1 gene:CCACVL1_04302 transcript:OMO98203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavodoxin MEPSSSSGSMKVSPFDLMTAIIKGKMDPSNVSSDSAAEVATILLENREFVMILTTSIAVLIGCVVVLVFRRSGSQKSKRIEPPKPLIVKEPEPEVDDGKQKVTIFFGTQTGTAEGFAKALAEEAKARYDKAVFKVVDMDDYAADDDEYEEKMKKETLAFFFLATYGDGEPTDNAARFYKWFTEGKERGEWLQNMKYGVFGLGNRQYEHFNKVAKVVDDILTEQGAKRLVPVGLGDDDQCIEDDFAAWRELVWPELDQLLRDEGDATTVSTPYTAAVLEYRVVFHDPADAPLEKKNWSNANGHTVYDAQHPCRSNVAVRKELHTPASDRSCTHLEFDIAGTGLSYETGDHVGVYCENHDEVVEEALSLLGLSPDTYFSVHTDKEDGTPLGGSSLPPPFPPCTLRTALAQYADLLSSPKKSALLALAAHASDPTEADRLRHLASPAGKDEYAQWMVASQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPRMAPSRIHVTCALVLDKTPTGRMHKGVCSTWMKNSVPLEKSHDCSWAPIFVRQSNFKLPSDTNVPIIMIGPGTGLAPFRGFLQERLALKEGGAELGPSLLFFGCRNRQMDYIYEDELNNFVNSGALSELVVAFSREGPTKEYVQHKMMEKASDIWSLLSQGGYLYVCGDAKGMARDVHRTLHTICQEQGSLDSSKAESMVKNLQQTGRYLRDVW >OMO64452 pep supercontig:CCACVL1_1.0:contig12824:16647:16838:-1 gene:CCACVL1_21729 transcript:OMO64452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSTVGSSSFLSILLLLLLKKSSSLTQNTPKPRLQSLIPVNPTVSYIEAVAKKHSSSRMNTK >OMO64454 pep supercontig:CCACVL1_1.0:contig12824:26015:27675:-1 gene:CCACVL1_21731 transcript:OMO64454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MMIVPVDSGREAVLRWSQEATSAAEKRVREGPVSSSSNRQPKTILTWLLDNNVVPELEKVFYRGKQGNPNPLTKGRITREGIQCDCCSIVFGLTTFETHAGSGNHRPTANIILDDGSGRSLTKLQKEVQASKIKSFKVESPKSVKQHNLFQEDETDSVCSVCHYGGELVCCDGCPSAFHVNCLGLHQVPDGDWFCPSCSCGVCGTREPIQVGNNLTWTLLKSDSSAENHIKLSVAIEVMHECFERSKDVFTRNDLVEDVIFSRGSELKRVNFKGFYTVVVEENDDMVTVANVRVHGNRVAEMPLIATRFKHRRRGMCRVLVDKLEEVLKGLGVEKFVLPAVPSAIGTWINNFLDFAGTTMCQKLL >OMO64456 pep supercontig:CCACVL1_1.0:contig12824:32662:35094:-1 gene:CCACVL1_21733 transcript:OMO64456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEGPGLFSDFGKKAKDLLNKDYATDQKFSISSSSYTGVALASNLVNKGGLSAGDVAAQYKHKNAAFSVKLDTESNVLTTFTVTDLLPSSKAVASFKLPDYNSGKFEVQYFHEHAALSTAVGLNKSPAVDFSATIGTPGIAFGAEASYMTSSGEFTKYNAGVNLTKPDSNASVILADKGDSLRFSYVHHLNQLNGGAVLGEIMRKFSTNENTLTVGCSYLVDQGTLVKAKLNNHGNLGALVQHEFRPKSFITVSGAFDTKALEKTPKFGLALSLKP >OMO64472 pep supercontig:CCACVL1_1.0:contig12824:132751:132828:1 gene:CCACVL1_21750 transcript:OMO64472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCEIFKRDVTLTLKRGKRVPTLGI >OMO64460 pep supercontig:CCACVL1_1.0:contig12824:67863:69211:-1 gene:CCACVL1_21737 transcript:OMO64460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDASLSDLLCLENEACLEQKKVDENSYIAVYDEECIQMLFDKEMSFGFQKNESLVVTNWLKYARLEAITWILKTRAVFGFGFQTAYLSVIYFDQFLSRRPIDSEQSWAMQLLSMACLSLAAKMEEIEVPALSEFSAEECNFESKVIQRMELLVLNTLEWRMSSITPFAFLHFFTTKMCNESPPGHFVSETVQLILAIMREINLMEHRPSVVAAAATLRALDQSLTRKALECRMNSVSYCGFLELEDVFTCYNIMQKLELEKLDIPKSINSPELSPTNLRATNVLDGSSSSTSVGTKRKRLTFNKSDNNQDIPNEKRLC >OMO64463 pep supercontig:CCACVL1_1.0:contig12824:84806:89929:-1 gene:CCACVL1_21740 transcript:OMO64463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin system component Cue MSHRYGNSNRQDGDNRSNFPKTQKKFIPKNQNQNQFQNKNQSPHPTSLSASLRQPVPNQQDAPPSSSRGAPPGFTGSRVRMGENGDWVPNRATPSTHDGGFVNYLPQDEAVAAGLGAEEGGLDPVESQRVVDLLNRELSRLLKLGPREFWKQVARDTSLHEFLDSFLQFRSRWYDFPHRGVKGIVAGVIVGEFELSRRVFMVLYRISSNKDPGARAADSLSAVDHAVILQEKKLLDLPKLLDICAIYGHENDDLTKLLVANALKAQPMIHDNLTGVLSHFLTIVHTMHERCSTSLEVLLSSGNHGDHAFDRLHADFLEVMDFINDAIVSMDAFVTAYRPAALFFSCPVEMSYGNEELLTTLSRVHDILLPSLQRGFRSTTKSGENGMLTDIAISLKMLSMRIVKFGWKLLDICYLSDEVFLDGNPIPTATKMFPATVEDPFIRGDILVQTFREINGVSLQREESEKQDTFLQNVQKNCNIMSKLENLQNTGWIFLEDEQFQYLSGIMMYSTNGIAKEQPPKTPIPASLASNTVQIDEDAAIMESKISQVKDLFPDYGKGFLAACLEIYNQNPEEVIQRILEGTLHEDLQALDTSLETMPMPKSASIVSRNDKGKGKLIDTDKGKGKMVDTKAVPSTAAVPLAGGQPAEGPSVSSSSTTGRFVRKAKDDTPAFVTLDTREGADSSRKAALISQYEYEDEYDDSFDDLGLSIAESGLEENEMFSDKKSSDLGKSWSTESGSHGLAGSSSKWGSRKKPQFYVKDGKNYSYKVSGSVAAANASEASLLTQAQGELIHGLGRGGNLPLGAVKKLMEQQEQTNEPDVSEMGGRDHARNPRGRGKRGGGRQTESNEEQDNQPDNSEGEGGRGNGGNHRGRGRRGGGRHSNYRKDRAMSKHFSGVAGHYNT >OMO64465 pep supercontig:CCACVL1_1.0:contig12824:97074:98466:-1 gene:CCACVL1_21742 transcript:OMO64465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLAAFPAGGEWDCSFNRMYEELEFTQQVLQQFSFPGDEGLSFMNPVVAFCPNPEANMSTISEINESNLLYSPNALHDSNFHYNSQESSQSSNSSGSVFVSPPIHDQAYFHSNDHVAATTDHMMTMDISMDIAAGGEAFPNIAMALNNVIEDSSTDSLGNSDISQPAANIVLADELLLKRKLQVLELHAQGDDHTMINNNNSSDNSKKRPRLPKNAPRKKNRKMNVNGNEGENNIGSDGQSSSTCSSEDEIVSQDNINGSDSKSSPALNLNGKTRATRGSATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDLWMYAPIAYNGVDVGLNRKISTLL >OMO64466 pep supercontig:CCACVL1_1.0:contig12824:104573:107587:-1 gene:CCACVL1_21743 transcript:OMO64466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRSLIFSSPNPISPHLSTPSPPPVNAVIPERNRWIAPSSFSQPSFIRFQNYQRTLPSLLCTAASDSVANQQQQMASQEEQDTRIAKISSVIRVIPDFPKPGIMFQDITTLLLDVGAFRDTIDLFVERYRGKDISVVAGVEARGFIFGPPIALAIGAKFVPMRKPNKLPGEVISEEYSLEYGTDKMEMHVGAVQAGERALIIDDLVATGGTLCAAIRLLERVGVHVVECACVIELPELKGRERLGKTPLFVLVSSST >OMO64455 pep supercontig:CCACVL1_1.0:contig12824:29037:30224:1 gene:CCACVL1_21732 transcript:OMO64455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQLRGHHATPSSFLKSHLKIKQQPMITNTLKSPTKSSTEMDPVIWSKLPPELLEHVLSFLPLKTFLNLRSTCKHFKSLVFSPSFISKHSTNSPFSSFLLLSHPQCYSHFPLFDTILGSWRNLALSFSFLPPCAAQFNLLSSSNGLFCFSLPNSCSFLVCNLLAKSSRVIQFPFFPFSFELLTLVSTADGYKIFMLCSKFSSNYAFVYDSKIHSWKQYEGFQPIPIDNFHQEGAPFNGSLCFATPEPFSVICFDLENGKWETLNAEMPGDLTFVRLVSNPEEGKLCLVGGIGRNGISRSMRLWELSNEGKWEEVERLPELMCRKFMSVCYHNYEHVYCFWHQGMICICCHTWPEILYYKISRRTWHWIPKCPSVPDKWSCGFKWFSFVPELFTLA >OMO64461 pep supercontig:CCACVL1_1.0:contig12824:78209:78412:1 gene:CCACVL1_21738 transcript:OMO64461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVSTKVPAKLTLWKVVLPNVLTVPQIPPLSNLPLPKTSLGTGHWAVCSHGVFASFLPAPINPPMQ >OMO64451 pep supercontig:CCACVL1_1.0:contig12824:2040:5459:-1 gene:CCACVL1_21728 transcript:OMO64451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small-subunit processome, Utp12 MATMNPFDLLGDDDTGEISVLIAAQQKAVAAATAAAAAVPAKKGTAQSQAKSAKLPSKPLPPAQAEVDHQQKSASSRKSRISVIAARLHAPGMGQQVDALIAYGHEENVDGVLVDDNLNEPTMGEKLASLNLVENGNFETHESQEREESSPPAKPPTAAVTVLLKQALHADDRALLLDCLYTQDEKVITNSVSQLNPSDVLKLLQSLISIIQSRGAVLACALPWIKNLLLQHSSGIMSQQSSLLALNSLYQPIESRVSTFESALQISSCLDLLYAGFVEDEFDENATAPVIFEDKDESDEEESEDAMETDQESEDGEALDGEVLDGVSDFEGFDDMSE >OMO64464 pep supercontig:CCACVL1_1.0:contig12824:90700:95385:-1 gene:CCACVL1_21741 transcript:OMO64464 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MATASSEQPLKKRRLYEPPPEPPGTVAQRETSVAPPTTPPPLSQGEILARRRNRDEIRTVYENYKKIKSCIALRGKDARHLPEVEQAYLDLVTASRGCASVQRLVADFVPRYASYSPTALQAATKVIIDVHNSSLAVINRGEDADNVAFQTAKACIFGLADICFTASVEAPKSSVIRGICLAVFQSVLSFFLLSSDGKDLFEIVDNDMRRMQDSVEIYSELKNKISNEDESSLIKLSKFRTLTLLWIFSHCPKNLIAACIELLRSPATEEADKGLYFLRQAASGPDNVDVDSAVDGMNVEPKSCTDSIGTSTEDTVLSGEKPASDSGNVIEDASPVLKSSLFGLVFGRNPSLRSWMFLKYKKFCKLSLSNSVPGIISSLEEIFESLGKHVNLEANEVDSDENDYDSSRFHSRPYLVCSNQPETSNDQSGRGKSSNESYAENISGQYLKPHIAPLETSLHLNDGSSNDNGGPRSMDLERRDHGDLSGSTSRSSVPRDLSSHQMLSPATRTPPDFRSSSIEGRNHFKHVDKSQLSNVSGAPPSRSSSEGLSKDIASPNNRFPASYGSTSSQAAWYFDGDPAAMGIFSASRQLWLGSFGPDASEGHIRFQLDRFGPIEQFFFFPIKGFALVEYRNIIDAIRAREYIRGCFPWRVVFMDIGLGTRGAVNGIAVGSSSHVYVGNITSQWARDEMLHESRKVVYKGPYMVTDLTCEFALLLEYETPEEAAAVMAHLRWHRKERSNHMPPFNAAPTNVSMSQVDGGRSGAAPPIHVDIKNNNSANMSSSSMELASPKLRVENHGSAATVAHPFQSNWPASGCTDMPEGGVRKADGYDNNLIADPAQGGASGQVWSYKKPESELHPAPGTMPCMPIASQSLSAPPPPPPPPLQAPPYMRPVYHPPNSSWDPRGLNYQYPPNPISPGVVPNTFHGTAVPPPSIPASVTPLAQMQGPPVQHFEPMFPHPVVQPPLPSMAPPQPEMLPPPPPPLSPPPLPQSLPPFVPPPPNSPPPPPPPPTAEFTNMGSSEQCVKYQWQGTLSKSGVHYCTINAQRLESGLCKYSHGISEPSEWPAKLDMTKRTDFRHVKSTFTNTPPHKREVCCLIPSSTADHKGEKPRCRFFLFNS >OMO64470 pep supercontig:CCACVL1_1.0:contig12824:123385:124180:1 gene:CCACVL1_21748 transcript:OMO64470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSETCVLRSSLRWIESPEAQGNATLFLAKFFGRSDLLSLISSVPESQRPALFQSLLFEACGRTVNPVNGAVGLLSSGNWHLCQAAVDTVLQGGALRPIPGVLAGVWTPSSNESSDRFCANSCNFQSRYGQSSPLMTMMMMENQSASAASDLSLSLTTKLGGGRRGTGRRYEEERKRARVASFYSEESEITTFASNVNEGSQERKILNLFV >OMO64459 pep supercontig:CCACVL1_1.0:contig12824:60045:65275:1 gene:CCACVL1_21736 transcript:OMO64459 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MESYLNENFGDVKPKNSSEEALQRWRKLCWIVKNRKRRFRFTANLSKRFEAEAIRRSNQEKFRVAVLVSQAALQFIHGLNLSSEYEAPEEVKAAGFQICAEECGSIVEGHDLKKLKIHGGVENIAAKLSTSINDGGDDETPLQVKLNGVATIIGKVGLYFAVVTFAVLVQGLFSRKLQEGTPTETALLEFGLLLGGDFQAERQASKIVKVEPFNSAKKRMGVVIELPEGGFRVHSKGASEIVLAACDKVIDSKGDVVPLDEQLTNHLQNTIEQFASEALRTLCLAYMDIGTEFSVDSAIPLKGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIAREIGILTDNGIAIEGPEFREKSEEELHELIPKIQVFNEISSREMEKINVLKGILKNHVFVAVLSCTVIFQIVIVEFLGTFASTCPLTWQQWFVSILFGFLGMPIAAALKLIPVGSS >OMO64471 pep supercontig:CCACVL1_1.0:contig12824:127683:132136:-1 gene:CCACVL1_21749 transcript:OMO64471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAVSTTVNPKQHGGGPHQNPSRPPLMPSDPDNAIPPRRQKFREVTSRYKTSTSSNSSNSSTSTSSSSSAASTKRCPSPFVSRSHSNAAMTPTHVTPSAAKRSQSVERRQVTPRSTNSGDLRTSNGNHNNGELSAAQKLLFTSTRSLSVSFQGESFSYQFSKAKPAPSPNPSRKGTPERRKPTSATNTPGRVTDQMENSRTERWPARLRQPNSMSRSMDCTDERKRLNGSGNANVVRALQNSMIDNRDLTAGTAVGSDSQCDLSVSDTESVSSGSTSGAVESTCNGNGDVKRGSRGIIVPARFWQETNNRLRRSDSGSPVSKKLTVPSKLSGPEKSGIDSPSSSPKGAVNSRGQLSPIRGPVRPASPSKLGVSSISSPVRGMSPSRVRNGLVGNSVNTPSILSFAGDVIKMGKIGENKVYDAHMLRLLHNRLLQWRFVNARADAALSSQKSNAEKSLYNAWITISNLRESVRAKRTELQMLRQNLKLISILKEQIMFLDEWAVLDHDYCNSLSGATEALMASTLRLPVVGGARADIPKLKNAITSAVDVMQAMASSICSLLPKVTEINSLAVELRNLSANEFALLDQSKELLSTIAAMQVTECSLRTHILQLDRLPSSLTTQV >OMO64453 pep supercontig:CCACVL1_1.0:contig12824:17888:25550:1 gene:CCACVL1_21730 transcript:OMO64453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKEIGDREAGKIRPNSFSSRIKSNRISNLQLSNYKDIVSPHRGSINSLQVDLTEGRYLLSASSDASAAVYDIQKPTNYEGNGLIAKHKCLFSVDKQHERGHKYAISSAIWYPVDTGLFVTGSFDHYIKVWDTNTRQVVMNFKMPGKVYRTAMSTLATSHMLIAAGTEDVQVRLCDVASGAFAHTLSGHRDGVMTLEWSTSSEWVLITGGCDGAIRFWDIRRAGCFRVLDQSQSQLGRRPPVLDRSAANKVFSKSRSAGQSSLVKPQAPQKKHANGHPIKQTTVGRMPAKGSARQRLHPGMLSIQDRATAHYGAVTGLKVTEDGMYLLSAGSDSRIKLWDLESGYNTLVNYEMVRLQTSKPIQLAVSEDSARVFVPCMTVVKAFDVWTGKMSLAFRGHYESVNCCWFSSQDQVAMIDKFLSGLRANRFPMTWMKGTLKTRIIGVIRIYFYIDLFQISHAIYTKKFGVTEFVNPKDHDKPVQEVIAEMTDGGVDRSVECTGSINAMIAAYECVHDGWGVAVLVGVPNKDDAFKTHPVNVLNERTLKGTFFGNYKPRSDLPLVVEKYMNKELELEKFITHEVPFSEINKAFEHMLRGDGLRCVIRMDA >OMO64469 pep supercontig:CCACVL1_1.0:contig12824:120073:120162:1 gene:CCACVL1_21746 transcript:OMO64469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDLFKTISETCGGATHENIEKPKPSPS >OMO64457 pep supercontig:CCACVL1_1.0:contig12824:42394:43931:1 gene:CCACVL1_21734 transcript:OMO64457 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MATESAVNYTYMGRSFNDLSINDDSSAFSDCNSDRSGEFPTASSQSRRLLLACANSENSDELIRQLVSDLQSCSIDDQKQAALELRLLAKNKPENRIKIAKAGAIKPLISLISSSDSYLQENGVTAILNLSLCDENKELIASSGAIKPLVRALRTGTSTAKENAACALLRLSQIEENKVAIGRSGAIPLLVNLLESGGIRGKKDAATALYSLCTVKENKIRAVEAGIMKPLVELMADFASNMVDKSAFVLSVLVSVPEAKTALVDEGGIPVLVEIIEVGSQRQKEIAVAILLQICEESVVYRTMVAREGAIPPLVALSQAGTNRAKQKAETLIELLRQPRSGNAAARPSNVSV >OMO64467 pep supercontig:CCACVL1_1.0:contig12824:112630:113412:1 gene:CCACVL1_21744 transcript:OMO64467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MALETLNSPTAATAPFHFEDTNLHCLESFTKRKRSKRPRFDHVPTEEEYLALCLIMLARGGAGAASASTSTIPHRHRSPTPPTAPPPAPTSEPKLSYKCSVCNKAFSSYQALGGHKASHRKLAGGNDDQSTSTTTSATAGGTTSAASNPSGRSHECSICHKSFPTGQALGGHKRCHYEGGANGHNANANANTSASAVTSSEGVGSTNTVSQLSQRGFDLNLPALPEFSPALFVSGADDEVESPHPNKKPRHLILPKIEVN >OMO64462 pep supercontig:CCACVL1_1.0:contig12824:81021:84140:1 gene:CCACVL1_21739 transcript:OMO64462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRNKNQRKNAAMMLDSDDDSSSVSSSSTMRSDRMSVFGTEEVEFNKDTLLDEAVDALFEKRGATREKALGMIIDAFNNNLQYEFVEKKCVTLLHQCLNCIKKGSSKEICLASHTIGLLALTVGSGDNAQEILEDSITPLSQALKSGSELSKIALLECLAIITFIGANDPEVIEKSMQIMWQLVHPKLGSNVATAKPSTAVIAAAVSAWSFLLTTMDGWRLSPKLWQESISYLSGLLDKDDRSIRIAAGEALAVIFDMGSLDKFAAEAKGLSDGSLPEGNKSKDGFSHIQGLKAKILNQVRDLSVEAGGKGSAKKDLNNQRNLFKDVLEFLEYGYSPETSMKIGLDTVQTSTWTELIQLNYLRHFLGGGFTKHMQENEFLQDVLGFTPTKKHLPRERMSNEEKRMYRSPNSALNKARTQLLNKQRMQSEGRNFGQFAINVGDE >OMO64468 pep supercontig:CCACVL1_1.0:contig12824:114101:114163:-1 gene:CCACVL1_21745 transcript:OMO64468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRMKKKKGILISKRHMSS >OMO64458 pep supercontig:CCACVL1_1.0:contig12824:51027:51912:-1 gene:CCACVL1_21735 transcript:OMO64458 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sigma factor sigb regulation protein rsbq MGNILLEALNVRVIGSGEKILVLAHGFGTDQSAWQRIVPLFTPYYRIILYDLVCAGSVNPDYFDFRRYTTLDAYVDDLLNILDALGVDRCAYVGHSVSAMIGILASIRRPELFTKLILIGASPRFLNDKDYYGGFEQGEIEKVFSAMEANYEAWVNGFAPLAVGADVPTAVREFSRTLFNMRPDISLFVSRTVFNSDLRGVLGLVKVPCCVVQTSKDLSVPASVAEYLRTHLGGRTTIEILKTEGHLPHLSAPALLAQVLRRALSR >OMO60220 pep supercontig:CCACVL1_1.0:contig13758:7558:10758:1 gene:CCACVL1_24324 transcript:OMO60220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF2/IF5 MADEENRDEVAEITPFDPTKKKKKKKVVIQDPGDDSADKLAEKTEALSVSDGLDFTSMKKKKKKPVETSFLNEESGDAGDDADDHIDVDEENDGIVLEKYPWTGTDRDYQYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMAFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRNAGT >OMO60221 pep supercontig:CCACVL1_1.0:contig13758:28956:30653:-1 gene:CCACVL1_24325 transcript:OMO60221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSNPEDVIDIGFRRYKLSGLPDNICYAAADKAIGACSIYDSSNSRNQKPETLAVDLVKKSLFEGRGITERHRQ >OMO60219 pep supercontig:CCACVL1_1.0:contig13758:4752:5958:-1 gene:CCACVL1_24323 transcript:OMO60219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAPSLSLKDFHGVAADESDDTLMGSRADRDLDFCGFPNKKT >OMO60222 pep supercontig:CCACVL1_1.0:contig13758:32440:45246:1 gene:CCACVL1_24326 transcript:OMO60222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGGVACMPLQQHQHQHIMERFPVTEKTLCPNNGLTSKPVKVEDNGQQQQEQEQQQQQQQQPSQQQPPRKKKKMVKVKKVVLVKRKVPAGAAATAAAAAQKSGLVVKAQSEAGLKTSKEVDKGENSGPKEEVEEGELGTLKWPRDGENGEVGSDKSKSGAIEKGEIATEKWRKREVEKGEIVSDGKGEVEKGEIVTGQKGELVNGEIITGKWRKGEVGKGEMFLEKGRKSEAEKGEFGSWRGAKDDIEKGEFIPDRWHKGEVIKDEYSYGKSRKYESGKEKGWKYEMERTPPKEKSWKYEMERTPPKEKSWKYEMERTPPSVKYSVDDLYRRKEFSRSATQHSKCSSRWETNYDRTSRISSKIVDDEGLCKSEYSNGKNHGREFSSSGNRLKRHGTDSDSSERKYYGDYGDYANPKSRRLSDDFSRNSHPEHYSRHSVERFYRNSSSSKMSSLEKYSSRQHESSLSSRVVYDKHGRSPGYSERSPRDRVRNYDHRDRSPIRRERSPYPRERSPYAREKSPYVREKSPYTRERSPYARERSPRERSPFARERSPYDRSRHYDHRNRSPVNAERSPLDRARFHDRRDRTPSYLERSPHDRNRPNNHRDTSRKSAANEKRNSQYGSKGQEDKVSQRDHSGRDSQSSTKESQERTGVPNFNGSDEKTVVSESLKGDQSMSPDVNVKEPPVPVDGAPPEELQSMEEDMDICDTPPHIPMVAESSVGKWIYLDYFGVECGPSKLCDLKALVDEGVLLSDHLIKHLDTDRWVTVENAASPMLTASFPSIVSDTVTQLVSPPEASGNLLVEVGDSRPLGIHSGHEALLSCLDDSAAASEPLEDLHIDERVGALLEGVNIVPGKELEIVGEALQMTFDHAEWEGWANSEGLTLHWSCTGDQHDKKTDELSDFSDTKSKEAAEFRYCALSDGSSCADSSDWFSGRWSCKGGDWKRNEEASQDRSSRKKLVLNDGYPLCHMPKSGCEDPRWPLKDDLYYPSHSRRLDLPPWAFSSTEERSDCNDISRPNQIKPSVVKGVKGTMLPVVRINACVVQDQGSFVSAPRTKVRGKERYSSRSSRSHSASSDIKRSSAESDSVPRAVADQGLKGSLRSIAPVNTPKDRVCTVDELQLQFGDWYYLDGAGHERGPLSFSELQVLVDQGIIQKYSSVFRKYDQIWIPVTSAAGTFEAAARNQPENVAPSSDSSGPLLSVSQCAAVSDNSTNSCLFHSLHPQFIGYTRGKLHELVMKSFKSREFAAAINEVLDPWISAKQPKKETDKHIYRKSDGGKRARMMINGNEEEYDIDDELQLSRKNEHKFEDLCGDTTFQEQESACSLTEMGNWGLLDGNVLARVFHFLRSDTKSLAFASLTCKHWRSAVRFYKGITRQVDLSSFGPTCTDSLLWNVMNGYNKEKINSMILIGCTNITPSMLEDVLRLFPSLSYIDVRGCSQFGELTVKFPNLKWFKSRSLHGIMISDESSSKIMSLKQITEKTSSGLKTGLGSDMDDFGELKNYFESVDKRDSANQLFRRSLYKRSKLFDARKSSNILSREARIRRWAMKKSENGYKRMEEFLASSLRDIMKENTSDFFEPKVAEIEERMKNGYYISHGVGSVKEDISRMCRDAIKDELLKSWKDDSPAGFSKYKKKHGKAVTERKYMNKSNGSSFANGNFDYGEYASDREIRKRLSKLNRKSLDSESETSDEPDRSSEDGKTESETESTASDTESDLDFRPGGRSGESRGEGYFMADDSFDSMADDREWGARMTKAGLVPPVTRKYEVIDQYVIVADEEEVQRKMQASLPEDYAEKLNAQKTGTEELDMELPEVKDYKPRKQLGDEVIEQEVYGIDPYTHNLLLDSMPEDLEWPLEDRQVFIEDVLLRTLNKQVREFTGSGNTPMMYKLQPVLEEIKRVAEADGDLRTIRMCQGILEAIDSRPDDNYVAYRKGLGVVCNKEGGFGLQDFVVEFLGEVYPVWKWFEKQDGIRLLQKNSKEPAPEFYNIYLERPKGDADGYDLVVVDAMHKANYASRICHSCHPNCEAKVTAVDGQYQIGIYAVREIRYGEEITFDYNSVTESKEEYEASVCLCGSQGCRGSYLNLTGEGAFQKVLKESHGILDRQRLMLEACELNSVSEEDYLDLGRAGLGSCLLGGLPDWLVAYSARLVRFINFERTKLPEEILRHNLKEKRKYFVEISLDAERNDAEIQAEGVYNQRLQNLAVTLDKVRYVMRCVFGDPKKAPPPLERLSPEEAVSFLWKGEGSLVEELLQCMAPHVEEDMLNDLRSKIRAHDPSDSNNVMKELQNSLLWLRDEVRNLPCTYKCRHDAAADLIHVYAYTKCFLRVREYKAVTSPPVYISPLDLGPKSADKLTGLQEYCKTYGENYCLGQLIFWHNQTSVEPDCSLVRASRGCLSLPEIASFYSKVQKPSRHRVYGPKTVKMMLSWMEKQPQRPWPKDRIWSFKTSPKVAGSPMLDA >OMO60218 pep supercontig:CCACVL1_1.0:contig13758:1017:1557:1 gene:CCACVL1_24322 transcript:OMO60218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFPDLGSCCRPISAAPSRQREEEEAISHYLASLRSSSSSSTRRHAKRMKRLGAAGSGGSQHWKPGLQAIREEGVGLDSDGNKIMNSQRKASPHNSKERYNNTSNIRRVSHLDENYYYSRSNSSPMFLPAFSPTPFMF >OMP11556 pep supercontig:CCACVL1_1.0:contig01164:6734:6838:1 gene:CCACVL1_00445 transcript:OMP11556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSLFMVDQMEREKINSMPPFPKFTTRSSKFR >OMO52539 pep supercontig:CCACVL1_1.0:contig15515:100763:101260:-1 gene:CCACVL1_29196 transcript:OMO52539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTANSCSSVAALIAAVVFAAAITVPGGTNGDSGLPVFKSEKVFLVSAVSDALSLFSSTAAILMFLSFLTARYAEDDFLHALPKRLIMGLLTLFVSITCMIIAFSSTLYLVFGDDKTWILITVAASACLLVILFVYLQFPLLVDMFLSTYGRGIFRKHCEDPLY >OMO52536 pep supercontig:CCACVL1_1.0:contig15515:62514:84815:-1 gene:CCACVL1_29193 transcript:OMO52536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKRVTVDDENTNTSLLPENTVVDQQQDDVQDPKPPLTAEQFDALAKQVEILTIVFQQKDPPPKVPSKAKKSSKNPTRSHFPLPQMVMWLAELAERCFCNSLMGELAEKSFLCPGAHT >OMO52534 pep supercontig:CCACVL1_1.0:contig15515:2731:4292:-1 gene:CCACVL1_29191 transcript:OMO52534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSITKDIVVVTLRAGKMNPKSEIRPNPNPKLPELTRKVN >OMO52535 pep supercontig:CCACVL1_1.0:contig15515:17908:26277:-1 gene:CCACVL1_29192 transcript:OMO52535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyl-CoA carboxylase, biotin carboxylase MAMDASMTMCKSVISSPGLFMGRSSGIRGSQCSFLVGSRINFPRQRAQATQVRCKSSKRGGALGATCRAEKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGDAPSSQSYLSIPNVLSAAISRNCTMLHPGYGFLAENAVFVEMCRDHRINFIGPNPNSIRVMGDKSTARETMKNAGVPTVPGSDGLLQSTEEAIKLAHEIGFPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYIQNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYKQEDVVLRGHSIECRINAEDAFKGFRPGPGRITSYLPSGGPFVRMDSHVYTDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALEDTVITGVPTTIDYHKLILDIEDFRNGKVDTAFIPKHEEELAAPQSQKMVVATHAKELTSATA >OMO52538 pep supercontig:CCACVL1_1.0:contig15515:100279:100407:-1 gene:CCACVL1_29195 transcript:OMO52538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQKNICNLQAKHQDKKKPLNVWLKVMKAQDRLKQHDMAVR >OMO52537 pep supercontig:CCACVL1_1.0:contig15515:93556:99932:1 gene:CCACVL1_29194 transcript:OMO52537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPCKKAILGIITLSLLFILATTKNFSLTLVPVLLVVTYFLLQKRSSSNALPPGPLSVPIFGNWLQVGNDLNHRLLASLAQTYGPVFLLKLGSKNLAVVSDPELASQVLHTQGVEFGSRPRNVVFDIFTGNGQDMVFTVYGDHWRKMRRIMTLPFFTNKVVHNYSNMWEEEMELVVGDLKRDEELVKSKGIVIRKRLQLMLYNIMYRMMFDAKFESMEDPLFVEATRFNSERSRLAQSFEYNYGDFIPLLRPFLRGYLNKCRDLQSRRLAFFNNYYVKKRREIMGANGEKHKISCAIDYIIDAEMKGEINEENVLYIVENINVAAIETTLWSMEWAIAELVNHPNVQQKIRREISQVLKGEAVTESNLLELPYLQATVKETLRLHTPIPLLVPHMNLEEAKLGGFTIPKESKVVVNAWWLANNPKWWENPEEFRPERFLEEESATQAVAGGKVDFRYLPFGMGRRSCPGIILALPILGLIIAKLVTNFEIKAPQGTHKIDGFLLLLLPSSSVTIPSSIFASKERSIHEPGKKQQKGCTKLVIGDKIQNQNAEIVQPNSFPPLVSALKTSAQQNVATFHFPGHNRGRAAPSSLVQLIGLKPFIHDLPELPELDNLFSPDGPILEAQKEAAKLFGASETWFLVGGTTCGIQAAILATCSPGEYLILPRNSHISAISGMVLSGAKPKYIIPEYDCHWDIAGGVTLSQVEKAIKELQMEGEKVAAVFITSPTYHGICSNLTDISKLCHSYGIPVIVDEAHGAHLGFHHKLPLSALQQGADLAVQSTHKVLCSLTQSSMLHMSGNRVDRERICRSLQTLQSTSPSYLLLASLDAARAQLSEKPETIFSNAIDLAIETKNIIRNIPYISELGTPGFSNFPVIDPLRLTFGFWQLGLSGFEADEILDKDHGVICELVGTRSITFAINLGTCKDHIQRLASGINKLSTDSWSLQNKKGKADHWGSAPFTDITMCLNPRESFFARKRKVAIGESLGSICGELICPYPPGIPVLIPGEIITKRALDYLLLVRNKGALITGVSDPLLSSIVICDI >OMO98566 pep supercontig:CCACVL1_1.0:contig07093:1676:1738:1 gene:CCACVL1_04192 transcript:OMO98566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLTRHGLLNDMISFKNK >OMO60658 pep supercontig:CCACVL1_1.0:contig13690:3521:4158:-1 gene:CCACVL1_23975 transcript:OMO60658 gene_biotype:protein_coding transcript_biotype:protein_coding description:High mobility group, HMG-I/HMG-Y MATEEPNNTQGPPHAPQSSSLPDYPQLILEAIEALNESAGSNKSSISKHIESAHPDLPAAHSTLLSHHLNKMKQNGQIVMLKNNYMKPDPNAPPKRGRGRPPKPKVPLPAGTVVSPPRPRGRPPKPKDPYAPPKPKITATAGTGRPRGRPPKKAKTATPAPPPPPGLVKRGRGRPPKV >OMO60659 pep supercontig:CCACVL1_1.0:contig13690:5997:9317:-1 gene:CCACVL1_23976 transcript:OMO60659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSKNFFDKLIKPFKINSSKEGQNEEDLEKIAQQEQKQFSFETLVAATKDFNPHHKLGEGGFGPVYKGKLDDGREIAVKKLSHSSNQGKKEFQNEAKLLARVQHRNVVNLLGYCAHGAEKLLVYEYVSNESLDKLLFKSNRKEELDWKRRYEIIGGVARGLLYLHEDSHNCIIHRDIKASNILLDEKWVPKIADFGMARLFPEDQTHVNTRVAGTNGYMAPEYVMHGHLSVKADVFSFGVLILELISGQRNSSFSLHNLDAQNLLDWAYKLYKRGKSLEIMDPALAPSAVPEQVAMCVQLGLLCTQSDPQLRPTMSRVVVLMSKKLGNLEEPTRPGIPGSRYRRSRRPPGFSSSHGTSGDSDSRTSESTFTNTASASASASTSALAPDPHGKRPMQG >OMP09248 pep supercontig:CCACVL1_1.0:contig03291:2800:2859:1 gene:CCACVL1_01069 transcript:OMP09248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AELAHQDKYYFQKHKAPKT >OMP12150 pep supercontig:CCACVL1_1.0:contig00365:5436:6606:1 gene:CCACVL1_00100 transcript:OMP12150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVPSKTPTLTAPSRSLIDRSSMDSTKGGKLESLNLDGKTGKFQFEDFLEKVKAIPFKGSSEILSIMQKEAGNWTLSDFNGLLMALVTADEPDLALELFANVASCGLTLAPNCWTFSIMIRCYCKKNDLDEAQRILHDMMENGK >OMP12149 pep supercontig:CCACVL1_1.0:contig00365:4476:5021:1 gene:CCACVL1_00099 transcript:OMP12149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQTQLFSDTEPQPKPKVKAKSKYSKCIKKEPYDYMNMMSLSSKKMGLATASEEDKSKMDEISVVLRKFGDEQSTLLDQFERLSFEVQLNQAILGRSLSEPSVAKKTLHSHFQVPPPQQAVPVPQVARKGRRRGSGFNKVLKKLLKPILGRKGCAAAERKLVAEPQNPLFCKGFGRSLRL >OMP12151 pep supercontig:CCACVL1_1.0:contig00365:7293:9717:-1 gene:CCACVL1_00101 transcript:OMP12151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation elongation factor P/YeiP, central MAGTAAFNFSSATSLLRPSSSLKFSSKPPFLPMRFSPKTHSPPRILRINALTSNDIKVGTNLEVDGAPWRILEFLHVKPGKGAAFVRTKMRNYITGNTVEKTFRAGSTIEEANVFKETKQYTYKDGAQFVFMDLSTFEETRLNEKDVGDKTKWLKEGMDCNLLFWNGKVIDFELPITVQLKVVDVDPGLKGDTASGGSKPATLDTGAVVNVPLFVNVGDEILVDTRTGQYMSRA >OMP09289 pep supercontig:CCACVL1_1.0:contig03263:121:279:1 gene:CCACVL1_01067 transcript:OMP09289 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein WAX2-like protein MALTGTVSLVAAFGYVTYIDLMNNMGHCNFELVPKWLFSAFPFLKYLMYTPS >OMO72692 pep supercontig:CCACVL1_1.0:contig11420:23022:24233:1 gene:CCACVL1_17652 transcript:OMO72692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MILFEKFGFTHTGHVSIAVSSVSVSSSANAPNPDASRLGFFLLSEESLLQVLLEIQQNPHFCVLDSHYIQRLFTFSDLSPPPLSRFNRSYPVSSPNEYSLFFSNCAPETRVSMNVRTEIYNLDRDSSKDYLSAGLTQLPSLYTFFSILYLAFLGYWIYVCFTNKRSVHRIHLLMAGLLLFKALNLICAAEDKHYVKVTGTPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQEREKKVLMIVIPLQVLANIASVVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALRTIAAYKYQWVSNAAEETASLAFYLVIFYMFRPVERNEYFILDEEEEEAAELALRDEEFEL >OMO72695 pep supercontig:CCACVL1_1.0:contig11420:41949:44183:1 gene:CCACVL1_17655 transcript:OMO72695 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MKSPGKPPVGAAGDVDNLPLFNTSSRLELQSYPKTAKPTVSPTLAELLMRVDDAQNDVSGNNTPVDHRVLELGGGVYGGGSLPSSHPFVLSFNSLIYSVKVRKKLALPFCGKGRSKVETNNNTKVLLNDISGEAREGEIMAVLGASGSGKSTLIDALASRISRESLKGSLTLNGEVLESKLLRVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSKKKKKARVQALIDQLGLRSAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTSAYMVVKVLQRIARSGSIVIMSIHQPSYRIMSLLDRLIFLSHGNTVYSGSPANLPPFFAEFGHPIPENENRTEFALDLIRELEETPGGTKSLVEFNKSWQARKHRRNGFSNGPNLSLKDAISASISRGKLVSGATNNDSNLTSSVPTFANPFWIEMIVIAKRSLLNSRRMPELFGIRLGAVVVTGIILATMFWKLDNSPRGIQERLGFFAFAMSTTFYTCAEAIPVFIQERYIFMRETAYNAYRRSSYVLAHAIISIPSLIILSLAFAAITYWAVGLAGGLSGFFFFFLIIFASFWAGSSFVTFLSGVVSHVMLGFTVVVAILAYFLLFSGFFISRDRLPTYWLWFHYISLVKYPYEGVLQNEFDDPLKCFVRGVQMFDNTPLGEVPVSLKIKLLQSMSSVLGMNITSSTCVTTGKDILVQQGITELSKWNCLWIIIAWGFFFRILFYFTLLVGSKNKRR >OMO72693 pep supercontig:CCACVL1_1.0:contig11420:24717:32680:-1 gene:CCACVL1_17653 transcript:OMO72693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLETFKKSQKGQKTRSVVMGVGGPYSPLKDNGPQQKKWAVNGPFRILYEIDPSCRVPVRANYVNLYKWPESEAEFVRIP >OMO72694 pep supercontig:CCACVL1_1.0:contig11420:34589:39046:1 gene:CCACVL1_17654 transcript:OMO72694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MGKGGENFGKGESINSKQIVDPDVFPAWAKDIQECEKHYKVSRKSGLSSAEVENRRKIYGYNELEKHEGQSIWSLVLDQFNDTLVRILLVAAVISFVLAWYDGEEGGEMEITAFVEPLVIFLILIVNAIVGVWQENNAEKALEALKEIQSEQATVIRDGVKIPNLPAKELVPGDIVELKVGDKVPADMRVLELVSSTLRVEQGSLTGESEAVNKSNKLVSADADIQGKRSMVFAGTTVVNGNCICMVTQIGMETEIGKVHTQIHVASQSEEDTPLKKKLNEFGEVLTMIIGIICLFVWLINVKYFLSWEYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVAKLVAMGADHSSLRSFKVDGTTYNPSDGKIQDWPSGRLDANLQTIAKIAAVCNDAGVTHSDNKFVAHGMPTEAALKVLVEKMGLPNGGGLASSDVLRCCQWWNEYERRIATLEFDRDRKSMGVIVDSKSGRRSLLVKGAVENLLERSSKMQLCDGSVVPFDWSSKKLVSDALQDMSSGALRCLGFAYKDELPEFETYDGNDDHPAHELLLNPSNYSSIESNLTFVGLVGLRDPPREEVHQAIEDCKAAGIRVMVITGDNKNTAEAICCEIGVFGPNEDISSKSLTGKEFMEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITAWILFRYLVIGLYVGIATVGIFIIWYTHSSFLGIDLSGDGHTLVTYSQLANWGQCSSWKNFTVSPFTAGNQVFNFDNNPCDYFQGGKVKAMTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFNEWLLVLAVAFPVILMDEVLKFIGRSTSGSQSSRQRTLKPKSE >OMO72690 pep supercontig:CCACVL1_1.0:contig11420:8766:13738:-1 gene:CCACVL1_17650 transcript:OMO72690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGKVGSIISQGVYSVATPFHPFGGAVDVIVVQQQDGTFRSTPWYVRFGKFQGVLKGAEKVVRISVNGVEADFHMYLDNSGEAYFVREVDSGKGIETNGDLKISADGEVIQDDSNKDIPEYCRLEHSVSDSGVAQLRDECEASAPKRLERAESDTRFYEFQDEQFSLEDSFNLSEFGSGRYEGLDGEHLGEPQNLDSEMVLVSVDGHILTAPVSASEESAEDVQLSTPQFHLGPGEGSDFCEGNEEFTSGDNVWADDYISKLNSAADKGSPDDLCGVNSESTALSHQPEVCEEGGEHTCQTEETHDPSHHDSETNTQSDVEDASVDKKKEDVFKSCLELSELGKRGENTNSEELDSPLESQNSQDKPSHNPPDVDGTEDGAVDDSRNTDALAPTRSPISFNNNVSPHSPVESELVEKEVLDTDYNSASVDSVGNDHVCNDEQFGKSAADEGTNGNPKSPEPVDMGSKSENVETETACKEIATDNRGFEISLCANELHAGMGLDAAAEVFEVHRISEDEYKNSAMSIIKNANLIIRYGEKYFPWEKAAPIVLGVAAFGVELPVEPQDAIPVEQDESPNQKDDDSIVSSSSSGRRWRLWPIPFRRVKTLEHTDSNSSSEEVFVDTEPGLQNPQADLAPSSSGRIESPRKQYIRTNVPTSEQIASLHLKDGQNTITFSFTTRVLGTQQVEAHLYLWKWNAKIVISDVDGTITKSDVLGQFMPLVGRDWTQSGVAKLFCAIEENGYQLLFLSARAIVQAYITRSFLLNLKQDGKALPNGPVVISPDGLFPSLFREVIRRAPHEFKIACLEDIKKLFPPDHNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAVSHCIDVKSYTSLHTLVNDMFPPTSLVEQEDFNNWNFWRMPLPDIE >OMO72689 pep supercontig:CCACVL1_1.0:contig11420:2281:7719:-1 gene:CCACVL1_17649 transcript:OMO72689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLRTAVNRAVEVGGKNHLTRTVRSYADSVVQQAGSAVAGGARLLQDRIAARNMQNFRVTVKRLEEVSVSCRGVERVQVYYFDPQLGEPMNFLEVFLYSQALEGMTLSMILEPPTEEEALLFLEIFRICLAGTKEVHETVMSCIKNLAVAFSNYQEEVLVKREELLQYAQAAIAGLKINADLARIDAEACSLKEKLGEMDALQIPSSEGHEESSEKQTAQTVEALKEALGKIAVYSRLESLLLKKRSLSNGDSPQLHAEKVDKLKVLSESLANSTSKAEKRILEQRYQKEEALSFRVAKTNEVSQVEQDLTEGIIDLEKQKDELETELIKVNALLTAARARLRNAREEREQFDEASNQILLHLKSREEEISKSIAQCRVEADVVNVWVRFLENTWAMQTSYKEQKDKQVNGELERYGDYFVNLIIHLLSAYKEQLGPSLTRIKQLVEKLGSSERYIALAAKDDDSEKGLDQRRVLEEEYLNHETKLVTTLSVVDSMKLQFFAPKEGIHRKNVQRVKELFDELQSIKEEFDSIKRPILEIEIAPGQKTQSPSSEKPQISPWSRLKSASFKAEQKKPKYEFENDSEISEYETQEIVEWEYDAFEKDLKHDH >OMO72691 pep supercontig:CCACVL1_1.0:contig11420:17148:22159:1 gene:CCACVL1_17651 transcript:OMO72691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleolar complex protein 2 MGKLGKKARKFAKKNLQSVFKRKRKLKSMFKKKGSKRDEHDAAENREEDQIEKSIGRDLEGENVEDVALDAVFGDESDVVEDDSESDGYLSEDSGVIDSYENGTESSHDDVGADNNGASDLSVQNREILLELSQKRKKLEKLKKKDPEFSKFLESYENGLEKLKDEENYSDEENTSDDDTQNPSKGNVNLSTDKLLTSSALNSLCQLVKEQQSISALTSLLNGYRAACHYGTEPSGLQDSSTFSKILIFMLQEADNIFRGMLGISRSSCKKETILELKNTSKWKTMKPLIKSYLRSTLFILNQIADSEMLAFTLVRLRVSVIFFAAFRPLLQRLVKIAVHLWVTGEGALASHSFLVIKDVATVFSSDCFNSCLIRTYKAYIGHCKFVDPDSSKHIQFLRTSFVELCSQDLQNSSSKAMVCTEQLSKILQMGLRTKKKEAVARICSWQYTNCLDLWVSFTSANIQDYDLKPLLYMIIQIINGVAVLFPGPRYLRLRLKCIQWLNNLSSSSGVFIPVASYTLDILEYKTGKDSGKPGKDFNFSSSVKLPKHWLKSRNFQEKCVFSVIELLAMHFAQWSYHITFPELATIPLIRLKKFHETTTIETFRRVVKRFIDQVEQNMEFIRRKRDEVAFSPKDHQSVESFLQFEKSSANTTFTQYYKSMIEKAASRSLVLNQKISSLQQKKLKGKKRQLPNKGAKSEEASEERKADLLIEDGKDGKSEKKRRTKE >OMP00209 pep supercontig:CCACVL1_1.0:contig06712:31406:31495:-1 gene:CCACVL1_03442 transcript:OMP00209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NTKIKIQQLPLSSTAGHHLPPVTAALGHH >OMP00207 pep supercontig:CCACVL1_1.0:contig06712:4212:9184:-1 gene:CCACVL1_03440 transcript:OMP00207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVVRRQKEESGESASRVVWWMDGMVHIRGSIGVYFVVTKRSTRKTSALNKGEEGTTGVENTAVAETMIPKEVVQIKLEGFEHSARAETIKSIRT >OMP00208 pep supercontig:CCACVL1_1.0:contig06712:11574:14616:1 gene:CCACVL1_03441 transcript:OMP00208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGRRKQRWGTVQTLTPLMEGPDPEMQDYEGNKKESSWEVIREWFRTQKGLSSSSSATNNLSMSLYGGNNNNNNNVPAKRQDLRLLLGVLGCPLAPIPLLNHPINHPRLKDIPIETSTAHYIIQQYLAATGCLKQQKRSKNMYATGSVKMICCETEISTGKNVKSLGTRSGESGCFVVWQMLPGMWSLELVVGGNKVIAGSDGKTVWRHTSWLGTHAAKGPQRPLRRIIQGLDPKTTASLFSKAQCLGEKRIGEEECFVLKVCADREAVMERNEGPAEVMRHVLYGYFSQKSGLLIYLEDSHLTRVHTQENDSSVYWETTIGSSIGDYRDVDGVLIAHQGRSIATIFRFGELSVEHTRTRMEEMWTIDDVVFNVQGLSIDSFIPPADIFDHNLHSPSL >OMO67966 pep supercontig:CCACVL1_1.0:contig12340:8218:8799:-1 gene:CCACVL1_20164 transcript:OMO67966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPDHFKLHHRNQAVQNFFALCPSQKTYTSATHLNMQSWEMAGNRGLHGNSSQHPDSSSTNFSHQVHFPFHLFSPNASPDLSTEDEGKEYHPASIADEKRLRRMKSNRESARRSRMRKKQLIQELQSQVDQLQTINHQLSQKLINLLESNHEILQENAQLKDKVSTLHMVLADVFTPMRNLEETSMEHKSSS >OMO67967 pep supercontig:CCACVL1_1.0:contig12340:12237:13667:-1 gene:CCACVL1_20166 transcript:OMO67967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MASVSFLQLHDAEDDVVHHNHHHDSTLTIDSLPYWSQDFHLFSSSSSDPDLPHQDDDVSLHDSLIINGPDLFDRRENQVNFVIDLFHQRVEQSQVISHNSPNNNANSSVNDVNSVNNDDEINAIDLVTDALTESGFGVIEGNHELDSGLGLGFDSMDTHESDVEIDVRGVDDDYDAFCVERRVSGLSASDAASTFNGFGDIIQIVGFRSDSDEDEENGNDNGSLAIDLNSVDDYGIDDHINCVYDVDVDADDDDVSVTIPLCWDSLQLEDRRETNEDFEWEEVDGRVDEREVLSVFLDADDDENSVSLSISPIIAPEDAATFERAAGLGTFGWEVLLNANNLETNPDVDDNAEPFFADRDDYIYTAEYEMLFGQFAENENAVIGRPPASKSVVENLPCVVVSQEDVMNNNALCAVCKDEVSLGEKMKQLPCAHRYHGDCIIPWLGIRNTCPVCRHELPTDDPEYERRRSQRAGGAL >OMO67964 pep supercontig:CCACVL1_1.0:contig12340:1743:2189:-1 gene:CCACVL1_20161 transcript:OMO67964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARFAPPQLSPAAAVDLESAAERPAALTVEDSQNYLAWEKLIVAFCLGSSIEIAILFAQIGSAPLPITFNLLSLAILLAFTCLCVGKCYSNHSKFHRVARMLEQVGGFFMVTAFFIAIAIPFPLWLQCCTGAVYAISFLSIMICNLF >OMO67965 pep supercontig:CCACVL1_1.0:contig12340:3970:5064:1 gene:CCACVL1_20162 transcript:OMO67965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASVPPSSPPPPSPPSISASHSESSATHPTAPMPPQPQVDADAGNGTLNVDDQKPQIPDHFA >OMO67968 pep supercontig:CCACVL1_1.0:contig12340:14669:19391:-1 gene:CCACVL1_20167 transcript:OMO67968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLWYFGPRFNGPFLSGGEFETNITRFTLPIRTLAFNKSGSMLAAAGDDEGIKLINTIDGSIARVLKGHRGPVTGLAFDPNGEYLASIDSIGTVIYWELQSGGTLYTLKGIAPDTGSDTSILNVLSWSPDGETLAVPGLKNDIVMYDRDTAEKLFNLRGDHTQPICYMSWSPNGKYMATSSLDRQVLIWDVKRKQDIDRQKFDERICCMAWKPTGNALAVIDVMGKYGVWESVVPSSMKSPTEDIPISKTSNGLLFFDEEDQELSMSGSLSDLGEDNHAESEPPSRKRLRKQSVIDDDQLEDAYYESSLLQTESRKKVHRVNKENSNKEKDESRSMMVSRPKMQEAFQPGSTPPQPGKRHFLCYNMLGSITTIKHDEYSHIEIDFHDTSTGPRVPPMTDHYGFTMASLNENGCVFANPCKGEKNMSTVMYRPFSSWANNSEWYMRFEGEEVKAVAVGATWVAAVTSLNFLRIFTNGGLQKHILSINGPVVTAAGFKDELAIVTHVSDCLPSNEQMLEFRIFNISKGTQSFKGRLPLTPGSYLTWLGFSEEGQLSSYDSKGVLRVFTSEFGGNWLPLFSASRERKSGENFWVVGLNARKLFCVFCKKPDSFPQVLPKPVLTLLNLSLPLASSDLGEEARENEFMLNNMHLSQIQKRIELLTGEGLDITSLDDEAFDIQAAQDRCIFRLIASCCNGDKLVRAIELVKLLSVEKSVRGAIQLATALKLPILAERFNDILEERLQSKTEVSNTSLPIPRHENNVKADVAASKVSPAIEKSQISESLVPSSSSKLSAPLFTKKVKSSDSRDVVKGAEKNAGHSQVNGVMEMKNLQAQRCSNPSLKLQNNQEVKKVGEVNQLQSQGPSNPFLKSSNKEEANKVGEVTGAQSHRPSNPFLKSTVK >OMO58967 pep supercontig:CCACVL1_1.0:contig14075:14463:20040:-1 gene:CCACVL1_25205 transcript:OMO58967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MSSSSSGFVPMPPVFTGQNYHLWVVKMKTYLQAHELWEKFKAWIENQSGEKIKVIRSDNDTDYTFERFEEFLATVGIDHQLTLTYSAQQNGVSGRKNKTVMEMTRLPTKALKNKTPYEAWFGQKPNVTHLRIFGSVCYARIPKAKRGKLDEKAEVGVFVGYNTRSKGYKIFNPATSNVYVNRDVNFDENAAWNWEKNEVDVPKVVSSSLQRQTYLEGEDEDYDDALVRGTRSLADVYQRPQNKNVIEVNFRTKLNRDGTINKHKAWMVVEGYAQCYGVDFIETFAHVATLDTIRLLLAIASQNQWKGSEDKVFLLKKALYGLKQALRSRYDRINSYWMQLEFNRSPSEPTLHVKPEKEDKLVVSLYVNDLLKQRYSTEILKKFHMENCKPMHSPMNQGEKLSKEDGSGKKQDTIAQSTAEAEYIAAAAAVNQVKGVVPSLCIERGGNPRMFKRRISPSSFAIWIKKLLKDLLFCPEVAVKILVDNQSAIVIAKKSSVLWQN >OMO50286 pep supercontig:CCACVL1_1.0:contig16228:7602:7950:-1 gene:CCACVL1_30534 transcript:OMO50286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDQRLNNKTAALSAGEWADSLTNISVGDLLAEVPHDLDDNCADHPVAISSQCLQQIPFSCDSFDAANLDIKTRWKFHHQHPMHLPSGMLKKHVMPSHSERILFLVYQALLPQRQAK >OMO50657 pep supercontig:CCACVL1_1.0:contig16092:16670:20305:-1 gene:CCACVL1_30325 transcript:OMO50657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIASARVIPLLLICNILGSFGSRFIRISGHSGYLSFEFWIIWVTSHLGLESSGLRVIRVSDSSGTGSGGFGSFAFFVQIRLGRRVLGFRSGFDSSKWKEVPS >OMO60710 pep supercontig:CCACVL1_1.0:contig13679:38177:41900:-1 gene:CCACVL1_23933 transcript:OMO60710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMQRLAQSSVSTSAAATSNYGGSSSFRGVETVISDQFPAGLRVLVVDDDITCLRIVEHMLRRCLYNVTTCSQAKVALNLLRERKGCFDVILSDVYMPDMDGYKLLEHVGLEMDLPVIMMSADGRTSAVMKGIRHGACDYLIKPIREEELKNIWQHVVRKKWNENKELEHSGSLDDNDRHKRGIDDAEYASSVNDGTDVSFKSQKKRSITKEEEEENENDDPSSSKKPRVVWSVELHQQFVSAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRISGVAQQGGIGNPLCGPVEPNVKIGSLGRFDIQALAASGQIPPQTFAALHAELLGRPTGNLVTAMDQPALLQASLQGPKCIPVEHGVAFGQPLVKCQSNISKHFPQSIVSVEDVPSGFGTWPSSNISAAGPSSSLGGLSSQNGNMLIDLLHQQQQQRQLQKPQLQQQSAVPEPSRLINVQPSCLVVPSQSSASFQAGNSPASVNQNGSFNKTAVIDYSLLSPQSNNSSLNIGQVTDGDLQTTGILSGYIPPASISPSVSSCSINADNCSSQQLQNSSMTFKASRQLPIVHSLPDVQGSYGAVKLGEVLDQAPFANLGYNDKGTCLPTRFAVDEFQSPMSSSSRGKIFAENIGTRVKQEPNMEFVDNSRVGIPMLQQFPPNELMSVFTE >OMO60714 pep supercontig:CCACVL1_1.0:contig13679:55160:57157:1 gene:CCACVL1_23937 transcript:OMO60714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHEPPPFSPQVEVTISLEIRLFHHYCSSNRLTVVDNHHLTVFPRQQTLRFDAHVFKNQQLASMFLGSMLTSLGLLPEYPDFHFVIDKIMRHGVEISNFIITSELIEPVVLGLRAELQQRVVSHIDYENGSDFSVGRAMEESELDFERVNYGMVPAEESSVKKELKKVRAQGGGDCMICLQELEVSSFALQMPCAHAFHAFNLSCSQRALQTCCFARRRVRYDDEDEEINGGEGGDYGYNEEIAMLELYSQSARGEALLIHAIVDEQEVEVLIFKGFSSNLTCGTSPDPSRSVIPARAVIKSIDRIKGPFDPSNIEYIEKGLEWESFKTRLAPT >OMO60706 pep supercontig:CCACVL1_1.0:contig13679:17833:21484:-1 gene:CCACVL1_23929 transcript:OMO60706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTGRGVPLNGSVYVCNLPEGTDETMLAEFFGTIGLLKKDKRTGRPKIWLYRDKETNEPKGDATVTYEDPHAALAAVEWFNNKDFHGSTIGVFIAESKNSTAGGGGDPPSLARDGDGLEEDVATDMNGGGGRGRGRGDASGKTWQQDGDWLCPNTSCSNVNFAFRGQCNRCGTARPAGASGGGGGAGGRGRGRGAANESSRAVGAGAAAATGGLFGPNDWPCPMCGNINWAKRTKCNICNTNKPGHNEGGVRGGRGGGYKELDEEEIEETRRRRREAEEDDGEMYDEFGNLKKKFRAKQQQAEAGRGVPGSGRAGWEVEELGVVDRDGRERSRDRGRERDDRENSKNRDRDDRERRRSRSRERDRGKDRSRDYDYDRDREYGRERERDRDRDRDRERDRDRGRYY >OMO60707 pep supercontig:CCACVL1_1.0:contig13679:23436:25667:-1 gene:CCACVL1_23930 transcript:OMO60707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSQELALTQMRKSVEKLGFSTEKYGDPTLMRFLIAKSMDIEKAAKMFVQWLKWRSSMVPNGFIAESEVPDELEARKSYYPERLAKLYILHMPRFFVSFWKMICRFIDKPTKEKIVIVTNEDEKKCFVEEVGEEAVPVEYKAKLTAIQDVQVPPLMEA >OMO60715 pep supercontig:CCACVL1_1.0:contig13679:60798:64091:1 gene:CCACVL1_23938 transcript:OMO60715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGEEVTQSSGKRQRFSKDEEKQQEMEEEEEEDDDYDDEEEVDESELPLEPGLFYYPTTPTSFVVSDALEPDFPIIYVNKVFEAFTGYRADEVLGRNCRFLQYRDPRAQRRHPLVDPFVVSEIRRCLEEGIEFQGELLNFRKDGTPLVNRMKLAPIHDDDGTVTHIIGIQVFSEAKIDLNRVSYPVFKETYNQQLDPSAKYSHFSGNSPFSQSQEICGILQLSDEVLAHNILSRLTPRDVASIGSVCRRIRQLTKNEHVRKMVCQNAWGREVTGTLEMMTKKLGWGRLARELTTLEAVCWRKLTVGGAVEPSRCNFSACAAGNRLVLFGGEGVNMQPMDDTYVLNLDAANPEWQRVSVESSPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFVLDLDAKQPTWKEVTGGTPPLPRSWHSSCTIDGSKLVVSGGCTDAGVLLSDTYLLDLTTDKPTWKEIPTSWTPPSRLGHSLSVYGRTKILMFGGLAKSGNLRLRSGEAYTIDLEDEEPQWRQLECNSFTSLGSQSAVVPPPRLDHVAVSMPCGRIIIFGGSIAGLHSPSQLFLLDPSEEKPSWRTLNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWLLNELHELCLASRQDSDS >OMO60704 pep supercontig:CCACVL1_1.0:contig13679:1927:8628:1 gene:CCACVL1_23927 transcript:OMO60704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MMMQQQQQPQAPPPNPPPPHPPSAASSLRSPTPTPTQSPLPFSSRQPIDHVALPISAAHPSGEPLVAAMGTSPLPLARVRLSDITPYDGAPSVSYLRAVDALSGSLMRHNAAVIEFGNDDAALLRCGLEAARLYFRSRVNTVGKGSRGVYMYRAGRALEDWDSSPPCMADIFRCMGKAARVALCALARHLRLRSDVFNHLLDDTPLPANEVSSSVLVATYAQTSLQNGKGAIGGGKPGMNGEVEKGLLTLISSDSPGLQVCDPNGRWYLADGGLVPGDMLLITGKALSHATAGLRPAASYRAAPEYLSGINNSGRTSLAFRLMPQGNAILDCSPVAAAGHVIPQTYVPISVSQFMDELSAEEDVVCNRSDNTCVTQNNLNKEPSLRSVLSDPLSGAFLEDAMVVSCGHSFGGLMLRRVLDMSRCTLCSADIDSGSLIPNIALRAAAAAVKLEDDRRLFHNAALRKRRKEMGEQIDASRRSSRENGDVGADDGLNRGVQYPFSVNEKVLIKGNRRTPEKFVGKEAVITSQCLNGWYLLKIIGTGENVRLQYRSLCKILNPQAIEDRCPSQPIQNSSS >OMO60705 pep supercontig:CCACVL1_1.0:contig13679:14165:16025:-1 gene:CCACVL1_23928 transcript:OMO60705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLSNNLQININDQQAFFVDEKIISAYSGRLKKIIKQQKRRTQIQNSVIELIDFPGGPDGFEQVSRFCYCNGRVEITVSNVSLLYCCAFFLGMTEKISTNNLLRQTEKFLEGIFYWSWNDIIVSLRSCEPFFSYADSYGLIQKLILALLAKIAQNSDFITCSSSSSSSPETSYGFRFSSTSNPTPDLTSPSSKSSKAWWFDDLAILSPKIIDIIIKNLGAYGNQNNSFTLTRFLLHYLKSRPQISPESKSEYCGLADTAVHGVILVGKSKFSCRKLFWVLRVVSAFSLSKDCRVGLERLIGENLDEATVDDLLVSGHNKGVYDVNLVIRLIRVFVKSEGVSLQRMKKLGRLIDKYLREISPDQNLNISKFLGVAETLPDSARDCFDGVYRAIDIYLQSHPTLSFEERSRLCRCLNYEKLSFEAGKDLAKNPRIPPNIAVQALKSQQSKVVQNDEFVYEISPSSKSNYNSPMMLQLTDHSIDSSEECCSEGTEDMKLNLQKMQWRVVELEKACREMKGQMSRLVRHNSACYNGTLPRFC >OMO60709 pep supercontig:CCACVL1_1.0:contig13679:29888:33194:-1 gene:CCACVL1_23932 transcript:OMO60709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSQELALTQMRKSVEKLGFSTEKYGDPTLMRFLIARSMDIEKAAKMFVQWLKWRSSMVPNGFIAESEVPDELEARKIFLQSSSKTGHPVLIVKSCKHFPPNDIPQFKTSFLLSFQRMVLACDNSYFPERLAKCYLLNMPRFFVSVWRMVCRFIDKPTQEKIVIVTNEDEKKCFVEEVGEEAVPVEYGGKAKLTAIQDVEVPPFEGCDIKSSKQPT >OMO60711 pep supercontig:CCACVL1_1.0:contig13679:45089:45859:1 gene:CCACVL1_23934 transcript:OMO60711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MASVYFFRFENLQHNVIPQQPHIIPSNDPPTAPTSQVQLDVTMSLCLNLSRHGSSNTVEPLFKQSRRSIDNPSSAFLNLDSMLLKLYEGLQTDNNFIFEDNFFPKFDAAFVKILRHWDSVRRKNSTSSRGRETIILPVQAEFSATIVFVEHIQETPPVVQQITNYDEDYVEIGRAVEESRMVPATESSIKRMLKNVINVEQGKSCMVCLEQLQVGSCVSQMPCSHDFHRDCIETWLKQSHYCPICRFEMPTEEEFN >OMO60708 pep supercontig:CCACVL1_1.0:contig13679:26654:29017:-1 gene:CCACVL1_23931 transcript:OMO60708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSPELALTQMRKSVEKLGFSTENYGDPTLMRFLIARSMDIEKAAKMFVQWLKWRSSIVPNGFIAESEVPDQLEARKVFLQERLAKMYALHMPRFFVSVWKMVCRFLDKATQEKIVIVTNEDEKKCFVEEVGEEAVPIEYGGKAKLTPIQDVQVPPLEG >OMO60713 pep supercontig:CCACVL1_1.0:contig13679:53455:54219:1 gene:CCACVL1_23936 transcript:OMO60713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAYPIPSSDHRLHSGSGIVHCIISTVEDVAPEPNEPPPLFHQVKLTISSRIHLIRHYCLSDVVTGDRLLYPPETFRFESDLFKDNKEVVSLLLSYILKSNDLFDDYSDLDQIVNDIMGRGLEFSESLTSVAADKEPEVLHLVAELDEIVEERIDYESEWDLSLERAVEESELELEACNYGMVPAEEASVKEMLKKVDKAESGDCMICLEEFKAEISDALEMPCSHAFHGGCIQTWLKQSHYCPVCRFEMPIVMN >OMO60712 pep supercontig:CCACVL1_1.0:contig13679:51082:52167:1 gene:CCACVL1_23935 transcript:OMO60712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MATVSVFRCENMHPNIIIPQPPLNISSNYPPAPTSEVQLDLTMSFSLKYFSRHSSYTVGRPSPYFKSVRCSIDNPALASWNLDCMLLDLFDQLHTDFNFIAFEDNSFFMKVDHALDQILQHWNWVRMTNFYTSSRGNKVFPVHAVFSGAVVEYVQQIIPPVVQQVYWNYDLDSTVMYDEVGIGTGRAVQESVDSTEIYDEVGIGIGRAVEESALELERRRYYDEDSTEIYEIYDEVGIESLVEESVERRRYYDEDSTEIHDEADGMGSAVEESSLEFERRRYGMVPATESSIKGMLKSVVNGEQGKDCMVCLEELEVGSYISEMPCSHAFHGDCIQTWLKQSHYCPICRFEMPTATEEEFN >OMO66180 pep supercontig:CCACVL1_1.0:contig12575:10170:10418:-1 gene:CCACVL1_21286 transcript:OMO66180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRKLLGLSAAETVIGGVLGTILGTAAWWITRKPLVAKTKRIQEFTEELRLSSEAIREARRVREFEAQAACSAEEKLKQQEG >OMO66179 pep supercontig:CCACVL1_1.0:contig12575:6808:7362:-1 gene:CCACVL1_21285 transcript:OMO66179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MKRGRESEESVNFESSIDITKCLMLLSQGMDNNKPKLGDLVDDEEVFECKTCHRRFPSFQALGGHRASHIKKPRSMGDHENRKANKDSKFDLSLGITSNPKVHECAICGKKFNIGQALGGHMRKHRASIYESFDPYYFPMVPKLPVLSRSNRKRILSLDLNLTPLENDLEALFGNMAPKLDLRF >OMO76746 pep supercontig:CCACVL1_1.0:contig10872:11814:12506:1 gene:CCACVL1_15449 transcript:OMO76746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIVRSHLKLEDIVCKPPISGPIYEPPIYAPKPPQRKPPERKAPISGPGSEPPIYALPGCKSSISGPISEPPISDPKSPRISEPPISDKSTPISEHRIPPGDFVLPPPISEPPNIERIIPGHFVEALVPKPMSIKSEDPDYILKYEDRESIGFDFICGKIQPIHDEDFSEMFGLDALCVIAIASFNRKSVRS >OMO81927 pep supercontig:CCACVL1_1.0:contig10084:5620:8787:1 gene:CCACVL1_12139 transcript:OMO81927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTPTEVATSTSTETATSTATSVEVPPPQQPKPATELPKRWADIEDEVAEEKGVPELDVGSLAIDENKKINKFLDEPEDSNIKAVSSSDTPYTSAYTFEELNLSPELLKGLYVEMKFEKPSKIQAISLPMIVTPPYLDLIAQAHNGSGKTTCFTLGMLSRVDPKIQAPQALCICPTRELAIQNQEVLNKMGKHTGITSACAVPMDSSNYIPINKRPPITAQVVIGTPGTIKKWMSSKKLGVSYVKILVFDEADQMLAEDGFRDDSLRIMRDIERISSHCQVLLFSATFNEAVKNFVAKIVKGNHNQLFVKKEELSLESVKQYKVKVPEELSKIMVIKERIFEFGERLGQTIIFVRTKNSASMLHKSLVELGYDCTTIHGNLTVDDRDKIVKEFKDGLTQVLISTDLLSRGFDQQQVNLVINYDLPVKYPNREPDYEVYLHRIGRAGRFGRKGAVFNFLCGEADQMIMSKIENHFGAKVEEVADWRSEEEFKSALKSAGLL >OMO81933 pep supercontig:CCACVL1_1.0:contig10084:32676:33569:-1 gene:CCACVL1_12145 transcript:OMO81933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MDSTHATAPPSGGSFLTPLLISLAGVLASSLAIVAYHMLLVKYCIRRREQDIGNPRLPSHIEIGFTNGVEKKILETIPILSFSKEIAKQLHTDQTECVVCLVELEEGDTVRLLPDCKHVFHVPCIDNWFQAHSSCPVCRTRVSSVTTNPCLNPSPEDENGGELRVHHDLPESDGQDSDEVANTTSCGVQIQSKTSLRHCVSLVFPGETKPKHLVTGLRRSLSVDQFYILINLAEENYEKGSSSDDDLPSISKIKSLAMSSSCKVRSMKQLDRMSSLLRSFSHLRVGRNSNSYGILPY >OMO81928 pep supercontig:CCACVL1_1.0:contig10084:9379:10521:-1 gene:CCACVL1_12140 transcript:OMO81928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation release factor pelota-like protein MKLVKRNVAPNKSGSVKIILDEQDDLWFVYNLIAKGDTIITDTSRKVIKQSKSYQEFGKLNKIQSKSNESTRVKFKIELEVAAVDYDKDSSTIRVRGANLVANEAMGVGASHTLEIERNKEFELKKDHWDLNAIQILNEASEQVSGADLAVLILQPPGSAQLFLVGKKTTRCANIQASKGGNKTTGNKFFDEIFQAFKKHIDFNIVRCVVLGSPGTLRQQFKDYLFQEATRLNMKAIKENKSRFVMVNIGNKSNLKEVLLDNEVMEVIKGTKAVLEIKAYKEFSDLLMANSDRVCYGPKSVEIANEMNAIETLLITDDLVRCNDIALRQKNMELVKSVQKTGGKAFVFSPLHVSGEQLAKLTGIAAILRFPCPDLEELVL >OMO81930 pep supercontig:CCACVL1_1.0:contig10084:14199:15649:1 gene:CCACVL1_12142 transcript:OMO81930 gene_biotype:protein_coding transcript_biotype:protein_coding description:dnaJ subfamily C member 28-like protein MASRLARKLPSFTSAYSSSLISKSTAEISPPSAGHGGSGLRWASSSSSRSSSSTPSNPSSSKREKKITDRLSTVIDAVNDRKLPPELRGQRNAVRSETDIINVVEQRIWHSMEEGQFENLPGKGKPLNLNTNPHADPAEDTLYRILSKNGCAPEWVELNKEIRSKVSEWRVSLKKAWERKCNGDHEKWIECSEALKFQLRDINDKVFRYNLIVPFGRQMFGLKWEKEIARLEE >OMO81932 pep supercontig:CCACVL1_1.0:contig10084:19430:26781:-1 gene:CCACVL1_12144 transcript:OMO81932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALTLLLVASSDACRNPIKTIHVNDDG >OMO81925 pep supercontig:CCACVL1_1.0:contig10084:1036:2034:-1 gene:CCACVL1_12137 transcript:OMO81925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDHQIVDKETIDQSDQRPLFSLPPFISQAHPCLFLSHGKQGEERQTFFSISQDQYYSRRLPHEMENKRILCTSSFGWLVLMDDINHNYSLVNLDSFAKIQLPCFDFDMDSPDSVLVTATPPRGHIVFIKEHLEKLIFQFCHLGGSDDEEVFTQTFDNIEATCIRSRVVFSGSIFLLKWNWSLLICDIVGSAIQFSELVVQVPWMLSAPRSNCCLIEHLGEMLLVYGHTFHMVSWHYAFNVFRFDFSTRAWVEVMDIGDYAIFIDHEIQQGICCSAPTGGLIRANSIYYEDGEEYCVFDLEERSTTTYLHSPTLTAPICHHKWIMLSTNNH >OMO81929 pep supercontig:CCACVL1_1.0:contig10084:11910:12547:1 gene:CCACVL1_12141 transcript:OMO81929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMALMLIAASLLMGYYCSIEAGATYVPDNLQVINLGGKVMCQDCTKSYGEWVQGTQPIKGCKVSVTCMDDRNRVMYYGSDETDEQGKFNMAVNKYINGKVLQAKSCLVRLVSSPHPTCNIPTNFAGGHTGVNLPIRPAVVYRDLVQYELGTFFYTTSRCDKPKPGTQDSCHGNNNY >OMO81934 pep supercontig:CCACVL1_1.0:contig10084:38454:39959:1 gene:CCACVL1_12146 transcript:OMO81934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Permease cytosine/purines uracil thiamine allantoin MASSAKFDELEADPTLTNDDLKPTVPSQRNYHWWEMASLWIGLVVGVPSYYLAGSLVDLGMAWWQGIATVVAANLILLVPLILTGHPGTKYGISFPVLARSSFGIHGAHIPTLLRALVGCGWYGIESWIGGEAIFLLLPNSIKESCLSQLLPWLGTSPLEFACFIAFWVAQLAIVWKGMDGIRELEKYSAPILIILTSILLIWAYVKAGGLGHMLSISSRLSSSQFWSLFFPSLTANISFWATLALNIPDFTRFAKSQKDQIIGHAGLPIFMGAFTFVGLAVTSSTQVIFGQVISNPIQLLGQIGGFSTMILAILGISLATITTNIAANVVAPANALVNLSPSKFTFRSGALLTALLGIAFQPWRLLKSSESFVYTWLVGYSALLGPIGGIILVDYYLIHKMNLSIKDLYSLNPYGAYYYSGGFNLAAMAALVIGILPVIPGFLQNVGILSSVSNAFVVIYNNAWFFGFFSAGITYWVLSRLKGKQNKALPFDPLLPPAAA >OMO81931 pep supercontig:CCACVL1_1.0:contig10084:16268:19210:-1 gene:CCACVL1_12143 transcript:OMO81931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Modifier of rudimentary, Modr MFKFWGSQEQQAQPRPQEGSSHSWYSHSVGSSPSSSRPTTPGGSASNSFNLQRPADRPHSPSPVSPAEAAGIITLLKDKSVDELRKLLSDKDAYNQFLLSVDQVKIQNNIRDELRKETVQLARNNLEKEPQIMELRNQCQIIRTTELAAAQEKLNELERQKEETLKFYSPASFVHRLQDAMNETEEESEKVNGQLLDREIDLATFVQKYKKLRTTYHRRALIHLAAKTSPIG >OMO81926 pep supercontig:CCACVL1_1.0:contig10084:2711:3868:1 gene:CCACVL1_12138 transcript:OMO81926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRPLISSSNDEDNDKWCNLPRELLELIFSHLSYGDQAIFHTVCKRWQTIKIPPPRHPIPLPSFEDMDPSSPWLISFPVINANGKCIFFNPINNQTYETCIPELSHSKILFAKYGWLLMIPDYNKIPFLFELVTRKKILLPEIDVGWAWHAMSFTCSPNSSDCLVTALSIFSGICCVFVHKLGDGDLGGWNEYSIILPDSFDLSDLITGYVPAFYKGKYYCLDRNGNLYIFDPRDVIASTLYRLNPDDGIPILDICPKGGSSAHQIFMVENEGDLLAIFITKCGRIVHVYRWDESAFRFCLVENLGNNNMLFISNGAVFSKKAVARATGNRIYFPCFFDRKGPYASYSLATKSYHSFYGGYSQMNSYGLRELRTAFWFSIDQCQ >OMO88900 pep supercontig:CCACVL1_1.0:contig08890:534:2016:1 gene:CCACVL1_08123 transcript:OMO88900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptobrevin MFGSLMFSTVKTENLAYCVVAIESVGRQVPIAFLERVKEDFNKRYGGGKAATASANSLSREFGSKLKEHMQYCIDHPEEISKIAKVKAQVSEVKGVMMENIEKVLDRGEKIEILVDKTENLRSQAQDFRQQGTQMRRKMWLQNMKIKLIVLGILIALILIIVLSVCGGFKC >OMO88902 pep supercontig:CCACVL1_1.0:contig08890:17806:39280:-1 gene:CCACVL1_08125 transcript:OMO88902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPSVECKYITEEIIREGKNGNSNFSFSSSVPMLRFIYELCWAMVHGEFPFQKCKALLEAVEFTETVSEDELGSCFADIVTQMAQDFTMAGEYRNRLIKLAKWLVESSLVPLRLFQERSEEEFLWEAEMVKIKAPDLKVKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCRGSEDSTQNESTARIGIIKSLIGHFDLDPNRVFDIVLECYELQPDNNAFLQLIPIFPKSHASQILGFKFQYYQRMEVNTPTPFSLYELTAVLVKEEFIDLDSIYAHLLPKDDEAFEHYNVFSAKRLDEANKIGKINLAATGKDLMEDEKQGDVTIDLFAALDMETEAVGERSSELENNQTLGLLTGFLSVDDWFHAHILFERLSPLNPVAHVQICKGLFRLIEKSISLAYDIVRQTHLQSFASPSGAGVDIMDTTNSSVSSSFIDLPKELFQMLATVGPHLYRDTLLLQKVCRVLRGYYLSALELVGIADGVSNAETVASGNRNPRLHLKEARSRVEETLGACLLPSLQLVPANPAVGQEIWEVMNLLPYEVRYRLYGEWEKDDERNPTILAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGINLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELLLLQELIQQMANVQFTENLTEEQLDAMAGSETLRYQATSFGVTRNNKALIKSTNRLRDSLLPKDEPKLAIPLLLLIAQHRSVVLINADAPYIKMVSEQFDRCHGTLLQYVEFLCSAFTPAAAYAQLLPSLDDLVHLYHLDPEVAFLIYRPVMRLFKCQRSSGVFWPLDDNEANTTTASSESESKDDSDGVILDLGPPRKPIVWAELLDTVKTMLPSKAWNSLSSDLYATFWGLTLYDLYVPRNRYESEIAKQHAALKALEELPDNSSSAINKRKKDKERIQEALDRLTSELHKHEENVASVRRRLSREKDKWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDESIYERECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWSQRITRLLIQCLESTEYMEIRNALIMLTKISGVFPVTRKSGINLEKRVAKIKSDEREDLKVLATGVAAALAARKSSWVTDEEFGMGYLELKPASSLASKFAASNTVSVPNGSSVNVSQIEAAGGRSVAQGPDGNMIKDQIPRTKSDGRLERVENVPPGKSELKTKGGISANGSDSQSSVPLATSHAGTSKSLENQKQLDESSNKMDEHAVKVPAKNPAELELKAPAKRSAPGGSLAKTQKHDPGKEDGKSGKAVGRTSATSIVDRDVPSLGEGRQSGTTNVSSAVTSNGNTVSAPPKGKDDGSEVLDVSRQSSRVVHSPRHDSSSAPSKSSDKLQKRTSPVEETDRLSKRRKGDLESKDLDSDVRLSDKERSADARLADVDKPGTDELTSHRAMDKTLDRTKDKGSERHDRDYRERMERSEKPRADDISIEKSRDRSMERHGRERSVERSTDRNLDRLGDKGKDERSKDDRSKVRYTDTSTEKAHADDRFHGQSLPPPPPLPPHMVPQSVNAASRRDDDPDRRFGSSRHTQRLSPRHEEKERRRSEENSMVSQDDGKRRRDDEFRERKREDREGLSIKVEERDRDRERDREKPNLLKEDVDATAAKRRKLKREHLPSGEPGEYSPVAPPPPPLSIGMSQSYDGRDRDRKGAMVQRGGYLEEPSMRIHGKEAASKMARRDPDPYP >OMO88901 pep supercontig:CCACVL1_1.0:contig08890:9429:11133:-1 gene:CCACVL1_08124 transcript:OMO88901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantoate-beta-alanine ligase MRSQGKTIGLVPTMGYLHQGHLSLVQEAQKYTQLIVVSIYVNPGQFSPSEDLSTYPSDFHGDILKLKSVPGGVDAVFCPHNLYSDNDNNENEIDNNENSNCGVSNCGVSNSGISVSCVEEKGFGGHETWVRVERLEKGLCGKSRPVFFRGVATIVTKLFNIVEPDVAVFGKKDYQQWKIIQRMVRDLDFGIQIVGSELLRDSDGLALSSRNVHLSPHQREKAVSISKSLLKAKSMAQGGQVKCGQLKDLAIQTITQAGGRIDYAEVVDQESLEAVEEIKSPVVFCIAAWFGKVRLIDNMEINI >OMO71511 pep supercontig:CCACVL1_1.0:contig11611:3033:3182:-1 gene:CCACVL1_18190 transcript:OMO71511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTVQNPGSLNETTSGVDASLEVRISTPAIVEISTPTLITTPTLQSFL >OMO51138 pep supercontig:CCACVL1_1.0:contig15958:13901:20025:-1 gene:CCACVL1_29986 transcript:OMO51138 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAEFDFLQSFARREPEISCGVSVEEKPSPRSGCVEGNSSHRPSFKEILTKPAFSSFNDCSTLENVSDDEDEDDENCSIPCIKLSVEEKRRVQVPWVNALHIFLKGKPLPFKVIYDKLKELWKLVGRYQAFNLGKGVLLVRFEDRRDYDRVLGSGPWFIGSTSLVARKWVANFQPSELHDARSELVWVRIPKMPSEYFDKPTLVRVGNVIGAFIKLDYHTDNSSRGRFARLCVQVSLDKPLLSHVKIGRTKLDIQYENVAACFSCAVAEPLKLAEDEFEAVAEPLEPAELVDGPDVGHEMDRNVQVEVGFCSQASSSYAQELVPESPRLPNPIVKGKYLEESDSRVSVSSLLEAAGYSPQTVRKTLGPDLEEDGGFAEFGQLSNQLEGVSDRNSGEDAGNRVSVDETDRQHLHSVGTVGHQNSNGGRGSPANKESPSNPGYNRERDLDSLKDEISIKEPPAADCENADRGDGDNLSVEGKFKLSLSDGGSCGNGFRLRKVLRSARIRVPKPSGGIDAKRARRIIFIDEVKALQEKLQNPDFKKIVADLVRNNMPNILVITEPRIGGVRGKIIRRSLGYDSDNFVDPLCFSGGIWVLWNKDNLEVEEMSKTQQEITLRIKVRSSSFHFAISAIYASPNLASRGLLWNYLCSLNSYLNSPWLWIGEFNEPLRSCDKFGGRRINARNASLLNDCIDSSSMIDVGFVGPKFTWSNLNPIASLIQERIDRAWINNEFHYAFPESMVYHLPRVGSDHCPILFKTCTSHSFFKDKPFRFGPMWFTDPSFFEIIKSVWHGNDLDFDKIVPVFIDLIKVWNKNVFGNVFKNKNRLLARINGIQKALADKPSQFPLDLDKKLRLDFALVLRQDETLWAMKSRINWLIEGDNNTKFFHSSALVRRKNNRIYGLKDSLGNWLYGDACVAHVIDFFQSLYSSELSSCNLLSVDCPLNIRKLDSASHNSLVSILELDEIRTALWQMKPFKAPGPDGLHAAFFQKCWNDINDTLLKTIQQIFSSFKMKDNWKSFLICLVPKTLSPETIKLFRPISLGNTCYKLTTKIIANRIKPFVDNLINPMQAAFISRRRAADNIIIVQEVVHTARTSSCKEGWMAIKIDLEKAFDRLEWSFIRDMLMFFNFPHDLISLILSCISSPSLVVLFNGTASYPFTASRGIRQEDPISPYLFILYMEFLSLSIEKDVQDGNWNPIRIGRGGPFISHALFADDVILFAKANEKNCLTVLNTLRNLCNRSGQKVNFDKTRVWFSPKVDYEKVKNLTDLLGFKKTDNLRNYLGHPILDRKGKKVDFLFIIEKIRDRLTGWKANSLSLAGRTTLIYKKIHLVGWDKVTRKNSQGGLNLRKAKLRNIALMAKLIWRAKKNPDNFWVRALRSKYKLDRMELRKGTNSDVWNSMVKGADVFNKGCKVIIKNGRSTFFWSDSWLTNAPLRSLIQGPLGPNEEFLLVSNCICTNGEWKLDQVSFEFPPELQSKIKSLMFSTIDSAPDTVVWNFSKNGEFSLNSAYDLANDTKECNFEKNFWKQIWNAKCHFRIKHFLWTVSHDKICSKSLLYHRNINPDLVCDIYPDSEESTLHILRDCSYAVLVWNSFSQLPADFFQYNFKDWILSNLSTDLFVSGLNWSSLFAYSCWTIWYARNLRIFQGKSMLPEETFFSIKLKASEFVFLGLCPIKPVTRSMVNVRWNPPSLGWFKLNSDGSPEGNPGVVGRGGGVIRNDRVERGIHHLEINIDAKVVIHLIENANTDLHPLANIISDCRLLMSRIPNVKLSQCFREANRAADALASKGLQTESFVICSTLPIFVFDIIMDDLRGVTFPRIVNI >OMP01306 pep supercontig:CCACVL1_1.0:contig06478:72630:74015:-1 gene:CCACVL1_03121 transcript:OMP01306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACSTCQQVKYETAKPGGLSTPLPIPSQIWQDISMDFITHLPSSGGKTDIWVIVDRLSKLHGMPASIVSDRDPKFLSVFWRELFKLQGTNLLHSSAYHPQSDGQTESTPTTHPSIQPRVTHRSKQFMEGHLQLSNPICRGIVRKLGPVAYELQLPADAKIHPVFHVSLLKKCHGSPDANFTPLPSTFVDDQPVLEPNAILDTRQTMLHGTTATQYLIQWKNFANTEATWEWEDDMKATYPLFSLEDKVALGDHGNDRPSTRPKSSRPKMCLYGIIATRDPRLIVAL >OMO89985 pep supercontig:CCACVL1_1.0:contig08566:3:5928:1 gene:CCACVL1_07551 transcript:OMO89985 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MDKSWMQKDRCDPVYEAGLEQFLDFAFEGYSEFSFHGEDLPTRNSSMLAISSMDSSSLGGDVARDDVRGLLRDALNMHDRPSCHESDQVGSDANVGDLNEDTRDEEPTIEATNFYKLLESMNEPLYPESQVSKLSFSIKLLHLKCLSSMMGKALDLVLELLRNVFPFAAIPTSLYELKKIIGDLGFSYKKIHSCPQDCMLYWGDRADQESCHVCGSSRWLQPNSCDSLNREDVVANKGKLRPAKVLRYLDFASEPRNVRLGLASDGFNPFKTMSSTYSTWPVVIIPYNQAVATFYQTFIREALFGRLPLDWLGEGDKDGAGKDELDVAVFGFRFSIGIMLSHLFRLEKAWRVLIDLCEDHFGNWGRFRDQFSNLPGLQYSVQDFCDFGGLYCGVHISSIPNLNLVVLVLKLKFKESSMTFIHKIFPFELSKVIKFAPFRDCAVDKLLVYL >OMO64033 pep supercontig:CCACVL1_1.0:contig12871:48454:49707:1 gene:CCACVL1_22095 transcript:OMO64033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRKRKRASGSPQTQRDDEIESEETQRDDEIESEETGQPHFADLPSTLLMEIFLKIPIMSLGKCRCVCKTWFYIISNPEFAKLHLSTSPLCILVKNKPPKRESKRLLLSKIPVPPQFKSGYKISLAVYDGCLSAWCYLADGSWEFDVCLMKEYGVKESWITLFRIKHVRLSVYMNFVNTFCFPLIYMKNGEILFSFMNDVVVCYNGEKGLLRDAKMFRTLGKFNAVGYTPSFVSLKEYVAKGEHITRKRSSRKHAEFLVEG >OMO64032 pep supercontig:CCACVL1_1.0:contig12871:48223:48315:-1 gene:CCACVL1_22094 transcript:OMO64032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGGKQDTFAASSGEAKALYTQIQSKTIG >OMO64029 pep supercontig:CCACVL1_1.0:contig12871:3459:3530:1 gene:CCACVL1_22091 transcript:OMO64029 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L17-1-like protein EEEETVKKEAETLLAPKKSKAST >OMO64030 pep supercontig:CCACVL1_1.0:contig12871:36999:41621:1 gene:CCACVL1_22092 transcript:OMO64030 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MEKMKVFSVKVEDEREGKDGKPSVGPVYRNLLAKNGYPLPDSDMTTAWTLFSSSVQKFADNRMLGWRKIVDGKVGPYIWKTYKEVYDEVLLIGSALRESGAQPGCRVGIYGANCPQWILAMEACGAHSLVSVPLYDTLGPGAVNFIINHAEIDFVFVQDKKVQELLNPDCVSAQRLKAMVCFTSLTHEENAKASQMGIKTYSWTEFLRMGKENHHEVSPPQPFNICTIMYTSGTSGDPKGVVLTHETIATFVYGVDMFLDQFDDKMTVDDVYLSFLPLAHILDRVIEEYFFHKGASVGYYHGNLKELREDIMELKPTFLAGVPRVYDMIHEGIKKALEELSPLRRRIFDILYKHKLSWMNRGYKHKYASPLADLLAFRKVKAKLGGRLRLLLSGGAPLSSEVEEFLRVTCCAFVVQGYGLTETCGACTVGYPDEMCMVGAVGPPAIYTELRLEEVPDMGYNPLGNPPCGEICVRGKTIFSEYYKNPELTRESFKDGWFHTGDIGQMLPNGVVKIIDRKKNLIKLSQGEYVALEYLENVYGITPIVDDVWVYGNSFKSMLVAVAVVHEDNAKKWAYLNGHKGSHSELCALKQLQDYVLAELKSTAEKHKMRGFEFIKGVILEPYPFDMERDLVTATLKKKRNNLLKYYQAEIDELYQKLTAKS >OMO64031 pep supercontig:CCACVL1_1.0:contig12871:42386:43861:1 gene:CCACVL1_22093 transcript:OMO64031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLQKANGNPKCASAKQLPAAMRIWRRTQLFPLKVSRHFTILSTTNFGNEMNNCLLNNSLNEAQKLFDQDPNARKSFVRNAMTNNQLRNDRTQDAHEMFDKMTLKGADSWNTLLLQLNKSQDPEGVYKCFLEMGRTGLTPNEYTISILVSAVSHTEFKSLVPQIHAIVVCLGLNLSMIVGPALMKCYAHVGDVEGMARVFDEILVKDVACWNALVSAYMEVGCLKQARKVFDKMPQRDIVSWTSLINGYIRNKWVNKARSMFNKMSERNVVAWTVMISGDSALLEEGERHFNSMDQKYAIQARSEHYACMVEIYGKAGQLDKVQKLIRAMPFEPDVVVCGAFFRAFGQVQLN >OMO92961 pep supercontig:CCACVL1_1.0:contig08150:12710:15553:1 gene:CCACVL1_06702 transcript:OMO92961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRILSRNNNERSTILCSSLTEGHETALHIAVGARQVAIVREFVRRMKPEDLELQDILGNTAFCIAVATGSVKIAKILISKGIHKNIEVELIRGADNKTPLYIAAIAGHPEMVRFAYEYFKQHIADHHLEQDEERDIFFACIHAGLFGRGFNTISFQNKAQELTKLLCKTMSSDESKSLKDTVDRVSELLFEAAKLGNHKFLDVLISFYPDLIFRKDEHYKSIFHIAVLHRHASIFKHIHNLGLQKDVIVLYTVDYLDEENGNTLYNMLHLAAKLPPLDRLSIVSGSALQFQRELLWFKEVENLTRPVEIEKKDSRDKLTPRQLFTKEHEQLRKDGEKWMKSTAQSSMIVATLITTVVFTTASSLPGGNKDKDGSPNDKDKALFHVFMVADAVAMCSSIISTLMFLSILTSRYAEEDFLFRLPMKLAAGLTSLLLSMLALM >OMO92960 pep supercontig:CCACVL1_1.0:contig08150:1027:1370:-1 gene:CCACVL1_06701 transcript:OMO92960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late Embryogenesis Abundant protein MQAIKDKLHDMNETRKAKAEAKAEEQAEKDVAKARMDIAHEVRMAKEAEVEMDMHVKKAGRLAQREMEKQAKENENADANS >OMO91312 pep supercontig:CCACVL1_1.0:contig08357:9812:11828:1 gene:CCACVL1_07166 transcript:OMO91312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFARHGGHFCTKQKKMGSKIGGVELGRKQSDLKKSFKLAVRSLLTTCTAQDFSNAFPNFTRAEQERLHQIFLQVITSLHGNIEDEFESLCQELQVGTALDTVDQLVGEQCLDPLFSD >OMO91311 pep supercontig:CCACVL1_1.0:contig08357:2823:9499:1 gene:CCACVL1_07165 transcript:OMO91311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGGFYQSKEFLDLVKSIGEARSKAEEDRIVLNEIETLKRRISEPDIPKRKMKEFIIRLVYVEMLGHDASFGYIHAVKMTHDDSLLVKRTGYLAVTLFLNEDHDLIILIVNTIQKDLKSDNYLVVCAALNAVCKLINEETIPAVLPQVVELLGHPKEAVRKKAIMALHRFYHKSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLFDLITTDVNSYKDLVISFVSILKQVAERRLPKAYDYHQMPAPFIQIKLLKILALLGSGDKQASENMYTVVGDIFRKCDSSSNIGNAVLYECICCVSSIYPNPKLLESAADVISRFLKSDSHNLKYMGIDALGRLIKISPEIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVDVIVDRMIDYMISINDSHYKTEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNIKVAHNLMRLIAEGFGEDDDSADTQLRSSAVESYLRILGEPKLPSVFLQVICWVLGEYGTADGKFSASYITGKLCDVAEAYSNDETVKAYAVTALMKIYAFEIAAGRKIDMLPECQSLIEELLASHSTDLQQRAYELQAVIGLDAHAVECIMPSDASCEDIEVDKSLSFLNGYVQEAIEKGAQPYIPESERSGMLNISNFRNQDHIEASSHGLRFEAYELPKPTVQSSIPPATLASNELVPVPEPVYSRESYQTPMPSVSSDAGSSELKLRLDGVQKKWGRPTYSPATSTVNSTTQKTVNGTTQVDGASSSNSRSRETYDSRKPQVEISPEKQKLAASLFGGSSKAEKRPATGHKTSKASSHTVEKSHVPKSSVEVVSEKRAPVQPPPDLLDLGEPTIASSAPSIDPFKQLEGLLDPTQDASAVNHGSTTVTKSPDIMGLYAETPAGIQDKDDNLLSGLSNLSVTNIPSGTTTTTSMQSSKGPNLKDALEKDALVRQMGVTPSTQNPNLFKDLLG >OMO97713 pep supercontig:CCACVL1_1.0:contig07211:25587:30828:-1 gene:CCACVL1_04481 transcript:OMO97713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MAVTSHLAKLGSFHLNRSGSFSSSSSSFSQLPCNKFLHFQSSKTSTSTSWRLGINVSKKQSKPLLVRGMASSFGSRLEDSVKKTVADNPVVVYSKTWCSYSSEVKSLFKKLGVDPLVIELDELGVQGPQLQKVLERLTGQHTVPNVFIGGKHIGGCTEEHNGAIGDHVLDKLGDVLEIQEDATDDPGLNTSLAEQGVEDRPPSHGATGHDRPTHETPHETSRKPSGHNRPSHGRRMRHPATTGHSIH >OMO97714 pep supercontig:CCACVL1_1.0:contig07211:34344:37846:1 gene:CCACVL1_04482 transcript:OMO97714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEEECPILFEPKQIKVWFQKSQGMPKIEDNCVEYLKFIDCGNFYVENFEIKVDE >OMO97712 pep supercontig:CCACVL1_1.0:contig07211:5941:10567:-1 gene:CCACVL1_04480 transcript:OMO97712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASVPAIKTAFLPSSSNLHPLETQTQSRRHRLKSPPPCQSSVHRQ >OMO50696 pep supercontig:CCACVL1_1.0:contig16072:22015:37589:-1 gene:CCACVL1_30317 transcript:OMO50696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglucose isomerase (PGI) MASLSGLCSSSSPTLKHNSLTKLTPSLRKHSLAFSTRSSSNFKLVATHSVAREISADLSENNNVGVLKKANKAGLEKDPKALWRRYVDWLYQHKELGLYLDVSRIGFSDEFVAEMEPRFQDAFKAMEELEKGAIANPDEGRMVGHYWLRKAKLAPNPFLRLQIENTLDAVCKFADDVISGKIKPPSSPEGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGSELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLDFAKQGVAITQENSLLDNTARIEGWVARFPMFDWVGGRTSEMSAVGLLPAALQGINIREMLIGAAMMDEATRSTVLRNNPAALLALCWYWATDGVGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGISVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANNRESITVTVQEVTPRSVGALVALYERAVGLYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLQVLNEATCKQPVEPLTIEEVADRCHAPEDIEMIYKIIEHMAANDRAIIAEGDCGSPYSLKVFSGLDNNALKWVREKELPYSNSTLRPRMDPISNIRSGGRNFGLPPPAKFRSGHLPATAIPVSSTALAGDDDSVSASENDVTSDSEEDTVYGGRYSLDSSPQDERIPNGTGQRYGSLAQRQPRYTTASDYTYSDVSSSMETLTTGRGGSLRSSLGRGNARYPVGRDGFTEEDESSDSAGSSEFSSTQVGSINGRIPQRRAYGSEGYASSVPSRVNVDSAVGKNLNSRKLQPEKFSDDDVPSAPPVSSSVPEVKPETEHISVSEIHSNPRAADSNNPKTFKSMSGVKPEDNMTIRKSDEFVRSAVSAEAAPTSSGVPPARIPTFHASALGPWHAVVAYDACVRLCLHAWARGCMEAPMFLENECALLRDTFGLQQVLLQSEEELMAKRSSELTSEAAAPKPKKIIGKMKVQVRKVKTSMDLPTGCSMSSLSLRAPVIKLDIIRYRLSNFHLALSSRWQALRKIRVAPRLPANGSFSRQSLAYMHAGTKYIKQVSGLLKTGVTSLRNSSSSYEVAQETYSCTLRLKSSTDEDGIRMQPGSGETHVFFPDSLGDDLIVEVQDSKGKHFGRVLAQVASIAEDSTDKLRWWSIYREPEHEHVGKLQLYINYSTSSDDNSHLKCGSVAETVAYDLVLEVAMKVQRFQQRNLQLYGSWKWLLTEFASYYGVSDIYTKLRYLSYVMDVATPTADCLTLVHDLLMPVVMKGHSKNTLSHQENRILGETKDQIEQILSLVFENYKSLDESSLSGIMDVFKPATGLAAPALEPAVKLYTLLHDILSPEAQTTLCHYFQAAARKRSRRHLAETDEFVTSNTEPNFMDPVAMSTAYQKMTCLCMNIKNEIHTDIEIHNQHILPSFIDLPNLSASIYSTELCSRLRAFLLACPPSGPSPPVAELVIATADFQRDLSSWNISHIKGGVDAKELFHLYIMIWIQDKRQSLLESCKLDKVKWSGVRTRHSTTPFVDEMYERLRETLSDYEVIICRWPEYIFVLENAIADVEKAIVEAMDKQYADVLSPLKENLAPKKFGLKYMQKLAKRSVCSYTVPDELGILLNSMKRMLDVLRPKIENQFKSWGSCIPDGGNTAPGERLSEVTVMLRTKFRGYLQAVVEKLAENTKIQNSTKLKKILQDSKETVGESDIRGRMQPLREQLTSTINHLHTVFETQVFIAICRWYWDRMGQDVLSFLENRKENRSWYKGSRIAVSILDDTFGSQMQELVGNALPEKDLEPPRSIMEVRSMLCKDAHNHKENSYYY >OMO50695 pep supercontig:CCACVL1_1.0:contig16072:13995:14871:-1 gene:CCACVL1_30316 transcript:OMO50695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPRLVLVSSFDAWRWETCGFVKTFLCCKGERWLAVSRSGLWDLVAKKSGLDSGLTPSVKLVYVKYLVLLETWLEGSVDNKQPKSESSYNNHLMELGAELKGFLEDSVDDVLILESNDKEKFSSLKRMGNHRMKLNLDTISERGTRVWPLDKKELMNLVEVDRIENLAKKKKSDWKGKTRFMAGMPSLPSSRFYRVRELGSTFKQLELEPNVVKLLLIESDLVGILKGKYESEQK >OMO72741 pep supercontig:CCACVL1_1.0:contig11403:29912:31243:-1 gene:CCACVL1_17621 transcript:OMO72741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNKKIRRANKEKDRLSALPVVLILHILSFLETREAVQACMLVSKRWKDLWKCLPSLSFNSMEYFRRKLPFFKNFAQNVMERREPCHLRSLKLHTSSTDKSFRDKVLHYAKFYSVREINIGQVQIPFWENFPVNYFMSLTTLQLYDCPLVFDNDVFSACVNMETLILNDCFQFEEEVIRISAPRLVNFRMENFNEIEIRSMYRNDYRWQLVGSCHGNYCWKIVISSPRLSSLCYKNTSFQSFMLSLEQCPVLERADIIVDNSCFKQDLLKIVLQQLVTQAKSLFVWNQGNKGVEMKTSLGDDDMVHARLHNKATNKRFQLSWPATDENLGLFLVRIVRRFWAVSGEYSAKV >OMO72743 pep supercontig:CCACVL1_1.0:contig11403:41936:42082:1 gene:CCACVL1_17623 transcript:OMO72743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILPFSLRHKIVQFAADTSAVTDFQMVFSSNFAYVYLYHFQHLIFGD >OMO72744 pep supercontig:CCACVL1_1.0:contig11403:43199:50839:-1 gene:CCACVL1_17624 transcript:OMO72744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASANPTGNNQEGSSNQKVTATPPPTNGVSVNSSNGANTSAAAAVSADTASSLRHNPGISNDWTPDEQSLLEELLAKYASDSTIVRYAKIAMQLKDKTVRDVALRCRWMTEKGTDSSAKSASHLTTRPNGPSYAPPMIPMDNDDGIPYKAIGGVTGDLLEQNAQMFNQISANFAAFQVIVVLRDSDIMIHDNINLLCKTRDNILTILNDYGVAIFAMDGAEVISPDPGAKLNLACLVRSHDDRP >OMO72742 pep supercontig:CCACVL1_1.0:contig11403:39918:41474:1 gene:CCACVL1_17622 transcript:OMO72742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRRRLLWFTVGFSVTAASISQFIYKDLWTDRVALKADMKRKFDELETRVSNLESLPSENPNPAQVEG >OMO58305 pep supercontig:CCACVL1_1.0:contig14252:517:5086:1 gene:CCACVL1_25496 transcript:OMO58305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MGTLPPNSKSVGEDAKLSQLQNDNDQLDAGALFVLKSKGSWLHCGYHLSTSIVAPPLLSLPFAFTFLGWAAGILCLVIGAMVTFYSYNLLSLVLEHHAQLGRRHLRFRDMANDILGPRWGRYFVGPIQFMVCYGAVIACTLLGGQCMKAIYLLSEPNGSMKLYEFVIIFGLLMLILAQIPSFHSLRHINLISLLLCLAYSACAAAASIYIGNSSKGPKKDYTVEGDSTSRLFGIFNAIAIIATTYGNGIIPEIQATIAPPVKGKMFKGLLVCYTVLIVTFFTVAISGYWAFGNQSEGLLLSNFVVDGRPLVPRWFILMTNIFTILQLSAVGVVYLQPTNEVLERTFGDPNSKEFAARNVIPRVVSRSLSVVISTTISAMLPFFGDINSVIGAFGFIPLDFILPVVFFNLTFKPSKKSGIFWLNVAIAVVFSAVGVIAAVAAVRQISLDAKTYKLFANV >OMO58307 pep supercontig:CCACVL1_1.0:contig14252:14301:20881:-1 gene:CCACVL1_25498 transcript:OMO58307 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MAGNKVTEELISTVRSIVGSEHSEMDIIRALHLAKNDINAAINIIFDSPPNFKPRERQPEPENRNSSSPTTPVSLKPKETDKESKISSFSSNGNAACSVSILKDEEKVAVEDDWWLVGSGEVPGLSTSKGRKVTVGEEVSFTFPLKNSSSSLAGQVGKGFGRGRSAVAACSEIVRFSTKKSGEIGRIPNEWARCLLPLVRDKKVRVEGRCKSAPDVLGIMDTILLSLSVYINSSMFHKYQQTSLKAASNSTEESVVHPLPNLFRLLGLTPFKEAELAPGDLYTKKRPLEAKDGSGVNIPSLTTNKFKNQSQSGDEVENEESISDADLDNIVGVGDNSELEEMDPSSTLQCELRPYQKQALHWMVQVEKGHCMDDAATTLHPCWEAYRLADKREPVVYLNAFTGDATIEFPSMHQMARGGILADAMGLGKTIMTIALLVTHSERGGPSDSQYPDQPSDQGDEVGDVFGQSPKSMRNATKFPGFDKVSKQKNKVVKGGNLIICPMTLLGQWKVEIETHVQPGSMSLYIHYGQSRPKDAKLLAQNDVVITTYGVLASEYSAENSEDNGGLFSVQWLRIVLDEAHTIKSSKSQISIAATALLADRRWCLTGTPIQNKLEDLYSLLRFLRVEPWGNWPWWNKLIQKPFEEGDERGLKLVQSILKPIMLRRTKCSTDRYGKPILVLPPADVQVIYCELTEAEKDFYEALFKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEYSDLNKLAKRFLRGGQNTMEGEVKDAPSRAYVQEVVEELRKGEQGECPICLEAFEDAVLTPCAHRLCRECLLASWRNPNSGLCPVCRKTITRQDLITAPTESRFQIDVEKNWVESTKVIALLQELETLRSSGSKSILFSQWTAFLDLLQIPLSRNNISFLRLDGTLNQQQREKVIEQFSEDGSIMVLLMSLKAGGVGINLTAASNAFVLDPWWNPAVEEQAVMRIHRIGQTQRVAIKRFIVKGTVEERMEAVQARKQRLISGALTDQEVRTARIEELKMLFTQ >OMO58306 pep supercontig:CCACVL1_1.0:contig14252:5685:9379:-1 gene:CCACVL1_25497 transcript:OMO58306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKTWTLDHSAVQDNGKLESQVIKTDNVKSPEIQEVEGEFEAAVDEIGGGLGSEEGSGNGATGDKNVNGKKRGRGRPRKNEGGSNLTTSGGFRPPTALSPPSSMPLGKRSRGRPKGTGKLQAMASFESLFLEIQETLVGGYLDTAGGNFTPHVLEGFAGEDVVSKIKAICLKASRSVCVLTATGAVSRVTIFQTGSSSGTLTYEGWFEILTLTGSFIVSGDPGTACCKNWVLSVSLADCSGRVFGGKIAGPMIVAGPGSIQLILGSFKQNINSEIKRKVFSAGHPKFTNNLASSTMLPSQVSGMADDDENCTSPPSSPPPPPPPPVSVMSEDPAKVVPLKSDNIVAENLILNSTSFESVGPNNLLKTDAMIADSHDLKPNSLHGVGPNTFQKADTIIAENHELDSNSLNGVGPNTLQNSDLMETEHSDQNNVISENGDLDSSYLQIEAPKNFQTLPVSQPKFDEMVTPATNTSVLEMHVKD >OMO68265 pep supercontig:CCACVL1_1.0:contig12241:1719:6642:-1 gene:CCACVL1_20001 transcript:OMO68265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 4 MAARKRASATTATGTATDTKPSPPQTQDTTTQIDPPIAPPKKGLIFKLCLFFSIPYFYLLFQHYKIEQDLKRSILINAFLSVAGFFLTQKMIPVASRYVLKRSLFGFDINKKGTPQGTVKVPESLGIVVGLVFLVLAILFQYFNFTADSNWLVEYNAALASICFMILLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIIIPKPLVSYVGQEVLDLGWMYKLYMGLLAVFCTNSINIHAGLNGLEVGQTVVIASAILIHNIMQIGASSDTEYKQAHAFSIYLVQPLLATSLALLSYNWYPSSVFVGDTYTYFAGMTMAVVGILGHYSETLLIFFLPQVLNFLLSVPQLFGFVKCPRHRLPRFNPETGLLTGTRDGTLINFYLRIFGPKSEKSLCIHLLLVQ >OMO68266 pep supercontig:CCACVL1_1.0:contig12241:10238:11892:-1 gene:CCACVL1_20002 transcript:OMO68266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exodeoxyribonuclease III xth MHVLGDHELEKSKPVILTGDLNCAHEEIDIYNPAGNKRSAGYTTEERQSFGTNFLSRGLVDTFRKQHPGVVGYTYWGYRHGGRKTNKGWRLDYFLVSEAIADNVHDSYILPDVLGSDHCPIGLILKL >OMP03344 pep supercontig:CCACVL1_1.0:contig06095:21514:28298:1 gene:CCACVL1_02470 transcript:OMP03344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNKELEEGNYLPGPVAQLVRALVL >OMP03345 pep supercontig:CCACVL1_1.0:contig06095:28752:32794:-1 gene:CCACVL1_02471 transcript:OMP03345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENNASVDEDLLLKEFFAEVSEVERDNEVIRILSCFKLNPFEFMNLSFDSSLEDVKKQYRKLSLMVHPDKCKHPQAKEAFGALAKAQQQLFDQQERDYILSQVTAAKEELRAKRKKQLKKDPASKLKSLVDEGKSEQQYEQSEEFQKELKLKVRELLTEQEWRRRKMAMRISEEEGRLKKDEEEQKEMWKRKREHEEQWEGTREQRVSSWRDFMKSGKKSKKGELRPPKLKTEDPNKSYVQRPVKRG >OMP03346 pep supercontig:CCACVL1_1.0:contig06095:44003:45634:-1 gene:CCACVL1_02472 transcript:OMP03346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAPLSKSLGLSSALKISEEEVMITKKQGIVSILGMDSDHTRPSNNKSASSLRRTLSADMSSKKWLTQHGFSPLKKIASSDEFPVSILDSSSEEEEEETEETRGQFDIWASIQQEKKQKELDQKPGQFDIWSSIISQQKAEEESSKSLPPPYIHPLVKRSASSLSEKSLEICTESLGSETGSDGFSSYPPSETGDMEEDTKEEDQQLQQLQHQKPESLITSFDAEEPRIVKYDVSKKLSSNRSFPPPIPSLSSRDGASLRMKTHRDNGRLVLEAVSVPSQNNFLAQRQDGRLSLPMRPRVARLIPSPPSTAAAAAGAASSFNAYEYYWRPNQPMSKATILNPIAQKDNNSSNKQQALSNDQQQQQLLVFRGNKVDYFVPLLKGCKEARRPSLLFWEPYCIATS >OMP03343 pep supercontig:CCACVL1_1.0:contig06095:832:4820:1 gene:CCACVL1_02469 transcript:OMP03343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MAASSNVSSSPIAISTTTQLPLKLTPTNFSSWRALFDAMLIGVDLTSYVDGTPAPPAAIEEDEAILPLVSSSNTSREAWQTLSKMFANKSRSRVMDLKNTLTNTKRGTQSVSEYLQFMKHIAAEINLAGANVEEDELVLYILNGLGSEFREISANIRARDSSISFDELHDKLTSYELFLQQETAHTHATISVPTANFTRKMSSNKSFRSRPNVSKFCKTNNVSVEFFADCFHVKDLQTLDVLVKGLNVDAVYEFPASVMSPPALQPRCFTATHVSFLDWHKRLGHPSKAIVNKIIYDFSFSSTSANFTSSYLINRMPTPILNHKSPFQVLFAKDPNYTKLRVFGCLCFPWLRPYANSKLEPRSKPCLFVGYSSNRAAYLCLDIESNKPSSISSSAPSSPSSLPAASCGLVPSHEMILNPSLNLPSRIHPIRTRSQNQIYKPKIINMATKHPVQPILEPSCVTQALKVSEWRISLAASWVFRVKRNLDGSVSRYKARLVAKGFNQRLGVDFTETFSPVVKPTTIRLVLTIALQNSWSLLQLDVNNAFLHGQLQDDVYMKQPPGFIDQTHPTYVCKLDKALYGVILYFLVYVDDIVLTGNDSVSVSQFVDKLSIEFSLKEPAPLHYFLGVETISTDDGMFLFQRKYIIDLLAKANMLDSKPVATPMSSSSSLTLTTGKPMEDGKSYRSLVGGLQYLSLTRPDLSFSVNRLAKFMHKPTDVHWQALKRVLRTSKQKTVARSSTKVKYRAIASADAELTWVQNLLTELHVTVLKAPTILCDNVGATYLSANPALHSRMKHVSIDFHFVRDKVTNGQLHVHHLSTQDQLADLLTKPLPRLRFSLLVSKIGVFNGDSILRGHVRDNTIILKKSNCKIK >OMO78077 pep supercontig:CCACVL1_1.0:contig10638:9054:14717:-1 gene:CCACVL1_14664 transcript:OMO78077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVLIQCSATPLTSVPLRVLMYSDPQQQRNQPPPAQGVEYQTGPLPPQLMRQPSASSSTLNLEYHHPAPPQILSYDDNSSWNGVPSHCSARW >OMO78076 pep supercontig:CCACVL1_1.0:contig10638:2570:3610:-1 gene:CCACVL1_14663 transcript:OMO78076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSLDGNVNGGGAGNTAGATSKPFFCYQCNRTVTITISPSADPSCPLCNEGFLEEYENPSPNLRSAFQNPNTGPFMDPFFPLSESFSSILPLLFPSASSSSTTTSSSSPATLDPHSMFGSTRSLGGDPNAFDPLAFIQSHLSDLRSSGAHIEFVIQNNPSDTGFRLPANIGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAIEALPSVKITKNHLNSEFNQCAVCMDEFEEGAQAKQMPCKHLYHKDCIFPWLELHNSCPVCRHELPTDDPDYERRAHGSQGTAGGNDGGGSVSADSGQRSGGDNTRSVERSFRISLPLPWPFGSRGSGSGSGGDNSEPRQENLD >OMO78080 pep supercontig:CCACVL1_1.0:contig10638:48455:49128:1 gene:CCACVL1_14668 transcript:OMO78080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MMEMMQATKPPATVHAVSEKKKRLCHWQARLLEAFLGSNRDSFETINGKKKLKPYNILEAKPDFENCNGWTLTVDKHPSRLLKDTNIGVFMVNLTNGAMMGPHWNPRASKIAIVLHGQGMIRVICLSTAKESECKNLRFRVKQGDVFVLPRFHPMAQMLFNCLIVTYAYKKLLKNSFILQRRAEEES >OMO78079 pep supercontig:CCACVL1_1.0:contig10638:44869:45198:1 gene:CCACVL1_14667 transcript:OMO78079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MYSASKICWLVKKLGHGGKSKNSYNRLAPAADQYCRRGHVVMYVGEEGKRYQVPIKFLSCPSFIKQVLMQSSQEEDDDDLDPKIDGPIMIRHCTSETFEQILKAAKHHI >OMO78078 pep supercontig:CCACVL1_1.0:contig10638:15802:16436:1 gene:CCACVL1_14665 transcript:OMO78078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MDTLLSSSSSLVVRSSLPPVRAATSPSKLYSATFSFAPSIHALRRNHLSLPRSLTSSRVPKFSIRCGAIKEIKESEFQSTVLESNRPVLVEFVATWCGPCRLISSAMESIAQEYGEKLDVVKIDHDLNPKLIEEYKVYGLPTLILFKDGQEVPESRREGAITKPKLKEYVDALLDSISVA >OMO99446 pep supercontig:CCACVL1_1.0:contig06895:7928:14310:1 gene:CCACVL1_03799 transcript:OMO99446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKITKSVADMANQSSPEA >OMO50639 pep supercontig:CCACVL1_1.0:contig16105:4613:4678:-1 gene:CCACVL1_30337 transcript:OMO50639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFVYLLTAVKFVGIINSRGQK >OMO84058 pep supercontig:CCACVL1_1.0:contig09802:4249:6550:1 gene:CCACVL1_11027 transcript:OMO84058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argininosuccinate synthase MAQLKAITPASSVNLTCYGPKRNTLLYPDNVACSRKLSSFQELSGRASSLHGNAIVSNNRPVTLAANNQGIRAVLSSDREMEVSKAMKGGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGIKELDGLEEKAKASGACQLVVKDLQEEFVRDYIFPCLRAGAIYERKYLLGTSMARPVIAK >OMO72764 pep supercontig:CCACVL1_1.0:contig11384:6190:6270:-1 gene:CCACVL1_17608 transcript:OMO72764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETRKYYRALRNQGEEKYSKHLYKL >OMO72763 pep supercontig:CCACVL1_1.0:contig11384:72:191:-1 gene:CCACVL1_17607 transcript:OMO72763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAEEEYRAPRNDNEDAARGERLRDLIANAMWRDYRK >OMO95222 pep supercontig:CCACVL1_1.0:contig07714:3666:4482:1 gene:CCACVL1_05488 transcript:OMO95222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSDSVGHAFYEGDDGAYNLIPIQEDSFE >OMO68181 pep supercontig:CCACVL1_1.0:contig12254:27370:30860:-1 gene:CCACVL1_20033 transcript:OMO68181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M41 MAASSACLLGNSLSTHTRKPKLSREFYGRSIFLTSRLSSSGRTSKAVLVKASLEQKKHEGRRGFLKSLLGTAGIGVPALLGSGKAYADEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAVSPELGNRVQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEESGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGMGGPGGPGFPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVRTVTVDVPDVRGRTEILKVHASNKKFDADVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDAVQKVTLVPRGQARGLTWFIPSDDPTLISKQQLFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSEIGPWSLMDSSAQSQDVIMRMMARNSMSEKLAEDIDAAVKRISDDAYEIALSHIRNNREAIDKIVEVLLEKETMNGDEFRAILSEFVEIPIENRVPPSVPTPVSV >OMO68180 pep supercontig:CCACVL1_1.0:contig12254:19549:19851:-1 gene:CCACVL1_20032 transcript:OMO68180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MLIGNKSDLRHLVAVSTEDGKSFAERESLYFMETSALEATNVENAFTEVLTQIYRIVSKRAVETGGDAAAAASSSVPSRGETINVKDDGSMLKRIGCCSN >OMO68184 pep supercontig:CCACVL1_1.0:contig12254:51040:54157:1 gene:CCACVL1_20036 transcript:OMO68184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRVLSSLLRSTSRRSLSKSGILNSHLTSPSSTRRISPLGFLLQRSSEYATSAAAPAPSAPSKAAPPKKAGGKITDEFTGAGAIGQVCQVIGAVVDVRFAEGLPPILTALEVLDHSIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIINVIGEPIDEKGDLKTDHFLPIHREAPAFVDQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQADSKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGENHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESIASFQGVLDGKYDDLPEQSFYMVGGIEEVIAKADKIAKESAA >OMO68185 pep supercontig:CCACVL1_1.0:contig12254:56507:57673:-1 gene:CCACVL1_20037 transcript:OMO68185 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MMLKHYILVFLLSAMATTLVQGQGTRVGFYSATCPNAESIVKSTVQSHFRSNPAIAPGLLRMHFHDCFVHGCDASILIDGPNTEKTAPPNRIQGYNVIDDAKTQLEAACPGVVSCADILALAARDSVVLTSGRSWQVPTGRRDGRVSLASDTSNLPGFTESIDSQKRKFADFGLNTQDLVTLVGAHTIGTTACQLFSYRLYNFTANGPDPTIDSRFVSQLQALCPQNGNSGSRVDLDTGSANRFDTTFFTNLRNGRGILESDQKLWTDASTRPIVQQFLGVRGLRALNFNVEFGRSMVKMGNIGVKTGTEGEIRRVCTAIN >OMO68183 pep supercontig:CCACVL1_1.0:contig12254:49032:49721:1 gene:CCACVL1_20035 transcript:OMO68183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKKFMQLVEEKKKRALEKKEAPLKWEQKLEAAAKAKADAEAKERSKSSKPKRRSVSVSDSDSESDSSDGGRKRRRRTHKKDKKSKRKPKRRSSGSSSHSSNVSDSSYEEERRKKRSHRRRKHHGARSDSESSDTSSDDGDDVVRRSYVKHHKRNRGSESSDSYSSSDEDDGVPRRKSHAKHHKRHRTSHRDDFSSDDERRHYRRSRSLGKSSDDDHESRRKRSGHHR >OMO68182 pep supercontig:CCACVL1_1.0:contig12254:40920:46526:-1 gene:CCACVL1_20034 transcript:OMO68182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASPSASAAATNIMLAIYEKKTNSIDLYRPLRQYISFTYSEREAVNLEDDLSHVKTLRSDIERIADPSPTTRRDLFISYFKALCLIETRFPISPDKDHINSIQFTWFDAFKQKQKAVQQNIHLEKAAILFNLGAVYSQIGLSYDRATVDGRRQASHAFIAAAGAFAFLRDNASTKASMGNSTTVDLSVECAGMLERLMLAQAQECVFENTIAKGSTPGVCAKISRQVGLYYEEALGALNVAPLKDHFDKAWIAHVQLKAALFYAEACYRYSLELHEKEEIAEEIARLKSGISALAEAKKSSKGAAAQLLDAISKLEASLNRNLERAVKENDRVYLMRVPSPSSLNPLPAFSMVKPMQMNEVLDASKEKLFASLVPDSSAKALSRYTEMLDDVIRTQAEKLQQGSELTRVRLKEMDLPDSILALEGNFSLPEDLKNEVENVQSSGGPAGLEAELQQLRDLRRVNQELLIQTEELLQKEAAEDTQFRSQFGTRWTRPQSSTLTKTLQERLNKFAANLKQAADSDARIERSVREHSALMSILDRRPIEAALPSLARPMMSLDANEDAIVGALKQSLRQLETLGAQRAGLEDMLREMKRKDDILPKLMTSTGSYEDLFRKEIAKYDHICEEITQNIEAQEQLLMQIQAQNEEFSHVFNLEDYKASREKCYKQIQAAVAKWKEIKENINEGLKFYVTLQDAITNIKQQCSDFVMTRNIQCREMMEDVQRQMAGFSFQDRKNSTGPYPQVGQPQQPPRTSSQLQTEPQSIPPPSQSPYYRPPEQPMPGYGHHPPPYGAPQQPSPPYGAPQQPSPYHVPAPASPYPPPQTQPQPPINPEYGQPAYPGWRGPYYNAPAQQPGSLPRPPYTIPNPYNPHQSGYYKQ >OMO64602 pep supercontig:CCACVL1_1.0:contig12783:9123:9200:-1 gene:CCACVL1_21644 transcript:OMO64602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFLFRATDVDVDFGVEGYPNLHD >OMP10502 pep supercontig:CCACVL1_1.0:contig02382:198:263:-1 gene:CCACVL1_00924 transcript:OMP10502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRVRRSLKVLEPLSLHYKQ >OMO61505 pep supercontig:CCACVL1_1.0:contig13460:35507:35689:-1 gene:CCACVL1_23469 transcript:OMO61505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGTLKTKGLSQITKKKKESQFQITLASRRRKKKKGEVPQVSEELKVGVYRRRGDVDHR >OMO61501 pep supercontig:CCACVL1_1.0:contig13460:5215:5850:-1 gene:CCACVL1_23465 transcript:OMO61501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTMDGEICSWIIEFLVRHSADEMLVKKLIQAVPRLSGNARLNKTLLLHSIKSEIVAGKVSEKILDHLEMIEAIDRSQRLTIPDSMKQAYCAVALECTAKYLAGSVDRKGKYLDAVKRIWRGRIENLEKSNASKLVSEELRSRRRQVEAALADKDAGNVLITTNTRNDAILTVKAYVREALRLMGLPFLEKQCNLILEREYGSGSGAVQE >OMO61502 pep supercontig:CCACVL1_1.0:contig13460:7199:7282:1 gene:CCACVL1_23466 transcript:OMO61502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTDSAPTKITSIAPAKITVTTAAIR >OMO61503 pep supercontig:CCACVL1_1.0:contig13460:8858:9808:1 gene:CCACVL1_23467 transcript:OMO61503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEASFHLSGWILAIQGLGIYYFNVKTMRVIRLPDMVMSQDFIFDPVEFD >OMO61500 pep supercontig:CCACVL1_1.0:contig13460:370:3007:1 gene:CCACVL1_23464 transcript:OMO61500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MGSDVVSRGYLATIVVPSGDQWKKMKRIMVDELFSHARHQWLHTKRVEEADNLLRYVHNQCGHEGGGGVVNLRVSAQHYCGNVIRKLVFNTRYFGNGKENGGPGVEEEDHVKAIFTILAYLYSFCISDYFPLLRGLDLDGHEKVMEEATRVLAKYHDPIIDERIQQWREGKKEEPEDLLDVLVSLKDDNGDSLLSADEIKAQIIDIMLAGVDNPSNALEWALAEMLNQPEILQKAIDELDSVVGKQRLVQESDLPKLNYIKACAREAFRLHPVAPFNVPHMSMADTTVANYFIPKGSHVFLSRLGLGRNPKVWDEPNKFKPERHLNGAKEVVLTDPKLRLLSFSRGRRGCIGMVLGTSMTIMLFARLLQGFSWSIPPNEGGRIDLSEGKGNLFMAKPLVAVAKPRLPPHVYPC >OMO61504 pep supercontig:CCACVL1_1.0:contig13460:19846:23885:-1 gene:CCACVL1_23468 transcript:OMO61504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MAVPGAGIMGSSLSVCVMMVLLIMFSGWVLVAESAIGVNWGTVSFHKLKPSTVVDLLKDNNIQKVKLFEADPLVLRALVGSGIQVMVGIPNEMLATLSSSPAAADLWIRQNVSAYMGKGGADIRYIAVGNEPFLTSYAGQFQSYVVPAMVNLQQSLARANLAGYIKLVVPCNADAYESNVPSQGAFRPELTQIMTQLVSFLNSNGSPFVVNIYPFLSLYQNSDFPQDYAFFEGSTHPVIDGANTYYNAFDGNLDTLVAALSKLGYGQMPIVIGEVGWPTDGAIAANLTAARVFSQGLIDRVVGDKGTPLRPGVPPMDVYLFSLLDEGAKSTLPGSFERHWGIFSFDGQAKYPLDLGLGNKKLKNARNVEYLPARWCVANPYRDLSDVANHMKIACNVADCTTLEYGGSCNGIGEKGNISYAFNSFYQLQMQNEKSCNFDGLGMITFLDPSVGQCKFLVGVTDTSSSLRPYQRESSSIDIISKQIDRLYLGGEHLVQMLQDENR >OMO77001 pep supercontig:CCACVL1_1.0:contig10851:583:2551:-1 gene:CCACVL1_15239 transcript:OMO77001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase MNTVTQLHATTANVSSLGPSRSSTTTFCTPFLSTHRSQRQSFLPHRVRSLLLTQKWRQHVFLATRPLVKDGNLSINGKEAFKGVPDNIVVTPLTDTSAFIGATASDSSSRHVFKLGLIKDARLLCLFRYKMWWMIPRWGSSGSEVPFETQMLLLEGKEGPVLDKSDNSTDYYILFLPVLDGKFRSSLQGNSSDELELCVESGDPAIVTSQSLKAIFVNYGNHPFDLVKDSMMILEKQLGTFALREAKQASWLTVSM >OMO85788 pep supercontig:CCACVL1_1.0:contig09578:46024:50310:-1 gene:CCACVL1_09999 transcript:OMO85788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRASGSSRPRKNKRNSKKHKRPVVIKEHQESPSENNPPNTAKAPQTQPIAEAGMNHGAPPARDDQVQQVDNQMKVNSNNFGRHNNPAYVGHENPNPNFHQGELVSSPAGSTNETTNNALDHQNGAGGNSNPQIRQPAFQGNIVEGTDSSHGDGEEEAVAAPPPPPPPPPAPAPPRAQQTGMSTPFSPLIMEQLHQFPMALAEKIPENANCGGGAQSCHSENEVTSKAANENVGSSSQAEHLGSRIQTLDRGKAIMTDDQFEFQQNNIRNNNFNDNQEALPPYPSNLLPQTPSEMGFFNGGSSSQFNQQLPNWTSSTGNDLYRPMPPSGSEINPFNATNSSSPMCFNFILPQPAPLRPHHFSQQPDQAQMAPGAYNPQNFSSVLPESSVPLSLRTPILPAADHINPLDTSNLHYRYNSLQPKSNMLPSSQPLPAYQQASNQFSMLPPLPNSDTNYQNSVLPSLLPRLPTVEQPTLNQTTSRPTPIYPRSGNYLPTLVFNSLLQAQEIGGRRGRTRRFEVGESSSFKRFRRDPNAANSSQQVTDTSLSLKNNEATAAEHDIANLQLGPPRGIKNSLYDPIFEGLGLPVDPHLRMFLRNN >OMO85786 pep supercontig:CCACVL1_1.0:contig09578:28055:28628:1 gene:CCACVL1_09997 transcript:OMO85786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEEEQRQENDQPRTSQITESQFLAWKRQKDAEASAKQAEAARKRAEDIAAGTVQMNGRELFVHEPWVFDNSQY >OMO85790 pep supercontig:CCACVL1_1.0:contig09578:81793:82258:1 gene:CCACVL1_10001 transcript:OMO85790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEEVNMTPIETDGEENDVQVISQDDSKIWSLEEEKILIECMSNPENNFPMPPIGKYYLVNSGYTNNLMFEEFGRDDLIIDEDETLRATTSQNHVEVNVTASQLQQMARVRDEIATQLWENSQNT >OMO85789 pep supercontig:CCACVL1_1.0:contig09578:70806:73376:-1 gene:CCACVL1_10000 transcript:OMO85789 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRVKLEIKRIENNTNRQVTFSKRRNGLIKKAYELSILCDIDIALIMFSPSGRLSHFSGRTRIEDVFSRYINLPDQEREHALIFPEQSRHPDIHNREYLLRILQQLRSENDIALQLANPVSINPDIEEIQQELVRLQQQLQMAEEQLRAYEPDPLRLTTMADIESCEKQLVDTLAKVVQRKEYLLSNHLSYNPSPLQALPPSFDNEVVNWQLPDSGQSQSQIFDTSATLNQLRDLSSTVYDPMLQGSMSNPSGDDFQASWSQAYTSAGLQSSPLQTTLYSHVQHGMVGQEMIKNEEMEIPAGNSSSHGQIQSKAGDNEGSNYETGLAQLNGQ >OMO85785 pep supercontig:CCACVL1_1.0:contig09578:22995:26998:1 gene:CCACVL1_09996 transcript:OMO85785 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate anion transporter MGSFPIETLSMEQQQQQIDLEDAGRTERAKWLINSPDPPSLCQEVVSAIRGSLFPQGRRQSSSSSRRRNGTVVSILQAVFPILSWGRNYKASKFKDDLLAGLTLASLSIPQSIGYANIAKLDPQYGLYTSVVPPLIYALMGSSRDLAIGPVAVVSMLLASTVPNMVDPVADPVAYRNQVFTVTFFAGTFQAIFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLFGMSHFTTKTDVVSVLESVFKSVHKEWYPLNFVLGCLFLIFLLVARFIGRRNKKLFWLPAIAPLLSVILATLIVFLTKADKHGVKIVKHIKGGLNPSSIHQLQFKGPHVAEAAKVGLIAAIVALTEAIAVGRSFASIKGYHLDGNKEMVAMGCMNLAGSLTSCYVATGSFSRTAVNFSAGCQTVVSNIVMAITVVLALELFTRLLYYTPVAILASIILSALPGLIDINEAYHIWKVDKLDFLACVGAFLGVLFKSVEIGLLVAVAISFAKVLLNAIRPAIAQLGRLPRTDIFCEIDQYPMAIKTQGILTLRVNSGLLCFANANFLRERIMRCVTEEEDEAGETAKDGVQVLILDMSNVMNIDTSGIVALEELQSKLVSLGIKLAMVNLRRQVIHKLKLANFIEKIGAEWIFLTVAEAVDAFLAAKLESTNNC >OMO85787 pep supercontig:CCACVL1_1.0:contig09578:30404:31714:-1 gene:CCACVL1_09998 transcript:OMO85787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEKPVKNSGNEETSHEEQQQQQQQPPNNNTNSLHPIWNTPFRIDLNRLPHENPGYEDSDYVDQTNSDDEDCGGDDENCNDGDEMNHPTADDGQFSLVKAMRKSPLKPPPSSAGFM >OMP07641 pep supercontig:CCACVL1_1.0:contig04293:1091:1183:-1 gene:CCACVL1_01256 transcript:OMP07641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPPLSLFGIHKPFQPTVKISSNMFLNSFET >OMP11222 pep supercontig:CCACVL1_1.0:contig01473:756:830:-1 gene:CCACVL1_00620 transcript:OMP11222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKSTDRDGVIQFKATSLLDSKFTH >OMP03245 pep supercontig:CCACVL1_1.0:contig06109:2777:5091:1 gene:CCACVL1_02487 transcript:OMP03245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKKKPIEFAEEVVEEADPYKGFNLILVDICLKSMVYVTNRPTERGNLIQEVLPGIHVLTNASLDSPWPKAQRLDHKLKEVLAKYSKHELLPLEEMVAEVMMDTTKDDDSSMLPHIDAPETEYHMSSIFVDFNHPLGRCGTRNQSALSVKSNGEAKWSTEHHYDAHST >OMP03246 pep supercontig:CCACVL1_1.0:contig06109:10516:12481:-1 gene:CCACVL1_02488 transcript:OMP03246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCITVFMWEAHPLYPFLFFFNRDEYHSRPTEPLGWWEGGEILGGRDGEAGGTWLASSKDGKFAFVTNFREVECLPQAKSRGQLPVRFLQSKKKPIEFAEEVVKEADQYNGFNLILVDVCLKSMVYVTNRPTERGNLIEEVSPGIHVLTNASLDSPWPKAQRLDQKFKEVLAKYSNHELVPLKEMVAELMMDTTKDVDSSRLPNIYSPETEYNLSSIFIDFNRPLGRYGTRNQSALSVRSNGEVCFLERFFDKDIWKEQTVTYQIEMTI >OMP03247 pep supercontig:CCACVL1_1.0:contig06109:13114:16833:1 gene:CCACVL1_02489 transcript:OMP03247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIVEKKKEKKKGRPSLLDLQKRSLKEEQLKQQQQQQQIQRNSKRNVPAPQITPNYDSATATPLRRSNRRNRNYSTEDNDEEDGWDDEEDKDEELARRKRREKKLKLVLKLPSSEQKSPANSESRSSDSNHEEASAGPNHKKKKINSIGEGSGIADSIKEEKSVSGANPTSNSQGGQLDLGPSTTLPDKKLLIFILDMLQKKDTYGVFSEPVDPEELPDYHEVIEHPMDFGTIRKKLASGAYANLEQFEKDVFLISSNAMQYNAPDTIYFRQARSIKELAKKNFDNLRQDSDKNDSEPKVVRRGRPPTKNLKKPLGRPSLEPPASEFSSDATLATGAENTSNYDMRKGPLPSDKSSFADSTGKYRNDVHYENKAERSDEGSNLKSHTMRNGKKHFVLDENRRHTYKLFHPSDVTPEASVFTTFDGERKQLLAVRIM >OMO92628 pep supercontig:CCACVL1_1.0:contig08191:23212:23346:-1 gene:CCACVL1_06796 transcript:OMO92628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PCRKGSKGETQKRKSQRRKKESQRTLPDEEEEAPVHDGVARYGL >OMO98657 pep supercontig:CCACVL1_1.0:contig07062:42147:42284:1 gene:CCACVL1_04121 transcript:OMO98657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIRTEVKKETDLHILAFIGGSGTVACGVDGGSFVRRRLGFKLF >OMO98656 pep supercontig:CCACVL1_1.0:contig07062:35977:36202:1 gene:CCACVL1_04120 transcript:OMO98656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLFARDPDPIFSQSCGVAVSMLEFKRVGKSCKAEYS >OMO96224 pep supercontig:CCACVL1_1.0:contig07504:341:403:-1 gene:CCACVL1_05027 transcript:OMO96224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILDKFFNFAARILGKLPDKN >OMP10537 pep supercontig:CCACVL1_1.0:contig02260:936:1007:-1 gene:CCACVL1_00890 transcript:OMP10537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DYVPGSLDRNPKMKRETKNITTV >OMO84719 pep supercontig:CCACVL1_1.0:contig09713:27410:27577:-1 gene:CCACVL1_10690 transcript:OMO84719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHVNTQEANRQNKVCKYYTRSTVASLTSETKSEREGVRRIYSIPVVGLPLSPT >OMO84718 pep supercontig:CCACVL1_1.0:contig09713:3664:11805:1 gene:CCACVL1_10689 transcript:OMO84718 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase PIF1, ATP-dependent MDRLCPTSGESPMLLDDLLSDVPISYGFSAGQPPSGQMVSIGDSSSLGDGLSLIDVPVMLDPVLPVGHLPGLYLQSYVGDDSGLVDVVVVDPVDHNDYHSFANLSVCALGGQSIPSVNISPVNLTFDASGLVSGSDSHDVNVAVDGDFDLVDVPVEVVPPSFNPAEYLQHVQYSSWRLHSGSPTGVSLVSRKRSMPPVSGRASKCVRILAPSRSRASARGDRIFQDCHDTLPADTLPSNGFCFPGGHGPAVASSPSLEPGMVSLPARRPTPLFLDGLLDPRGGPMSRIFRDNIRVYNSLFQFTSLGAKIDNSVNAGAGPYIFRLNNQTHHKIGPLLPADGVSPQFAQLYIYDCANEVSNGIVSVVGSRAADSVNRLIVEGLMVMLDDINEIVKLFRTAKERLDFDASEPVSICLIKARGSDPRTYAAPTSDQIGGLIGCDFGRSNGERDIIVDHRDTGLQHISTVHPLYMALQYPLLFPYGEDGFAPHLMYVPTPVKDQTVRKTISMREYYAYQLQQRSSTGELHWVDTHQTEIMADMYTNVRDLVNRGDVDVSGVGKRIVLPASFTGGPRYLYQKYQDAMAICRAYGYPDLFITFTCNGHWPELQDALGFLPGLRLEDRPDLVARVFHIKLRSLIDDLMKHSFFGPALAVTYTVEFQKRGLPHAHILLWLQPGSKFKIAADIDRYVSAVIPYKDLDSIGYEAVTSLMMHGPCGVSKPQARCMEKHRCDKHFRKKFNEQIRLDQQGYPTYRRRDSGAMCVKNGVVMDNRHVVPHNVDLLVRYQAHINVEVCNQSRAIKYLFKYINKGPDRARVVIESQRCADGVVADSPHGAVDEIRAYLDVRYLCAYEACWRMFSFEIHLRQPASTRQLLYADFPSHFVWHPTQKTWEPRQRGCCIGRAACKAARLIGNDREWHDAMVEAAHSAFAYELRHLLRMIIVFGEVANPVNLFEQHWKVMSNDIQYRFRQVRRDPKLVMSDIDLRDHILCELDDILHCFGTSLADKNLPLPRSVDDGIGQVYFVHGHGGTGKTFLWKAVLARVRLSGNVALVVASSGIASLLLPGGRTAHSRFKIPIQIDQWSTCDIKRGTQLARLMQQTSVIIWDEAPMINRRCIEALDRSLRNVRGVVDRQLMDMPFGGITVVFSGDLRQILPVMPGGSRTDIISFIICNSPLWRHCQIMHLTINMRLKQHPEASAVRDDLAAFAKWLLQIGDGKVPSVHGINDPEGNLIQLPDDLMVQFSGDPVQAIIAEIYPDFVDRYSDRLYLGKRAIITPFNAMVDQINSSMLSLIPGDSMEYYSLDKVSGSSDTIIGESSSYPVEFLNGISSPGVPAHRLSLKLGCVVMLLRNINQMCGLCNGTRLIITHLGQNVIQAEIVSGDHIGQRVFIPRIVFVVENRQWPFVLVRRQFPLRLCYGMTINKSQGQTLDHVGVYLPKPVFSHGQLYVAFSRVTSRDGLRILAHDEDGRPTKQTRNVVFTEILDSVPTPPEMHELIKLNVGLIIMISYGLLAEEFNSGSNLASHDSFFCSAAFVLIPDLLLVSYTLGCSCVPDLTIALGASRSFVYDDCFHSYLPSIPPV >OMO84720 pep supercontig:CCACVL1_1.0:contig09713:45362:45844:1 gene:CCACVL1_10691 transcript:OMO84720 gene_biotype:protein_coding transcript_biotype:protein_coding description:replicative DNA helicase / cell division control protein 21 /MCM MIELKAFSVLYFLFFNKSSLKQDARNLLEVVTAMEVALEWEREMKRVMEESLWDDESALQDKLQERSTLMADKERMLADIETKEKLFDDFMIFIEAVEIKDLEKAQNFDEKDMKFEMVQILDEESMVVLVETVVSTQAIEGTKGGRGGSEGCNAGGNIGN >OMO55457 pep supercontig:CCACVL1_1.0:contig14685:10752:12582:1 gene:CCACVL1_27239 transcript:OMO55457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGGSSLLETVCIRLLMSYNPDSDADPFLEKGAMILFDYLGKSSDTDSSSPVESLLSRSRW >OMO79464 pep supercontig:CCACVL1_1.0:contig10411:13419:15742:1 gene:CCACVL1_13658 transcript:OMO79464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQDTLLTEAVKKCNARNWKKIAECVPGRSDIQCLHRWQKVLDPGVLKGPWTKEEDDCITKLVAKYGCKRWSVIARLLGGRIGKQCRERWYNHLDPSIRKDSWTEEEESILAYYHQIYGNRWTEIARLLPGRTDNAIKNHWNCTLKRKLGLCSPHCSSTDTDKDGSSDFSDLLEIPSKCMKFKGRVDLDETISVYQNTTVNHSSAKCNLDLALGLAMVADSSKARNCKSAGLANKQQITPVNAIDDSNMGFLRRNAKRSKILEQGQVDKDKESKNTSQYLLQNEPSQLNDSETAIFPIVDDEDVHLKSSICYSTPTKLAGSPILNSSSPESILRISAMTFKNTPSIIRKRRYQRALNGNKLV >OMO79466 pep supercontig:CCACVL1_1.0:contig10411:20355:20483:-1 gene:CCACVL1_13660 transcript:OMO79466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITIKAAKDMLVDIMVPFQTDSVSFICRMIGIAAEEHETRR >OMO79467 pep supercontig:CCACVL1_1.0:contig10411:21177:24015:-1 gene:CCACVL1_13661 transcript:OMO79467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPEAEENCRNRRGFKISERRLKSEFILTHAYRWRVWTHFELDRLQVTRDDGPLTLASLNFKSEFEKTNKNKANKVERPSVLNETPKNKLRAVGSNSGKAVKAVGVKKALEIESAPFAAESFPELGLPPLLLERLETAGFTVPTDVQSAAVPTILKGHDVVIQSYTGSGKTLAYLLPILSEVGPLKKKSPNGEAESGKKKEIEAVIVAPSRELGMQIVREVEKILGPADKRVVQQLVGGANRSRQEEALKKNKPAIVVGTPGRIAEISAAGRLHTHGCRFLVLDEVDELLSFNFREHMHRILEHVGRKAGADPRGSPVKRAERQTIMVSATVPYSVIRAARNWGCDPLLVQAKRVMPLESFSPAEPVNILKSTSTSDSSSSMQTQAASQSLPPALKHYHCVTKLQHKVDTLRRCVHALDAKSVIAFMNHTKQLKDAVFKLEARGMKAAELHGDLGKLARSTTLKKFKDGELRVLVTNELSARGLDVPECDLVVNLDLPTDSIHYAHRAGRTGRLGRKGTVVTICEEPEVFVVKKLQKQLGVPIPACEFTEGELHVIEEEKHLGAPAPR >OMO79463 pep supercontig:CCACVL1_1.0:contig10411:8334:9011:-1 gene:CCACVL1_13657 transcript:OMO79463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MMSAFKIKTLCSFVFLTLFSLAHAATFDIKNNCPYTVWAGAVPGGGKKLNNGETWQISAAPGTTQARIWARTNCNFDASGKGKCETGDCGGVLECKGYGSPPNTLAEYALAQYANQDYIDISNIDGFNVPMEFSSISPGCSRVIKCTAEIVKECPNELKVPGGCNGPCPVFKTEEHCCNSGNCGPTIFSKFFKDRCPDAYSYPKDDPTSLFVCPTGTNYKVVFCP >OMO79462 pep supercontig:CCACVL1_1.0:contig10411:2607:3281:-1 gene:CCACVL1_13656 transcript:OMO79462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MKLKTTLLFSLPFIAFYFTVANGATFTIRNNCPYTVWAAAVPGGGKRLDRGGVWNLNVNPGTTGGRVWARMYCQFDGAGRGRCQTGDCGGLLQCQGYGSPPNTLAEYALNQFNNLDFFDISLVDGFNVPMEFSPNSGSCSRGIKCTADINGQCPYELRYPGGCNNPCTVFNSNKYCCNSGNCGPTDLSRFFKQRCPDAYSYPKDDATSLFTCPSGTNYKVVFCP >OMO79461 pep supercontig:CCACVL1_1.0:contig10411:573:1301:-1 gene:CCACVL1_13655 transcript:OMO79461 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MGHLTNLTISSILFTILFIVSTHAALFEIRNECSYTVWAAASPGGGRRLDPWQSWTINVPAGTAMARIWGRTNCNFDANGWGHCETGDCGGRLECQGWGSPPNTLAEYALNQYGNMDFYDISLVDGFNVPMVFGPTSGGCHNIWCTADINGQCPNELRTSGGCNNPCTVFKTNQYCCTQGYGSCGPTDFSRFFKSRCPDSYSYPQDDPTSTFTCAGGANYRVVFCPRGSPHLEMVGSKSALQ >OMO79465 pep supercontig:CCACVL1_1.0:contig10411:16595:19115:1 gene:CCACVL1_13659 transcript:OMO79465 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MGSSEKSQKTILVTGGAGFIGTHTVVQLLHGGFRVSIIDNFDNSCMEAIERVKQLVGPELSKKLDFNLGDLRKREDLEKLFSKTKFDAVIHFAGLKAVGESVANPRRYFDNNLIGTINLYEIMAKYNCKKMVFSSSATVYGQPEKIPCVEDFELKAMNPYGRTKLFLEEIARDIQKAEPDWSIILLRYFNPVSAHESGKIGEDPRGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAIRDYIHVMDLADGHIAALRKLFSTPDIGCVAYNLGTGCGTSVLEMVAAFEKACGKKIPIKLCPRRPGDATAVYASTQKAQKELGWKAKYGVAEMCRDQWKWASNNPWGYQSKP >OMO79468 pep supercontig:CCACVL1_1.0:contig10411:25164:26071:1 gene:CCACVL1_13662 transcript:OMO79468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQTLPVELTRLPILEKLYLDNNKLSVLPPELGELKTLKTLPVELTLLLILENLYLDNNKLSVLPPEPVELRQTVWLVELDVVELDIVFFTPTPLSLIA >OMO87383 pep supercontig:CCACVL1_1.0:contig09243:86822:87783:1 gene:CCACVL1_09092 transcript:OMO87383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQLFPENMGFPLPMCGLQDNWMLNPAPAILPFETDFCFSLQDPNVYQQTTIPFHLLQNAQNLASASASSSSTCDSFLSMALPKSLDAQLEMQRQELDCILQFQNERLKSALQEQRKRQLGTILKNMESKALYLIRRKEEDLARATKKTMELEANLRKLEMESDSWQRLAKENEALVLDLGNTLEKVRENLVWNSNNNRAEDAESIFYGSCDTQQQGGREMVNHEEESRKMACKNCNSRSSCFLFLPCRHLCSCKSCEAFLDSCPVCKSVKEASMKVFWV >OMO87369 pep supercontig:CCACVL1_1.0:contig09243:10603:13668:-1 gene:CCACVL1_09078 transcript:OMO87369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEDFFTLTEMKDGLTAPSRVEELLTVMKKEKDSVVKNVSDATRQWAAVASTIAATENKDCLDLFIQLDGLWFLDRWLKDAQEFGKDSSDSFVEESITALLRALEKLHRNNEKCISSEICITVKKLLGHNSSRVQDKARLLFDNWKKGRITDDDGGVDSGEKFSDHGISDSATLIGENSRPECSGKDVSVSRGSPQEQNDGVDASKDEPLPSSSLDCGQPESAKASCTETTNNELESRISSDCADVGNRSPNHMASSIGSKPVQENPSMKDELPAKTAEETASLEACSVPDSKQENLEVSGSQKLKELSGDEKQKLGMSVSSSSTVEHALVSSGTGGGSAQEPTKEPNLQNDADANKKGDILNSVTLRDEWKPVAETKKILSGVSVVNHSDNSSQLFKTTGQDGESHSDMLRSSSKNEFKYRKPVDVVTKFSRMDSIGTTDEVKGKSGVEGLRSGSKFTRSPDVIDKRMSDIELDYGIVDALEVARKVAQEVEREVVDGREPSCSSSEKISEGGIRQPSTPDSINGKQDLPTEATPEVSTRPKQSAEAYTEGEGHIINSDVQTNEPENDLHDMESSQVTVAQEPEPNTEKSLCDFDLNQEVCSDDVERAVNSISTPISVVSASRAAAAPGLPAAPLQFEGALGWKGSAATSAFRPASPRRNSDGDKTLSVGGTSSSSKRLDFLDFDLNVAEGGDEKGAELLSGKQVTASSGLHSAESSLEVSPRKSERPKLDLNLISDDGDAPALDLRVEGRLFSNRNGNRSPSPASSSSSMQPFLRNIDLNDRPIHSDASEQGLHHGRPSGNVNAYGGPKPNDPVISIMGTRVEVTRKDFVPQVASLPNGKAPEPATDTSIARTGGLMGLGPTVSYTHSPAFSYNGLTMAPTMSFSSAIYGPSGSIPYMVDSRAPVVPQIMGSTSAVPPPYSQPQFIMSMSNAPVGLNGSGPSRPNFDLNSGLAIDGGNRDSMSLRQTFVPGQGRAMEEHLRANSQPSSSSGVGAKRKEPEGGWESFPFNYRQQQFPWK >OMO87374 pep supercontig:CCACVL1_1.0:contig09243:48360:50956:-1 gene:CCACVL1_09083 transcript:OMO87374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRVKGTTSPCDNRNFTTSLLLDNVDFEILTEIKQGIKGRELGYTITAEDIRRDLGISLVSEGNKSAASTKKGSRSRGRFVKSYRGFE >OMO87380 pep supercontig:CCACVL1_1.0:contig09243:79719:80834:-1 gene:CCACVL1_09089 transcript:OMO87380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTVLNIFGIVSLLERDTILPKAEQKLLKKGEKEIRKVKENKKERKEKEKTHGISKSKKLDDGVIGLKDSQLESSELTEEHGLPVCYLSDGTQNSNNGSQNNNKRKRETSPPTVNGSNIKIRFTFKKPKESDASLSKELVSSTSGRVDSSSQPIAQEQCVLPKVKANIITAPVQEQKQCPLMERIEQSLPSFSSTSRYDSKIKKAALQYEALFENWVPPPPLQLENQLENQDDDDWLFASKKQGQPVAKRTSLVDTGSTCHASAGATSWPRAQFLPEVEIYALPYTVPY >OMO87382 pep supercontig:CCACVL1_1.0:contig09243:85477:85629:1 gene:CCACVL1_09091 transcript:OMO87382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITAGDVWGSFTECGMQAAGGPLTSVALISPPRNLVANGADALHRKQAI >OMO87372 pep supercontig:CCACVL1_1.0:contig09243:31977:37202:-1 gene:CCACVL1_09081 transcript:OMO87372 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGLISSIMGVFGFGIGTSIGLVIGYYMFIYFLPTDVKDPKIRPLIEEDSETLRRLLPEIPLWVKNPDFDRIDWLNKFIENMWPYLDTAICTTAKNIAKPIIAEQIPKYKIQSVEFETLTLGTLPPTFQGMKVYVTDEKELIMEPSLKWAGNPNITVAVKAFGLKATVQVVDLQVFAIPRITLKPLVSTFPCFANIYVSLMEKPHVDFGLKLLGADVMAIPGLYRFVQELIKDQVANMYLWPKALEVQIMDPTQAMKKPVGILDVKVLRAMKLKKKDLLGKSDPYVKLKLSDEEISTKKTTVKHSNLNPEWNEEFNLVIKDPETQALEIEVFDWEQVGSHDQMGMNVVPLKDLTPDEPKVLTLDLLKNMDPNDPQNEKSRGQLVIEACYKPFKEDEVPDDVEDSSFIQKAPEGTPAGGGLLVVIVHEAEDLEGKYHTNPHVRLLFRGEERKTKRIKKSRDPRWEEEFQFTLDEPPTDDKIHVELFSTTSRMGLLHPKESLGYVTVNLADVVHNRRINEKYHLIDSKNGKIQIEMQWRTSS >OMO87371 pep supercontig:CCACVL1_1.0:contig09243:25197:28628:-1 gene:CCACVL1_09080 transcript:OMO87371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVYSYLLLYISLILLLFSASSNGYTDLEVLLKLKSSMIGPKGSGLEDWEFSLSPSAHCRFSGVKCDENFRVVALNVSYSPLFGTLPPEIGLLDKLVNLTISAVNLTGKIPVEMGNLTSLRIFNISNNVFKGLFPGGILTGMTQLEILDAYNNNFTGPLPIEVVKLENLKHLSFGGNYFTGEIPEEYSEIQSLEYLGLNGIGLTGKSPAFLSRLKNLKEMYIGYYNAYDGGVPPEFGTLSQLQILDMANCNLTGEIPASLSNLKNLNTLFLQVNRLTGRIPSELSGLISLMSLDLSINELKGEIPESFSALQNITLIHLFRNHLEGPIPSFVGDFPNLEVLQVWGNNFTRELPENLGRNGKLLMLDVTSNHFTGMIPRDLCKGGRLQTLILMDNFFFGPLPEDLGNCKSLQKIRVMKNMLHGTIPAGIFNLPLVSIIELNDNFFSGELPSHMSGASLGQLKISNNWFTGKIPPAIGNLSSLQVLSLEMNKFSGEIPEEIFNIKLLSKINISANNIVGEIPPSISRCTSLTSVDFSQNNLSGEIPKGIDQLKDLSILNMSRNQLTGEIPGEIRYMISLTTLDLSYNNFYGKIPTGGQFLVFNDSSFVGNPSLCPMRHVTNCPNLANKDKEYAYTLKVDEKSDVYSFGVVLLELIAGRKPVGEFGDGVDIVRWVRKTASELPQPSDPAVVLAIVDPRLSEYPLTGVIHLFKVAMMCVEDESPARPTMREVVHMLTNPPHSAPPKPSHLLDAF >OMO87384 pep supercontig:CCACVL1_1.0:contig09243:90666:95070:1 gene:CCACVL1_09093 transcript:OMO87384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE-type MQQGDYSSYYQFPHLSNPNPNPTPNPTPTEFHQTSYASAPPFTSGYASNDYSGYPQSYPPYQQNPDPAPPTAPSYAPPSGTTTPVSPTLTSQSSFNQQPIAPQSAAAAPTFPPYDSHVPYQPPTSQPPYYQPYDQHQTAPSYGPPPSNANPTPNPPYYSSPYSQVGSSVSSVPPAYDSPYDNSLKFDQGGGGYYDDKFGGYNRSRSDLGSDFYGKGSDSYSRYSDDGGYGDGVYAYQGGKAEPYGARGTAPKSSTWVQFDDYGRSINLPSGKDSSSGSGSGSAKIVRAVPKAETQQDVKSGVQKFRVRMLSEGGGQGPMDVLCQIGLDGIRMLDPSTSRTLRIYPLENITRCEVVDSSTLAFWSKSSVDIEPRRIRLQSNSYTRNTLLDTITAATVQIKEMGGRSRPPESVKTTEQPTEKKKGFADWMNLIKPGMEEKDHWVPDEAVSKCTACAADFSAFVRRHHCRNCGDIFCDKCTQGRIALTADENAQPVRVCDRCVAEVTQRLSNAREAASKPAGLQSHEDLAKKLQEEMERNRRASSGSKSDGSGRRMKEVACPTCTVHLQVQVPSSGSETIECGVCQHPFLVSAH >OMO87381 pep supercontig:CCACVL1_1.0:contig09243:81869:84156:1 gene:CCACVL1_09090 transcript:OMO87381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRINGLATLSRALSGSGATEQRLGQLALMSTCSSSSNSHISSQKLADRLGLSRPSLRHGVAGTMLFSVAASSLAQEAHAKEPPRSEKFLPKEVVLYQYEACPFCNKVKAFLDYNNIPYKIVEVNPISKKEIKWSDYKKVPILKVDGEQMVDSSDIIDKLFQRINPDGTIPDGDEEKKWCQWVDNHLVHVLSPNIYRSASEALESFDYITTHGNFSFTERLVAKYAGATAMYFVSKKLKKRHNITDERAALYEAAETWVDALKGRHYLGGSKPNLADLAVFGVLRPIRYLKSGKDMVEHTRIGEWYTRMENAVGESAGIRE >OMO87376 pep supercontig:CCACVL1_1.0:contig09243:57965:59559:1 gene:CCACVL1_09085 transcript:OMO87376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVAKKWIPELKHYAPGVPIILVGTKLDLRDDQQFFVDHPGAVPISAAQGEELRKQIGSPAYVECSSKTQQNVKAVFDAAIKVVLQPPKKNKKKKKGHGACSIL >OMO87378 pep supercontig:CCACVL1_1.0:contig09243:67608:73879:-1 gene:CCACVL1_09087 transcript:OMO87378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 35 MMLNGAEDEEKWLAEGIAGIQHNAFYMHQALDSNNLREALKYSALMLSELRTSKLSPHKYYELYMRAFDELRKLEMFFKDESKHGVSVVDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKEVLKDLVEMCRGVQHPIRGLFLRSYLAQISRDKLPDIGSEFEGDAETVMDAVEFVLQNFTEMNKLWVRLQHQGPGTVREKREKERNELRDLVGKNLHVLSQIEGVDLGMYKETVLPRILEQVVNCKDDLAQYYLMDCIIQVFPDEYHLQTLETLLGACPQLQPTVDIKTVLSRLMDRLSNYAASSPDVLPEFLQVEAFAKLSKAIGKVIEAQIDMPAVGAITLYVSLLTFTLRVHPDRLDYVDQVLGACDKKLSTIPKLGDSRATKQVVALLSAPLEKYNDIVTALRLSNYPRVMDHLDNGTNKVMAMVIIQSIMKNNSCISTADKVEVLFELLKGLIKDMDGADVYELDEEDFKEEQNSVARLIHMLYNDEPEEMLKIICTVRKHTMAGGPKRLPYTVPSLAFSALRLVRRLQGQEGDIIGEEVPATPKKIFQLLTQIIESLSIVPAPELALRLYLQCAEAANDCDLEHVAYEFFTQAFVLYEEEIADSKSQVTAIHLIIGTLQRMSVFGVENRDTLTHKATGYSARLLKKPDQCRAVYACSHLFWVDDQDGLKDGERVLLCLKRALRIANAAQQMANVARGSSGPVTLFVEILNKYLYFFEKGNPQITSAAIQDLIELIKTEMQSDSATPDTASDAFLASTLRYIQFQKQKGGVMGEKFESIKL >OMO87385 pep supercontig:CCACVL1_1.0:contig09243:100758:109515:1 gene:CCACVL1_09094 transcript:OMO87385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MNNDLSTLVKCNFPPYGQDFDGGVPTGRFCNGKVPSDLIAEELALKDIVPAYLDPTLTPEDLITGVTFASGGAGFDPLTAKLVSVISLSEQLNYFKEYIWKLTALVGEDRKNFILAKSLFFVVAGSDDIANTYFVLRAPRKLQYDVPAYTDLMELYDLGARRIGIFSAPPIGCVPSQRTLAGGAERECAEEYNQAARLFNKKLNSVSNSLRTSLPDGRFVYIDVYNPLLQLIQDPPKYVLLIGNPEAIDIEVPGNETFPAVIIFGDSIVDTGNNNNNLNTPARCNFPPYGRDFQGGIPTGRFSNGKVPSDFIVEQLGVKEVLPAYLDPSLQPQDLLTGVSFASGGSGYDPLTPTLQSVASLSDQLLQFREYKEKLKAILGEEGTKSILAKSLFVVVAGSNDIANTFFNTRIRQMLHYDIATYTDFIVNSASAFIKQLHELEARKIGVLNAPPIGCMPSSRIMAAGGTAAAKGQECLENYNEAAQLFNSKLYVELDLLNNRLYHAKVVYLDVYTPLLDLVKNHDKYGFEVVDRGCCGTGTVEVSILCNEFDMGTCTNVVRFKGIHKVGKSIEKKRKEKKILFQNMITTVDAEVPNPESPKRQSKFPALIAFGDSILDTGNNNLRLTFTKANFPPYGRDFPGKRATGRFGNGKVFSDLLAGALGIKDTIPAYSDPLLPDSELATGVCFAAAGSGLDRLTSTIQNIRSIWDQVNQFETYIAKLERAVGAEQAKTIISGSIYLVSAGNNDLCIAYLIFLRWFLIGPTFYSSLLVRYTTNFIKEMYALGARKFAIMSTLPLGCLPSDRTMEGLLFLRPCIITTNLLASVFNSKLESEVNKLKTELAGVKIVYVDVYNPLLDIIQNPLKHGFVRASVGCCGTGLVEMGPFCNIFNPFTCWNTSTHVFWDSAHPSEKAYSIVVSQVKDHIAQQLSD >OMO87370 pep supercontig:CCACVL1_1.0:contig09243:18063:23614:-1 gene:CCACVL1_09079 transcript:OMO87370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTNPTPPTCPATVSVRRNPHRKARPTPLTNPLPLSLRPSPYSQISSFPIQDILSEEIPPKPNVSAASETPKDSTSENLRVYLRVRPLVPLKGSTKNVGDQNQKSRVKNVWPQNPSKIKSEKEKKTVKKKSNESCITVSDDFHSVVLSPPLSLQETKRIKSEVYEGFSYVFPAESTQSEVYEKMVNPLVEDFLNGKSGMLAAVGPTGSGKTHTVFGSPREPGMVPRALQRIFKCYQGHSSQSSRQFYLSVFEICAERGKAERICDLTSDGPDLYMQQSTIRGLQEVLVQDNVEAEQLIARALLRRSTAMTNANSQSSRSQCIINIRRGADKSDADSDEQSDSAVLSIVDLAGAEREKRTGNQGARLTESNFINNTSMVFGLCLRSLLEHQKNPKKALQQHFKNSLLTRYLRDYMEGRKRMALLLAVKPGEDDYLDASYLLRQASPYMKIKFTNALCNKRNQTTSRPEQSKRMKIVNPDASVIEGKIVGDENQLPSEEDSICNEDLKNSRLLKLNYDGLKERERNHQIMQNFAKALWSVLKQQNEKLKVAENENKILRENLRNEKNRCIEMEKELNDLRTYCFFSREKSVASSVPKENENFECTVHLEGHTPENDNPLQRQQQNIYSEVPSPITCELDSTDDKQEPEAMVRRSVADVDSLSISVPNDNCQSCQIHESSPGKSNYAVAEHLNPNSDVTDAVSTSGNDSSASVQPGEQLLRENNEDSLDRIVSPKEAARTQQPDPVDAVCTSGDDSTVSVQLGEQLLRENNEDSLDQIVSPKEVEHTQQPHPVDAVCSSGNDSSVSVKKLLPENNEDSLDQIVSPKEVEHTQQPHPVDVPKIETRPNASSSSTKKEKPRRQVGRIFKICKLLGFLLLDVLPLGS >OMO87379 pep supercontig:CCACVL1_1.0:contig09243:76736:79411:1 gene:CCACVL1_09088 transcript:OMO87379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFIERNSEEALWSRISNSRYVVNQLPTIGALLAARKGKIILELEIPTLYHLKGFRMNSRYCLYPNKSGVKLRDAEKPEFEEEETPAEDIGHDSSSFSQNPKAILLNSISIIRRELPEPSLGWPLLRRKSSPNQILEIIKPEARDKSVVEWVMNLPNRVITIVNQSSLHSNQTSITSKMNTNEEAIQKSEEESESDMIQNPNEENSELEYDAEVSVSLKTIDMDTNLKPGWPLLRITPSTSSDSFSTESENLVETENFLPALRKMPNDLELRCKQFSLRELKQATSEFSEENLIGEGGCSKVYKGCLPNGKPVAVKILKSYKEAWSDFCLEVDIVSSLEYKHITPLVGVCVEDDHIISVYDFFPNGSLEEVLHVPFSYEDLTLECGDYTKSRFSAGKKRRLTLKDYLKKAQEQPYDQIGSPRILSRLLIH >OMO87373 pep supercontig:CCACVL1_1.0:contig09243:46677:47459:1 gene:CCACVL1_09082 transcript:OMO87373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVFMSSSAEKSFSPYKQLKNMPRRYSTTIGANLVSKPTENLAPTDNIMHGGAAGGLLLAPPPSLHFSYPPSASLLNPYYQNNYHQLQQQQPQPQPPLLPLPIIPNKPLHNSLPSRTRSLSSSPSNRKNNKTRDQSLTPKRSKSKQLMAGKVEDQPKKDSKPTETQATTKSLVMTPPSANPIGPDPNDLPKLLASSYLATGIVAKDLEIFSGSVFTLSPPPSSLPLPKFSLRPKLSCNAEAAGVDAGATDDLRRLLRLR >OMO87387 pep supercontig:CCACVL1_1.0:contig09243:134950:135420:1 gene:CCACVL1_09096 transcript:OMO87387 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MIEDDCADNGIPLPNVTSKILSKVIEYCKKHVEAPKSDDRSASVEDELKLWDADFVRVDQATLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >OMO87386 pep supercontig:CCACVL1_1.0:contig09243:126731:127599:-1 gene:CCACVL1_09095 transcript:OMO87386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A MVGRGKFLGSGASISTSSSSKAGLQFPVGRIARFLKAGKYPERVRVSVPVYFAVVLEYLAAEEE >OMO87388 pep supercontig:CCACVL1_1.0:contig09243:135720:142557:-1 gene:CCACVL1_09097 transcript:OMO87388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLITFVLVLSCFFSVSLLTETGGAVAEKNGVYIVYMGAAPSKKGVLRDDHAQLVNSLLKRNKNALVQSYKHGFSGFAAVLSETEAKSLAKKPGVVSVFPDPVLELHTTRSWDFLKLQTSVVITSNPNSDSDANSTTQDSGAIIGILDTGETCSPFLSPFLLFCYMLVEVHHGEGINFADIKKTPDYPIIYAKSAKKSGEDETSARNCDLDSMDQEIVKGKIVVCDNDNQLYALSEKKDEVKRLGGIGIVLIDDDSRTVASTFGSFPATVISKKDAPKLFSYINSTKTIPDGFTCPKDSSIDLISNINYPSIAITNFNEKTGRKVNRTLTNVSGEGKTVYTVTIDAPKGLEVQVVPDKLQFTSNGDKSSYQVSFSSATPLKDDVFGFITWSNGKYKVRSPFAVSSKKTGAADAEKDGAYIVYMGAVASKRGSLRDDHAQLQSSLSKRRKNGLLYNYKHGFSGFAAVLSAAEAHSLAEQPGVVSVFPDPVLKLHTTRSWDFLKFQSSVLIGSNPNSNSDSDSTSHDSDGEGINFADIQKSPVYPIIYAKSANRTGATDIEASNCDPDTMDQKLVKGKIVLCDILDSDYVESERTAEVKKLGGIGIVLIDDALIEVAPNFGTFPGTVISSKDADKLLSYINSTKNPVATILRTTSPTKHKPAPAIPDFSPRGPSTIPHLLKANTLSVPIFTKPTQTNNLRAPITFANDEAATPHELGAGEVSITGPLQPGLVYETTTIDYLNFLCYYGYNISTIKLIAKSIPDRFTCPKESNIHLISNINYTSIDITQFNEKEGMKMIRWEVTRTLTNVAGEEDKSIYNVSIDVYSDLYVQVVPDKLQFTRNSGLDVQVVPDKLQFTRNGQKLSYQNINKKIQDPQVIDPTAVANTLIKGLLSLL >OMO87377 pep supercontig:CCACVL1_1.0:contig09243:64243:64584:1 gene:CCACVL1_09086 transcript:OMO87377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISDAVIGNLMTIYVAVIAGIKAYGLVCGRSFSGGFVLIVSTTVVGLILVGTLTWDVTRKASYAISRDQAAAGAVHVHEMCKGGICWHGVAVRSPASQVRFRLPQHIPYGSL >OMO87368 pep supercontig:CCACVL1_1.0:contig09243:3909:9129:1 gene:CCACVL1_09077 transcript:OMO87368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFMFEAPSDEEPHHSESEEEDNEEAEEEEGAEGEDKPSKPKGKSQSPWDFAAYSESVAEEHARRGTTSIDYKISKILQQSSAPAQQEESSDSEPDKQVDYRSEEEDEEKSNAGDSKSFFAPSEGASFHANSFMELNLSRPLLRACEALGYTKPTPIQAACIPLALSGRDICGSAVTGSGKTAAFALPTLERLLFRPKRVSAIRVLILTPARELAVQVHSMIEKLAQFTDIRCCLVVGGLSLKAQESALRLMPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFSAEIHELVRLCPKRRQTMLFSATMTEEVDELVKLSLSRPLRLSADPSAKRPSTLTEEVVRIRRMREVNQEAVLLSLCSKTFTSKAIIFSGTKQTAHRLKILFQLVGLQAAELHGDLTQVQRLDALERFRRQEVDFLIATDVAARGLDIIGVQTVINYACPRDITSYVHRVGRTARAGREGYAVTFLTDNDRSLLKAIAKRVGSKLKSRIVSEQSIAKWSQKIEEMEDKVAEVIEEERTERAIRKAEMEATKAENMIAHKDEIYARPKRTWFMTEKEKKLVAKTAKASVENEKGSANAVMSAQQAEDLKMKEKRKREREKNLPRKKRRKLEAAREMLEDQSEINESQGGGKNKKEKEGISLVDLAYRRAKAVKAVKKAADSGKIVKKQNTKSKHANQRTQSRTEEMRELFQSDMSEKRQKSTSGAGRKKSKSSFKSKSRYET >OMO87375 pep supercontig:CCACVL1_1.0:contig09243:51439:56354:1 gene:CCACVL1_09084 transcript:OMO87375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELELSEQNSSVPKEEEASNSTKRKPVSFFGLFGAADKFDYALMFFGSLGALIHGAALPVFFILFGRMIDSLGHLSSNPRKLSARVSEHALYLVYLGFAVFASAWIGVAFWMQTGERQTARLRLKYLQSILRKDISFFDTEARDSNIIFHISSDAILVQDAIGDKTGHAIRYLSQFVVGFAVGFTSVWQLTLLTLAVVPLIAVAGGAYTIIMSTLSEKGEAAYAEAGKVAEEVISQIRTVYAYVGEERAVKEYSSSLKNALKMGKKSGLAKGVGVGFTYGLLFCAWALLLWYAGILVRHGKTNGGKAFTTIINVIFSGFALGQAAPNLAAIAKGRAAAANIFSMIETSMIETDSKKPSRQAEGETVLPEVAGDIEFCEVCFAYPSRPNMVFENLSFSIVAGKTFAFVGPSGSGKSTIISLVQRFYEPTSGRILLDGHELKNLQLKWLREQIGLVSQEPALFDTTIAGNILLGKEDANMEQVILAAKAANVHSFIEELPDRYNTQNGEYAALVSLQVSENVANPSSIYYSDASESSSFRQPPNSQNPGQDSTTTELQQSDQSSSKQKSLTPSIWELLKLNAPEWPYALLGSVGAILAGMEAPLFAFGITHVLTAFYSPHDSQIKEEVERVSLIFVGLAILTIPIYLLQHFFYTLMGEHLTARVRLSMFSAILSNEVAWFDLDENNTGSLTAALAADATLVRSALADRLSTIVQNIALTVTAFVIAFTLSWRLSAVVIASFPLLIGASITEQLFLKGFGGNYSQAYSKATNVAREAIVNIRTVAAFGAEDKISIQFASELNKPNKQAFLRGHISGLGYGVSQLFAFCSYALGLWYASVLIKQQASNFGDIMKSFMVLIITALAVAETLALTPDIVKGSQALGSVFGILHRETSIVPNDPKSNILTEIKGDIEFRNVSFKYPMRPDVTIFEDLNLKTSAGNSLAIVGQSGSGKSTVISLIMRFYDPISGSILIDDHNIKTLNLRSLRQKMSLVQQEPALFSTTIYENIKYGKEEASEIEILKAARAANAHRFISRMPEGYHTNVGDRGVQLSGGQKQRVAIARAILKNPSILLLDEATSALDSESEKLVQEALDNLMEGRTTIVIAHRLSTIRKADNIAVLQQGKVAEIGSHEQLTRKPDSVYKQLVSLQQ >OMP11829 pep supercontig:CCACVL1_1.0:contig00791:4658:5146:1 gene:CCACVL1_00241 transcript:OMP11829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MVVPVEQQHDLVYNIRLSSVGPARATGTDVILELSGLDLAMKLHYLKGVYFFSSEAVEGLTVMNIKEVMFYFFNDYYVTCGRVKRTESGRPYIKCNDCGVRFVEGLCDKTIDEWLEMADDSLQNLLVYHRAIGPELSFSPSVYLQVITFINLISISICLSIS >OMP10546 pep supercontig:CCACVL1_1.0:contig02237:109:346:-1 gene:CCACVL1_00879 transcript:OMP10546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSINFPAENWHCRARGAFQGRSALQLQRSSERILHPFNAFGHHRPDR >OMO67605 pep supercontig:CCACVL1_1.0:contig12412:7144:9273:1 gene:CCACVL1_20424 transcript:OMO67605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSLQQRRGGLVSLSPSQTPRSSDKSVRDLRSGDSNSSSKHEKDKGVNVQVILRCRPLSEDEARIHTPVVITCNENRREVCAVQNIANKQIDRTFLFDKVFGPSSQQKELFDLAVSPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRSVKQIFDILEAQNAEYSMKVTFLELYNEEITDLLAPEETSKFIVDDKTKKPIALMEDGKGGVFVRGLEEEIVTTANEIYKILERGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSAVIKDLYSEIDRLKQGS >OMO71583 pep supercontig:CCACVL1_1.0:contig11596:36180:36879:1 gene:CCACVL1_18150 transcript:OMO71583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar (H+)-ATPase G subunit MARLKQAEEEAEKEIAEYRAQVEYEFQKKLAESSGDSGANVKRLELETDAKLTTRRMKLQGYHMMLYLCF >OMO71584 pep supercontig:CCACVL1_1.0:contig11596:38857:39603:-1 gene:CCACVL1_18151 transcript:OMO71584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFQKNTSSAMLRWYSKGSSRFIRRGYVHCDLKPENILVFHRGDCSDLPILKIADFGLAQVRGVKNMRKWDYGFCGTPTYISPESIVRQIWGALDVWSLGCIVIEMITGRPAWGNYQGPEDMRDKLLRGQKPDIPENMSTWGKDFLKRCFGTGPNERWTARMLLEHPFLQVQPESETILLPHEMSFTSTNSAEDNEEKKPTLGTTKFSEEISNEYDDELAEDIMFEALLRSRKMKIRPRHRMLLVYC >OMO54941 pep supercontig:CCACVL1_1.0:contig14848:6251:6331:-1 gene:CCACVL1_27459 transcript:OMO54941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSIKQKQGTRKRREDKEDFWEEGF >OMO95715 pep supercontig:CCACVL1_1.0:contig07621:22027:23340:-1 gene:CCACVL1_05293 transcript:OMO95715 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAGE protein MENSGDNLSQFDISKEEIDKLVGEVIRYILFKTHQSSGCPIKREELTQLVTKNYRNRLLPAFIINEAKDKLSTIFGYELRELQRSRPSSASQARFSQQSGVDAKSYVIISQLPAEVYKKYVEDVNTSHLTGFTFVVISIVHLAGGKIAEESLWHQLKRMGLHEADENHPVLGNVKQVLETLVQQRFLQKDKVNGPEGTTLFYELAERALDGPIVSSSA >OMO95714 pep supercontig:CCACVL1_1.0:contig07621:9310:20131:1 gene:CCACVL1_05292 transcript:OMO95714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyl-CpG DNA binding protein MASATVDHRLQHRDSSLHLESIPLVDLRLLSQPELLSLSFCSTSPSPSNAETEIFTPKIDRSVFNESAGSRKQTFSRLRLAAPRNYLHHHHSSPSSTSFASVPRRLNPEPLDEESSGILPLLKSLFNIDDSLTANTNGNEPEDDKDLVPVQIEYPTGNSVLQNIPVGIVSCSGSKRKRGRPRKDEKVNWLIESESLVVEEQKDKAVFDRVNKTSNAGEISSCSEKKRTRGRPRRDESQSKVIESEEKKVESEIEKVASGSVEAILGIEEELRRRTEGMEKEADLLEYLGGLEGEWASKSLKKRIVVADGFGEVLPKGWKLMLFVKRRAGHAWLACSRYISPDGQQFVSCKEVSSYLLSFGGLKDSSQSTSSQTGCGIGSGVKPTSGNLPITCVSSQHKKKAPLLGRPIEVQRAETIKCHKCPMTFNQQDDFIGHLLSSHQGTAKSSGQTTQTNEEVIIKNGKYECQFCNELFEERSCYSNHLEIHMKNNMKKDDGSVGASTTQNSIRPFNPPNNNEMRPDFPRSQANENAVVGRDTHADSHECNLLSRDKEDIKFNGNEKTLVDENIEKQNKCGLTNSEGEVTETAAVEFNVCLSSEKLLFTASAKDEKADVALKSIEEKKTEMVSSTSLHAANAEKNSDEKIEDRHFASFMKKMEADFKDKFTGDDPKASCTNAHTRPNDVMIDIEQKNCSKGCSVIFSSNEGGNLVDHVKGTSAIIDSAQDRGYRCSLTAYKDEQARVITNKLTVASSGTLYDPESFVLSESGNNVSTIGFQSDHCIKKPPQQDSKSALPTLHGRDQNCFTNNSAFKVSSQRVERPEHAEVEKSSGLMQDVHSHSRGFDPNILDNVRQARTNAYSLVSSPYKKTFTNTLEERKQLKGSESSVYEQYANQQNSYNATSMNNFSFSTLGERKHKVQSPFNDNAHARVGTFDLTSTGLQSYSPLFSGNGERFSGKTYVPGISGDTVYEPKQNIAGKTHVPGIGGGTVYEPKQNIAGKTHVPGISGGTVYEPKQSIAAQGEPRLGDFENARNNEVMIGFGNHAQRPEDSMTGLTWKSDELLQPSGYYPTFDVMSHKGESEMYNISGKCGSVSGFEELRPDSIGHMEYDFLTAQPSSRSGGSKVSSNDSEMAVRSDSSIWFGKEALPLLPKVAGRHQIAAENRWKADESGSWVIGLPSGRISECSNSREQKKALKTYVVLYEAWQTGILLFAFWGRLTFSISVPVRVPLCPKSSVVDAIFDFRDSYCPAANSEFTESIDFVGVTEGDEVSLLKALNMVHKNSHEYVAVLFYASWCPFSRSFRPSFSFLASSYPSIPHLAVEESTVRPSILSKYGVHGFPTLFLLNSTMRVRYHGNRSFESLGAFYSEVTGIKDKSLDKTSLDTIGCLSNHEKHNNTEQESCPFSWARSPENLLRQETYLALATAFVMFRLLYLLYPTLLVIFQFTWRRLIRNVKLGSMFEHPLAYLRRAIQLFKSLKEPCKRRNLQGAMNARAWASKSLATVSIGDANTSRAVPMSGCR >OMP06901 pep supercontig:CCACVL1_1.0:contig04752:194:1015:-1 gene:CCACVL1_01409 transcript:OMP06901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDVVWQPENVKKLWDSLYKFYPLGSLLVWRTNIYLHSHREIGGHVIESPAKLSEYHYLLDGQQRTTALFTSIFGGKIANREGFDPTLFVDLSVESTGDTDDETYRQRFLFPEEIVRSEELMQGVKEFRVVKLQRILEHYGQVEAELESRNVKYEHPMRQHLRRFLAIFGSYRLSLIELNGIQVSEVCQIFERVNKEGQPLSIFDIVVAKTYRPADAQTPAFYLRELFDNFRDGPSMLASEYRHLDDNDYLQMLAVLVRRQFPDCGVDNLRNAT >OMO59273 pep supercontig:CCACVL1_1.0:contig14019:30962:32404:1 gene:CCACVL1_24953 transcript:OMO59273 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MDEILCDELLQEIFRRLPSSSTPSSSLSVSLVSKRWLNLYRSSKASLSLKFLPHDTSMIVPLSSLLSNYPSLSSLSLVLSDSTNKSTTSLFDHLLFVVSSCCSNLNHLKFLTGPVSVPSLLSLSKSCSHLTSITISLPRPLSLTWVVSFSCLKDLSLHVCSIDHTDGHDDDEVRKFGLCSNEELEAEFGLENLCLSGIQTDDKGVGWLWRNCKRLKKLQLKSCQSVGDAESFSSFISTLKGLEEVELRKCRSIVDGVLLKLAQNCSSLISLLVYDGGSKEGLLEFITTCTCNLQKLDLRLPLDLSNDHLLAVALNQRNLSTLRLQSCFLVTGEGLKTLGIALGSTLEELALIKCDVVEREIGLLATLGQNLRMLRKLNLSYNEMLFDKEFVSMLVSCNNLTELKLRGCRRLTAMALVSISKTCKLLESVDIMNCPGIEAAAVEFLVLNCPKLRQVQVEDSKVSDIARKWALHKVIEVTEN >OMO59274 pep supercontig:CCACVL1_1.0:contig14019:38057:42391:1 gene:CCACVL1_24954 transcript:OMO59274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDKHFLPTSRMIPQAQGDFRAKKTKKPRAAAAGQRGGAAKGNAGRRSKQKVLKTA >OMO59271 pep supercontig:CCACVL1_1.0:contig14019:14502:23804:-1 gene:CCACVL1_24951 transcript:OMO59271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGFKKPSIPFLPDEIISNILIRLPAEYLHCVVRANNKLSSVVDSRKAR >OMO59279 pep supercontig:CCACVL1_1.0:contig14019:78555:92648:-1 gene:CCACVL1_24959 transcript:OMO59279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S17e MGKPKHYRPPASSLEFFQGKKKEGNAARYVTRSQALKILQVSLKDFRKLCIHKGVFPREPKKKVKGNHHTYYHLKDVMYILHDPLLEKFREMRAYKKKIKKAKAKKNDELAKLLLSRAPSYKLDRVIRDRYPTFIDALRDLDDPLTMVHLFAMLPAVDRLKIEVKRIHNCRRLCHEWQAYISRTHKLRKAFVAVKGIYYQAEVEGQKITWLAPHARQQVLTDDVDFSVMLTFLEFYEALFGFVNFQLYHSINVKYPPILDPRLEALAADLYALSRYFDANYRASVQEPQVVGSSRSVQEQEESDLRLAQLQHQLPANEPGALMHLVQNADSEIEEDEATRECKKLFQNKKFFLSREVPRESLLFVIPAFGGIVSWEGEGAPFADADDSITHQIVDRPTQGHVYLSREYIQPQWIYDCVNARIILPTEPYLVGRDPPPHLSPFVDDEAEGYVPDYAKTIRQLQAAAKSDVQPLPGVGNDDLDNPQAMLAEGFINRTEAMEAAEKKRQMMLLERQYHDELKMELQGVASINKQTSAEDIESKEESLPDVQQSAMDSEDPSTLMMSRKKRGLAKAIEMGKQRKKDRVEKLKERKRNIEAAKKSEKKNSGEMGVLPDGKGSVGTSMDLDDEDDDDDIFDEPFNVGEIGEEYLKSFCRQTAVAFFNQYGLISHQINSYNDFIKYGLQKTFDSLGELEIQPGYDPSKKGDGDWRHAKVKLGKVSVERPTFWAVSGGTELNMLPRHARLQNMTYAAKMKVEVELKVYTKKIVKSDKFKTGREEIREEEIVHEDKREISIGRLPVMVKSDLCWMSEVEKADCGFDHGGYFLIKGAEKIFIAQEQICLKRLWISNSQGWTIAYRSEVKRNRLIIRAVDSSKVDSIKGGEKVLNVYFLSTMIPVWILFFALGVSSDKEVVNLIDYGSNDSGISNILFASIRHADGSCADFSKEGNALNHISKLVKATSFPPGEDIEECLRLYLFPSLRNRKQKARFLGYMVKCLLQAYTGRRKCDNRDDFRNKRFELASELLERELKVHLNHARRRMDKALQRDLYQDRTIRPIEHYLDGTIVTNGLSRAFSTGAWSHSYKRMERISGVVANLGRANPLQTMVDLRKTRQQVQYTGKVGDARYPHPSHWGKVCFLSTPDGENCGLVKNLATTGLVSTNIVGSIVDKLFDSGMEELVNDTSSSLDGKDKIFLNGEWVGVCEDSLSFAAEVRRKRRMTELPHQVEIKRDEHKGEVRIFTDAGRILRPLLAVKNLSKIKAFKAEKNFTFQSLLDKGIVELIGTEEEEDCRNAWGIKYLLADVKGKESVKYTHCELDMSFLLGLSCGIIPFANHDHARRVLYQAQKHSQQAIGFSTTNSNIRVDTLSHQMYYPQRPLFRTMTSDCLGKPGYPLGQNGLEPKPELYNGQNAIVAVNVHLGYNQEDSLVMNRASLERGMFRSEHVRSYKAEVDNQEMSDKKRKLEDIVNFGKIQSKIGRVDSLDDDGFPYVGASLQSGDIVIGRCAESEPDNSKTDANGAERRLDHSIKLKHTERGMVQKVVLSSNDEGKNFAVVSLRQVRSPCLGDKFSSMHGQKGVLGFLESQENFPFTTQGIVPDIVINPHAFPTRQTPGQLLEAALGKGIACGGSLKYATPFSTLSVDAITEQLHRAGFSRWGNERVYNGRTGEMVKSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASANLHERLFTLSDSSHMHVCKKCENAANVIERTVAGGRKIRGPYCRIPFSLFKPPDVAAAAKAAALKFPAMGRVRTKTVKKSSRQVIERYYSRMTLDFHTNKKIIEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKVDQIEVDKETLDMLSVLGMSDIPGLVKVDPVAVSAPQVGFGRGSGGPGRRF >OMO59280 pep supercontig:CCACVL1_1.0:contig14019:97762:101407:1 gene:CCACVL1_24960 transcript:OMO59280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSESPSFFHSVRSRELSSFRVRKRPFIDQLTSDFTEIGAVAIQHNTEKTPPLAVSFCKASKNSHIFAVSDEDGFVSLFDSRRKLSTVASHLENREKARINDWVAHENAIFDICWIKEDTHILTSSGDQTIKVWDALEKKCTGVLMGHTGSVKCLSSHPSNSDLVLSGSRDGSFAIWDLRCKINSTSRSNEACIPSTAMVNKAHPPTQSAWGRRGKAAATSITSVLYLKDEISIATAGAADSVLKFWDTRNMKSHVTQACPHPESSTKKGISSLSQDLKGVYLTASCMDNRIYLYDVLQLDKGPIQTFSGPRIESFYVKSAISPDADHILSGSSDGNAYIWKVNKPHLEPLTLKSHDGEVTAVDWCPSEIGKIATSADDFTVRIWNVQTSYSSSSRSPSSVRRRVMAISNAESRKLLMNDDENLMGPRKEPVSSDEALQQFNSSSPTTASLLSTPEANKRKFSSNIADSNESFERTPEAAMKSPCSVLNPPSSLKRKTIRDYFLAAP >OMO59278 pep supercontig:CCACVL1_1.0:contig14019:65478:76800:1 gene:CCACVL1_24958 transcript:OMO59278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTEEESIHLTSELCDKAVVFLPIDLKEIPGGFNQPVETDECLAKTENMQGANGVETASTVSEGNAEVSKGESFLISPETAKVASETCEMSEHCEVDDTPSKAEKIPEDEKHKENGESEIKTTEEEAGTVENEVKTTEDSLQKGDRDCDIANLDENGLKTEKLEESNLDIGGEKRVEDVEVIAEQDSSAVEEKSCSQEIKHEGIETDENADIESNKEVHSIPEANLELEKQASIDATNPIDSLKDNESVPEVGDSSLVQEEPKEKSSVEVQDTEPEKLPVLQEKEDGTETIKPIETSENQEASDEVKKDEECTVPMPEGSISEENIDVLKPSQEGNLEKEEILKESFQQQNEPEKEKPECPLTEDVNTAISSENEETSTSIKEVASIEEHVEKSEGEEMEDTPTNTVAVSENAQSTETTEVKENTESAEVEIPNKNADDLHVADSLDGQLMEKENEKQSEKCSETNDAIENKQEGEEACTAETPEIETGGVISEKDVSEEGRGNTEQDETKECNEKKTLIAEEDSEQISPENEPQQNLEQSSYITPEETETHTSKADEIASPVEQETVQNLEETTENEEKIGEKEDESTKDNGIEKEEVETITKEYELESKEQAEPKDAVENNEENLKKEETEEVCEGPEPIIKEESAITGNTEKSTEEEMHTSVPEKEKEEIPKVEVCDKLETNNTVEDAEKQIVEEDTNKDQPTQLTETVEEKSEEASNSKIEETDSTEEKTLQTSEETEEPNNDPSLLDTVKESDEISPREIKEEILCSSSAEPEESKKDTEEETSVKGKTVQNLEETTENEEKIIEEKEDESTKDNSIEKEEVETITKEYELESKEQAEPKDAVENNEENLKKEETEEACEGPEPTIKEESAITDDTEKSIEEEMHTSVPEKDKEEIPEVEVCDKLETNNTVEDAEKQIVEEDTNKDQPTQLIETVEEKSEEASNSKIEETDSTEEEKTLQPSEEKEEPNNDPSLLDTVKESNEITPREIKEEIVCSSSAEPEESKKDTEEEKSVKGSIDTAEVESASTAAETEETNKKEVEAEEKMQEIYDVVAVEKNGLETTEQEGTGVDSADVDVKPADSISCEKDKEVPQEEEGMQDEVPNAGSEDQLEMTAKEISSKEILGDDTKEVGTVPSEECEPTPSEERKLTDETSEKDQAPCEDSETPVAQAVDDILMQKEIESEDSGKQSEHDENLAEEPHVTQQETEKSTSESEAIGVSKTEESTELENQSDACESKTSQDEQSSDLGLESEKTNDGKPLDEVTDLGEISSKCKDGEKAVQEEENLAKPENLNEDAEVEKEETGLVTEAHDQMSEAVNDAKNVELEPAVFEEKSKSEPETVAEHLSREVIPETSTDVQNQETEEQIKEEPEDKLEDKDCINKEENADEVTKAVILSEEVNEEVKKAEESEESKEHVIEEEDKGIKEHEETEKSVTAEELNENGVEETKGAPEISSNYVSQGVETTVEADVSSPNTLPEEKEEEQLQTSASTLPSEDEEIKTATPVEKTEEEIQKDAEVVKQESLEDTSDKNTTEEVCLQEVEQRELEAVAEEETVASQGLEKEEPEEQIQTTSSTLPSEEREHGTGETTEETECDKTKEEVPAKLDVATADGTTAEQTLTANKSEDGTTSSALLPNEQEVETLSTVQKIEEENIKEVETPDIKISEDSSGAKETEEISTEKEECQALEDTKKDETPAAQTLQAEEPEDQPLTSSSILPSEDLKHENIAKVDQIEEEKVKEVGLLEREISQEPEAVVDHEIAVTQASITEEPTTVDKLDTEETKEAEKLENENYEGSSARETEEICLPKEEPNDLHAVPKEEVTSGQTSSEEKSDEHISGSAITCEEPEHETEKIEDEKINEEEITKDKSPEDASDEKPVEEICSQKERSIELEAVVEDETTADLNPTEKKLEEQVENPTSALPSKEEEFVSTSTSEKIESEKTEEAECLQKEQPQEGEALATSTLPSEEQEDERKETEFQEDENPEKIPEETSEKVSDNELLLTKTEDVAIEEKLVKERDEARDEENQGEKVNEGDEIILTEVSKEEHGVSTKVDAVEEKEPKEVEILDKETSDTKTGGEICFEKEENKELKVDEQDTIAVQTPTELKEDRELAEPTVSQFEDASKEDEEKDKDIGDETKETLKAPACKTQNEELSVETQKVSENSNIEKEIIVEDKSVKEPEQASVEEDKTVKVEVPGEADPIESTGTVTSIGKEQFPIEQHDRALEIPDKVEVRELEPGNAQEVCSEPGAELEEQKKTETSGVEVTQEPALADSAKSSLSDLLKRATEEKKGVAKDVIQERELTVSKEEPHVEEETVQVKEAKTDEEKEECEEGDEHNKADSGSDAPVMVEAPRDAETKPHKKSHNILSGVGSKVKHSISKVKKAITGKSSSKEPKPTSPKEKEKDEKC >OMO59270 pep supercontig:CCACVL1_1.0:contig14019:1082:10155:1 gene:CCACVL1_24950 transcript:OMO59270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAIEKMDGAFDVTEDKRIISHFDDIYCHQLGSSLQIQISAQVRYTN >OMO59275 pep supercontig:CCACVL1_1.0:contig14019:46941:47264:-1 gene:CCACVL1_24955 transcript:OMO59275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSKKPQVDGILDSEGKKWVIAGIAIRTSLKPINTKPRGKESEEDEEEACSTTPTSKETRIPDKLSCPPAPRKRRPPLRCHYNGVREFFTPPDLESVFKRHVEKAN >OMO59277 pep supercontig:CCACVL1_1.0:contig14019:51038:55886:-1 gene:CCACVL1_24957 transcript:OMO59277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQKAMMGGTSRTLLSTSLLSSAKHYPFLKLPKPSRVLTGFKPLCTATTPIQSPTEAPITLEPDQLRHSMLLERLRMRHLKDSAKTLSPSKPQDKMVAFDKEAEVSDKGMRKKGMVGSFGDLGLSEEVMGAVKEMKIEVPTEIQCIGIPSILDEKSVVLGSHTGSGKTLAYMLPLVQLLRRDEALLGVLTKPRRPRAVVLCPTRELSEQVFRVAKSISHHARFRSTMVSGGGRLRPQEDSLNNPIDMVVGTPGRVLQHIEEGNMVYGDIKYLVLDEADTMFDRGFGPDIRKFLGPLKNRASKPDGQGFQTILVTATMTKAVQKLIDEEFQGIEHLRTSTLHKKIASARHDFIKLSGSENKLEALLQVLEPSLAKGNRVMVFCNTLNSSRAVDHFLGENQISTVNYHGEVPAEQRVENLNKFKSDNGDCPTLVCTDLAARGLDLDVDHVIMFDFPLNSIDYLHRTGRTARMGAKGKVTSLVAKKDSLLAERIEEAIRKNESLESLTVDNVRRDVARARITKQKEKSAKLIQVSNQKTKSKPASNHTSGKKSSVAKSVKSPTPAKPSNKMVKVSKNSKSSKAFPVGKKNRSNKANSTGMKLNVVGFRGRASSSKKESFRSS >OMO59281 pep supercontig:CCACVL1_1.0:contig14019:102448:106013:-1 gene:CCACVL1_24961 transcript:OMO59281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEELRKKLAPLFDAEKGFSAGSTLDPCDSYMLSDSGTVNLLSRSYGVYNINELGLQKCTSSTEDETDQGEKTYRCASNEMRVFGAIGSGASSVVQRAIHIPSHRILALKKINIFEKEKRQQLLTEIRTLCEAPCSEGLVEFHGAFYIPDSGQISIALEYMDGGSLADILRLRKSIPEPVLSSMFQKLLQGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLDNSMAMCATFVGTVTYMSPERIRNESYSYPADIWSLGLALFECGTGEFPYTANEGPVNLMLQILDDPSPSPTKEKFSPEFCSFIDACLKKDADARPTAEQLLSHPFIKKHEHSRVDLAAFVRSVFDPTQRLKDLADMLTIHYYLLFDGPDEAWQHTRTLYNEDSVFSFSGKQHVGPNDIHATLSNIRSTLAGDWPPEKLVHVVEKLQCRAHGRDGVAIRVSGSFITGNQFLICGDGLQAEGMPNLKDLSIDIPSKRMGTFQEQFIMEPGNLIGCYIIAKQELYIVQ >OMO59272 pep supercontig:CCACVL1_1.0:contig14019:24501:28562:1 gene:CCACVL1_24952 transcript:OMO59272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gaa1-like, GPI transamidase component MAETKEKSENDKKPKPRVRPIVRLGILLIAHSFIVSVLCCTAGVLALFLLPVLAKNTYISENALMPGSANPMLSNQHVSDGNRLVKDLTSSNLKSSETGIESQRIIAQYMLDLGAEVSFHKFHPQLNQFHPLHFFSSPDSGMIQENFSCSSYAVNAVGIIRAPRGDGKEAIVLVTPYNALKSGLGDALSVGIAYSVFSLLTQVTWLAKDIIWLVADSRYGEYAAVSAWLRDYQTPKFSSLSSLNAEVCPDINNLYELKVNSIAGSKLSNNLRRAGTMAAALVLKVGDQSENFEDTLSIYAEASNGQMPNLDLINVVNYLAVHRQGLRVKVEKLWSLLNSNWLKILGEIFESLGKVAKSLNPAWKFGIPATEYVEGTATLASSLYYQALGVPTGPHGAFRDYQIDAITLEISPRFSSDKFRRNDILLRGGRLIEGVIRSVNNLLEKFHQSFFLYLLTSPSKFVSVGVYMIAFALLIAPLPMVAASLYVDANSLGPSSKNDKPSPSTIADTDEHGITIRSWMWLNSANPATSFMIWLLLSGISLLTLYSVLASPFSSVNASQEKEWALVKSLGLRHAKKLKQPINAEVLLVSKEEKSIDHVDCLILGAYSSVLANTLNFP >OMO59276 pep supercontig:CCACVL1_1.0:contig14019:50178:50570:1 gene:CCACVL1_24956 transcript:OMO59276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQLLFTPPSPLNASQAGLAALTFLLCAFVLIIKCASHTRKLRHRWRACYEFFEDPDPVIEIIPAGVDVYNQPEDVVDTSTFSREQPVWQKNILMGGKCQLPDFSGVILYDSEGNVVPHSKTPPLLTWK >OMO78862 pep supercontig:CCACVL1_1.0:contig10534:12997:14714:1 gene:CCACVL1_14055 transcript:OMO78862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase, N2/N3-terminal MAAPLLLKGLPMLRLRLQQYNRLTAGLFSAPRRSWCAAADSGGHEEEVSTAEKISTVLSVKEPPNFDKWDNPDYRKWKDKEDEILQDIEPTILLAKEILHSTRYLDGERLTVADEKVVLEKLLSHHPHSEDKIGCGLDFIMVSPSVGVFQCFLELL >OMO78864 pep supercontig:CCACVL1_1.0:contig10534:29035:31530:-1 gene:CCACVL1_14057 transcript:OMO78864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFGYSSNDGRDDPMDHVYGGRGP >OMO78860 pep supercontig:CCACVL1_1.0:contig10534:1657:7112:1 gene:CCACVL1_14053 transcript:OMO78860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLGIDFSCAFGSLKSGNFPPKDCLLPLISKLLGYAIVAASTTVKLPQILKILQHRSVRGLSVVAFELEVVGYTIALAYCLHKGLPFSAYGELAFLVIQALILVAIIYYYSKPVGISTWIRALLYCAVAPTVLAGQIDPILFEALYASQHAIFFFARVPQIWKNFSDKSTGQLSFLTCLMNTAGSLVRVFTSIQEKAPTSEQKPEENSWLRSNLTAGHKKVNMEREEAEDPIETGPDS >OMO78861 pep supercontig:CCACVL1_1.0:contig10534:8984:10585:-1 gene:CCACVL1_14054 transcript:OMO78861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSASTAKLTSRRDCNHSQRSKLKSTSLICPMQIAEEAEEIDRRSLDGLSDFISDLPDECLACIFQSLSPGDRKRCSLVCRRWLRIEGQSRHRLSLNAQSDLQPLVPSIFSRFDAVTKLALKCDRRSVSIGDEALVLISERCRNLTRLKLRTCRNLTDAGMAAFAKNCRGLKKLSCGSCNFGAKGMNAVLDHCPALEELSVKRLRGITEGAAAEPIGPGVAAASLKTICLKELYNGQCFGPLIIGAKNLKSLKLFRCSGDWDKLFPLIVDRVTGMVEIHMERIQVSDVGLMAISNCLNLEILHLVKTPECTNVGLGAIAEKCKLLRKLHIDGWKANRIGDEGLISVARYCPNLQELVLIGVNPTKLSLEMLATNCQNLERLALCGSDTVGDAEISCIAVKCIALKKLCIKSCPVSDQGMEALASGCPNLVKVKVKKCRNVTTEGADWLRANRGSLAVNLDTGENLDASASDGGVQDNGVEFPPVAPQMGAPSIASSSTGRSTSFKLLGLLSGRSFVACTLRRLASSNGSSRS >OMO78863 pep supercontig:CCACVL1_1.0:contig10534:23337:24745:1 gene:CCACVL1_14056 transcript:OMO78863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNHQNSAAFVLDTLYCSEENWEGDVRQDYNLVQVEEETSYLSGNGIHVKSGPFPILLEHDLFWEDDELSSLLSKEEQNQLFESLQTNGNLSGARREAVEWMLKVNAHYSFSALTAVLAVNYFDRFLFSFQFQSEKPWMTQLAAVACLSLAAKVEETQVPLLLDLQVEDSRYVFEAKTIQRMEILVLSTLQWKMNPVTPLSFLDYIARRFGLKDNLCWEFLRRCDRILLSVISDARFMCYLPSVMATATMLHVVDNVEPNLTVEYQNQLLGILGIDKDKVDECCKLIIELATTRVDKSNKRRFGSIPGSPNGVMDVSFSSDSSNDSWAVASSVSSSPEPLSKKTRTQQDLSHSPSDYFLSIPR >OMP05318 pep supercontig:CCACVL1_1.0:contig05441:6393:6701:1 gene:CCACVL1_01957 transcript:OMP05318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MEVVTRLVAERPVVIFSKSSCCMSHTIKTLISSFGANPTVYELDEIQNGQQIERLLQQMGCKPTVPAVFIGQQLIGGPNQIMTLQVKNQLVPLLKRAGAIWI >OMP05319 pep supercontig:CCACVL1_1.0:contig05441:7291:7389:-1 gene:CCACVL1_01958 transcript:OMP05319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRMLVTWAQMNSGTERPALGGRTLRKEMAVA >OMO74799 pep supercontig:CCACVL1_1.0:contig11083:147:4006:-1 gene:CCACVL1_16460 transcript:OMO74799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKMVDSLLGAFTVTLLPGRTVLHVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETALYVRFWYFGAARFLDQLQKIAARGMFKEHVEIRDGVFDAGGNKIVLNNVCAIAKVLKDHVIS >OMO74800 pep supercontig:CCACVL1_1.0:contig11083:7728:8369:-1 gene:CCACVL1_16461 transcript:OMO74800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MAKASLIMIAIAVLVTCLSSLASAAEPPHYTVQGQVYCDTCRVGFQTRLSEAIEGAVARLTCRNRTTGEVTLSEPGQTDESGYYNIHVDSNHEEEICEVTLDSSEREDCNEVLPDWYKARILLANNSISGVTDNYRYPNTLGFMIKKALPGCAQVLAELGFLPLN >OMP07417 pep supercontig:CCACVL1_1.0:contig04456:360:452:1 gene:CCACVL1_01307 transcript:OMP07417 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta subunit of RNA polymerase MGVPVDIMTLVTQQPLRGRSKQGGQRVGEME >OMO99153 pep supercontig:CCACVL1_1.0:contig06947:2816:4890:-1 gene:CCACVL1_03903 transcript:OMO99153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MSVTFFVDDIWKEIDWKTIGIPVGSAHHEGCKVLLTTRSEEVCIRMNCQEKIKLSILSEDEAWDLFKDKADLKDYASLQPGIIDVAKEVARECKGLPLAIVIVGKSLKIYKSPGEWRAALERLKDSTHLDDGDDFEEIYSCLQLSYDFLKVKNIQSCFLLCSLFPEDADIDVEELIICGIGQGLYSNIYSIDDKRREIRVALTKLQKCGLLMESHRIDQSCVRMHDVVRDFAHWITLRGGNKFLVEDGLAELVSASPMIESFRVCTVIALWNTKIKSLPDKLEFSELKILILEEEAKGEISVLVPHKINKEDNSLSIPSTFFERMNALQVLLLRNVTFSLDALQFLPHLRALRLSSCKLINNISSLGGHEKMLNKLEILLLADTVIEELPEGLVELCTSLKSLLLRGRGYCNISPNLLSRLSSLEEIFVPVRNNVNLLELNSLSHVTALTLSIYTTNNVECFEESFVFPKLQRYSIFVNENVNCWSSYSRMLEIRDFSYSLRAFKELCCNMEVLVLNNVEKLRSLEDLVDTTPTPNGFLQELKELRIEKCRRLRWVYQVNEKRHDETRLIFPQLQRLHISECDGLEQVFDFAKETPFWLEVFLGGF >OMO87829 pep supercontig:CCACVL1_1.0:contig09168:29125:31196:-1 gene:CCACVL1_08741 transcript:OMO87829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQESSEIHSNHEFHPPAISNDHGINRSPSDMQDISMKIERTTKEDYTAYARLEPKPSRPEIWAWYAYELCSYFVHTALIPMVFSLIIGKIVDLPSMPPQGWTISSKGLTCTINQMQLYARLTRRSISIGDSKISPLEWISISWAMGLILATPAFRFVSTNLDHGQSQLIISGAATAIGALFCLPVGFFRTTWIFALYIIPIVIAITIATASHTRHYGLMVRGFTGPAIQRHQFPVRRVQSTSMGALHAFGRVLLMDSSPSGKEGAFAIWYSWVKMVGTCLGFAVASGSAAGNVGSSFGTAFCTGAVAIVVSIYGNISDVGGAVAAGLVSEGSETISSPANAKALPLDNSISISNNGDDDNEKKEPMGEEAA >OMO87830 pep supercontig:CCACVL1_1.0:contig09168:33657:38696:1 gene:CCACVL1_08742 transcript:OMO87830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLADIGVSALINILTAFAFLLAFAVLRIQPINDRVYFPKWYFNGARTSPRSRGGNFVTKFVNLNVMTYLTFLNWMPQALKMSETEIINHAGLDSAVFLRIYTLGLKIFVPITVVALLILIPVNVSSGTLFFLKKELVVSDIDKLSISNVRPESIRFFVHIGLEYLFTFYICYMLYKEYDNIATMRLQFLASQRRRAEQFTVTVRNVPHISGRSISDSVDHFFKTNHPDHYLCHQAVYNANKFAKLVRKRDRLQNWLDYNQLKFERHPDKRPTRKTGFLGLWGEKVDSIDFYKQQIKEFDKQMALERQKVLKDSKSILPVTFVSFKSRWGAAVCAQTQQSKNPTLWLTDWAPEPRDVYWRNLSIPFVSLTIRKLIISLSVFALVFFYMIPIAFVQSLANLEGLERVAPFLRPVIELKFIKSFLQGFLPGLALKIFLYILPTILMIMSKIEGHIAMSTLERRASAKYYYFMLVNVFLGSIAAGTAFEQLDSFLNQSPTQIPRTIGVSIPMKATFFITYIMVDGWAGIAGEILRLKPLVIFHLKNMFLVKTERDRERAMDPGSVDYPETLPSLQLYFLLGIVYAVITPILLPFILVFFAFAYLVYRHQIINVYNQQYESGAAFWPHVHSRIIASLLISQLLLLGLLSTKKAAKSTPLLVILPILTLAFHKYCKNRFEPAFRKYPLEEAMAKDILDQTTEPEINLKAFLSDAYLHPIFRSFEEEELVEVRVDKVRVDRHQSHADNAETDDISSPSPPHHVYQPTSPPHDVYHHGSPPQYAYNLSSPPHYGYQYRYDVDT >OMO87828 pep supercontig:CCACVL1_1.0:contig09168:15822:20287:-1 gene:CCACVL1_08740 transcript:OMO87828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MSKSTEDLEEITSAGSQSTEIDVMSPFYLHAFDNSRQIYVSDLLHDGNYGEWVNDISNALFAKNKIGFVDGTIPRPGVDSPNLQHWMRCNVMVKGWLKSAMGKDIRGSVRYASTAREIWVDLEERFGKGSDPRAYEIRQAVTLLRQEKMSISSYYTKLKGLWDEMQSIFPLPKCVCNGCKCNISKQLVDMREKEQLYDFLMGLDDEFGIVKTQILSTKPTLGLGHAYHLVAEDEQQKQISANRKPIAEAAAFQMQGGQDGGNRGFGKKDKPRCEHCQKVGHTKDQCYEIIGYPAARCGHCQKSGHIKDQCYEIIGYPAGWRKNLRDKKEKWGQTVNHRTFPKAAQVESEMTSIPGLTQAQLAKLVQFLNVDGENSGATDHITNNGELLAEIEKAIGGSPVTIPNGDKIPVKSVGKDLLSRRLIGVGRLRDGLYYLEPVRNGGVAMSVNKGKDSSVWHRRLGHASNEKIQQIQLSCCEVVCENVLNCDSCLRAKQTRLPFSISGIKSVSYFDLIHCDIWGGYKTASSSGAHYFLTIVDDFSRGVWVYLMKYKSEVSHYLIMFCNMIATQFGKKVKQIRTDNGPEFQSNCMLDYYKEHGIVLQTSCTDTPQQNGENVFLQPPTSSTDYHLSKRGDKFSERGKPCAFVGYPNGQKGYRVYDLKERKFYTSRDVTFFENIYPFRPNDCYSGETELTARGEYCRPVLNVADNDCEEVMTLPQVKGLGNAVDRFIAEEIPETAAGDITAGVTASQETTVTESTAEEPVVSSAVPISGQSCVEVRRSARERTQPKRFDGFDVQLPPSTVPTQPALPSADSSVYPLSHYVSYDRFAHSHKAFLAAIIFDDEPKHFSQAVKHKHWREAMEKEIQALEENGTWDLVPLPQDKRAIDSKRWEVHQLDVNNDFLHGDLEEEVFMKIPQGFAKANETRVCKLKKLLYGLRQASRNWYHKLTKALEDVGFRQSKADHPLFLYDKGETFLTALIYVDDVILAGNNGDKIQEVKSYLNDKFGIKDLGPLKYFLEIEAARSPAGIVLSQRKYALDILEESGMQGCKPSAFPMEQNHKLRADSNGPIIDAAQYKRLVGRLLYLTVTRPDLTFAVNVLSQFVSAPRQEHMDAALRVLRYLKKAPGQGILLSAKGDLFLTAYCDADWGGCLTTRRSRIDYFITLGGSPISWRTKRQQVVSKSSAEAEYRAMAVTVSELLWLRWLLTNLQSPQTEPTPLFCDNQAALHITANPVYHERTKHVEMDCYFVRERAQSREIAPRKISTGAQLADIFTKALGKDRFESLVFKLGVANLHAPT >OMO94766 pep supercontig:CCACVL1_1.0:contig07802:12817:14362:1 gene:CCACVL1_05841 transcript:OMO94766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRRALLNAHRILNSSTVPNRTTTIFRPQYGWVSRFSSTNISNSQPLDIDLSTEESKRRLFNRLLYRSKQRGFLELDLVLGKWVEEHISSMDENGIKALVHVLDLENPDLWKWLTNQEQPPEAVSNNPVFSAVQEKVLKNLNDHSAPETRAIPGQPWVRGWDDIKKGRDGPIAGNQ >OMO94767 pep supercontig:CCACVL1_1.0:contig07802:15222:16065:1 gene:CCACVL1_05842 transcript:OMO94767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAVKPILIFLISIISFHVSLIASDPTPAAWPLQFHSILFMNNSGTLQHVDLWYDWPNGRNFNIIQNQLGKLTYDLEWDNGTSYIYTLDSEKECRVLHFPVGILRPNWLEGANYLGQEEKDGFLCNVWEKVDFIWYYEDVVTKRPVYWAFYTGYTAHVMTFEVGAVLEDSHWQAPLYCFKEAEAQDKGKEESFLSMKLNGYHLMRLRGVSFPVSSFQCLYNRSSSSPVTRVLELQHTRYGGVGDPLLLFCL >OMO94765 pep supercontig:CCACVL1_1.0:contig07802:9922:12066:1 gene:CCACVL1_05840 transcript:OMO94765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MTGKKEKAKERREKRLQEISLLRTIPYSDHQRWWSEETIAVVTGANRGIGFEIARQLAGHGVTVILTSRDTGVGIEAAKVLQEGGFNVDVHQLDISDSESISNFCEWLKEKYGGTDILVNNAGVNYNVGSDNSVQLARQVIDVNYYGTKNMIKAMIPLMRPSAAGARIVNVSSRLGKVNGRRNRISDATLREELSNLDTLSEEVIDRTMSTFLQQVEDDTWQSGGWPQSFTDYSLSKLAVNAYTRSMAKELSDRPEGEKIFINCYCPGWVKTAMTGWAGNISVEDAADTGVFLALLHEAVTGKFFAERREVNF >OMO94764 pep supercontig:CCACVL1_1.0:contig07802:1842:7358:-1 gene:CCACVL1_05839 transcript:OMO94764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress up-regulated Nod 19 MIDEAGNPVPLHETYLHHWVVARYFLRKGVQVLDLNDRKKFNSSDYISARNSGICQRDALGQFYGLGSETRKTATHVPDPYGIEVGNPAEIPAGFEEKWLLNVHAIDTRGVEDKLGCTECKCDLYNVTEDEYGRPLRPDYKGGLLCCYDRTQCRVKQGFEGVRRNLYLKYTVKWVDMDTSVVPVKVYIFDITDGWKRSSNSTGMNSEHSCKVEYEIEPCRTTELADNGCIDTRRISLDMPFGGYVIYGVAHQHAGGSGSALYREDGQLLCSSIPAYGDGEEVGNEAGYIVGMTTCYPQPGTVKISKGETLILESNYSSIRHHTGVMGLFYILVADEPPKPINTLYTLVQSQTQDSIILLTILWAGVALMGVVTVIAVAIRYKLKREREDGRVLLGVGAWLKEGRVEVLDINDRKKLNSSDYILARNSGICQIDSDIVQYFGLGSETRKTATHVPDPYGIEVGNPAEIPDGFEEKWLLNVHAIDTRGAEDKMGCTECRCDLYNVTEDEYGIPLRPDYKGGFLCCYDHAQCRVKQGFESVRRNLYLRYTVKWVDMDTSVVPVKIYIFDITDGWKRSSNSTGMNSEHSCKVEYEIEPCRVTDLADNGCVDTRRISLDMPFGGYVIYGVAHQHAGGSGSALYREDGQLLCSSIPTYGDGEEAGNEAGYIVGMTTCYPQPGTVKISKGETLILESNYSSIRHHTGVMGLFYILVADDLPKPMNTFYTLVQSQTQDSIILLAILWAGVALMGVVTVIAVAIHYKLK >OMP11009 pep supercontig:CCACVL1_1.0:contig01715:502:870:1 gene:CCACVL1_00731 transcript:OMP11009 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14b/L23e MIQPQTHLNVADNSGARELMCIRVIGASNRRYAHIGDVIVAVIKEAVPNTPLERSEVIRAVIVRTCKELKRDNGMIIRYDDNAAVVIDQEGNPKGTRIFGAIARELRQLNFTKIVSLAPEVL >OMO95239 pep supercontig:CCACVL1_1.0:contig07708:7972:8896:-1 gene:CCACVL1_05476 transcript:OMO95239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MEEVRETVKAFYARLPESQKDEVTKFFNSLDKDGDGKITVEEFMAWVKQRGFKSLNRYESIFKELDKDENGTLDFDEVLMLFYLYKSGRFVFCDGCGSFIKGNYFTCLKCFNAGMSAEGCDLCCSCYGSNNFNHREDHATFVDSHALIISIWRKNKPSKSDKDQMEFVTGVFHFAEVLLGIFAS >OMO95242 pep supercontig:CCACVL1_1.0:contig07708:24470:26096:-1 gene:CCACVL1_05479 transcript:OMO95242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MNEIREAAKAYHANLSQDEKTEATKCFKSMDSDGDGKINLREFMGVVVSKEKSRFDYDVEGFFKELDKDEDGNLEFEDFLIFIYLSKYRTAFVCDGCKAFIKGVYFTCIECFFYADRSFDLCCSCYRNSFYLHRHDDYTSFVDNYALLLTIRKACKELDNDRSLLRGKITNALRFAMAAELFLTEFTLLVLNASTPASPPKAVTSAALATAKTTSTTVMIIMPPSSITMHCLYPRGSRRSHRLPHPLAKIKWRLYPILLKFSTSYRVVVLALAAAANSHRWKS >OMO95240 pep supercontig:CCACVL1_1.0:contig07708:9890:11447:-1 gene:CCACVL1_05477 transcript:OMO95240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MDEIREAALAYYANLPESMKQQAWSFFRSLDRNGDGKIDVQEYTIALNRMGITWMNNLGFFKELDRDGNGTLDFDEVITLFYLKESGRSYFCDGCRAFLKGVYFTCLTCFNSGPATCSSFDLCCSCYRNNNFNHHHHATSFVDNYVLLQSIWRHKTVSPMVSNSSGVHTHQVPKTMDFVAATSNTVSASSNAAFTLFQLFENLY >OMO95241 pep supercontig:CCACVL1_1.0:contig07708:15546:16055:-1 gene:CCACVL1_05478 transcript:OMO95241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MDFIVYYARLPESQKREATKFFNSLDKDGDGKITVEDFMGWVKQRGFKSINKYETIFKELDKHENGTLDFDEVLMLFYLYKSGRFVFCDGCGAFIKGNYFTCLKCFNAGKSAEGCDLCCSCYRDDNFQHRDDHATFVDTHALLISIWRQNKPSASAAGFNFYSNPFFFL >OMO55688 pep supercontig:CCACVL1_1.0:contig14605:2953:6870:1 gene:CCACVL1_27079 transcript:OMO55688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKILASKRHVGGLEAPRNSLELQVETSQGYRAVGDLPYSYQVEEDLAAKTYHRTEASMKKLISEEMSKQSNPRQNAPSIVARLMGMDALPLDTKSVVQPVEKKNDNQRVKYSKREKNEKGTALHLSSNSNYSSRDRDSERWSTSQKFGKPRSREHPQEEELQKFKKEFEAWQAARLRECSKVVDVGNISEQQLAQEKLNKEKMALYADSERVMHEKPLECKRLTVKENFKEMGLHHNRHKSEFYTAEKTEPRRRSMNKDFQLPSMMEYNEKVDPAPTRIVILKPGPDRISDLEESWTSSSGTFEERASIEDFLEEVRERLKLELQGKTLKKSLVVRGSGIETPFSEKPSDHKQLAKHIAKQVREDVSRDLGMNLLRSESTRSYRSEIQFNGPDSPEFINKDTRRLLSERLRNVLKHETKLDIPVVSTGSSRSSVFDNGRDRLKRSQDMSKSGNERSYREIFKEEQEMQARSFRHGDNVPPLNKELSPRNLVRSLSAPVSGTSFGKLLLEDRHVLTGVQIRRKHEGIENVSVDARKRKKEKFNLKEKVSNIKYGLTHRRRLFGRKIQAMVESYGAENNSAKDILSGPTVVMNFGERHENSTEVPPSPASVCSSSREEFWRQVDYLSPISTPDVTLGEDDALPQVFREISSNLNELRRQLNELESDGADDIRIEEEPIESEMVDLEDQTECYIRDLLVASGLYDGSCDKSLSRWDPLAKPISKSVFEQVEESYKKLSKENPCARSDEDEKKVDHKLLLDLLNEALSTILGPPVTMSRFRRKLLASSSSQPARGRKLLNSVWEIIHSNLCPQGEGCYYSLDSMVVRDLGSTPWSGLMDDETNVLGREVECEIIEDLIEEILKDMHW >OMO55689 pep supercontig:CCACVL1_1.0:contig14605:10270:12319:1 gene:CCACVL1_27080 transcript:OMO55689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEQLETLRKQIAVYASICEQLVEMHKNLTAHQDLAGGRLGNLYCDPLMTSAGHKITARQRWTPTPVQLQILERIFDQGTGTPSKQKIKDITSELSQHGQISETNVYNWFQNRRARSKRKQLVASSNNAESEVETEVESPNEKKTNPENLLSHHNPAPRAEDLCFQNPEITSELHFLSVLSNPPTYHGDDHLSGKMGVPGNYGIYEQAEDYNMAG >OMO55690 pep supercontig:CCACVL1_1.0:contig14605:13300:14868:1 gene:CCACVL1_27081 transcript:OMO55690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKISVANSRYSYINEGDWPNGSVDIHRVTVRKSFLKAFFVAIILLPIACCYFFVFSFKPLGFSSKLNIPGAPPTNEDVASRVEGLVC >OMO54633 pep supercontig:CCACVL1_1.0:contig14924:594:2163:1 gene:CCACVL1_27700 transcript:OMO54633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase VHLDYLDAGANVILTASYQATIQGFEAKGLSREEAENLIKRSVEIACEARQIYHDRCTKDSWDFLDNGNIARRPVLVAASVGSYGAYLADGSEYSGNYGDSVTLETLKDFHRRRVQILAESGADLIAFETIPNKLEAQAYAELLKEEGIDIPAWFSFSSKDGINVVSGDSIQECASIADSCKQVVAVGINCTPPRFIHGLILSIKKVTDKPVIIYPNSGETYDAVNKKWVKSTGVADEDFVSYVGEWREAGASLFGGCCRTTPNTIRAIAKNLFDKSSLTLSKDDV >OMO54638 pep supercontig:CCACVL1_1.0:contig14924:25318:28599:1 gene:CCACVL1_27705 transcript:OMO54638 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate-related phosphatase MGNRRKARFQEIVPLNAGNILGAEDNGPAKKWLSLIRKTLNNLPGTSGGGACYTPSPVPQPIVEMDADFEGSSRQKNSSFFHRRSFQTTSSWRENDPSISQPRLDRRFSVCDRVIFGHRPSDYDSSYRWGNRPSDYSRPSDYSRPSDYSRWGSSDDDNAIADSPSTVLYSPMSYAGSASNEQGYRMLGHSRYCLVASKQMVGIFLTIWVRSELRDHVKNMKVSCVGRGLMGYLGNKGSISVSMLVHQTSFCFICSHLTSGQKEGDELRRNCDVMEILKKTRFPRVNNAADEKSPETILEHDRIIWLGDLNYRIALSYRSAKALVEMQNWRALLENDQLRIEQKRGRVFVGWNEGKIYFPPTYKYSTNSDRYAGDDMHPKEKRRTPAWCDRILWYGEGLHQLSYVRGESRFSDHRPVYGVFWAEVESSHGRLKKSMSYSSSRIEVEELLPYAHGYTELNFF >OMO54641 pep supercontig:CCACVL1_1.0:contig14924:91118:91222:-1 gene:CCACVL1_27708 transcript:OMO54641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALHFGSRLTQAKPLESSEGKGNYLMAKPSCAGSS >OMO54635 pep supercontig:CCACVL1_1.0:contig14924:5526:6234:-1 gene:CCACVL1_27702 transcript:OMO54635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RDM1 MNSMKRTLPWSDQVDVISSDESSSSSSSSEAEIQVGDGTINVTIDQATKELSSEGLLTKRAEMYQDYMKQLPIPTLRGSVIPFTTWMGLGRSIKQLYGQPLHYLTNTLLKQWDHLRIGSEDEHSPLDIIIHPSKAEATVWLIEELHRRTSSHHHIAKLWQSDPMHRSFIDSIIPESGSAP >OMO54637 pep supercontig:CCACVL1_1.0:contig14924:9018:14813:-1 gene:CCACVL1_27704 transcript:OMO54637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILLRQRRVSALGVSRILQDNGDSLRLQMESKFGTFSSIGVMIRKYSSGRDPAKFDFTDLTCPHTWYPNARKKNRKVFLHVGPTNSGKTYHALKRLQSSASGIYCGPLRLLAWEVSKRLNKAKVPCDLITGQEKVEVEGAKHKAVTVEMADVNADYHCAIVDEIQMLGCKTRGFSFTRALLGIAADELHLCGDAAAVPLIQELLQVTGDDVQVQTYERLSPLVPLEVPLGSFSNIRTGDCLVTFSRKGIYGLKKKIEHGGKHLCSVVYGSLPPETRTRQATRFNDESSEFDVLVASDAIGMGLNLNISRIIFSTLRKFDGFERRDLTVSEIKQIAGRAGRYGSKFPEGQVTCLDADDLPLLHSSLKSPSPILEQAGLFPSFDLMFMYSRLQPKKGLYQILEHFLENAKLSENYFISNCEELLKVASVVDQLPLGLQDKYLFCISPVDMDDEISSQGLVQFAENYSKKGLVRLREIFTPGTLQVPKTHPALKELESIHKVLELYVWLSFRLEESFPDHELASSQKAICSMLIEEFLERFGGQRPRSRKKLTSRPSLDSLIAKRKREYLYD >OMO54634 pep supercontig:CCACVL1_1.0:contig14924:2567:5098:1 gene:CCACVL1_27701 transcript:OMO54634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSLSPVVISPTFKTLIKQTQAHQTETNDSSLTVSLKTCKNLTEFRKLHCQVTKQGLIHQPATVTKLISACTEMGTFDSLVYAKRILEQFREDNQNEGTLFMYNSLIRGYSSIGLGNEAIWVYLEMLSLGISPDKYTFPFLLSACTKISAHSEGFQVHGSLVKMGLQGDVFILNSLIHFYAECGEIVLARKVFDAMTERNVVSWTSLICGYARCGLAKEAVELFFEMVEKGIMPNSVTMVCVISACGKLKDLELGETVRGYIGQLGVKVNTLMVNALVDMYMKCGAFDTAKQLFDESAEKNLVVYNTIMSNYVREGMSREALNILDEMLVKRLAPDRVTMLSAISACAQLSSVFWGRCCHGYVLRNGLESWDSISNAMIDMYMKCGKQEMACTVFDRMPNKTVVSWNSLIAGYIRNGDVASAWDVFNNMPESDLVTWNTIISALVQESMFKEAIELFRMMQSQGIQADRVTMVSIASACGYLGALDLAKWIHAYIKKNKVPCDIRLSTALVDMFARCGDPLSAMEIFNKMEKRDVSAWTAAIGAMAMEGNGNQAIELFNKMLRQGVKPDGIVFVGLLTACSHGGLIEQGQYLFRSMETVHGISPQIVHYGCMVDLLGRAGLLEQALDLINRMPMEPNDVIWGSLLAACRMHRNVDMAGYAAERIKELASERTGIQVLLSNIYASAGKWTDVAKVRLQLKEKGARKVPGSSSIEINGEIYEFTSGDESHPEMIHIAPMLDEMNYRVRDAGHAPDLTNVLLNVDEQEKEYLLSRHSEKLAMAFGLISTKQGMPIRVVKNLRICSDCHSFAKWVSKIYDRDIIVRDNNRFHFFQRGVCSCNDYW >OMO54639 pep supercontig:CCACVL1_1.0:contig14924:55409:60394:-1 gene:CCACVL1_27706 transcript:OMO54639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQQLEPWADLSGKVVMVTGASSGIGREFCLDLAKAGCRIVAAARRVDRLKSLCDEINSLTFPSSQPQASGPRGVAVELDVSADGATVQSSVKTAWDAFGRIDALINNAGVRGNVNSPLDLPEEEWNQIIRTNLTGSWLVSKYVCIHMRDANQGGSIINISSIAGLQRGQLPGGVAYSSSKAGINTMTKFLAIVSMKIDFVFYLFEKQYKSMAVELGEHKIRVNSISPGLFKSEITQGLMKKDWLTNVATRTVPLRTFGTSDPALTSLVRYLIHDSSEYVSGNLFIVDAGATLPDEFSFNKYLQLDGDAENSSISLGEVSSFSTTFVFAMVPQLYPTLGGHGLAFVKIFLELIPVNISVYSVQLTMGKVHIVAIELDTVLSPEFEDIDNNHVGIDVNGLKSNQSATASYLDDKSGTFRALQLISGDPMQVLLDIF >OMO54640 pep supercontig:CCACVL1_1.0:contig14924:72229:87352:-1 gene:CCACVL1_27707 transcript:OMO54640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKSGSKERRRDAGRREEKGAKKGAEISAGCDLGGGVAPKSQPAMAWSPPIAVSAMGRSRARRGTAVALSRTHRDRKGGITTLGGT >OMO54636 pep supercontig:CCACVL1_1.0:contig14924:6733:8382:1 gene:CCACVL1_27703 transcript:OMO54636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKARTLNLISRSHPQRALNVNHLSHYFALFTTTIAGNTKQSDSPDPDSSESPDIPSWVTNQNPESQPSEDDDFVIPSLASWVGNHPKVKPFASATEKPQTQLDIFTQILKKPYSSPEEVVDTLNRSSLSVPSVSVNRLWKRFHDCWISAYGFFIWAKEQTGYKHDPQSYSFMVDILGKGRKFDLMFDLLEEMNQLKGYINLYTIVKVMRRLARAGRFSEAIEVFRRVEEYGVEKDIMALNGLLDALVKGDSVEHAHEAFLEFKECMTLNSSSFNTLIHGFCKARKLDDARKILKEMKEYGCQPCVVSYTCFIEAYCREKDFEKVDSVLDEMKKKGCTPNAVTYTIIMHARGKSGTIRKALEVYEMMKNDGRLPDSSFCSSLIFILSKGGRLKDADEIFEDMEKQGIKPTVLTYNTMISLACEHSLEEKALKLLQRMEEDSCKPNVFTYKPLLRMCCRKKRMKVLNVLLSHMLNNDVSIDLSTYCVLVHGLCSCGKLEQAVAYFEEMVLKGMIPLDSLRKKLVETLEKENMTEAVEKIQRLMSNVTAK >OMO84685 pep supercontig:CCACVL1_1.0:contig09724:2046:2978:1 gene:CCACVL1_10712 transcript:OMO84685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSFMSYVDLKKEAMKDLEAGPDYDFEMSSAMDPNLGPFLEEAEKVNQEMAVIRELLGKLQESNEESKSLHKPESLKALRIKINKDIVTVQKRARTIKAQLEEMDRANAASKRLSGCKEGTPIYRARIGVTNGLRKKLKELMMDFQGLRQKMMTEHKETVGRRYYTVTGENPDEEIIEKIISDGNGGEEFLTRAIQEHGRGKVLETVVEIQDRHDAAKEIEKSLLELHQVFLDMAVMVEAQGEQMDDIEHHVMNARHYVKDASKELNTAKHYQRSSRKCMCIGIFLLLAIVLVILISVVTSFTSS >OMO75834 pep supercontig:CCACVL1_1.0:contig10964:14938:15075:1 gene:CCACVL1_16020 transcript:OMO75834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLRVLGRKTAATRRKGRRCWASAAVGELSSLSWGTAIERGQSK >OMO75833 pep supercontig:CCACVL1_1.0:contig10964:12812:12922:-1 gene:CCACVL1_16019 transcript:OMO75833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEFDDDGEQTVIINEYLESIEEEELLSLFPLFSV >OMO62301 pep supercontig:CCACVL1_1.0:contig13284:8481:14268:-1 gene:CCACVL1_22902 transcript:OMO62301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKQIEREMERFKICEKETKTKAFSKEGLGQQPKTVSELESQIDNFEAELEGLSVKKGKTRPPRLTHLETSITRHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFDDVDELYQSLPLDKVESLEDLVTLGPLSKGAPILSLKTSLAASASQATGGSSQEHVEDTASLDSNSDIARTPPSKGSAINSSAAATSTGSHAIPAPVNLPPHSLSGASTASVLPGSNPARSVLENTGAANPSSPVNIQNAAKEEDITSFPGRRPSPSLADAGVRGITRGGLSSLSSQPSSSVPLVSGSVASSNGALGVVVPSVSDMAKRNILGADERLGNSSMGQSLVSPLGNRMILPQAAKANDGSASVDSSNPSESSGLPGRAFSPSMVSGMQWKPGSSFPNQNEPGQFRGRTEIATDMREKFLQRLQQVQQQGPSNMLNMSSLAGGNHKQFSAQQQNPLMQQFNSQSSPLSIQPGLGLGGQAPGLNTVTSASLQQQSNSIHQQSSQQALASNVPKDADLGHAKLEEQQPQNLPDDASSEAVPTSGLVKNLMNEDDMKAPYAMDSPVSVSGSLTEPAQVIRDIDLSPGQPLQSNQSSSGLGVIGRRSVSDLGAIGESLSGSTNTGGMHDQLYNLQMLEAAYYKVPTPRDSERPRPYTPKHPAVTPASYPQVQQPIVNNPNFWERLTLDGYGTGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKIATDEFEQGTYVYFDFHIANDDHQHGWCQRIKTEFTFEYNYLEDELIV >OMO53912 pep supercontig:CCACVL1_1.0:contig15106:12812:14787:1 gene:CCACVL1_28242 transcript:OMO53912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbP, oxygen evolving complex MASASSLTLLSPLIPKSPVKLTNANYKILMQENKVSLRLRREIMLKGVAMLPLLVFGELGPPIAEAREVEVGSYLPPSPSDPSFVVFKASPKDTPALRAGNVQPYQFLIPPSWKQSRVANILSGNYCQPKCAEPWVEVKFEDEKQGKVQVVASPLIRLTNKPNASIEEIGSPEKVIASLGPFVTGNSYDPDELLETSIEKIGDQTYYKYVLETPFALTGSHNLAKATAKGSTVVLFVASANDKQWSSSQKTLKAMLDSFQV >OMO53911 pep supercontig:CCACVL1_1.0:contig15106:9553:12106:1 gene:CCACVL1_28241 transcript:OMO53911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASMEPDLGSELESLATPPMDPLYFSADLGLPLDNDNDDFEITFDDLDQFYFPSDSENLHVPDSSAVADSDVERYFNSSSPELGSCNGPDSSGNPRSPLSSLGSGNCASTVSETMNASSPDSENIIEQKINVEEMGKMRASKRKKENEETNSNKFRRSSLPTIINNSDSNSNNSIHDSNALSKEEQKRRARLMRNRESAQLSRQRKKHYVEELEDKVRAMHSTITDLNNKPLPMPMYPPMGYPWVPCAPPYVMKPPGSQVPLVPIPKLKPQKQPVPTSKAKKSESKTKKVASVSLLGMLFFMLLVGGLVPIVKIRDDNASIGSGFVGDGFYEVHRGRVLRVDGHLNRSNNIRDVEFAYGKFDSKNRVHGRGSESDVEQKETGAHSVPDYKGNGSEPLTASLYVPRNDKLVKIDGNLIIHSVLASERAMASHKASQINNKETGLAIPKHFSPALAFSDARESRGKQSHEYRNPAERQMALSSGKADALKDHFKSSAADGKMQQWFREGLAGPMLSSGMCTEVFQFDVSAPGGIVPATSVKNVSAEHRQNATQLNKGRNRRILHGPVPLSGSDLNITEQHVGRNSRKENIQGNKTASSMVVSVLVDPREAGDGDMDEMIVPKSLSRIFVVVLLDSVKYVTYSCMLPRSGPHLVTA >OMO53913 pep supercontig:CCACVL1_1.0:contig15106:21634:31191:-1 gene:CCACVL1_28244 transcript:OMO53913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFEENMKPRWNRTNAGVKTPESRGCEEVEVWKLSARDLCEIARNSICQSGFSHLAKYEDLIHLESAWKTIPINNLVEKTFVSLAKDMIRQILEVGFDLSYVGRHKSHALNGMDPPHPFTNVNSLNVVPLFPFPTFQISIFRSLSHPSPKTLFLKSLSKNSMATSNMKQTRDLGLSYPPLNCYAICSSVVVARLEHFFMLDEASNALQELLPKASAVYPGLRSWNFAGARAGLRAMPPLTPHGSLPLLGCLDTFLGEKS >OMO62909 pep supercontig:CCACVL1_1.0:contig13111:5637:15700:1 gene:CCACVL1_22580 transcript:OMO62909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQPKQIKKPKNLRTQQELQEHQATQQPSATTLQPQSPSATPAVFTADHFIASQQARLPEKPKTPLNFSIFTSKTKI >OMO62910 pep supercontig:CCACVL1_1.0:contig13111:19714:32823:1 gene:CCACVL1_22581 transcript:OMO62910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSKKSKIHKYKQLEKIARIMWQQSLAPLRLVTLSFIGKPKIKVTSW >OMO94013 pep supercontig:CCACVL1_1.0:contig08007:2565:2660:1 gene:CCACVL1_06225 transcript:OMO94013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNAANPNRPSESLHHLHRTGQIKSEKILL >OMO52129 pep supercontig:CCACVL1_1.0:contig15595:26736:27769:-1 gene:CCACVL1_29347 transcript:OMO52129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVQCDIGQGTDGSRTYYQGQRPDPKLSLEKQNADPRSRRHDEDMQVGYEQDHLSQTLEGLEKKFLDDITKLAKEQNDAEDAENNRHREKINAINSQYQEQLAALRARHASRRDEFLRKESLARQQQYQQAAMDHYPHGTMAPADTMATGNPHGYGGVAGSAAVGDAHRGYNSDNFNSYSERARFLGGARDPGFEPRGSYPGGRVYDTGSRYY >OMO52128 pep supercontig:CCACVL1_1.0:contig15595:16758:24117:-1 gene:CCACVL1_29346 transcript:OMO52128 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Ubiquitin carboxyl-terminal hydrolase MVGLPSEDSSDSTHRVDSDNHISNINDQRVYFVPFRWWKDAQDSTSSESDAKRGVLYTATPGTSYAGPMKLINNIFNSDLAFNLRKEEDSLHNGENGEVGVSGRDYALVPGEMWLQALKWHSDAKVAAKGGKSFSAAEDDMADVYPLQLRLSVPRETNSMGVRISKKDNTVELFKRACKIFSIDSDQLRIWDFSGQTTLYFVNDRSRFLRDCQRQSDQEIILELQVYGLSDSMRCREGKKDDLSGQHPANCSSGASIVMNGCNGTANSNFVCSNGSTFCGRSGEAGSLGLTGLQNLGNTCFMNSAIQCLAHTPKIIDYFLGDYSREINPDNPLGMNGEIASAFGDLLRKLWAPGATPVAPRTFKSKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKSKPYVEVKDGDDRKDEEVADEYWQNHLARNDSIIVDVCQGQFKSTLVCPECKKVSITFDPFMYLSLPLPSTTLRTMTVTVISTDGTSRPSPFTITVPKSGKFEDLIQALSVACSLGADETLLVAEIYNNRIIRYIEEPADSLSLIRDDDRLVAYRLNKEMEKTPLVVFMHQQMEEEHVHGKLTSNWKTFGFPLVARLSNVSSGSDIHGLYLKLLNPFQTQADEVLDNCDNSESSAVEDISLKEHEVSPASDENQKAPDANGVVSPSEAELQFYFTDEKGMVKESQIILGEAVPAAGVSGRLHLLACWPEKYVKQYDTWLLTSLPQIFKSCFFAKRPQESVSLYKCLQAFLMEEPLGPEDMWYCPGCKDHRQASKKLDLWRLPEILVIHLKRFSYSRFLKNKLETYVDFPIDDLDLSDYIAYKNGELSNRYMLYAVSNHYGSMGGGHYTAFVHHGGGRWYEFDDSHVYPIGPEKIKTSAAYLLFYRRVVD >OMO52127 pep supercontig:CCACVL1_1.0:contig15595:2085:16416:1 gene:CCACVL1_29345 transcript:OMO52127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNDKRMALDQGGNWNIPYDTNLIDASYQKGMEGPKFKRKPNRGGELDNQNPLLRFCKEQNNIDHLKPKKGGKVGIPIIRLRFKSLPSDASEKTQMLTSSPHSSSSSPLHPPKQRGQKQQRLW >OMP12404 pep supercontig:CCACVL1_1.0:contig00011:214:621:1 gene:CCACVL1_00006 transcript:OMP12404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TDWLNPKGKPVKHLTPRYEPKKGSEEESQLIIYSLALRISSIHGLTQQLSTYF >OMO55670 pep supercontig:CCACVL1_1.0:contig14613:3258:3368:1 gene:CCACVL1_27100 transcript:OMO55670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPNQGFQGHAARADGADASLPYMKVNYDQALIVIRP >OMP03781 pep supercontig:CCACVL1_1.0:contig05977:89478:97365:1 gene:CCACVL1_02271 transcript:OMP03781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLQCPSKLLFHIPYPYSNSVLPYPTPRLRYPRPQTVRILCAKRTGKKRYPSEKKKLKLKQKEALSTVTDKFEGIWRLSKLSVSVQNDPGKDFLQLHDGLLQEIAKAIEFPVASMLPAEAFSVVRKSFDARKMLKEAKFVYTVHMDVSKLLSLEPRTWDFISRLEPKVGLIEHMPHERIGHDLTSIVRDFKDGNDGTLSREQGHSNDSSQSHKFLRARKPKIAVVGSGPSGLFASLVLAEFGADVTLIERGQPVERRGRDIGALVVRRILESESNFCFGEGGAGTWSDGKLVTRIGRNSGSVLGIMNTLVHFGAPKNILVDGKPHLGTDRLVPLLRNFRQYLQSLGVSIMFGTRVDDLLIQNGRVVGVQVSDSTDKLQLDRKALEFDAVILAVGHSARDIYQMLLSHNVDLVPKDFAVGLRVEHPQELINSIQYSALANEVCRGRGKVPVADYKVAQYVSDDDEDSPLNVESASRSCYSFCMCPGGQVVLTSTCPSEICVNGMSFSRRSSRWANAALVVTVSAKDFDALNFHGPLAGVEFQREFERRAARMGGGNFVVPVQTVTDFMENKVSVTSMPPSSYRLGVKAASLHELFPMYITDALRYSISTFDKELPGFISKEALLHGVETRTSSPIQIPRNSDTYESTTLRGLYPAGEGAGYAGGIVSAAVDGMYTGFSVAKAFDLFHGEIESVLGKAQTAGFVKY >OMP03779 pep supercontig:CCACVL1_1.0:contig05977:53620:54334:1 gene:CCACVL1_02269 transcript:OMP03779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFVPKTSPLLRFCPSSSPSPFAQIPFSQIPNSPESNSKPISGESKFEFEVFSGGEDRNKRD >OMP03776 pep supercontig:CCACVL1_1.0:contig05977:749:898:1 gene:CCACVL1_02266 transcript:OMP03776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin SPT2 MVTNYDEIMKEENTSAKLARKEDAEELRKIEEEERQERLRRQAKKRKLL >OMP03778 pep supercontig:CCACVL1_1.0:contig05977:41054:42275:1 gene:CCACVL1_02268 transcript:OMP03778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine-threonine protein kinase, plant-type MVDGPSLYTNILEDIGKLSSLRVLSLGHSETNLSMQGLFHLKNLESLEMSELSLLENNFFEKIGALNSLKMLSLSNCELNGTLPTQGSICNLASLEDLDISHNSLTGTLPDCFSNLTFLRRLDLSSNQFSGNLSLSSLKMASMEYIDVSNNQFQIPSSLQPFFNNSKLKHFNGDNNHIFVDQTELHSLAPQFQLNFISLSCCGNGGTLPKFLHYQHELQYVHLTHINLAGEFPSWLLENNSQLETLMLLNNSLSGHLKFPFHPSMKLSELDISDNFFDGHIPLEFGKYLSGLGFLNISGNSLDAGIPSSIGDMKLLQVLDLSNNRLSGVIP >OMP03780 pep supercontig:CCACVL1_1.0:contig05977:63035:71239:1 gene:CCACVL1_02270 transcript:OMP03780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPPSKRWRAVALVVVLVLGGLGWCGVEGCWEEERVALLQLKPFFNRLRDPVKNTMRYVLYNWVEEINSDCCKWEGVECDATTGKVIGLSLPNRFYLETGFAETRSWFLNASLFLPFDHLKSLNLSGRVIQGCLHNHGFEKLASNLNKLEVLDLSVNDFDDSNILSSLLEFSSLKFLNLSYNSYLTGPIHTDGLSMKLSLETLDLRYTDLDNSILLALSEIPSLKYLDLSFNRRITGSSHGFKGLSRLTSLETLYLNGNYELGYNILSHLGNLSSLKTLGLESCGLSGTIQIQELNSLINLKELYLSDNRIDSFGSLGPFSKSLKHLYIEGSGAVEISSIVEGSIDIEDARERGLKNLKDVDLVAIQAANNSLLAQSLALFPSLKSLHFKSDESAGTPGMVILEELRKLTILEELLVEDASQSYGLIDTNILEDVGKLTSLRVLSLKSYYNDYNNLSVQGICELMSLKDLVISESNLTGTLPDCFSNLISLRHLDLSSNKLLGNLSFLQSLTSMESIDLSNNQFQIPSSLKPLVNHSKLNHFNGDNNHIFADETEQHYSLAPKFQLNSISLSCCGNGGAMPKFLHHQHELEHVSLSNINLTGEFPSWLLENNTQLETLVLPNNSLSGHLNLPFHPSMKLYELDISRNFIDGHIPIEIGRYLPGLTFLNMSRNSLDGGIPSSIGDIKFLAKLDLSNNRLSGIIPESLAMNCSSLTDLVLSNNHLQGHMFSSNFNLTKLVVLQLAGNNFTGQIPNSLANCSALLRMDIGDNHISGRTPPWIGNLSSLEEIIMLDNHIEGPIPKEFCQLQHLKLLDLSMNNISGTLPSCFRPEWINHLHLSKNRLRGSIPNSLCNSSQLVTLDLSENQFSGNIPECIGELSELGYLVLKHNKLEGEIPRQLCQLKLSLIDLSQNNLSGVIPPCLNVTAREEVAADYSGYGSDPYSDADREMGFSPYSTFSTDGSIALTTKNISYSYRGKILRLLSGINLAFNKLTGNIPHEMGNFHTIIMLNLSHNRLSGAIPPSLSKLGKVESLDFSYNSLSGSIPPQFVELYSLAYFNVSYNNLSGKPPPRTAQFATDFDENSYWGNPLLCGEPLPKNCSKPIEPSSSNSTKQQGDDQEDGGFMDIESFFQTFGVSYIMVLLGIAAVLYINPDWGRAWFYFVIDNLLPRRFRFCYV >OMP03777 pep supercontig:CCACVL1_1.0:contig05977:1470:2099:-1 gene:CCACVL1_02267 transcript:OMP03777 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MLVPLITAPFIDDSLGCLDWLNKQEPESVVYISFGTVITPPPFELQALSEALEESNLPYLWSFRGNPQEQLPEGFLERTSLKGKIVPWAPQQKVLQHEAIGVFVTHGGWNSVLESITGGVPMIFRPFFGDQGLNTKTVEAVWGFGLGLEGGMFTKQAKVKALKVILSSDERKKMREKIAVQKELAFKAVEPNGSSVENFKKLVEIMSSS >OMO98251 pep supercontig:CCACVL1_1.0:contig07142:1198:7030:1 gene:CCACVL1_04275 transcript:OMO98251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELQSVLENEGLTVTFDPMKGRCLIANKDFSPGEVILREEPYVCAPKSFASEAVPVCQGCFKTSNLKKCSACQLVWYCGRSCQLSDWKMHRLECRAISRLDMNWRNVVAPDICLMVKLYLRRKLQSDKIIPTTATDNYKLVESLVAHMSSISEQEKFLYARKAEIVKLILQWPDINDKQTVENFSKLSRNTHTITGSQMEPLGIGLYLVISLINHSCSPNTVLVFEGKEAVIRAVQQIQKGDEVFVSYIDTGAATMSRQDDLLKQYFFTCTCSRCLSHDPVHDDIDGSFKCMNDKCSGVYESNFGRWFMMDYINLGDMQKALEYCRLTMPTYQRVYAGFHPLLGLRYYTSAKLEWVNGNKVIAVDHLDKAVDILKVTHGTETPFMKDLLGKLEKARGGAPFEF >OMO94159 pep supercontig:CCACVL1_1.0:contig07954:36395:37639:1 gene:CCACVL1_06140 transcript:OMO94159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRRRHVERLGRKRGVFGSFNKAKVTDESLTNDVADSRIRGSA >OMO94156 pep supercontig:CCACVL1_1.0:contig07954:5234:10822:1 gene:CCACVL1_06137 transcript:OMO94156 gene_biotype:protein_coding transcript_biotype:protein_coding description:SecY/SEC61-alpha family MLITVREASLSFNLSRLSYPNPRPSPTLKGYVCRASLTVHRKPNSDQSWNLGLLSYSYKGSVFDPLRINPDVPSGLNDVWESFLALLAPTFESTSGTKKEKSSSARGVAAAIEDSSIDFGDFFKGPLPGKFLKLLGFLALSRLGVYIPLGGVNREAFVGNLDQNSLLSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQVYPKLQDLQKREGEAGRKKVLQYTRYASVGFAIVQAIGQVLYLRPYVNDFSTEWVLSSVTLLTLGAVLTTYIGERISDLKLGNGTSLLIFTNILSYLPASFGRTVAQAYQDGNYIGLLTLIISFFLLVLGIVYVQEAERKIPLNYASRYTSRSGGLQKSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLSVLKKAAVALNPGGSFYLPTNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTAAFLKTVLSRISVLGSAFLAILAAGPAVIEQTTHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDFDRYGPP >OMO94158 pep supercontig:CCACVL1_1.0:contig07954:32911:35613:-1 gene:CCACVL1_06139 transcript:OMO94158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MVSSCCLDQLNLLSTDDFRVMNRIPRVMKVPGVISEFEEDQQTMSRKRVIVVSNQLPLRASKDSVSGEWRFEFDENDLLAQLKDAFPADTEVRYVGTLKADVDAADQEEVAQLLHEKFSCETIFLPVEMKNKFYHGFCKHYLWPLFHYMMPMSGSDGVRFDRSQWQAYVSANKIFADKVLEVTDRDDEDEDHVWVHDYHLMALPTFLRRRSNRVKLGFFLHSPFPSSDIYKTLPVRDEILRALLNCDLIGFHTFDYARHFLSSCRRILGLHSESIRGHIAIDYYGRNVTIKILPAGIHMEQLQSVMSEETTVRKAKELKEEYEGKFLMVGVDDLDLFKGIPQKFLAMEELLEFNPEVRGKVVLAQITNPARSLGRDVQEVLNEANRIAMEVNEKFGEPGYKPITFIKGPVTTQEKLAYYAVADCCVVTPVRDGMNLVPYKYTVCRQGCPVLDKALGVDENSPPKNSVILVSEFIGCSPSLSGAIRVNPWNIDEMARAMYSAMELPETEKHLRHEKHYKYISSHDVAYWARSIDQDLERACRDHYHKRYWRVGLGLAFRLVALEPNFRKLLGDTLNSAYKRTNSRLILLDYDGTMMPPASVNKGPSDEVISVLNRLCDDPQNIVFIVSGRDRDTLGKWFSSCEKLGIAAEHGYFTRWTRDSPWQTYRSIDLSWKEVVEPIMQLYLEATDGSYIENKESAIVWHHQDADPDFGLCQAKELHDHLENVLANEPVVVKRGQHIVEVKPQGLSKGIVVENLISNMRSRGKSPDFLLCIGDDRSDEDMFESIARSSANPALPAIAEIFACTVGQKPSMAKYYVDDIVEVIGLLRGIPDASVQPN >OMO94157 pep supercontig:CCACVL1_1.0:contig07954:11833:12054:-1 gene:CCACVL1_06138 transcript:OMO94157 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclic nucleotide-gated ion channel 14-like protein MDEKEDDETGQEEEKTFPTGSNPSQAKLNLGVTILASRFAANTRRGAQKLKDVGMPKLQKPEEPDFSTEPEDD >OMP10806 pep supercontig:CCACVL1_1.0:contig01901:105:179:1 gene:CCACVL1_00784 transcript:OMP10806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYALSASGYNRRDLIDRMEEYIDK >OMO53650 pep supercontig:CCACVL1_1.0:contig15173:4258:4374:-1 gene:CCACVL1_28475 transcript:OMO53650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANSKNRRGHWSGVDRDELERRLGAKRSEKMIQSPFQG >OMP01119 pep supercontig:CCACVL1_1.0:contig06528:2861:20739:-1 gene:CCACVL1_03156 transcript:OMP01119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIFEHFVVCGLGPEIRTVDGTKGYHGTEYMYLPSLLDQFPPPNHSLYPPPPPQLPTCVLPAGVEFCSSGFDSNDPSTYPRSYPIVLTEGDGSKIYVSCIAFRDPVSEDIAEAYRIPTNSFADKCICLVSRSPYFRVLRDALEEIFALCFSPSGSSKPLWDVIAYMVSNVPLPTPGKDRVLFAVDSCLLSAEAPPKDGLPHADISFQPLVQCLDVDNLIKFFTAVLLERRILLRSNKYSLLTLVSEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTSNLVMDGVVVVDLEFNLITTTEEIPPIPEPELSMLRGEILKLLYPNVVGIDLMKADLYGSSEENFKLSNKPWGADHDLQLRFIFLKFFASILGGYRNFIENSATQAFNTQAFLKKRSRSTNQPLEPMIAQFLDSHGFLDYLERVVGFDENNNNLLDKLQDAIGRGQNPLSVLPSTLVEPEIITISDPDIGVSGSGAKYSYDRFPSNVRTEEQEEKRKQILAAANGAFEYSGRQTSSSPSVSSLERAAERDRMVLDIKVKLQGLWLRLLKLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHINSGWHGQLTEEQFIAVKELLKTAISRATSRNDVSTIRDALEVSAEMYKKDANNVPDYVQRHLISLSIWEELRFWEGYFDYLIEQSSNKSANYATMVTAQLIVLASHMAGLGLADNDAWYMIETIAERNNIGYKLLIKLRGLLSHIQQLRISYWGVSSVKSQSLLASGLSSPRPKDSTDENEQPAEASVVGRSWVQSMFSRETSSSRASFGRARKSTSDGAPADLPAAGQKKMQTNVRILRGHSGAVTALHCVTRREVWDLVGDREDAGFFISGSTDCSVKIWDPSLRGSELRATLKGHTRTIRAISSDRGKIVSGSDDQSVIVWDKQTSQLLEELKGHDAQVSCVRMLSGERVLTSAHDGTVKMWDVRTDTCVATVGRCSSAVLCMEYDDSTGILAAAGRDAVANIWDIRAGRQMHKLLGHTKWIRSIRMVGDTVITGSDDWTARVWSVSRGTCDAVLACHAGPLLCVEYSASDKGIITGSTDGLLRFWENEEGGIKCVKNVTIHSAAILSINAGEHWLGIGAADNSMSLFHRPQERLGSFPNAGSKMSGWQLYRTPQKTSAVVRCVASDLERKRICSGGRNGLLRLWEATINI >OMP01118 pep supercontig:CCACVL1_1.0:contig06528:149:1964:1 gene:CCACVL1_03155 transcript:OMP01118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWDDFDDKEMEVASILLELPSLIIKPKPVVPEWGFRGIRSAINIDNSIPLSPNPNHIVSSQVKTSSSFSDQSESSKPVSHHQHTKFDEDNNSEIKAEAVKSASCDINPINATTRIRFKLPTREKTHGIRIKDDDQKTSGPKAEEDVKVMDYEKKGSISKRAKEAFEGEAAEVVAASKVESREELDNELTLLEDHKRVLVESIQKVKDYLEKQKALNLQLKATKLRLSSKEKSESIR >OMO51727 pep supercontig:CCACVL1_1.0:contig15736:4508:7047:-1 gene:CCACVL1_29628 transcript:OMO51727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MSLSEGQPKTIVLDKDWFEEKDGGDGSSTLDMKDFHNFLLQNSLFDHTYTGTLFTWYNKNPLNPLARKLDRVLITDVWLDYFPNSWVEFLAPECSDHSPALLRLDNALAPSPKPFKFFNFWTQHENYLKIVEQSWSEPVNGNPIKIFSAQPEMIAKEKQTVAELNTLILAEEEFFKQKSRIQWLKAGDQNTGFFHKIVKAKQSKGTIKLLCGSNNERLESTAEISNEALNYSKAQLGSTTSGILDFPIGSLKKLLPTISDEDFHMVQAEITQEEIKSVMFSIPSDKSPGPDGCTAHFYKSA >OMO50283 pep supercontig:CCACVL1_1.0:contig16231:9272:9391:-1 gene:CCACVL1_30537 transcript:OMO50283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLEGWGGSLLTPHPPTNQTSPFQTPPSPSQPPKPKKG >OMO50282 pep supercontig:CCACVL1_1.0:contig16231:2196:2291:-1 gene:CCACVL1_30536 transcript:OMO50282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALVMPTPYAEAEADRIRTMVSELPTVPS >OMO72675 pep supercontig:CCACVL1_1.0:contig11423:15590:18115:1 gene:CCACVL1_17661 transcript:OMO72675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allergen V5/Tpx-1-related protein MTRSNSSPKSLAAAALIFSLILLIAAEPANALHARKHRKRKPKKPAGIFQLYDKFITGIGNGHPLPILPIRPLPLPAPIKPIVDIPEKKETTSGDLKEEFLAAHNEARAAVGHLPLQWNDTLAYISKQWALTRINDCQMIHSPKAQHHHGHGENLFWGKLDHWSPKKVVKSWVDEKVHYDRKTNTCIQPTDPKEAKHQCGHYTQIIWQDTLQVGCARVKCLTKRGVSNGEAPPIIMPTIAPAGAAESAKSQKPKPEEIIYKVDDKYIYASGNVIPTPTSPSPSAPPRKQTVDPAGKELTVGNLKEEYLAAHNKVLRASVGHSPLQWNNSLAGIAKQWALKRKNDCKMIHSTAGKEPHDSNAYRENLFWGKRSHWTPTKVVKHWADEKAYYNRNTNTCSPPKPKSSDERKRVCGHYTQIVWKDTADVGCAHIGCVNDQGFLFVCAYYSPGNYKGEDPFHNYI >OMO72672 pep supercontig:CCACVL1_1.0:contig11423:9604:10233:1 gene:CCACVL1_17658 transcript:OMO72672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPLSESLPSAVLLLLAALFALTPTAESYCSDAMATSLLSSGFTRFLYTLHAHNLTTTAAASRLTYLAPPDTTLMNHNLDYESLRSHISSDGALTYQSLLALSPNTTLSTLRRRASLVVGTDGEGVPFNEVLVSVPNLYVDGSCVVHGVDGPLVPISSPPIDDSPIMATRKFRVVPKRRTLQVSTYSRFIRRATAPNVTKKGVKPGFP >OMO72674 pep supercontig:CCACVL1_1.0:contig11423:12044:14070:-1 gene:CCACVL1_17660 transcript:OMO72674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSICHPLNSRTFFRFLAWWIFFSCFPGLISSAVVTLRSIEIFQKHELIVNPTVYFVCKGENRTVLPDVTKTGVVYDFKGEESWQPLTQLESKKCKRCGFYEKDTIKADDIFAEWEFCSSDFKAPSGKYTLFKEKELNVTFHCPECTSLPAASNTATEKHDDDNRLHVAIVILISVAISTVTIIALVAAYKYWQKKKRQQEQARFLKLFEDGDDIEDELGLGTVI >OMO72676 pep supercontig:CCACVL1_1.0:contig11423:18857:20400:-1 gene:CCACVL1_17662 transcript:OMO72676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPVAALAVVFLAIILSFIQKLGLEMEMLYSVFRAFLQLSVIGFVLQFIFEQPNSGWIILAYLFMVSVAGYTAGQRAKHVPRGKYVAGISILAGTAVTMFLLVILNVFPFTPRYIIPVAGMMVGNAMTVTGVTMKRLRDDIKIQMNLVETALALGATPRQATLEQVKRSLVIALSPVMDNAKTVGLISLPGAMTGMIMGGASPLEAIQLQIVVMNMLIGASTVSSIMSTYLCWPAFFTKAYQLETKVFSSD >OMO72673 pep supercontig:CCACVL1_1.0:contig11423:10967:11533:1 gene:CCACVL1_17659 transcript:OMO72673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTQAIDDYTSWLSNNHGIGNSSEELDSLFDICYRYPVSDPDSPLRETVCQIQPEKQSDYGFRYWLSELDSCCGGYWGDDIFSGKYSSVVDDQSNDPMVKTEEFNQSENQLQSYTSYSEGEFSHQNDDFNPWSSHQYFEDDDSYSYTEEEPRNMHGYRIADVGICDGIFGYFPCLLREQQQYNNMQW >OMO72679 pep supercontig:CCACVL1_1.0:contig11423:33275:33781:-1 gene:CCACVL1_17665 transcript:OMO72679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMETEKKEPECGVYELQGEPAVVINGVPKLNPDCNTLVPSNVEMGDAESKKKDEGCGDWLEGREVQKLFGEMYYRGSVIQFDKETGWYRVEYEDGDFEDLDWNELEEVLVPVDITIPLKSVAMKVLKKNQKSAIQKPGKLEPAGSAIQKGKQTGKKTAAHQEANPGS >OMO72678 pep supercontig:CCACVL1_1.0:contig11423:28746:30720:-1 gene:CCACVL1_17664 transcript:OMO72678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear factor related to kappa-B-binding protein MAQNDYIVNDRERPDFSSQARLSLTMNRNKLGKQVPASKLKDNIGAIVTRVGKKLGVQSSSIESAIRRKHLEMDDSKRVLSSKDHVNKFGDNPRTTNCARMGPTPSSGLEIAEHPKPIQREVEAAQVLMQLSNDVSGLCKSKMQSSSSKGACLVQGKQKRRKVSNDSSSLLLDFMNHNVVEEYNLNERYGKFGTKGSSSSKMKKASVSTCLGNQDEFDHHCTKTEEVLEDDELPISSLKRKELPKPLENCGLKKAKAEPGSFEGSTTYSSFSAAEIGNSLVTEQKPFKLQFNSPAAPMGFSFSIIQFLSAIRIAMITPNAEDAINPKSIKSYHSRKRTSNAQGGKYNLPCLTMHEIIERVRLNPGDPCILEAQEPLQDLVRSALNIFSSTVAPHGAKAWKPLTLYSKTNKSWSWIGPVATKSHNHMKEAVSSKAWGLPLNGRKSTVAPLRRCSGKPLVKCRDHFMLKADRPPDVTVLSLVRDAAARLPDGMGTRADVGVLLRDSQYIVEDISEEQLNQVVKGALDRLHSEYDPCVQFNGDRKLWFYLHGDREENDLEYDATLSIRRRRRQ >OMO72677 pep supercontig:CCACVL1_1.0:contig11423:24179:25814:1 gene:CCACVL1_17663 transcript:OMO72677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLFRTAIKSHVSVRRIKPIHALPSFLRESPKCFCTGTDQPQQPPQPDASVHQFLDSADKGFVYGSLQGFSKYSMKSDIINLLEGANLTPDDIKINYNRNFLPFTMMLRFSSPAAFSNAAKVVRRFNRLYKLEKADASDWDIIHPYNGKTVVLSGLPRHSTAEEVERFLGCDCDFSSIEMVTLPRPGNAPSRIATVQFPSQVQAMNAFITKNRSIFLNFPITLRVLY >OMO72680 pep supercontig:CCACVL1_1.0:contig11423:34221:36191:1 gene:CCACVL1_17666 transcript:OMO72680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRTTFTSKIQESLRTLNPTNPNLNYGAYGHLIGHFSDRNLPLQAKQLHARIFLSSVTPDNFLASKLISFYSKINDLPQAHYLFDKIPNKNTFSFNALLIAYCQHNMFVDTLRLFSSVGDVIRPDNYSITCLIKALSGSFCEKRLAKEVHCFVLRGGYTEDIFVSNGLITYYSKCQEFGLARKVFDKMGERDIVSWNSMISGYSQGGFFEECKALYRKMVDRLEFRPNEVTVLSVLQACGQSNDLLLGMEVHQFIVENRIEMDVSVCNALIGLYAKCGSLDYARELFEGMSEKDEVTYGSLIYGYMFHGFTDKAMELFRELKQPGLTTWNSVISGLFQNKQYDEILDLFWEMLACGLKPNAVMLATILPTILHFSNLKGGKEIHAYAVKNDYDHNIYVATALIDIYAKLGFLFGAQRVFDQSKCGSLIIWTAIISAFAAHGDVNAALGYFHEMLNNGIQPDPVTFTAVLSACAHSGMVDEAKKIFDSMPEEHGISPSVEHYACMVGVLSRAGRLTEAKEFVSKMPIEPSAKVWGALLNGASVYGDVELGKFICDRLFEIEPENTGNYIIMANLYAQAGRWKEADMIREKMRNVGLKKIPGGSWIETSGGLQSFIAKDKSSERTEEIYDLLEGLLGLMKEEGYALQDEFDEESVSV >OMO72671 pep supercontig:CCACVL1_1.0:contig11423:8501:9277:1 gene:CCACVL1_17657 transcript:OMO72671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNITNSLPRFGLVISSAHRPGKRSQKTKPKTKPGKSTTTMGFNTARKEELWRCVENCGACCKLAKGPAFATPEEIFTDPSDIELYRSLIGPDGWCIHYEKTTRTCSIYPDRPYFCRVEPDVFKKLYGIETKKFNKEACSCCRDTIKSIYGANSKELDNFNRSVIDSGSASN >OMO72681 pep supercontig:CCACVL1_1.0:contig11423:38644:39487:1 gene:CCACVL1_17667 transcript:OMO72681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEEEATIMAALATLRPSQLSDLSFSIFSLSFHHRLRLCFLLSSPCLFSLTLNHLHSLSLHHKTLLIARHLLFSLHHITRHFHPPPLLPPHPSASMKHRDLDAVLLLLFLCETHQHHPDALDRPHSEWRQVLNRICSDTILRITNITGGAYDGAALIPYIEMVIRCRRFVGIMGCGGKEGREIAASPAAVVALPAVEVRSGGVECVICKEEMKEGRDACFSSPVMIYSVRSNGCGESCSRPVEKVLRMNGRD >OMP00096 pep supercontig:CCACVL1_1.0:contig06728:4872:5456:-1 gene:CCACVL1_03466 transcript:OMP00096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALATMASMFKIGANTEIQPIYVQIKNLPAHVMSVEDEVDENPWYFDILQYIKQQVYPEHATEVDKRTLRRMVAGYFLSGETLYKKGRDGTLMRCVDSAEARKILEEVHGGSYGSHASGHRMARQIMRAGYYWLTLETDCIGHVRKCHKCQEHGDYIHVPPNPLHVITSPWPFSMWGIDFIGMITPKASNGH >OMO52884 pep supercontig:CCACVL1_1.0:contig15410:73378:74073:1 gene:CCACVL1_29043 transcript:OMO52884 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing protein MAETAVSFLLGKLGPFLVEQARTLRGVEAKAQWIKDELERINAFLRVADENAETNPQLKVWVKQVRDIAYDIEDALDVFELRMARTQGDGFYGFIRKISCTIKNAKYLHQLASSIQGIESRVKDVAEGQKRYNSILHNSRQSSAATRNIWHERRADALWVKEAELVGIDKHRKQLIQWLVEESNPKFQVISVVGMGGLGKSTLAKKVFDADQVKKHFQIHVWGQCFRIIQS >OMO52883 pep supercontig:CCACVL1_1.0:contig15410:45058:62012:-1 gene:CCACVL1_29042 transcript:OMO52883 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MDVPSSWDALRKQARKLEAQLDEQMNSYRKLVSTKGSTKVDSEENDLESGIDRLLKQLQQVNLQMQDWVSSGGSEMVSHTLTRHQEILQDLTQEFYRLRSSLRAKQEHASLLDDFREFDRTRLDLEEGVGSTEQALLKEHAAISRSTGQMDSVISQAQATLGALVLQRSTFGGINSKLGNISSRLPTVNHILSAIKRKKSMDTIILSLVASVILMLMLCMGLRRVVILCFHKPSIFAIVEPRISGSRAKCVLRKLKFPKWHVADPVGFAGGIWLNWDDAAVQLTIIISSPQLVHALVKPKDQEEFMLTVVYASPKLEVRRILWTHMEDLARTITLPWVVLGDFNDVLNGGEKMGGVSPSFTRCNLFNEMITNCGLLDLGFYGPAFTWCNRRKCLRKIQERLDRVLASPNWRVMFPEAAVIHLPQVHSDHNPVLLGLEPHVPGDKSQRPFRFQAMWRAECGFDEMMSKLWRELDGSFVERTEKLASALLEWNKTDFGNIFAKKKELRARINGVQRALAVHRSHQLELLEEDLVSQRRNKILLLRDATSGEWVSDQGELMRMVVAFFRNLFSIEDGDFRPLGIVPHPVLSPVDVLNMDKRISLAEVRSALFQMKPWKAPGVDGFQAGVYQAYWDDFGTYLYQLVLEAFDSGSFSPELNRTLLVLIPKVQQPEYVKQFRPISLCTVAYKLITKVLVNRLRPLLDNLVGPLQSSFIPGRQAADNVFIAQEMIHTIRRSRSKLGLMAITIDLEKAYDRVRWDFLRDTLIVFGFPDSWVQLIMFCVESSSMSVMWNGEKTDSFLLVWKPITLGRGGPSISHLFFADDLFLFGRASEKQAETVREVLDRFCLASGAKVSLEKSRVFISPKASGSNVRLVSNLLGIGLTNDLGKYLGIPLVHKKVGVSLYRELIDKVATCLSGWKAKLLNMAGRATLVSSVMSSIPTYTMLTTKLPASCRNKLDMLNRRFLWGGTENKKALHLVSWDEVCKPKKFGGLGLRQMKYHNRVLLQKTAWRFLHQPHSLWVQCLCAKYRIQGDVFDSIREAGSRKVSWSSSWKGLAGVLEGLFCGLKKRVGRGDKVCFWLDTWLEEPLINSLEALPSFLDTTMMVSDFIVDGGSWNADMLFAQLPYEIALRILGYPLPKVVSMSDSYYWGSTSNVTNALRYSWGISSSAICSSCNGGVEDIIHVLRDCVWAKQGLNLKNRSVSQVTLFVTTIWRIWTSRCRRVFDPNEVTSLDAIVYNIAGTTRSVVAAMSMPSPPTGPTASISWTPPGEHFVKLNTDGAAKGNPGAAGAGGVIRNTEGGWVVGFAAHLGTCSNVAAELHVLRLGLTLAWREGFRAVICEVDAKVILDLLNSDNLEVHPLGALLLDVKEMLSWSWQCFCQHTLREGNFCADMLSKMGCDLATDMEFFCSPPPGIVDIFEADRFPSKAGHWAAASNMVDLENHNIDHNHNQGSNIREIMMIAIDGSRNVLLEELGSLASEPILGSAIVRELKRLCYVVGYYPVCDAADSKEAIVMKVRTRKRKMAVFQEEICCSCKYVVSFHNCTDIKIVRKWEPSKRDIEESESESDDGINYFGGSRLMQLPLELQFNILYLLQGIDLARLACVCSELKSLCDSDDLWWQKFKELASFCLYPFPEPMTLWKQRFAAVSKFHLNHAKVWSGLRWELPIGADRMTLRDSMEFLLESLYDF >OMO52880 pep supercontig:CCACVL1_1.0:contig15410:14573:19121:1 gene:CCACVL1_29039 transcript:OMO52880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein MFRARNVCARISPHFNLLRTRPFCSTIKESNNSKKNNDVDANKVESNPSAYNESYRQLDNLDFTTAAKILFTDPPKKKKFGLDFHLVQLFFVCMPSLAVYLVAQYARHEMRKMDAELEEKKKKEEEAKKKQEEEEKAKEMELRGRSDSELLEVKERLGKLEEAIKEIVVESKKESAGSITKSQLNASEHHEAKSTSESSSSMEQDKFTKEKPEEQTPSSDPGKLPKPSNSLFSTRTYISEMRKSAFQDNILRLLRKEIQYELERCPPKQPITQFDSFTVDDRPGEQWIKLRRKFGDKEDIKIEATMFDGSVPASNSVGVDDNEQLHITFIVNISKGADSNVLEIMCSAWPDTIEIKQLVVRGDKRTAAHPYLGPQFKDLDDDLQSSLYEFLDERGINDELAIFLHEYMENKDKTEFIRWLGTVKSFIEKK >OMO52881 pep supercontig:CCACVL1_1.0:contig15410:22210:23079:-1 gene:CCACVL1_29040 transcript:OMO52881 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc finger protein MEQQQPPKSEPSLSETSSIVSVSNGPDFSHSQKFEATGKQQVEEEDIDEEEEDGHNNKHLVLDLSLSRKDQDQPELINLINCFKTDSSSDEQDNNSSETDHHDIPPQGNNNETEPRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRGQRVGLAAFGHSNSRYSSLASLPLHGSFNKSLGIQVHSMIHKPSFLPSPHLYGQNGWSRRPNIDSKPAIGRHVSMENNFHVGINYAGSSTSNNNGVARFETSSTKFGPSMEEMGGGYWWDCVNHFKNKQDELKLDLSLKL >OMO52879 pep supercontig:CCACVL1_1.0:contig15410:10077:11341:-1 gene:CCACVL1_29038 transcript:OMO52879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRKNSSFSETGSVPVYLNVYDLTPINGYAYWFGLGVYHSGVQVHGVEYAYGAHEYPTTGIFEAEPKQCEGFTFRKSILIGKTDLGPEDVRGVMEDLAEEYKGNSYNLITKNCNHFCNDACIRLTSNPIPSWVNRLARIGFFCNCVLPVTLNATKVRHHRIEDKPCEGEKKKLTSNSNRFTSSSNSSSSSNSSPSITQTRGRSRSRRSLPPSSPLILGPSSS >OMO52878 pep supercontig:CCACVL1_1.0:contig15410:3310:6621:1 gene:CCACVL1_29037 transcript:OMO52878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRSASKKTGQPNSTSSITYSASDLFRTASSKASSKEMERIDSLFYSYANKSSGMIDPDGIEALCSDMEVDHTDVRILMLAWKMKAEKQGYFTLEEWRRGLKALRADTVLKLRKALPDLEREVRRPSNFVDFYSYSFRYCLTEEKQKSIDIESICQLLDLVLGSQFRAQVDYFIEYLKIQSDYKVINMDQWMGFFRFCNEISFPDFANYNPDLAWPLILDNFVEWMQAKQS >OMO52882 pep supercontig:CCACVL1_1.0:contig15410:34155:34274:1 gene:CCACVL1_29041 transcript:OMO52882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVHVLRYDAGFYRDAVPKNVGAEKRGDDKWPTTRWRN >OMO58053 pep supercontig:CCACVL1_1.0:contig14280:26402:26488:-1 gene:CCACVL1_25602 transcript:OMO58053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDRVLSGVRQCHRAKCQLASYTAYNL >OMO58055 pep supercontig:CCACVL1_1.0:contig14280:58664:59707:-1 gene:CCACVL1_25604 transcript:OMO58055 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosylhomocysteinase MSLLVEKTSSGREFKVKDMSQAESGRLEIERAEVEMPGLMACRAKYGPSQPFKGARITGSLPMTIRTAVLIETLTALGAEVRWCSGNIFSTQDHAAAASVARDSASVFAWEGQTLQEYWWCIERALDWGPGGGPDLVVDDGGDITLLIHEGVKAEEVYEKTRQLPDPASTDNAEFQIVLTIIRDGLMSDPKKHTGMSERLVGVFEETTTGIKKLNEMQANGSLLFPAVHVNGSLSLSSNSKFDNLYGSSSCSFRNKVIALLELWKGTEKYEKKVYVLPDEKLAAAALDHSTMSVVTSSEAAEKVEAKFASLVARRNKLKRNFIKEKKKLFKLKAVEAILTLKLMGYF >OMO58054 pep supercontig:CCACVL1_1.0:contig14280:47382:48932:-1 gene:CCACVL1_25603 transcript:OMO58054 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosylhomocysteinase MSLSVEKTSSGREFKVKDMSQADFGRREIERAEVEMPALMAFRAKYGPSQPFKGAKITVSLHMTTEAAVHIATLTALGAEVRSCSNNIFSTQDHAAAAVARDSAAVFGWEGENFQEYWLCVERALDWGPGGGPDLIVDDRGDLTLLIHEGVKAEEVYEKTGRLPDPASTDDAEFQIVLTIIGNGLKSDPKKYTRMSERLVGVFDETTTGIKKLNEMQANGSLLFPAIHVNGSLSLSSNSKFDDNLYGSSSSYPFLNRATDDDKIAVVYGYGDVGKACATALKQAGVRVIVTETDPICVRQAHMDGVQVLPLEDVVSEADIFVITTTGSGNKDIIMVDHLKKMKKNAIVCNIGYFDNETDMSGLDENYPAGHVKCITIKPQTDDGWVVPNFHPSSVMSCSFTTQVIALLELWKEKGTGKYEKKVYVLPEVAAPPYFCMLGLTEYSELPKSTMEVSDSTESVVMMTVINEAAEKNKAKKFAAMIAKRNKLKRNLKKEKKKLFKLKAVEAILMEQVGDF >OMP12386 pep supercontig:CCACVL1_1.0:contig00037:1238:1351:1 gene:CCACVL1_00015 transcript:OMP12386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQPPSLRLLPNDNDKRRKRPPGRGKEPSFIRSPEKIL >OMO71519 pep supercontig:CCACVL1_1.0:contig11609:48445:49336:-1 gene:CCACVL1_18184 transcript:OMO71519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MEAAMLRSLLAFTLFSVLFSHISVEVSATTITLYNKCPHPVWPGIQPSAGQPLLARGGFKLPPNKAYSMRLPPLWSGRFWGRHGCSFDASGRGRCATGDCGGSLFCNGLGGTPPATLAEITLGKQQDFYDVSLVDGYNIAMSITPFKGSGKCSYAGCVSDLNQMCPVGLQVRSRDNKRVVACKSACFAFNSPRYCCTGSFGNPQSCKPTAYSKIFKTACPKAYSYAYDDPTSIATCSGGSYLVTFCPHHR >OMO71518 pep supercontig:CCACVL1_1.0:contig11609:23754:25793:-1 gene:CCACVL1_18183 transcript:OMO71518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTKFKIPLFPKSTPTLFPFSFQFSTSSSRPSHYHHHQQQLEPVTNKPFWTKKIHDLCTKHRNVDEALRLLDTLCLHGYQPDSLNISSIIHALCDSNRFAEAHNRFLLALSSHLIPDERTCNVLIARLLHSKTPHSTFDVIRSLLKFKPDFVPSITKFNRLIDQFCTDSSVNIAHWLFLDMKNMGQFPNAVTYTTLINGYIRIGDLGFALKLFDEMRDCGMFPNSLTYSVLIRGVFRKRDVAYGVELMDKLWERMKDEKEDPAVKAAAFANLIDCLCREGYFNEVFRIAESMPQGKGVSEEFAYSHMIDSLCKAGRNHAASRVIYLMRKKGFVPSLLSYNCIIHGLCTEGGCMRAYQLFEEGIDFGYLPSEYTYKVLVEGLCRESDFQKARQVLEFMLNKKATDRTRIYNIYLRAVCLMNDNPTELLNILVSMLQNQCQPDVITLNTVINGFCKMRRIEEALKVLSDMMNGKFSAPDVVTFTTVVSGLLDVGRTQEALDVLTRVMPERGCRPGVVTYNAVLRGLFKTQHANEAMEVFNCMVNEDVTADSTTYTIVVDGLCQSGRLEEAKRFWDAVIWPSQIHDHFVYAAILKGLCHAGKFNQACHFLYELVDSGVTPNIVNYNILIDKACKLGLKKEAYQILGEMRKNGLEPDAVTWRILDKLHCNVRKKFGTEDSPL >OMO84187 pep supercontig:CCACVL1_1.0:contig09775:11192:12759:-1 gene:CCACVL1_10953 transcript:OMO84187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MAVDLIHKFLNISAPPFTFFSFLFFLPPYYLFKSFLSILRSIFSENVSGKVVIITGASSGIGEHLAYEYGRRGARLALTARREKSLNEVADRARDLGSPDVITIRADVSKIDDCRRLVDDTVNHFGRLDHLVNNAGMTSVVMFEDAPPDMTSFRALMETNFWGSVYTTRFAIPHLRHSGGKIIVMSSASSWMTEPRTSVYNASKAAMSAFFDSLRVEFGSDIGITIATPGYIESGLTSGKFLQREGELEVQQDLRDTQVSLMPVGSVSGCARAIVNGACRGDRYVTQPSWFSVTYLWKMFFPEVIEWSYRLFALPSSGRPPHEALNKRVMDFTGAKKVLYPSSIQSPDVKTE >OMO84186 pep supercontig:CCACVL1_1.0:contig09775:1373:1867:-1 gene:CCACVL1_10952 transcript:OMO84186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MDSIHKLINILLPPITILLLLFFLPPYLVFKTLSYIIRSVCSENVAGKVVLITGASSGIGEHLAYQYARRGARLGLVARREDRLCAVADKCGQLGSPDVIAIPADVSKQEDCKRFVGKGVNYFGQ >OMO50829 pep supercontig:CCACVL1_1.0:contig16048:53550:57413:-1 gene:CCACVL1_30224 transcript:OMO50829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQMHFITRGKQNWIKPLRRLPSPTSQLITSQKPISREGLRNSSSFLEARFKAKAAANFSSVKAFAGCKAVGFRKQGRVLAVSRVESAGINGRLQNLESSPQGHLGNGHVSSSHLSSLNNFEESDSNNQLLRFVKTGELEKGFRILERMVYHGEIPDIMACTSLIRGICKRGMTKKATRVMEIIEDSGAVPNVLFYNLLISGYCRAGEINNALQVLDRMSVAPDVVTYNTILRSLCNSGKLKQAMEVLDRQLQRECYPDVITYTILIEATCKESGVEQAMKLFDEMKTRGCKPDLVTCNVLLNGICMEGRLDDAIKFLNSMPSQGCQPNVITYNIILRSMCSADRWMDAERLLADMFRKGCSPGVVTFNILINFLCRKGLLGRAIDILEKMHRYGCTPNSLSYNPLLHGFCKEKKMERAIEYLEIMVSRGCYPDIVTYNTLLTALCKDGKVDVAVEILNQLSTKGCSPCLISYNTVIDGLAKVGKTDQAIKLLDEMRAKGLKPDTFTYTSLVRGLIREGKVEDAVKFFHDFEGMGIRPNAVTYNYIMLGLCKAQQTDRAIDFLAYMVMRGCKPTESTYTILIEGIAYEGLANEALELLNELCTRGVVKKRSADQVAVKM >OMO50827 pep supercontig:CCACVL1_1.0:contig16048:43350:50067:1 gene:CCACVL1_30222 transcript:OMO50827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MGTGEEIEAAPKVDDGKLKQELEDNESELSPIEEVRLTVPNTDDPSLPVWTFRMWFLGLFSCVLLSFINTFFSYRSQQLIVTQITVQVTTLPIGRFMASTLPTTKFRIPGFGSRLFSLNQGPFNMKEHVLITIFANAGAAFGNGTAYAVGIVTIIKAFYGRNISFVSGWLLILTTQIPIQTENSLKRKPRRSLPPQHTLSMSTLEIVHNIEEDDDDVSVSPVEEVRLTVSNDDDPSLPVWTFRMWFLGLFSCCLLSFLNTFFSYRTEPLQVTMISIQVASLPVGKFMVRVLPTTKFKIPGFGNQEFTLNPGPFNVKEHVLISIFANAGAAFGGGTAYAISIVNIIRAFYHKKISFLASWILVVTTQETNQTIAQ >OMO50830 pep supercontig:CCACVL1_1.0:contig16048:58166:60706:1 gene:CCACVL1_30225 transcript:OMO50830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVSTDKHPPAVSEQCKIARTEDATPCVIDRARRSARAGRTL >OMO50832 pep supercontig:CCACVL1_1.0:contig16048:89719:90420:1 gene:CCACVL1_30227 transcript:OMO50832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTESLHGNLAYACNKIIPIPTARRVQG >OMO50828 pep supercontig:CCACVL1_1.0:contig16048:51201:51497:-1 gene:CCACVL1_30223 transcript:OMO50828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSPSKNTNEEGLLSCWGRLKLKLPCTKRRMRNLGNTITAPFKAKNPKPAGGFRYDPLSYAQNFDDGCLDDDIEGSLYRGFSSRYAAPSLRSVADK >OMO50831 pep supercontig:CCACVL1_1.0:contig16048:86874:88171:-1 gene:CCACVL1_30226 transcript:OMO50831 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box FWQREAAILRQQLQNLQENHRQMMGEELSGLSVKDLQNLESQLEMSLRGVRMKKDQILMDEIQELNRKGNLIHQENVELYKKVNLIRQENMELYKKVYGTRDVNGANRDSLLTNGLGVGEDSHVPVHLQLSQPQQQNYETPSGATKL >OMO50825 pep supercontig:CCACVL1_1.0:contig16048:16346:19373:1 gene:CCACVL1_30220 transcript:OMO50825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MGSVEQIEAAPKLDDVKLKQEPDEDDESELSPIEEVRLTVPNTDDPSLPVWTFRMWFLGLFSCALLSFLNQFFGYRSEPLTITQITVQVATLPIGKFMASTLPTTTFRIPGFGSRQFSLNPGPFNMKEHVLITIFANAGAAFGGGTAYAVGIVTIIKAFYGRKISFVASWLLIITTQQVK >OMO50826 pep supercontig:CCACVL1_1.0:contig16048:30703:39984:1 gene:CCACVL1_30221 transcript:OMO50826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MATVDEIEAAPKLDDGKLKQEPDEDDENELSPIEEVRLTVPNTDDPSLPVWTFRMWFLGLISCALLSFLNTFFGYRSQQLIVTQITVQVTTLPIGRFMASTLPTTKFHIPGFGSRLFSLNPGPFNMKEHVLITIFANAGSAFGNGPALAVRIVTIIKAFYGRNISFVSAWLLILTTQKSCGRFEWVLFNFFTRFFTTFFDSKKTAVNHHPGASKHCHRPPNNPESFGFLI >OMP03700 pep supercontig:CCACVL1_1.0:contig06002:4:87:-1 gene:CCACVL1_02303 transcript:OMP03700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQTEEDIILRENKKELHEIPRSILTIF >OMO79355 pep supercontig:CCACVL1_1.0:contig10432:9531:9671:1 gene:CCACVL1_13727 transcript:OMO79355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEVKIVREKPAGESDNRSSGKKSTNPSSWPLNVFSSDTIFLTGREE >OMO79357 pep supercontig:CCACVL1_1.0:contig10432:22104:23023:-1 gene:CCACVL1_13730 transcript:OMO79357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTEECMEKGIAVCKDGASLKKIGKRISEHAEKYGYGVVERFVGHAVGTIFHSKPIIMHHCNESPGVMLEGQTFTI >OMO79356 pep supercontig:CCACVL1_1.0:contig10432:15052:16446:1 gene:CCACVL1_13728 transcript:OMO79356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MPVFRCLHAKFGPIITLRFGSSSAIFISDRFLAHQALVKEGALFSDRAPSFDGTNQRQISTAFYGEKWKSLRRNLTIEILQRSRMKSYSLPRKWSIELLLRNLKLQSINSGDQPVGVLEHFKLSMFSALVFMCFGKKLEDNTIMEISDIIHRLMSSFGKVSMIYMISFWPKILTKFLFGRFFDEVFRAGQRQREMFLPIIEERKRLKEERSRNGDKDHQLIESNIVPAYVDTLLDIKLPEENRSLNDDELVNLSSEFLIGGTHFITSTLQWIMASLVKNPHIQEKLFNEIKGVVGKGEEMVKEDDLPRMPYLKAVILEGLRRYPVASFLLPRKVTEDVVLNGYKIPKNGIVNFLTGDIGKDPEVWEDPLAFKPERFLMNDENDNRGIMFDIKGNRELKMVPFGAGRRSCPGYALALVLLELYVANLVWSFEWQPVDGQEVDMEEIQEYALTMKNPLKAKLVPRF >OMO97083 pep supercontig:CCACVL1_1.0:contig07291:24931:41450:1 gene:CCACVL1_04659 transcript:OMO97083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECTKGRNKNLVICRFLRSTKYKMIGK >OMO97082 pep supercontig:CCACVL1_1.0:contig07291:3493:3773:1 gene:CCACVL1_04658 transcript:OMO97082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLNLDGEIEGQDGAEEKNKQVEDRR >OMO51248 pep supercontig:CCACVL1_1.0:contig15921:34777:38463:-1 gene:CCACVL1_29911 transcript:OMO51248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSWMKEPKFSESYLSGVQSFMQFVSDNMGSQCTIRCPCRICCNAFLFSQDEVEDHLILKGISESYTNWIYHGEQSEFSIPNVKDQDYGHTSHHDDGSIDHEHEPNDGLHDMLEDRFPGRDEVQIENSLSASFDIRKSEGEVTNLRNQGVDISDQLYALACRPDQRVKRFTGCIVNGIRFHTRDRDSFLKSQNSGVLVEGNHETEDIDFFGILTNIYQLDYIKDCHAVVFKCNGFDIDPRKKRTHRDGHLLSINVNKCWYGNDPFVLAFQARQVFYLPDTKLGKDWRVVQKFNHRHVFDVPEVEEMLEESELDNEIYQEIEISETERFVQVEEFEGPLNRTDIDPNIIDAGTVEKNKKSEMAKEICSRGEDDDEEEDETIIEYCSERNCDLDGDEESDLD >OMO51249 pep supercontig:CCACVL1_1.0:contig15921:39280:42132:1 gene:CCACVL1_29913 transcript:OMO51249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFKKIQKFALRFSTLHEEILKGEDESEPLDRDFRSEFSTQSEWEVAGAVEDLGAEFEL >OMO51247 pep supercontig:CCACVL1_1.0:contig15921:29598:31698:-1 gene:CCACVL1_29910 transcript:OMO51247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGKRSKRAANEQVPRAANEQVPRAVGEQAPRAVGEAVQPPTIGEAQTVSTRREGQTMPNHNVETMPNHNVEELLPPNLAEISSSKRVRGPTTGQGLERMLKGKNKLVIDIPEGKGRPICENKFQMKMDDEYVKKSVLSILQKLSRNQRYKLHKHFKKFSSAEVARQNKPVDGNLTQENWDSLCDLFSDPEYQRKEEQEPDRIEFFKATHYSEAKGWSTIAAQNAYEKMIQMLNETPEEGKEPMTVDEIMDKVLGTSSGYVKGLGYGPKPVKSSNAKVVEVNKSSKKTEMKLKKCQLKIKGMRAKMKSQMDAMRNALKEAGIMVPNIEPSESDESSESESSESSEESADSGTPPEIDSSNY >OMO51246 pep supercontig:CCACVL1_1.0:contig15921:17709:19901:1 gene:CCACVL1_29909 transcript:OMO51246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQRLLIRNLPFKTLPRCTFLPLFCLPNQNPKPGFDVRTLQTLKTPSNGAPDSFIVEKILFGLKQVKCWVEVFFLIWEKMLTEADNLLHEMAERAVFPDFYTFTTLIHGHCKDGNMNKALSLFEAMTRRNIKPDIVTYNTLIDGFCKVGEMEKAKQLWVGMISKKILPNHISYGTLINGFCNIGHVSEALGVWDEMVGNGIKPTLVTCNCIIKGYCRSGDARRAEEFLSKMTPEGIVPDSITYNTLINGFVKEDNMDKAFLWINKMESQGLFPDVITYNAILDGFCRQGRMQEAETVLRKMIEKGIDPDRSTYTSLINGHVTQDNLKEAFRFHDEMVQRGFVPDDNF >OMO51244 pep supercontig:CCACVL1_1.0:contig15921:738:6966:-1 gene:CCACVL1_29907 transcript:OMO51244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSAEVQTEENKVSLDANKKRIVKTPAQVSALEKLYKEDKFPSDEMKAQLAAQIRLTEKQISSWFCHRRLKDKRRDDTYANGRHDHSSGVIQDRGSGLRQDSCGSIKQGDYRNVDPREVESRRIYGQEFPAADLLYERRSHQNPYDAHMEDTSSESSLSLHDRHLSESRDSYDMQISANLTQNGASKQINPRSTKSMSYKPSGYLKVKGEVENPAITAAKKQLGKHYREDGPLLGIEFDPLPPGAFEFPNSNPLNEPISVGDPGQAHSPDISGVMKQPNPNIIKEVHDSKMRSQGPCMEGANLNTVHRSERRDRNSHHQLNYKSSFLCSKPFPGQNSSLDIYKGSSGKIPVINCKWSKMSSEPAVERLGSDSFSDHPGPYGGKIAIEQEKPWLHDDDNHTYKASKFENLSKTSSLVHGCSESLGTERGPSARMAKVEKLGGEGKPKREYPVRVKIDPTNELRVGKRVKVEFPTPDFLVNGSQARLPLLTNPTKGSSMDVPSSFSEDQTAETSSSLD >OMO51245 pep supercontig:CCACVL1_1.0:contig15921:8413:13630:-1 gene:CCACVL1_29908 transcript:OMO51245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A22, presenilin signal peptide MKNTERLANLALAGLTLAPLVIKVDPNLNVILTACLTVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIIALSATVLPAIKRFLPKHWNEDAIVWRFPYFRSLEIEFTRSQVVAAIPGTFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADSARPFSMLGLGDIVIPGIFVALALRFDVSRGKQSQYFKSAFLGYTVGLVLTIVVMNWFQAAQPALLYIVPSVIGFLAAHCIWNGEVKPLLEFDESKTAATSQEGSDNKSSKKVE >OMO92516 pep supercontig:CCACVL1_1.0:contig08207:23785:24263:-1 gene:CCACVL1_06829 transcript:OMO92516 gene_biotype:protein_coding transcript_biotype:protein_coding description:glutaredoxin-C6-like protein MQSLRCCSSDAVQLNLASLPPPPPSSSVSNTTANAMSSTISADLSIDEEESTETRIDKVCSLVGE >OMO92517 pep supercontig:CCACVL1_1.0:contig08207:33397:39769:-1 gene:CCACVL1_06831 transcript:OMO92517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAEGFKTILEPLGLLTSQEPIVHSQSHLASYNSVNKSPHNFNRFSTFT >OMP11599 pep supercontig:CCACVL1_1.0:contig01121:1127:1210:-1 gene:CCACVL1_00410 transcript:OMP11599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHAGTPNEIIEGKAQEVKTPNLSGNE >OMP11600 pep supercontig:CCACVL1_1.0:contig01121:2505:5344:1 gene:CCACVL1_00411 transcript:OMP11600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHSNKTQVEDHQESNEVEKTKICLMRTFVETQDPSSKEVDDLTLRRFLRARDLDVEKASGMFLKYLKWRRNFVPNGSISPTQVCNEIKQNKMFLQGSDKKGRPISVLFAARHFQHKGGVEEFKRYIVYIFEKIFARMPPGQEKFDVIADLQGWGYANCDIRAYLAALSLVQDYYPERLGKVFIVHAPYIFMTAWKIVYPFIDNKTKKKVCTASYKWEAKCSMFNLKGRRDGTLVLGIIIRVRAVYEHQTDLTPVTTVATKQKSLMGHSHEGCFISTELFG >OMO88069 pep supercontig:CCACVL1_1.0:contig09108:1956:2048:-1 gene:CCACVL1_08559 transcript:OMO88069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWSKSKTIQNNTNGPRKARNNKCSE >OMO68378 pep supercontig:CCACVL1_1.0:contig12229:16002:17563:-1 gene:CCACVL1_19971 transcript:OMO68378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVGPTCNDISLPSEEAQGHENSHPNPLKPVPSAAHSSPSRFLTLRQLNCLAVMIITAASGMVSLEDIVFLLFSIVYMYLLLKVAFPNINSPKDSMVFDPRNKILRLYVTVGAIVGLYLPVAYIFEGILEGDKEGIQAAAPHVFLLASQVFMEGLAFSDRFSIPVRVYVPVFYNSRRIFTLVEWLRSNQAF >OMO68388 pep supercontig:CCACVL1_1.0:contig12229:61484:64424:1 gene:CCACVL1_19981 transcript:OMO68388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTDVILHIYDVTNSGSDKTNSTILQINKIFKDGIGLGGIFHSAVQVYGDDEWSFGFCEQGSGVFSCPSGKNPMYTYRESIILGKTNFSKSKVNQILRELSREWPGTSYDLLSKNCNHFCDEFCERLGVPKLPGWVNRFANAGDAAVEIAETTALRFRQAKTEIVSASKVAYRFLLGVGSSGSNDSAGNSNRGSPRFQAAWFKNLITTGAKPSSSSENGNQDDSVQQLRQQNSARLTQQNIQDSERPSLHQRSQDSDLTIAPEFSRNGTTTATEFMA >OMO68386 pep supercontig:CCACVL1_1.0:contig12229:47480:50099:-1 gene:CCACVL1_19979 transcript:OMO68386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIHQDPNSFTDDKSESRLYIGNLDLRITEAALIKMFSPYGKIISEDFLWHTRGPKRGEPRGFAFIQYSTKEEAKLAKEKMHGRLACGRPLVVRLSSERDSEETAQNGSKAGGEAVKIGGAGSTLGQMSRSAKIAAIKNKLKALDEEREEKMLKDPRRLYAPGRLYHIVERKPFRLGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEREAQRALDLKLEKDHIMEIPPKQRMERQQTLVREHNEEHKAALQRAVSLSVPHAYAPSEYGTFDVFEHSEDSHKSTGETSDGSSGKSKSKESWNDLIERLFDKDESGQMLLKKSLRDD >OMO68383 pep supercontig:CCACVL1_1.0:contig12229:41372:41569:1 gene:CCACVL1_19976 transcript:OMO68383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENGQINGAKKIQSPCDVEALKKCLEENKGDYVKCQAQIEAFKSSCSLKKPDPSVQSTNSTRRI >OMO68385 pep supercontig:CCACVL1_1.0:contig12229:45609:46931:1 gene:CCACVL1_19978 transcript:OMO68385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MCFHGGKRRVFNRLGGDSTAPTDSSKNQKVCYHWRAGKCNRYPCRFLHGELPDPSTAAAATANGSGSLKRFSDDSGFSGPVAKRGPNYKNKNTWVRSKTDQKVCTDWMQGQCTHGDGCKFLHSWSLGEGFALLNHLDGHQEFVTGICWPGSDTVYSGSRDGAVRAWNSSSGECVGVANVGGEVGCMFSEGPWVFVGIPDAVKAWNTQTNSDLSLSGPVGQVYALATSDDLLLAGSQDGTILAWKFNAFITNNNFEAVPSLKGHTRAVVSLVVSLAAGAQNRVYSGSVDHSIKVWSLDTLQCLQTLTEHTDVVMSLLCWEQFLLSCSLDQTIKVWIGTNDGGVEVTHTRSEEHGLLVLRGMHDMESKPVLLCVCNDNSVRLYDLPSFSERGKIFAKQDIRAIAVGPDRSGLFFTGDGTGFKVCKWAEAEAEAEAEAIATSS >OMO68384 pep supercontig:CCACVL1_1.0:contig12229:42136:44280:-1 gene:CCACVL1_19977 transcript:OMO68384 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metridin-like ShK toxin MSSLFSHWNRKELRDKVVQEERVIQLRPSAESNTIDPSRVTQLLWRPRVFLYSGFLSDEECDHLISLGHGAKEGMLGMNDVQANVGTNRQLKSSATSLNTEDKVLAMIEERISAWTFLPKDNGKPLEVRHYGHEETKQNLDYFGNKSALALSEPLMATVVLYLSNVTRGGEILFPHSEPKSKMWSECTKTSSILKPAKGNAILFFTTNLNASPDGSSSHARCPVLEGEMWCATKFFYPRAVNREKVPFDSDGNGCVDEDTNCPQWAAVGECQRNPVFMVGSPDYYGTCRKSCNAC >OMO68382 pep supercontig:CCACVL1_1.0:contig12229:36871:40906:-1 gene:CCACVL1_19975 transcript:OMO68382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNQGRKARVIAKIKGFTDLEPETADEASRRWISVHKPNGDDSQTVTVSFGDQSASRKESYELDYCYDRKEGNSLVYEREVKSLVKDVFSGENATVIAYGARGSGKTYLIQGSEEEPGLAVLAMTEILSLAEESGKLITISCFEICKDHAYDLLSPERQEVFVWEDVAKRKIQLQGLSQIPVKSIQEFQKLYLSGQNLHKQPHKILAEPHQRSHKGLIIHVFSGDKSDALPIGKMNFVDLAGYEDARRKSTDGVNLLENNKINKSIYALHNVIYALNANESHVPYRESKLTRMLHDSLGWRNQILMLTCLNSSFCRDSLYMASLASRSCKGANRFIPDSTKKAKSMVRTTLHSSYKKGIPGSVSATVTKQIGTRVRITENKGNGKFSAIRGRKLFDEACHSTKSNMATKEESLSLEVVPATKQNIQEEEPSPLQAVSAEETEKAVKAFSTEQTKMPNKVVWTNEDNHDEATPNINSNAKAFLCVVADHTMDKENQLLVANDNESPPISARLQELSSNLKLLYSTTPSCIEIPPKMDIYFDSQVSTEILEPKTPEPSLSVNDKLEIEEASCSPWKAFSAYSSKMKTSLVDEYLRFLNTASKEDLKRLKGIGEKRATYIVELRDESPEPFKKLDDLKDIGLSAKQIKGMMRKEIGELFS >OMO68392 pep supercontig:CCACVL1_1.0:contig12229:78342:84937:1 gene:CCACVL1_19985 transcript:OMO68392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKPHFGGGEVFGGGYSGDQAGSGPPKPFESSLIHK >OMO68379 pep supercontig:CCACVL1_1.0:contig12229:18692:22007:-1 gene:CCACVL1_19972 transcript:OMO68379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAKLKAANSADVMKSEESNDSLDTFIRQAIGKEPFLSFSRAGDSPVQWIQLLHALDQQDLPGWPLLTPLKGSSKGCWFQLLDSAKNRDLLAAFWDKLSEDEAKEVMSFQDVSLEEVPGSSVVKSLIALVKRPGFSALPQVCLRAGCALLDIVQARPSRFPISSQELFSILDDASEKTFLCGTAVSMQKYIFDGEAGKIGLETKNLVACTSFLVEQKLVKAWLADKDAEALRCEKLLVEEEEAAQKRQAELLERKRQKKLRQKEQKAKEQRHGENEENEEVKQNMDDSLEVDTPAETSSPLAASYCDSENSVRAADQVLSSAEPIQYSNAEEDVDDETQMGFSNGYCDPGNSQNVERRMEQSGGRRHIAVARWQAPPKSMRGLPNGFHASQNSHGFKLGATNKHGTNRERVAAVGNSNKMWSRKPKAVNDGEGLKTRAEKEAAKQQDQNINHEVLIGSISVTLGNCRHHEGSNLAEARDGCLEECQIPKKNNVQEKSNKLDPIQGVTNRSTIKFWRPVSRHESKSSLSVQNSIREFEVEVIAEKDGDQASSNDCCLRSCATDGSNGVVSTDFASTLKESVQPGSLHFDSNAAKAFLAQRWKEAIAGEHVTLVVSPEPPGFAEVEIDSSEKSVAKAGVFEASTGGAAKGKFRTKPEKGAKIKYIPKQRSAT >OMO68391 pep supercontig:CCACVL1_1.0:contig12229:70416:74876:-1 gene:CCACVL1_19984 transcript:OMO68391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPDSCTFQANFLGEVKIDVRLPKCKAPSRRKKAVFEKWILASQKERHSLLLTAQARKREEECKFVKLKNPNQKQWIHFVGVGGCGLSALALLAVKQGFEVSGSDIRWSSFMDGLEQAGVRLHVGHSMSNVESNNGLRFPNAIVVSSAIPQDNVEILHAKSMGIPVYKRDYWLAKITEHHKLIAVSGSHGKSTTAGLLAYVLKAMGDDLTAVVGAHVPQFPGRNIVSGDSQHFVLEADEYDYCFLGLSPYIAVVTNVDWEHVDIFQDEVSVKTVFRRFLRKIRRGGHLVICGDSLGAYSLLDCRSEGPEPEHLSGARSIPSSDIVGCNIITYGISSTNEWHASSICPNSKGGSDYILCHRGQPLAKISLQIPGVHNVLNSIAAIATIMALLHDQRQINELINSLTLHLPNFIGLSRRFEMIGKIHGCHIYDDYAHHPTEVYMVLQAARQRFPMKRLVVVFQPHTYSRLAALKDDFAQALSNADHIVVTAVYSVREVGNWNVSGKDLTDSIIGPLSEYIPALEDVIDKLALEISKDPLRQILILTLGAGDINTVGPKLLHELQKRSCRVNSKHKLPIPALILA >OMO68381 pep supercontig:CCACVL1_1.0:contig12229:34257:36539:1 gene:CCACVL1_19974 transcript:OMO68381 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP binding protein METTADPSSDITIDGPDSSTVPPNSDHDLLAELESLRESYHLLQSKSSSMEDNLRILQLQRDEAIGQSTVLTGKVHELSLERDFLRHQIEEFEVALKENEEEFARKIEDETRAKFELEKHLEVSRGRIEELESETRVRNEILLAKSLDSIRSVKDNLVKLIENLDDDKEAIDNADDESEELEIKDEELRIFSTEITRVWKLASEANSKVNEYKEARKKEKRELESSVVSLTEENRDINSLLRIALVEKEAVEKSLNKLKGNNEQKRVALLQIAERGLQRVGFGFMMGSGSHEQAIETSGISSSTASTTDSECEEEVVSLASMVEKIMKNLRLEISQLRRSLEESRSDNERLQSLTQKQAQMIEENTLYITELEDRERVLTQNVDELLMEIKETEAEVARWREACELEVEAGKKEVEERDKLVLILKQELEKTKAALEISNGKLKLKEELAATAMAAQAAGERSLQLADSRAAQLRDRVEELTKQLEEAESRERNRRKVRHICWPWRALKMNITNSNNTNNRVQNVKRMLPEMQALLHHTV >OMO68377 pep supercontig:CCACVL1_1.0:contig12229:13131:15955:1 gene:CCACVL1_19970 transcript:OMO68377 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MSEGAMAVVKPEMKSYIWLQTTDGSIQQVEEEVAMFCPMICREIIQTGTGSSKNYAISLPQRVTPASLGLVLDYCRFHQVPGRSNKERKTFDEKFVRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLKNITDDPRIRLLNRLYARKRKELKEREKLKNVEVEEEHVDERSVDDLLSFINGGDQDSKGVKTTKNKKKSRRRKEHLKDSSDNIDVNHNKELDDATAGCSQGNIDHVLVPSPSKTAELQDSAAVIFSPKVEFDDADMDDDIDPAMKEEIDREVEDFARRLNSDWPERMQEILSLGHERRLVPMSVNGDNPMSRFTMLEPFRY >OMO68390 pep supercontig:CCACVL1_1.0:contig12229:68505:69500:1 gene:CCACVL1_19983 transcript:OMO68390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQLFSANPNPILLNPSLKPVLNHRFLKPQKPCQKRKPAAISSVLQWNRKPELAGETPRVVVITSGKGGVGKTTTTANVGLSLARLGFSVVAIDADVGLRNLDLLLGLENRVNYTVVEVLNGDCRLDQALVRDKRWSNFELLCISKPRSKLPIGFGGKALVWLVDALKAREEGSPDFIIIDCPAGIDAGFITAITPANEAVLVTTPDITSLRDADRVTGLLECDGIRDIKMIVNRVRTDMIKGEDMMSVLDVQEMLGLSLLGVIPEDSEVIRSTNRGYPLVLNKPPTLAGLAFEQAAWRLVEQDSMKAVVVEEEPKRSVLGGLFSFFGG >OMO68393 pep supercontig:CCACVL1_1.0:contig12229:89813:91583:-1 gene:CCACVL1_19986 transcript:OMO68393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MAAGLSAAITPKPFRSFLLSSRLAPKRTPLFHPLVQRPTHGVVGRKAKFTVCFVLEEKKKDVPQIVNLVDEELEEAVRDSQIIIPARVAEKLARKRSERFTYLVAAVMSSFGITSMAVMAVYYRFSWQMEVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAIVNAVPAIALLSYGFFNKGLVPGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPIANVPYFRTVAAAHQLHHTDKFNGVPYGLFLGPKELEEVGGLEELEKEINRRIKSSKGSS >OMO68380 pep supercontig:CCACVL1_1.0:contig12229:26164:32108:-1 gene:CCACVL1_19973 transcript:OMO68380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLVEEIEGEREHDVEETIFVAVGKNLEKSKTTLFWAVNNFPGKKICVLHVHRPTHVLALTKGKLARNKLKQHAIDAFQKLERQKMREGLDEYLLILDDAGLQADAVWIEMDSVENGIVEIIRQHSIRWLVMGAAADKCYSMNLVELQSKKAIFACQEAPISCHIWFVCKGCLIYTRIGSKDRTNKEIATSSPPLESPVRTDQLELLPSESVLGVRSLEPSSCFPQGITLLQSCADLEENTDVMEEKLRPVAGYLEHPNLPSNGVVDTCGSSSSQTDEEEEYQVQASNGTDQPLELSGMDDHDLNRKVFADTVKQWKEENDAMEAEFQAKAFECLHTKEMSRRKEAEKLLEREKQEVQKMNDQRNEIMKELQMVKDQRKVLENQIAESEGTVEELEEKIISAVELLISFRKQRDNMRIEHENAIRKLKDLRKLVNGNNATFPGTEILKFSFLEINNATRNFDPSWKIGEGKYGSVYKGLLRHVHVAIKMLPSYGSQSLLVFENEVEILSRVRHPNLITVIGTCPESRSLVFEYLKNGSLEDRLACKNNTPPLPWQTRIRIASEICSALIFLHSNKPCIPHGNLKPSKVLLDANFVSKLCDSGIYSLIPLGENGDISASSCAESKADSVYMDPDYLENRKVTRESDVYSFGMILLRLLTGRPALGLLTDVKCAIETENFNMVLDRSAGDWPLEEAQLLAYLAIRCCEKESMSRPDLESEIWTALGPMRESSINSASCVGAKDLRRIPSHFVCPVFQEVMKDPLIAADGFTYEADAIRGWLNSGHDRSPMTNLQLEHCNLVPNYALLQAIQEWQQQW >OMO68387 pep supercontig:CCACVL1_1.0:contig12229:56412:58895:1 gene:CCACVL1_19980 transcript:OMO68387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKGFHLFSLILLSLLCFSTSFIPTNNFLLNCGSNANASFYNRIFLADSAKPGSLSLSAEGSVSLTDPNPSPSSPVLYHTARVFTKDSTYKFNIKKNGTGTHLVRLHFSPFQAQNFKLASAKFNVLANGFLLLSAFTANGMVLKEYILNIDGEFLEIMFSPLEGSGFAFVNAIEVFSAPKDFIIDDGARLIDGNGIEDYKNLTTHVLETVHRINVGGLKLTPFNDSLWRTWIPDDGFLVFQPAAKRAVTTHVPNYQSGGATREIAPDNVYMTAQEMNRDNSSLNNRFNITWDFPVGFHGAPYLVRLHFCDIVSPALNQLYFNVYINDYSAYRDLDLSMLTVHVLSSPVYIDFVAYSDASGVIRISVGPSDLSNPSRINAILNGAEIMRLVDVKVSQTGSKKKNIWMLVGFIVGGFVIFCLAAVAVVLAYKCKKKKPKPPRRVESAGWTPLRVYGGSSYSRMSEGTVATSPGPNGYHSLKIPFVDIQAATNNFDRSLIIGMGGFGMVYKAVLKDNTKVAVKRGVPGSRQGLPEFQTEITVLSKIRHRHLVSLVGYCEEQSEMILVYEYMEKGPLKNHLYGSKHPPLSWKQRLEICIGSARGLHYLHTGSAQGIIHRDIKSTNILLDENYVAKVADFGLSRSGPCLDETHVSTGVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAVDPLLTREQVNLAEWAMQWQKKGLLAKIIDPHLVGQIKPSCLKKYGETAEKCLAEYGVDRPTMGDVLWNLEYALQLQESGPEAPQEGSNINGMDCPTSSITPSSNARTEKDDGSGSADLTTSQVFSQLMTNEGR >OMO68389 pep supercontig:CCACVL1_1.0:contig12229:65566:66198:-1 gene:CCACVL1_19982 transcript:OMO68389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKACNKVQPAVRKAKKKQVKDELDRIKQAEKKKRRLEKALATSAAIRSELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLLGEDSDDSCKIMINKEEGFNRWDYAGNFDNLFMGGGRACLPHQVYTNCEGWVSNAYRAGCEWAEIGNNDWSFSYGAYGRDLHAPHFEDEGWGSAGLSAGLLAAQAVSALQITEDAEVDTIVLNGMLRG >OMO88337 pep supercontig:CCACVL1_1.0:contig09035:3761:8096:1 gene:CCACVL1_08464 transcript:OMO88337 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRA-YDG domain-containing protein MDSVRPKLGNNGSFLKPKLESQAGKRVVHNGVDFKRVVFNEEWYANRRKIKEALSFYRRLLVDRELFAKLEKETNLDGEKKSGVATSIVNSGRYDNVEGITPNTFTYCGEGENPNFGRKPKDQKLAGGNLALKNSSVSKMPVKVIRKVNTFDDSISKQHSDSMAAASEGNDCGYKYVYYGLYKVTKYWSERKGHSGTIVYKFSLKKVDEKEPEHEFGGNETEQGMVML >OMO51410 pep supercontig:CCACVL1_1.0:contig15846:8366:10960:-1 gene:CCACVL1_29810 transcript:OMO51410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MDPSSIISLLSLVLEYVTPIVTREIKLITGARDEVLSLHAELQFIFQRLRKSKDRLAEDEVLRTQIESLAYESKGVIARFVAENDIQKQRNWAEKTKNVVHHVKTLRDVAIKVQNIKHRIEELDALERKYGNDHQGGNRNSEKAQWLEKLRRDVEMENVIGFDVAERKVIEMLTNKRLRRDVISIMSMAGSGKTTLAKKIYGHTGIAQHFLHRAWVFVPRRYRIRDLLMAILENLMSIGDETSKLEDAELAEKLTKCLESKRYLISWDLLEKLVFKDEKCPQHLVKLGKQIATKCDGLPLAILSVASLLARNREYLHCIPEHLKKCFLYLGAFPNGSEILARQVIYLWIAEELVKAKGGEKLEVIAKRYLEELIDLSLIQVGRRRSDGGVRTIRVHGLWRYFCIDKNRKTNFLDFQKDESGNPHSDGPVPRKVSIQYEVSRYISSNSQHSSNIESLLCFCNKGSLGTREWTLLCQRFKFLRVLNLGSTSFVEIPKNIENLCLLKYLKMNPPCRDPKSLTSSICRLPNLQTLDMRNTNGFTCVPRLPSDIWGMQNLRHLLLPRLTTLPKSGDPYQCLYRLQTLSDITPDRNTAALIIGSKFHNLRKLTLYSEDKEKTKQCLERLYKLDHLENLKIVNQVVFPKLATAFPKSLVKVTLVKTNLSSDQVGMFQNLPNLQVLKLLTKSVVGEKLEMGAGSFLQLRFLFMEEIGIRSWVIGYEALESLEELNITRCHELHTLPKQLWYLPSFRKVKLNSPSQSLKRNLDEQLRLQPSDQIQIHF >OMP09170 pep supercontig:CCACVL1_1.0:contig03353:951:1040:-1 gene:CCACVL1_01073 transcript:OMP09170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNVLRFDNTSTLIQSIRTLEWTDSAIYTQ >OMP06673 pep supercontig:CCACVL1_1.0:contig04860:7070:8101:-1 gene:CCACVL1_01474 transcript:OMP06673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEGGAIFLKAINCEGEYKDKQFVARLMKEPIADVGAQNVVQVITDNTPVCKAAGSLVEAQHTHIFWTPCVVHTLNLALKNICAAKNIHQNEVNYDLLSWITKVGDDAIFIRDFIMNHAMREDDVSKAERVKEIILNDEWWDQVDYIVSFTTPIYEMFRIMDTDRPTLHLVHEMWDEMIEKVYSNEWLSEVSNRPPPHKDVEISEKK >OMO69513 pep supercontig:CCACVL1_1.0:contig12021:3543:6706:1 gene:CCACVL1_19457 transcript:OMO69513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGTKRKAASSKQEEKEEESKVSSSNNKPAPKAKRAKTPKPEPEYFKDKRNLEDLWEAAFLVGTEWDQLDSVYQYNWNFSNLEDAFKQGGKLHGKKVHWTYIKPPSRKIGITSVQSAAEVVVPMKEMKMDWVPYIPLENRDSQVGRLKSQMYILHCTQRRAALKHLEEDHIKKYTYCLPYFKNPLKEDELEQSNEVIINFPAEPRPVICEFDWELDELDEFTNKLIEADELDNDQKDAFKEFVKDIVRKAKKNIREKREEREEKLEKMREEKEQAYQNVRFYKFYPVQTPDTPDVSNVKASFINRYYGKAHEVL >OMO69512 pep supercontig:CCACVL1_1.0:contig12021:66:2647:-1 gene:CCACVL1_19456 transcript:OMO69512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSGYLNQVIDVLNQLLEKLRMAFSGSDHPYDINQIIDKRIRIFQEIQAEQKSQPLPHEPIRITLPDYNELVKEGTKWVSSPMDIAQGISESLAAKALISSVNGVLWDMNRPLEGDCELRIIPFDSVSDSDIVLDTLWRSSAHILAQGFYYDAFYGDLGLNGDHLEQIEREALRAVEVMSPNIYNMDLWKTSGHAANYKENMFVFELDFQLPERFNLEYLAEDGVTKKRPVMIHRAVLGSVERMFAILLEHYKGIWPFWLSPRQAIVISVKENSHDYAKKVQELIHEAGYYVDVDLTNRNLQSKIKEASLAQYSYILVVGGKESETNTVSVRVNRKDEGPRSMQDLLQHFKAETEAFR >OMO69514 pep supercontig:CCACVL1_1.0:contig12021:12379:12750:-1 gene:CCACVL1_19458 transcript:OMO69514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide binding protein (G-protein), alpha subunit MWIAPESCGSGALLQIKMMQTKELFETMIRHPCFKDTPFVLVLNKYDLFEDKANRVPLSTCEWFNDFSPVRPLHNHQSLAQQAYFYIAMKFKDLYASLTGQKLFVWQAGQGTIALPLMKHSCI >OMP11383 pep supercontig:CCACVL1_1.0:contig01343:3480:4823:-1 gene:CCACVL1_00554 transcript:OMP11383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESRKYISKAELETHKKPGDLWISIQGKIYDVTEWSKEHPGGSLPLLNLAGQDATDAFVAYHPTSAWQYLDKFFTGYYLKDYSVSEASKDYRKLVAEFSKMGLFEKKGHVTCISLCFITFLFCISVYGVLCCESVWVHLFSGGLMGFLWIQSGWIGHDSGHYVVMSNKKFNRFTQILTGNCLAGISIGWWKWNHNAHHIACNSLDYDPDLQHMPFFVVSSKFFRSLTSCFYERKMNFDSVSRFLVSYQHLTFYPVMCFARINLFAQSFILLFSKRNVPNRGQEILGLLVYWIWYPLLVSCLPSWGERVIFVLASFVVTGIQHIQFCLNHFSSNVYVGPPSGNDWFEKQTGGTLNILCSSWMDWFHGGLQFQVEHHLFPRLPRCHLRKISPFVKELCKKHKLPYNSASFWKANAMTLKTLKTAALQARDLTNPVPKNLVWEAVNTHG >OMP11382 pep supercontig:CCACVL1_1.0:contig01343:772:1481:-1 gene:CCACVL1_00553 transcript:OMP11382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKNGDNNDNNNSSAASQACAACKYQRRKCNPNCILAPFFPPSRAKDFLNAHKLFGVSNIKKSIETLDPPQRAIAMKSIIYQANMRASDPVGGCYRYIFELQSQIEWITAELNLVNQRLAICKAQAAAAAAAASQEQPLMEVGQEEEVNPILGLEGLNNAYVDQQRHEAEKHDQGKVYEGSTSSLSQVYNVFDTNSVKLEMEASVPLSDISEDIKPLLAVFDDKGAEKFPFDSKVC >OMO57998 pep supercontig:CCACVL1_1.0:contig14286:374:6137:-1 gene:CCACVL1_25626 transcript:OMO57998 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MSSLNEYQRMVMDSGLYEFRGNENESNNENVFEEMPNPSAARFFSLLKDADEEVWEGCTTHSKLSAVSQLLNCKSESNMSDVTFDRLLSIIKDLLPGGDKLPPSFYRTKKMMSNLGLGYQKIHACVNNCMLFYKDSESILECSVCGHPRYKSMKMVGRKQKGIPYKVLRYLALTPKLRRLYMSTKIAEHMTWHAFNRSPNGELRHPVDSEAWKHFDRTYPWFAQEVRNVRLGLCTDGFSPFGPNAKPYSCWPVIITVYNLPPWMCMKQPYMSLNMIIPGPKNPGKHIDVFLRPLIDELSHLWNVGVETYDAFRKQNFKLHAALLWTINDFPAYGMLSGWSTHGRLSCPYCMENTKAFQLKHGRKTSFFDCHQQFLPPNHPYRKQRDKFSKRVENDEPLERLSGEVIFHRVNALPDFVFGTESGKQTFEGFGVTHNWVKKSIFWELDYWHTNLVRHNLDVMHVEKNVFDNVFNTVMDVKGKTKDNVKARMDLPLYCKRPDLELQTRDGKVYKPIASYTLSSDQKKLVYEWVQQIRFLHHLKKKVRNRNYVEGSICEAYLIEEISTFCSHYFDINVQTRLNRAPRNDDGGDMDPKGRLSIFTHLGRPLETKGSHRYLNDVEYKAAETYVLMNREEIAPFIEETPTISDRELEKYRENNFSTWLKQVVNSNDVDSRIYELARGPSHIATFYKGFFVNGFKFHTELYGQHKKTMNSGVWIKGSCYNDNERDFYGVLVDIIELEYLGDGNKIVLFKCRWFDIVRGVVVHPRHGLVKVNHKSRLASNEPFVLAAQALQVCYSPYPSNKGPRQDWWVVFKVKARSRYDWVSNHEVDDVSKPESIYQEDLFAPSTIHPSKEVDREGILISGYMEEVGVIQQHDEEEESEEESEEELEDEEEEDILESEEEPNDEVVDYMSNSSYSLSDFIVSDLIGKMSGGNVKKLQFKSKKKRKLTHSSSSDQPTVVQQFPTDQTFMETETQPFVEPSSTEIPGDRVAATNDRNREDGVAMAAGIPKKGRGSNHGTTTPSVPSMRTLPTILDGSSMNVPSNSVLNHVGGVAQAAANQNTETQPSVESSSTEIPGDGVAATNNGIREDGVAMAAGIPRRGRGPNRGTATPSNPSMRKQLTILDRSAFSDPKVVTNISSILKGNYNHPWPTWSQVDKRVKYLLWKTFQDLYTWEVHENNLVEDIWDLKCDERLRDGFCKARKRARELARTKDWNALKPFNPKWIPNPVWVRLIEDVWSKEEWEKNSEIASANRKSSADGSISKHAGGSRSFHAHKEALRLENNGREPTHAEVFEKTHKRKNGKFVDSKSKRVCKKYKSLLKDKYGENVTQQPQFDADFWKESIGKVNYGHLYGFGTLQNPKELLGSSSTNTEAPSMNASSQLPQPSEELMNELVNQCLAKLSSMGVIPIVPPTSGGVEVPSPSNVANQSASALDTDQIRLSASPGNIERTSSSPNHSIPQLDSELNHENVSNEGEGTRSEDDGNEWFDK >OMO69238 pep supercontig:CCACVL1_1.0:contig12086:5366:6133:1 gene:CCACVL1_19586 transcript:OMO69238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MEVKQPGNSSTMRKTLLLINCLVLAIGNCGSPLLMRLYFVHGGKRIWFSSWLETGAWPLILFPIACAYVHRRKTQPGVKTKLFLMEPPLFMASAAIGVILGLDDYFYAYGVARLPVSTAALIIASQLAFTAAFAFLMVKQEFTSYSINAVFLLTIGAGVLALHTSSDRPENESSKEYVIGFLMTVAAAVLYGFILPLVELMYKKAKQQLSYALVLEIQMVMCLFATLFCTVGMLVNNDFKVSFLSLFFLSLPSFS >OMO69239 pep supercontig:CCACVL1_1.0:contig12086:16631:22599:-1 gene:CCACVL1_19587 transcript:OMO69239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVESAIYGGDGVRKLSTMFQLLNRESALHSCSFGIGKHVDLTATGMPLGERYFHATGPCCSAKQDYYEILGVPENATQNEIKKAYHALAKKYHPDANKNNPSAKRKFQEIRDAYETLQNPEKRREYDMMRGGSAEDMEYGANDAEGFKFYDNMGGQQGFRYTFRTNFSDSFSKVFSEIFEDEMNQFTPDIQAELLLSFSEAAKGCTKDLHFDAFVPCDSCDGRGYPPNAKVKVCPTCRGTGTVTIPPFTSTCLSCRGSGRVIKEYCKSCQGSGVVEGVKEVKVTIPAGVDSGDTIRIPEAGDIRRQGGQPGSLFIKIKVADDPVFARDGADLYVNANVSFTQAILGGKVEVPTLSGKVQVKIPKGCQHGELLVLRGKGLPKHGFIVHHGDQYVRFRVNLPTAINDRQRAILEELAKEEIDNGNDSNVEGNWWETIVEHVKDSKFVIPLSLVLMLLFLTRTMG >OMO69242 pep supercontig:CCACVL1_1.0:contig12086:31728:34283:-1 gene:CCACVL1_19590 transcript:OMO69242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGIDSNDFIYGMNDNIVDCVENVDQEDETGLFDSHGENNENHDKDPQVGMEFDSPENAYSLYKKYAKKMGFGVTTRSSRRSKLSGQFIDVKYVCTRHGTKRETNAVNPRPCLKMECKAMFHIKRKHDGKWFLHNFIKDHNHDLFPAHSHFFPCHRSINSAKRYDINTLHTVGVQTSKIFAAMAKQHGGYENIGCLEKDIRNHLDKERCLALESGDANAMLEFFMHMQEKDPNFFYAMDLDDEHRLKNVFWVDGKGVFNIPSRYILKRWTKDVRTKYKKGSGCEELQSKKQRRDALFDRATELIEEGSLSLESYNIAHQALQDALKRCSSVNHSLNLSNKDACGANESHDLGKENFDCNILHEKKILDPKDTQSKAGKSKDIGNNFPKDCSSNSGTQDVVATITNILCNNTSASDNTFDMWLKGL >OMO69244 pep supercontig:CCACVL1_1.0:contig12086:41341:43100:-1 gene:CCACVL1_19592 transcript:OMO69244 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein transport protein SFT1 MASTSNRTGGGSFYGGAAPYRSREGLSTRPVASSDEIQLRIDPMHSDLDDEITGLRSQVKQLRNVAQEIGSEAKFQKDFLDQLQMTMIKAQAGVKNNIRKLNLSIIKHGSNHIVHVVLFALFCFFVVYMWSKFSRR >OMO69241 pep supercontig:CCACVL1_1.0:contig12086:25715:29312:-1 gene:CCACVL1_19589 transcript:OMO69241 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAICAR synthetase MSSQNQSQSQPQTQSLDALLNSGRKEEVFATIKGAVDNCLSETNLHLTVPDLKSKTRGKVRDIYDSGDYLVLVTTDRQSAFDRILASIPFKGQVLNETSLWWFNRTQHITPNAVVSVPDKNVTIAKKCSVFPVEFVVRGFVTGSTDTSLWTVYNNGIRNYCGNVLPDGLVKNQKLTANILTPTTKAEDHDVPVTPDEIIERGLMTQADFDEAREKALSLFDYGQRVALEHGLILVDTKYEFGKGSDGSILLIDEVHTPDSSRYWIANSYEERFQKGLEPENIDKEFLRLWFKNNCNPYEDEVLPDAPEELVCELAWRYIFLYETITNSSFEIPLTEEPIHDRISRNVLSALSSLQ >OMO69237 pep supercontig:CCACVL1_1.0:contig12086:1483:3438:1 gene:CCACVL1_19585 transcript:OMO69237 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MKKALLLINCLVLAIGNCGGPLMMRLYFLHGGKRVWFSSWLETGAWPVILFPIACVYVHRRKTQPGAKLFLMKLPLFVASAVIGVITGFDDYLYAYGVARLPVSTSSLIIASQLAFTAGFAFLLVKQKFTSYSINAVFLLTIGAGVLALHTSSDRPENESNKEYVLGFLMTVAAAVLYGFILPLVELMYKKARQELSYALVMEIQMVMCLFATVFCTVGMVVNNDFKVISREAREFGLGETNYYVLAVLTAIIWQCFFLGAIGVIFCSSSLLSGIVIAVLLPVTEILAVIFYKEKFQAEKGVSLALSLWGFFSYFYGEMKHAKKMKPTTTAETELPQAQQVPIRLESA >OMO69247 pep supercontig:CCACVL1_1.0:contig12086:65269:71002:1 gene:CCACVL1_19595 transcript:OMO69247 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxamine 5'-phosphate oxidase MICSLLTQGQAPVSVAYYSSPSSSISKPKISAHFPRFSPGLLGPISSPAIRAFCSKSGQGGMASSLNQDSISYLSQREAAEVDETLMGPLGFSVDQLMELAGLSVATSIAEVYKPSEYNRVLAICGPGNNGGDGLVAARHLYHFGYKPFVCYPKRTPKPLYSGLVTQLESLSIPFLLADELPVDLSKDFDILVDAMFGFSFHGSPRPPFDDLIRKLVQLHDYGQIQQRPPVIVSIDIPSGWHVEEGDIGGEGIKPDMLVSLTAPKLCAKKFSGPHHFLGGRFVPPSIAEKYELQLPPYPGMSMCVRIGKPPQIDISALRENYISPEFLEEEVEADPMDQFRKWFDDAMAAGLKEPNAMALSTTGKDGKPSSRMVLLKGVDKDGFVWYTNYESRKARDLSENPHASLLFYWDGLNRQVRVEGSVQKVSEEESEQYFHSRPRGSQIGAIVSKQSTVVPGRHVLHQLYKELEEKYSDGSLIPKPKHWGGYRLKPELFEFWQGQKSRLHDRLFTSIVLPQYWAVKYE >OMO69240 pep supercontig:CCACVL1_1.0:contig12086:23168:25002:1 gene:CCACVL1_19588 transcript:OMO69240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGSIFSTLKRSGGDKLSSATWRRLISSVPSPTYEEEKPPVKTASNSSGRRSLLKSGILGLKLPTEITTEVLQEWVDSGHRIKILELRFISKFLLRFKRYNQALQIWKWLETQKGLQLSAFDHANILKLLIKVQGLTPAEDYFDGLPNTASQKAACVPLLHGYVEERNIEKAEALMKAEAVYEEMRGDGNVKVGWSTLTTLAIIYIKAGLVEKAVAALKSAESMLSKRNHFPLFLMTQYATLNHKDEVLQLWEASKEACMRITWANYKCILSCLIKLGDLVEAERVFREWESNCQNYDIRVSNVLLGAYMRNGWVEKAESLHLHTLEKGGCPNYRTWEILAEGWVRSHDMVKAIKAMRNGLPMFKDCHLRPSQSILLAIAEYFEKDGNLEDANNFFRDILGKGLASSPIYKSLLRLHLSAKRPAHDILEMMDKDKIEMDDEISTLVEAFEKQVKLTPEIDVNANAT >OMO69246 pep supercontig:CCACVL1_1.0:contig12086:63143:64586:1 gene:CCACVL1_19594 transcript:OMO69246 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydrolase FSH MEYYYIFQGAILSGGLPGLQAKGVALTKVPKIKNLIIIGGAKFKSESVAADAYSSPIQCPSLHFLGETDFLKPYGLELLKVCVDPVVIHHPKGHTIPRFGDEKGLESMMNFLDKIQQELPEKQEREIDYKEDALEA >OMO69245 pep supercontig:CCACVL1_1.0:contig12086:51392:52289:-1 gene:CCACVL1_19593 transcript:OMO69245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MSILSKLSRNQRHKLHLYYKKFPSDEEARRNLPNGFNLTQDDWEEFCNLFSDPAYQCEKAKMSRQQVKFSQNQGSRAFVASRYAKRTNNQEPNRMEWFKISHHSEAKGWKTHWAQEAYEEMERLLSTPVEEGQEARTIDSIVDQVLGTRAGYIKGLGYGPKPMKKTKTSNDTDSELSKELEKTKTDFEMLREHMHVMTQAMVAA >OMO69248 pep supercontig:CCACVL1_1.0:contig12086:81713:89887:-1 gene:CCACVL1_19596 transcript:OMO69248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MDDGMKQLQQGLTDLEIEAEHLLLARHQLVENDKVRNGNREALTALRKRARTTKTSVPSPFESMMKNIGGSGSRTLVTEVCSTCGNHDSNERTWMMFPGTDVFAKIPFHAAHTILETGFDKQRLQGLSLMRQNKAEQMSEDDERQESPPSDLEFSSNKARNYNATGSGSGQPEIQSPYSDQVLENVLENVLHFLTSRRDRNAASLVCKSWYRVEALTRSDLFIGNCYAVSPDRATTRFTRVRALHLKGKPRFADFNLMPRDWGAHFNPWVLSMAKAYPWLEKVYLKRMSVTDDDLAMLSESFTGFKELVLVCCDGFGTSGLAIFVSKCRQLRVLDLIESEVVDDETDWICCFPEGESHLESLIFDCVESPINFEALERLVARSPALKKLRLNRHVSIGQLYRLMIRAPQLTHLGTGSFSPSEVAGQGDQEPDYVTAFAACKSLVCLSGFREIVPDYLPAMYPVCANLTSLNFSYANITAEQLKPIIRNCHKLQVFWVLDSICDEGLQAVAVTCKELRELRVFPFDAREDSDGPVSEVGLQAISEGCRKLQSILYFCQRMTNAAVIAMSQNCPDLVVFRLCIMERHKADHVTGEAMDDGFGAIVKNCKKLTRLAVSGLLTDKAFDYIGRYGKLVRTLSVAFAGDSDMGLKYVLEGCPQLQKLEIRDSPFGDAALRSGLHHYYNMRFLWMSSCRLTRQGCQEIANAMPGLVVEVFRSSDEVFRCSDEEVMDDSVGTLYMYRSLEGPRKDAPKFVTIL >OMO69243 pep supercontig:CCACVL1_1.0:contig12086:37171:39630:1 gene:CCACVL1_19591 transcript:OMO69243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRKGSETDVSPEVTNNFVSSCGSRSSNIRSHFSLEDYARLKKRCKEDVDAPPIGSCKSRLAGIATAPPCGASSLVPPGRGLKRKIGCIEVITRIGRKKKIEDDYVKGDTIGQGKFGSVWLCTSRSSGVEFACKTLRKGEETVHREVEIMQHLSGHPGVVTLQAVYEEPDCFHLVMELCSGGRLIDQMAECQYSEQRAANIFKDVMLVIKYCHEMGVVHRDIKPENILLTTSGKIKLADFGLAMRISNGQTLSGLAGSPAYVAPEVLSGNYSEKVDIWSAGVLLHALLVGFLPFQGDSLKAVFEAIKNVKLDFHSGIWESVSKPARDLLARMLTRDVSSRVTADEVLRHPWILFYTERSLKTLSIKSKSKNQAGSSIEISSSSVTELSLRRINGGFHSRRPQSILTSNSSSCKSEEQDEHGVVDVLAVAISHVRISEPKRTRLCSPTGPIEQQCSSNLTANNLCRAF >OMP08834 pep supercontig:CCACVL1_1.0:contig03584:1404:1607:-1 gene:CCACVL1_01089 transcript:OMP08834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKLVSEDTFSAAYGVHNLSGGANDCISAMKAANLQAPQIETANRELQDFFDWGSELTRTFFKFKY >OMO98933 pep supercontig:CCACVL1_1.0:contig06979:20952:23122:-1 gene:CCACVL1_03994 transcript:OMO98933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein 3 and Serine/threonine-protein kinase CTR1 MNPYLWLMCNEVEEGSRLPSLMSLKEIESSETSMEVVLVDKRGDRRLKELEEKAQELYCASENTLVLAEKLGQLVAIYMGGTFPVEQGDLHRGWKLPCQLSLKKQVIDKLPTVLLDEELRIKDSQIYKVHDEAKDKAFELEMSWVCDESKQQHHKEPSFTARVNYQTEANYLKSLPGYPVE >OMP01003 pep supercontig:CCACVL1_1.0:contig06565:17:127:-1 gene:CCACVL1_03204 transcript:OMP01003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRRGVKHFGIKSMAKRHETRRQTSWHKKSIGIKT >OMP05894 pep supercontig:CCACVL1_1.0:contig05149:617:694:1 gene:CCACVL1_01797 transcript:OMP05894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKFGWLSKEAALQFSVKRKGGSG >OMO61618 pep supercontig:CCACVL1_1.0:contig13440:97726:107259:1 gene:CCACVL1_23385 transcript:OMO61618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGFAASRLFSQGYSYTYDDVIFLPHYIDFPTDAVSLSTSLSRNIRLSIPCVASPMDTVSEAYMAAAMAALGGIAIVHSNCTSAQQASIIRHAKSLHIPIAPSVAFASPQDVLPAAPQGRYVFVTESGTLPSKLVGYVDCENLKGEEVKIFDHMRDCKSVESVPWNYDLGKIEAILEEKKSDFVVLERDGEVVNVLIKEDLERLKGYPKLGAGTVGADGEWMVGAALGTRESDKERLEHVVKAGANVLVLDSSQGNSIYQIEMIKYIKKTYPQLDVIGGNVVTMSQAQNLIQAGVDALRVGMGSGSICTTQEVCAVGRGQATAVYKVSSIAAQHGVPVIADGGISNSGHIVKALVLGASTVMMGSFLAGSTEAPGAYEYKNGQRVKKYRGMGSLEAMTKGSDQRYLGDTAKLKIAQGVVGAVADKGSVLKFVPYTMQAVKQGFQDLGASSLPMAHDLLRSGTLRLEVRTGAAQVEGGIHGAEKGTGLAPFFGKFKSMRTVSKEGDSLFMEYKILEKSTNKFHQGNILGEGGFGCVYKAQFDDGSYAAVKKLNCTSQDAEKEFENEVDLLCRFKHSNIISLLGYSSENETRFIVYELMQNGSLETQLHGPSHGSSLTWHRRMKIALDTARGLEYLHEHCSPPVIHRDLKSSNILLDSDFNAKLSDFGLAVSDAAQNKNNLKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLLGRKPVEKLAPAQCQSIVTWAMPQLTDRSKLPNIVDPVIRNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLIPLVPMELGGTLRLTTQPPALAPAPAAADP >OMO61614 pep supercontig:CCACVL1_1.0:contig13440:80344:83389:-1 gene:CCACVL1_23381 transcript:OMO61614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTMTVKDEWVRAAMTDDSVVVELLVRLKQAQAAPSAPKSAVAALKWGIRQPRSRPISMRCDTKKDGDFSVSTRGSPTTPLSWSGGGGAASPSAADGSEETSRHVLRSPPAAPSRSKGNASNENTSTTSKRTRRKKTFAELKEEETLLLKERVYLKKEIASMRATCKQQRAKNQNLKRIKLDLNFHTASNPGLNAGEPEKVPCRVPSSLDYSIPSALQSDSMDDRKPLIDSCDVGKDGSAGDSFFILPDLNMMPTEDDSAGADILYRAS >OMO61621 pep supercontig:CCACVL1_1.0:contig13440:120825:121468:1 gene:CCACVL1_23388 transcript:OMO61621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFPYNPNAPQSSSSKLLSATLTAKLHKCYMASLQMTKQQTGHEPQNKAPTPHVFSNNGGIAMKNSGIMSPVRPLQIQETETNWVVKKTQDNETTQQFTPLEEDHIEQMIEELLDYGSIELCNV >OMO61616 pep supercontig:CCACVL1_1.0:contig13440:92806:93348:-1 gene:CCACVL1_23383 transcript:OMO61616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVLKPEDCLRLPKPMKQPRSYFPNPPNRTHRSQQNRKKRSPNTSPPSRPTVGPKVPAKNLVMGQVTILKRGEELKKPAPEKPQKLEKENVVVDLGSTNRLGPDPVSVPTQIRLTESNNNSSSSNKVVPAAFYAGTAFITSPPPSSVPMPAFFTKKIGVAAKTDDATSDLRRILRLDL >OMO61600 pep supercontig:CCACVL1_1.0:contig13440:113:577:1 gene:CCACVL1_23367 transcript:OMO61600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVAGKDMATGSFAKSFADIDLDNINVVDQSMPIDLEPIADKEVKGKTSSSVGTSNVRSHRKRKNTQEAGDQDEIRYMADQLGEIANAIKLMAGESYLDSLHEQVMAMEGYSEDDFGAAYDYLMANQIEGKAFLRKSHNLRTRWLQRFFDGQV >OMO61601 pep supercontig:CCACVL1_1.0:contig13440:1525:4516:-1 gene:CCACVL1_23368 transcript:OMO61601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLSSTTSLPLFTLMITFSFLSTFSHGAVSVSQPPTSLTEPTSGKLLGNGSGIGNYLVEFPWGISARRSAVEGPIIAAAQPFPSEETSSSLVVLAAERTYRKDPLNGFKRYTGGWNIRERHYWASVAFTAVPLFAIAAIWFVGFGICLLLIILCYFCCKRPPYGYSRVAYAISLIFLILFTIAAILGCLVLYLGQGKFHNSTTKTLGYVVHQADITVRKLRDVSDSLASAKQIGVDKVFLPSNVQTDIDEIGAKINSSASTLADKTVENSDDIRDLLDSVRVALIVLAAIMLLLTFLGFLFSIFGMQLLVYILVILGWLLVTGTFILCGTFLLLHNAAADTCVAMHDWIQHPTAQTALDDILPCVDNSTAQETLLKSKEVTSQLVEVINQVITNVSNINFSPNFPPMYFNQSGPLVPILCNPFNADFSDRICSPGEVNADNATEVWRNYVCQISATGICTTPGRLTPAFYDQMTAVVNVGSALYNYAPFLVQLQDCTFVRETFTGIYVEHCPGLRRYSKWIYIGLVMVSTAVMLSLIFWVIYGRERRHRLYAKELNEGPQADKHS >OMO61609 pep supercontig:CCACVL1_1.0:contig13440:39871:43052:-1 gene:CCACVL1_23376 transcript:OMO61609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHHNHLSQDLPLHHFTDQQQQQTNQHQQTQQLPETTAPNWLNTALLRSQQPQPPQPHAAHFSDPNFLNLHTTTTASDSTAASHAPNQWLSRSSSSLLHRNHSDVIDDVAAAAAAAAAGGESMIGVESGDLKNSNSETMNNNNKSEGVVVESGDGGAVNWQNARHKAEILAHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSTLGGGTQGLVGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDDDQVDSDANLFDGGLEGADTMGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKRYA >OMO61622 pep supercontig:CCACVL1_1.0:contig13440:148709:150851:-1 gene:CCACVL1_23389 transcript:OMO61622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRMVLLPRKKKVGSVPVYLNVYDLTPINGYAYWFGLGIYHSGVQVHGVEYGFGAHEHSSTGIFEVEPRQCPGFTFRKSILIGRTDLGPKDVRAFMEKLAREYSGNTYHLITKNCNHFCNDVCTQLTGKPIPRWVNRLARLGLLCNCVLPAGLNETKVRQVRTEGKVQEVEKKKLRSHSSRFVSSSNPLPPLKSCPPGPTMSSRQRRCIPSSSSLIHSSSTSSLSLKL >OMO61623 pep supercontig:CCACVL1_1.0:contig13440:156406:157320:1 gene:CCACVL1_23390 transcript:OMO61623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial glycoprotein family protein MSRFIRSAHRIFLSASRTLTNGCQPRIATANSLLHSKPNATCNTHKSPFTANILRILGNEIEYQLDYAPPHQPATSFNSFTVQDRPGEKWMTMRGKHGDKEEIKLEVTMFDGCAVVPKPGEDSTEENMLLHISLLVDVSKGQGCPELEFLCSAWPDRLEIQKVYLLNRDKVITNPYMGPDFRKMNEKLQKTLRDYLEARGVNNELCVFLHEYMMNKDKIELIQWLGNVKSIVEK >OMO61611 pep supercontig:CCACVL1_1.0:contig13440:62463:67947:-1 gene:CCACVL1_23378 transcript:OMO61611 gene_biotype:protein_coding transcript_biotype:protein_coding description:trafficking protein particle complex subunit 9 MEPDVSIETSSMIRIAVLPIGDVPPSLLRDYHSMLLRHHTIPLSTISSFYTEHQKSPFAHQPWDAGSLRFKFMLGGAPPSPWEDFQSHRKILAVIGICHCPSSPDLDLVSDQFNAACKGYSSALVERCFAFWPSDSQLEDGKKRENLVLFPPSDRSTQEFHLQTMMQDIAASLLMEFEKWVLKAESAGTILKTPLDSQATLSSEEVIKAKKRRLARAQKTIGDYCLLAGSPVDANAHYSTALELARLTADFFWYAGALEGSVCAILVDRMGQKDVSIEDEVRYRYNSVIVHYRKSFIQDNAQRVSPLTFELEAILKLARFLCRRELAKEVVELLTNAADGAKSLIDASDRLILYVEVARLFGTLGYQRKAAFFSRQVAQLYLQQENRLAAISAMQVLAMTTKAYRVQSRVSASRHSLSNETESGHADSGKMHHQSVVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWSAAARLLRSYYPLITPAGQNGLASALTNSAERLPSGTRCADPALPFIRLYSFPLHPSQMDIVKRNPGREDWWAGSAPSGPFIYTPFSKGEPNDNNKQDLVWVVGEPIQVLVELANPCGFDLRVDSIYLSVQSGNFDSFPLSVDLPPNSSQVITLSGIPTSVGPVVIPGCTVHCFGVITEHLFRDVDNLLLGATQGLVLSDPFRCCGSPRLRNVSVPNISVVPPLPLLVSQVVGGDGAIVLYEGEIRDVWIKLANAGTVPVEQAHISLSGKNQDSVISIAYETLKSALPLKPGSEVTIPVTLKAWRLGSGETDATAGKSASGSMVRNVKDGNSPSLLIHYAGIFWPVGDLETNKSSVPPGRRLVVPLQVCVMQGLSFVKARLLSMEIPAHVGESLSSLANGDGNPLDEAVGYGQRDRLVKIDPFRGSWGLRFLELELSNPTDVVFEISVSVQLEKSSNEENLSVNCAAEYGYPKTRIDRDYFARVLIPLEHFKLPFLDDSIFSKDLQSDGYTGSRNPSFSERNTKAELNASIKNLISRIKVRWQSGRNSSGELNIKDAIQAALQSSVMDVLLPDPLTFGFRLARNGYGSPGKLDVKESDTSIQASASKNTVIAHDMTPMEVLVRNNTKETIKMNLSVTCRDVAGKNCVEGTKATVLWAGVLSGITMEVPPLEEIKHCFSLYFLVPGEYTLVAAAVIDDANDVLRARAKSDLPDEPIFCRGPPFHVRVHGNA >OMO61604 pep supercontig:CCACVL1_1.0:contig13440:22552:25051:-1 gene:CCACVL1_23371 transcript:OMO61604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGNVFYTLIITFSVALITYNILISANAPLRQELPGPSKSSINVDPIIKMPVERSRRHGSTAKKRLFHTAVTASDSVYNTWQCRIMYYWFKKFQNGPNSEMGGFTRILHSGKPDKYMDEIPTFIAQPLPAGMDQGYIVLNRPWAFVQWLEKADIKEDYILMAEPDHIIIKPIPNLSKDGLGAAFPFFYIEPKKYESVLRKFFPKNKGPITNIDPIGNSPVIVGKDSLKKIAPTWMNVSLAMKKDPEADKAFGWVLEMYAYAVSSALHDVGNILYKDFMLQPPWDTELGNKFIIHYTYGCDYDLKGRLTYGKIGEWRFDKRSYDSVAPPRNLPLPPAGVPQSVVTLVKMVNEATANIPNWGS >OMO61625 pep supercontig:CCACVL1_1.0:contig13440:166315:167596:1 gene:CCACVL1_23392 transcript:OMO61625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIGGAGCDPDIFARMASSKERRGGFINSTIEIAGTYRFDGVDLDWEFPATVNDMANLALLLQEWREAIENEARNTGKPCLLLTSAVYYTSDFINYGVPRSYPAAAMAEYLDWANPMCFDYHGDWDHSTGIHTALYDPTGNISTSHGIGSWVRAGMPPNKLVMGLASYGHTWKLQDPNVHGIGAPAVGVGPGDEQGFFNYYAIIDFNKEQNATVKYDNTTVSFYSYVGDSWIGYDDVWSIKKKVRFAKYKGLGGYFFWALGYDKDWALSRQVEHSVDSSSLKMNLIKCADWLATHLSLDLYTHRPSPPPEFTSLLVADVLAIA >OMO61612 pep supercontig:CCACVL1_1.0:contig13440:68344:71561:1 gene:CCACVL1_23379 transcript:OMO61612 gene_biotype:protein_coding transcript_biotype:protein_coding description:YAP-binding/Alf4/Glomulin MSTEKTELDGSSSTNPLLLCLQQTLTSCSKSIDGGGDLSQSQTSVSELVNFLDSVSESAISDPENEVAITNALEVLSETYKFLCSTSLDQEIIDALSFELPKSVSKFAGVSPQCLEIADNIIDRFVETCSPRDMLSILCEALDSTNKTVKASAYVSPLITGLSKVFLSLQRRHFEQIKVAVPAIVKVVKAITSESDYEDSDLESLFDRVINIADSIHAVSSKLEAGTKEKLQALHGLYVLQILASVSISTGYKSSSHLAFALRLASFLPYCGLSYLGLLTGSDVDKMSGIVIGEDEDDSMGFLSDVYLGASLSVIWAQIYEEVAQAAKQDLIAVKGELQNNQTKRWQAIGMLKNIFSSIHLPWDFKRQAVDFLLSITNGNVSEKLNIEHNDCSLYMTSLFSALQGITMIIMYTSDTVLRKNAFEALKRVLADIPDSQRFDIMKALITNSDSSSMIAILLDLVRGEMQMESTRRMQTSEVLRTDDKACKNTSFWSTGILELVESVLRPPKGGPPVLPENGDVVLSALNLYRFVLMKESAEKTNYTGVMSKNNLQKAYNEWLLPLRTLVTGIAAENKSDYDQLAIDTVCALNPVEMVLYRCIELVEEKLKHST >OMO61624 pep supercontig:CCACVL1_1.0:contig13440:160602:161393:-1 gene:CCACVL1_23391 transcript:OMO61624 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger protein 3-like protein MESLRKEPSPSESTSCIISTPDEHQQQEAAKPRNLQLDLKLTTSSTNDFDEGFGPELNLIDSLNTAAGSNSPETPQPSDAEQRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRGQRMGAHIAASAAAFGHPYFHHNHYSSLASLPLHGGAYNRSLGIQVHSLIHKPNSHVSSSMGFGSSYGHHGWSRPPIEQQPAIGKLSMENSNSRASVGRFNITTTRTTMMGSPADHEVIGNFWCSSGASSLKTKQEEIQKVDLSLKL >OMO61613 pep supercontig:CCACVL1_1.0:contig13440:72080:78319:-1 gene:CCACVL1_23380 transcript:OMO61613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase MDVLMRASSAIVPSPCAVNPINTTQFLTKPNLSRQNLMVFKPLVHKNTSKSRLFTALSSNPATAESMEEPKPEVENEKFDWYSEWYPVMPVCDLDKRVPHAKKVLGLDLVVWWDRNENEWKVFDDLCPHRLAPLSEGRIDQWGRLQCVYHGWCFNASGDCKLIPQAPPDGPPLHTFKKACVGVYPSTVQHDIVWFWPNTDPQYKDIIMKKKPPYIPVLEDPSFTKTIGNREFPFGYEVLIENLMDPAHVPYAHYGLLPNLTPTAVRVDREGGRPLDMSVKKLDVNGFFAEREWGNSEFIAPCIYHAFSADQVDGTETSAETKKASPAKRRVSLIFIGVPVSPGHSRLIWTFPRNFGVWIDKIVPRWFFHIGQNLVLDSDLYILHVQERKIANIGGSKNWHKACFVPSKSDALVAGFRRWLNKYSDGEVDWRGKFSGALPPSPPRQQLLERYWSHVVNCKSCNTAHKGLNALEVILQVVSVGLIGIVAATKQNAMSMVARTIMVSMAIICFAASKCNRFHSILVISISYEYLKDTQKREEGGEAAMDVLRASSAIVLSPCVIPISKTHQNLMFKPLVHKKTSKSKLFTALPSSNPATLTESMEVESGKEKFDWYSEWYPVMPVHTFKKACVGVYPSTMQHDIVWFWPNTDPQYKDIILKKKPPYFPILEDPSFTKMIGNREFPYGYEVLIENLMDPAHAPYAHYGLMRTRTPTVKVDREGGRPLDMSVKKLDVNGFFAKREWGNSEFIAPCIFHAFTVDQVGKSEGFYDFFCVPVSPGHSRLIWSNPRNFGVWIDKIVPRWFSHIGLNLILDSDLYLLHVQERKIENIGGSKNWQKACFVPTKSDALVAGFRRWLNKYSDGEVDWRGKFSGALPPSPPRERLLERYWSHVVNCKSCNTAHKGLNALEVILQVVSVGLIGIVAATKHNAMSMVARTTMVSMAILCFAASKWLAHFIKKIFHYHDYNHALR >OMO61617 pep supercontig:CCACVL1_1.0:contig13440:94639:94728:1 gene:CCACVL1_23384 transcript:OMO61617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERGKEQLKGTRPPKPRVGFKGVGVGAI >OMO61607 pep supercontig:CCACVL1_1.0:contig13440:33812:34210:1 gene:CCACVL1_23374 transcript:OMO61607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNECCNFPSPRERVKEKLKSSICCFLPPHLNDGADMEPLEDQMKSRTPRSPHVIKDKCRHLIARIGKRGRRQYHSSDFSYDPMSYALNFENESSRADELPIMNFMSRLPATPERTPEPMPVSVRREVFAYS >OMO61602 pep supercontig:CCACVL1_1.0:contig13440:7615:9573:1 gene:CCACVL1_23369 transcript:OMO61602 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MFRVASASQYLAITGIGIKDIKLAKKAWIFPGQSYTRFDVTPENYTFEVQAMSAEKLPFILPAVFTIGPRVDDHESLLKYAKLMSPHDKRSNHVTELVQGVIEGETRVLAASMTMEAIFRGTKEFKKEVFEKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKVDVSEAKMKGEIGAKLREGQTLQNAAKINAETKIISTQRQGDGTKEEIRVKTDVKVFENQKEAEIAEANAALAKKKAGWAKEAQVAEVEAAKAVALRDAELQKQVEQMNAMTRTEKLKAEFLSKASVEYETKVQEANWALYQKQQEAEASLYEREKQAAAQKALAEAAFYSKQKVTDGELYAKEKEAEGLVALGKAQGMYLKTLLDSVGGNYSALRDYLMINGGMYQDIAKINAEAVRGLQPKISIWTNGGDSADGSGAAAAGNSAMKEVSGVYRMLPPLFSTVYDQTGMLPPPYMGTLTAPNGPTPAIAN >OMO61620 pep supercontig:CCACVL1_1.0:contig13440:114759:117950:-1 gene:CCACVL1_23387 transcript:OMO61620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L39e MRPISFSLGFSLLLDKGFFAAQSERCSSRLEDFKMPSHKTFMIKKKLAKKMRQNRPIPHWIRMRTDNTIRHWRRTKLGF >OMO61605 pep supercontig:CCACVL1_1.0:contig13440:25102:25275:1 gene:CCACVL1_23372 transcript:OMO61605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGEKAVWKSGGATEKGQEEEDAAGVDFKEGEVTDYLSLGVDEESRRVELTTDAKE >OMO61608 pep supercontig:CCACVL1_1.0:contig13440:37606:38058:1 gene:CCACVL1_23375 transcript:OMO61608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPQNQTKETWPLKDDHDYSQDFDQETYSSSGCGCFHGFCWWRRRSRNEGNGYMLHQQEDQNQMINKESWLKKKAKKLKEMSEILAGPKWKNFIRSFSKRSKSSSMQFQYDPRSYELNFDDGIHREVDDGFPDFSARFAAPAGAGIIHK >OMO61603 pep supercontig:CCACVL1_1.0:contig13440:11249:21917:1 gene:CCACVL1_23370 transcript:OMO61603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSWRIKFDSTLPSSVPSMSSSAPQEPRNQMEINSGQRFYQHVTQDINSTLHQRLHDPMPPNNSNTSSHKSNHTEQANSFLALLSGPPSLLQCDFQDLSRQKVFSSSRSVNMNNFGSEVPQTSGALISANMSSQSTRNGANSSAVPSRSALSSTSNGVSVLHGNVHACNFNLQNSDLAKVVNHHMLPGTEKVKDFPVQKGDCYGANSARAANIYNKNVQMPVKIPAESNSSISDQSSTILSGCPRVFCLDTGGYLLLSNTGLLGIVCSCHFSHTSVSEFCEHLGLCDINPGDAVRMESGETIAQWRKLYFEKFGIRVPEDQSGWDWPEGLLPTAGLVKSNATMPKTSSTSHLINQVGSSRGLSRCMDYTMFPKNPQLGQNSVTGLLHNKEELKDVGSSNFLFKHLIGASQNNMHDAAGGQRMEGAVSKSSTMSTIVGRDSDNGCQSMSAWIDSILKSGSSTLAHSSLQNSRMLGQICDVNAARVTNDVISDRDATSSNMELKLGQPYQQSRPAGNSALPVIAPKHLERNLGPELCYTQRMNCHGTFPGEEESRQYCHHGADSSNPTAGRQQKKLNLGNYAFGVSSVVDATKLDKCRGDATKSFVVPPLPQLLSGDSACSRGTNHMVGEFSMPNTFHCGYSATKCDPPSAPMKIANNSGRQLNMTELGFCRLIDKGKGAGSGCVPGSLCTATDSALGIQKQATKCDPPSAPMKIANNSGRQLNMPELGFCRLIDKGKGAGSGCIPGGLCTATDSALGIQKQVENSRIKPGVLPVFPAVHGMDSCQSSGIPSDTIDERSCLNLPGNSSFVGNTGHTDQAFLRMMSSYLGSGLVSQSSSVSLGFPLATSTVIPGPTSTISQENICLLDDSMRLLALRQILELSKQHAISSVGMSHGLGRLDQTSNPSVQHSLFELSKSREERHGPTLPSKQNVFEGASSSVPSPAAGKLIPMTGKIIRGESITQSSEHAKCCQRGPCTYFQGNCICSAHAKCLEGYPECRVGRSHVTSKEEEGVCMSVASEFVRDHTSPKEGSMSLDQGGKVNGQLPRRIACHASQWRDVPCKQKEVCKLKHINPSAEVLDASGCAEGQRGDAGMHFIGSAVNRDDSFKGQDMSNISSGCSAPVVTQASIEVNNMDSSTIDAGDNGYINGLIVDEGSGIDKCSSNDSRESERSAEFPGVSCGSKIRNKGFTKIMNGKSSFSLLDELKLIDSLTWKKGKNQIYTSFTGSGRTNNLKRKRRGVKSGKRKRAVKFRMLDAASCPKVSFGHCPKDKGSPKVTSGLSKDRRTLIPPGLEPDEATHLIKPGDVASAKIVSQKRDQHGLYNDQDGEENYRPELKGEARFGNILEVSARKRLKRARDSFENLEAPKPILKSFEKTSKSNSGHSIKAFSSLEASVCDKKARPIVCGDYGEICSGNDTDNLRPAKIVPLSRILKNTEQCSLRKSYKPKSTLRKFKKKMPARRAPYFGSSLHLKKEVNGFNEVSGCQVEDGEKTCMGGIKQFDNKSFILEKEKDDESEINCSVRDDDITYNWSKTRCKEIRKRSLYELTGKGLESASDNYPLTKISKCKQKMKVRKGLKKAGDVDLDGHRSCDINAEKAIKESRYSSIVDSDAFCSVCGSTNKDEFNCLLECSRCLIRVHQACYGVLKVPKGPWYCRPCRTSSNNIVCVLCGYGGGAMTRALRSHAFVKGLLKAWNIEAECMPKSAKYSDVTMLDDQSLVVGNASCNFQLKDLNPGRAAAWKIDAQNQLETVGDSACALGKLNVYNSVAAGVLDSTVKQWIHMVCGLWTPETRCPNVDTMSAFDVSGVSRARENVVCSICNRPGGSCIQCRVVDCSVRFHPWCAHQKGLLQSEVEGVDNENVGFYGRCMLHASCSTCESGSHPIDAELSSSRKGESTCARTEGFKGRKQDGFRHNIYGQSSRKSGCLVPQEQLNAWNHIIRQKSCMQGQPKLPTSDIEYDCRKEYARYKQAKGWKHLVVYKSGIHALGLYTSRFISRGEMVVEYVGEIVGLRVADKREKEYESGRKVQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKIISMRNEKKVVFFAERDIYPGEEITYDYHFNHEDEGKKIPCFCNSKNCRRYLN >OMO61610 pep supercontig:CCACVL1_1.0:contig13440:46350:59752:-1 gene:CCACVL1_23377 transcript:OMO61610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSYTPRIYAGVGEEFKDSRAQGASGNVVHEEWDTVAVLRLARLTCGSIQLFKKGRRGNASLVGHPLAIYTLGLERLADAARNLGRIAVDSFCVSFALIKPAAVREVKILGGPHFPSHPSSLHVYGPYWLASGACFELGTTCGPRRLLSTRVPWVAFPRVAEREATAGQSATSPTLTAGNGNLKSPPATPQH >OMO61615 pep supercontig:CCACVL1_1.0:contig13440:88959:92323:1 gene:CCACVL1_23382 transcript:OMO61615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGSGSMNKTPRTHDQVKFHKANTKF >OMO61619 pep supercontig:CCACVL1_1.0:contig13440:109984:112592:-1 gene:CCACVL1_23386 transcript:OMO61619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/other aminotransferase MASSVLSLASATPSASLSSHEISKGKLNLGSTSLCFSKEKTNPFIKTKSFGRVSMVVASNVSRFEGITMAPPDPILGVSEAFKADNHELKLNLGVGAYRTEELQPYVLNVVKKADQLLLEKGENREYLPIEGLAAFNKVTAELLLGADNPVIKQQKVATVQGLSGTGSLRLAAALIERYFPGAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMISDIKAAPEGSFILLHGCAHNPTGIDPTPEQWEKIADVIQEKNHIPFFDIAYQGFASGSLDADASSVRMFVARGMEVVAAQSYSKNLGLYAERIGAINVVCSSPDAAARVKSQLKRIARPMYSNPPVHGARIVANVVGDPTLFKEWNEEMEMMAGRIKNVRQKLYDSLSSKDKSGKDWSFVLKQIGMFSFTGLNKAQCDNMTNKWHVYMTKDGRISLAGLSLAKCEYLADAIIDSYHNVS >OMO61606 pep supercontig:CCACVL1_1.0:contig13440:26786:31420:-1 gene:CCACVL1_23373 transcript:OMO61606 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neurochondrin MAQSQQEEQSVSLQDCLKLLKGERDEQRLAGLLLVTKFCKGDDLVSLRTIYDAVGPRFLDRLLRTGLGKGVVSSGNGENRDAYLQLSVTVLSAFVRVNEIASSQEMVSKIPMIIEVMSKESGLPVLEECYEFLYLVSAASEDGVTALYESGGLKLLASQMSTLPDGTHLMELAMKLVQLMLRKLSLGIMKNDHLSELSIIVAVIARQFALLQNALKFEALHILSAIFSSDYSTSLHDACRVIPNENWANHVRDGVAAILQNRVAPAEKFEALILAESMVSIMGEGWLIGQINLPNVEDPIPADRCLLLVLESSRVEVAVLLNEIAYSKYEASNSSSSSAESINSKQQKVTIAFSLVEKIIKLISNLGETEGSLIDEGTFTKVIKGLNETIGVVLEYLEDAKEHGQKRGNDLLASVRLVGSYLAEAPVACEEKIKELLGYMLSVEGEDESRPFYSVCFLLPMLCQMTMKIEGCKLLASSGGYKAVVDCLVKLIEQNRHGVEDNGCIFLACDTIMNFLLKREQFRFPEDESTFFILLKALALWTENTNDLSVIMMASSICALVFDLTSEDALLNHPGFSSTSLDSLSRLIARSLASWGQGMSGSAEAEMDLLEIITAGYSRWANRFPQIRRAVER >OMP02050 pep supercontig:CCACVL1_1.0:contig06332:7427:8527:-1 gene:CCACVL1_02934 transcript:OMP02050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADRVRIVDDFRVTDGRFRVLLEWRDVVALSLQNREAFAGDEFQKAHGSRLVGRLAYGKAGLAAEHGHHLLAALVPWRDEHADRKGIAMQQQARRPAALESHRYLAFLEKTHAVVVAGCHERRLHVAALRQQRRPGEDIGDLGGVEIQRALGHLAFPVRLARDHVVGEARGKRSVSEHVWECAVQHHGRESRAFELRAHAQELVEIRWQLVDTRFFEHLAVVVDHRRCDTARDAEPFAAAAGPVPLERGEHVRPGRCLADIGKDTLIGQFGRIGIGIDAQQIGQRAGSDAGFDVLFDRIADHELNVQLHIGIELAELGSNLKHLLGPVRCPDQCRNAGPVWRGQTGDGGVLLVATAQNQQTDQAG >OMO94053 pep supercontig:CCACVL1_1.0:contig07994:1564:1816:-1 gene:CCACVL1_06212 transcript:OMO94053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDNQDVTLLDKNDEGFQNSDYLNREDTEILDLMGVVVNNADDAYKLYKDYGYRMGFS >OMO99565 pep supercontig:CCACVL1_1.0:contig06864:25701:35006:1 gene:CCACVL1_03736 transcript:OMO99565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRREGGTLPNSTLQVERERLGWRREGGTLPNSTLQVERERLGWRREGGGRKEGD >OMO99568 pep supercontig:CCACVL1_1.0:contig06864:67118:71031:-1 gene:CCACVL1_03739 transcript:OMO99568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 5 MVGGNGLLYPILGFASCVAFIYMSFGDLKLSSFPKEPKLSFVERNGSQLFLDGKPLHVNGWNSYWLMAHSVNENNRPRVSAMLQAGAKMGLTVCRTWAFNDGGYDALQISPGQFDERVFQALDYVIAEARQHGVRLLLSLVNNLQAYGGKTQYVNWAWQEGVGLSSSNDSFFFDPSIRKYFKNYVVTVLTRKNTITGIEYRNDPTIFAWELINEPRCMSDPSGDTLQDWLEEMTAFIKSIDKNHLLTIGLEGFYGPKSPKKSTVNPEEWASALGSDFIRNSNIENVDFTSVHIYPDHWFHHLRFEDKRNYVAKWMRSHIEDCDKELKKPVMFTEFGLSNLNKDFEPSQREQIYRTILDIVYKSAKKKGSGAGALIWQFFVEGMEEYNDDFGVVPWEDPPIYKLTIEQSCRLARIQGLLQENRDLNELCSKRD >OMO99567 pep supercontig:CCACVL1_1.0:contig06864:65784:66470:1 gene:CCACVL1_03738 transcript:OMO99567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPLGPGKFYGSSLPRPRFYTDTKFNSERVDPPVPVLDPLLSWANEAHWSMGGLSFQRLRLQGRIEGNVKRLRAQRKKLLKDSPNKDQIVNKNKRDASLSPPPAPVAVKRRKFLDLNEDDEDDEIESENEVDDEIVVEREEKRVLRKSTARKLGDDFERVAKASGLISKREMKIVEEVNVEPETKGDQKKKKKRIQKGLNLNKGKNDLQQTVTRTSPRLAKRGTSSR >OMO99566 pep supercontig:CCACVL1_1.0:contig06864:60837:61269:1 gene:CCACVL1_03737 transcript:OMO99566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLGIELIDANVTSTTFKGAMLFSSCIQGAYGDTLMAEQTKELLLEIIRGNKNSSDTEFAIDESLWSLDYELRETS >OMO99562 pep supercontig:CCACVL1_1.0:contig06864:247:14279:1 gene:CCACVL1_03733 transcript:OMO99562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVTLLVLVVHTFFPIFYEGSHIYWRRPLNQLKVICLVILVADLLVYALYLSPVALTSLPLRVAPYVRVVLFILSIKDLRTSMVILAGMIGTYLNVLALLLLFLLFASWVAYVMFEDTQEGKLVFTSYGTTLYEMFVLFTTSNNPDVWIPAYKASRWYVLFFVLYVLIGVYFVTNLILAVVYDSFKGELAKQVSDMDRQKKRLLCKAFDLVDDRKDGSLDKEQCIRLFVELNKYRTLPKITREEFELIFDELDDSRDFKINLDEFTDLCNAIALRFQKEETEPLFKRLPFYDSPFSQNLKAFVRSPKFGYIVSFILVLNLIAVIIETTLDIENNSGQKVWQEVEFVFGWIYVLEMALKVYAFGFENYWRDGQNQFDFIITWIIVIGETVTFATPDGLGFFSNGEWIRYLLLARMLRLIRLLMHVKSYRAFVATFLTLVPSLMPYLGTIFCVLCIYCSLGVQIFGGIVNAGNANLDSTDLSDDDYLLFNFNDYPNGMVTLFNLLVMGNWQAWMQSYKVLTGTSWTLIYFISFYLITVLLLLNLVVAFVLEAFFAEMDLEAPENGEEDDKDGGSGKDRRRSVGTKTRSQRVDVLLHHMLSAELDKGQTSEASSA >OMO99563 pep supercontig:CCACVL1_1.0:contig06864:15946:16595:1 gene:CCACVL1_03734 transcript:OMO99563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKAWEMYRNNEIISKINPEMLSGAEYIHGDGGPGTLRLFKLGPAVCNYVKESMEKIEKVEVGRSVTYEVIGGDLKEMYDPYRVTFSFIPLKGKEKEKCTAEWKVEFEPLAPATPPPEKARDAALGFLKSFDHFQLSY >OMO99564 pep supercontig:CCACVL1_1.0:contig06864:17283:20750:-1 gene:CCACVL1_03735 transcript:OMO99564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MDLRVDDTTITKRLKPTQILLCDAMDIEQAEAPTTVMINSEKADMDSKGKTKISYDKREDNVKDVSAIVQGNSVSVAGSEDSNNPLKTSTSGSTDSHILNSSNSDLSYHNDDGDSEDYADDCSDYGDNDDFLYEEDDYSIMQSHFDNVDLPPGVEASIPWLKDPTPSGNLHPELGASTASSAAAIQVKAASTSASFVPIESESDQKEEGNKEDGIMQNFLNFKHFDVVDDFSDHHYNNMTSSGEKRPKEWAKRIQEEWRILENDLPDTIYVRVYEARMDLLRAVIVGPSGTPYHDGLFVFDCFFPAKYPNEPPMVYYYSGGLRLNPNLYSCGKVCLSLLGTWHGNQTEMWVPGQSTMLQVLVSIQALILNARPFFNEPGYETSYIGAEGDRQSRKYNEEVFSLSLKTMIYTVRRPPKHFEDFVAGHFRNRARDILVACQAYKEGATVGSVVIKDGAPDANKIEKGSSEGFKGTMRKMISLLVKEFVKNGSTDCEQFQTS >OMO51805 pep supercontig:CCACVL1_1.0:contig15714:361:444:1 gene:CCACVL1_29579 transcript:OMO51805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTSTNNLSTNIKKNPTRAPSTPREL >OMO51806 pep supercontig:CCACVL1_1.0:contig15714:944:6300:1 gene:CCACVL1_29580 transcript:OMO51806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVHSFAMNKKITSSSTTTKPTNTVLPYQTPRLREHYSIGKKLGQGQFGTTYLCTHKATGALYACKSIPKRKFLCREDYDDVWREIQIMHHLSEHPSVVQIKGTYEDSVFVHLVMELCAGGELFDRIVAKGHYSEREAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFDNPGDDVSLKATDFGLSVFYKPGQYFSDVVGSPYYVAPEVLCKLYGPEADIWSAGVILYILLSGVPPFWAETESGIFRQILHGKVDFVSEPWPSISDSAKDLIQKMLERDPRQRIHAHEILCHPWIVDDKVAPDKPLDSAVLSRLKQFSAMNKLKKMALREVNTPQNSFMRSVKEGIARDGKEGRTIVIAERLSEEEIGGLKELFKMIDTDNSGTITFQELKDGLKKVGSELMESEIKSLLEAADIDNSGTIDYGEFLAATLHLNKTEREENLVAAFSFFDKDGSGYITIDEVQNACKEFGLGDLHLDDIIKEIDQDNVSIPG >OMP11634 pep supercontig:CCACVL1_1.0:contig01071:664:1372:-1 gene:CCACVL1_00377 transcript:OMP11634 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family PQAYLGFTFNWGALFGWYAIKGGLQPSIVLPLYLSGVFWTLVYDTIYAHQDKEDDIKGVKSTALKFGDSTKEWTSGFVVACISCLALSGYNAAIGWPYYAFLAAASAQLTWQIGTTNLSSPADCSR >OMO55641 pep supercontig:CCACVL1_1.0:contig14645:24995:25483:1 gene:CCACVL1_27124 transcript:OMO55641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific protein Stig1 MASTIVNLIAILSITITLLLSLHPQATFSFDFNDDEEEYYVLDQPLLVPNLRSKSRFLSHTSRKKDRIRKGARCTSDPYYDNICNGVSVNNGTGILNCCKMHCRNILRDENNCGACGDRCNFGQRCCGGVCIDVMNNPNHCGKCDKQCQSGVPCEMGYCGYA >OMO55638 pep supercontig:CCACVL1_1.0:contig14645:117:5113:1 gene:CCACVL1_27121 transcript:OMO55638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISQAYLESKVVKETKSLISELCRQFYNLGWVSGTGGSITMKVHDSCIPKGQQLIVMSPSGVQKERMEPEDMYILSGDGGIVYSPSPKPYPHKPPKCSDCAPLFMKAYHMRNAGAVIHSHGMESCIVTMIKPFSKEFRITHMEMIKGIQGHGYYDELVIPIIENTAYENELTDSLAKAIEAYPKTTAVLVRNHGIYVWGDSWISAKTQAECYHYLFDAAIKLHQLGLDWSTPEHGPIQTVKGVSGVNGRMNVSTKAGTVDSNYKIEPFPRCIVLDIEGTTTPISFVTEVLFPYARNNVERHLSATYAAAKTQDDIKLLRSQVEEDLKQGVPGAVPIPSEDAGKEAVIAALVANVEGMIKADRKITALKQLQGHIWRTGFENNELEGVVFDDVPEALEKWHALGVKVYIYSSGSRLAQRLIFGNTTFGDLRKYLSGFFDTAVGNKRETRSYVEITESLGVNKPSEILFVTDVYQEATAAKAAGLEVVISVRPGNGPLPENHGFKTINSFLEI >OMO55640 pep supercontig:CCACVL1_1.0:contig14645:18636:22758:-1 gene:CCACVL1_27123 transcript:OMO55640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQTPTKTPAEKSKRSHNQHHSHDSSSLSRFEAYNRLQAAAVAFGEKLPIPEIVALGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDPSALEPRCRFQEEDSEEYGSPVVSASTIADIIKSRTEALLKKTKTSVSPKPIVMRAEFAHCPNLTIIDTPGFVLKAKKGEPENTPEEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDSIREIDPTFRRTVIVVSKFDNRLKEFCDRWEVDRYLSASGYLGENTRPFFVALPKDRNTISNEEFRRQISQVDSEVLRHLHDGVKGGYDEEKFRPYIGFSSLREYLESELQKRYKEAAPATLALLEQRCSEVSIELASMDSKIQATSDVAHLRRSAMMHSASISNHVGVLIDGAADPAPEQWGKTTEEERSESGIGSWPGVTVDIKPANATLRLYGGAAFERVMHEFRCAAYSIECPPVSREKVANILLAHAGRGGGRGVTEAAAEIARTAARSWLAPLLDTACDRLAFVLGNLFDIALERNRSRESEYGRKTGNMDGYVGFHASLRHAYNRFIKDLAKQCKQLVRHHLDSVTSPYSQVCYENDFQGGFGSSASSYYKYNQASAASFCLDLSDGGQVSRDETMIDQENIPPEKNGQQTTPGKNKEARETLRECHLTVPETPSPDQPCDVVYAGVKKELGNCIEVGPRKRVARMTGNRNAGQLMRVHNGGNLFFDNGDSGSRSSSSYSEICSSAAQHFARIREVLVERSVTSTLNSGFLTPCRDRLVVALGLDLFAVNDDKFMDMFVAPGAIDVLQNERQSLQKRQKMLQSCLNEFKNVARSL >OMO55639 pep supercontig:CCACVL1_1.0:contig14645:8351:9148:-1 gene:CCACVL1_27122 transcript:OMO55639 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MENSTRMLVQEHRNNNNIPISPLNSPHSSSNGHNNNSMSSSSNGVIQIHTPPLTPIPISRSETNPYPTTFVQADTTTFKQVVQMLTGSSETAKQASSPRPPTHQQHQQQQQQQNQHHHQQDPPLPSKSSFSIPPIKTNSPKKQSFKLYERRNSNLKNSSLMINTFLPSSGCTSFSSPRNNNNSEILSPSLLDFPKLALTSPVTPLNEDPFNKSSPSLGNSSSEEDKAIAEKGFYLHPSPMSTPRDTEPQLLPLFPVTSPRVSGSS >OMO74261 pep supercontig:CCACVL1_1.0:contig11151:43737:46122:-1 gene:CCACVL1_16879 transcript:OMO74261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTCYLIHQSKWLLNSVWFVLVLFLFCSATSYSACNQLDHDSLLSFSLGLAPSSPLNWSSSTDCCSWEGIGCDDKGRVTFLWLPFRGLKGEISPSLMNLTHLSHLNLSFNRLRGPLPPKMFSSSVLLETLDLSNNLISGELLPLSQLSSLLKLVDLSSNQFQGLIPPKFFQLAGNLTTFNVSHNGFSGSIPSQVCADHSMSIRVLDFSFNDFSGEIPAGLGKCSKLQVFHAGFNGLSGPIPNDIYNAAALQEASVPVNHLSGPVGDDIIRLTNIEIIDFSSNELSGMIPRDIGKLSNLKKLFLHVNNLTGFLPTSLMNCTNLTSLNLRVNRLEGNLSTFNFSTLLKLRTIDLGNNNLKGSLPSSLYSCNSLVAIRLASNQLEGQIVPDILQLESLSFLSLSINKLTNITGAIKILMGCKNLGTLIISKNFMGEEMPDDDSLLSLDGFQNVQILGLGGSNLTGRVPTWLAKLKNLKILDISQNQITGSIPSWLGSLPNLFYLDMSKNSISGEFPVGLTKLPTLMSSEINTQVNNSYMEFPVFTMPTNASFQQYNKLYSLPPAIYLGNNNLSGEIPVEIGQLTLLHVLDLSFNDFSGNIPDQISQLTNLEKLDLSGNKLSGKIPTSFKGLHFLSSFSVAYNNLQGPIPSGGQFDTFSSSSFEGNPGLCGSILQRSCDTIATSPTESPSPGKEQSFNTYFVIAGFISGLLVGSVSGSRCATDKLIKFLCFEVKATNQIQLRLDQDKLDSHDWLTRLDRFEEAKKGR >OMO74254 pep supercontig:CCACVL1_1.0:contig11151:4515:5542:1 gene:CCACVL1_16871 transcript:OMO74254 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-S seed storage protein, plant MDLNLSPKFPRVFAEAEGVGIYYNWAASDSPVLAQAKLAAGKLIMKPGSLALPHYADCPKIGYVLEGNCGVGLTLQARTKDKKTVFMDLRKGDIMPIPKESVSWWYNHGNSDLEIVFMGDSTNAYFPGEITYFLLAGAISPFTAFSPEFIAKTYNLIENGGKSTTLTAIQFPFLEEVGLSFTRLVLEPNATPAPGYASESRMFFVAKGSGKVQIVGILGKLVLNTKVEAGQMFVVPKLFIVTISADEGGMELASIVKSAR >OMO74258 pep supercontig:CCACVL1_1.0:contig11151:20557:34328:1 gene:CCACVL1_16876 transcript:OMO74258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MPIMFNRLGNEILVDGISARFLFWPGCSSELSGVESKEVQLIQIKITSAGLWSQDESETAVVNNPELKSVSENVEVATVDDDGLMFLAAKFWVNWFGSGARRGKLALKFWKTDGELIEQQAESSTNSETCKTTVDDVVCKIEKEETRGGFSLSAKQTFKAAFIHFGKKWYRRLSFIWRHVIQIFGSFQKLWNITGIHLNLDVPKWLRIFHVDELNSYAVHWLEKRSKGFEPTYLYTMEKGYFLLPEVAKSQHNIRTINISISARHPCFGNRWQQLLINRFVGYDTILMNSLLHRPGQGYLYNFQTKEFYNLSYAQELPDGSARFGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFIHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFILISVRTPISMKFFPRFFLLYFLVFHIYFFSYAYGFSYLALSTAAAFMQHLILYFWNRFEVPALQRFMQNRRSQLQQHPDFHITSSTILASTVHVTRLNTRNPGLVNSDSTSGPGLRHGSDQAMPANGAGDADGPQGHSENDNMDQSGNPMQIPGQPELQQAEAGPNPGTMSSFSSLLLWILGGASSEGPSVSHSSLRLLLIVGLNLSSPLIVGFSPPFQDEEELRTRCSPKRFVTVVERLMLGESKRKRAVEEIGFGALLTLSCRSLRHDLCRWLLHHYDPVQSILKGAEDQKLEVIVSDVGLIFGIPCTGKDVKGHMLENSGNCANFQIPRLGKNLSLNNIINRLQLEDDMQVFKSLFTLFVVGTILAPNSKNKVSQKLISVVHNPVEVPLFNWGKYTLDSLKEGVKSYIGKKDTKYFNGCLLFLQVKQRLQKEIDCLGYGYGKFMPATDVEGNDGPTGVRQPPISTNQLLGLLYNIIISHNASLRLYSNIQAMADSIRGRKRKIDELDEQIQLLRAENDAEIEAYIAFSTEIMKNERVPGAIDDQDPRGSATRENAGNSSVGVDIPEPEARQKSNEKAFTSQGSNDKVKAKREAEAAEGSNGATIVGASNSDDRKSINTPASDPSEMIQGVIKSLPSLQILSSWAGKRFRRVCGMHIINSNPRNEGGQGQQGRYREKIQPGKLPISQLKVTRETQPQISQKLERLVADYAWEKSLSEAEFLCSMHYWNLDRKDLKSLAPGKWVSIMVVEMYVLVMNARRGSNGSLAEDGRIVISPVFAFLVSRVNLRAMPGKEYSAEGVAKNIDTYLRSPDRVRNARLIFVPLLANKHWVLYCVNTVSEKIQILDSRRMNDGHVHMGYPQRLKMVMALVLERFGHPSADSVQKFSEERPELAWQSDDNVADCGVYLLKHLELWNGCEAVKFALEQGERAMNEYRVELIAGLIMDENNGKKGCVLQAVMEGASNGVT >OMO74259 pep supercontig:CCACVL1_1.0:contig11151:35293:37984:1 gene:CCACVL1_16877 transcript:OMO74259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMATATVTPCVAHLIGRSTARLQFSTRAASLLDRNAAFPTRKTNKNKLSSTKSCSTIAALPFELSPPPIDHDFLDTVTAEGAKVSEGGIIETFDNDDEALDEFDNGVAVMDLSHFGRIRVSGDDRIQFLHNQTTANFECLSEGQGCDTVFVTPTARTIDIAYAWIMKKAVILVVSPETCGDIVQMLNKYIFFADKVEIQDITKQTCLFALAGPQSNQVMSNLNLGDLVGQPYGTNCHYSVNGMPLTVGVGNIISEEGFSLLMSPATAESVWKTLLSQGAIPLGSNAWEKLRITQGRPAPGKELTNEYNVLEAHLWNSISLNKGCYKGQETISRLITYDGVKQRLWGIHLSAPAETGSPITVNGKKVGKLTSYMTGRNESGHFALGYIKTKAASRGETVIVGENIIGTVVDVPFLSRQSPPVKKPSS >OMO74262 pep supercontig:CCACVL1_1.0:contig11151:48211:63742:1 gene:CCACVL1_16880 transcript:OMO74262 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 METRFRSRFCTLILLACVIVSGFTFVGAQNRRPKNVQVAVRAKWSGTPLLLEAGELLSKESKSLFWEFIDDWLHVPKTADDLHSAKDCLKKILKHGSSLVSESLASLFEFSLTLRSASPQLVLYRQLAVESLSSFPLADDSYSNDGNGVDANETITTKKLDPLLVGVNPKSPGGKCCWVDTGGALFFDVAELLLWLQRPNELGDSFQQPEVYDFDHIHSDSNTMSPVAILYGALGTDCFREFHVTLVQAAKEGKIKYVVRPVLPSGCEAEVGLCGAVGATDSLNLGGYGVELALKNMEYKAMDDSTIKKGVTLEDPRTEDLSQEVRGFIFSKILERKPEFTSEIMAFRDYLLSSTISDTLDVWELKDLGHQTAQRIVQASDPLQAMQEINQNFPSVVSSLSRMKLNDSIKEEIIANQRMMPPGKSLMALNGALINIEDIDLYLLIDLVHRELSLADQFSKLKIPHGTVRKLLSTVIAPESDTFRVDFRSTHVHYLNNLEEDAMYRRWRSNINDAIDMITSFYESSFPMRFGVILYSTQFIKKIEMSGGELHSSASVHDGEIEDDESSLIIRLFIYIKENHGTQTAFQFLSNVNRLRMESSESTDDALEMHHIEGAFVETVLPKAKSPPQEILLKLEKEQTYKDLSEESSMFVFKLGVSKLQCCLLMNGLVFDSSEEALVNAMNDELPRIQEQVYYGQINSRTDVLDKFLSENGVSRYNPQIVLDGKVKPTFVSLASSILAGGSVLNDINYLHSPETVDDVKPVTHLLSVDITSKRGINLLHEGIRYLIGGSKGARVGVLFSASQHADLPSLLLVKTFEITAASYSHKKKALEFLDQLCSFYELNYLRSPVAAESTEAFIEKVYELAEANELSSKEYKSSLPNASDQKLRENLNKVAQFLYRQFGIASGVNAVITNGRVTSVDAGVFLSHDLHLLESVEFKHRIKHIAEIIEEVDWQGVDPDMLTSKYVSDIVMFVSSSMATRDRSTESARFEVLNAEYSAVVLENENSSIHIDAVVDPLSPSGQKLSSLLRLMAKYVHPSMRIVLNPLLNMPVVVTSVDNSWMGKLKTLKETANSSHHVFNVSLWTLQDDFSGTDYTVNGPKAFFANMPLSKTLTMNLDVPEPWLVEPVIAVHDLDNILLENLGDTRTLQAVFELEALVLTGHCAEKDRDPPRGLQLILGTKNTPHLVDTIVMANLGYWQMKVSPGVWYLQLAPGRSSELYHFRDGGDDGSQEKSLSKRITINDLRGKVVHLEVAKKKGKEHEKLLISADDDKGSKENRGQSGWNSNFLKWASGFIGGSEQSKKSNETLVEQGKGGRRGKTINIFSIASGHLYERFLKIMILSVLKNTRRPVKFWFIKNYLSPQFKDVIPKMAEEYGFEYGLITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLEKVIFVDADQVVRADMGELYDMDIKGRPLAYTPFCDNNKDMDGYRFWRQGFWKEHLRGRPYHISALYVVDLDLPNYAQHTVPIFSLPQEWLWCESWCGNATKSRAKTIDLCNNPMTKEPKLQGARRIVSEWTSLDYEARKFTAKILGDELDNPEPVASPEVSSTDSSSEDTESKAEL >OMO74257 pep supercontig:CCACVL1_1.0:contig11151:16062:17704:-1 gene:CCACVL1_16875 transcript:OMO74257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPYLANFSTITEAADDLWPLTQEDNMTSIQLVLEPGQEGKSIGKEVLGNGNADGKQEKHQCPFPIGNGKFHSISFLEQENSALDDHNMNMNELQLQYGHCSDRDHLLSPLYGASDYACSLIQGNSTNYMPTENNARSTAELDSSIFGLEPVLEPNIINNCLSAALEQLEDSDEQLDLLLQESSTSFLSHVDEKTKDDDQTDLSFDPDQQELGADPCNGKGLSTAKRVINAKTSRRRNNYRLKGLQAAGNNIKEVQLQGELGSTVKKQQHNAKERVRRMKLHASYLALGALLPADSTRSKKRKSAPHIIDRAVDYIPELEEEIEKLTLRKNHMLAKIKNKQPLNQNPDFQLHQDPSVLVHEIKQGEFIIQICRQKHPGDAFSNLLHKVEEEKGMSILSASTLQVSDYGLCYHLHIQINEISLNGANHLTSLREKVISWLH >OMO74255 pep supercontig:CCACVL1_1.0:contig11151:7345:8858:-1 gene:CCACVL1_16873 transcript:OMO74255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramidase MGKRTVYAWAAAAATILCFIVLMRVTPSIPQPQYYHNFADKRQFFGIPNTLNVVSNLTFIVIGITGLVLCHYKNYFNIRSQGERWGWTCFYIGVIAVGLGSGYYHLEQNHPRLLWDRLPMTIAFTSLMAILIIERVDEQMGTFSIIPLLLAGVISSLYWRFYDDLRPYTFVQFVACVAVPLMAVVLPPKYTHSTYWLLAAGFYPLALVNEGMDRVVYTLTFHLVSGHTLKHLCAAMVPVILSFMLANRTVETQRRSLLNTWKASRTKVKENGSNPQSGTYTQTSVPADKSD >OMO74256 pep supercontig:CCACVL1_1.0:contig11151:10022:12578:-1 gene:CCACVL1_16874 transcript:OMO74256 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ceramidase MRKRTAYAWGVAIVAFIVLMIVTPAIPQNQEYHDFADQREFFGIPNTLNVVSNFPFLVIGIIGLVLCFYKNYFKFCLQGELWGWTCFYIGVAAVGIGSSYYHLDPNDATLVWDRLPMTIAFTSIMAIFIIERIDERKGTVSIIPLLLAGVISILYWRFFDDLRPYAVIQFVPCIVIPLMAILLPPMYTHSTYWLWAAGFYLLAKVEEAMDKVIYEWTHHIVSGHTLKHLCAAMVPVFLTLMLAKRTVETERISLLQTWKVSWTKVKENGSTVERSTYTYTSVEVDESH >OMO74260 pep supercontig:CCACVL1_1.0:contig11151:40016:41965:1 gene:CCACVL1_16878 transcript:OMO74260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQNMKIRLELACFIFLSALSFTLAASTNTSTSCPMDLSYVTAIPWNSSACHNYRPNSTSKAVIAKQPCCTLLLSVFGVGLAQHLKDTSLFQLPDLPTSQSCLHGFQSRLNSLSLPSDLVSLCFDPQQFVITPYLCANIQTTQDWVARLGKSTSLDQGCRSDLSDLTTCDACVRSANEVLAKMIFLDGNQTNSTDCFDFIVLYAAAIVNQFGPKSTGTLECAFQLTFNEQYSSSSSKRHSALVFGLTGAGVAIFVTLSLLGVYFWYEKRFTKRKMGGSDSNFDEEEQGSRPKLRPNTGSIWFKLSDLEKATDNFSQKNFIGRGGFGFVYKGVLPDGTVVAVKRIIESEFQGDEEFCNEVEIISNLKHRNLVPLRGCCMVDVDESYDDKGYQRYLVYDYMPNGNLDDHLFPSNTGRMPLSWPQRKNIILDVAKGLAYLHYGVKPAIYHRDIKATNILLDADMRARVADFGLAKQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDLSSSGSPRAFLITDWAWSLVKAGKVDEALDRSLINNADSVNSNPKAIMDRFVQVGILCAHVMVALRPTILDALKMLEGDIEVPAIPDRPMPIGHPSFYGDGNAFSISPALSGPQLHKGDMLR >OMO60041 pep supercontig:CCACVL1_1.0:contig13799:10073:12559:1 gene:CCACVL1_24444 transcript:OMO60041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPNNQAGNLSVQAFNFREIAAATKNFRQECLLGEGGFGKVYKGTFQATGKVVAVKQLDRHSMQENKEFLVEVGQLQKQFMEPGTEGKLINKEESSIQDDDSSSESESESDDDQNINIEEQNQQEGSVCSSRSSSVSRDENGVVTHDYSRKYNAGSTYSREESSKDSNACSGEEASRSNFLSRKYSNHSSRKSIEGNVSRKSSKKSKDENVSLHQTSSRKSAASTSRKSSQRAQDGNVSFHHNSSKKSSEGNVSRKSSKKSQHANLTSDHNSSRISNDEINPSPSSSRKNRRRHKKVDDSHRSSSSSSDESDNGGSQTFELENHVHHNQNQPTFTKASTVSF >OMO60042 pep supercontig:CCACVL1_1.0:contig13799:13511:15446:-1 gene:CCACVL1_24445 transcript:OMO60042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSDEVYESDSEGGAKGVIRCDTFDLNALPQDCIAAVLSFTSPRAACRLSVVSTVFKSAANSDAVWERFLPSDYQAMIPSSLCFSSKKELYFRLCDNPILIDGGRKSFSLERGSGKKCYMLSARELSIVWSDTPTYWRWGSTPESRFEEVAELLNVCWLEIHGKISVSLLSPMTQYKAYIVFKATTGAYGFEFQAVIVSVGLVGAEGSERSVYLDAERGRRQRQFVPRRIGLWNRSRFFGLQTAVRTTQATDDQYPKVRLDGWLEIELGEFFNEGCVDGELEMSVLEVKGGHWKGGIVIQGIEIRPNVCSSGS >OMO92245 pep supercontig:CCACVL1_1.0:contig08247:1570:1689:1 gene:CCACVL1_06897 transcript:OMO92245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLGLGMSTGRGMCGGYTPHPRPRLRNPFPVPVPIPGE >OMO92248 pep supercontig:CCACVL1_1.0:contig08247:43536:46833:-1 gene:CCACVL1_06900 transcript:OMO92248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVFLLLFLNPLRLVFGLNQEGLYLQRVKQSLSDPTNALSSWNDRDDTPCKWRGISCDPVTGRVVSLDLSNFQLAGPFPVFLCRLASLRSIILVNNSINSSLPSDLSTCQNLSTLDLSQNLIVGSLPDALAQIPTLENLILYANNFSGEVPASFGRFQRLQVLNLAGNLLDRTIPPFLGNLSSLIELDLAYNPFLPAHVPSELGNLTNLEQLFLAGCNLVGQIPSMFSRLTRLQNLDWSYNRLTGSIPSSISQLKSIQQLELYNNSLSGQLPSSMGNLTTLQRLDASMNELTGTIPTGLCGLQLGSLNLFDNRLEGTLPESITQSKELYELKLFNNKLSGPLPSQLGLNSPLRSLDLSYNQFSGEIPENLCAKGQLEDLVLIYNSFSGKIPKSLGKCWSLLRIRLKHNRFSGLVPDGFWGLPRVFLLELAENSFSGHISKMISGAHNLSILSISYNQFSGSLPDEIGSLETLVEISANKNGLTGRIPGSLVKLSQLVKLDLSENGLDGGIPEGIKGWKNLNELNLADNRLSGGIPSDIGSLPVLNYLDLSSNSFSGEIPIELQNLKLNVLNLSNNRLSGELPPLYAKEMYRNCFVGNPGLCGDLEGLCPKTGKSKNQGYVWILRSIFILAGVVFVVGVVWFYVKYMSFKKNKKGATISKWRSFHKLGFSEYEIADCLKEENVIGSGASGKVYKVVLSNGEAVAVKKLGGVKKGESLSADAERDEFEIEVETLGKIRHKNIVRLWCCCNAGDSKLLVYEYMPNGSLGDLLHSSKGGLLDWPTRYKIALDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGEFGARVADFGVAKIVKRLGRGAESMSVIAGSYGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGKPPTDAEFGEKDVVKWVCSTYDEKGADQVIDPRLDSTYKKEICRVLEIGLLCTNALPINRPSMRKVVKLLQEAGGEWEHKSKAG >OMO92246 pep supercontig:CCACVL1_1.0:contig08247:2130:4625:-1 gene:CCACVL1_06898 transcript:OMO92246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVPGCQWVPNPVRNPIPRPDGDGDGEWSSRLGPDPEI >OMO92247 pep supercontig:CCACVL1_1.0:contig08247:17903:20055:1 gene:CCACVL1_06899 transcript:OMO92247 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MGKKKQDDTGATAKVKGSRKDASKDVKLSVSAMLASMDQKPDKPKKGSSGATAKPMAKGPKVSSYTDGIDLPPSDEEDYASQEEQTESNQHQRQASVLDGEDEANANVKDITIDNFSVSARGKDLLENTSVKISHGKRYGLVGPNGTGKSTLLKLLAWRKIPVPKNIDVLLVEQEVFGDDRSAIQAVVSTNEELIRLREEVAVLENSSSAKGGEDDNELNGDDAGERLAELYEKLQILGSDAAEAQASKILAGLGLTKDMQGRPTRSFSGGWRMRISLSRALFLQPTLLLLDEPTNHLDLRAVLWLEEYLSRWKNTLVVVSHDRDFLNAVCTEIIHIHDCKLVSYRGNFDDFESGYEQRRKQRNKKVEIYEKQSKAAKRSGNQVRQEKVKDRAKFAAAKEAAKNKGKGKIDEDEFLAETSKKWINYSVEFHFPEPTELTPPLLQIINVSFSYPNRVDFRLSDVDLGIDMGTRVAIVGPNGPGKSTLLNLIVGDLVPSEGEIRRSQKLRMGRYSQHFVDLLSMDETPVQYLLRLHLDQDGLSKQEAVRAKLGKFGLKSHNHLTPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMESIDALADALDEFTGGVVLVSHDSRLISRICDDEEKSQIWVVENGTVNTFPGTFEDYKDELLKEIKAEDDD >OMO59861 pep supercontig:CCACVL1_1.0:contig13860:3050:4492:1 gene:CCACVL1_24566 transcript:OMO59861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPLLFLLATLLLVAASRVASTEEEQKIESKYAAPVPVTPTPAPPYKAPTPPVTVPSPPYKPPTPAPPTTAPVPPTKPPTPPYKPPTPTPPVKAPTPPYKPPTTPTPPTKPPTTPAPPYKPPTTPAPPYKPPTTPAPPVKPPTTPAPPYKPPTTPAPPVKPPTTPSPPYKPPTTPAPPVKPPYKAPPTPTPTPPYKPPSPTLPPVRTRKDANVFHQGHMATGKCVANATLR >OMO59862 pep supercontig:CCACVL1_1.0:contig13860:5923:12281:1 gene:CCACVL1_24567 transcript:OMO59862 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfatase MGGDGILGNRDSKQSKQIIGKRRKWMKRREIWLVILGVILHAVYMLSIFDIYFKTPIVHGMDLVTPRFSAPAKRLVLLVADGLRADKFFEPDSEGNFRAPFLRSVIKNQGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNRSRHTFSYGSPDIVPIFCGALPHSTWNTYPHEFEDFATDASFLDEWSFEQFKSLLNKSNEDPKLKKQLQQDNLVIFLHLLGCDSNGHAHRPFSSIYLNNVKVVDHIAERVYNLLESYYKDNRTSYIFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRYPKPTSGEDHSDHVLRFVDEHLHDTPTPKEWGLDGIERVDVNQADIAPLMSTLLGLPCPVNSVGSLPLGYVKLKEEEEVEAVVANTKQILNQFLRKSEIKRSHSLYFKPFKPLAEYSSMLNRIESLLSARDYKAAMQLSENLRSLALEGLHYFQTYDWLMLMTMITLGYIGWMAFLVLHVLQAYTSLVGDIYKKEELMRQKYNTGKVQFLGCLFMGVLSILLFLEHSPPLYHAYFAMTVFLWTQIFNWYQFIKALWRHLSGRKFNYAIKLLATAVVSVFILELLVHSFTERKLYTWCFLVVGAAASLYLYNLIPWNSGIPAFVLLTCWFLSLFTLMPAEIPDNNKLVIASGGMIILIGLAAKWLDLNADWNTYWLAICLSMVLPLFSDNGLLSRLNSIFLGFAPTFLLLSIGYEAVFYGAFGLVLVAWILFENSLLYSSKVKKSSAARKNLEEHIFLENDVRYLQLSDVRIPLTFMVLFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAALLIFKLFIPFMLVM >OMO75727 pep supercontig:CCACVL1_1.0:contig11007:3550:8811:1 gene:CCACVL1_16066 transcript:OMO75727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKEDKGGRARYGDGAVWGNIEKGRIGKSKKVEIVEISGAEKCFHMTIGAVNSETQQPGKPKIFKISAFLEFGDIDVSEADEADKLDPNIICAFLKSESVEEKDHVEPVEDYASF >OMO75728 pep supercontig:CCACVL1_1.0:contig11007:11280:15025:-1 gene:CCACVL1_16067 transcript:OMO75728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLADLDRLPYCRILANQRNHSKG >OMO75729 pep supercontig:CCACVL1_1.0:contig11007:16260:21352:1 gene:CCACVL1_16068 transcript:OMO75729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKVRTSHQTKHMVVRLGVVDKRDLSAMG >OMO57168 pep supercontig:CCACVL1_1.0:contig14433:1708:1950:1 gene:CCACVL1_25943 transcript:OMO57168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAAMYVVSTRSIEEAMNIFTEGLEPVVSWQEMIT >OMO57169 pep supercontig:CCACVL1_1.0:contig14433:5169:6697:1 gene:CCACVL1_25944 transcript:OMO57169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGSLVPKTQKLLKDSSVDNISRLPDEILSHILSFLHTKAVVRTSILSKRWKHVWTLVPNLDFNDHFGFSPVDEARFRLFKDYVDNVLFHHGGLNIKKCFLQCATSPHIYARISAVLCCNVEELTIKSSSFGTCKELPWNLFTCKTLASLRIDGGFVLNLPYYVCFPSLKKLHLDSLIYVDDSSMQRLFSSCLVLEELYLKRVRGDCVLIANILIPSLKRLYLVVPPLERMANHDYKTNINAPYLEYLEISDSASKNYSVDFSASLLEAKILGRCPFLLEGISNVQVLTLSGETMEGLVEPKGYGDGFHGFYWIPPDSVPECLLECLTAIKIHNFSGRRCEIFLVRYMLEHAEVLGEMTIHWRGEFKCSKKAKHVSSVHREILSIECCSEDCEVEFI >OMO51491 pep supercontig:CCACVL1_1.0:contig15805:19793:28712:-1 gene:CCACVL1_29768 transcript:OMO51491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MEEERKKKRWSVTYTRHIKQKRKIFQDGFLDLHIITNKLMLYDESEKLLECRMLREDEVVSSSETLTFSSYFVDVGLLHDSHFESDSTMKKNNKARPIDLTLSPSQKIIREFKKSELQKYGAPQTSPTTLKTSVTEWQVLYSTQLTQKSKKYHDGFLRLSACGSLGRQVMLFDEQRKLLESKFLKRDVVIRSGESIPLDAHLVDIGECNGNNLEGNDSKTDGKMGIRHERKNQLENGGAFGKDDKKCEVQTFGTGLSTARTIKSSMKEWQVMYTTQVTQKAKKYHDGFLQLTNSGSLQRQIMLYDGSKKLINSRFLKKDEVIQSGETIAFDAHLVDIGEPEGNYQGLMDSDVHISNHIVAGKSVLMNRVPNSLKTNKSFLKGKPQKIACSRGFADPSFSIPDINETKLSNNISANKPLHDGKPQKMVCSRGYADPSFSISNINEINANKPFRDAIQIMSILQKPMTQDSFASGSIDKKITNPAPFTKEPQNLDSSRTKSCSSGDEPLNNIEVGDSHQPLSDNVEAYTQETDDVTKNSAELNISTEKASVCRGNVKVKGLERHADADAGSLFTSRNWTNSSTHPHNNFIQNHPPPNPNPNPATNTHNLLPTTDFDRKRKLGEGKAQDIMGEVSKTHKAIQSLDKAISNLEMELAVARMTQGHGGATLLENASTNKTLQKAFVVIGINTAFSSRKRRDSLRKTWMPTGEKLKKMEKEKGIVIRFVIGHSATKGGVLDKAIEKEEAEYNDFLRLKHVEGYHQLSTKTRLYFSTAASIWDADFYVKVDDDVHLNLGMLASTLAKHRSKPRVYIGCMKSGPVLSQKGVKYHEPEHWKFGEEGNKYFRHATGQIYAISKDLAAFISINSNILHRYANEDVSLGSWLIGLEVEHVDERSMCCGTPPDCEWKSQAGNVCVASFDWSCSGVCNSVERMKVVHSSCGEGDAAVWNWKLDL >OMO51488 pep supercontig:CCACVL1_1.0:contig15805:8511:12789:1 gene:CCACVL1_29765 transcript:OMO51488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar sorting protein 9 METQSQTVAFDSFLQRMRNPASLDLVRSIKSFIVSFSFYAPNPENDAKRIQDFFSTMEAAIRDHPLWASCTDEEFDNALEGLEKYVMTKLHSRTFASSAEDVKMDQEISEKICLLQTFLRPQHLDIPAVLQNEASWLLAEKELKKINAFKAPREKLLCIMNCCRVINNLLLNASFSEDHVLGGADDFLPVLIYVTIKASSPCSDLFLSLIKVQWVFGCIYHEANPPQLHSNLKFIQSYRREQKLVSEAAYYLTNLVSVKSFILDLNAKSLSIEEIEFEESMQAARLVNRVPQVTSITLDNISTSGRQTDPGPSAATHKRKTNINGGSNYPYMEAEAGELTIIDKPAPGRISPLLADMLASNKMSPNNDNPKDKYSSDNEETPSTPNADAVSTPREKAEELWSSVPLKIGLQELLQTRHYLVFLESKN >OMO51493 pep supercontig:CCACVL1_1.0:contig15805:59152:62056:-1 gene:CCACVL1_29770 transcript:OMO51493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MSAGVMEEHLSIVDWRGRPFKPNNKHGGMTAAVFVLGLQAFEMMAIAAVGNNLITYVFNEMHFPLSKSANIVTNFIGTVFLLSLVGGFLADSYLGSYWTMLIFGFVELSGFILLSIQAHIPQLRPPHCDMVSNGYYCEQAKGYKALIFFLALALVALGSGCLKPNIVSLGADQFTREDSSSNSKQSNKLSTYFNIAYFAFCMGELIALTLLVWVQTHSGMDVGFGVSAAAMATGMVSLISGTLLYINKPPRGSIFTPIAQACIKIQNSGKKESPWRLCSVSQVEQVKIIISVIPIFACTIIFNTILAQLQTFSVQQASAMNTHIIRGFKIPPASLQSIPYMMLIFVVPLYETVFVPVARKITGQDSGITPLQRIGTGLFVATLSMVAAAMVENKRRKSVETLSIFWIAPQFVIFGVSEMFTAVGLIEFFYKQSWEGNGRMQSFLTAMTYCSYSFGFYLSSILVSLVNKVTSGWLKENDLNKDRLDLFYWMLAALSLLNFFNYLFCSSYYSYNPSLSIENLDLGKANFDEP >OMO51494 pep supercontig:CCACVL1_1.0:contig15805:63457:64258:1 gene:CCACVL1_29771 transcript:OMO51494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSYYPYPCAAATTGSGSPHPQRLGSHFQPQNPKLRNHAPKSSSLRLRLNNSAKFETRLKLFVRFASNSNPSSKFNDEEDISTKTSAEGQGQGPPLLTILAGFLVFLLFCWILGSILMWFIGVIVK >OMO51490 pep supercontig:CCACVL1_1.0:contig15805:14771:19163:1 gene:CCACVL1_29767 transcript:OMO51490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSNMQQLKGDCIVSIGDLSFNRELTGSLSPRLGDLEKLNILILAGCGFTGNIPEELGKLAELSFLALNSNNFTGRIPPSLGKLSKLYWLDLADNQLIGSIPVSTPTTPGLDLLLKAKHFHFNKNQLSGTIPPKLFSSEMVLIHILFDGNQFTGNIPSTLGLVQTLEVLRLDRNALTGNVPSNLNNLTNINELNLAHNNLTGPLPDLTSMNTLNYVDLSNNSFDPTEAPVWFSTLSSLTTLVIEHGSLQGLVPEKLFSFPQIQQVKLRNNAFNGTLNMGESVGNQLQLVDLQNNEISSITLGSGYANTLILIGNPVCTSALSNTNYCQIQQQNTRPYSTSLANCGSKSCPVDQKVSPQSCECAYPFEGTLYFRGPMFRELSNVNMFHSLEMSLWVKLGLTPGSVFLQNPFFNVDDYLQIQLALFPPDGKYFNRSEIQRIGFDLSNQTYKPPPEFGPYYFIASPYTFPGSHGASISHGVIIGVVIGGALLVLCLFGVGVYAVWQKKRAEKAIGLSRPFASWAPSGKDSGGAPQLKGARWFSYDELKKCTHNFSESNELGFGGYGKVYRGILSDGQAVAIKRAQHGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMANGTLRESLSGRSGLFLDWKRRLRIALGSARGLAYLHELANPPIIHRDIKSTNILLDEHLTAKVADFGLSKLVSDSSKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELITAKQPIEKGKYIVREVRALMNKKDEEYYGLRELMDPSIRSNAGLLIGFGKFLELAMQCVEDSATDRPTMSEVVKGIETILQNDGMNTNSTTSASSSATDFGAAKGCPRHPYYGDTNNLPRKDGNDSDAFDYSGGYTLSAKVEPK >OMO51495 pep supercontig:CCACVL1_1.0:contig15805:64885:76274:-1 gene:CCACVL1_29772 transcript:OMO51495 gene_biotype:protein_coding transcript_biotype:protein_coding description:ssDNA-binding transcriptional regulator MMKLSRSLLSRNLSSQTLVPGKWSDTGDALWSHAFESRAAISTSAHDFAVKGNSNASVFAPYTVFKGKAALSVSPLLPTFSKIDSGALKLDRRGAMMLTFWPAVAERKYDWEKRQKFALSPTEVGSLISMGAADTSEFFHDPSKLSSNAGQISKKLFIKAHDAGNGYMISLTVTNNILKSTDRLYVPVTTAEFAVLKTACSDVTVIHILLLEIMCRYLCEIRLSRSTCTHKHAYSEKIFCCFTYFAAHFFKFDIGLLLEDSGLVIRVCVASHHWLGLVDQSVRNLSSQTLLPGKWSDIGDALWSPAFESRAAITTSAHDFAVKGNSNARVFSPFTVYKGKAALSVSPLLPTFSKIDSGALKLDRRGAMMLTFWPAVAERKYDWEKRQKFALSPTEVGSLISMGAHDTSEFYHDPSKLSSNAGQIAKKLFIKAHDAGDGYMVSLTVTNNIQKSTDRFYVPVTTAEFAVLKTACSFALPHIIGWDWLNNRSGKGGIEGSSTKVEALSNEEEVELRSKIEALGLEVTKVPSKSSHSLDELEIERELDRLSVKLDGVDEMISSDPQVQSLLSDTAHVWMPVITANADERRNFTAPTISDDKPPPSTQ >OMO51489 pep supercontig:CCACVL1_1.0:contig15805:13682:13892:-1 gene:CCACVL1_29766 transcript:OMO51489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGVAEAEAEPRGPSHALHLQI >OMO51492 pep supercontig:CCACVL1_1.0:contig15805:40232:40837:-1 gene:CCACVL1_29769 transcript:OMO51492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSREEVVMMLVARTLNGFIITSHPAFSHSISSSVFLEMGSSSSSPAPSSVFLGVDIGTGNARADIFDEKGKLLGSAYSPIQIWKEGDFVEQPSTGIWHAVYLVVKSACALAQIKGEEVKGIGFVASCSLVAVDANGSPVTVSWSGVSRRNIIVWMDHRAVKQAKKINSCNSPYYFRGNFVILPGRIGFQGQKKSCKDWK >OMO51497 pep supercontig:CCACVL1_1.0:contig15805:86987:87097:-1 gene:CCACVL1_29774 transcript:OMO51497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDEAGELTIKEEEEDEHVKGKGSPIVVTQAPFAF >OMO51496 pep supercontig:CCACVL1_1.0:contig15805:76703:82343:1 gene:CCACVL1_29773 transcript:OMO51496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEEVKRKKYGRSRKRKKSSSDSEPNTTILPLLMAATYKQSLPLLKKCLYKFHRSFLSQPQEEEAPPLAVILSLCPLLLNYESPEIASLTAEIVGAASLMSFHMNQQVVSDSPTLKALISLIPTSPNSVSTAACNALLDLCSSSLGRHRLLHCSALETLMFAFLQVQSSSSIPISLHAGDDVNTASLKLGFQKDKLSVLLLITAIILINACNIEQLGKIPSRLAECFSVFLKELWCKAHKQMINGNILGPSQGMNLYISSITINSLAESIFRLSINVDQFPASMHGEMVKRRIFCFGEDDFKHFILSQWEVLPFVIRKSSSASLEVNEFFTSFMQSLCCKGKFPSDLPSILQSLTSCLPIASDELDILNFLKQVRKKLGCPLMNEQDIRVVRTDNQSKKEVRFFQEDLNSCSFNAPKILCVDDILKCQEAYNKGYTIALRGMEFRFERVAIIADALASIFGQPSAGANMYLTPPNSQGLARHYDDHCVFVCQIFGSKQWKIYSQPTVQLPRLYDPCNINEGIGNSRAECYHFLLNEGDVMYIPRGFPHEAFSHNCRPDGSAGYSLHLTLAIEVEPPFEWEGFVHVALFCWYSTQNQHSLSSKSVSGILNVMSVKLLHVVIGLLGDSDPTFRKACLVAAASLQSDTNSWLDVHQKTIFSNLIEKISRESRFAEALKSVEVAVQRNEDPFQRIKWLRFLNQDREPVDWDVPLTGVQDLLSTYVKHKKMAEAVFMQVKYKFCSEVSYADVIGNYKILHEKYKKARKQYMNGMLSLHQVSGL >OMO51487 pep supercontig:CCACVL1_1.0:contig15805:884:7768:-1 gene:CCACVL1_29764 transcript:OMO51487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAVTLWFNQKIVDPLLQILRRGAEPKQLAFSAALGITLGIFPICGVTVLLCGVAIALLGSICHSATVMLANFIATPIELSLVVPFLRFGEALTGGPHFPLTSDALKKVFTGQASREVLFSIAHALLGWLVAAPIILAILYTVFLPIFKVLVPKFSSVPMSPKKPLLSHSEIRLKQKKQIILGMSQSESESSSKQADCCSSPNTPSTVAAELKLYQAFIFSVPIFFAFILLFMFYLFYLRRRRADWSSLRMRTSIDHNNELFMAELGLKKEVREMLPIIIYKETFSIKDSQCSVCLGEYQAEDKLQQIPACGHTFHMDCIDHWLANHTTCPLCRLSVLASPKASDKLPVSQAENNQSSHPEDSSGSSVQPMSQSCEERQVVQPSEPTTGDAGFLQQNSEEQECVDQGREFATARSETREHEGSRAIS >OMP01225 pep supercontig:CCACVL1_1.0:contig06499:29209:34518:1 gene:CCACVL1_03139 transcript:OMP01225 gene_biotype:protein_coding transcript_biotype:protein_coding description:golgin candidate 2-like protein MANWISSKLKVAESLLQQIDQQAAESLKKNEKPSSDEVKLDTPTKTGGVVSLKDQLKKKSQENNDFQGKLFSDRNANLSNNVSSNNDSVRVSNRDKEVSSSKVPSKPKSTLTDSDWTELLSTPSQGTSSRGNNRSNGVSGVRGLRRDASRKKGSLGSNLSVLEGKKNQKSNVNVVKSARRSDIGLGNKLNGKPSDGEESSSSGRPSSVDIQNDGKNVEGLELDHKDTAANLNEENGWQLDSKDLSSDVEGFSQSQKKKHSFEKLLELGKVDRGPDVKIGTANANDQLRTAVRGKSNSISASRSSVADDVKRGSQSTSDGSSDSDSDSGSTSDSESEREREERRRRKERMLAERAAAKAVEAIKERENMVARLEGEKQSLEKILEERAKQQAQEASELQTTMMEMMEAVELEKQKHNNTRMAALQRLAKLETTNADLARSLATAQKKLEVEINQVADIRQQIELKEAAQEELRRRITNNNQSGTYLNQLAASKGIEFEREILEAEYSLITDKIARLQDKARQLEASIELTRKEMEDPTEVEVELKRRLGQLTDHLIQKQAQVESLSSEKATLLFRIEAVSRMLDEGKSMNISDASSSDIESGTWNLPDSKFKPMLEDKIRSGRRQLNSLLCQLDAIFVAGAIFLRRNATAKLWSVVYLVCLHFWVIYILMSHSHATVEERSGAVVSLENINKTGGV >OMP01227 pep supercontig:CCACVL1_1.0:contig06499:41332:52823:-1 gene:CCACVL1_03141 transcript:OMP01227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLLKKLHMMSNQSEDLEGSTSSRGNNNKSSDVSSSSSPERPLNSRSHHIPEHNKPLSVLSNWLNSVANKKSPSPPSSSNVKEENMEPTDLVSTSGLEAALDAVRRNSGSDNSRDPDVEEEYQIQLALELSAREDPEAAQIEAVKQISLGSCGPENTPAEVVAFRYWNYNSLNYDDKILDGFYDLYGILTESTSERMPSLLDLQGTLLSDNVSWEAVLVNRALDANLLKLERKALDMTAKLRSESLAFVSSNMVQRLAVLVSEYMGGPVADPDNMSRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLADSAGIPCRLVKGRQYTGSDDVAMNFVKIDDGREYIVDLMADPGTLIPSDAVGSHVEYDDSFFSTSPLSRDIDSSHIASSSSGVGSSFEDNSEFGTLEKRSRFRNVAAANQSDERDDCNASVNSSVATRREEQTKESMDDFKTPCNVEKPPVRELPHRPNYPYAHARSPSWTEGVSSPAVRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFTEIYSEQLDTSTVEVRLPAETKDETRQGSGPRESKNQDNFGPSPCLPPLPHRKSYAKASSPHNQPEHLKPVEGLGVTYPFDAREVIGPPVSSQSEAAPIQYARNVPVAAAAAAAAAVVASTMVAAATKSGADSNVELPVAAAATATAAAVVATNAAVTKHNERIDADVDSASCDPQGSGERERDALKITSEGERISDRSAGNDSTKSDVSLDEVADCEIPLEEISLGERIGLGSYGEVYRGEWHGTEVAVKKFLDQDISGESLEEFKSEVRIMRKARHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHNCSPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTYLSSRSTAGTAEWMAPEVLRNEPSDEKCDVYSYGVILWELCTLRQPWGGMNPMQVVGAVGFQHRRLDIPDDLDPAIAEIIRKCWQTAYVSHEGCRRRSRQKRKLKTEASSGFIELPTVGSSPPFVSHPLTRTPHFSSSSQTPPPPTPPSQPQPPQLSTTSSEQQPPAPLKAQQQRPPPPKPATTVETTDWIASSLTRRFGLGAGLAWAGFLAFGVVSEQIKTRLEVSQQEANTRDVEKQEEVVLPNGIRYYELRVGGGASPRNGDLVVIDLKGKIEGSEEVFVNTFEGDKKPLALVMGSRPYSKGMCEGIEYVLRSMKAGGKRRVTVPPNLGFGENGADLGSGVQIPPSATLEYIVEVDKVSIAPA >OMP01226 pep supercontig:CCACVL1_1.0:contig06499:36869:40320:-1 gene:CCACVL1_03140 transcript:OMP01226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLDSHFLALTAIVTVGYQLFFFIITALLKFDKVTDFAGSTNFVIIAVLTLVIKGSWHFRQVVLTLLVVIWGLRLGLFLLMRILQWGEDRRFDEMRTNLGRLAIFWIFQAVWVWTVSLPVTVVNGSDRDPPVQAADIIGWIIWSVGISVEATADQQKLYFKNAPENRGRWCNIGLWKYSRHPNYFGEIFLWWGVFVASSPVLEGLEWLVIFGPIFLTLLLLFVSGIPLLEESADKKFGNVAAYRTYKKTTSPLILLPPAVYGNLPVWFKATFLFEFPFYSRNFPQGEVNW >OMP01224 pep supercontig:CCACVL1_1.0:contig06499:24128:24850:-1 gene:CCACVL1_03138 transcript:OMP01224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSYVAFRFDFHLKVFGVQLLTYFLFFFRPYFNRRWLFPALRCRRCCILPFVVRFVHADAVHRHITGLSLDYLGSSLIV >OMP01223 pep supercontig:CCACVL1_1.0:contig06499:991:5194:1 gene:CCACVL1_03137 transcript:OMP01223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSSISSSAPSSSSSLPAASCGLVPSHEEMLLVHVRYPFAIVRQAWSCQFSG >OMO81742 pep supercontig:CCACVL1_1.0:contig10113:1553:2717:1 gene:CCACVL1_12249 transcript:OMO81742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSDSCEAPHVFFLESVNNVSIGSNNQVLTTYKRAANRNMPPYSSSGNHSADPIIQIHVLSPATRRKEAAKVECFNVEYVAGLNVADINGEVVA >OMO72187 pep supercontig:CCACVL1_1.0:contig11493:75260:76341:1 gene:CCACVL1_17904 transcript:OMO72187 gene_biotype:protein_coding transcript_biotype:protein_coding description:High potential iron-sulfur protein MGSQPAQIPTSFGHELRACLRCRLVKTFDQFRESGCENCPFFKLEEESDRVAECTTPNFNGIISVMDPCRSWAARWLRIGRFVPGCYTLAVSEALPEELQV >OMO72179 pep supercontig:CCACVL1_1.0:contig11493:14086:25678:-1 gene:CCACVL1_17896 transcript:OMO72179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQWISKVKEGQHLLEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFLWTWRGNCLIQAASWVLLFSTSHRIAAAHPKISPSLSRRLTVTMTSQSPPLPPPLAKKVKHEMELFGDVRVDNYYWLRDDSRKNPEVISYLEQENAYTETMLSGTKKLQDEIYAEIRGRIKEDDISAPLRKGPFYYYSRTLEGKEYRQYCRRQVPNNDAPPSVNDTMPTGPDAPPEHVILDENVKAQDHVFYNIGAFKVSPNHKLVAYAEDTKGDEIYTVYVIDAETGAPVGKPLVGVTSYLQWAGNEALVYITMDEILRPDKVWLHKLGSDQSDDSCLYHEKDDMFSLDLQASESEKYLFVASESKMTRFVFYLEVSKPEDGLKVLTPRINGIDTSASHRGNHFFIQRRSDEFFNSELLACPVDNTSATTVLIPHRASVKIQDIQLFSDHLVVFEREQGLPKITTYRLPAIEEPLTGLQGGRAVEFVDPVYSVDSSESQFSSTILRFSYSSLRTPLSVYDYDMNTGESVLKKIETVLGGFDASNYVTERKWATASDGTQIPISIVYQKNLVKLDGSDPMLLYGYGSYEICIDPDFRATRLSLLDRGFIFAIAHIRGGGEMGRQWYENGKFLKKKNTFTDFIACAEYLIEQKYCSKEKLSIEGRSAGGLLIGAVLNMRPDLFKAAVAGVPFVDVLTTMLDPTIPLTTSEWEEWGDPRKEDFYFYMKSYSPVDNVKAQNYPNILVTAGLHDPRVMYSEPAKFVSKLRDMKTDDNLLLFKCDLGAGHFSKSGRFERLHEDALTYAFILKALNLIPTTHN >OMO72182 pep supercontig:CCACVL1_1.0:contig11493:53045:54833:1 gene:CCACVL1_17899 transcript:OMO72182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19-like CHCH family protein MGQVQSDLAAAAAIDDQVQAPSQRSSSMASLIAEAAAYGNDENQSLEAQAEKALECPCVAELRKGDCGVQFTEAFLCFLKSTAEEKGSDCVHPFVALQNCIKANPDAFPKNILEEKEEVKKEEEPAQEYRIYPPIWFKESQNPKPKL >OMO72183 pep supercontig:CCACVL1_1.0:contig11493:56062:60475:1 gene:CCACVL1_17900 transcript:OMO72183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFGSLSRAICPDYFGDEFGLPLPQLQPQSSTAKLKTKATSQATELGRPNLSRSLTVAAAKSGGNAVPSKNPNENKKEVVGKDTEEVEVEVEEDLPWIQEKALDLVEFTGSVTQALPGPRVGTSSLPWILAVPLAYAGITFVIAFVKTFKKFTSPRHKRKKLVNKNAMLCKSIDELFQQGSDALNQSALKGLVQQTGFSMEEILRKYIRYVLNEKPWSADLVASLIQLRKASMLDDSQVAEILNEISRRIVREKGPVVMDMSGFTEKGFKRKLAVQGLFGKVLYLSELPEFCSRDSSLIVKEIFGVTDEDADKLRLHTFSEAGDMDSLEKMVDGSGSDSEDSSEDSSDAA >OMO72181 pep supercontig:CCACVL1_1.0:contig11493:28640:33896:-1 gene:CCACVL1_17898 transcript:OMO72181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASIAVKSKEEERKGKKMEGMWENFMRNNQNTLKSLFQRKKLSSSEEGSLESSPRPIPQLSPLGNSVVSRCSKILKIPTEELQHRFDIELPESVKQLFTYARNFLEFCSYQALNKATRNPDYLSDPEFRRLTYEMMLAWEAPCVECEQKVKETLSSNEEVEEEEGGSLFYSSPMNMAVQVDDKKTVGREAFARIAPVCAAIADIITVHNLFDALTSSSGHRLHFLVYDKYLRSLDKVIKAAKSTWGSSLSNLSLSEVEIILDVEGAVPTQPVLQHVGISAWPGRLTLTNFALYFESLGVGVYDKAVRYDLSTDLKQVIKPELTGPLGARLFDKAVMYKSLTVTEPVYFEFPEFKGNSRRDYWLDISLEILHAHRFVRKNTFNETQQSEVLARAILGIFRYRAVREAFQFFASHYKTLLSFNLAESLPGGDVILEALSSRLALLSVSASPHTVKQLATTSPVSLLALSQLGFILQKDANLDGEELIVGDFCFGETNPLEIAVKQSISDTGRAEAAQATVNKVKVEGIDTNFAVMKELLFPVTELATSLERLASWKDPSKSTIFMMLTCGAIMRGWIRYILASILVLVAIIMLWRRYFNGGKPLEAFRITPPPNRNAVEQLLTLQEAISQLEALIQTGNVILLKIRALLFAVLPQATDRVALLLVFMAVVVAFVPFRYLVLLAFLEAFTRELPYRRESSDRWLRRLREWWFRVPAAPVQLIRADDKKKK >OMO72185 pep supercontig:CCACVL1_1.0:contig11493:69297:72671:1 gene:CCACVL1_17902 transcript:OMO72185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIEDIEPPLKRVKGPLEESKSLPEDHSIAEPVSCSLGDQMARPLNSQGDEETIGSEGVIKKAEFIKIITRALYSLGYDKSGALLEEESGIPLHTSAVNLFMQQVTDGKWDDSVATLQLIGVSDEAIVKSASFLILEQKFLELLKMEKVTAALDTLRNEIAPLRINLNRVHELAACVISPLQYVKLGVSGKETEGTKSRSKILEKLQKLLPAAVMIPEKRLEHLVERALDVQRGACMFHNTSDSVLSLYSDHQCEKNQIPSQTLQMLQAHNDEVWFLQFSHNGKYLASSSKDQSAIIWEVKENGQASLKHALYGHQKPVFTVSWSPDDSQLLTCGQEEVIRRWDANSGECLHVYEKSGIGLISCGWFPDGKGIFAGMTDRSICLWDLDGRDLECWKGQRTLRISDVAITDDGKRIISICRESAILLLDREAKFERLIEEADMITSFSLSEDNKFLLVNLINQEIHLWNIEGDPKLVSKYKGHKRTRFVIRSCFGGFGQTFIASGSEDSQVYIWNRCSGDLLVALPGHSGAVNCVSWNPVNLHMLASASDDGTIRIWGLDNLNLKTRDHCNGAIHHCNGSR >OMO72180 pep supercontig:CCACVL1_1.0:contig11493:27463:28078:1 gene:CCACVL1_17897 transcript:OMO72180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALGSSGSTTAINSSNIGCKLLKKHGWKEGTGLGISEQGRLEPVEAYVKNNKRGLGAEKNKRKAPKTTDTPNSNSNSRGQTDHHNNNKEQQQASSKKAKALSKRLRKMVEQEKRLQETEFERAFRREFWPDNV >OMO72186 pep supercontig:CCACVL1_1.0:contig11493:73865:74251:-1 gene:CCACVL1_17903 transcript:OMO72186 gene_biotype:protein_coding transcript_biotype:protein_coding description:tumor necrosis factor ligand superfamily member 6-like protein MNPDYYFPPETNSNYYPMELPPPPPPPQYSQLTGDQSGNSFFSLPSDGHSKTALVGVFCVLFVFAIIGCIYCAYRKNKKSESSKAESSTSQLETTSVPVLADFSAKASARAQDERPIPKGADRIEALV >OMO72178 pep supercontig:CCACVL1_1.0:contig11493:9688:13495:1 gene:CCACVL1_17895 transcript:OMO72178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydantoinase/oxoprolinase MGSVSEEKLRFCIDRGGTFTDVYAEIPGQPDGRVLKLLSVDPSNYDDAPIEGIRRILEEYTGEKIPRTAKIPTDKIEWIRMGTTVATNALLERKGERIALCVTRGFKDLLQIGDQSRPNIFDLTATKPSNLYEEVVEVDERIELVLEEEKGNAENSESVVKGVSGELVRVAKTLNEEALEPLLKRLLDKGISCLAVVLMHSYTYPYHEMAVEKLAMNLGFRHVSLSSALTPMVRAVPRGLTASVDAYLTPVVKEYLSGFISRFDEGLGKRSLDWIDMVGTSTDVSRYAGSYEQVIETKIAGAIIQAPQLDISTVAAGGGSKLKFQFGAFRVGPESVGAHPGPVCYRKGGELAVTDANLILGYVIPDYFPSIFGPKEDQPLDINATKEEFKKLADQINSYRKSQDSSAKDMTVEEIALGFVNVANETMCRPIRQLTEMKGHETRNHALACFGGAGPQHACAIARSLGMTEVLIHRFCGILSAYGMGLADVVEEAQEPYAAVYGPESVLEASRREAELLKQVNQKLQEQGFREENIKTETYLNLRYEGTDTAIMVKRRIAEDGSACDYADEFKKLFQQEYGFKLQNRNILVCDVRVRGIGVANILKPRALGSASGSPKSEGHYKVFFGNGWHETPLFKLENLGYGHVVPGPAIIMNGSSTVIVEPRCKAIITKYGNIKIEIESMLNTVKVAEKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVRWQLEYWGDNLNEGDVLVTNHPSAGGSHLPDITVITPVFDNGKLVFFVASRGHHAEIGGVTPGSMPPFSKSIWEEGAAIKAFKLVEKGIFQEEGIIKLLKFPGGDEYAQKIPGTRRLQDNLSDLRAQVAANQRGITLIKELIEQYGLETVQAYMTYVQLNAEEAVREMLKSVAARVSSESTILGWKNFVMIEEEDCMDDGSVIHLKLAINPDKGEAYFDFSGTSPEVYGNWNAPEAVTAAAVIYCLRCLVDVDIPLNQGCLAPVKIHVPAGTFLSPSDKAAVVGGNVLTSQRVTDVILTAFQACACSQGCMNNLTFGDNTFGYYETIGGGSGAGPSWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHKFGLREHSGGAGLHKGGDGLVREIEFRRPVVVSILSERRVHAPRGLKGGANGARGANYLITKDKRKIYLGGKNTIEVQAGEILQILTPGGGGYGSSI >OMO72184 pep supercontig:CCACVL1_1.0:contig11493:61356:64443:1 gene:CCACVL1_17901 transcript:OMO72184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase MSVSMSKPSFSASIPIPKPPKLSWSYSQLKIRCESLDSASKVDSQIPQATSLSVAGKLMESDAKNGPYPGGMSKMGPFTGRDPNVKKPEWLRQKAPQGQRFDEVKQSLSHLKLNTVCEEAQCPNIGECWNGGGDGIATATIMVLGDTCTRGCRFCAVKTSMNPAPPDPMEPENTAKAIASWGVDYIVLTSVDRDDLPDGGSGHFAQTVQAMKKLKPEIMVECLTSDFRGDLEAVDTLVHSGLDVFAHNIETVKRLQRIVRDPRAGYEQSLSVLRHAKLSKEGMITKSSIMLGLGETDDEIKETLADLRAIDVDILTLGQYLQPTPLHLTVKEYVTPEKFDFWKEYGESIGFRYVASGPLVRSSYRAGELFVKTMVKEMAKQTAASSS >OMP02876 pep supercontig:CCACVL1_1.0:contig06173:14548:14628:-1 gene:CCACVL1_02671 transcript:OMP02876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVEYPQILRYPNPIGKYTTRTLPEPD >OMO70584 pep supercontig:CCACVL1_1.0:contig11809:8040:12464:-1 gene:CCACVL1_18781 transcript:OMO70584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MDSKTCIKDGLDKSLQIKKLSVYGNLASQGESLTGWLAKLDRLEILSLRAYKGDNLLHSSPCSLPRLRPFSNNKLLYRLRLEGKFADKLLETHEFPPNLTTLTLQHCQLKEDPMPTLEKLHSLRSLELLAHAYTGKKMVCSSGNFRQLEILKIDRLYELENWIVEEGGVQRLKGLHILSCEKLEMMPDGLQYIKTLQELKVRGMPQQFITSRLQKPDGIDWSKIQHIPSITMVILSGIIEGGKTLSCQDQIFSAYSWACSSSMDAAVVVSLLSHKLQTLLNDEAIAITPKVKDQVQRTNHQLNLFRRLLKEAEKNQALAVEINSNQWTSHLLRALYSLEDAIDTFVVKKALQTQKPFTSYHNQVVLIKKMKEFISKTGNLLKDNQPLDMQDMADNNIPGPSQHQRWGRISRFCFDDESHTVGLEEQVNNLASLVVQEAEQGNQPAVVSMVGEAGSGKTAIARIIYNRVDIKQHFTSRVWVRVTKEFKLRDVLVDMISQLDEKVANESLFLDELRWRLPKLLGQGRCLIVVDDVDAPEFWEEIKDVFPTSPHGGVVIVTTRKADVAVSSAGSTLHVRPLNDDESWALFLKKLEVTEDRLHDCQLIKFKEQILKLCGGLPLAIALMGGLLSAKELTDSEWLKVIEYVNAVEDILALSYHELPSYLKPCFLYMGLFPKATEISVRRLIHLWVAEGFVTSLCDSDMVEEDLAEMYFEELVCRKIIEVVRWKLDGSPKTCRLPSFVHDVFSSKARDIGFFQIHSKSFSTSKSQLPVRRLATYSNAHFSRIHINHLRSYVSFNTLKGGIPAGNSSMFLDKIVRKRNLEVFQVLDLESVYKPQLPKVMLNLLNLRYLGLRSTAISSLPVSISNLQFLETLDVKHTNITTLPDSFWKLGNLRHLYLNGIFLDSLEALSLASLNKLQTLCGLSIGTESLVAETFSKLTRLRKLHLTFYIPTSIKVEFTSQLKKLHSLKIKSIRESGERASIKFKSFKELQNLVDLYLFGGLLRPFDARILPPNLKSLTLSKTGLEKDPMPVLGKLPHLYSLRLFNFSYIGEEMTCLSGAFPQLHVLKLWQLDHLNKWVVKQGALTSLRELEIRDCRSLETLDAFYQLTSLRELMITNMSQDFVDHVKVVGRALGGKSLDRENALLRKYSSLWKIMFFTL >OMO70586 pep supercontig:CCACVL1_1.0:contig11809:16399:22908:1 gene:CCACVL1_18783 transcript:OMO70586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore complex protein MDVEMETSPSYFDPQDHSARELFRRYGKRNSRSSNSPRQESGVSKFNEAKLLYEGQIIHSPTNAALLLENIKQEAESFDTDYFDGTPSRTQSASKRRPSNDGHPNVDADDATDSIRRLGSHAMKACKIEEALLADNADATFDLFESLLDSARQGLMPIPDLILQFEKSCRKVSESIRYGSIIRHRVVEDKLMRQRAQLLLDEAATWSLLWYLYGKGITDEPPEESILSPSTSHIEACQFVVNDHTAQLCLRIVHWLEGLASKALDLESKVRGSHVGTYLPKSGIWYHTQRYLKKGSSAANTVHHLDFDAPTREHAHQLPDDKKQDESLLEDVWTLLRAGRLEEACDLCRSAGQPWRSATICPFGGMDLFPSVEALRKNGKNRTLQAIELESGIGHQLHLWKWASYCASERISEQNGGKYEIAVYASQCSNLKRMLPICADWETACWAMAKSWLEIQVDIELARSQPGRMEQLKRYENGIDGSPGGNDGNSQPSSGPESWPLPVLNQQPRDLSALLQKLHSGEMVHEAVTRGCKEQQRQIQMNLMLGNIPHLLELIWSWIAPSEDDQSISRPRDPQMIRFGAHLVLVLRYLLTDDEMMDPFREKLMNVGDRILHV >OMO70585 pep supercontig:CCACVL1_1.0:contig11809:14193:14507:-1 gene:CCACVL1_18782 transcript:OMO70585 gene_biotype:protein_coding transcript_biotype:protein_coding description:disease resistance protein RPP13-like protein MAMAAQAVISAVTGKLADFLIEEAKFLHGVEGQVLWIKRELESMQCFLTDAAQKQEVDARAGDLIRSIRDVAYDAEDIVETLILRKEQNKSAKVVKKFTSFFCD >OMO54426 pep supercontig:CCACVL1_1.0:contig14999:17900:19019:-1 gene:CCACVL1_27802 transcript:OMO54426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, subunit alpha/beta MESVFGLVGDGFAILVADSSAVHSILVHKSNEDKVMILDSHKLLAASGEGGDRAQFTEYIQKNVALYQFRNGIPLTTAAAANFTRGELATALRKNPYFVNILLAGYDKDIGPSLYYIDYIATLHKIEKGAFGYGSYFCLSMMDRHYHSGMTVEEAVDLVDKCIVEIRARLVVAPPNFVIKIVDKDGAREYAWRESVKDAGLAAA >OMO54429 pep supercontig:CCACVL1_1.0:contig14999:23615:25632:-1 gene:CCACVL1_27805 transcript:OMO54429 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokine-induced anti-apoptosis inhibitor 1 MGSTSDCSTVLAVTDDASYAVEALDAIWEYENKDPKSFNPLIIMQTSSLSKLLLESSTVDKAIVISKSVEFLVDALYAEMFRVLTPGGVLVVSGTFQPGTNEADKVMSAIEHKLLLAGFSEGERFQLKANVQSLGVKAKKPSWKIGSSFAIKKTIKSPLKIQMDDDSDLIDEDSLLTEEDLKKPQLPLVGDCEVGSTRKACKNCTCGRAEQEDKVQKLELNMDQLNNPQSACGNCGLGDAFRCGTCPYKGLPPFKLGEKVSLLENFLTADI >OMO54423 pep supercontig:CCACVL1_1.0:contig14999:11993:12738:1 gene:CCACVL1_27799 transcript:OMO54423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MAIENVKLGLALLLVAMLGYEATAQSGCTSALMGLAPCLNYITGNSTTPSSSCCSQLSSVVQSQPQCLCSALSGGGSSFGITINQTQALSLPGACKVQTPPVSQCTNADPPANSAESPAADTSDETPDTSSIPSIPSGSGSKTVPTTEGSTSNGSSMMKMPLTLTLFTLLLASHISFIN >OMO54430 pep supercontig:CCACVL1_1.0:contig14999:27341:29467:1 gene:CCACVL1_27806 transcript:OMO54430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTILVQARDTAKLNVEILNAIDERRYVDTWKLFEQHMQMEGFPRKSVVNRLLTGFAESLDVQWLEKAYGMVEQAFEESKQNLLEKEPLIYLSLALAKCGLSVAASTILRKLVETEQFPPVSAWSAILAHMSQTAPGAYLAAELILEIGYLFQDGRVDPRKKSNAPLIAMKPNTTPFSIALAGCLLFGTTRKAEQLLDMMPRIGVKADANLLIVMAHIYERNGRREELRKLQRHIDEACNLTGVQFRQFYNCLLSCHLKFGDLDSASNMVMKMLRKAKEAKNSLAAATLVLEAAGNDNRPLTAKSSGQSQQEPTGLHNVSLIKNQIIAYDEFSRDRNFLKLGDEAKEVLLNLLAKLQTQVELITTEHGILQPTETIYVKLVKAFLEVGKIKDLAQFLIKVEREDCPVSNDDSALVHVINTCISLGWLDQAHDLLDEMRFAGVRTGSSIYASLLKAYCKANRPREVASLLRDAQKAGIQLDSSCYDTMIQSRVLQQDTQGALNLFKEMKEAKIPRGGNEEFDRLVKGCAANGEAGLMAKLLREIREGQKLDSGVHDWNNVIHFFCKKRLMQDAEKALEKMRSLGHKPNAQTFHSMVTGYAAIGGKYIEVTELWGEMKSLASSTPMKFDQELLDSLLYTFVRGGFFGRANEVVDLMEKGNMFIDKYKYRTLFLKYHKTLYKGKAPKFQTESQLKKREAALTFKKWVGLY >OMO54424 pep supercontig:CCACVL1_1.0:contig14999:13971:14751:-1 gene:CCACVL1_27800 transcript:OMO54424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MASRGMMMKMGVILLVLMGMLWAGANAQSSCTMALTSLAPCLNFITGNSSTPSSSCCSQLQSVVQSSPQCLCSVLNGGASMGIAINQTLALQLPGACKVQTPPISQCNAATPSSSPSIPPATSPSGSPSDGTPDGAVTPSASDIPSGTTGSKTVPSTAGGSSDASVSKASLGLVLFFVFIASFTKF >OMO54422 pep supercontig:CCACVL1_1.0:contig14999:8472:11107:1 gene:CCACVL1_27798 transcript:OMO54422 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol kinase MSKEEVFIGSIDQGTTSTRFIIYDKSARPIGSHQVEFTQFYPEAGWVEHDPMEILESVKVCIAKAVDKAIADGHNVDSGLKAIGLTNQRETTLIWSKSTGTPLYKAIVWMDARTSSVCRKLEKELSGGRTHFVKSCGLPISTYFSAVKLLWLMENVDAVKAAIKSGDALFGTIDTWLIWNLTGGVNGGLHVTDVSNASRTMLMNLKTLDWDKTTLETLKIPAEILPKIISNSEVIGKICKGWPIAGVPIAGCLGDQHAAMVGQACRKGEAKSTYGTGAFILLNTGDEPVESKHGLLSTLAFKLGPKAPTNYALEGSIAIAGAAVQWLRDSLGIISSASEIEALALQVESTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTSKAHIARAVLESMCFQVKDVLDSMHKDAGEMGEKKNDKGEFVLRVDGGATVNNLLMQIQADLLGSPVVRPADIETTAIGAAYAAGLAVGVWKEEEIFASGDKVKTSTIFRPVLKEEIRKKKVDSWCKAVERTFDLADLSI >OMO54425 pep supercontig:CCACVL1_1.0:contig14999:16190:17134:1 gene:CCACVL1_27801 transcript:OMO54425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKLFQLIAILTTALSIISVEGQGQISTSCTASMISTFTPCLNFITGSSNNGTSPTQGCCGSLKSLMSTSMDCACLILTANVPFQLPINRTLALSLPRACNMGGVPVQCKAAGTPLPAPGPVPFLLPPSISPTAPSPLSPGASKSSSAEGPATGQSGTPLDLTPASPPEAPSATVNPGIRPVLQPSASNPSYNSPRLLLILFIGITFFKFY >OMO54428 pep supercontig:CCACVL1_1.0:contig14999:21166:22983:1 gene:CCACVL1_27804 transcript:OMO54428 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L24 MGWKAAEKLIRHWKVLRGDNVMIIRGKDKGETGIIKRVIRSQNRVIVEGKNLVKKHIKGGPGHEGGIFTVEAPLHASNVQVLDPVTGRPCKVGMRYLEDGTKVRISRGIGASGSIIPRPEILKIRTTPRPTVAGPKDTPMDLVLEKTYDSKTGKGMPDL >OMO54431 pep supercontig:CCACVL1_1.0:contig14999:29882:31055:1 gene:CCACVL1_27807 transcript:OMO54431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRVNNSPSFGNIRVLNPPPAAASAFRWEPRTTPSIISHYAPPPKGGEMERVGILTVSSPSPQVAVENGLQLQRQELVSDHFLDKCYFCKKGLRQDDARFMYGDFRAFCTPECRTKQIAVDNEKERVSKQSNGTKMECSRKNVLK >OMO54433 pep supercontig:CCACVL1_1.0:contig14999:64348:64967:-1 gene:CCACVL1_27809 transcript:OMO54433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCNGCLEEERKALLELKKAFVDEHSNDSLLPSSWNIHDPESDCCSWERVTCNSTTGHVIELSLHHLKLGEAAIVNPSANWSQISLFLSFEQLRILDLSSNRLPDWNATAGYSSFSRLQRLVKLDITNNSFTNSILPSLSALTSLKNLNLRSNRFEGSISEQGMHV >OMO54432 pep supercontig:CCACVL1_1.0:contig14999:31663:37030:-1 gene:CCACVL1_27808 transcript:OMO54432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFLLVLSLIALVFLEGCNGCLEEERKGLLELKKAFVNEYSNDSLLPSSWKNIHDPESTTTDCCSWERVTCNSTTGHVIELFLQELKLGEATSGNWNQISLFQSFEQLGILNLSSNYLPDWNTTAGEGI >OMO54427 pep supercontig:CCACVL1_1.0:contig14999:19963:20190:1 gene:CCACVL1_27803 transcript:OMO54427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen allergen ole e 6 MANKFVAVFLVCIVVAATMCVQEVGAHESKDHFSKCFKECDKECHEKGHGNTFCEMKCDEDCGAKEAEAKLNIKF >OMO49962 pep supercontig:CCACVL1_1.0:contig16345:80123:84683:1 gene:CCACVL1_30734 transcript:OMO49962 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MYFDYYPHAESKKVQIATLEFTKNALNWCNQLVQSRRRNLERPIDTWLALKSLMRKRFVPSYYTNSLYQSLQSLRQGIRSVDEYYSEMMLLMSRPEVDEAPQATMARFLVGLNREIHDIVEMQQHYDVEELLQHALKVESQVKRNGAKKSFASSSSSWKTPIKKDEKSSNKEKELAQKGTSPKSDSKSSSRETESEDEEFTLGSSGDGGDERGFAQDDDDDTPALLNLVARRTLNAYVKGDVNNQRENLFHTRIYANGKPSSVIIDGGSCTNIASVYLVKELALPTTKHPKPYSLGWFNDREEIKVNKQVLVSLSLGRYNDEVLCDVLPMQACHVLFGRPWQYDNKVHHDGETNKYSFMCGKRPITLIPLSPQEALKDQIKVRDEFAKMESDYRAKEKAKHANLNVNCVEGKSDLVDKHASSKKVVKEYSSGLPPISGIEHQIDFIPGVQIPNKLAYRTNPEETKELEKQVGELLQKGFVRESLSPCAVPVLLVPKKDGTWRMCVDCRAINNITVKYRHPIPRLDNMLDELHVMPFGLTNAPSTFMRLMNHVLRAYIGKFGVVYFDDILVYSRNLDEHVNHLRCVLNVLRVEKLYANLKKCTFCTNKLVFLGFVVSSQGIEVDEEKIKAIKDWPTPTNVGQLYALVRALQTWQHYLWPKEFVIHTDHESLKYLRGQQKLNKRHAKWSEFIESFPYVVRYKQGKENVVADALSRWYVLLSMLDSKFLGFEYIKELYASDVYFGEIFKACENFGFGKYYKHDGFLFKESILCVPSCSLRYVLVRESHEGGLMGHFGVDRTYKILHEHFFWPKMRYDVGKHVSSCLVCLQAKSTSKPHGLYTPLPIPHEPWTHISMDFVLGLPRSRRGKDSIFVVVDRFSKMAHFIACTKTDDAINVANLFFKEIVRLHGMPRTIASDRDAKFLSHFWRTLWAKLGTKLLNSTTCHPQTDGQTEVVNRTLSNLLRALIKKNLRTWEDYLPHVEFAYNRSIHIDLDGARKADFVRELHGRVRAQIEKKTQHYMKVANKGRKEVIFEHGDWVWLHLRKERFPEKRKSKLLPRGDGPFQVLERMNNNAYKLDLSSEYGNVSATFTVSDLSLFDSDADLRTNPFQGRGDDAPRAYHGLEEHNGANGDHAMDKHENVSDIQEAATVDPGLKGSLEEHGDEGITHDRPLHGAIAYDWAQPGHNRPFDPLAIPQGLMTRARAKRFKEALLGLVRTHLGGLKSIEDQLESIEDIMPWNTPNDSKPCTLLEIVEH >OMP12410 pep supercontig:CCACVL1_1.0:contig00003:372:556:-1 gene:CCACVL1_00003 transcript:OMP12410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RREASDRRRAFCPFLPLVMDADTNQPLDPPIVIILSSLVLDDLETTEQGTLSLAPPPEAHQ >OMO94643 pep supercontig:CCACVL1_1.0:contig07822:2835:3263:-1 gene:CCACVL1_05895 transcript:OMO94643 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphofructokinase MEHGFSTMAQLLSQFMNAQQEMQQQFMNAQQRQMCAQQQQFELLKLSLGGKLNAEHREPIVEIAQPVPNQFTHTELSKSFRTSVAIENQAADEDMTTEEILAKLESSKHDLPTFDLDEADEPCIVVHKDSQKEDSQIKTNKE >OMO87797 pep supercontig:CCACVL1_1.0:contig09173:252:1747:1 gene:CCACVL1_08757 transcript:OMO87797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIFSRFSVGRAGHRRSQSALEEREVMPPNPDVADAATAATATAHGIEVAVEFKPVEHPIEPMDNDRPIQCPLPEPSILNDGRIWKERVSASVRRGANLPVMKDGGTLESEATGTKPRPAHSNRLILPSLSAPEHNLLNLLEECNASGI >OMO87798 pep supercontig:CCACVL1_1.0:contig09173:4878:8186:1 gene:CCACVL1_08758 transcript:OMO87798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQYLESLRNQHPELADWYNSLADLYQKKLWHQLTLKLEQFVALTVFQAGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYSEKEAAIGYLEGVIEKLQTTREQRIEEPILYIKMQIAKFKLEQGDQKECKKLLEDGKTTLDSMTDIDPSVYASYYWVSSQYHKFRQEFAEFYKSALLYLAYTSVESLSESFKLDLAFDLSLSALLGDNIYNFGELLAHPIIKSLLGTKVEWLYYILQAFNSGDLVRYQELCRVHNAALRAQPALVENEKKLLEKINILCLMEIIFSRPSEDRTIPLKVIAERTKLSIEDVEHLLMKSLSVHLIEGIIDQVEGTVHVSWVQPRVLGIPQIKSLRDRLDSWVGKVHTAWLSIEAETPDLVAS >OMO79344 pep supercontig:CCACVL1_1.0:contig10435:5986:14423:-1 gene:CCACVL1_13736 transcript:OMO79344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYLAPSKPTTEEHKIKPRRCPWQRSILELNGKLDPKYRHNLSGLLMQSYSQIGAFPHFYHIDGKPCETHMNGVNSIVNSGRQLPFRMQGIYLVSVTKSGCLTVHDFETLYCHSNESLPGLNEDESKHLLHLSLRQQLDVVWWNLANQDEVACTSVKSNEVMIFDVGLISSKPVEVLRTRRTLSVLGSEVSKGISDIAFTATDDSRLIASDTNGVVNIWDRRKSVLPCLELITGSCSTLNTIQPNVENQTIFGASKDGTIYMWDLRGGRTSSAFQCHNEAGHPPLLSLKLATLLAKIGSLRSGVLDIYNLRVTHVHCPPPAWLNGTSISADLLYLRKPSWLPTSSIYVVESSFDSGIHILDFYPDTSSPSHVDYKEDLQSLPEMNYQRKQNIFLSLSEDYG >OMO79348 pep supercontig:CCACVL1_1.0:contig10435:46574:48281:-1 gene:CCACVL1_13740 transcript:OMO79348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emp24/gp25L/p24 family/GOLD family protein MDFGDTKVFVLIDDHKPSAKINIDFDWKTGVAAKDWSKVAKKGQVETMELELKKLYDTVTSIHEEMFYLREREEEMQQLNRETNSKMASLSLFSLLVCLSVAGLQIWHLKSFFERKKLL >OMO79343 pep supercontig:CCACVL1_1.0:contig10435:3325:5017:1 gene:CCACVL1_13735 transcript:OMO79343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAKANKLSEKPDTATIINISSDEQEEKVKKKGGRQTSDEPQGAILISSNDHDGNVNPVCEPRSNVRCSRKNVKTLTSIHTARSKAACGVRANELKEEVFNIDEADVNDELAVVEYVDDLYKFYKSTENDARVQDYFCSQQHITIGMRKVLVDWLIQAHNSFQLMPETLYLTINILDRYLSRKAVSRDKLQLVGLGSLLIACKYEEIWPPQVRDLVSISDYAFIEKQILAIEKAILEKLEWYLTVPTPYVFLIRYIKASVSLSSDLENMVFFLAELGIAHFDTVVLYSPSMLAAAAAYAARCTLNRTPFWTATLKHHTGYSEEQLMSCAKLLVAFHQNAAKGKLKGIYSKFVSPSRGGVASPNSGQSSTIGLNMNTGRVTHPSFCGVCFVKLDCAMCDI >OMO79347 pep supercontig:CCACVL1_1.0:contig10435:25158:27017:1 gene:CCACVL1_13739 transcript:OMO79347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYYSRPGEDDVHDFDEYDSTPYGGGYDLALTYGRPIPPCEETCYPSSTPSDGDFDYSRPHFSSGAEPCAYGDEALQNEYSSYARPKPRPGSAFGGRPGGGYGEQHQSGYGRRPEYEQSESGYGRKPEYGRPESEFDSGYGRKPEYEQPHQPEIGSGYGRRPEYEQQPSSEYGSGYGRRPEFEQPASQEYGSGYGRKPEYGEQQSEYGSGYGRKPEYGEQGSGYGRKPAYGESESEYGSGYGRKAEYEGGGSGYGTGYGGRNEGEYGSGYEGRTETGYGRKESYGGEEYGERSEYERKPSYGRSEEEEGGYRKPSYGRKNDDSDDERKKSYGYGGEEGYGRKKYGDDNSEDDEEKKHHHHKHHHHRRHDYDDE >OMO79346 pep supercontig:CCACVL1_1.0:contig10435:19942:23034:-1 gene:CCACVL1_13738 transcript:OMO79346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MAARVLTVSQDGNGDFRTVQEAIDTVPLCNACRTIIRLSPGIYKQPVYVPKTKNLITLAGLRPELTVLSWNNTSSKIEHHQASRIIGTGTFGCGTVIVEGEDFIAENVTFENSAPEGSGQAVAIRVTADRCAFYNCRFLGWQDTLYLHYGKQYLKDCYIEGSVDFIFGNSTAVLEHCHIHCKSAGFITAQSRKSSQESTGYVFLRCVITGNGGSSYSYLGRPWGPFGRVVFAYTYMDQCIRHVGWNNWGKSENERSACFYEYRCFGPGCCPSKRVTWARELMDEEAEQFLMHGFIDPDPNRPWLAQRMALRIPYSA >OMO79345 pep supercontig:CCACVL1_1.0:contig10435:15056:15466:-1 gene:CCACVL1_13737 transcript:OMO79345 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OMO55164 pep supercontig:CCACVL1_1.0:contig14776:6913:10587:-1 gene:CCACVL1_27361 transcript:OMO55164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDFLMAALLGHLIAELAKVVNHRFQ >OMO51616 pep supercontig:CCACVL1_1.0:contig15774:17818:19957:-1 gene:CCACVL1_29683 transcript:OMO51616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIELDSSSASKALCDGVDMSQTMKKLMEKILEETNKNQEVVRGRSRLRYAASELAADITAIVGDVNFYLHKLEEQCKAPITSLKLRGASL >OMP12082 pep supercontig:CCACVL1_1.0:contig00451:6097:6525:1 gene:CCACVL1_00137 transcript:OMP12082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTADRDQATESFDESIGEIDWEGNNGADSTPKVNNKEVRAKAKASSSSIATPQPRCHRKRKSALREVAEALGAIAEAIRKWSEGVPLGEVYEQVMSTEGYSEEVLVTAFDYLAQHENLARAFLIKNKRLKRIWIDNFLKK >OMP12080 pep supercontig:CCACVL1_1.0:contig00451:3731:5425:-1 gene:CCACVL1_00135 transcript:OMP12080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLGKCRADNYTYPFLFKAVGEEKLVHFGGVVHGRVLMGGFEKDSFVMNSMLTMYMNCGKNKEGRKVFDEMWEPDVVSWNSLISGYFKNGYAKEALGVFNKMVDAGEEIDCATVVSVLPVCGFLKELEVGRRVYELVKEKSWRKEIRVKNALVDMFAKCGSMEEARLVFDGMTERDVVTWTSLINVCILNSDVRAALRLCLLMVLEGVRPNSVTLASLLSACGESKNLMDGRCLHGWAIRQRLEFDVMLETSLIDMYAKCNRVELSFQVFSKASKKKTAPWNAILSGCIHNRLGKEAILLFKEMLMERMKPDAATLKSLLPAYAIRADLQQAMNMHSYLIRSGLILNSEVATTLIDIYSKCGSLESAYEIFCEIPNEKKDIYLWSVMISAYGGHGHGEIAVSLFKQMVQAGVKPNKVTFTSVLDACSHAGLVDEGLDLFKFMLRNHQISPQNDHYTCIVDLLGRSGRLDEAYDNIKSMPFAPNHSVWGALLGACVIHENVELGEIAAKWLFELEPENTGNYVLMAKIYSAVGRWKDAENIRLMMNEIGLRKEPAHSLIEVRNM >OMP12083 pep supercontig:CCACVL1_1.0:contig00451:8217:9717:1 gene:CCACVL1_00138 transcript:OMP12083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHHHHHHHHHHRPATCCSTCSCSQCCYPPPPPPQPQPQQSDPLLQALASLLLQNQPQTQFLQPQQTHCLNQTHFLKTFQDQNSAGKKHHFHQKYHHHQEPNFLISSLLSRISALESSLQHFSDTSNFPSYSSCSLRDAAARVIQTHFRAFLVHRSRTLRELKDLAFIKSSLNSLKLSISNKTHFDYDAVSRKAMDLLLKLDSFQGGDPMIRDGKRSVSRDLVQFLEYVDGLVLRRHKHLCKNSKKMTVLGNGNKTRFLRSNSGETMKTLRDRVEKLERLSRKEEGDEEEDDAELEGFHQVIDERENPRVSIAGKGGVRVAQERNGGVLVNRQGTQPSVKKSVSFAENGNVYRIISSKNQVSASGDGSLTDESVSSDDHGEMVLDLCEESEGLARNEEELGLNNEESFHNSNGEKNSRRNSSRQARSEIDGDYEIQDTDFVFSAPLPVRMESKADLMKKRKGALKIVS >OMP12084 pep supercontig:CCACVL1_1.0:contig00451:11216:13618:-1 gene:CCACVL1_00139 transcript:OMP12084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEPSCGGKDMVDSYVGLEEEGDGCCVVEDENPMVLDDHGKEDEHVNFEFSQHLVGGISEPTLGMEFPSEDDARNFYNAYAKQTGFSIRVNSYYRSKKDNSIISREFCCSKEGFRREKRSRNVDSGDDTKRRRARPITREGCKALMTVRRRENGQWYVAKLEKSHNHELVTPAMRHLLRSHRQEFDPKKSLSSSMDSFGVGLNASVNVLTEECNSFGKLGFAAHNNINFIGRGRLSNFGVDAQSLLGFFKVMQANDPAFYYAIQVDEEDRLSSVFWVDTRSRIAYNCFSDVVSFDTTYQVNQYKMPFAPFIGVNNHRQSVLFGCALLADETESTFIWLFTTWLEAMSGRQPGLIVTDYDSAICRAVECVFPQSCHRYCKWHILSKMPKEMGQVYGALLKTFQVEFDKCVNKSETPEEFESAWQLLVDKYTLRGNEWLQSLYIDRKLWVSTYVRNTFFAGMYTTQQSKTVNSLFDGYVHAGTTLQDFAEQYEKALDDRSEKEARAEFETFYTKPVLKTPLPIEKQAAEVYTRKLFSVFQDEIYESLVLAVKSNDDDGLSRTYEVARFDEENKVYLVGLNITDHIASCSCKMFEFEGILCRHVLAVFKAANIFLLPQHYILKRWTRNAKAEAILDTLPSGEMHGNNQKGKNSQYNLLYQEAVKCAEEGMASDHSFKVALNALREARIKIITAKKNAINAQKLETVASTNYQDENTRVASQVDSSSELVTLPDHQQANAKLGDSCAENNITRYPSEQSSNRVSICTNCKCPGHDSHSCLWLKDARGSTSLVRSIFKRLFTPE >OMP12081 pep supercontig:CCACVL1_1.0:contig00451:5733:5792:1 gene:CCACVL1_00136 transcript:OMP12081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILSCNLYDVNIEYEQETDQ >OMO95581 pep supercontig:CCACVL1_1.0:contig07652:6341:7235:1 gene:CCACVL1_05359 transcript:OMO95581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLPNLSPSLLLQTKTCSKEKPIHQQLLPHTSKLGSEVLTLQSATLQDSQVKVSSPSLHQDKQSHQKDEFYVNLGLAVRTLREDLPLLFTRDLNYDIYRDDITFMDPLNTFSGIENYKLIFWALRFHGKMLFRDISLEVFRIWQPSENVILIRWNLRGVPRVPWEAKGQFQGTSRYKLDRNGKIYEHKVDNLAFNFPQPLKPAASVLDLVAACPASPNPTFLWGPVDMHQSSWVEFYRAVRETLDREGYLLSQDGLATCS >OMO95585 pep supercontig:CCACVL1_1.0:contig07652:67222:73661:-1 gene:CCACVL1_05364 transcript:OMO95585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MKGGGGGGVAPAKRRWRGLAIGVLFLVFLSMLVPLGFLLGLHNGFHSTGIVPLQHTSPSGDPSSRVDNLVKKFGPTLSKDILKGFVNEAKNETSSTNSTPKSQQRKGIPVPPQVVMKPLIDKSISRVSGKAGMRAGNDVNDGSCELKYGSYCIWCEENREEMKDSTVKKLKDQLFVARAYFPSIAKLPAQNRLSRELRQNIQELERVLSESTTDADLPPEIQKKSSRMEAAIVKAKSVPLDCNNVDKKLRQIFDLTEDEANFHMKQSAFLYQLAVQTMPKSLHCLSMRLTVEYFKDSFDEELSEKYSDPSLQHYVIFSKNVIASSVVINSTVVHARDSVNQVFHVLTDSENYYAMKLWFLKNTFKGAVVQVLNIEHLNPDFYDKATLSHLTLPLEFRVSFPSSDSAPAIHNRTEYLSIFSHSHYLLPEIFKNLEKVVVLDDDVVVQQDLSGLWNLDMGGKVTGAVQICSVRLGQLQSYLRQSSFRKDSCSWMSGLNVVDLVKWRELGVSETYWKLVKEEQQVSMKERSALMASLLTFQDLIYALDGSWLLSDLGHDYELNTESIERAAALHYNGNMKPWLDLGIPKYKGYWKKFLNLEGQFLSECNVNP >OMO95583 pep supercontig:CCACVL1_1.0:contig07652:23393:23752:-1 gene:CCACVL1_05362 transcript:OMO95583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANINTTNFNTSAAVNAANHSPTSPNQSHGTTRVVTSPWTQIVRGESEPIAGVPLSPSSPPSSSPSTAVIVPPATAMVENEGMENRCVGPNGNAGKRPAWNKPSNGAAELGAVMTRNYQ >OMO95582 pep supercontig:CCACVL1_1.0:contig07652:16721:17770:-1 gene:CCACVL1_05360 transcript:OMO95582 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRA-YDG domain-containing protein MLIMAANGVALARKRKLDAFHHQHHDRVFEPKLSTRKYSTGRDFPCGRWNPTHRLVPKISKSANGKSSAEFQVSDKFRNRNSRLLKMTSSSGFRKYSERDSNNPRGVTFASSIFESSTLWSARVDETINLFRQLLSQIRLKNRLIGLKNSVTDHMSAAKLLKQQGKWLNTEKRLGAIQGVAIGDRFQSRAEMTVIGLHLQLRRGIDYVKVNGRKLATSIVDSRRYSKTEITKLSPDVLIYSGEGGNPNAQTLAKPEDQKLQRGNEALENSMMMKKPIRFVRKVRIPGQPLSSYEFVYEGWYMVVDYNYVRGEQGKYVYEFVLRRMVQPFKPKSSTPTRPRKQWKYGRYE >OMO95584 pep supercontig:CCACVL1_1.0:contig07652:61257:64352:1 gene:CCACVL1_05363 transcript:OMO95584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MASEIADYHTRFPRRSLPSDNMACSFLAATTTTATSHVNPNPLLNYSTINMNTTINTIPSSNFTAVTSVGAPVPVPGPAFLSTTTSSNLDNLSTSIISPQPPAVCGFSGLPLFPPTERDRNTVAAAVTSTTTVAAASPLGLTPISNSMDDTSATAWIDGIIRDLIHTSSNVSIPQLIHNVREIIYPCNPNLAALLEYRLRSLMDPLERRRKDQTPPLHLPLAANLLQRPQQGSASGLTLHLDSAALDNTLPNYSLTDSISPYLSWGITPLPIPNTTAATGNNNQISTTSLPATTPPVLSLNQTQHQAQVSQQPPQEQAAPEVEKTTTTTSTAAVPAATPTSGDQTAQACSVRDSKEELRQQKRDEEGLHLLTLLLQCAEAVSANNFEEANRMLLELSQLSTPFGTSAQRVAAYFSEAMSARLVSSCLGISAALPSIPQSHTQKMVSAFQVFNGISPFIKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPHVRLTGLGTSLEALEATGKRLSDFADKLGLPFEFCPVAEKVGNLEPERLNVSKREAVAVHWLQHSLYDVTGSDTNTLWLLQRLAPKVVTVVEQDLSHAGSFLGTFVEAIHYYSALFDSLGASYGEESEERHVVEQQLLSKEIRNVLALGGPSRSSEEVKFHNWREKLQQSGFKGISLAGNAATQATLLLGMFPSDGYTLVEDNGALKLGWKDLCLLTASAWRPLIHHHHHHHHHHHHPNPTNVATNIHRFSH >OMO65700 pep supercontig:CCACVL1_1.0:contig12626:22420:24939:-1 gene:CCACVL1_21434 transcript:OMO65700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 79 MGVNGSMICCIVLLLLWFSPSTLSKSVTESVDLVIQGATSIAKTDDNFICVTLDYWPPNKCDYGQCPWGKSGIFNFAFNPLRIRVGGSLQDQVIYKVGGVKKCPHLKKSKEKEFLFGFSKGCLEMKRWDELNAFFNQTGAKITFSLNALIGRKESKTEKSLWVGNWYPHNAKDLMKYTISKGYKIDSYELGNELCGSGVSARIEAKQYGKDMIVLKKLIKKLYPNQSTQPKVIGPGGFYEEEWFNTFLKTTGPDVLHGVTHHIYNLGPGNDPNLINTIQDPYHLNQIAQTFKDVSNAVDKFTPWAGAWVGESGGAYNSGGKDVSRTFADGFWYLDQLGMTSVYNHKVYCRQALIGGNYAMLNTTTFIPNPDYYGALLWNQNMGNKVLAATHEGSPYLRAYSHCAREKPGVSVILINLSNNTSFEVSLSNDETLHGVRPNFEIKGEQAREEYHLTPKDGNIQSDVVLLNGTPLKLTDSLEIPKMNPKLVDASSPILVEAHSIVYLTIRDFNAPACA >OMO65701 pep supercontig:CCACVL1_1.0:contig12626:25895:25966:1 gene:CCACVL1_21435 transcript:OMO65701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWTESGSDRKIWNSSSRSQLG >OMO60020 pep supercontig:CCACVL1_1.0:contig13814:118441:121774:1 gene:CCACVL1_24462 transcript:OMO60020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MGAKKTDTHFSNTPFMANEMSNIEYGEFENWRGEKAEPAKHGGVRASSIVCVVEVLENMVFLSNATNFVAYFLKSIHYPAAQSANMVTNFMGTSFLLTIFGGFISDSFLTRFKTFIIFCTLELLGLILLTIQAQDHRLQPGPNSKPSKPQEATLYTGLYAIAAGVAGVKAALPAHGADQLDHGNQRLISAFFNWFFFSLCFGGLIASTVMIWIEENLGWNWSFKISVVTLSVALCIFAMGFPIYRFKRPGGSPLSRIYKVFASAIQNRKVSLSEAENRLGISGEMRNHEKLRFLNNALISDSITATEIEETKTFLGLLPIFASTIMMNCCLAQLMTFSVQQGNIMNRSLNKFKIPTQSLSVFPLIIMLASIPLYEYFVGLFGIKSNSGGKLNMFQPLRRIGLGLALASGSMAAAAIVESKRRAAANDDNVIISVFWLGWQYLLLGISDMLTLGGMLEFFYSEAPDSMRSMSTALSWCSTSMGYFISSVLVTISNSVSGHFGKEWLGGNDLNHARLDLFYTLLCILNFINLLNYIFWAKRY >OMO60018 pep supercontig:CCACVL1_1.0:contig13814:58306:58416:1 gene:CCACVL1_24460 transcript:OMO60018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFPSSYGEEQLQEKESDIYLRQLTNKASSLHCSSE >OMO60019 pep supercontig:CCACVL1_1.0:contig13814:93374:95853:-1 gene:CCACVL1_24461 transcript:OMO60019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate dehydratase MATATSLVRSPTTSLSGKFTVSDQKPPTPPGQLPQYPTQHRRFTPLFASFHDDSSSNSGSKKNAPAVELEKLLDDAPYNVVSKDSPLHPRPLSSTQFSNSASDGSRLRVAYLGVRGAYSEAAAEKPYPNCEAVPCDQFDAAFEPEQLFHRKWLSISSEALAGTRARGGSRILDLQIEHELLSRNELGFLSRHSKKEDIFSLVIFAESGLPSFSASEVLNHPLPARSSHAQITQAVQK >OMO89343 pep supercontig:CCACVL1_1.0:contig08767:39048:44287:1 gene:CCACVL1_07902 transcript:OMO89343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNSSKKVEGGQAAKGSEDGKEFRPEQVEKVCCLSAATLNLLGRSSGRDAELLKTVTRVNQLKELG >OMO89340 pep supercontig:CCACVL1_1.0:contig08767:4114:6642:1 gene:CCACVL1_07899 transcript:OMO89340 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein retaining receptor MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGISLKTQELFAIVFASRYLDIFTDFISLYNTLMKLIFLGSSFSIVWYIRRHKLVRRSYDKDQDTFRHYFLLLPCFILALLINEKFTFKEVMWTFSLYLEAVAILPQLVLLQRTKNIDNLTGQYVFLLGAYRGLYILNWIYRYFTEPHYVHWIPWISGLVQTLLYADFFYYYFESWKNNKKLQLPA >OMO89341 pep supercontig:CCACVL1_1.0:contig08767:7452:8739:-1 gene:CCACVL1_07900 transcript:OMO89341 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MSATGGGGGGGGGGGQEEDKKPADQAHINLKVKGQDGNEVFFRIKRSTQLKKLMSAYCDRQSVDLSSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGAL >OMO89339 pep supercontig:CCACVL1_1.0:contig08767:1617:2846:1 gene:CCACVL1_07898 transcript:OMO89339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKSKPIYSSISKLNLDFKYFLNPKFTFQIKPISSLKVIWRKDQKLDRAIENDKRYKLCARVVKEVLNEPGQAIPLRYLEKRRERLRLNVKVKTFINNNPGLFETYYDCIRPKSDLVPFLRPSDRLRNFLEEEKRIYNENEEFIVSKLCKLLMMSRYKVISVDKLAHVKREFGFPNDFLVNLVPKYSNYFRLTGCPVEGRSFLELVEWNPEFAKSVIERRAEEESRLTGIRVRPNFDYKLPAGFFLRKEMREWVRDWLELDYTSPYEDVSHLDQASREMEKRMVGVLHELLSLSLFKRVPVSILGKFTEDYRFSNAFSSVFTRHSGIFYLSLKGGIKTAVLREAYKDDQLIEKDPLLEVKDKFVELLEEGWKERAALVRLRNEEVKKDMELMAIRNKDLDEESCDEEL >OMO89342 pep supercontig:CCACVL1_1.0:contig08767:23174:35042:-1 gene:CCACVL1_07901 transcript:OMO89342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEPLNGCPGVRVSLFDYSIENHFKAMETIYKLCGEPKSEASDETDIQRFSSSITFLREWRHFNYEPRTIRFASQVGNSQGKSVSDGINLPQFSSATVPKREELFGSSASSECCKDFVMYVGGSVWALDWCPRVHENPSSHVKCEFIAVAAHPPESYYHKMGTPVTGRGIVQIWCMLNVGVNVEEPLLSKKKPNQRSQNTEAMEESTSKRPRGRPRKKPIEESQLDQVKRPKGRPRKKPIGESLSGDQPLAIQYPEVSFEPLSVDSAPGKKPTEESQLDLVKRPKGRPRKKPIGESLSGDQPLVVHYTEVSVEPIAVDTAPGKKPIEESQLDHVKRPKGRPRKKPIGVSLSGDQPLVVQYTEVSLEPIAVDTAPGNKPIEESQLDQLKRPKGRSRKKPIGESLSSDQPLAVQYPEVSFEPVAINSAGNTRENSPIKNHHEKQKGDKEVASAPDATPKISMKSRNLKRNAREISNSDESMVSNNIQDSNSLEVGPGSSSIPADMALPRAVLCLAHNGKVAWDVKWRPYDINVSKCNQRMGYLAVLLGNGSLEVWEVPLPHMVRTVYSSSAKQGTDPRFVKLEPVFKCSKLKCGDIQSIPLTVEWSTSPPHDYLLAGCHDGMVALWKFSASASPKDTRPLLCFSADTVPIRSVAWAPSGSDMESTNVILTAGHGGLKFWDIRDPFLPLWDVHPAPKFIYSLDWLPEPRCVILSFDDGTMKLLSLSQAVSDVPVTGKPFTGTKQQGLHLYNCSSFAIWHIQVSRLTGMVAYCGADGTVSHFQLTSKAVDKDFSRNRAPHFLCGSLTEEESAIIINTPLPDIPLTMKKSTGDYGEGPRSMRAFLTETNQAKNAKDKKAKVQTCDKQTLALCYGDDPDPDPGVESDSEETLAALKCKKKQKSQSERNKKADNDQALAIRIEEATNTQKEETGNEIEVFPGKMVAMHRVRWNMNKGSERWLCYGGAAGIVRCQEIKVPDVDKKSARKG >OMO83165 pep supercontig:CCACVL1_1.0:contig09926:15610:17515:1 gene:CCACVL1_11531 transcript:OMO83165 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative LRR receptor-like serine/threonine-protein kinase MVFMAMKLQSSSKYFLFFFMVVFFIAGYKSNAQYTQDATTDPSEVSALNAIFEQWSNIQMPMYWKVGDPCSTIVARNSSDSIFEDSGNNPGIRCNCSYESNTVCHITRLRAYALNAQGVMPKELLNLTYLEFLKIDQNFFSGPLPAFIGKMSRLEF >OMO83164 pep supercontig:CCACVL1_1.0:contig09926:12925:13496:-1 gene:CCACVL1_11530 transcript:OMO83164 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP binding protein MCYIQEQWPEVGYDLRTSSVLVHENAEPLIARFKVGENSSTKKIYRFGVPVLEMMTNGRVMQEEFEGSEAGLVKCFKMHYPGNLQKLIDERMELTENTFEQAKEAIGIGLMCTDHSISRQLSLGQILNMITRIYAACLVLATQNHKMSNADGGRVHKRV >OMO83163 pep supercontig:CCACVL1_1.0:contig09926:9093:11944:1 gene:CCACVL1_11529 transcript:OMO83163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAKALLSMVLEQLGGSFIPEEIKNHVGLAVGLEEEIQKLTSNFEAIQAVLEDAERKQVKEASVRNWLCKLRDVSYDVEDVLDEWNTAKLKSRLAKEERAAEGAQLLKKLRYSISFSSSQIILQHSIAQKIKELNRRLDFIAAEKDRYKFELGMTAHEEPEHQQITTSFIDVTDVYGRDQDKNAVLNMLLEENGDEESLFQIISIVGMGGIGKTTLARLAYNENVVNTHFEKKIWVCVSDPFDDIKIAKAILESLGDVPKVLELQALLQRIRKSIAGKKFLLVLDDVWNEDDRKWEPFKESLSCGSLGSKILMTTRKENVAAAMGSTTLFPLRKLAKEESWLLFSRIAFSGRTSRECQIFEDFGRKIADKCQGLPLALKTLGGLMRFKRTIEQWQSVLNSEIWELKEAERGIFPPLLLSYYDLSPALRQCFSYCAIFPKDYVIEKDRLISLWMAQGFLKEKRSKNMEIIGQEYFEDLAMCSFFQDFERDDDGNITKCKMHDIVHDFAQFLSKNECFMVEVNGFRQPKVDTSDGKGRHLMLVLGEKVTCLRALDLTAKYCTTMFGSSVTEVPKEIAQLIHLRYLNLSNNDDFEELPEALCELYNLQTLDLTFCKRLRRLPNGIGKLVNLRHLKNYGTWRVRFMPKGMEKLTCLRTLREFSVSEGGTCDSSSIGELGSLIHLQDYLEIRGLGNVRDVNEVKKAKLQTKQCLLNLLLKFDMDEKKAEGDITNGDALVLEALQPPTYLECLEIHNYRGPCTLPKWMFALTNLRYLAVCSCRNWERSPPFGKLPSLESFWIYDIRRVKKMGVEFLGLERDEEQPFSCSSFIPFPKLKRLEMHDMNELEEWEYGVVPFLGNRKEQSVIMPRLSYLVIDRCTRLKALPHHLHNSSIETLEIRWCPILAKVCSNISSIPNIRINYMEVKRERV >OMP00290 pep supercontig:CCACVL1_1.0:contig06693:15771:30768:-1 gene:CCACVL1_03399 transcript:OMP00290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MSISESAPEQPETEHQTPLLEESQTLTSNVDYKGHPAKKPTSGGWKSASFLIGAEVAERFAYYGISSNLVTYLTGPLGLSTVSAAANVNAWLGTDLLLPFIGAFVADSFIGHFLTIVISSIIYILGLGLLSVSATLPSSPSQLQVILSFLSLYLIAIGQGGQKACIEAFGADQFDGEDPQERKAKSSFFNWWFFGVCSGILVSLLILVYIQDNLSWSLGFGIPCISMILSLLLFLLGIPTYRYKVTKTLDFKRFGNYLCSFDDIEGAKATLRLIPIWITSLVFAIVIAQCPTFFTMQGKTMDRAIFSSGFEVPAASLQSFTTVTILVLIPIYDRVFVPIARTFTKEPAGITMLQRIGTGIFLSAICMLVAALIETQRLEIAERNRLVDQPNAIVPMSMWWLVPQYVLLGAADVFTRVGLQEFFYDQISSELKSVGLALYLSVFGVGNFLSSFLVSMVEKATAGVEIAERFAYYGIESNLTSYLTGPLGQSTAAAAEQVNAWSGTAMLLPLLGAFVADSFLGRYRTIIVASLLYILGLSLLTFTATLPSGCQSAGILTSCAYQFQLVLFSFSLILVALGQGGQKPCVQAFGADQFDTQNEEECKTKSSFFNWWYFCMCTAMFITHWVLNYIQDNLSWVLGFGIPCVIMVAALVVFLGGTTTYRFSVKSEENNPFVRIGHVFILAVRNWNKSSSAIVAEEAHGTLPSESSNQFKFLNKALLAPDGSKEHAKVCSIREVEEAKTVLRLVPIWFTSLVYAIVFAQSSTFFVKQGATMDRSVTVDFKISSASLQSFASLASILFIPIYDRLFVPLVRGLTRKPAGITMLQRIGIGMLLSAISTVISALVEMQRLKTAREYGLVDDPNATVPMSAWWLVPSYVLFGVSDVFTMVGFQEFFYDQVPNELRSVGLALYLSIFGVGSYLSSFLISAIENATGGNGGDSWFSDNLNRAHLDYFYWLLAALSAIQLALYLYFTKSYVYKSKKSKKPIPARGYNMRNSLSIQKHYVALFIIQTPMAISNLSAAESETPLLDDTVDGCLDYKGRPVRRSNTGGWRSAAFIIAVEITERLAYYGISSNLISYLTGPLAESTATAASQVNAWSGTASLLPLFGAFVADAFLGRYRTIILASFVYVLTINSSFGHIKTSSRPTAAREFSTQCCMVLASVLWNNLLWTDLQGLGLLTLSAALPSDINFGGQNADYLTLSSAAPQYQMILFFSSLYLVAFAQGGHKPCVQAFGADQFDPQDPEECKAKSSFFNWWYFGMCGGNLLTIWILNYIQDNMSWVLGFGIPCIVMAVGLVIFVLGTTTYRFSVKGDEESPFVRIGRVFLLAVRNRKTPSSAIAAEEEAQGTLPTESSKQFKFLNKALVALDGSKERVKVCSIREVEEAKAVIRLAPIWATCLFYAIVFAQTSTFFTKQGATMDRSVTTGFELPAAALQSFITLSICLFMPIYDRIFVPLTRAFTGKPAGITMLQRIGIGLFLSLTLMVVAALVEMQRLKIAQEYGLVDKPNVTVPMSVWWLVPQYVLFGLSEVFTMVGLQEFFYDQVPNEIRSIGLALYLSIFGVGSFLSSFLISAIENATGGDGRDSCCFALFITQTPMAISNLPAAETPLLDDTVDGCLDYKGRLVRRSNSGGWRSASFIIAVEITERFAYYGISSNLISYLTGPLAQSTAAAAAQVNAWSGAASLLPLLGAFIADSFLGRFRTIILASLLYILGLGLLTLSAALPSVSNSGGQNADYVKLSSAPQYQMILFFSSLYLVAFAQGGHKPCVQAFGADQFDPQDPKECKAKSSFFNWWYFGMCSGTLITLWILNYIQDYMSWVLGFGIPCIVMAVGLVIFVLGTTTYRFSVKGDEETPFVRIGRVFFLAVRNQKTPSSAIDAEEEAQGTLPTESSKQFKFLNKALLAPDGSKESGKVCSIREVEEAKAVIRLAPIWVTCLSYAIVFAQSSTFFTKQGATMDRSVTAGFEIPPATLQSFISLSIVLFMPIYDRIFVPLARALTGKPAGITMLQRIGIGLLLSLTSMVIAALVEIQRLKIAQEYGLVDKPNVTVPMSVWWLVPQYVLFGLSDVFTMVGLQEFFYDQVPNELRSIGLALYLSIFGVGSFLSSFLVSAIDNATGGDGRDSWFANNLNRAHLDYFYWLLAALSAVGLALYLYSTKYYIYSRRDGLKQYKGTTHLGLYYITSVNFVHKDANVYSETGSLGYVLK >OMO94083 pep supercontig:CCACVL1_1.0:contig07985:32220:38910:1 gene:CCACVL1_06188 transcript:OMO94083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFEPYRAVGYITSGVPFSVQRLGTETFVTVSVGKAFQIYNCSKLNLVLVGPQLPKKIRALASYRDFTFAAYGTDIAVFKRAHQVANWSRHNAKVNLLLLFGDHILSVDMDGNVFIWGFKGIEDNLAPVGHIKLDGKFTPSCIMHPDTYLNKVLIGSQEGFLELWNISTKKKLYAFKGWNSEICSCVSSPALDVIAVGCADGTIHVHNIRYDEEVVTFKHSARGSVTALSFSTDGQPLLASGGSSGVISVWNLEKKRLQSVIREAHESSIISLHFFANEPVLMSSSVDNSIKMWIFDTSDGDPRLLRFRSGHSAPPHCIRYYANGRHILSAGQDRAFRLFSVIQDQQSRELSQKHISKRAKKLRMKEEELKLKPVIAFDCAEIRERDWCNVVTCHMDTEQAYVWRLQNFVLGEHILRPCPENPTAVKACAISACGNFAVLGTAGGWIERFNLQSGISRGSYLDMSMRRAHDGEVVGVACDSTNTLMISAGYHGDIKVWDFKGRELKSTWESGGAIVKIVYNRLNGLLAIVTDDLLISLFDVVALRMVRKFEGHTDRITDLCFSEDGKWLLSSSMDGSLRIWDVVLARQIDAIRVDVPITALSLSPNMDVLATTHVDQNGVYLWVNQSIFSGAANVDSLASGKEVVNVKLPSISAAGGSEAENSDEPVVDSSVSQDISVSSTFIEQIPELVTLSLLPKSQWQSLFNLDIIKARNKPIEPPKKPEAAPFFLPSIPSLSGEILLKPSEPANEEDDPEGNEVGKNDRKLDMRQSQFLQLLQSSADMKNFSAFTDYVKGLSPPTLDSELRMLQIFEDEVTEEKTKILEDEDQKELDGEIIWIELLLDYFIHEISCRNNFEFIQALFRLFLKIHGETIRCHSKLQDKAKKLLEIQCEGQIFCENVV >OMO94077 pep supercontig:CCACVL1_1.0:contig07985:257:2081:-1 gene:CCACVL1_06181 transcript:OMO94077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSNIYIPLFAIIVNPSAVPSHSHTLSILILEKHYLLSLLVYFRWFECLFHGVGVAVSLRFHGDLAFAETVFRNDSFNYETKDACCYSIDFALEDEDSMFAMLLFLALSIIVRRRRAMRRRISYSGQFMHRHNIRSLTMNRMVFDNDIMSMDNCRMDRRALAKLCHLLRTVGKLKDNRNSTVEMMVGCLGALDGTYIKVHVDTVDRPRYRTGKGEIATNVLGILYGKDRATGAGAETPADACEIIDQEEDEDDEYEDAEADNLPLENDEVEVVGDKHEAETEVDKPDASQTFNTAPTSKKAVPVKKRSRSDDGGLSDLVASINNYVGVYRETNEHIKGIATYFQKQSDGDDRRMLIFEEIMQMGEFTNQEVMDASENILKDAHKVDSFFGLPNVKNGLCCEATV >OMO94080 pep supercontig:CCACVL1_1.0:contig07985:22118:25410:1 gene:CCACVL1_06185 transcript:OMO94080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGILGRGFASKCKSLIKVIKSRIDVIRRKKSATLKFLKKDIADLLANGLDINAYGRVEGYIAELILSSCYDFVEKCCDFVYKHVSVMQKLSDCPEDCREAVSSLMFAAARFSDLPELRDLRQIFHERYGNSLELFVNKQLVENSAANACTMEKKVKVMHDIASEFSIKWDSEAFERRMSKPTVVPKAQDQPKNYGSFHVNGDKHKSDDGKSSDTLGDKPKKSSKEKLEAVNGGHRLHNYKEDNVLKREFIIPPKQEVSVEGYKPCHGREEALPRKENHNNVPLVHEQEVSASKHEFWNGKDDVALKTARSSSSSLGKRMEKVDVEAKLLDGRENRVHRIDNQDVPPPAKPGLNPSSATPRLKSHDGNLFAADNYAGQNHEQHSTRRTHEEDGPKWKPYLNAGIPPPYVKPNTKSKDRKHDAIIGSSHTGFDNNGVSGDPSIQDRAYVRNGADKYQEGLYHPDKEGKMIPPRSVSSNDHAKDYYYNHDGSDAPIPRRRSSRRRHSKSLSSHGEMDNVEDAEVAKRRPRSRRKDDSRRGLQILFDDEQHKNDEEERIIDKLLMHYSKKPSSSEDVKIRRKSKSRHAHHMGSDAGEALEKASRDRADDISESNSRPARSISLPHEQTAQAEGTKVYARATSFQQDRSSAARHVHPKLPDYDDLAAHFAAMKGR >OMO94079 pep supercontig:CCACVL1_1.0:contig07985:6083:6720:1 gene:CCACVL1_06183 transcript:OMO94079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVCLSLSPPSKTLAPPSTFPSSTSTSSGCRCSLVVSVSNGSQRTRRKRQQVVCMAPEEERVTRRNPLDFPLEWERPRPGRRPDILPQFSPMKTPLPPPLPYDPPQEDEEDEEKKEEEEEDPEKEGEPENPEQQS >OMO94081 pep supercontig:CCACVL1_1.0:contig07985:26447:27355:1 gene:CCACVL1_06186 transcript:OMO94081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGASKVIMGATLVMVISLAIVLTLILVLLAELYCSLLLRRRRQVKKPTTDEEDTTAAAATTTTTSPIFSTSSQRRPPQDDQDKPNCSPLSSFYAQGVLHAPRNFLFPAALPCKQKNLHFEKDELHGAQESNTSPHQIGILSPTSPLSTSFGTSPQPAQEISIQVGSSGTNIKENFVYISNPIYDNEADGVGRPSMPDTPFETPDSSPSRLENGGSSGEDDEQSNNKAEDSDNVGVKLKSVFYSTSPPLTPPLSPMKKLPAQACSVSLRDARSLLVTSGSDSNSNNGLSSSSSGSPCTSPSW >OMO94082 pep supercontig:CCACVL1_1.0:contig07985:29490:31562:-1 gene:CCACVL1_06187 transcript:OMO94082 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MARTGNKNIQAKLVLLGDMGTGKTSLVLRFVKGQFFDFQESTIGAAFFTQVLSLNEVTVKFDIWDTAGQERYHSLAPMYYRGAAAAVVVYDITSSDSFERAKKWVRELQRQGNPNLITFLVANKVDLEDRRKVGNEEGEQYAKDNGLTFLETSAKTAQNVNELFYEIAKRLAKAAPSRPTGMKLHTRPQESGRRLFCCSS >OMO94084 pep supercontig:CCACVL1_1.0:contig07985:47528:47900:-1 gene:CCACVL1_06189 transcript:OMO94084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRQTWEKEKPWKQNWQAWSLIASSKKMTWTGEICIGRSPGESSVSTNIGRWCRLWVNLLLPSCCRLWDKRRYG >OMO94078 pep supercontig:CCACVL1_1.0:contig07985:3121:5539:1 gene:CCACVL1_06182 transcript:OMO94078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MAQAARLKLRMQKELKLLLTDPPHGASFPFLSPNSDLSDLSSIDAQIEGPEGTVYAKGIFKIKIQIPERYPLQPPIVTFGTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNISTVLTSIGLLLSEPNPDDGLMCEASREYKYNRQAFDHKARSMTEKYAKAGAGESSCSTQCTETDTNLNLMEVQGLDKESKHDANELIDSQKKPHGISQKLSLESSSSSKKKDSDQEVNEVPNHQLSPSDLMNQIEGKRKRETEKDVLPECNLNREKVNGNGRKLSLKSSNKFEDDFDNKENVDPNCLSSLRQHQASPGSSLLQVSANSGRKTSLQLSQSQKRPFGSMDSIQTCENVSSRKTGPKLSESQKQPFGSMDSIQTCENVRMLGAAQEDSVDKMEKVSATTKSEKLSSGSKKRSLGLKGSSLHRQNESNKENVVPIHNLPLSKPQTPGKSGIGRKLSLGPLTQIQKDQKQQRHQLHTTETDVETKQQKGEEETEECPISETVIVLDSEDSEDEERGSLRSRMPLARKRLGKWRVKA >OMO87950 pep supercontig:CCACVL1_1.0:contig09152:3370:3516:1 gene:CCACVL1_08648 transcript:OMO87950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFVNGINHINVQESSIIKSKDSVTSLGGPASGLWLTILSTPLGPAF >OMO52544 pep supercontig:CCACVL1_1.0:contig15511:17218:17679:1 gene:CCACVL1_29189 transcript:OMO52544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTFSTLTPQMKLKAETD >OMO52543 pep supercontig:CCACVL1_1.0:contig15511:11210:17086:-1 gene:CCACVL1_29188 transcript:OMO52543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MGGFCEAWGSNVLASVFVDYMVKPTVRHVGYMFRFNKILKDLREKSEQLKVKQASVEATVKDAENQNQRINAVVEDWRTKARTLQQDVESFDVKLTKENKRCFYWCPDFYWRYRLSKQAAEKSLAISQLVDKSRFEVVGHPADLPGISLLPSKEFLPSKSADSAERQIMEALKDDAVNIIGVWGMGGVGKTTLVKKVGRKAKEELKLFGEVVLVTVSQNPNIGKIQTEIAESLKLRLSNTTEDGKAEQVWARLQNEKSILIILDDVWKELDLKAVGLGIPVGEHRFKGCKILLTTRSERVCSFMQSGRVVRLDLLNENEACQLFKSSAALDDNTSSDIAQVAAEVVKECKGLPIALSTLGKALKGASLHKWREASQSLKNHTVLDNIECVAEDDRNAYICLKLSYDFLKLEHTKKCFLLCSFYPEDHSIPIEELVRHAWSLELFKGKKSIQEARDAIYTVMDDLKACSLLLDDGKTHVKMHDMVRDVALWIASQKENHFVIKSELRAREWPMDENFEHCTAISFLDCNIKVIPEGLEYSELGLLSFTDGVLDEEKTIMFSGSSFQGMKSLKALNMMLRRIPPKVIGRLSRLEELYLRWCWFKFDEWLVEGSTNAQVRNASLFELNELPCLTTLVLNVVDSERIPKDFVFPELQNYSIGIGGDADEDYPSRRYLKISKIATLHAFKNLLEVVDVLELFSIVNYQSLVDVRNYQHVPVTFSNLSVLKINGMNCFKGLCNGPPPDGFLKKLETLKIWGCDSLKNLFPASVPDGFLKKLETLDIFKCGSLKTLFPASVTKNFVQLKSVKITACNMLEHIFEEMEGANEYQVLPKLETLEIDECGSLRTLFPGSVTKKFVQLKSVKIAACNMLEHIFEEMEGANDQVLSKLETLEIMACGSLKTLFPASVTKKIVQLKSVQIFDCNMLEHIFKGMEGADDEVRQKLESLKIIRCSSLKYLFPPSVAEKLVQLKILRIHGCDMLEQIVEEMEVANGEILSVNTCFQPLYLLPNLKTLRISNCAKLECLVDMTRKQHLPAIELMSNLEELQLTSMTSLKWLFNGECPNRLLQKLQSLVIRRCSSMTSLFPLSFAQNLVQLKKVDIVDCNMLERLVTEDDNFQMYLSNNHDGHHPTCLQELEALEISNCSKLEYVLPSSLVGNGLPQLKNLTLRNLHELKQVIPLGQGRNGGNDVCLKLLPMSLKTLQLSGCPKLRPFTVSSTHQMMWICIEGSESNQLFNIPVVAQGRVNSSNKEYAVIGNHVEEMFHLHESGNILSILTMFEVKNLPELRVIWRCPKQLMTLQNLEDIEVFGCNRLRYVFSPLLARNLPKLRHLKIEKCEDLEQIVDASSSSPQDPEQQSLNIISFPNLMQIEIESCNNLKYVFPISIVADLQRLGNIWISKARKLEQVFGCEDKADAKDHDQVEETVLLLPRLHKLYLQVLPSLISFSSVDYHFLFPSLYKLKVADCRQMTTTFSIDSESCAHAKPQASQQTEDPSTAQETMWPRGSNIDWSRRGYTLYV >OMO78213 pep supercontig:CCACVL1_1.0:contig10621:2481:3005:1 gene:CCACVL1_14575 transcript:OMO78213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELPEYMKVCYSALYDHISEMAQDALKDNGMDILPYVKKHLMCYIKGYLQEARWIHSGYTPTAYEYIENARVSIGVPLCVIYGIFGVLGHYLNEYLLELVEHESDLVSLTGVITRLIDDLHTAK >OMP11596 pep supercontig:CCACVL1_1.0:contig01123:1107:2372:-1 gene:CCACVL1_00414 transcript:OMP11596 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MANIGLVGQEDEQMDLPPGFRFHPTDEELISHYLYKKVLDISFSARAIGEVDLNKSEPWELPWKAKMGEKEWYFFCVRDRKYPTGLRTNRATDSGYWKATGKDKEIYRGKSLVGMKKTLVFYKGRAPKGEKSNWVMHEYRLEGKYSVHNLPKTAKNEWVICRVFQKSSGGKKIHISGLVRVDSFGNELGPSGLPPLMESSPYNGKSKPVAESAYVPCFSNSIDVQRNQQETIDHFNNPLLPVSSNTTSDIFPRIPLSNSFYSAQPVPFPSNLQFPGSVLMQDQSILRALIENHGSNMRQDFKTERDQMVSVSQETGLTTDINTEISSVVSNLELGKRTFDEQEAPSTSAAPVDLDCFWHY >OMP01812 pep supercontig:CCACVL1_1.0:contig06371:29303:29383:-1 gene:CCACVL1_02995 transcript:OMP01812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAKCPGFSPFDFVGNVLMYTKYVSNA >OMP06854 pep supercontig:CCACVL1_1.0:contig04779:102:530:1 gene:CCACVL1_01425 transcript:OMP06854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKRYEVSPSRSRSRSRSRSRSVRRERSKSRERPASKSPVKSKSASPVKSPRARSRSRSRSRSRSRSASPEK >OMO99933 pep supercontig:CCACVL1_1.0:contig06769:10583:10792:1 gene:CCACVL1_03547 transcript:OMO99933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLLIQWLLLAAVVAMEEEHSKPNCSRSCGKLTNIPYPFGVGKSCSMEIEGIRHKLRYHPQPTKANFRKI >OMO58624 pep supercontig:CCACVL1_1.0:contig14196:1101:1193:-1 gene:CCACVL1_25424 transcript:OMO58624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGDEKHMEGGQWQPGDGTTARQSRSRGAT >OMO94366 pep supercontig:CCACVL1_1.0:contig07908:15622:15705:-1 gene:CCACVL1_06041 transcript:OMO94366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESTVKEKGGGAAKVKEEDEGSRKRS >OMO94364 pep supercontig:CCACVL1_1.0:contig07908:2231:4849:-1 gene:CCACVL1_06039 transcript:OMO94364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MKGLFKSKPPTPVELVQQTRELLLFVLNNTETRERKRAEKISELCKLLLDLRTVLYGDGQVEPNSDACAQLTKEFFQHDTFRLLIASLPSLNSGARQNAIHVIANLQRQRVNSKLIASEYLENNLDIMDTLIPGYEDSDIAWTYGPISRECLRHQNVARYVLESKHMKNFFDYLQNPNFDIQSDVQATFKELMTRHKSTVAEFLSENYDWFFEDYNTKLLQSESYITRRHAVKLLGDMLLDRSNSAVMVRYVSSLDNMRIMMNLLRDSKKAIKLDTFHVFKLFVANQNKPPEISSILVSNRSKLLRFFDEFTIDKEDEQFEADKSQIIKEIASLQSTDRSCQDLDNCDVPC >OMO94365 pep supercontig:CCACVL1_1.0:contig07908:8391:11621:1 gene:CCACVL1_06040 transcript:OMO94365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLSFLSNPSSLPLPNPSPSLRFPLKRLVSFPKRHELKRCLHVAVATDSAQPAVELRNDTVQEDSQEQDEAGIVVLPTNESSEKLLRIRHTCAHVMAMAVQKLYPEAKVTIGPWIDNGFYYDFDMEPLTDKDLKRIKKEMDRIIKRNLPLVREEVSRDEAQRRIMAINEPYKMEILDSIKEDPITIYHIGDEWWDLCAGPHVETTGNINKKAVELESVAGAYWRGDEKRPMLQRIYGTAWENEEQLKAYIHFKEEAKRRDHRRIGQDLDLFSIQEEAGGGLVFWHPKGAIVRHIIEDSWRKTHIEHGYDLLFTPHVAKADLWKISGHLDFYKENMYDQMKIEDELYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEEILLQFGFSKYEVNLSTRPEKSVGGDGIWEKATTALKDALEDKGWSYQIDEGGGAFYGPKIDLKIEDALGRKWQCSTIQVDFNLPNRFDITYVDSNTEKKRPIMIHRAVFGSLERFFGVLIEHYAGDFPLWLSPIQARILPVTDAQLDFCNEVTRKLKASGIRAEVCHGERLPKLIRNAEKQKIPLMAVVGPKEVEMDSVTVRSRFGGELGTMKTDEFIASIEQAIKERISL >OMO60371 pep supercontig:CCACVL1_1.0:contig13731:3196:6336:-1 gene:CCACVL1_24204 transcript:OMO60371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYKGVVGDTSLEDLKSYTDNFSQDNFIEDFQFCKVYRGKIEDYDIIVKVYCGEIGDFYTITGLWRLKNEILPEEHRVSFQEEIKIKSHPGLAKMTGYCVRGQRAILYDLNPVDTLRSFVINETYYGATIIPKEIVEISFEDLKSYTDNFSEDNFIGNFQFGEVYRGKIEDHDVTVKVWMQQTLYVCFPGNNEHRMDNELVLHADSRIRSHPNLAKLIGYCHEGGQLAVVYDLNPVDTLRNFILRDDFTWLQRIKIALQLACLLQFLHIPSPPHKRYVVRNIEAAHFFLDKDYNLVLYEFSMFSGGCLRERLGYPFIKGCFGYIDPDMHNAGPKGDPIMDVFAFESFSPNYVMEEISFEDLKSYTNNFSEDNFIGNFQFGKVYRGKIGDHDVTVKVWMAQTFYVCLPGDNENRMEVCYPRIKSHPNLAKLIGYCREGQLAVVYDLNPVDTLRTISLRGILVNDFTWLQRIKTALQLARLLQFLQTPCPPYEPYLICNIDAAHFVLDKDYNLVLYDFSMFSGGFVPEMKDYRGCPLIFGCFGYIDPYIYNRT >OMO77303 pep supercontig:CCACVL1_1.0:contig10784:11704:12684:-1 gene:CCACVL1_15101 transcript:OMO77303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSNRSRGKRRYPFLPSFMGTSRSKLAYEFSSPGSAYAPKHVVIVMDGLKEFTTELLEWVLENLIAAGHKVTLLGYMPWMPIPLSIKTWQHVWMADFEHLSQMKEKNEWKNDPRYLKLQSVLDLCKKHRVVPQKEVVMGYPIPLLLVERIISLRATWVIFDRNLRKNREYFAERIPCNMGMMSEEGYMDMIKGRPMIDCEEHTPSESPALVPTPQVIISKPLKRIMEEQELEKDDDDVLFYEI >OMO77306 pep supercontig:CCACVL1_1.0:contig10784:20732:23951:-1 gene:CCACVL1_15104 transcript:OMO77306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MASSDSQTDKNAVFRKLKAKSDNKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLRMMIYGGNNRAQAFFKQHGWTDGGKIEAKYTSRAADLYRQILSKEVAKSMAEEAGLPSSPVASQSSQASNGLLNVKTDEAPKENSLGRQETPQVSAAPKVSHTVMSSTVKKPLGARKTGKTGGLGARKLTSKPSENLYDQKPEEPVVPVASTTNNTSTAPVGSSFPSRFEYVENVQSTELNSSGPQVLSHVAPPKSSSFFAEFGMDSGYQKKSSSNSSKVQIQETDEARRKFSNAKSISSAQYFGDQARAADNEAQATLQKFSGSTAISSADLFGDSSDASLDLAASDLINRLSFQAQQDISNLKNIAGETGKKLSSLASTLISDLQDRII >OMO77304 pep supercontig:CCACVL1_1.0:contig10784:13663:16927:-1 gene:CCACVL1_15102 transcript:OMO77304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MTDDDSSAPASEPFPPLENLDSFSPSKAGSSCGSSSVSPMILDSGVDVGAFMEKSLEETEANPRLSESASTDYEFPSTSSPASPSLEDADPSQSMALISYDPKNSLNCEEKEGDHYSHSPSFGNDYNSPYGPMDPAYDFYNRRRYTSRPLGYGYGYGFSNSRWSPWRWSPPKEPEIEPTGVGAGLENLGNTCFINAVLQCFTHTVPLVLGLRDLNHDKPCSIERFCLLCALHDHIELSLNSSGGSVSPSKIFDNLNYISSCFQRYQQEDAHEFLQCLLDRLESCFSDSKPENDCSSSPDECLVKKVFGGRLVSRLCCCNCGHISCTYEPLNDLSLEIEDVDNLPSALKSFTKVEKIEDLEAKFRCENCKEEVSVEKQLMLDQAPAVATFHLKRFKTEGVYVEKIDKHVEFPLELDLEPYTICDQRSDDELKYRLYAVVKHSGCRPTSGHYVCFIRSSPDTWHRLNDSRVTEVKEEAVLSQEAYILFYARQDTPWFSTAIEVQKPCSGSHISDSSPKSVLDNLESECLNPQVNGSADCDVSESKDVAERTSTQFSCETQCEVKVDEPCVAKETYGLPANESEQEPKLFDSCNDNPMTDEPNCSDAFDKNTSTVSHLGENNCSLGVGVADDINVASMQSRSQCTDKRDSSECVPLAQFKEKRGLHRRAVKRTARDLERNEALRTVKRMHYSRGSKIMAALRNSPNAGKGKKRTGSSPFKRVSPSPNAGKAKKRTGSSPCKRVRPSPNAGKGKKRTGSSPCKRVSPRIRRNLLRTVPF >OMO77307 pep supercontig:CCACVL1_1.0:contig10784:24261:24407:-1 gene:CCACVL1_15105 transcript:OMO77307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTVCNNRHHLGVQHNTRTNLYGGRKLKPLSPSRHATPPFKGIYVIA >OMO77302 pep supercontig:CCACVL1_1.0:contig10784:9931:11010:1 gene:CCACVL1_15100 transcript:OMO77302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTHRVVCAAFIVLLGVGICSATRALLNFDEIVGHVPVGGGYGAGHGGGSGYGAGGAAGYGGGGGSGGGSGGGYGAVGEGDHGVGYGGGHGSGEGGGSGYGAIGGYGGGGGGGNGGGAGYAGGGEHGIGYGSGGGEGGGSGYGAVGGYGGSGGYGGGGGGGSGGGAGYGAGGEHGTGYGSGSGCGEGSGAGYGASGGHGGGGGSGGGSGYGIGGEHGAGYGGGHGGGAGGGYGGGGEHGPIGGGSYGAGGEHEGGNEGGGSNAGGEGGYGAGGAHGGGYGGGGGGGSGGGGGAGYGAGGAHGGGYGGGGGYGGGYGGGAGGGGSGGGSGYGAGGEHGGGYGGGGGSGGGGGGGHGGYAP >OMO77301 pep supercontig:CCACVL1_1.0:contig10784:8263:8400:-1 gene:CCACVL1_15099 transcript:OMO77301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKGQVELNCEVRLQNMPECLVSACTDRCKLTQGTISEGYCEAGF >OMO77305 pep supercontig:CCACVL1_1.0:contig10784:17722:19891:1 gene:CCACVL1_15103 transcript:OMO77305 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase I associated factor, A49-like protein MEKQEPSSSKSRTKKSKKANREEKQKEPIKPVPESPEAKQENIQVKIQLFQDQPKKIPPLVAYFPSGYNPCKTNPHEEEDDGDGPNNNSNQPRVKVYRNLAQNKHNRLEIVVSPSGSNVDFVGSSYRGEAAAAQVCRYSLGVLDKEFGTLKIVPIASNKIFRLEPRVRSLKTANKDDLDSARSEVTAETKEDKLGELTALYGTKKDRKKRKDMLALKKEADPESQKSLDKKIEQIAFNKEALGDTTALVARNIPPHDSSATSPQDAYPLDQIILNGDWDFLEEDIYRLWQEGGEESSNAYPTFVCNRIKKLEAIEDEDEKWKLACLFSFITHLLKFNDQCKLDRVASAKNHKIPNVIRQRFLSMFAADQESKSRSPDQINRLISYVLVLTLHADQFRTDPRDIAKDLSMSDVSLRTHFENLGCKLTREKKVLYATLPVPLKFPSENLKRKRRR >OMO72942 pep supercontig:CCACVL1_1.0:contig11331:42204:44080:1 gene:CCACVL1_17504 transcript:OMO72942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKALKQKPRLRSPVPMMILMCITAIALLFLFSSMGSTKGFSFSSLQTHLKRRKNSRQHSLHDKYLYWGDRIDCPGKHCDSCEGLGHQESSLRCALEEAIFLNRTFVMPSRMCINPIHNSKGILHNLANTTAEERWAASSCAMDSLYDIDLISETVPVILDNSDVWHLILSTSMKLGARGVAHVEGLARVDLKEDSRYSNLLLINRTASPLSWFMECKDRNNRSAVLLPYSFLPSVAAEKLRNAADQVL >OMO72940 pep supercontig:CCACVL1_1.0:contig11331:3229:5572:1 gene:CCACVL1_17502 transcript:OMO72940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAVTKDGKEKSKFYKRLVLCNGKEFYFLIKPIEAGSKILIIQEIQPIDANEPIDLEDKDGDDSKDEEPLNKKIKIEKD >OMO72941 pep supercontig:CCACVL1_1.0:contig11331:18549:21899:1 gene:CCACVL1_17503 transcript:OMO72941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small-subunit processome, Utp11 MSSLRNAISRRAHKERAQPSSRKKFGLLEKHKDYTVRATVYHKKEETLRKLKEKAAFRNPDEFYFQMIKTRTVDGVHKPESRANKYTQEQLIEMKTQDIGYILQTLQREKKKIEKLTAVLHSVDNHPSTKHIYYAEDRQEARELQLQSSENRGPTPSDDIPHHIKRKVAASYRELEARKSRVNELEKIYADMSLKKELQKKGRKRKLHEDELKNPTSNPVYKWRSERKR >OMO81151 pep supercontig:CCACVL1_1.0:contig10233:19269:19544:1 gene:CCACVL1_12583 transcript:OMO81151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNTETTPSSSMQDPEATNRGAGGKLRRQPPRRPPATPYARPQQNQSLRSRLLSKLVDPACRLIAGGATRILPSLFTRPLLPPPEPQTHG >OMO81149 pep supercontig:CCACVL1_1.0:contig10233:12717:13804:1 gene:CCACVL1_12581 transcript:OMO81149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MLLRSASTPLLNSRIPHSKEASPEPEFLHQVSRSRSVMFTITCSSSMSMGSRDESSRRMTKALSETDLRELMVPKMKTVNKNNGILGGICVEEEKEVEVEVEKEDAELEWWKAASLGGVEEGCGIGFGGGNFCGGGGGSGGGGSDGGDNEWGYWDSNNGNDSTESYYQKMIEANPGNPLLLSNYARFLKEVRGDFVKAEEYCGRAILANPNDGNVLSMYGDLIWKTQKDAPRAETYFDQAVKAAPNDCYVLASYASFLWDAEEDEEQEEEIGEILRIESEPKSFFHGVPPTPPPLAAAS >OMO81150 pep supercontig:CCACVL1_1.0:contig10233:14730:16490:-1 gene:CCACVL1_12582 transcript:OMO81150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleoside transporter MEMQKMENPKAPVDQPEPRDTYRIAYIIHFLLGAGNLLPWNAFITAVDYFGYLYPAKHVEKVFSVGYMSSSVLVLVVMMTWGRCSRKLTHRFRMNLGFSMFILSLMVAPTIDWVSCGSWSKERPNAAYFVTVAAVVICGVADGLIAGSLIGSAGKLPKQYMQAIFAGTASSGVLVSILRIITKASLPRTEQGLRASAHFYFIVSTTILLCCILCRNLLYKLPVMRQHYRLLQDEPFCPRPQFWTVARKVCWPALGILLIYIVTLSIFPGFIAENLESKLLGDWYPILLITMYNVADFIGKSLTAIYVVQSIKKATWGCISRLLFYPLFTACLHGPNWLKSEVPVVVLTFMLGLTNGYLTSVLMILGPKTVPVSEAELSAIVLVVFLGLGLVSGSVLGWFWII >OMO81148 pep supercontig:CCACVL1_1.0:contig10233:7109:10805:1 gene:CCACVL1_12580 transcript:OMO81148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNLTLADMRDRDGLDLMADAHHR >OMP12112 pep supercontig:CCACVL1_1.0:contig00415:1402:4011:1 gene:CCACVL1_00124 transcript:OMP12112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MEREESIRSPTCAEASSVVSFSNGEEYCSKKTEIIKELKKQTRLAGPLVVVSFLQYSFLMISVMFVGHLGELSLASASMATAFASATGFSFMLGMGGALDTFCGQAYGAKQYNMVGVHMQRAMLVLAVLSLPISLLWAFSAQIFIICKQDREISMHAGVYARWLIPSLLPYGLLQCQMRFLQAQNNTLPLMISTGVSSLVHVLVCWTLILKLGFGNKGASLSTAISYWINVFMFAMYIKFSPTCTQTWTGFSIDGRKKLLAFLKLGIPSALMVCLELSAYEGLVLMSGLLPNPMLETSMMSISYNIAAGVFRIPYGFGSAVSTRVSNELGAGNPRTACLAVKVVMLLAITESLSLSLITVALRKYLGHLYSNEDEVIRYLASIIPILAVSNFIDGIQGVLSGTARGCGWQKLAAYVTLGSYYLVGLPSAILLTFVIKVGGKGLWLGIMCGSSSHVFFLAVITMRTNWKLQAVKARERVYGSTVATSIQSNCHDEENPHI >OMO64639 pep supercontig:CCACVL1_1.0:contig12759:7408:13342:1 gene:CCACVL1_21626 transcript:OMO64639 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAAALSNLSRLSIQDGEGIVGASTEKQEAADNRVVIADEDWLEVSEGESVHFNLLGKLFSKRRANVEGLRVAMFQAWKPECDLVVKEASDNLYIFQFDDANERDRVLVNQPWSFNRSLLLLREFSGLQVPEEVNFDTCPIWMRVFKLPILLMTEKIGRAVGAAMGNVLEVDQSCSRYLRLRVSFNVNNPLMEEQACPLGTYQLKTQGFMTKKFSDYLRAETPDIMPRQFHSGASGSKLTGLGGRNNSRRNLTAAGSVDSRPGALLGHVDSQLLRGGAVGSVDSRPAALLGHVDSQLLRGGAGGAVANAVVSGERYGEANSRLFGVLVPTGKEVEENVESHTGLITRRLRDDSLNPEIEGRGNGSNQEAKSARKWRKLARGSVQEPDDKQATQSATREGKKRGFNGGIMASTGAGATKRSRDRDERQRGVIAISADKKELFSLELSGCRADPNSSSGGLALLWFNNVDISILSYSNSHIDAQIGSNMDNAWRFTSFYGRPETHRRNESWHLLRTLNANCSLPWLCAGDFNEITKSEEKVGGGLRPFTQMQNFSQVIEDCFFTSLPVIGPLMTWHKKLHGEFIFERLDRALITNSWLERFSHSTEKHVISNVSDHLPLVISIQEQPTVQWRGPKPFRFESMWCTPDGFEQVVREAWTNDGHKGISNNLMACKRALSTWNRSVFGNVRHKLEWKRKELGEMLEAGTNTERIEECKNDLNVLLHQEEVMWRQRSKALWFSQGDRNTRYFHSIASDRRKRNLILGIEDGAGEWKTTMADVENIACNYYKELFSSSNPSNEALDKVLALVPGRIDDQMRALLDAPFTATDIKEAVFQMQGEKAPGPDGFPPTFYQKCWSTVGKDVIEFALNFLNNGGSLSHINHTNIVLIPKIENPRTMKDFRPIALCNVLFKIISKTIANRLKLILPQIIGESQSAFVPDRMIFDNALIAFETIHFMCNKRSGKRAHLALKLDLSKAYDKVEWIFLEKCMYRLGFSSHWIELVMEGIRSVSYSILINGQQTARLSPTRGIRQGDPLSPYLFLFCMEAFSCLISNAEATNLIHGVAINRAAPSISHLFFADDSLIFLRAALDECEVVLNILHDFELATGQQVNIDKSAILFSSNISSGLQDSIMRRLGVSRVLERDKYLGLPIMIGKNKKQELNFIKERLLQRVASWRNKLFSIVGKAIMIHSIAQSIPVYLMSVFRFPKSFIHELNMIIAKFWWGSTNDNRKIHWMAWETMCVLKLDGGLGFRDFEAFNLALLAKQCWRLIHNQDSLCFRLFKGEVADIMDFEERRWRIDELMDIVVDEDVSRILCLPIPRLPGRDTLIWDASSSGNFSVKSAYYVARQILGHDVNIDDESRKLWRRVWGSSIPPKIHYFIWRLIWNLLPIKTNLQQRGMDIDDLCVVCGLSVESLGHVFFECCYSRQVGILLKQLEQARPKAISRVPARNDSWQAPGPGMVKINTDASFNQDTCQAGLGVGFRDSEGNVLVCACRKLSFISSPLYAEIHAILFGFELALEYDIDNCIFESDCLNAVSIINSNSPVWWEGACLIYEIRELVSLFDHCNFVHARREANELAHRMAQLEFDFVRCGSLPPSVCIPDFTN >OMO68292 pep supercontig:CCACVL1_1.0:contig12237:4135:5052:1 gene:CCACVL1_19990 transcript:OMO68292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MMANSLPPKPEVIALFQQKNIRRIRLYDPNQEALQALGGTNIKVMLGVPNEPDLQNLAASQANADQWVQNNVRKYSNVNFQYIAVGNEVKPTDPYAKFLFPAMKNIHNAIVGAGLGDRIKVSTATFFGAMEVSYPPSHGKFHSDYQKILSPVINFLKANQVPILVNIYPYFSHMENPEQVPLDYALFTSPSIVVNDGPFQYQYLFDAMVDAFYSALEKVDGGSLNIVVSESGWPSEGGTATSLENARTYNTKLVQHVNQGTPKRPGPIETYLFAMFDERNKEPEYEKHWGLFFPSKQEKYPINLN >OMO68293 pep supercontig:CCACVL1_1.0:contig12237:8795:11152:-1 gene:CCACVL1_19991 transcript:OMO68293 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGKKRCWFGWVKKIFSSDAKAKTEKKSRSCKWVFGRLKLKQYHPALLAPQKSLCQATEEQRKHALNVAIATAAAAEAAVAAAQAAAEVVRLAGASKPLHHFAARDRNWAAIKIQSSFRAHLARKALRALKGLVKLQAIVRGQLVRRQAMKSLKSLQSSPKIYREVKEKCTSPTKVIRQDSWRKQSLLNKDELWEDIKHECNSQKSWNDSVHSKEDIEAIWLKRQEAMAKRERMKKYSYSHRERTKSYMLEETVHVKETGKSNFVEAEAKAEANKRERLMILKPKVASNLSNWEVHGPPHIRYRNSQKQETLDGLNSPFLFPRRSFCRVQQHAAGDEGSIPNSPVFPTYMAATECAKAKARSLSTPRQRVGFLDTCFDYSVPNKGGLSFWSTYNGDSFSFNEKSSLPLNRLY >OMO68291 pep supercontig:CCACVL1_1.0:contig12237:363:476:-1 gene:CCACVL1_19989 transcript:OMO68291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSYSYPKSTEEKTIPRYYEKVDYVVRIEICLNCN >OMO51705 pep supercontig:CCACVL1_1.0:contig15741:13025:13450:1 gene:CCACVL1_29641 transcript:OMO51705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVFFRMGLGTRLRYLFGVVVIEFLLYGLEGHEVLKGFISIALSGAALIWGAVSLIYDMGCIGRFGGWVCMSSLISRCEDIYFRLSGEKSIYPIMYSVLMYFNEIIEENRRNTWDNASTTYLSASKHLKGDESATYQRGL >OMO94491 pep supercontig:CCACVL1_1.0:contig07863:34073:36786:-1 gene:CCACVL1_05975 transcript:OMO94491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase, subunit VIb MADAQTEQPPSLSEQYLLKEKEEKADVSKPDEVKEVENPVSAVSEEVVPEKVEETPAAPVEESTVTPPAAAEESTEANPAAENSGEEAPAATEESSEPSAEESSGDAEETPEIKLETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGEDAPECDKFAKYYRSLCPGEWIDRWNEQRENGTFPGPL >OMO94492 pep supercontig:CCACVL1_1.0:contig07863:39844:40881:-1 gene:CCACVL1_05976 transcript:OMO94492 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MDKSCHSSGDSTTTTITSSSSNGSNVNNRDHYLKHLNKLSHKISKPSTGTTAPALIKKPSFDQSQNVPPPLPPQPQPPSQPQLPPQNPNQSNLQAQQHQPPVYNINKNDFRDVVQKLTGSPAHERFSTPPPIHQPKPQSSRLQRIRPPPLAQLSNRPPPTMMNCVVPNMNPPGSSQPNPPIGGGPTGNSFIQRPPVAAPLSPLPPFPAVHAAAESPVSAYMRYLQGSMSAAVDSNPKQFSGFPPLAPLVSPRWSGLTAPQPQQQQQHPPPPQQPAQQQQQPPQVSSTGMIQSQLPSSPLPFGCLNSPRSPYGLLSPSLLFSPNSGQLGFPLSPTVPVPSPKWRGL >OMO94487 pep supercontig:CCACVL1_1.0:contig07863:15508:23634:1 gene:CCACVL1_05971 transcript:OMO94487 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase, plant/fungal/bacterial MQRRSRKVVVVLRKFLTCAICTIALVGLLSVHVHVFPPSRVSDLSDPYKLPTVTQQHEFNYQKLSTELDWTQELAPPHLSKEQAPPHLSKAPVSSRKLDGASGNLDFEKLWKPPSNRDIVHCVKPSSNYTAPGESRGYLLVHTNGGLNQMRAGICDMVAVARIINATLVVPELDKQSFWQDSSNFSDVFDEDHFINALANDVKVIKKLPKDLSSATKVVKHFRSWSGLDYYLEEITSLWEEYQVIRAAKSDSRLANNNLPPDIQKLRCRACYEALRFAPAIEAMGKLLVDRMRSYGPFIALHLRYEKDMLAFSGCTHGLSNAEAEELKTIRENTPYWKVKEIDAREQRHKGLCPLTPKEVGIFLRALGYPSNTPIYIAAGEIYGGDTHMADLRSRYPMLMSKEKLTSVEELEPFINHASQMAALDYIVSVESDVFIPTYSGNMARAVEGHRRYLGHRKTISPDRKALVRLFDKLEKRILKEGPKLSNRVIEIHKKMQGSPRRRRGPVSGTKGMDRFRSEEAFYVNPLPDCLCRRFKAETKSDSGSRTQSWSKGAARAGYRFCLLFMWLSDRGNKGAVRCSKTEPGDVSGEFGFHRRDFLKCIGATVGMELLSSSGPWVEMATAADLIQRRQRSEFQSSIKETLFKAIKENPDLVPSLLTLALNDAMTYDKATKSGGPNGSIRFSSELSRPENKGLSAAMSLLDEAKKEIDSYSKGGPISYSDLIQFAAQSAVKSTFLASAIRKCGGNEEKGTTLYAAYGSNGQWGLFDRQFGRSDTEDPDPEGRVPIWEKASVQDMKDKFKAIGLGPRQLAVMSAFLGPDQNATEALLITDSEVSPWVQKYQRSRETVSQTDYEVDLITTFTKLSSLGQQINYEAYTYPTKKIELSKLKL >OMO94488 pep supercontig:CCACVL1_1.0:contig07863:24228:27741:-1 gene:CCACVL1_05972 transcript:OMO94488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQCRLSGTTIQSLKMATTIPISSSSSRLIFRPLPKTLNLALFSRHTLPKAPPFTLLVRALSGAAVVAAPATEIIDNKVLKPQWKAAIDFKWIRDNKEAVAINIKNRNSNAHLELVLELYDKMLNLQKEVERLRSERNTVANKMKGKLEPSERQKFIEEGKNLKEELATLEEDLINLRDELQFEAQCIPNMTHPDVPVGGEDNSKLRNMVGNRREFDFPVKDHLQIGKELDLFDFDAAAEVSGSKFYYLKNEAVMLELALVNWTLTEVMKRGFTPLTTPEIVRSSVVEKCGFQPRGENTQVYSIEDSDQCLIGTAEIPVGGIHMDSILAESSLPLKYVAFSHCFRTEAGAAGTATRGLYRVHQFSKVEMFILCRPEDSDNYHEELIKIEEDLFSSLGLHYKTIDMATGDLGAPAYRKFDVEAWMPGLERYGEISSASNCTDYQSRRLGIRYRPSELPTTNSKKGKASSAPTKFVHTLNATACAVPRMLVCLLENFQQEDGSVIIPNPLRPFMGGIERISAKSK >OMO94490 pep supercontig:CCACVL1_1.0:contig07863:30142:33510:-1 gene:CCACVL1_05974 transcript:OMO94490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFEESWTDQMMKRAGYLPDACDQEACDEEESESGFAISYQMNMPSGAAIAGIVVIRRNAPGEMQDRTCEQVSASSAMMSEALAMPMRMAVELAIEDNLQKVIIETDSLELHSDDGDWKIRPIVRDIR >OMO94489 pep supercontig:CCACVL1_1.0:contig07863:28530:29311:1 gene:CCACVL1_05973 transcript:OMO94489 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3 MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OMP01146 pep supercontig:CCACVL1_1.0:contig06521:5911:9407:-1 gene:CCACVL1_03150 transcript:OMP01146 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MAPVSLPPGFRFHPTDEELIVYYLKRKINGRKIELEIIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSQTRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDDRECETPSSGLQSFTLTNLDSISVVHLGLVGEFTNVSGCDAYALCRVFKKSATGPKIGEHYPAATTSNNQMTSEQHSSSMELYSEGRCEESSDFAIPVDTCSPSILNRSSVHEARDVKCMQSMLPQDHHAFGFSNPSFSGYGNLAYPPSKVDVALECARLQHRLSLPPLEVEDFPQVGFINNYKVMRTSNNPLRESQVETDILQEILSVAHASQELINPTGGGHDHDAWGGGSCSNANDFTFMTTANNCSFEDSGARSIDISDLHEDFKPERMAENLRWVGMSNKDLEQYCFMEDNKIVPIENISSFSRNEDNIQVVGESGHDYNCTGFNENDTHEIEGFPQGFIDDDPSEHFLDEGNMEDLASSPSFEVVEDIKINHGMFVSTRQVANTFFHQIVPSQTVKVHQNPAKAESHETIIRYNKGNNTSFFRKFNSFARGKFMEMAAFTEPCKKTTSALLWMVMLLLMHSFCPDEDMENDKEREEKLAMTVWNKKKGSYLLVKASNCVVDVNWEGIRKPSPKVEDKFILIVLF >OMO59836 pep supercontig:CCACVL1_1.0:contig13864:2730:3915:-1 gene:CCACVL1_24584 transcript:OMO59836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKALKDLLSPSDSEELPFTKWVDDDEDPNGNNRLNYLYIGDSSFLKDPFEKDNGLPDETPDRFSKIPATFYQGNSTKESKNHHDDFAALDNSMINSIYFLQKDLRAGTKANLPFFVKQAMETKKFLPYTVAESMPFSSDRFPEILKHYSIEAGSMEAKAMNVTIRNCARASSYSIGEDKYCATSLKSFIDLGVSKLGKNIKLLAREIEDETKSPLFTIGSGVRIMGKKEIVCHSMPYPYVVFACHSIENTVVYRVPLLGINGGRKALALAVCHLDTSGWDPNHPSFRILKIKPGTLPVCHFLYPDTLVWVSK >OMO62994 pep supercontig:CCACVL1_1.0:contig13087:8232:8911:-1 gene:CCACVL1_22525 transcript:OMO62994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late Embryogenesis Abundant protein MQSMKETVSNAGASAKAGMEKAKASMQEQVDKMKTRDPNEKEMARERKEERHDMAEMRKQEARDQNAMGRQAGGGGGGGLGFNTGGTDRGDTRGYGGVNPGYTTMGGTGDVNRGSGYTGAGAGTGYDDNIGYGGDRAGGLGYSTTGTGGQDFLSGDTPNINTAGHGTGTRRNTTRGVDEDPYGSYQ >OMO63004 pep supercontig:CCACVL1_1.0:contig13087:96173:99196:1 gene:CCACVL1_22535 transcript:OMO63004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAPSEPNSASPSSSSSSVAVQSAGNVHKIKFCQWCGGPAKHEIPNGEEKIRAICTLCGKIAYQNPKMVVGCLIEHDKKILLCKRNIEPSYGLWTLPAGYLEIGESAAEGAIRETWEEAGAEVEVVSPFAQLDIPLIGQTYVIFLAKLKKPLFSPGPESSECCLFELDNIPFDSLAFSSIFVTLNLYIEDVKSGKIKFHYGTINKRLGTGPSDIRAFTLDYHLQV >OMO62998 pep supercontig:CCACVL1_1.0:contig13087:50651:55710:1 gene:CCACVL1_22529 transcript:OMO62998 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha/epsilon, subunit B MEVDSQKLQRKQSTYLSFDENFEIQKEVYRGQQYSQIYFARLHMMRTLLYSLVPNWKPHVPVCKVLGLELGKVCIVVGTLYKHMKLKPCILDEYSKERSATPLVKPHNFMHQDDYLVLEDESGRVKLSSAILSPSVYVTGVVVALLGKETSAGDFFVEDVLEAGLPPQIEQPLKSREDKYVVFVSGLGFGSSSANPLQFQLLVDHITGHLGDEEEQGLAAEIVHLVIAGNSIEISRGLLNGQNLDSKDQSRLSDPIKGLDILLTQVAASLPLDIMPGSNDPANFSLPQQPLNRCLFPGSAIYNTFRSCTNPHCFEIDNIRFLGTSGQNIDDLTKYSEAKDKLEFLERTLRWRHLAPTAPNTLGCYPFTDRDPFLIDSCPHVYFVGNQEKYETRLLKGSEGQLVRLICIPRFSETGVAVVLNMRNLECHALTFGTQFS >OMO62999 pep supercontig:CCACVL1_1.0:contig13087:56657:57836:1 gene:CCACVL1_22530 transcript:OMO62999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METANEIPSTVQVQHVTKKSSDELLRKFAELEDEDGGDKGPARKELRVVVKRRKRSGGVAALRRREGDLSQCESPSGNGSLVERKWLLPPATRRSKLLRQLGIGRSQIRAREIRNRSLFGTIEKTWRKTVEGASKVFMEKHYNRHRRLMNDVV >OMO62993 pep supercontig:CCACVL1_1.0:contig13087:4853:5783:-1 gene:CCACVL1_22524 transcript:OMO62993 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late Embryogenesis Abundant protein MQSIKETAANIAASARSGLEKTKATLEEKVERATAHDPVQKDMATQKKEERIRQAELEKQEARENNAAARQGGGDGGFTAKGSGGHMASGTHTYSTTGQMGQPTGAQQMSALPGHGTGQPTAQVDDGRVQAYPVGVNTGVGGSKSHNTRVEGNPHAYGTGGTYS >OMO63006 pep supercontig:CCACVL1_1.0:contig13087:115775:121091:1 gene:CCACVL1_22537 transcript:OMO63006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation efflux protein MEKNKSFKYYSQEPNSPHPWEADFGFTNVSDRRYAFSRQSSFQQSQKDPQTPRISNDSMKPFLSRTVSSIDIPPGFYSFDDVKGGFLEGNGSESPEKFSFLYSVLWCFRVMRTGNRQMKRLMIMISLNVAYSTAELMIGLFTGRVGLVSDAFHLTFGCGLLTFSLFAMAASRRKPDHVYTYGYQRLEVLSAFTNALFLLFMSFSLAVEALHAFIQDESEHKHYLIVSAVTNLLVNLIGVWFFRSYARVNLVYRKPEDMNYHSVCLHVLADSIRSAGLILASWFLSLGVNNAEVLCLGLVSGAVFMLVMPLFKATGGVLLQMAPLDIPSSAMSKCWRQITSQEGVVEVCQARFWELVPGHGVGSLSLQVKKEVDDRPILQYVHSLYHELGIHDLTVQTDYARNFELGMRALDLALVKAAQLMDDNFQTEGESCANDNGVLQSNPGVIKLLESFRAKI >OMO63001 pep supercontig:CCACVL1_1.0:contig13087:67354:69384:-1 gene:CCACVL1_22532 transcript:OMO63001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >OMO63002 pep supercontig:CCACVL1_1.0:contig13087:73304:74625:1 gene:CCACVL1_22533 transcript:OMO63002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASDLQQLSNIFPESSIPPF >OMO63000 pep supercontig:CCACVL1_1.0:contig13087:62548:66037:1 gene:CCACVL1_22531 transcript:OMO63000 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MFSWLARIALACWRPVSRYARMNKDNNNGSNDELEEDSSSLRDALLWGRDLEKHSYGDFSFAVVQANEIIEDHSQVETGKDATFVGVYDGHGGPDASRFISDHLFQNLIRLARANGNISEEILRGAFSATEDGFLTLVRRTCGIKPLIAAIGSCCLVGVIWKGTLYVANLGDSRAVIGYLGRSNKIVAEQLTREHNASMEEVRQELRSLHPDDSHIVVMKHGVWRIKGIIQVSRSIGDAYLKRPEFSLDPSFPKFHLSEPIRRPVLTAEPSLCTRVLQPNDKFLIFASDGLWEHLTNQQSVEIVNKYPRAGIAKRLIKTALNEAAKKREMRYDDLKKVDKGIRRFFHDDITVVVIFLDHESLGTKMSVPEQSVRGFVDTSGPSNFNIL >OMO62995 pep supercontig:CCACVL1_1.0:contig13087:24985:26136:1 gene:CCACVL1_22526 transcript:OMO62995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MGAGGRMSVPPSSRKSEAGPLKRSPYSKPPFTLSQVKKAIPPHCFQRSVIRSFSYLVYDLIVASLFYYVTNYIHQLPQSLSYVAWPIYWALQGCVLTGVWVIAHECGHHAFSDYQWLDDTVGLIFHSLLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKQKSAIRWWAKYLNNNPLGRCVTLTITLTLGWPLYLAFNVSGRPYERFACHYDPYGPIYNDRERLQIYLSDAGVLAVTYGLYRLAVAKGLAWVICVYGGPLLVVNAFLVMITYLQHTHPSLPHYDSSEWDWFRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYQFDGTPIYKAIFREAKECIYVEPDEDDQDKGVYWYKNKF >OMO63003 pep supercontig:CCACVL1_1.0:contig13087:83127:86276:1 gene:CCACVL1_22534 transcript:OMO63003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAIKAAIFNPESSYLFQRAAFFHSTPVLEHKRRNHWQFGKRSRRMRGKQELLRNFNAYADIFFESWNNDFDEDDPSSSRGPSWFKNQYNKGSRKNRPGNKGFQYRGRRPFEFFEDNDDDIETIFRSAFGGNRFFFWSFIDEENPRWRSSSRFSNKYERSWNSRYQFDEDSDSSAEYGDSKSSDQDMAADRQALGLSASGPLTLEDVKNAYRACALKWHPDRHHGSSKAVAEEKFKLCNSAYQSLCDKLAVN >OMO63005 pep supercontig:CCACVL1_1.0:contig13087:101428:102273:-1 gene:CCACVL1_22536 transcript:OMO63005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lumazine-binding protein MALSTSLNSLCTISKASPSKNPMIFNLLKTPSLKTHLKVNPIFKPSSLSLFTQPTKLRYKTQNPQSSTTIRSLFTGIVEEMGEVKQLGMADHGGFDLKILAKTVLEDVHLGDSIAVNGTCLTVTEFDTHLGEFTVGLAPETLRKTSLSELESGSLVNLERAIQPTGRMGGHFVQGHVDGTGEIVGKDVEGDSLWIKVKVHESLLKYVVPKGFIAVDGTSLTVVDVFEKELCFNFMLVAYTQQKVVIPLKEVGQKVNLEVDILGKYVERLLSSGFVDSFKPS >OMO62997 pep supercontig:CCACVL1_1.0:contig13087:42582:49100:-1 gene:CCACVL1_22528 transcript:OMO62997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQGSFSAISAIRSSFSSSSSPSLTGVSFVRLPECDRRSFGDRRNRRGLACRAMVQQTVQGGAPATYAKEMERLSAKESLLLAFKDAGGFEALVTGKTTDMQRIDVNERITGLERLNPTPRPTTSPFLEGRWNFEWFGTGSPGLTAAKIIFERFPTTLATLSKMDLLLKDGYAKVTAQLKLLNSIETKCIITTNVSVEGPQRMKEEYVEGLLESPTVVEETIPEQLKGAYNQAVTTVRQLPVPIRDAVASGLRVPLSGTFQRLFMISYLDEEILIIRDTYGVPEVLTRLDAATSTTAETNQDYVS >OMO62996 pep supercontig:CCACVL1_1.0:contig13087:37336:37914:1 gene:CCACVL1_22527 transcript:OMO62996 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MENMMIRNQTRMSSPSSSSLSLHKDSQTISKAKPKIRIIHIFAPEIIKTDVANFRELVQRLTGKPALEKGCKKKARIGRRDIHIHHQDQPRSTSGGGFCEKPPPVVAAAAAAAMAKKMELRSTGFFAGLETRERAVKEEEGTWNNNNGDHNSGGFLTGFGDLDGFIQELGEFPLLPLDASHHMHGFEEAQLA >OMP07156 pep supercontig:CCACVL1_1.0:contig04631:376:2173:-1 gene:CCACVL1_01365 transcript:OMP07156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKDVRVTIILECTSCVRNGVNKESTGISRHFISP >OMP03157 pep supercontig:CCACVL1_1.0:contig06135:1167:1268:-1 gene:CCACVL1_02537 transcript:OMP03157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NICCLIFRIDDELILTAGIVVSMSCLIPSWPLN >OMO67000 pep supercontig:CCACVL1_1.0:contig12482:4859:8265:-1 gene:CCACVL1_20860 transcript:OMO67000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase MKSAVSSLVSSRNLSHSSISRSLLQHSSKPISQRTFLIPTVPRNTKNLYNQRYQKLQIRPNSTFIMASSFKPEQARAPPALPLPTPPLTKFKIGLCQLSVTPDKERNIEHARKAIEEAAQKGAQLVLLPEIWNSPYSNDSFPVYAEDIDAGGDASPSTAMLSEVSSRLKITIVGGSIPECCGDKLYNTCCVFGSDGKLKAKHRKIHLFDIDIPGKITFFESKTLTAGETPTVVDTDVGRIGIGICYDIRFQELAMIYAARGAHLLCYPGAFNMTTGPLHWELLQRARATDNQLYVATCSPARDAGAGYVAWGHSTLVGPFGEVLATTEHDEAIIVAEIDYSVLEQRRASLPLAKQRRGDLYQLVDIQRLNSH >OMO67002 pep supercontig:CCACVL1_1.0:contig12482:13632:14372:1 gene:CCACVL1_20862 transcript:OMO67002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLFLIHFSLFLLLTTCLVTATSSSSSGSALWFTYKSPPPPPLPPTPLPLPPYTYKSPPPPPPFIYKYKSPPPPHHKCKHKSPPFLPFPPYSYKSPPPPFIYKYKSPPPPPPPRYKYKSPPPPSPPPPFIYKCKSPPPPPPPPRYKYRSPPPPSPPPPFIYRYKSPPPLPPPPRYNYKSPPPPSPVIYYVSPPPPKHHDHHHHHHHHKHKWSPYPYVTYQSPPPPPHRDSPVFYYVSPPPPGLHQ >OMO67003 pep supercontig:CCACVL1_1.0:contig12482:14988:20440:1 gene:CCACVL1_20863 transcript:OMO67003 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MAPPPSRVIGKSSQALDLAPVVTSITSLLQNLNPKTLNTENLSSEPLNRFSTYLDTNLVTQVINKQTNPYHALFFFNWASNPNPNPNNYTHTNRCYEAITNLLLSRSLISPATQLLEKSGKLSDFFIGKIIKAYGKKGDIKAALFWFNNAKALEKESFLFSFNAILGVLVKASEINLLKTLFDEVVNEGLVQLDVSSYTALITGLCKAGMVDNAKKVFDEMSCKRNLVTFNTMMNGFCKKGDMENACSLFNQMMMNEEDCLPNLITYNTLIYGYCKKGEFEEAMKYMNKMEKAGCLPDVMTYRAITYGLCINGKVDEAKKMISKMRLDGLKDTAIHVSILKGLCGVWRPEEAIEYFKWMESCKIDLNANAYGLVVYEYCKLGKASEALLLLEAMRKRGVHSKLWPINSVLRTLVEQGELSRAVKLLKKFPIYGCPPNFMSYRTVICNLCKGEGKMKDVSILAHRMQKRGLVMDATMYSCILERYWLSLLQKRRSGNEEKNQLLAAMDGGAGYNPRTVEEVFRDFKGRRAGMIKALTTDVEEFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKADRKRLFNMINDLPTIFEVVTGVSKKQTKEKSSVSNHSSNKSKSNPKRASEPPQAKYSKAAASKDEDDDGLEEEDEEEHGETLCGACGENYAADEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRSRP >OMO67001 pep supercontig:CCACVL1_1.0:contig12482:8666:13095:1 gene:CCACVL1_20861 transcript:OMO67001 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK MAAGPNRNDTMKNVMAMDTQNLNPSSSSDAMEIESFLLSDCCAPVPSDSSSDSKIVKQITHHKAGLVRILKLHGDSKLGLFYYATDAVHVTAPQYHYATTFSEKFEFNSNKEAAIFLSNYFKLREQEGYEYRYDQDPPPLFYKILSMRPDDCSSTATTLSADPGPSVAAATANYEANLSDQGASPNSNYDDEGELDMAATNSSQAANRKYKRRREFDLAEQRKLIKTMPLEQLKEWYHLRRDEAAVKLGICETAVTKIYNKYYPDGRWPYRKVNSLIKEMRKLRKLKTNPGERSVDEIVREMDKTKQMLDAIYETDSLEKKDMGSVQTVVCVKRVKQDEPQDWDESMPLPGDIIEGFAQYDADELFQAVKAKSELSSQLGKISQKVETIWVKVRRGDGTHKLRVRVVVEKSSMLHKKYTIRAARDDRHVAVLGDLTIEQCTTLQEMSRRVVNVDYRGFNKKEMKYDWKKKLATYLPDQGSTVISSILFLPFQDEYYIEPTISRCMAWFTAAVSSGVPLVFVNIQTEQIVTSKLTNLTGKERSRGKQQNNTRTVELVQGIRLWFLPGVEEVSLEMIPQPGESRFGMDIKRTDEEFICVYSVTKGSAADRAGLQQLLEEASAKSHLLIISRLQGKSLMPSSVSSAGFIHCCDHNEIKDTLTSAINQMDIIQLHIMAWPNQTRPNNTLKAIAAALLRPPDEY >OMO67004 pep supercontig:CCACVL1_1.0:contig12482:20779:21185:1 gene:CCACVL1_20864 transcript:OMO67004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFSPARNTISVAGPAKEMGLGPNMIKGQ >OMO67006 pep supercontig:CCACVL1_1.0:contig12482:26009:33811:1 gene:CCACVL1_20866 transcript:OMO67006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNDNHNTLSRKRAKRREDAALSDLLASLMDYTPTIPDELVEHCFAKSGSQCPDARLIRLVAVATQKFVADVASDALQHCKARQAAVVKDQREKQQNDKRLILSMDDLSQSFREYLQRWAIIKKQFGNLNLEGTSTASQLSEAQLETRSALFSALDMPNKTLTAGFTNNPGLTTACSNSALISWLRQGRIASVQTQNQPQQGPSTSVSDKNQPQPGPTTSVPTTALPAQTEGSSTSVSANNQPQKGPITALPSQNLSREGTVASVQVPNQSQQGPVTTKTLPQASSAAGQIKKPAVKSLSILDATAVATGARIAGEREAKSLLKAAQSKNAIRIMTSGASSVKHVMPRVTSSQSEVHSNVQHVDLTTEPVSSPVATSSTLHPGSSNAVTSSPTVEGPVNEEEPEAAGEDKGSVSDSLPRETIPENRACVPQNDTGEAVDKNKPAVSNQESDLKNHEGEIRVSMSDSLHKEPVQENGACMPQNEPVEKDPLVLSFEGQELLYEAAEEGSVTSLLELIQRDRLLLDRVLVNYTTETPLHVAAMLGHTDFVKEIIHRKPEFTRELDSRNSSSLHLASAKGNPLHLAAMKGQIDVLKELVQARPDAARITMAWGETIFHLCVKYGQFESLKLLIEVMDDHEVVNAKDDYGMTILHLAVSYKQIETVKFLLFTSSIEVNAVNANGFTAMDVLAQSRRGLKDFDIAESLRDAGALRAAEISHPGPGIGGKRDSLMVVAILIATMAFQAGLTPPGGLWQDDPAPALAPGGNSQGNNETEEYTNFDNQIQSKLNPLLPNGRRNSNEIGIGEFLQHDEAASSPFPRGISSPIMEELTTISTRNLSVDPNQQIWRSHSLIRIRRKKQSKKKHNSWLERKRTALMVVASLIATMAFESGVNPPKGMLQEVNKVPYKKTSEFIKYNTMGFLASLTVILLLIGGLPLRSKFIVWILTVIMWIAIASMVLAYSIALHILLASYGWKNYPKTQIAVTSLFWYGVLAFLLLSHAIRLAFKVAKYARKSCRRSSPFALT >OMO67005 pep supercontig:CCACVL1_1.0:contig12482:23151:24215:-1 gene:CCACVL1_20865 transcript:OMO67005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MSLSAAEDDSGSEIHLPADIDWHMLDKSKFFFLGAALFSGVSAALYPIVVLKTRQQVSSAQVSCMKMSFSIMRFEGLRGFYRGFGTSLMGTIPARALYMGALEVTKSSVGTATVSLGFSDTTATAIASAAAGLSSAMAAQLVWTPIDVVSQRLMVQGYNYNTSHSSKNVIASVNSCRYRNGLDAFRKILYADGPKGLYRGFGISILTYAPSNAVWWASYSVAHRLIWSGLGCPIGKKDESSVISGCGFKPDSKALLAVQGLSAAMASGVSALITMPLDTIKTRLQVLDREENGVRKPLTVLHTVRNLVKEGGLAACYRGLGPRWASMSMSATTMITTYEFLKRLSTKSQDSLTS >OMO69040 pep supercontig:CCACVL1_1.0:contig12130:5463:11030:-1 gene:CCACVL1_19676 transcript:OMO69040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEDSRRLKTPEKLKGEVEFVHVWST >OMO80677 pep supercontig:CCACVL1_1.0:contig10288:7429:19672:-1 gene:CCACVL1_12818 transcript:OMO80677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAESLRNKCAACFRQFNRMEHLVDHMRVSYHSVHEPTCGVCKKHCRSFESLREHLIGPLPKQECKSVFNIRGCRFCLAILDSPYALRIHQDRCQLSGVNYGILARLANIGLRDSLTIDNGYSRGPQLVALACKMVGGGSDGSLDLCARVCLIDENENIIFHTYVKPQIPVTNYRYESTGIRPEYLRDAMTLRQVQKRIQDFLCNGEAMWKIRSPKGGRARILVGHGLDHDLDRLQVEYPAIMIRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIQTGIQDPYEDCVATMRLYLRMRNQYHRREDYPLASDPQNRNNFASWRQSELERMSPEEMLAISSYEVNVLLTIDCLKLHQTQTMKHKKPEKSASWFYEDLETFPVTVEFDIYHACGTILASCELPDEILLHILSYLPSKDVLKAFALSTRWQSLRYSILYFDFHAYYRDPTVSLDRAGELDRGLGYSDCISLGSFHDMVQALPDCSRIAKFVFQCPLLPNNESTLQSLLCHAARHKIEELEFDFDYFDDDPYEPLMSLSPSLFNSDSLTSLKLTMATDVMFESPPNSICLPRLKTLHIEWFQDWEHLMQPGCCPVLEELILRCRGLNDDIINDDDYIDISIASATIKRLTLECFFMSHNSSTSLELNCSNLQSLKISDWSGRGYIQVCDLYSLAEADIDIDCTPYCKSPLFWKPPDIWKELLGRRNITSPPVLDLLGSIRHVKSLKLSFSTVKAIQILLSHKEIEVDKLPIFYNLTRLELRVGGRRGGLILLLFFLQKSPHLQSLDSHLEYQDNEDMEQYCLKDVVLPPCLEHCLKTFSISSIGTTKEEIDFLRYILENAKVLEHFKVGCHDYYGKRRIPEEEKMSVQDDHLENWFKPQLTELGYSVQYKSKDTKAKGHRGYDVSEGCAIFYRTELFQVVDDPYMIHYGREAESDIDSKRHIREDDKPYLKELLRKDSTALILLLADRRNSLQICVANTNLTSTDKEGEKLINVYQADCLVKKLQQLMINMPVIVCGDFNSYPTSHPYKLITTGKLDPAKFSLGNVDQRDARLKIKFLGLLPDAPPDKLLPPRSGRGYSSDHIPIMAEFCRK >OMO91407 pep supercontig:CCACVL1_1.0:contig08350:278:343:1 gene:CCACVL1_07131 transcript:OMO91407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDPKLGPNRTWPLAHAKKFW >OMO75793 pep supercontig:CCACVL1_1.0:contig10978:19665:22339:-1 gene:CCACVL1_16036 transcript:OMO75793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNAPIIDPLQGDFPEVIEEYLEHGVMKCIAFNRRGTLLAAGCSDGSCVIWDFETRGIAKELRDRDCAAAITSVCWSKHGHRILVSAADKSLTLWDVVSGEKISRVVLQQTPLQSRLHPGSSMPSICLACPLSSAPTIVDLSTGSTTVLPVTVPSDGNGVAPPSRNKYPDGTPYTLTAACFNKNGDLVYMGNSKGEILIIDHKNVKVLAMIPISSGAVIKNIVFSRNGQYLLTNSNDRIIRIYENLLPLKDGLGALDNLHKTIEEEDIVEKMKAVGSKCLVLFREFQDLITKMHWKAPCFSGDGEWVMAASASKGEHKIYIWDRVGHLVKMLEGPKEAVFDLAWHPVHPIIVSVSLTGLVYIWAKDYTENWSAFAPDFKELEENEEYVEREDEFDLVPETEKVKESDVNEDDEVDIVTVEKDQFSDSDMSQEELRFLPAIPCPDVLEQQDKCVGSSSKLMDSNQSGSPLSEENEQNGLAANNASSPLEEDTGGTRLKRKRKPSEKGLELQAEKVRKPLKPVKSSGRSSKTKSKSVVDQDYGNGVYADDGSDDY >OMO75794 pep supercontig:CCACVL1_1.0:contig10978:23831:32876:-1 gene:CCACVL1_16037 transcript:OMO75794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIRKWFMKAHDKSKDNGGVSKAANPAPTDTDSAPGGQESSARRKTSKYFPAEKQKPKDEQREELPAKRKVQNGSDEKPPPSKKPSKIDAADDFVLPKSKNSVDVTPSKKLKSGSGRGVAQKAVDTVDSDEDDAKDLESPVKSGGRGRGGRGASGAPAAGRGRGGGRGGFMNFGERKDPPHKGEKEVPEGAPDCLAGLTFVISGTLDSLEREEAEDLIKRYGGRITGSVSKKTNYLLCDEDIGGRKSAKAKELGTSFLTEDGLFDMIRSSNRGKGQSKEKSKESAVSVPASLPKKSPQKTEVKTKVSEKGSTTTVSSTKQRGQAIQHSSLTWTEKYRPKIPNEITGNQSLVNQLHNWLAHWHDQFLGTGNKGKGKKQNDPGAKKAVLLSGTPGIGKTTSAKLVSQMLGFQTIEVNASDSRGKADANISKGIGGSNANSIKELVSNEALSVNMDRSKHPKTVLIMDEVDGMSAGDRGGIADLIASIKISKIPIICICNDRYSQKLKSLVNYCLLLSFRKPTKQQMAKRLMQVANAEGLQVNEIALEELAERVNGDMRMALNQLQYMSLSMSVIQYDDIRRRLLSSSKDEDISPFTAVDKLFGFNGGKLRMDERIDLSMSDPDLVPLIIQENYINYRPSSSAKDDSGVKRMNLIARAAESIGDGDIINVQIRRYRQWQLSQTGCLSSSIIPAALLHGQRETLEQGERNFNRFGGWLGKNSTMSKNYRLLEDMHVHILSSRESSSGRETLRVEYLTVLLKQLTVPLREMPKDEAVKQVVEFMNTYSITQEDFDTIVELSKFQGHPNPLEGIPPAVKAALTKAYNEGSKRRMVRAADLVTLPGMKKAPKKRIAAMLEPSDDVFGEENGDALPENEENSSDTGFGHQLEEEEEEVVRLLQDLPKRKVGVVPGLEPEPERREKDEK >OMO75792 pep supercontig:CCACVL1_1.0:contig10978:15087:18759:-1 gene:CCACVL1_16035 transcript:OMO75792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MASEQVLSSTSSAALSSTSSTSSGHTTTSSLGFSLSTTNAGTTNFNHPVQVRLDRNNFHLWRSQLLPVIGGHNLIGYIDGNLPCPSQTILNTPTTESATSETPSLVQNPQFAAWQRQDQLLLGWILSSLTEPVLPQVVGCLGPEYESLVVSVSTRSEPVTMDDLHSLLLGYEYRLEQHTLSEPITANIATKTNSSAPRPPRNQELGRGSFCCRGRGRGRSTPSLNSNASNGNFTDRPQCQVCSKFGHFALDCFHRFEYGYQSSQTTNSSALMASSSTISQDDWYPDFGASNHLTADLSNMSIHSDVSQFAFHNNVYFEFRSSHCLVKDLFSGQVLLKGVLDDGLYRLLPIPSSQTSHSFQALVGECVSSDLWHQWLEVGSNQNLSCPTTGLPPSSLIPTVMPDTLPISQSNTVSSSNSSSSSPPSFLQSLSPSVSSSSQSDVLSPTSHDSNQVSIPSPLPLPITTKTHPMANKIPSWRSAMVDEYNALLKNGTWVLASLPPGKNVINCKWVFRLKRKSDGSIERHKVRLVAKGFNQEEGVDYTETFSLVIKLVTIRVVITLALKHANGKLQKAIYGLKQAPRAWFQKLSSRLLKLGFANSKADSSMFIHHTTTRLIIILVYVDDIIITGSDNGAITSLVSDLDKSFKLKDLGPLNYFLGVDVQSCSSDVVLSQQKYIHDLLSRKKMDGTKICNTPAATNYHLSKYVGEPFSDVTLYRSTIGALQYDTITRPEIAYYVNKVYQFMQAPTQDH >OMO49896 pep supercontig:CCACVL1_1.0:contig16362:46758:52054:1 gene:CCACVL1_30766 transcript:OMO49896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAEAATLGPRYAPDDPTLPKPWKGLIDGNTGLLYYWNPETNITQYERPATLPPPLPHGPPPVVSTPKLTPIPVAHPVQSNGVVAPMGQRQVPQVAQQHGQQLSQLSQQHGQQHQGSMVGQVSNQQGSQQPSSQTGQAIQQPGQFIPQQNKPHLMQHLSQPMMSQMGPPMPQQPSQHLPQQQGQQPGQLIPQQAIHQMPQQLGQQTMQHQNSQMTQPPGHQYSNQHLQYMAYQQSSQQQTPCGAQSKQYPDQEDYKASVPKREDGDFQLGIQTRFSPSQFQQMGTSSSQNLSAETNSVQMAQTGLYMGQAQQFSGSSVNMHQPTSGAPSQQIGSDFVHQQQGHRFQNHMGPAIMQSNMPPSSLKTNYEDNLHGRAGNNYYFNGSKDGPMLGSQQPSLSLMPMDTRVGNLPPQCAISDHGGGFNSMAGHAMHSVYGNAVPPYSNNALMRPPFVGSTDSASLSPAEAYRKQHEVTATGDNVPPPFIRFEDTGFPPEILREIHSAGFSSPTPIQAQTWPIALQSRDIVAIAKTGSGKTLGYLIPAFILLRQRRNNPRNGPTVLVLAPTRELATQIQEEAMKFGRSSRVSCTCLYGGASKANQLKELDRGADIVVATPGRLNDILEMRKIDFGQVSLLVLDEADRMLDMGFEPQIRKIVNEMPPCRQTLMYTATWPKEVRKIAGDLLVNPVQVNIGSVDELAANKAITQYVEVVQQMEKERRLEQILQAQERGSKVIIFCSTKRLCDQLARSLGRNFGAVAFHGDKSQNERDWVLSQFRTGKSPILVATDVAARGLDIKDIRVVINFDFPTGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAPDLIQVLERANQHVPPEVRKIASRGGPAFGKDRSGMSRFNASTSSGGCWDSGGRGGMRDGSFTGRGGLRDGGFGSRGGMRDGGFGGRGSMRDGGFSGPGGRGDPFTGRGNRGRGFAGPGGGNVGWGRNERNLHDRFNSFDGRGRGLGRGRFDNRRSIGDSRGRSYSRSPERVRTWRHSRSRSRSRSRSRSRSWSRGRNRSRSRSRSWSRGRSRSYSRSPRRSYSRSPDRSRSRSHSRGRSRSRSYDRYDKPNEKKLDQKDVGKPEELGAPPMSGMLPMSPPGAHQNSLPENDNSVNVKPLQSVESFQLVHEEVGTDKIDQSVSEH >OMO49895 pep supercontig:CCACVL1_1.0:contig16362:44927:45067:1 gene:CCACVL1_30765 transcript:OMO49895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFPLFHSMCFALGKAISTMFANSGALLLMPDSGLRTPPSSLTID >OMO49892 pep supercontig:CCACVL1_1.0:contig16362:36347:37612:-1 gene:CCACVL1_30762 transcript:OMO49892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGLIKGDKVQRGKLKTLNKVIGEMEEPEEIEL >OMO49900 pep supercontig:CCACVL1_1.0:contig16362:68098:68493:-1 gene:CCACVL1_30770 transcript:OMO49900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSPPSGDKDGGGKQRNPAVKRARSSQEVEGNHETNHQNRVNESHNPKECKGRSFKDACIGKELDWPEVIGQLNMDNLSLEQGVDDGTNWPTLNRSKDQFKEMCEPWRKTLIVKILGKALGFKFLHQRLR >OMO49897 pep supercontig:CCACVL1_1.0:contig16362:53299:53814:1 gene:CCACVL1_30767 transcript:OMO49897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSTTTPSEETNTTQRTSLYLENTAELEKVFNQFDANKDGKISVSELADVLKAMGSSYSSEELERVMEDIDTDKDGFISLSEFSTLCRSPSDAVAAASELRDAFDLYDQDKNGLISANELHLVLNRLGMKCSVDDCARMIQSVDSDGDGNVNFAEFEKMMSASVPANAGSQP >OMO49898 pep supercontig:CCACVL1_1.0:contig16362:54705:58639:-1 gene:CCACVL1_30768 transcript:OMO49898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase MAPSITKNTLDAMGLADDGEPLSTSSITLEGTNFLANGQPILTHVPVNIVATPTPSPFSSLDHNKLTKSPVGCFVGFDAKEPSSRHVVPIGKLSGIKFMSIFRFKVWWTTHWVGTCGKDVENETQMMILDKNDDVESGHRRRRPYVLLLPLLEGPFRASLQPGVDDNVDICVESGSTKVCGSSFRSCLYMHVGDDPFNLVKEAMKVVRVHLGTFKLLDEKTPPGIIDKFGWCTWDAFYLKVHPKGVWEGVKGLSEGGCPPGMVLIDDGWQSICHDDDDPISDQDQGINRTSAGEQMPCRLIKFEENYKFREYVSRKSPNKKGMGAFIKDIKEEFKSVEHVYVWHALCGYWGGIRPNVPGMPPAKVIAPKLSEGLQMTMEDLAVDKIVNNGVGLVPPELVHKMYQGLHSHLQSVGIDGVKVDVIHLLEMLAEEYGGRVDLAKAYYKALTDSVRKYFNGNGVIASMEHCNDFFFLGTETISLGRVGDDFWCTDPSGDPNGTYWLQGCHMVHCAYNSLWMGNFIQPDWDMFQSTHQCAEFHAASRAMSGGPIYVSDSVGQHNFEVLKSLVLPDGSILRCQHYALPTRDCLFEDPLHDGKTMLKIWNLNKFTGVLGLFNCQGGGWSRESRRNESASQFSSMVGCFASPKDIEWSNGKNPISVGNVSTFAVFMFQKKRLMLMKPSDKVEVSLEPFNYELLTVSPVTVLPRKGIQFAPIGLVNMLNSGGAIQSLEFDEGEDLVRAGVKGSGEMRVFASEKPMSCKIDGVPVEFNYEEQMVAIEVPWPNSSSLSIVEYLF >OMO49899 pep supercontig:CCACVL1_1.0:contig16362:65973:67551:-1 gene:CCACVL1_30769 transcript:OMO49899 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MTNQAEEGPKCAEVGPWMMVQRRKGSNQSNKGQSKGSSPHQDKASGSRFAPLHMEEQDKPNDNEETLAAKETSGIVVKTGKNIPTHHQSTSPPLRHDSGKAKPLQEITNRPPPVPRPKPAVSPTRTPLFVSFPTSSSAPALEPGGVLDELSSQETNQSPTVNMDEPMLGSTGGEMLHMMEQKIWRESVDSMDADLTSNTSLMKILLWNVRGAGSGEFFRIMKDLIRQHQPSIVGIMETRISQEKAEKVVRKIELPKCHIVEGLGFVGGIWLLWDDKKVDVQIDDSMFQAITISVKQDNNEWNFTTVYGSPAPTNREELWRYLGDENHSMQGPWLVGGDFNTIASSADKSNFSSHDTSRCRRFNEVINSCELVDLGFTGPRYTWKRSGRGIATIWERLDRFLCNIEWKQKFPEALVRHLPRVRSDHCPYST >OMO49891 pep supercontig:CCACVL1_1.0:contig16362:28224:32185:-1 gene:CCACVL1_30761 transcript:OMO49891 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like, alliinase MGKFLSVFSVRNMLVLSLALNVSLFLRVLVLHDSQDGSFIGLRFKKQNRQQEVTSPVSHSSSSSSSSSLTMAQGDEDRIINLDHGDPTMYENYWQKKGDETTIVIPGWQFISYFSDATNLCWFLEPEFAKQIVRLHKVVGNAVTENRYIVVGTGSTQLYQAALYALSPNTEAEPISVVSAAPFYSSYPLITDCLKSGLYKWAGDARSFSKKGPYIELVTSPNNPDGFVRHSVVNRSEGILIHDLAYYWPQYTPISSPANYDLMLFTVSKSTGHAGMRIGWALVKDKEVAKKMTKYIEINTIGVSKDSQLRAAKVLKVISDSCERSNIEQGDSFFEFSYRLMAKRWKQLRQAVHQSGLFSVPDFPPQSCKFLRCVFEPQPAFAWLKCEGEIEDCESFLRGKKILTRGGKHFGFSPKYVRISMLDRDKNYDTFVERMSTIRL >OMO49890 pep supercontig:CCACVL1_1.0:contig16362:17364:17453:1 gene:CCACVL1_30760 transcript:OMO49890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFWQSDERGIGQRRKGRREEENRGREE >OMO49893 pep supercontig:CCACVL1_1.0:contig16362:38007:40674:-1 gene:CCACVL1_30763 transcript:OMO49893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEITQLSKSHSFFDDDDDDPLEAAPPFDFRSIPQKHTTLDHLTGDRGGAGVSPYCAALISEIDRKIKEHTENVVHAVGGLSARVSQLESRTRQVENAVGDLKDSVVFNHGRIELKIRELGNSLEEVQSGIRDLRDKHEIAEAQLQLAKLTMSKVEQSSSKSKVEQSTSKKVEQSKHIQINSAQELQSSIPQQPQLQAAVPVMCPQQPSSLPSGAAQNLLLHNIPSTQGAATAPQLPTQYAPGAFPSIPSSESNYPPPMLTPDSTYQQYPVPSNQQMQQPFPAPPQPCQPTAQFSPVSQLPNLHQHPPVSMVTSHNLPSGYEPKDISYLPSQSVHKSSLPPTRSPPVNEFFMSSKQQNQGQFSNHPYSELSSGYSHSQGQSSLNNRYPYSASSSGYNGSTTKPSHVSPSSSSIAEAGKSYSRLPTAKILPHAIPTASSVDSGSDSSASGNRIPVDDVIDKVVAMGFRRDLVRATVRKLTANGQSVDLNVVLDKLMNGQDI >OMO49894 pep supercontig:CCACVL1_1.0:contig16362:41465:44190:-1 gene:CCACVL1_30764 transcript:OMO49894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase MGISLTKKAADSTKLHDNQQLQTCVTLAGTNFLANGHPFLTQVPSNIVATPGPSPFSESDNKGCFVGFNAEEPSSRHVVPIGKLSGIKFTSIFRFKLWWSTHWVGTSGKDVEFETQLMILDKSDHLGRPYVILLPIIEGCFSTSLQPGFNDDSVNICVESGSTKVCGSSFKSCLYIHVDNDDPFNLVKEAMKVARFHLGTFRLLQEKSPPEIIDKFGWCTWNAFYRYVNPRGIWDGVKALEEAGCPPGMVLIDDGWQSVSLDDDPIEKEGIDRAAAGEEMGSRLAKFQENYKFEDYQSIKTPGNRGMGAFVRDLKEEFKTIENVYVWHALCGFWGGIRPNVPGMPESKMVKPIVSPSVQQTMEDFAMDNTMRDWVGLVVPEQADKLFQGLHSHLESLGIDGVKIDMIEVLELLSEDFGGRVELAKAYYNALTASLTKHFKENAVISSMQQANPYGDPTGKFWLQGCHMVHCAYNSLWMGNFIQPDWDMFQSDHQCAEFHAASRAISGGPIYVSDSVGRHNFDLLRKLGLPDGTILRCQHYALPTRDCLFEDPLQDGNTALKIWNLNKYSGVLGLFNCQGGGWSRELRRTHSAPEFAKQVHCFASPKDIEWNNGKNPISVESVSIFAVYMYQSKKLKLMKSYEKMEVTLEPLNYELLTVSPVAILPKKQIQFAPIGLVNMLNSGGAIQSLAMDGNENVVRIGVKGRGELRVFASEKPWACKIDGKPFEFGYDEQMVRVEVPWIDSPLPLMVEYFF >OMO55824 pep supercontig:CCACVL1_1.0:contig14585:47124:53351:1 gene:CCACVL1_26978 transcript:OMO55824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRETCLASTLLVLVFVSILDVESVKGAYLKYNTGAGIVPGKLNVHLVPHSHDDVGWLKTIDQYYVGSNNSIQGACVENVLDSVVEALLRDPNRKFVFAEMAFFTRWWTEQSPEIQDQMKKLTEAGRFEFINGGWCMHDEAATHYIDMIDQTTLGHRIIKEQFNKVPRAGWQIDPFGHSAVQGYLLGAEVGFDSVHFARIDYQDRAQRKNDKSLEVIWRGSKTFGSSSQIFANTFPVHYSPPDGFSFEVSNDFMPVQDSHLIFDYNVEQRVNDFINAAMMQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDAKHAANESWPLKSDDYFPYADRPNAYWTGYFTSRPGFKRFVRMLSGYYLAARQLELLVGKRGNGPNTYSLGDALGIAQHHDAVSGTAKQHTTDDYSKRLAIGVTESEAVVSSALSCLTKKNSGGKCEDPPSIFSQCQLVNISYCPPTEKDIPEGKSLVVVAYNPLAWNRTDIVRIPVNDDSFIVQDSSGNKIETQYIALDNVTRSIREFYTKAYLGLSSNTVPKYWLLFQVSVPPLGWDTYFISKGAKKGQSRVGIISTASGQNETTEIGNGNLKMTFSTSSGQLQRMYNSRTGVDVAVQQSYLWYGSSTGDRFDSQPSGAYIFRPNGAPPTIVSRSVPLQVVRGPLVDEIHQQFNQWIYQVTRLYKDKEHAEVEFTIGPIPMDDGFGKEVITRMTANMVTDKVFYTDSNGRDFLKRVRDLREDWNLTVTQPVAGNYYPINLGIYTMDKKSEFSVLVDRAAGGSSINDGQVELMLHRRMIEDDSRGVGEALDERVCVGDNCQGLTVRGNYYISINQVGEGARWRRTTGQEIYSPLLLAFTDEKMETWTASHSTKGTAMDPGYSLPLNVALITLEELDDGSVLLRLAHLYQEGEDSTYATLAKVELKKMFNGRTIKQVTEMSLTTNQVKSEMKKLSWKVQGDNGNEPSPVRGGPVDNSTLVVELGPMEIRTFLLKF >OMO55821 pep supercontig:CCACVL1_1.0:contig14585:31989:35446:1 gene:CCACVL1_26975 transcript:OMO55821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MGKEEGFEEGKSLEITPTWAVSTVIVVMVSFSYLVHRSLEKFREWLNKTRRNSLLAAFEKIKEELMLFGVLSLLMGHFIDFFAKICVKSSPLHSRFYPCAKVEDQLMLENMFFPNSKFLNTTVYSKVQKTVRHSEYCPEGKESFASYESLDQLHNFIFVLGVTHVSYSFVAIALAMIKIYSWRTWENQARTMAIEHLQAAATTKMRRLTTFIDHHVSHPWSQHRVLAWLLCFSRQFWSSVSRADYMALRFGFITTHELPLTYDFHNYMVRSMEEEFRDIVGISVPFWIYGILCIFLDFHGTHIYFWLSFLPAILILLIGTQLHRVVVKLAVEIMDHCPLEGFHKFNLRDELFWFKRPRFLLRMIQLISFQNAFEMASFIWSLWEIRDSSCFMENQRYLVTRLLFGVTTQIWCSFITFPLYVIVAQMGSRFKKTIVSENVRDSISKWKRRVKEKQISSLPVVLRDSNSTSSLDSMNFPSIRQQQEGESSSSSPRGKKKLKAPLSFYLEDYCSNHEMPECNIDHRSNSDDEDEIRLVGP >OMO55818 pep supercontig:CCACVL1_1.0:contig14585:17789:19596:-1 gene:CCACVL1_26971 transcript:OMO55818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MGPLFSTTSAGKWLGLVTAIWVQAICGNNYTFANYSDALKSLMALTQLQLNNLSVAKDVGKAFGLVSGFASDCLPPSVLLIIGSLEGLIGYGVQWLVVSQRISPLPYWQMCIFLCLGGNSTTWMNTAVLVTCMRNFHKNRGPVSGILKGYLGLSTAIFTDICTALFSSDPSAFLLILAVVPAIVCLTAVFFLHETTPASRPSELQKETQYFHIFNTIAIILAVYLLAFDITGSHGQILSLIFAIGLLFLLVSPLGVPLYSILFKPRSNSDIEQPIKEPLLVNQSDKPETTTNEGVKLENLERKRPLIGEDHTIIEMIQTFDFWILFVSFLCGVGTGMCVMNNMGQMGLALGYSDVSIFVSLTSIWGFFGRIASGLISEYYIWKSGTPRPIWNAASQVLMAIGYVIMAFALPGSLYIGSILVGICYGVRLTITVPVASELFGLKYYGLLYNILILNLPLGSFLFSGLLAGYLYDAQATVNADGGNTCIGAHCYFLVFLIMAVTCVLGLALDMLLAVRTRNIYAKIHESKMSIAATSSAEHSSSLK >OMO55816 pep supercontig:CCACVL1_1.0:contig14585:10598:11770:1 gene:CCACVL1_26969 transcript:OMO55816 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MARFSCDHYSFFTLKSFILFFFLKGVTAATFTFINKCDYTVWPGILANPGSPKLDSTGFQLTKGSSRSFQAPTGWAGRFWGRTGCNFDDSGHGSCATGDCGSGEVECNGAGAIPPATLAEFTLGSGSQDFYDVSLVDGYNLPMIVEGSGGSGECATTGCVTDLNKKCPSELKIDGGAACKSACDAFGNPEYCCSGAYSSPAACKPSMYSEVFKSACPKSYSYAFDDATSTFTCTGADYTITFCPNVPSLKSSKDPSPKTTGTTDESGSSPDPMQAAELATQWLANLATGDSTRIQPFSHSQFGFTVTIFVVLSFLLL >OMO55819 pep supercontig:CCACVL1_1.0:contig14585:23737:26170:-1 gene:CCACVL1_26973 transcript:OMO55819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial Fmu (Sun)/eukaryotic nucleolar NOL1/Nop2p MMEEISKLPLPEAFLSFLEENGIDPSIYKASDSTPRYLRLKPGSEDEVEEIEAEIKCKLEKVDWLPGFYSLPPDIQIANSKAYQGGKIYGIDAASGAAVSALKISPGDHVLDLCAAPGAKLCMMLDILGDSGSVTGVDVARHRLAACRTMLLKYSLGDRCRLFVADGTTFSLPPMRVDSVSRSLLNSESASEENDDKFREWTSRRPWKERKRAAKAREGKSSQPVAVSENPELIFYGRHAGVVGISKNELYKTMSDLEASSCGYDKVLVDAECTHDGSVRHIQKFENWGWTTLQRRVLDAERTDSLSLLQLKLLRNGFRLLKVGGLLVYSTCSLTVAQNEDIVEQFLKENASAELQEIEEAEGWPCKSGRIAKTLRFDPLTSQTSGLFVAKFTKLAT >OMO55823 pep supercontig:CCACVL1_1.0:contig14585:42779:45290:1 gene:CCACVL1_26977 transcript:OMO55823 gene_biotype:protein_coding transcript_biotype:protein_coding description:von Willebrand factor, type A MICVDNSEWMRNGDYSPSRFQAQADAVNLICGAKTQSNPENTVGVLTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPIKHDKKSLEMIGKKLKKNSVALDIVNFGEDDEGKTEKLESLLAAVNNNDTSHIVHVPAGPNALSDVLISTPVFTGDGEGGSGFAAAAAAGAAGGVSGFEFDVDPNLDPELALALRVSMEEERARQEAAAKKAAEEASKQEKGGEQPSSSQDATMTEHASGATSAADNKKTDLSDDDNALLQQAIAMSMDEPASGQDVRDTEMSDAAADDPELALALQLSVQDSAKDSSSQADVSKLLADQSFVSSILASLPGVDPNDPSVKEMLASMQSQSESQSKQDEDKAQKEEEK >OMO55827 pep supercontig:CCACVL1_1.0:contig14585:66145:66240:-1 gene:CCACVL1_26981 transcript:OMO55827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLWGTKTYHHVSSRVSRRQLQTTAANLPI >OMO55828 pep supercontig:CCACVL1_1.0:contig14585:68744:70469:1 gene:CCACVL1_26982 transcript:OMO55828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDIVVLGVEKKSTAKLQDSRSVRKIVNLDDHIALACAGLKADARVLINKARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPHTGVPSLYQTDPSGTFSAWKANATGRNSNSIREFLEKNYKETSGQETIKLAIRALLEVVESGGKNIEVAVMTKEHGLRQLEEAEIDVIAAEIEAEKAAAEAAKKGPPKET >OMO55826 pep supercontig:CCACVL1_1.0:contig14585:60381:65771:1 gene:CCACVL1_26980 transcript:OMO55826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLRKMFDRISGFASSWGRKTAPRGRRIFHRDVEQEEFQYSSTRCLSSYYSVFVARLAIMVMLAILIGLLTILTWHFTRVYTTKSLNTLAYGLRYELLQRPILRMWNILNSTSEITTAQVKLSEYVIRRYSKPTNQAEQVELYQMMKDITWALFASRKALNAITINYKNGFVQAFHRDHRSNNTFYIYSDLANYSISSSESYGNKMLESQQGWNDQFIRGNVSAIWYREPLDPVTGEKIGKAMQIPPDDLINIAGPSQVPDGVASWHVAVSKFTDSPLLSAALPVWDASNTSIVAVVGVTTALYSVGQLMKELVEVHSGYIYLTSQEGYLLATSTNAPLLNNTAKGPKLMMAVNSEDRVIRMGAQWLQNAYGNNLPPGHVVHVEKANLGGQHFYIDSFFLNLKRLPMVGVIIIPRKYIMGKVDERALKTLVILISASVCILVIGCVCILILTNGVSKEMKLRAELISHLDARRRAEASSNYKSQFLANMSHELRTPMAAVIGLLDILICDDCLTNEQYAMVTQIRKCSTALLRLLNNILDLSKVESGKLVLEESEFDLGRELEGLVDMFSVQCINHNVETVLDLSDDIPKLVRGDSARVVQVFANLISNSIKFTTSGHIILRGWCENPNVSSDSVKFSPDHKKPLYALRAKLKNHGNHMKKASKRDNKMILWFEVDDTGCGIDPSKWESVFESFEQADPSTTRTHGGTGLGLCIVRTLVNKMGGEIKVVRKNGPGTLMRLFLLLSTPPDGTEQHGQMEFAKHSVAVILALHGSMGRLIMSRWLSRNGVPTLEASEWNELTQILHELFHARTRNSGFETHYSLGEPLRSKVHSIQDMRNPVYIIVVDLGLLDLSTDIWKEQLNFLDKFSGQVKFAWMLNHDTSNSIKMELRRKGHILMVNKPLYKAKMLHILEAVLKERYGELHKRSPNGTKGAPKEGDSHECLEIDSTQFETCSSDDSDISEIGGSGTDSVSSAHTGDQPRVLTVKSGASNCQTLKNCLVELTNLDSEVDNLRADEDQCNGRPKGNDSEISNSPEQTSISSSTKEREKSFTSKAANGQKSLEGLRILLAEDTPVLQRVATIMLEKMGATVIAVGDGLQAVDALNCVLGEEEDRPQTEICDSPPYDLILMDCQMPKMDGYEATKAIRKSEEATGWHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDYKLMVSTILSLTKTSSP >OMO55817 pep supercontig:CCACVL1_1.0:contig14585:14338:17224:-1 gene:CCACVL1_26970 transcript:OMO55817 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein METNKNNSSNSKPSASSLRWGILRRALLHRAKNPEDESELGMKRISRKAAKGFNLIPCQLLHHDPNSRDARLCYTLPIQGSPKLVLTQRVDNDVDLTDFEICNRYNIDNTGLVCQWPSEDVLAYFCLSHADLFRSKRVIELGSGYGLAGLVIAAATEALEVVISDGNPQVVDYIQHNVNMNSEAFGDTTVKSMELHWHQKEISDLSHTFDVIVASDCTFFKEFHKDLARITQLLLKNVGPAEAIFFSPKRGNSLDKFLEEIENNGLHFSITETYDTEIWKHHQRFMNGDDSWPGYDKDHCYPLLIRITP >OMO55829 pep supercontig:CCACVL1_1.0:contig14585:71786:73424:1 gene:CCACVL1_26983 transcript:OMO55829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKIFLYLWEYTELAYFALAVQFLYLKHDNHRNQNNVGEELFPNN >OMO55822 pep supercontig:CCACVL1_1.0:contig14585:38896:39440:-1 gene:CCACVL1_26976 transcript:OMO55822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCGIGYLSAEALSSSIMGAAKRMDAP >OMO55820 pep supercontig:CCACVL1_1.0:contig14585:26425:30757:1 gene:CCACVL1_26974 transcript:OMO55820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREALLGSSFVFIAYLTELASATVVLKPFSLAFPDLPAKFARGVNNTGICGALEVADPLDACTQLRNEWGPNRTDPVRFALIIRGDCSFEEKIRHAQSGGFSAAIVYDDRDGASLVYMMVDPKGIEIQAVFIPNSAGEFLKAHAKGGKGECCIYPPHNGRAWTVFAICFLSLVVIAAFLVIAFIAPRTFSNWRGRRLVKSVDTKMVEALPRVVFSSARLSECCAGETCAICLEDYKDGEILKVLPCQHDQEEQPGLESTIIRHVRLSLLSDWCGVGSARCGSESEVVTINVIETKNLLQSGYGYIDVRTVEEYKKGHVDAEKIFNIPYMLNTPEGRVKNPEFLKQVSSVCKEDDPLIVGCQSGVRSLYATVDLQTIGFKNVTNMGGGYLAWADHKLSIKIEEPIKVEPVKLEEEKPKEEL >OMO55830 pep supercontig:CCACVL1_1.0:contig14585:74376:76245:1 gene:CCACVL1_26984 transcript:OMO55830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKQAAADQRAAGEASRYTIVCVEAIDKSRGK >OMO55825 pep supercontig:CCACVL1_1.0:contig14585:53774:56509:1 gene:CCACVL1_26979 transcript:OMO55825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence/spartin-associated MASQNPNQKSSSLYPEVIQSNPNVPQYSSSNNLYPSIDMRDLVENLFPESPHYPVNQHHSGHSDSPQAPTAPPQAVEEVLIKIPGAILNLIDKSYSVELACGDFTVIRLWQGENIVAVLAGVADEIQWPLMKDGTAVKLDESHYFFSFQFSKEAEHDSSDSDDDDKKRKNKTSGEGSDLLNYGLTFALKGQEYLLQELDVILQSYSCFTVQKVEKKGEEVLDGAVTAAREMSPADLKSETKKEAMEERCAAYWTTLAPNVEDYSGKAAKMIASGSGQLIKGILWCGDVTVDRLKRGNEVLKTRMSPTDKNAEVSPETLRRIKRVKRVTKMTQKVANGVLSGVVKVTGLLTSSVANSKAGKKFFSFLPGEMILATLDGFSKICDAAEVAGKNVMSTSSTVTTDYVNHRYGEKAAEATNEGLGAAGHAFGTAWAAFKLRQALNPKSVLKPTKLAKAAAKAAASEYKGKSSK >OMP05212 pep supercontig:CCACVL1_1.0:contig05483:7954:8058:1 gene:CCACVL1_02003 transcript:OMP05212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSHVALHLTIDRRRGMPYHEANGKVLTCNGEV >OMO87228 pep supercontig:CCACVL1_1.0:contig09274:31779:33476:1 gene:CCACVL1_09184 transcript:OMO87228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKQALVVALIFTIIVFVSAASKNRTKSLNIIKELNRKGPYIGLITVFSTEEDAFFATGSFKPDPKHPFVDLSGRRFRVGKVSGRNVIYVSCGIGLINAAAATQQMLDVFDIKGIIHFGIAGNVNNSMSIGDVSIPKQVANTGIWDWVNMNRTVDSGDVAQLDIGNYNAPKGNGTNLLGHIGYDEEEFFSESGEPSSAEPLFWANITPQWLQLASNLEGMKLEQCVNSSLCLPEKPKLVVGLKASTADIFVDNAAYRDFLFQTFGVSSADMESAAVVMTSLSNGFPVIVIRGLSDLAGKQQGDNAVRKFGSLAALNTAKAVLGFIENIPEHAY >OMO87227 pep supercontig:CCACVL1_1.0:contig09274:10536:20108:1 gene:CCACVL1_09183 transcript:OMO87227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDFDSKLKIQGTNNNDNNNSSNSSNGGASNNVQRSKSFAFRAPQENFTIQDFELGKIYGVGSYSKVVRAKKKDTGMVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGVVRLYFTFQDTFSLYMALESCEGGELFDQITRKGRLPEDEARFYAAEVVDALEYIHSMGLIHRDIKPENLLLTTDGHIKIADFGSVKPMQDSRITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIRFPNYFSEEARDLIDRLLDIDPSRRPGAGPEGYAAVKMHPFFRGVDWSNLRSQTPPRLALETGAQSGDGDDHNDSSWNPTHIGDGSVRQNDGNGGASSSESSGHITRLASIDSFDSKWQQFLDQGESVLMISMVKKLQKLSSKKVQLILTNKPKLIYVDPSKLVVKGNIIWSDNSNDLSVQVTSPSHFKICTPKKVLSFEDAKQRAWQWKKAIEGLQNRFMMKTTAKLCIYRAVSPLKDFKKTSLNIIKEVNRRGPYIGLITVIPTEENSFFATRSFKPDPKHPFVDLSGRRFRVGKVQGKKVIYVRCGVGMVNAAAVTQQMLDLFDVEGIIHFGIAGNVNNSMSIGDVSIPGQVAHTGIWDWVNPQGKVDQDDVAQLDIGSYNVPKGNGTNLLGHIGYSKEQFFSESGNPNTAQPLLWANISQHWLQLAFNFGTSLSNGFPVIVVRGMSDLAGRQQGENAIGEFGSLAAINTAKAVLEFIGKLPS >OMP02077 pep supercontig:CCACVL1_1.0:contig06329:1236:1736:1 gene:CCACVL1_02927 transcript:OMP02077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVPESESDYGPWMIAQPRNRRRNSRVNTNQKGDDLNGEKLLGSRFNPLTGMEEVVELADGNSNIAANNQRDNGKDSRGNNVGGGPGPQGKVWIKKPKNIVAEPQALNSNAAMQPIVVRDSQLVEKYKMALEKAAAAWKEGVAGTSSAADTTMAVDDVVLGSAKQVIE >OMO55650 pep supercontig:CCACVL1_1.0:contig14631:3123:3197:-1 gene:CCACVL1_27116 transcript:OMO55650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLVRKKPPGSERKKEVLSRVEKQRL >OMO80944 pep supercontig:CCACVL1_1.0:contig10255:8692:9891:1 gene:CCACVL1_12682 transcript:OMO80944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRGKAVEVISNNNIDMFQDYCSSSDLQCKKHPQSSSGGICAYCLKDSLVKLVCSDCGEQRLSSCSCSDEISSNSNPRTSCTGGEVGSVGRVSFLIENENKDQLLLNNNNPTSSTSNSKAKSNNGDKSDQEQVLFLKRSSSSCVEIKKKNGFWRIGRFFRKKKIDKEMNCGKSVGGIEEKNDLWVVDYMGVSRSRSLCSFRGNGFFGSEDGGDLMNFSGARSSISAARRSSSVCFDPERKSGFSEAEPRKSGFDSERRDSSASFMDSDIADMRNRRVFSLKESYFTGGDDSGFIDLKFDFQTSESKNSHSAFGSTKGGDFLLPHESGGGGGGGGGSIQNQNGFVGDGAFYHGGSCRITVNERGIKKSRKSFKGWRWIFKHHPNWSNTRKKDEHLMFNH >OMO80945 pep supercontig:CCACVL1_1.0:contig10255:17626:17841:-1 gene:CCACVL1_12683 transcript:OMO80945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclases/protein prenyltransferase alpha-alpha toroid MWKLKIAEDGPLLSTVNNHVGRQHWEFDPDAGTPEERAKIERLRLEFTKNRFKVKQSSDLLMRLQVLIFLL >OMP02914 pep supercontig:CCACVL1_1.0:contig06168:8776:16348:1 gene:CCACVL1_02664 transcript:OMP02914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSLSPEPSQEVFPWLKSLPLAPEYRPTFAEFQDPIAYIFKIEKEASQYGICKIIPPVPPAPKKTAIGNLNRSLLARAAANTSSDSKPAPTFTTRQQQIGFCPRKPRPVQKPVWQSGEHYTFQEFEAKAKNFERNYLKRYSKKGSLSALEIETLYWKATVDKPFSVEYANDMPGSAFVPLSSKKSSGGGRETGEGVSVGETPWNMRVVSRAKGSLLRFMKEEIPGVTSPMVYIAMLFSWFAWHVEDHDLHSLNYLHMGAGKTWYGVPRDAAVAFEEVVRIDGYAGEFNPLVTFSTLGEKTTVMSPEVFVRAGIPCCRLVQNAGEFVVTFPRAYHSGFSHGFNFGEAANIATPEWLRVARDAAIRRASINYPPMVSHFQLLYDLAHELCSGVPVSINAKPKSSRLKNKTKSEGETLVKELFVQNLIQNNELLHILGKGSSVVLLPKSSCDISLGSDLRVASQLRINPRMPLGLSNYKEVVKSSKDLASDEMLGGHEEIKGVKGFYPVKGKFASIYEGNRDSSYSGNDYLSRLSSNTLNISTERENAVQGDVLSDQRLFSCVTCGIVCFSCVAVLQPTEQASRYLMSADCSFFNDWTVGSGATRDGFTVAHVDAITSEQNLSTRWINKRDPNSVCDVPVQSIHCKLQSTDQSNQLVEDTEKGGGISALGLLASAYGNSSDSEDHNEPNATDETNSANVSPQRNFQYTGSSPGDANGSHNLSLSRVDSEEEAPAHVMDCYSDPGSRRGDTKTRSPQTSDHAVEFETDNLASRRSNGLEDKFRDPVTASHANPGYSPVTHGTENMRFSKAIGLIENADMPFAPRSDEDSSRMHVFCLEHAAEVEQQLCQIGGVHVFLLCHPEYPKIEAEAKLVAEELGIDYAWNDIIFGDATKEDEERIRSALDSEDAIPGNGDWAVKLGINLFYSANLSRSSLYSKQMPYNCVIYNAFGRNSPASSPTKLNVYGRRSKQKKVVAGKWCGKVWMSNQVHPFLTQRDPQEQEQERNFHAWATSDENLDRKPENVRKAETTKVAKKFNRKRKIRAGIASSKKVKCIETEDANSDDSLGGSSLRQQQRFIRGKQPRLIEKEEAVSYDSQEDDSLLSQRILSRKKQTEFVDREDAESEDAEEEFTHQQPWRKLKGKQGKYVEEDDAVSGDSLDEGSLQQYRRVKRSWQAKCVEREDAVSDDDFEETSHQMRRRIPKGRQIKSFERNDAISDDSQVDNSLKQYRRMPKGRQSKFVERDDAMSDDASEGDSQHDHHGRISRGKQMKCMETDDAFSDDSLEDNPQQHRGIPRSKGSKFTDREDVGSFDSLKRNSLQQHRRVCRSQLTKFIDRDDAVSSDSPDDSSLQQPRRILKSKQTKILEREDAVSEDSLDDTSQQQLRKTPRSRQGKFIEREDAASYDSLEEDYQPSRTLRSRNKKAPTPRQIKQETPRNVKQGKRRTTKQVSSQQMKQATPRNKNIKIEQSARQCNSYGEDELEGGPSTRLRKRVRKPLKESEPKPKEKKKQASKKKVKNASNVKTLSGHSTTKVRDEEAEYQCDMEGCTMSFGSKQELAMHKRNVCPVKGCGKKFFSHKYLVQHRRVHMDDRPLKCPWKGCKMTFKWAWARTEHIRVHTGARPYVCAEEGCGQTFRFVSDFSRHKRKTGHSVKKGRG >OMO49564 pep supercontig:CCACVL1_1.0:contig16470:4250:4815:1 gene:CCACVL1_30925 transcript:OMO49564 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MAGMAAIISKTTAAPIERVKLLLQNQGEMIKRGQLHQPYKGIGDGFKRVLREEGLFSFWRGNQANVIRYFPTQVSLAEVWFATP >OMO49565 pep supercontig:CCACVL1_1.0:contig16470:5793:6828:1 gene:CCACVL1_30926 transcript:OMO49565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MASGSAAGATTSLFLYHLDYARTRLATDARGCSINGQRQFKGLIDVYRKTIMSDGIAGLYRGFGASIMGITLYRGMYFGIYDTMKPIVLVGPLEGNFFASFFLGWSITTVSGVCAYPFDTVRRRMMLTSGQSMKYRSSMHAFREIVQLEGFTALFRGVTANMLLGVAGAGVLAGYDQLHRVASRRGYLFEPYQGALK >OMO50994 pep supercontig:CCACVL1_1.0:contig16006:19005:20853:-1 gene:CCACVL1_30077 transcript:OMO50994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKCERGKESALASISNGEERSASIYGQQQSKR >OMO50995 pep supercontig:CCACVL1_1.0:contig16006:29232:32537:-1 gene:CCACVL1_30078 transcript:OMO50995 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MEAIVHKERRMGASLFRLHFYDCFIQGYDGSLLFDCTSTFETEKDARGNLNSMRGFEVLDQIKAEVDSVCGRPIVSCADILAVAARDSVVAAFAIFRFSQTNVKLKLEAAALYQEISETITKPHIKALENIA >OMO50996 pep supercontig:CCACVL1_1.0:contig16006:39556:39903:1 gene:CCACVL1_30079 transcript:OMO50996 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant GEFDIDHLAKEWVIMNLAKKWKDFKAKVKNKWFMTRQRDDRVFPDQFNWLLKFWESEKAKARELVGKQNRLELKSVHTSGIKSFARKREEM >OMP11909 pep supercontig:CCACVL1_1.0:contig00717:925:984:-1 gene:CCACVL1_00218 transcript:OMP11909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRWEGGEKKARVWEGDEK >OMP05285 pep supercontig:CCACVL1_1.0:contig05453:12365:25668:1 gene:CCACVL1_01969 transcript:OMP05285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGSIQKGLLKNKHQGLLENKCSPVILERRNVYPLEEKVNRWKTKMLKSHPRLTLVDAGSFAMGFVEEQRKMYVLSVINKRVCVQLLGKEKSEEK >OMP05284 pep supercontig:CCACVL1_1.0:contig05453:9477:9710:-1 gene:CCACVL1_01968 transcript:OMP05284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLHSLLLGYEYRLEHHTLSEAIPITANIATKTNSFNPSPSCNPERSRGNHSFRGRGRGRGRPTPQFNFNLAGSYL >OMP05283 pep supercontig:CCACVL1_1.0:contig05453:8332:8427:1 gene:CCACVL1_01967 transcript:OMP05283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATFKLQGKLHFLSSQPKFKDQKNVVKGMATN >OMP10540 pep supercontig:CCACVL1_1.0:contig02255:780:866:1 gene:CCACVL1_00887 transcript:OMP10540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDELPELNPEEYEADRYPSPGRTRLRP >OMO88647 pep supercontig:CCACVL1_1.0:contig08976:13384:13449:-1 gene:CCACVL1_08286 transcript:OMO88647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASERALKPSPAVKKETEGCG >OMO88648 pep supercontig:CCACVL1_1.0:contig08976:13871:18021:1 gene:CCACVL1_08287 transcript:OMO88648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPTDLVPFASFSRIRNARFATVDKLLDCDEQKPSEEASKVIPEFQSPEDYPETQIVPFPSAEYLGSKVKRSAFVLAWLSLTFGCHLDGRVMNHFAAMAVKIAKGSFFPLAPFFLGTLYHYLDLLVSDEVEGCNNKVIYSTLNVYFLQMFLWECFPRYADKGVSLSALKGRFDGWWGKFLDYGPSEFSAVCNWFGKKLTGKKAFREVMDMEEEFCWRPYTEVRSGNVKFVRVLVLSSLAEGKREEKEILAATVDNFATCFVAGGLPYWSFVKHGFCTAVYQPQRVRRQFGLDQRVPFSIPTEIPWDRCILCFTKRTAIKIFSEGELSIADRSNRPSMTPAMVKYWSKFLQNVGAFMRDQQPLLSPHALSSSPEGSKSSTNIRLFSLSRKVLSYFECNHVSIFAKASTGCQPMLTDKSEKSDEAHELATDVAPKGALRRSNRLKRDKEINDAEIIDMTEDVPENEDSSQAINNRRFLKRLQMEKGKLWMNLAKVDIDPFVNAGETSIAGEQVIAGHPLDVVKTPPVVEQVSAGHPLDADKTPPAVEQVSAEHPLDAGQPLITKQNSILKDSPVMEDLIPNSDVDADEIQGTVPFSPSPSDNESVMSPVDLDVEIPSDIAAPSVKRIIDANPFEYSDEQANEILSKMLEMEIVVDVPWSAFAGVSSEMVGIGHIQIHTSELDWMKKILATYPEALGEARFGLPIAGTALDLLCCVLREMDNTPFHDLSHEILTKWSSPINNACRLGLKVDFALDHLKTVAHAFLGGKASSSSLLEKKIILMEIEKNEKKIQIEAAFASAIRRKYVDA >OMO88646 pep supercontig:CCACVL1_1.0:contig08976:12460:12735:-1 gene:CCACVL1_08285 transcript:OMO88646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNDDKTLQQIPDKRSPLLADPRQIKLVANKPPIVSRPTVELPTDPRQTKLVASRSPIVSRPTMELSAENLSTKDHTCGSLCSQTVNLPRV >OMO88645 pep supercontig:CCACVL1_1.0:contig08976:442:1957:1 gene:CCACVL1_08284 transcript:OMO88645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGNKLQKPKQSKSETQEPDVDFTCEICIEPVDAKNKFKNNGICKHNFCSDCIAKFIEAKVVEFNVANIDCPALDCKFSLDPLSCRPILPHQLFDKWCDLLCTETVLQKYEISKRTYCPNPDCSALVVNECNNKPSKSTCPNCKKDFLFQVPEWMACRISVSSARVDMLCRKSVAAEPSDAVPPLPALACSSPFSTFLPYLPIQTSPLQ >OMO88649 pep supercontig:CCACVL1_1.0:contig08976:18577:18732:-1 gene:CCACVL1_08288 transcript:OMO88649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQGNQKESSARKLATKMPTSTDTKVGTGCFIARECSSREFPRAFDEAHN >OMO88171 pep supercontig:CCACVL1_1.0:contig09095:5365:12201:-1 gene:CCACVL1_08542 transcript:OMO88171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uricase MAKELDGFKLEQRHGKARVRVGRVWRSSDQGGNRRHFLVEWKVNISLLSNCLPSYVRDDNSDIVATDTMKNTVYVKAKECQEQLSAEEFAILLGKHFTSFYPQVYTAIVKIVEKPWERVSVNGQPHEHGFKLGSEKHTAEAIVQKSGILQLTSGIEGLALLKTTQSGFEGFIRDKYTALPETRERMLATEVTASWRYSYDSVSSIPKKPLYLNERYLNVKQVLAETFFGPPKSGVYSASVQSTLFQMAKAVLSRFTDISSIQLKMPNIHFLPVNLSSKDNGEIVKFNDDVYLPTDEPHGSIEASLSRFWSKM >OMP03130 pep supercontig:CCACVL1_1.0:contig06144:10766:14343:-1 gene:CCACVL1_02551 transcript:OMP03130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGGALPLTPPPLKGTPHSNKEVEFLGMMIPYGRKWLEA >OMP03128 pep supercontig:CCACVL1_1.0:contig06144:5244:5315:-1 gene:CCACVL1_02549 transcript:OMP03128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINPFTINKLQTTARGEARAKS >OMP03129 pep supercontig:CCACVL1_1.0:contig06144:9022:9782:-1 gene:CCACVL1_02550 transcript:OMP03129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MAARVLMVSQDSNGDFRAVQEAIDTVPLCNTCRTIIRLSPGIYKQPVYVPKTKNLITLAGFRPELTVLSWKNTSSKTRIIGTGTFGCGTVIVEGEDFIAENVTFENSAPEGSGQAVAIRVTADR >OMO90052 pep supercontig:CCACVL1_1.0:contig08553:9326:10261:-1 gene:CCACVL1_07531 transcript:OMO90052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQRRYLVHNLGINKKRESSLCSSGKVERKIIEKNRRNQMKNLYSRLNSLLPHHPSKEPLSLPDQIDEAVNYIKSLETRLKESREKKESLMGRKRSHRCSNNIAATDQAMGRLKAPEIRIQFQEMGSSIEVVLMTGQDTSQFMFNEMIRIIHGEGADILNANFSVVGNTVFHIVHAELGAHMFSFGTATKIKEKLNRFVHGSSSEQELQEELWDYEIYPDETWDFQIM >OMO98739 pep supercontig:CCACVL1_1.0:contig07038:21404:21985:1 gene:CCACVL1_04078 transcript:OMO98739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDTATMRFHYEGSFVGEGETFRYEGGYIDDLTFDPDKISWFDLNEICQDAGYRNVHKIRYLRPGFPLHQGMRQITNDYTVLDMVSDMLENANVIDIFIEHFMDDVELIVGLIENGDGGEQNEEQNQEFENEEGFEDVMAEVNEPQVPEVHVANEAGNQGLGADIEGQQGLNDQVNELAKELKLMLERRRLF >OMO98738 pep supercontig:CCACVL1_1.0:contig07038:10989:18219:-1 gene:CCACVL1_04077 transcript:OMO98738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAMAFVGEAFLTASIEVLVDRIASGDVLNLIRGKKLEDGLLSKLKSLLMSVNVVLDDAETKQITNIHVRSWLSEFKDVVYDAEDFLNEMATEALRMKLESEDQTTVSQVSRIFSSLNPFNNKEMGSKLEEIIGRLEYRVNQKGILGLKERGGEKLFKRSADTSLVDESDVYGRDGEKEAIIKLLSPKNPSENQIDMIPIVGMGGVGKTTLAQSIYSDKRLEDWFDLKAWVCVSDEFDATRVSKTILDEIGSSSSDDDDNLNKLQLRLKEKLLGKKFLFVLHDVWNEKYVDWEKLRSPFNFGAKNSKIIVTKRNDNVADIESSLQDSKHLSGRGMPCVSTPMLKSVALLARQLGYTQQLPPKREGGYKVTGRAASDMRTARLSALWAARPEVVRITWWSYDELSSVSYLCKNFIFVLTAIFLMDDLPPLPEIEFTDALVRFINILEGIVGIVHPHRELPFLTEDLPGVNLASILVHDGPARTLTNHGARLRVATIDCLDLQCLLLDAQSWSIPERGIMKHRLRFRALSDDDIDAVNERTLWLLGETWSATANLQAPIRIVVYNARGAAHSSFVDNVTQLKDDHELDLLIVTETRVSGRRVDSIKEGLGSGRSYTMDPEGFSSGIVAVPYTGLALAAKTLGGLLRCKLDAEEWNKVLNSNLWDITDDASGTLPALRLSYHYLPSHLKCCFVYCSMFPKDYEFEKEELIQLWMAEGFFSYSKGSIDTKEEERGNKYFKDLTSRSFFQQSSRDKSCFVMHDLISDLANSISGEFFCILEGGDNLHKVTKKTRHLSNIREEYDVRKKFETLCEAKGLRTFLTLPGTWPWSSVITNKLMDDLVVKSRCLRLLSLTNYENVKQLPEGIGELKHLRYLDLSRTSIQRLPNCLCTLYHLQTLKLFGCEQLVELPKDMGRLINMHHLDIRGTMLKKMPLGKGNLKDLKILIDFVLGKHDGSNIGELGKLKHIQGSLAISNLQNVASARDAKDAALKDKLKLKELGLIWNEDDDIDYDSKHDREILEQLEPYIELECLVINFYRGCDTIVTVGDEFYGNSEELSLTKCPKLTKSLPKHLPCLKKLEISRCGKLEGLLPRTPNIQEVQLKGCDALRMKALPCELRE >OMO57716 pep supercontig:CCACVL1_1.0:contig14328:380:3022:-1 gene:CCACVL1_25695 transcript:OMO57716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCWSPSLTVDEEFEKLVIRMNPPRVTVDNATSRKATLIKVDSANKRGSLLEVVQVLTDLDLIIRRAYISSDGEWFMDVFYVTDHHGNKLSEVDVAEKIQQQLGPRAYSSFRSLTRSVGVQAALKHTTIELTGRDRPGLLSEIFAVLTDLKCNVVASEVWTHNSRMASVVYITDEDTGTPIDNPERLTKIKQLLLYVLKGDRDKRSANTAVSLGSTHTERRLHQMMYADRDYDVNDADSGSTSERSKPLVTVENCADKGYTVVNLRCPDRPKLLFDTVCTLTDMQYVVYHATIIAEGPEACQEYYIRHMDGCPISSEAERQRVIHCLEAAIKRRASEGIRLELCSEDRVGLLSDVTRIFRENGLAVTRAEVTTRGSQAVNAFYVTDASGNPVKSETVEAVRKEIGLTILHVKDDAYSKSPPQESGKFSLGTLFKSRSEKFLYNLGLIKSCS >OMO71079 pep supercontig:CCACVL1_1.0:contig11729:49953:50093:-1 gene:CCACVL1_18464 transcript:OMO71079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKSQELKSQKDDTFRIPENMYSCLVGGKDELAMQGDVDASRYLR >OMO71080 pep supercontig:CCACVL1_1.0:contig11729:50723:51424:-1 gene:CCACVL1_18465 transcript:OMO71080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLTIMILGQSLTDAVAIANIVIKIISIARTISDIEFTNFTHISTP >OMO71086 pep supercontig:CCACVL1_1.0:contig11729:106275:106397:-1 gene:CCACVL1_18471 transcript:OMO71086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVGVHQNRKQVPCYFGVQLQTPVWRMAMKSIVRDLKIL >OMO71072 pep supercontig:CCACVL1_1.0:contig11729:20426:24557:-1 gene:CCACVL1_18457 transcript:OMO71072 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing protein MAEVAVNMVMERLITLLSGDGELVRGIHKEIEDLKIELELITSFLKEADLRAFREDGNDFGVRTWVKLVRETAYRIEDAIDDYTLHVANYSNNQNQQHGFNLNNLLSKAASFLKSMKKRHQIASELQDIKASVCEIGQKRKRYGFNISEEVAAGNNHDPRVGLQFVADNAIVGIESHRNELAKKLVCGSSTRTVISLVGMGGIGKTTLARKLYEDQTLVGHFDCHAWITVSQSFKVEELLRTMLRRFHEARKELPPIGIDQMDEEELVIKSRIYLQDKRTIK >OMO71087 pep supercontig:CCACVL1_1.0:contig11729:106778:109620:1 gene:CCACVL1_18472 transcript:OMO71087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAQEMIFRLITQLSTLRTWLSLKGKVLIRSKAKGLDRFSPTELPAQALLMIGANAREHRLHSTRVL >OMO71084 pep supercontig:CCACVL1_1.0:contig11729:83783:91902:1 gene:CCACVL1_18469 transcript:OMO71084 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, Rad18-type MPPIPLNATKSGYQLCVRLTPLAQTLAMQELNLKSAMSDILAIKLQKLLMLSSHRRLLLSKLVHLAPDLGLSPNFRSRLCNDHPDKFKIVDTSYGRALELVNWDPKLAVPLKFPEVNRGLIVDRPLKFKQLRLRKGLNLKRRHRDFLMKFNELPDVCPYNTSVEDVAKPSIEAEKRACGVVREVLGMMVEKRMLIDHLTHFRKEFGLPNKLRAMIVRHPELFYVSLKGLRDSVFLVEGFDDKGRLLEKDENSDLEYDFDDDDNNGSTEIYPNGRNGQSNPKYSLEMLTGRESLSRLIGKRRRFLPNRQSTLSSPIQSSLNLLSDKNGSLAETDCSEGKVEMSSSDWVNCPVCGEKIPGGDYAINSHLDGCLSRRTKRKLTQRTLLQLNFGCSQSKAQISCSESKKLQSSDLNKGPCHTEDKTTCGFSKISPSEEKRQDQSRELPQTENVRQIDRADSIENSVSDGREKVMVDSPALSSDNEEPRHLLDATVDNISGVAIDTFIVGRRFSDEKDLNLGATLSLLRDPDNVKDSNAIKVVSASSTCCKVLGYLPRELAQYLSPLIEKYGLSFEGCVIAVPKNSLDAVPIQIVCQNMTLTGEKGCDNFEFFKHFWKKALQVVDFAKNRPPNTTKYQQNFCLLLQEVLTASPHLFTDNEKKFIESFYSLSEDSQRLFVRIYTRKGPWFRFSTIVYPEVGDSQQAVEELSATGYIYRVEDKTELNDEEMKNLLSLLTVSELRDILCTLKKKCNRGSRKQNLIDSLLSSYNGGSCSVLPHLILEKTEICIRITSEAESVFWRTERLFFLNGEQDLSAFLLVDLGIMKYPTYKCIISEQIFSSKSDLLAYEEAIEVAQIMDQSLDENNFELVLRCIMVAESHISSSPKKLVDSTTPELMATFLSCFSSSWVYSKVVLLGISFLEHEHRYNDAIHLLRQLLNCFTSDKRRGYWTVRLSIDLEHMGYPNESLSVAEAGLLDPWVRAGSRMALQRRVLRLGKPPRRWKTPAFPESIKRKIPEVHIQGRPLNCEAGRKSRFYGEDGEQCGVEQLALQYYAAEGGGWQGVHTESGIWLTIFGLIMWNILFSDVPNVFRTRFQTAPLDLETDHFYLARKSLIESHLQKVHDGLAEEILITSWELHLGTACRGVNWDRHSLSDLRAAVSCIGGPCLSSLCRHLAQDYRSWSSGMPDLLLWRFHGDYKGEAKLVEVKGPRDQLSEQQRAWLLLLMDCGFNAEVCKVSPALIST >OMO71078 pep supercontig:CCACVL1_1.0:contig11729:47238:47984:1 gene:CCACVL1_18463 transcript:OMO71078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEIFDVAQRYAEADEMKALHDDIHKHYASRSIHPSKEDHQHSSISSRKNDRCHLNYQRSRSPPSEDTQIMNKSIENSIIKLSPHEDSHIKKRRLFLDLTSTPPEYSRAHISISWGEMSSHMKWPQPLKPQKYGERGRNKRCSFHNDFGHTIECYHLKGAIEDLIRRRYLRNFVQQNQTVEQATNQVANQNERSSEKMTYKRPAVNGVINTIIGATEEWAISKSKRKVHLRSIMHMGLVDPTKKEGED >OMO71068 pep supercontig:CCACVL1_1.0:contig11729:3046:3129:-1 gene:CCACVL1_18453 transcript:OMO71068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEAYGPAATSAGSKPNGSNPTSLGD >OMO71071 pep supercontig:CCACVL1_1.0:contig11729:19750:19869:-1 gene:CCACVL1_18456 transcript:OMO71071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELESIASADSVKGDRYANTYPTYKNTDTPPLSSWKPV >OMO71075 pep supercontig:CCACVL1_1.0:contig11729:30325:32223:-1 gene:CCACVL1_18460 transcript:OMO71075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MATAAKVGRIKLGSQGLENVGALSIKLTPEEMSELESIASADAVKGDRYGGTSSTYNQSETPPLSSWKP >OMO71088 pep supercontig:CCACVL1_1.0:contig11729:110765:111158:-1 gene:CCACVL1_18473 transcript:OMO71088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSKKQYARSHIAAAAKTESVCDALGTVDVAPHFNPPALEMMIWISLQFSG >OMO71073 pep supercontig:CCACVL1_1.0:contig11729:25608:25727:-1 gene:CCACVL1_18458 transcript:OMO71073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELESIASADAVKGDRYADTVPTYKNSDTPPLSSWKPV >OMO71081 pep supercontig:CCACVL1_1.0:contig11729:56398:60604:1 gene:CCACVL1_18466 transcript:OMO71081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFKIDSTLNMPHVVAGAPSVDEGVVDSLSALLPFKFTCEDLLHSCNGLLLFVTGSVPQYYVCNPLTKKCIEIPRNIEREKLASDAGKKLHCFAALAFNPSESSHYKVVRFNYWTTYSSNKTVNIDVFSSDTDEWVSHKIPLDAPVYGFPWHRHVVYLNGVFYQLTRTRHLLQFDLNNSSAHAMEVPRRRDVIHRYGFVGVSCDRLHYSNCIGRRLFVWFLKDPGMVDPNSEAFYFGCSNAILRYHVDSSALEVVYPRQYSLFTYSPCVVILKGKIRSDSFIPRQNDCHLWSKLCDDLVIEILCRLPAKNLIRSKCVCKLWNRLVTHVCAPKFSTYNPLGGFFYRGEKNADFRYRFYLEYASCGGGSADERVVESLSALLPFKFTFKDFIHSCNGLLLFVAGSLQQYYVCNPLTKQCIEIPRNIEREKLAIDAGKKLQCFAALAFNPCESSHYKVVSFNYWTTYSSNKTANIDVFSSETGEWISHTMPLDAPVHELPWIRHAVYLNGMLYRLTRSKYLLQFDLNNFNAHAIEAPKRDLINNCGFIGVSCDRLHYSNHVGSTLFVWFLEDGGKAGSWVLKHSISIDDLCNNYKFGCPWIKCPRATFGRLWALDPNSEAIYFGCSNAILRYHVDSSALEVVYTTESGKEIYPQQYSLFTYSPCVVILKGTPPLLCQTRLRKDLLISPDHQEGQYGLTFGFPIPNNVVEYEALVVGLELVVTMKVGNLKSILILN >OMO71089 pep supercontig:CCACVL1_1.0:contig11729:117494:118321:1 gene:CCACVL1_18474 transcript:OMO71089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVFAAVYLATLTVSSSLQSQTSNHPLDLL >OMO71069 pep supercontig:CCACVL1_1.0:contig11729:4420:12823:-1 gene:CCACVL1_18454 transcript:OMO71069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate binding module family 20 MDSISLRSLHSQILVRKQLGFLPKTARFNPRISFPPGINRHRKLSHPLFFAVSSTPTREEEKQKMKSKSKSGRGKVSLNICLDHQVEFGEHVVILGSTKELGSWKKHVPMNWTEGGWVRDLELNGGESVEFKFVIVGKEKSVVWEGGNNRILKLPQGGSFRMVCHWNSTGETIELLPSSLEEHEDRMQDAADDVPAADELESESSPFVGQWQGRPASFMRSNEHGNREVERRWDTTGLEGVSLKLVEGDKSARNWWRKLEVVRELLVGSLQGGQRLEALIYSAVYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRELERISSRKDTSPQEVLVILKIQPCLPSFKAEFTASVPLTRIRDIAHRGDIPHDLKQEIKHTIQNKLHRNAGPEDLVATEAMLGRITRNPGEYSEAFVEQFKIFHKELKDFFNAGSLTEQLESIRESLDERGLAALAMFLECKKSLDAAEESTSILDLIKTMRSLSALREVIMKGLESGLRNDAPDAAIAMRQKWRLCEIGLEDYSFVLLSRLLNTLEAMGGATWLADNLESRNTDSWNNPLGALIVGVHQLSLSGWKPEECVAIENELTAWKEKGLFEKEGFEDGKRIWALRLKATLDRTRRLTEEYSETLLQIFPQKVQILGKALGIPENSVRTYAEAEIRAGVIFQVSKLCTLLLKAVRTALGSQGWDVLVPGAVSGTLVQVENIVPGSLPSSLEGPVILVVNKADGDEEVTAAGSNIAGVVLLQELPHLSHLGVRARQEKVVFVTCEDEDVVSDIQKLAGKYVRLEASSSGVNVSPSSLGDHHADSLAKNLSSNGSAAAELSGLAGKAPYSNQGSSSAGIILLADADTPTSGAKAAACGRLASLAAVSDKVYSDQGVPASFRVPSGVVIPFGSMELALEQDKSTETFMSLLEKIETAGLEDGELDKLCDQLQQLVSSLQPPKDIIDSIIRVFPGYARLIVRSSANVEDLAGMSAAGLYESIPNVSPSNPTVFASAVSQVWASLYTRRAVLSRRAAGVSQKDAAMAVLVQEMLSPDLSFVLHTLSPTDNDYKYVEAEIAPGLGETLASGTRGTPWRVSSGKFDGIVRTLAFANFSEEMVVTGAGPADGQVIHLTVDYSKKPLTVDPIFRQQLSQHLCAVGFFLERKFGCPQDVEGCVLGKDIYIVQTRPQPH >OMO71074 pep supercontig:CCACVL1_1.0:contig11729:27803:27913:-1 gene:CCACVL1_18459 transcript:OMO71074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELESIAAALKGDRYGSTIATHLQSETPPLSSWKS >OMO71082 pep supercontig:CCACVL1_1.0:contig11729:77797:77916:-1 gene:CCACVL1_18467 transcript:OMO71082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELESIASVDSVKGDRYIGTFATYHESETPPLSSWKLV >OMO71083 pep supercontig:CCACVL1_1.0:contig11729:80050:80784:1 gene:CCACVL1_18468 transcript:OMO71083 gene_biotype:protein_coding transcript_biotype:protein_coding description:voltage-gated hydrogen channel 1-like protein MNNPTDHSTRNGPSHHQQQSISIESVEISIQNLIKCWSKRQRWQHFFDPKSGQDQSLSSRAPWRIHLAKFLESTKIRIVAILLLLLDLILTILELSSTLLSCSPNKSRTTSDHIERAWYHWVGISILAVLSAKSVALAMGLGGAFFRRPGYVVDGVVVVGALLLEAFLERKGGGLLVVVCLWRVVRVVESAFELSDEAIEAQIEGIVGQFEAIREENTRLLAAIADKDQIIETLQAELDHCRLS >OMO71070 pep supercontig:CCACVL1_1.0:contig11729:14989:19039:1 gene:CCACVL1_18455 transcript:OMO71070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAASQLHPIFYFSKRGSSTKSDGWMKLGGWNSSRINFTRRDQSLRCLTPIRKTRLFAVSNADDGHPYAPVLEEDSNTNHAPSSEPETFFSKWSPPKYLWRGLSVPVLAGQVIIRTLKGKVHWRNTLQQLERVGPKSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTSIVVAGRIGSAFAAELGTMQVSEQTDTLRVLGSNPVDYLVTPRVIASSLALPFLTLMCFTVGMASSALLADSVYGISINIILDSAQRALRSWDIISAMIKSQVFGMIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVVLTGAKNGVSFLVHSFADALNTQKTNQHFPIDKSNKTNSPPFHETKQDFAVLENNVTLSDAKEDEERESEEDPCSGKYIYIHNLPSRFNNDVLKDCRMLIKWFDMCPSLMNSGLGPIVEKPQRVLSDKSWFETNQFMLEVIFHNRMKQYKCLTDDSSLASAIYVPFYAGLDVGRYLWDYNISVRDSSASGLVNWLANRVEWQRMWGRDHFFVSGRIAWDFRRQSDNESDWGSNLMSLPESMNMTMLSIESTSWSNEFAIPYPTYFHPSSDSEVIRWKKRMRSRKRRYLFSFAGAPRPGMNDSIRGHLISQCLASKSTCKFLNCRSGANKCDNPVEVIKVFRDSIFCLQPSGDSYTRRSTFDSILAGCIPVFFHPYSAYAQYTWYFPRNYSKYSVYIPEGDIKDGRVSLNEALSRFTKGQILAMRKEVVKLIPKVIYAVPGSGLERFEDAFDIAVNRVLERVEKVRKDTKEGKDPGVDFAVGDRWKLKLSGIVGDHPLEQSL >OMO71076 pep supercontig:CCACVL1_1.0:contig11729:35948:37870:-1 gene:CCACVL1_18461 transcript:OMO71076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MAAKVEEVVRNKRVILREYVSGFPKESDMFISFSPVSLKIPSNHKGVVLVKNLYLSCDPYMRLRMRNDQDPEFSPFTPGSPMTGFGVAKILDSTHHGFEEGDYVWGTTGWEEYSFIARPERLFKIRHTEVPLSYYAGILGMPGMTACAGFYEVCSPKRGEYVFVSAASSAVGQLVGQLAKLGGCYVVGSAGSSQKVQLLKERFGFDDAFNYKDEHDLEATLKRYFPEGIDIYFENVGGKMLDAVLMNMRVHGRIAMCGMISQYNLEQPQGPKNLMSLIYRRIRMEGFVVFDYCHLYSKFLDMMLPLIREERITYMEDIVEGLVNAPAALIGIFSGQSMGKKMVRVAPE >OMO71077 pep supercontig:CCACVL1_1.0:contig11729:40593:40700:1 gene:CCACVL1_18462 transcript:OMO71077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQQQIIQGQNAKIMAMEQTAHKARTHNKSIMAK >OMO71067 pep supercontig:CCACVL1_1.0:contig11729:961:2575:-1 gene:CCACVL1_18452 transcript:OMO71067 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO protein GDP dissociation inhibitor MSAAVGTFSASKQVGFNKNETKNEELRDNKKFSVDHEAEEKNNIDHELQGNNGDCNDQSDQHVEVEVEEEEEDGELKSEDKALDLGPQFSLKEQLEKDKDDESLRRWKEQLLGSVDMSAVGESKEPEVKILSLSIICQGREDITLPIPFTTSKPKSSLFILREGSRYRLKFSFTVSNNIVSGLKYTNTVWKTGVRVDNTKLMLGTFSPQTEPYTYELEEETTPSGLFARGSYSARTKFVDDDGKAYLDVSYHFEIQKNWPSRP >OMO71085 pep supercontig:CCACVL1_1.0:contig11729:96684:100923:1 gene:CCACVL1_18470 transcript:OMO71085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQQVEDFYYMAEDFVDDMDEDNYGRGGGDMDADEYDMLTKVTDTSSAQARKGKDIQGIPWERLNITREKYRLTRLEQYKNYENIPASGEAVDKECKPVEKGGNYYEFFHNTRLVKPTILHFQLRNLVWATSKHDVYLMSNYSVMHWSSLSCNLSEILNFAGHVAPTEKYPGSLLEGFTQTQISTLAVKDNFMVAGGFQGELTFKHLDRKGVSFCTRTTYDDNAITNAIEIYDSLRGGINFMASNNDCSVREYDIERFQLLNHFRFPWPVNHTSLSPDRRLITVVGDNLDGLLVDSQNGKTVATVAGHLDYSFASAWHPDGRIFATGNQDKTCRVWDIRNLSSPVVTLKGNLGAVRSIRFSSDGQFMVAAEPADFVHVYSTRGDFQKRQEIDFFGEISGVSLSPDDESLYIGIWDRTYASLLQYNKKHSYGYLDSYL >OMO98732 pep supercontig:CCACVL1_1.0:contig07040:1650:1847:-1 gene:CCACVL1_04079 transcript:OMO98732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQLCMKSLSHTVNIVAIALALLLLIMSIMTPLGALAMGPMPPIGCDPLHRRRLLDSPCPLPPS >OMO66750 pep supercontig:CCACVL1_1.0:contig12522:17769:31711:-1 gene:CCACVL1_20996 transcript:OMO66750 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MGRKKQINPRRSGALTVESNGNVESELDKQEANQNGHKGKDEIADTETPFFVQVDKSSWQLQEHLDISEVVLIDLNLREEFAGYRISEDFYGDSKYSLRFRVCNVSEFITRIKLGHWPVLSSSDVSLEFVVKSMSDGAETESLILSGSFDGPDEGISALVHLASLKFVTLRPVMGVTLSEGLSSLRVRVEILRSLFDDSWLRPEVMTSKAKYGISDTVNMEVDVSRVAEGETSRPGNRTKFDVAGFYEAIKPSKENSMLEDEFPDLLPVLRPYQRRAAYWMVQREKGDSRSLDEWQRNMLSSPLCIQVDCLDTQSKVFFNPFGGNISLHPDSTSPYVYGGILADEMGLGKTVELLACIFAHQKHASEGGVFKDTAAEVITDEKISLRRLKRERIECICGAVSENRKYKGLWVQCDMCDAWQHSECVGYSPRGKAQKGSESAGEGKKQKKGKETTDIVVREGEHVCQPCAELLQATDSPIATGATLIVCPAPILSQWHDEIIRHTRPGSLRTYIYEGVRRPSLSNTSRVDISELVGADIVLTTYDVLKEDLSHDYDRHEGDRCFLRFQKRYPVIPTLLTRIFWWRICLDEAQMVESNTAAATEMALRLYAKHRWCISGTPIQRKLDDLYGLLRFLKLSPFDVSRWWVDVIRDPYERRDGGAMKFTHQIFKQIMWRSSKIHVADELQLPPQEECVSWLTFSPIEEHFYQRQHETCVDYATEVLESLKEGFLKREVPGNLSSGATFDPFITHTEAAKLLNSLLKLRQACCHPQVGSFGLRSLQQAPMTMEEILNVLIGKTKTEGEEALRMLVCALNGLAGIAIIEEKYTQAVSLYKEALDITKEHSEDFRLDPLLNIHIHHNLAEILPMVTSSLEQFPAEVQQFPGSSEKASRVHHTEICDQSSVKRQKLDDQEISETHAGNLPAMPSDLLENGTKIDLDCSGQCHMSSGTLNKQSLIIDCENLKQKYLSAFTTKLSAAQQEFRKSYMQVCNAFGDIKNEHRVWWLDALHHAELNKDFSNELIRKIEEAISGSLENRRSSRMSSRFQSVTTLKYHIQTGLDLLESCRGKLLNRLLEIDQTMEKPKEEDIERVRYCRNCQVVGDGPICVHCELEDLFQDYEARLFRVNKNDGEMIKSAEEAVVLRKKKSALNRFYWNLSQPNKNSISSDADSKELKRDVQETIVVSKSPSQLEVALGVIKSFCKAQVGKEGMLAATKQLQILEGMRKEYRHARLLAISQAQVLNAHDEIKMATTRLHLREAENDKSIDALSPNELAAASVQNTSDKFMALTSLSTIKGKLRYLQGLVLSKDKLPMEHSDNSTLSQDISTMSTSVEQKSTCMPRVNAEACPICQEKLSNQKMVFQCGHVTCCKCLLLMTERRSRYWSKTQNKWVMCPTCRQHTDVGNIALADDRQSKSPNTAILHSIQGGELCEESLVVQGSYGTKIEAVTRRILGIKSSDPKAKVLVFSSWNDVLDVLEHAFRTNQITYIRMKGGRKSHVAISEFRGKKAGGKGIQKPEPKFVQVLLLLIQHGANGLNLLEAQHVILVEPLLNPAVEAQAISRVHRIGQESRTLVHRFIVKNTVEESVYKLNRSRNSSGFVGNTKNQDQPVLTLKDVESLFASAPKTTETATESESLRHLPPSVAAALAAERRLRENPTA >OMO66749 pep supercontig:CCACVL1_1.0:contig12522:16454:16921:-1 gene:CCACVL1_20995 transcript:OMO66749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTSFRHSRTQSINPSLPINKVRQQLPKPQDFRLMPSARRSLQSHGLSLKRRFGHAAAVGCVASDSGLLAELEMKPEEEEEWRRIGRLGGKCKERKGMVELLECLETEAIMGEDQGKEPTDYNRRAQIFDKSSRVFQALKEARNTAHQSSQQQP >OMO66752 pep supercontig:CCACVL1_1.0:contig12522:34671:38817:-1 gene:CCACVL1_20998 transcript:OMO66752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTFRSGRLPLVHHPQLTSKAAAASIRTKRSIVGLPKLKNGRFRPFFLTSEYSQAQTQQQARDRFSSRLQSSVENLPKLVEDILQTSINTGPRGALRLAQGIQAFLGVGGEWLADVSRSTNSSTGIPSELQLGLLSPVYLRKLFERMGATYIKLGQFIASAPTLFPPEYVEEFQKCFDRAPPIPFEDVQTILSQELGRPIDSVYEYVDPTPLASASIAQVHAARLKGSQDDVVIKVLKPGIEDILVADLNFVYIVARILEYLNPDFSRASLVGIVKDIRESMLEEVDFIKEARNIESFRKYLEAMGLTRQATAPRVYSHCSTRRILTMERLYGVPLTDLNTISSLVSSPENSLITALNVWFGSLLGCETFHADVHAGNLWVLRDGRIGFLDFGIVGRISPKTWAAMDVFLASIATEEYESMASALIEMGATNKDIDAKAFARDLEKIFSSMQELDTEIVVATARTSDTNATAVSANIVVDERQMNALFLDVVRVSESYGLRFPREFALLMKQLLYFDRYTRLLAPNMNMLQDQRISIVSNRRSNYRDNYRDNYRDNLR >OMO66747 pep supercontig:CCACVL1_1.0:contig12522:12169:13581:1 gene:CCACVL1_20993 transcript:OMO66747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEGAMFKFLRPRLRPQPIDIQAAALWGVAATSAAIYLIQPFNWLKRTFFEKPAESQ >OMO66745 pep supercontig:CCACVL1_1.0:contig12522:3616:5289:-1 gene:CCACVL1_20991 transcript:OMO66745 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MGTTLSPCSEKLLPSNKKSLIEELVHGQECATQLQILFHKSVPKEEDGFRRLSAEELVQKILKSFNETISALSSSDSADVVSQNQATNYSTDDISPCCDDRRSEDSSESRKKLSASKDKRGCYKRKRSAQTWVVVSSTTDDGQAWRKSYFRCTRKYDQGCKATKQVQRMEDDSQKFQTTYIGTHTCRDSFKAPKIFTTDSDQSLEEPNYNANHCVESKNVIIPTIKQEAKEETTTATSDLTDTMIMWKDIMAESGMSDYGDVVSSVYSCNEITSACRSFEMDFGIKAVGVDQFDGDFQFDDM >OMO66748 pep supercontig:CCACVL1_1.0:contig12522:14550:15866:1 gene:CCACVL1_20994 transcript:OMO66748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, TFIIS-type MEMVKVKLKLKEEKEVVELFEAAKKAADRAAALDGAASEENRCIDALSQLKHFPITYQLLVSTQVGKQLRCLTNHPRKKIQSFARDVLRIWKNAVIKTNNGDVNNGKMGNKNSLKMEQKTPNNGSQKVAEKTSSMVKCNDELRDKVREQIYDSLCKVLTEAGDDIRDDVNASDPVRAAVSVECAMFENWGKSNGDKRIRYRSTLFNIKDQNNPDFRRKILLGEIKPDKIVSMTTEEMASDRRKLENKQLKEKALFNCQRPDALTATTDQFKCGRCGSRKTTYNQIQTRSADEPMTTYVTCTNCKNCWKFC >OMO66746 pep supercontig:CCACVL1_1.0:contig12522:8476:11339:1 gene:CCACVL1_20992 transcript:OMO66746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCRSALLLALRMLSSSSSSSSSSSLASSKNPKLHLPATSQSISSLPLKSRLPQRMTLSKCFSGAAADPISISSSPQPHCQNPLQPDSDSDSPSNSLVVVSFYKFADFPDHADLRKPLKQLCEDLRVSGGIILAPEGINGSICGTRESVERVLGFIQTDERLKGLRQIESPVSPEQEAIHHGHSSSSPLAAGEDAPFRWDHVRVKLKKEIVTLGMPKVSPIEKVGKYVSPLEWNALISDPDTVVIDVRNNYETRIGMFKGAVDPCTTAFRDFPSWVENQFQLDGSDVEHAKAEKKGLDESINKDAELPKQKMPKRVAMYCTGGIRCEKATSFLLNKGFEEVYHLKGGILKYLEEVPKPESLWEGECFVFDKRVSVEHGLVQGNFKLCYGCKQPVSDADMEAPEWEYGVSCPYCYSSKSEEEKERARARQRQFETWGIIGGPDKGRRPAAKPDGKDRNQTRLSSSV >OMO66751 pep supercontig:CCACVL1_1.0:contig12522:32442:34023:1 gene:CCACVL1_20997 transcript:OMO66751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 1A (eIF-1A) MKGGRKNLKRAAKDQNLSLQNGQSIMQVLSLRGSNLIEVMDARGEKSLALFPAKFQKSMWIKRGSFVVVDESGKEKALESGSKVACIVSQVLFYEQVRALQKSPEWPEIFKSSNLDDSIEDGGSTSEGSQRHTTPEEVKDEIDSSDDDGLPPLEANLNRIKPFELQSDGESDSESDTD >OMO80440 pep supercontig:CCACVL1_1.0:contig10322:14783:15004:1 gene:CCACVL1_12960 transcript:OMO80440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIVLKNRKFESKPSPLNPKLTAIGSSSAQLTLVSSVFYGLKKFTKARNGGQQEAEDSLRMVVYLSSWGPY >OMO80442 pep supercontig:CCACVL1_1.0:contig10322:20650:21086:1 gene:CCACVL1_12962 transcript:OMO80442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain, type 1/2 MLEGKAVIGETDMLQAMQRDALDLAAKALDFFDVTEATEIARFIKKEFDRTYGPGWQCIVGTDFGSFVTHCSGCFIYFCVESLAILLFRGSAVPEAEPHQFTALEETVKA >OMO80438 pep supercontig:CCACVL1_1.0:contig10322:4407:4706:1 gene:CCACVL1_12958 transcript:OMO80438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTRIASSRKAWMVAASIGVVEALKDQGLCRWNYALRSVQQYAKANIRLYSHQAKKLSPSSSASSSVLSKKLRDDKLKLKQSEESLRTVMYLSCWGPN >OMO80439 pep supercontig:CCACVL1_1.0:contig10322:10059:12168:1 gene:CCACVL1_12959 transcript:OMO80439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MAIADRQNRSSERKLFSLCPFWQTSSTTNNSTSSSSTQNLNHNGMAVTAKRPKTVSSVARSLLPPRRRLRLDPSNYLYFPYEPGTQVRSAIRLKNTSKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVERPENNEVQVDLKSKVKFKIMSLKVTAGMDYLPELFDEQRDQVTVERILRVVFLDVERPSPALEKLRRQLAEAEAALEARKKPPPDTGPRVVGEGLVIDEWKERREKYLARQQVEAVDST >OMO80437 pep supercontig:CCACVL1_1.0:contig10322:3111:3383:1 gene:CCACVL1_12957 transcript:OMO80437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAAARKAWIVATTIAAVEALKDQGICRWNYTIRSLHQHTKNNIRSFAQAKKISSSSAAVSNNLMNKHEEKMRKVMDLSCWGPNTVRF >OMO80443 pep supercontig:CCACVL1_1.0:contig10322:22244:24481:1 gene:CCACVL1_12963 transcript:OMO80443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDINLFREEKGNDPNLVRESQRRRFDDVDIVDTIIRVDKLCRQHQYELDHLRKELNKIQKEIAQLILTGDDASELIESSNEKKQSEAYQNLEFEFEEVRDDLKVKLSTIGNLVHDTVPFSDDERNNVMVRQWGQKRMEPNLKNHVKLVNLLRLGDTEKGGKVAGRRGFYLEEAGVLLNQALINYGISFLRKRGYRVIQTPFLMTKEAMEKQFIFIYDEDLYNVRGDRRGDKYLISTAEQPLAAYHDGECLQPDELPKRYAGISTCFRKEAAEEGGSHGKDRGIFRTHQFEKVEQFCITSPNGNDSWEMLEEMITTSEEFYKELKIPYRVVTVVSGKLNESAAKTYDLEAWFPASNTYRELVSCSNCTDYQSRRLGIKSNGQYVHMLNSTLTATERTMCCILENYQTENGVEIPEVLLPYMDGLTFLPF >OMO80444 pep supercontig:CCACVL1_1.0:contig10322:25026:32281:-1 gene:CCACVL1_12964 transcript:OMO80444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEGEFSRATHMQYFSTTDEDDDEEQELDEEDDEVDEEEEEETSRGCGGFYPMCPPRRKDWSLGQVLDPRAKWVQEWNRVFLLVCATGLFVDPLFFYALSISDTCMCLFVDGWFAITVTALRCMTDALHVWNMWLQFKMAKRSSFGARTSFVGGGDDGGGERSGPRGGVNARRVAFKYLKAKKGFFFDLFIILWVAIPYLLEKGSVTLVMTVLLIIFLFQYLPKIYHSVCLLRRMQNLSGYIFGTVWWGIALNMIAYFVASHAAGACWYLLGIQRSAKCLKEQCRGLKEGCDLRVLACKEPIYYGTSNMVRERARLAWAENRQARATCIDSPDNYDYGAYKWTVQLVTNGSRLEKILFPIFWGLMTLSTFGNLESTTEWLEVVFNIIVLTSGLLLVTMLIGNIKVPLFQHMDDLVLENICDRVKSLIFTKGEIITREGDPVQRMLFVVRGHLQSSQLLRDGVKSCCMLGPGNFSGDELLSWCLRRPFIERLPPSSSTLITLETTEAFGLEAEDVKYVTQHFRYTFVNERVKRSARYYSPGWRTWAAVAIQLAWRRYKHRLTLTSLSFIRPRRPLSRSNSLGEDRIRLYTAMLTSPKPNQDDFDF >OMO80436 pep supercontig:CCACVL1_1.0:contig10322:1541:1822:1 gene:CCACVL1_12956 transcript:OMO80436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAARKAWIVAATIGAVEALKDQGICRWNYTIRSLHQHAKTNIRSFTQTKMLSSSSAAVSNKLREERRRKAEEKMRKVMDLNCWGPSTIRF >OMO80435 pep supercontig:CCACVL1_1.0:contig10322:398:694:1 gene:CCACVL1_12955 transcript:OMO80435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATREQWIVAAAIYGVEALKDQGICRWNYSLMLLHQHAKNNLIKSFSEAKVPPSTPPSLLPVAAAGSDKRREEEKKYDKSIKKVMELSSWGPSTVRF >OMO80441 pep supercontig:CCACVL1_1.0:contig10322:15616:17116:-1 gene:CCACVL1_12961 transcript:OMO80441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRLFTCFGRGSSSSSKNEVHAAKTNGTVVEATTPASAEGPIVVELFSSQGCVSSPAAELLLSRLGRGDFQLSAPVIVLAYHVDYWDYMGWKDPYGSSQWTVRQKAYVESLRLDTMFTPQVVVQGRSHCVPNDEDALLSAIAAAPRFPAPTFQASFERPTSESLQVTLTGALRTKIDSEGVNIMVALYENGLVNDCPQGENKGKVLSNDFVVRKLEKLCTVKDLTAKKTVSGTITFMLWDGFNSNKCSIAVFVQNSSHHIFGSQNFQLPDDM >OMO71410 pep supercontig:CCACVL1_1.0:contig11651:20809:23892:-1 gene:CCACVL1_18231 transcript:OMO71410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLLPDFIRLAHGICTIQLCFNTHWNLKAQ >OMO71407 pep supercontig:CCACVL1_1.0:contig11651:6265:8027:-1 gene:CCACVL1_18228 transcript:OMO71407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRIMEDDDDDNDVHNGVVWMEEEAQTVARRTKGRGSKQGKKIREEEEEEKENFSRTPLSSPLRSAEGWIIIVSGVHKEAQEDDLYNVFADFGELKSLHLNLDRRTGYVKGYALIEFGNFQAAQTAISSLDGTRLFGQAISVDWAFKTAPSASRGRRIYPEEG >OMO71409 pep supercontig:CCACVL1_1.0:contig11651:19338:20117:1 gene:CCACVL1_18230 transcript:OMO71409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSGGVSDGYEVGSKRQRMMESNPYFAVSSSMNSYQPYNFGGGFQPAPLPFPVVRLRGLPFDCTDIDIFKFFAGLDIVDVLLVNKNGRFSGEAYVLFSGSMQVEFALQRDRQNMGHRYVEVFRCNRQDYYHAVATEVNYEGIYDNDFHGSSTPSRAKRYNEKDQMEYSEILKMRGLPFSVQKKEIVEFFKDFKIVEDRIHIACRPDGKATGEAYVEFASAEEAKRAMCKDKMTIGNRYVELFPSTPDEARRAESRSRQ >OMO71411 pep supercontig:CCACVL1_1.0:contig11651:53586:53792:-1 gene:CCACVL1_18232 transcript:OMO71411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPHTENDGRQLRNGSSNFERGLSGGSVCGGPVPAAKSPDFVGNGDYLSLRGVEVSDEICLETGGNT >OMO71408 pep supercontig:CCACVL1_1.0:contig11651:8968:14232:-1 gene:CCACVL1_18229 transcript:OMO71408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIB MGDAFCSDCKRHTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLADGGLSTVIAKPNGASGEFLSSSLGRWQNRGSNPDRGLILAFKTIATMSDRLGLVATIKDRANEIYKKVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLETGQSVEMGTIHAGDFMRRFCSNLGMSNQAVKAAQEAVQKSEEFDIRRSPISIAAAVIYIITQLSDDKKPLKDISLATGVAEGTIRNSYKDLYPHVSKIIPSWYAKEEDLKNLCSP >OMO50689 pep supercontig:CCACVL1_1.0:contig16073:1094:6029:1 gene:CCACVL1_30318 transcript:OMO50689 gene_biotype:protein_coding transcript_biotype:protein_coding description:14-3-3 protein MWEEWDNLPEEPQQPEQDSHLNFDFLSLISKPKDYYKILEVDYDATEDVIRSNYIRLALKWHPDKQKDNGNCATSKFQDINEAYQVLSNPVTRKEYDTKGMLHVYDYDLIPTNFEMATTIPDNLSRDQYVYLAKLAEQAERYEEMVQFMQKLVLGSTPAAELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNEEHVVLVKEYRSKIEAELSDVCASILTLLESNLIPSATASESKVFYLKMKGDYYRYLAEFKVGDERKAAAEDTMLSYKAAQDIAMADLAPTHPIRLGLALNFSVFYYEILNQSDKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDVQDQLDEP >OMO50691 pep supercontig:CCACVL1_1.0:contig16073:19135:21320:1 gene:CCACVL1_30320 transcript:OMO50691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFDCAVSSLLCAEDNNSIFDDNDYCGWGVTDELYEFGSRWNHHRYYRNFNQNRVFNGVDEEGLPLQSDECVGLMVEKEHQHLPNADYLNRLQTGDLDLEARKEAVDWIGKVHAHFNFGPLCSYLSINYLDRFLSAYELPKGKAWMMQLLAVACLSLAAKMEETEVPLTLDLQVGESKFVFEAKTIQRMELLVLSTLSWRMQSITPFSFIDYFLYKLNDDKIPLRSSILRSIQLISSTIKGIDFLEFKPSEIAAAVAISVAVETKTVDTEKAISALTQHVQKERLLKCVELVNELLMVGGGGNASVPSVPQSPIGVLDAAACLSYKSDETTVGSCANSSHTLHTSPSIKRRKLNRSCEVGEL >OMO50690 pep supercontig:CCACVL1_1.0:contig16073:7821:10138:-1 gene:CCACVL1_30319 transcript:OMO50690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Divalent ion tolerance protein, CutA MASTLCCRASSLVSSATIRRRLPLVGALCVISLGFSNLCPTLSSSHLRTACAQSLPFVPLLRSKFSTQSPGKSVNAVKMEGSSNTVPSIVVYVTVPNREAGKKLAESIVKEKLAACVNIVPGLESVYLWEGKINTDPEELLIIKTRQSLLEALTEHVKANHEYDVPEVIALPITGGSPQYLEWLKNSTRD >OMP03461 pep supercontig:CCACVL1_1.0:contig06068:680:745:-1 gene:CCACVL1_02411 transcript:OMP03461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISQLSTQDLHTRSDHTHTV >OMP06038 pep supercontig:CCACVL1_1.0:contig05080:2055:2123:1 gene:CCACVL1_01740 transcript:OMP06038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PIWYLTRIVHLVLVGESTNGYSC >OMO89764 pep supercontig:CCACVL1_1.0:contig08622:11500:18300:1 gene:CCACVL1_07647 transcript:OMO89764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSVDLQMRELLVARFEEWVRKCKIF >OMO89766 pep supercontig:CCACVL1_1.0:contig08622:29163:30670:1 gene:CCACVL1_07649 transcript:OMO89766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MGGKTARACDGCLRKRARWYCLADDAFLCQACDTSVHSANQLASRHERVRLETSSSSKFHGNNIDAPPAWHQGFTRKARTPRHNNKSKAEGTKLLNSNPFDPLVPELGSEDGSVDETEEQLLCRVPVFDPFDAELCHMPSHSDGDEVAMLNEVDDGLHGLLPSDIDLAEFAADVESLLGLDEEDDIKGIELLDSKQQFDDTTTTTNFCEQFDDTTCFYEHQMVKVKDEEDVEGLTSALNWSFDYESPLFDDDEEEKLSVAAAAEMEIKNEESKAEMKRKMLLSLNYESVITAWASHGSPWTTGSRPEFNPDDFMGSNAKEGHYMNGGLYSQLHQARGNTDGEREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRTSSSFMGSTANFPYSIQ >OMO89765 pep supercontig:CCACVL1_1.0:contig08622:20616:22134:1 gene:CCACVL1_07648 transcript:OMO89765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKAKKGKIGGKTQARRKEKSPEIQVTETGYKPVERKLELVP >OMO89763 pep supercontig:CCACVL1_1.0:contig08622:8311:10218:-1 gene:CCACVL1_07646 transcript:OMO89763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSRKIMSLHLISSSTKILHFHQIFQPISQQVSRRPSPSPKTEREARRDMEPTLATEPATQVIDGA >OMP00901 pep supercontig:CCACVL1_1.0:contig06591:3771:3836:-1 gene:CCACVL1_03261 transcript:OMP00901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VESIEVGSIINAIITCYVFGV >OMO66754 pep supercontig:CCACVL1_1.0:contig12519:7944:8117:1 gene:CCACVL1_20990 transcript:OMO66754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPALFLLLYMMYLEAPGTLLGLVPLLHLYLDPCFNKKYLSDEVTGPVGFANNGPISS >OMP11608 pep supercontig:CCACVL1_1.0:contig01106:1735:2385:-1 gene:CCACVL1_00404 transcript:OMP11608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAGKQGSSDVSSEENERVDQVKGGGDTATTTAKRSYECTFCKRGFTNAQALGGHMNIHRKDRAKAKQPSTSSSSVPIITSDHHQPLMNDQELFMNPSYLTQFPTQVPSNSKYYPILEAQRNNTYDHMYFQPPVSSPRVLQHGNYYDHSNDFVVPAGRTTSSQISLNMNEELLGANLSLQIGPTYVDDDDDSEVRRGFMKEDEIDLELRLGHDPN >OMP11609 pep supercontig:CCACVL1_1.0:contig01106:11421:12113:-1 gene:CCACVL1_00405 transcript:OMP11609 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MMNQKEVPMEKNSFSNSLKDHSVENKFTIKDHSNKLKDSWSSSNNNIKEQSFGEDYLAGFSWPPRSYTCSFCKREFRSAQALGGHMNVHRRDRARLRQSPPRVDHGQTQTHSHPPFLNLNLNPNPNPNFCSSSSSSSLVSSPFPISSLSSTSSAAAAAFPCQMNKWRLNNNATATKSYFGVKDYGCCNNKLVMKKTSGSGFVRLDLDLEIGLVSHDSKEDLDLELRLGYS >OMO79756 pep supercontig:CCACVL1_1.0:contig10379:30007:30414:1 gene:CCACVL1_13441 transcript:OMO79756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTFLASFFQRILSGEVLELVEPISASKFIYRDELCRKNKSYNYDDSISSSKVPLIKVGKDHEKKDDQKTTIRVKVKMTKQEAARLLSKCKDGGVLEFRDVARELVHLPKDRVSVVTSSPCPGSHAVLDSIPEEY >OMO79757 pep supercontig:CCACVL1_1.0:contig10379:30781:30855:1 gene:CCACVL1_13442 transcript:OMO79757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWPATPRKIFVHQSPNSARPVN >OMO79754 pep supercontig:CCACVL1_1.0:contig10379:24549:25247:1 gene:CCACVL1_13439 transcript:OMO79754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSITIPTLQGSLLRSQFLGQNPISSRPHKSSFPVAKQPSQTVYAKFDLFELLGGRGLCNGEKGVEVELKRKVEETSSLSSTADDEGSGSTAIPVPEDAFEKEMMGLTGGFPGGEKGLKKFIEENPPPPKQSVSDSGSIASLATTKKPKPPELPLLMPGMIAIVKNPNNPFYMYCGIVQRITDGKAGVLFEGGNWDRLITFRLDELERREKGPPMKNPKSVVLEAILEDPK >OMO79753 pep supercontig:CCACVL1_1.0:contig10379:18530:21091:-1 gene:CCACVL1_13438 transcript:OMO79753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRPGKLTVIPDHFQVPTPGAESPEIRTPVSQPSDNSSRSSSNLWSRRKLRSAAFMLNLFSLRRLSWSSSADGQEKVQLTAAELESLKSELGDIEEREALLKARLEHIDEILRSARLSGYLYIRTRWTALPGEPAPLDDSDVDDWLPRFVVLHGQCIYIYLCSTDLSPQDSTLLSDVVEVGSLPSFTREDEGTQYPFYILTRQGLRIECSHVSKIQVDTWLSALQTDCKVVSDVKVPNGSSEKQNHLPISSSSSFS >OMO79762 pep supercontig:CCACVL1_1.0:contig10379:53549:56685:1 gene:CCACVL1_13447 transcript:OMO79762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVKAKLFLLFSFLSFLIQPSRSDERCHSETECGSSEPTIHFPFSFSQSQTHCGYPGFTVTCKNETQKIITFPFSGEFKIEDIDYFSQVMRISDPYGCTQGRLLEGFNYSATPFEPSLTQNFTFFNCTPDPSIPIITGINPISCLSSDNYSVVAVPTEESSDFSSLFTCSKMTTILYPTNWQDIAWSVSVANYVTLAWTIPDCRSCVNIGGTCQLKNDDYSTLECVRSAIRSYSHKASICAAVVAASGVCIIGLILCIRRRIKRRLTQSNAETSSILTNNMPRGVLVQWGHDRTVIEHYPTTVVGESLELPKSTDNFCSICLLEYRATEISFPFQLITNQTNNDQSNCGYPGFGISCKNQSQMTLTFPNSGEFSIMYLDYLPQNIWISDPQGCFANRLLQLQGFEFDPSGTPFEIDPLNSFKNFTLLNCSSDAPIFPEGSTYIYCLSDDNNSVLAIPIDRNDLLTSLLSSYNCVKIETLLGPIYNPFEYLRDRIMLTWKEPDCKWCLKNGGTCQFKKHKGFDVGCSISDQGKLPIGVPSQRHLKEYTKLLALFSC >OMO79764 pep supercontig:CCACVL1_1.0:contig10379:62893:64402:1 gene:CCACVL1_13449 transcript:OMO79764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAPLKLFFFFAIFLFFLHPSANAKTCPRVVCGPVIVDFPFRLSQQPSCCGNPNFNLSCEFKPRIGEVPVIRFPFAGEFGVYDIDEFSTPNFLRVRDPDGCTAKRFLPKFNFSGTPFEPMYSETYIFFNCSNNVTRLVEFPAMIIYCLSVENFSVVAIPSTFYNDSSPLRSCRHLATNSVPLQSPNLTQSNLNEFLLTWKEPDCSSSCSDICESPEERCLEYDYGCPNKKHGISKSTKYGLIIGLGALAFLLVVVFVIYHNSRRNYRYQQHQQNPSVEISGSAEPQLAAATAANGLDRSRIEAYPITFLGESCRLPRPNDNTCSICLSEYKAKETIRTITECDHYFHSNCIDEWLKLHATCPVCRNSPDQETALITNPALSSSSPPP >OMO79767 pep supercontig:CCACVL1_1.0:contig10379:70312:70476:-1 gene:CCACVL1_13452 transcript:OMO79767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNLKLKSRVNDRNNCRSQFKLTVSTSCAVFERAEVMPGALSKKGALRTEQNS >OMO79758 pep supercontig:CCACVL1_1.0:contig10379:34438:34770:-1 gene:CCACVL1_13443 transcript:OMO79758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCMRVSRSRQVEEEEELEAEVHHEEETEFEKKVENYENIRKGSVKVKIVLTKEELELFLMKLKDNKGGNKSLEQLLSEMEKARAGGGKVDSWRPSLESIMEDDELRTT >OMO79760 pep supercontig:CCACVL1_1.0:contig10379:47644:49502:1 gene:CCACVL1_13445 transcript:OMO79760 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MARLTLLLLPLFFFSVLFCLQKPASAQPCPPSSCPGGEPPILFPFGLSSSSNRGGRCTYPGFGVSCSNKTGEMVLNLPGSGEFIVRFIDYETQQIWLSDPDMCLPKRFIESFNLSGTPFNSEFWDTFTFFNCSAAEVADSGLMPFPCLSNDNYSVVASRTAVFTSNSDNRLQSTCQPIKTVTVPFDWYRWMGGVRLIWSQPDCGSCVQRRGDCGFKNRTRREIGCFNLPSQGGSSLPRSAKYGIIIGVGIPGLLCLIGLVSFVGSRVRAYGHQRNLPTTEFSTSISPAPAIIIAGLDGPTIESYPKTKLGDSGRLPKPSDNTCPICLSEYEPKETLRTIPECNHYFHANCIDEWLKMNASCPLCRNSPGGSSATSPSISSSSSSSSLLSRTP >OMO79768 pep supercontig:CCACVL1_1.0:contig10379:70931:74391:1 gene:CCACVL1_13453 transcript:OMO79768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane insertase OXA1/ALB3/YidC MSTTVGDGADKIGFIGDVAEVLKDGAVEAVTSQAPAVNEVAIAAADSAFPVAALQYVIDAVHSFTGFNWWASIVVTTLLIRGATLPLLINQLKATTKIAIMKPQLDEITERMNRKGGNKFKMIEEQNEMKELWNKNGVTPLTPMKGLFIQGPIFVSFFLAISNMAEKVPSFKSGGAFWFMDLSTPDSMLIFPILTGLTFLITVESNMQEGMEGSPHAGTMKNVSRVLAALTVPFTMNFPKAIFCYWITSNLFSLTYGLVLKVPGVKKALGVPDIPKPPPGSATQPPIDMFAALKQAVKQIREASQEKTSLPAVPAKVSNPSTSSSSTVSQRIDMLEKQVKGRKKNKKR >OMO79761 pep supercontig:CCACVL1_1.0:contig10379:51216:52858:1 gene:CCACVL1_13446 transcript:OMO79761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIKLFLLLSSLSFFIQPSKSEGRCQTEYCGFQVPIRFPFQLMASFPQSRCGYPGFTVTCKNETKKILTFPFSGEFEIQGIDYFSQLVRISDPYGCTAGRLLQGFNYSGTPFQPPSTQILTFLNCTPDSKMFIISGIMPISCLSSENYSVVGVSIDESSDFSKRFVCSEITTILYPAWDDALSELVANYISLIWKEPDCQWCENNGGTCRFKNDITLDLECRIDFHPDFKRDAKYAALFVAVSGMCIIGLIICIRRKIKHQTQSNNEVSTLTNYVPHRVVVAKGLDSLAIEKYPTTVVGI >OMO79765 pep supercontig:CCACVL1_1.0:contig10379:64961:66167:1 gene:CCACVL1_13450 transcript:OMO79765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAHFEIIFCIIFSFLFFSLQPSKAVNICLVSCDNFQWIRFPFSLDTQNDRCGYPGFQLSCQNQSQTTITLPFAGKFIVGRIDYWSQGIWISGPDNCTPKRFLKGFNLSHTPFESQYTQNFMFLNCSSFGAATQPPEGTYIPCLSGDNFTVAAIPTDRLNLYAGILSSCLEIVTVSLPATANSDINNGLRLTWSKPDCLYCEQNGGTCMFNSDTGLDVRCAGDFRSGLPESAKLGLTFGLGIPLLSIMGIVFYLRRKANDFHNQELPTLQISVQVTPRRLAAEQKGLDGSTIEAYPTSLVGESGELPRPSDNTCSICLCEYQAKETLRTIPDCKHYFHVSCIDEWLKLNATCPVCRNTTTESSVPIASS >OMO79755 pep supercontig:CCACVL1_1.0:contig10379:25614:26786:-1 gene:CCACVL1_13440 transcript:OMO79755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAQAMKRIPRIKFPQRHPNPSGSGLQSQAAAKSGDGGDITFFSSSKVPATVGGKASLQPKRTPVSNEEIEAILLGGCF >OMO79752 pep supercontig:CCACVL1_1.0:contig10379:8769:17099:-1 gene:CCACVL1_13437 transcript:OMO79752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase MSSQFFRSASKAARSILSASKSSRFYSEGRAVAAAAAVSLSGKAPLLASAYGRTASANASKGWLSGVLALPVAAYMLQDQEAHAAEMERTFIAIKPDGVQRGLISEIISRFERKGFKLVAIKIMTPSKEFAQKHYDDLKERPFFNGLCEFLSSGPVIAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKNEINLWFKPQELMAAKPLTTEAIALTEKKMDMTLDQIIKMSKNSSNKNKKLPRASNKSQKPVNHSVKEKALKVRQYMNSRSAVRQGVLAQRRSNFQGNRFPLAAEAARRAAAAPLRVRAFNGGRVANMMNKPRIGAPPVQRRAANGFSSKPQPQPQRQQQQQQQQQQQDQQVNGVTKQRPQTLDSLFANMKEQRMRGHAVEPSFICLSVDAKLIELDTSQLVIFYYKHALQRFLCEDVTDRDSCVLF >OMO79759 pep supercontig:CCACVL1_1.0:contig10379:40594:43946:-1 gene:CCACVL1_13444 transcript:OMO79759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MASEFDDDGEQTVTINEYLQSIEEEELEADLVLGGDEGDQCTYSKGYMKRQAIFSCLTCTPDGNAGICTACCLSCHDGHEVLELWTKRSFRCDCGNSKFGDFSCKLFPNKDVENIENSYNHNFKGLYCTCNRPYPDPDLEEQVEMIQCCMCEDWFHEEHISLNSPNEIPRDEEGEPLYEDFICKACSAVCSFLTLYPKTILAAGVQPVAPVTNSKDKSVVEDISLTDGGAEKLENDKSSGSSKKDNAVADANSESVSDGKKLVIGESSQKDDSSSLSTNIASSHANCILGVDSMDSSLVSESKPLFLSKNWRDTLCRCDKCLDMYKQKHISYLLDKEDSIAEYEKTAKQKREENLQQREGAAVNFFNNLGHIEKMEILNGIADITDEFRSFLGSVDPSKAVTADDINQIFENLKNKRRRV >OMO79766 pep supercontig:CCACVL1_1.0:contig10379:67040:69091:1 gene:CCACVL1_13451 transcript:OMO79766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MALFETIIFFFSFLFHFQPSKSVEICQVSCGMQLIRFPFQLNDQPDRCGYPGFNLSCRNEVQTTLTLPFSGNFTVVNIDYIFQNIWINDPDHCVPKRLLQGLNLSGTPFDLLYPRSYTFFNCSSVSSIVLPAAARRISCLSGGNFSVVAIPADRLHDSFKSLSSTSCSEIATVLVPLSWTGWSDPAGYGIRLTWNEPDCMSCEARAGTCMFKRDTGLDVGCSGGFSNGLPRSAKYGIMFGVGAPLLLIVGLVIYLRQKIEDYNYQHSNQETFSNSVAPRLDAVAKGLDGETIDAYPITLLGESRRLPRPNDNTCPICLSEYQAKEKLRTIPDCNHYFHATCIDEWLKLNAACPLCRNTPEKPTNLSSVVTSSS >OMO79763 pep supercontig:CCACVL1_1.0:contig10379:59970:61871:1 gene:CCACVL1_13448 transcript:OMO79763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLELCFSIFLLFFLQPLAKAQTCSSVNCGEAIVDFPFRLPGQPDCCGNPNFNQLISCRNRSRFLERDAIITFPFAGEFNVLTIGLSKGTAYGLIFGLGTPAFLLLLVFMTYYNSRRNYGDNQHHQHPNVEVSRSVEPQAAAATAANGLDRSRIEAYPITLLEKQREACIIFRLIA >OMP04777 pep supercontig:CCACVL1_1.0:contig05661:2227:5915:-1 gene:CCACVL1_02136 transcript:OMP04777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVDVKNWEETYKSSGEQMLNGIKGEHVADIRQFRRIKLLDAKIYHATERLKISIRGTKVLGVAIKSVESQAAARANTNNKAIMSKGNSVSKRRKFHKFKQAHPSPWP >OMO70285 pep supercontig:CCACVL1_1.0:contig11845:3724:4917:-1 gene:CCACVL1_19017 transcript:OMO70285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALCLGYGPMPSIPSITKLHFGFNPSSISVSSSFPSCRKRQRFYTGPLLALDRSNDDPMPSANKKDGGNKIVKGAVGVSIALAATLSIIGCSCKMNYFNAIAAGPKQQFQKAPSFQQLNTPIPPRKMALKSLLDLTVSLASNDVVRRGREGRNPGPPMSGPSSTSMEHIEIDQLKEEAVSLMKRGMPDLALTKLQNEYEKHKFEPQTAYIIKMALVEIFICQGKYQEAYDIIEHEQSMSTFDVRPILYKAILATMLGKEDDGKLWRKFAKSDGPF >OMO70286 pep supercontig:CCACVL1_1.0:contig11845:5746:10697:1 gene:CCACVL1_19018 transcript:OMO70286 gene_biotype:protein_coding transcript_biotype:protein_coding description:PIK-related kinase, FAT MANSAKTTSRWSYYRTIDATTTAPIIIIGALHGGKLTMERLIDYQNSKGKPAETEADLNELKTLLKNEQPNFKELQCVLARLEMNRREDEAIKVLQKALKKARKEGKSHEAYEIEMLLAEMYIYKGDVQQALRCQCLQEEGSSDARTHLYKAIISMMEQKEQDAFEHWKEFKDRQHLLNPPSVHDEESSPFDPIQTPLFSYPHSYGEHKYSIPTDRSSCSSPVFFSDYGVVVEEIKDLCKNSSAFLPALRYIQGKADTFGGNLSIQKRLSYFNHSDYGVEVPCGFLQKFPVSDSDRIVMESCKGVVVVSAIFNDHDKIRQPIGLGTQTLENVCFFMFIDDITLKGLYYHKLIPQNSPDYKVGVWRIIKVSSKKLYENPAMNGVIPKYLVHRLFPNSKFSVWIDAKLQLTVDPLLLIHSLIVSTDYDMAISKHPYYIHTMEEAMATARWKKWLDVDALAKQMETYCANGLQPWTTNKFPYTTDVPDSALILRKHGLGSNLFSCLMFNELEAFNPRDQLAFAYVRDKMNPRIKLNMFEVEVFEQIAVEFRHNIKKIGNIEEAAAGTKVKRVKRARSHDLLLNGSCCSSCQKYLLEMWG >OMO70287 pep supercontig:CCACVL1_1.0:contig11845:12184:12627:1 gene:CCACVL1_19019 transcript:OMO70287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQQIASHKEGAEIYQGEALCKQKVKEFLAEFRLPKGLIPVKSPIEVGLNRATGFVWLKQQKKSQYRFKAIGKTVSHETELTAFVEDRRMRELTGVKSKELLIWMTVSDIFVGEHNPSKITFLNNLTGLSRTFPVAAFEVEEEETK >OMO87242 pep supercontig:CCACVL1_1.0:contig09271:1777:2160:-1 gene:CCACVL1_09170 transcript:OMO87242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDLELVQDLGEIKLPKLKIQPCGENGSVIQGESSKNKNEDDDDNKRSIISSDELCLTPTAEENKIPAVLSCPPAPRKPKRKPVSCKRKLSDQFDFFEIVNREEVDEFFRAAGFDDSFNKRRCPCK >OMO87243 pep supercontig:CCACVL1_1.0:contig09271:18927:21932:-1 gene:CCACVL1_09172 transcript:OMO87243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAIKGGWVGQTFALAKCNETGGKKSRIRRSKEERKAMVESFIKKYQESNNGNFPSLTFTHKQVGGSFYIIREIVREIIQENRVLGPAKLIQGEQSIDNFLEQNPLGSISTAPQTLLPIQSNESPLVPSHIEDANDGSVLVSDGLTMESEHKKFDSEQINNGNLVIEDANDGSVLVSDGLTMESEHKKFDSEQINNGNLVIEDANDGSVLVSDGLTMESEQKKFDSEQMDNGNLVNVTNETDKAEILELEVIEPLESDEIGKELAATTSKVTQITSDVVVETFPLRPVAAPTDCSDGWSTEVRKLNENLEQTENGNVIVSLENGSLKAGDMNSSEVSVLADKKEVRNNVDLPPENPDVADRIVLDSSDPLLESSGCSTRKSALYDTHNSTELGVVSHNDALTSEEGQAIVQEAINASNGVHPQFHGTYTGRSSVESTTQEAVVVESKVDAQHANSRKRSNKPLDRINLESWEGTSKRAAQSETNPLWAIFKSFIAAFVKFWSE >OMO87244 pep supercontig:CCACVL1_1.0:contig09271:27450:28382:1 gene:CCACVL1_09173 transcript:OMO87244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMIYGERIGLLGSGSYGKVHLVKIISSDSWCGRLIAEKSCEEELGESLVKEKEILEQFPGSPHIIQTYGCSTSFDYYETKVFSLFLELANGGNLLDLMADYGGKIPEQHVKSYAQMILKALVEIDSRGLVHSDLKPANILVFHHQDCNTMPILKIADFGLVKLSGVKDTDSWEYGFRGTAPYMSPESINGEISGALDVWSLGCIIIEMITGQMAWNYRGIEDLREKLLSGKKPEIPQNMSVWGKDFLERCLARDANRRWSARRLLYHPFLLLQPIPEMVFLPHQLMSSTRIATNFSEESRPRQEFLACC >OMO66475 pep supercontig:CCACVL1_1.0:contig12547:5826:6338:1 gene:CCACVL1_21126 transcript:OMO66475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MASFLRQTFSILFLTFVFVIIFAINPSYGDYDDPEEMPLRGTELAMLICNHTSYNKFCVDKLIPVSPDARASDITDSALRLAQTAADNTGVVIANLLEDPSSSMQRKELRILQRCQLDNNVTSTNLLAAGSDLYSDTINSMIEDLNTAGNATKSCQDIIKKNPVFGFVVR >OMO66476 pep supercontig:CCACVL1_1.0:contig12547:7599:8057:1 gene:CCACVL1_21127 transcript:OMO66476 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ctr copper transporter MDMPMSPGSMSNDGMKMDSSMNMHMSFYWGKDVTIFFSGWPGNSSGMYVLAFIFVLLLGAAIEILSMLPTLKPDTKPIIKALTQAGVYALRMCFAYMVMLSVMSYNLGIFIAAVSGHAFGFFVVKFRALAKETPQPPPQQFMSPMMILQDKA >OMO66477 pep supercontig:CCACVL1_1.0:contig12547:8357:8830:1 gene:CCACVL1_21128 transcript:OMO66477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding, tRNA/helicase-type MEGSSLQNTHVKLLGFDLLSLKPSPMNSSTFYLNRNPVSRVEILGVITSRDQKPNKFIKFTVDDGTAAVTCILWLNQLSSPYFSSRQPATVRVLADLAKGMAADVQIGKVGRVRGRITSYRGELQVTVSDVVIERDPNAETLHWMDCLTLARRCYGG >OMP02805 pep supercontig:CCACVL1_1.0:contig06182:109327:111438:1 gene:CCACVL1_02700 transcript:OMP02805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGGEDSVPPALDKSRVLSVRPLRCLVPIFASTPNFPSYFHPQAAAPIVCNPPTTPFPAGFSPFYPLCVSPNSQNMQIPPQPPPPFGFGGSATIPSPVPFNVLRAEANGDAGPSRRSSRGLQPLQSEEDGSSDGIHVTDAEDSTRGTTAQKRRWAGSSQDMNVPTSDVETDALVENILESFNLMKFDTFRRADGDKESVQYILLIYDLLRRKISQIEDSKESTPGVTRRPDLRAGTILMNKGIRTNIRKRIGTVPGVEVGDIFFFRMEMCLIGLHAPSMAGIDYMGLKVSQDEEPVAVSIVSSGGYEDDSEDGNVLIYSGQGGHAYKRGLETTDQKLERGNLALEKSLHRGNEVRVIRGVKDIANLTGKIYVYDGLYKIRESWVEKGKSGSNVFKYKLVRISGQLEAFTVWKSVQQWKDGSAARVGLIKSDLSSGAENIPVSLVNDVDDEKGPADFTYYPTLKYLKPVNLTEPSTGCSCQGGCLSSNSNCPCIQRNGGYLPYTANGVLISQKALIHECGSSCKCPQNCKNRASQGGLKVRLEVFKTKDKGWGLRSWDPIRSGAFICEYAGEVIDISMAEELRVENDSAHVFYASSTNQPGQFMPGVSIESQKNPFPLIISATDSGNVARFMNHSCSPNVVWQPVLRENSKECDLHIVFYAVRHIPPMTELTYGYGIVPSDKAHQRKKKCLCGSVKCSGYFY >OMP02804 pep supercontig:CCACVL1_1.0:contig06182:104575:104918:-1 gene:CCACVL1_02699 transcript:OMP02804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSLFSFRNSGRRYKGPLPPLFVKLWLPDWHSSDKKGSEV >OMP02802 pep supercontig:CCACVL1_1.0:contig06182:96362:96463:-1 gene:CCACVL1_02697 transcript:OMP02802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDEGLVKEVGRTFLFQFEDQSEKDRVLMSQP >OMP02800 pep supercontig:CCACVL1_1.0:contig06182:67372:68885:-1 gene:CCACVL1_02695 transcript:OMP02800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASDIVTLNLHYHGTYMGSGPNLRYENGEDGFVDIDPDRFSFFELEGIAAEPRFNIKKVKKMYFSVPGLPLNEGLRVIYDDQSARAMGQYLVNYGSVDWYLEHEIEEAVIAPKLLTGPEQTPVADEAAVAEVEEVRVQEDLDTEDQVHGLLNEEVVVNGLGFEEVVIESAPVEIEVEIRDDFDHPYFKEANDDTEHVDEETTGARNNFRFVLGEAMGGVEIPVEEVGVGFDQDFGEHDDDDGYTSDYWNDEELGDVVQNEEDMYDDATRSTVVATRVASSSVVDLSTKKVAQGKGKKKQPWKPPSISFSDNDGRMYGMIGGGRTSPLSKSKETNFRTGKKFVPSTSIGASFGQGTSNSQPSGPNYRPSKKRMEGLGICPKTGRFITSLDRPKPQVGKSQKRARTHVEDSIHEGASSMTDLGPKEGM >OMP02796 pep supercontig:CCACVL1_1.0:contig06182:43563:43667:-1 gene:CCACVL1_02691 transcript:OMP02796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATLLDKLTKCADRHVARQAEKICRPPRRSTS >OMP02797 pep supercontig:CCACVL1_1.0:contig06182:45085:45180:-1 gene:CCACVL1_02692 transcript:OMP02797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDQETHPDKITEQNKAMVAVDNKNSSKLR >OMP02801 pep supercontig:CCACVL1_1.0:contig06182:89668:95056:1 gene:CCACVL1_02696 transcript:OMP02801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpv17/PMP22 MVSNSVALTRRFPHLYRLSSFSSKKTFCLSSPQTRFLVREFKGPSYSCFLSFSHVPVFKELGSPKGSGKLLGFDYFRVSAVSDGGSGGTGGFGGSGDGNSGGRGEGADGNGGTSGWSFLSWYLDLLAKYPVFTKAVTSALLTFVGDLICQLAFDHVPSLDVRRTFLFTLVGLVLVGPTLHFWYLSLSNLVKVPGASGAFLRLLLDQFLFSPIFIGVFLSTLVTLEGRPSQVVPKLQQEWFSAVIANWQLWIPFQFLNFRFVPQQFQVLAANFIALVWNVILSFKAHKEVLTK >OMP02795 pep supercontig:CCACVL1_1.0:contig06182:38988:42046:1 gene:CCACVL1_02690 transcript:OMP02795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAINGTLIGAEMAEAMKKVTKLDVNGTLTCLYVFLGTGCEYILSCFPSLNMKGHHYRYLAEFRVGDDCEGVADQSLMLMSLCGKRYTKAIQPEIGFHVDAAAAAAILQAATRGIKNSSSWKVCYSIISFFSID >OMP02806 pep supercontig:CCACVL1_1.0:contig06182:116581:118915:-1 gene:CCACVL1_02701 transcript:OMP02806 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MAYVKAQKSRAYFKRFQVKFKRRREGKTDYRARTRLINQDKNKYNTPKYRLVARFTNKDITAQIVHASIRGDIVLAAAYAHELPRYGLEVGLTNYAAAYCVGLLLARRVLKQLEMDTEYEGNVEATGEDYSVEPGETRRPFRCLLDVGLIKTTTGNRVFGVLKGALDGGLDIPHSDKRFAGFGKDSKQLDPEVHRKYIYGGHVASYMRTLMEDEPEKYQSHFSEYIKRNIDPDNLEGLYKKVHAAIRADPEAKKSEKEPSKEHKRYNLKKLTYEERKARLVERLKALNSAAGDDYDEDDD >OMP02793 pep supercontig:CCACVL1_1.0:contig06182:13353:22151:-1 gene:CCACVL1_02688 transcript:OMP02793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFMSNPIFVLLLLLSFLSFSTNPISIPYFLREQ >OMP02799 pep supercontig:CCACVL1_1.0:contig06182:64155:65798:1 gene:CCACVL1_02694 transcript:OMP02799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAIDQNHRFKPCTFQSLSIVDVRHTNLAQSLKQVFEVATNLHRSLSTPCLSFATNNLDTHPRIEIIGGPCAPRVRALVVEVAIAIASGVVPRPVSSGLGGAYLLNDQSGETIAVAKPIDEEPFALNNPKGFGAGGLMLGQPGIKRSIRVGETGIRELAAYLLDHAYGGFAGVPVTALVKISHVAFHHVNEFTSVPNYKIASLQNFVSHDFDAGEVGSSAFSVASVHRIGIFDIRILNLDRHSGNILVRKNDNDIDNATHELVPIDHGLCLPEWLDDPYFEWLHWPQASVPFSDFEMDYISNLDPFKDAQLLRAELPSLKDSSIRILILCTIFLKQAADFGLCLADIGAMMTREFRSNEENSSILEILCAKAKETTGFEPQGQGDDDIINYCTSNFEPQGDEDYEIFQFDAEVEDMNYDVEGLPWAKPPKPRPRRVSSARSMPAGLHGALLEENNKKENENEEKISGTTSSFDESKAARAGAGGLVKSTSFSVQNYNYEGGGISFGEMSEDEWEMFLKSFNKLLPQVFEDTKCMHAKQRLGTSCKF >OMP02792 pep supercontig:CCACVL1_1.0:contig06182:128:10662:-1 gene:CCACVL1_02687 transcript:OMP02792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate binding module family 20 MANLGKFSAAKAMKSVKVKFRIAYYTEWGQSLVVCGSEPTLGSWNVKKGLKLSPVHQGDELIWSGTIAVPSEFSSEYSYYVVDDAKNVLRWEMGNKRNLFLPPSLQEGLTLELRDLWQTGGDALPFRSAFKDVIFCKNSTLTIESSEVTLQDNFDPEGSVMVCFKICCPNVEEGTSVYVIGSSTKLGQWKVQDGLKLRYTGEYIWEAYCEMPWRGAGVAIPMFSVRSEVDLGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDNMPEDIKNEIRNAKEALDGKDVDYEATLATKLSIAKKIFIQEKDSILNSSFFHKFFSENEDWLKPYAAFCFLRDFFETSDHSQWGSFSNYSKDKLEKLVSKDALHYDTICFHYYIQFHLHLQLSEAAEYARAKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMGKYFTAYRIDHILGFFRIWELPDHAMTGLIGKFRPSIPLSQEELEREGIWDFDRLTRPYVRKEFLQEKFGDSWTLIAASFLNEYLDRYEFKEDCNTERRIAAKLKSCAERSMLPESEDRIRRDLFDLLKNIVLIRDPENPRNFYPRFNLEDTSSFRDLDDHSKNVLKRLYNDYYFHRQEKLWRENALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPDLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRHRFFKSVMGSDDLPPSRCLPDVAYFIIRQHIEAPSMWAIFPLQDLMALKEEYMTRPATEETINDPTNPKHYWRYRVHVTMESLMKDKELKATIKDLIRGSGRSYPSLREAEKQLGQETAAIALEKHHHSGGPEMIRNGVLQKEKICV >OMP02794 pep supercontig:CCACVL1_1.0:contig06182:31333:32502:-1 gene:CCACVL1_02689 transcript:OMP02794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTSSSPFSSNPIRSPNPMMMDPSSSTTKATATTNLVNFISTSHLFKGCHCWDMILLRVHSSTMVKVLPVKPTRTHHSYRKVELSSLEHQPEALKL >OMP02798 pep supercontig:CCACVL1_1.0:contig06182:50822:51751:-1 gene:CCACVL1_02693 transcript:OMP02798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSTGRNTHWCYRCRRPVLLQGRDPVCCYCGGGFVQELDDMVRISPLDFFGLDGDEDRSQRFGLMDAFSAFMRQRLADRNFNHSIRGRTDSVPEPFGPLLIFGGQIPFRLSGNGGFEALFNGAPGIGFTRGNARDYFIGPGLEELFEQLSANDRRGPPPASRSSIDAMPTIKITRRHLHSDSHCPVCKDKFELGSEARQMPCNHIYHSDCIIPWLVQHNSCPVCRQELPPQGSGSSRSDQSSGQTRSSSFGTNSSGREGRENQSRRNLFSNFWPFRSSNSSSSHNGAAGSSSPSVHENNHSMGYNGWPFD >OMP02809 pep supercontig:CCACVL1_1.0:contig06182:138585:142449:-1 gene:CCACVL1_02704 transcript:OMP02809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGVERETGSMLFSDEELREVSGLKKGGDFIEVTCGCTSHRYGDAVGKLRVFSNGDLEITCECTPGCDEDKLTPAAFEKHSGRETARKWKNNVWVIVNGEKVSLSKTALLKYYNQASKSANGAQRSHNGRVCHRDEFVRCSRCNKERRFRLRTKEECRIHHDALADVNWKCSDLPYDRITCDDDEERASRRVYRGCTRSPTCKGCTSCVCFGCEICRFLDCSCQTCTDFTRNAKA >OMP02808 pep supercontig:CCACVL1_1.0:contig06182:134496:137788:1 gene:CCACVL1_02703 transcript:OMP02808 gene_biotype:protein_coding transcript_biotype:protein_coding description:H/ACA ribonucleoprotein complex, subunit Gar1/Naf1 MVGFIPDPSPEEDTNQVPKLKNFKDPTDFIDPKTNDLTFADSFLDFDSIKEWFEDNPSLDRICLEESEFGDIKKGMEIGKTHVIDPNVAGFKPIDGGSELVGGISGCPVKVEEEDLKPAVKMEEEDFKPGGKLSPSIAEEMDKVSLVRIKSEEEGNKEKVKVESKTEVDGAYELEEGEIEDFGGEMGVDGTDNSDYDEEDEETYEEDEVAKETNEIFRRSGFEFEDVDVDDEDDDDDAGDTRGPIKSKNEFETLPPVPQVDVTLQPHHQTLPVGVVQSIIGTKVIVEGGEKHNPLNEGSILWITIDRSPLGLVDEIFGPVTNPYYVVRYNSEIEVPAGIHEGTSISFVPEFANHVLNDNNLYKKGYDASGENDEELSDYAEFSDDEKEAEYKKMLKMSKRGTNDQRGGNRKSNKKKVKSRDGAWKNGGNSAQQTSTTVGQLAPDESEHDISTVSASLDSCSPIGRQSFVGGSNFVQPFSVMPQSSGIIPSSNGVWTNGMPGQEPENPIFPNRFPAEGMTMLSQNYQQQPIPLPTPAMPIPYQQQQFFSCMNTLPGMLPGGQPNLFSGPAYAPWMGIGGQNGFGQTSGVGMQGQQLLSAVQSILASGAMTDGNSNVQPQGVPGNFETSQNVDMGNGFSQTTFGAGMQGQQLLSAVQGILASGAMADGNCNVQPGGVPDNFESSQNFNTGASSGRGKRPFHRGRGRGRGRFTGRRDHQQS >OMP02803 pep supercontig:CCACVL1_1.0:contig06182:103322:103450:1 gene:CCACVL1_02698 transcript:OMP02803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGFGRIGRNFLRCWHGRKDSPLDVFAINDTGGVKQASHLLK >OMP02807 pep supercontig:CCACVL1_1.0:contig06182:121911:125079:-1 gene:CCACVL1_02702 transcript:OMP02807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEIGKLLVVTLQNDKPEVLISR >OMP09951 pep supercontig:CCACVL1_1.0:contig02862:91:153:-1 gene:CCACVL1_01025 transcript:OMP09951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KINYPYKVTLQLVNPTRGDT >OMP06259 pep supercontig:CCACVL1_1.0:contig05001:3169:5918:1 gene:CCACVL1_01648 transcript:OMP06259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGICYQNLILHINWSGRTTQTQSALNIPRVHGARCCSVAPENENKTKTPQLLRLTVSGVTELLRIFSSPNKDRVDDRLKNSWERDEISVSDADDVVRILKSDYENAYFVTGNFTCSIYAEDCIFEDPTIRFQGTELYSRNLKLLVPFFDSPSIGLQKIDKGVKAETNFVLATWRLRTFLKLPWKPLISMDGSTLYELDDKYSIVRHSESWNVSAVEAIGQIFTPSFGFGRPNPNDI >OMO96592 pep supercontig:CCACVL1_1.0:contig07430:12316:12375:-1 gene:CCACVL1_04880 transcript:OMO96592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKAGNVATWERNVRRQG >OMO96591 pep supercontig:CCACVL1_1.0:contig07430:3799:11632:-1 gene:CCACVL1_04879 transcript:OMO96591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGGGRAPKDPPDKEDQARPSPSSPPLLLPAVAATRLADAPILLLVYFHNAIRAELSELRRVALAAAAEAKSVSEARGFVVELSRRFEFLNLVYKYHCAAEDEVVFLALDEHVHNVACTYSLEHEGIDALFDSVSCCLNGLEETENTSKALQELVFCIGTIQSSICKHMLKEEKQVLPLLVKQFNSEEQASLLWQFIGSIPVILLEDFLPWMISFVHPDEQEEIKFCIREVVPKERSLQELVVSWLAKEHQTTFGFQKELAKGVRPLSGPTAIKGKFKFQLTKRPLGWKKVSYFPTNIGNNPVDGLRLWHAAIQKDLKDILVELHQIKISSYFQNLDFIVLRLKFLVDVLFFYSNAVDKFFYPLFVDISNIQLSLPTQHLQIASHIEQLHYLLHYNDQKGLPTNEFVVKLCQKVESLVLDVATQFGLQEKEVFPVISKNCSQETQKQLLCMSLHVLPVGLLKCVITWFASHLSEDESRSILLIINQGNSLINKSFASLLSDWFHIGYSGKTSVESFRRDLEKLFQSRCSFLPEPIEEDAESSSLLSDMLPSKGPKSKLVKPAFVNNGKKGFSFSSASSDGIKHFETSYCSGINLHINFPKMIRALYSFSKFPGEKSCVDSGIDEPIPMDLIFFFHKALKKDLDYLVFGSAKLAENVGFLTEFHQRFHLIQLLYQIHSDAEDEIAFPALEAKGKLQNISHSYAIDHKLEGENFSEISLILEEMYELHNNTTSNANTLDSVVKHQQLCLNLQDKCKAMHKLLSDHVLHEEVELWPLFRECFSLEEQEKIIGNMLGRTGAEILQDMIPWLLESLTLKEQQSIMSLWHKAARNTMFDEWLGEWWEGHKIAKAEEESTTPSRTADPLEIISTYLPRVLDEQQAIYGNLLRANSSGADIGQQELSNSDNKEKAIKGDQKCSECSALFCRSTDKRCNKANDLKDSTNKPGQNFQVTQNFGECGHLMTMSQEDLEAAIRRVSSDTSLDPGRKSHVMQNLLMSRWILKQQISNLEVNNSHNGEGIPGQHPSYRDPLQLALGCKHYKRNCKLLAECCNQLYTCIRCHDEVADHSLDRKSVKKMMCMKCLTIQPIGSTCSTISCNNLSMGKYYCRICKIFDDEREIYHCPYCNLCRVGKGLGIDYFHCMKCNACMSRALSNHICREKCFEDNCPICHEDLFTSSAPVKALPCGHLMHSICFQDYTCTHYTCPICSKSLGDMQVYFRMLDALLLEEKIPDEYHGRPQVRRAFVPIYGTYLCSSVIGNSALTK >OMO96590 pep supercontig:CCACVL1_1.0:contig07430:1942:3141:1 gene:CCACVL1_04878 transcript:OMO96590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTHKSGKGTGGSSSACAACKFQRRKCIPDCPLAPYFPADQPKIFQNAHKLFGVSNILKLLKSLDPAQHAEAMRSIKYQANARDMFPVHGCVGVISQLNYQIRLLQEEFHLVNAQLEMYMYRQQYHDPNISSISMADNVHVPNSQLQLGMPLPAAVTDQANTLPLFNQLRHPNYTDSAAASLSSYSNTNTNTNTNSNNVGNNSSSTSYIHGSNINQHPFVTNNINSSCSMAIQPHHPLSLPLQQEQLVQDYDEIHHFFDSIDDRQSYIESKEAYDCSSEESIMKDTTQSMEHVAENELKSAAACFSLTSVSSIK >OMO87886 pep supercontig:CCACVL1_1.0:contig09160:55377:64076:-1 gene:CCACVL1_08698 transcript:OMO87886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MAETADQRKDSSSSKEDALNDWLPITKSRNAKWWYSAFHNVTAMVGAGVLGLPYAMSQLGWGPGVVIMILSWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLLVEVSVNILYMITGGQSLKKIHNLACPDCKDIKTTYFIMIFASVHFFLSHLPSLNSITLVSLSAAIMSISYSTIAWAASIHKGIQPDVDFGPRASTHTGQVFQFFAALGDVAFAYAGHNVVLEIQATIPSSPEKPSKKPMWKGVIVAYIVVALCYLPVAFVGYGVFGNSIEDNILISLNKPTALIIAANAFVVIHVIGSYKVYAIPVFDMTESFLIKKMHFKPSHLLRFVTRTIYVALTMFLAMSFPFFGGLLSFFGGFVFAPTTYFLPCIMWLAIYKPRRFSLSWFANWTCITVGMLLMVLAPIGALRQLILQSKNFKKKMQIIQTPHFFSFPKPLNPCCAKPLSSLSGPRHFPCFPSFFKSHFPKPLSAPKSVSSDEFPVDETFLENFGPKDKETEDEARRRNWIERGWAPWEEILTPEADFARKSLNEGEEVPLQTPEAIEAFKMLKPSYRLQKMKEMGITEDEWYLKQFDIKGEIPDPLETLWAGPLALRHVPPRDWPPRGWEVDRQELEFIREAHKLQAERVDLEKVEKDLITGEADMGLERYRLFLKQYQEWVDANKDRLEEESYKYDQDYYPGRRKRGKDYKEGMYELPFYYPGQICEGKVTTLHLYQGAFVDIGGVYEGWVLIKGNDWFWIRHHIKVGMHVIVEILAKRDPYRFRFPIEMRFVHPNIDHLIFNRLEFPPIFHRDEDTNPDELRRDCGRPPIPRKDPGTKPEEEPLLSNHPYVDKLWQIHNAEQMILDDLEANPEKYKGKKLSELIDDEDYDEENSVQYTKAYYNKSLLPKTIVKLSVKELDLEAALAEREHHNELRRQAKERGEEYKISKFKRNIEMDEYDFIHWRRSFEEREALLRDISCRQALGLPLEEPGRYKDASFFGKDQYDPSNPLYRYDYWGEPKNSEKSKQERMTDAHNKSIVGKGTVWYDMSYEDAIKQKMQREAGSIGTMQEETDEDEKRRQDSDDDDDDDDDDFDYSILSESNFEFSNQPVVNGTESSSISDEGMFEN >OMO87879 pep supercontig:CCACVL1_1.0:contig09160:21719:23938:-1 gene:CCACVL1_08691 transcript:OMO87879 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metal-dependent protein hydrolase MYAVRGMRRTLWIFQNQCHSQSLFTRNNGILLGARAKSYSSVYGACGFSTTTAKPNAKRVGTHDGSFHCDEALACFMIRLTNKFSNAQIIRTRNPQVLENFDAVVDVGGVYDPTRDRYDHHQRGFEQVFGHGFTTKLSSAGLVYKHYGAEIIAKELQLDVQHPNVHQLFLAVYKNFVEAVDAIDNGINQYDTDQPPKYVNATALSYRVGRLNLDWIDPDQSLEKENEAFQRAMILAGSEFLESIHFHANSWLPARSIVMECLSARHNIDSSGEIMVLTRSCPWKLHIFELEEELKIDTTIKYVIYQDDRSENWRLQAVAVSPDKFESRKPLPLPWRGLEGNKLSEVAGIPGCVFVHMSGFIGANNSYEGALAMARASLKA >OMO87882 pep supercontig:CCACVL1_1.0:contig09160:32964:33125:1 gene:CCACVL1_08694 transcript:OMO87882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPASFKRVQQQVLDSNPTPSARPPPALYESYILTSIIPSCCNLQFHMNKRL >OMO87883 pep supercontig:CCACVL1_1.0:contig09160:33665:36859:-1 gene:CCACVL1_08695 transcript:OMO87883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSETKFLQELILYAASAALSCLVLVAGLRHLDPTREASKKALQQKKEIAKRLGRPLVNTNPYEDVIACDVINPDHIDVEFESIGGLESIKQALYELVILPLRRPELFLHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRNTDHEAMTNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRFSQAFEIGLPDRKERAEILKVVLKGEKVEESVDFDYIASLCEGYTGSDLLELCKKAAYFPIRELLDEEKKGRPSGAPRPLSQTDLEKVLATSRKTGVAANEYSRLSSQLAGWSRQRESDDYQVQAAISELSKLVVSQIVNLQSDSQDN >OMO87887 pep supercontig:CCACVL1_1.0:contig09160:64480:68643:1 gene:CCACVL1_08699 transcript:OMO87887 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase PIF1, ATP-dependent MRLKNYTIRDTDVRGYALASLQEIFRKEASSLEEHGLPLPESSIVHHLSNRLMREELDFYYNALQMEHLENCRKLNTRQAEVYGIIRQAADAGEGGLFFVYGHGGTGKTFLWKTIISGIRSTSRIVLAVASSGIASLLLPGGRTAHSRFRLPLRVDESSTCKIFKRTELASFIQATSLIIWDEAPMIHRNCLEALDKTLRDVMSDIASDATHKPFGGKTIVLGGDFRQILPVVPSGSKSHIIDASICNSSLWSYCKILRLEQNMRLQRTNLDEAEKTEMESFGKWVLSIGDGTVMRATADSDLGASTVIIPDRFLIHTDGDPIHAIVNTIYASFEENYNDAMYLSQRAIVTAHNDTVDEINDVVLSKILTEERTYYRPTQGQIVYIPRIMMTIEDKKLPFVLNRKQFPIRLAYAMTINKSQGQTLNLIGIYLPKPVFSHGQLYVAVSRNTEFRSLCGQNQTIKLRITRMWEVFIPTTQKNLGIAFLAADNKGEGIHVHVPEANAQKFRPMLIEGMLYHVSRFQVVTPYMTHLTVIRNFGILFNRNTVLKPIPEDPAAYPRHFFHFDDDDKFGDEMKITVWEGCFNQMDDERLLALNPPPIMIFAAVSVRTFRDTIYLQTTSATKIYANLDIPEVALIKTRIRLPIKISDETAGMDITLFDKEAEQITNTFITSLIANGGVSEEKVPDGVLGMLNKKFHMTIGLTQRGVEDNSPTYKIYDAVEIVDTKKREDFTIGEAEKEKSDETNKEHNTSDDLSSPIHASVQNDLLPDVSPLKKTKPIIQRRADIVSRACKLKDTNPRK >OMO87891 pep supercontig:CCACVL1_1.0:contig09160:88730:92514:-1 gene:CCACVL1_08703 transcript:OMO87891 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNAHis guanylyltransferase Thg1 MANSKYEYVKFFEVEDEVMPPNLIVVRIVGRDFRRFSEVHEFEKPNDEKALKLMNQCAIAVLDEFPDVVFSYGYGDEYSFVLKKTSKFYQRRSSKVSSVIVSFFSSVYVTKWKEFFPLNELRYPPSCHSRIVCCASIEVLQAYLAWRQKDCHVQNQYNTCFWCLVTKGGKTVMEAQEILKDAKEHDRNELLHQQFHINYNDLNPLFRQGTCFFRTKVEDVVKYNEDGTPVKRLRRKASDFRSENIAGRRFWNEHATLLKELGGFPEDCIKVNPDYIRSFQFESKLMPSTWIVIRIDGCHFHRFSEIHEFDKPNDKQALDLMNLCAAAVLEEFQDIIFSYGVSDEYSFVLKKDSQLYQRRASKIISAIVSFFSSMYVMKWKDVFPEKELKYPPYFDGRAVCYPSNEILRDYLAWRQVDCHINNQYNTCFWNLVKSGKSKSEAQSYLKGTQAREKNELLLKEFGIDYNMLPLMFRQGSSIFRVETENSSILENGNSVGKAQTKIVTECCNIIEQSFWEAHPHLGLAATRCP >OMO87892 pep supercontig:CCACVL1_1.0:contig09160:94353:103179:1 gene:CCACVL1_08704 transcript:OMO87892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKRRRTSCNRTSTPTSLHIPPLTMVAIQQQSTQLSLPACTEPTVHCHSPIPAHIVSGQSPPPTTLTQVPTHRTVPTVTDLYPSVAPNVEADARHTDQPSQPRPQSSRRQLPTTNGQEHHTSQRVQAKKYKNRSSDGVAGTATYHGGNIGTGTRKTRMFKKEFVSPGFCWRRPDIQVNFVGFLETMDFGFKGINDDSECSFSERDFQSCPFLRNINKPTNFSFSSLNFPLPVQGGRGPIFEDGPNFDMAFKLFHGKDGIVPLSGRSDVRCNSLKEEPTPQFNPLAGKVATISLSAFGAGGPFSFGPFWDKQKNQKKKFDSSNKQEPSSQKGNSSNHEAFGNEWLQTGNCPIAKSYRAVSRVLPLVATALQPPAGVKLRCPPAVVAARAALARTALVKNLRPQPLPAKMLVIALLGMAVNVPLGVWREHTEKFSLSWFAAVHAAVPFIAMLRKSVLMPKTAMALTIGASILGQVIGSRAERHRLKTVLEREKMPAQTAITAALAGHSDLSQVDCVPASHCCGREGTSWGPVLVKPGRPSSSNSVCH >OMO87889 pep supercontig:CCACVL1_1.0:contig09160:74760:78423:-1 gene:CCACVL1_08701 transcript:OMO87889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAFKSTTKRTSVGDSPEDSSSSNRTSVHRRARSLSRFSRRLPGAEEDDELTPVPRSRGRFVNTVRGTGFPEISLDDLAIELFDSSLRGRSASRNADVIPRNGEGKKGGEESASQRRGRSVSRQGSRGSFVNSGGGGGRLTSDTASSRRRRSVSVVRYQVSDSESDLDRSQNSSNRASMRNSIGRDNQLSSTNKQTASNNRQGLRRSFSQKDLKYHDGYSSHSSALTDDEGRDALSTKNGTERTIRAVYAQKKVDHPTGDDVNGGLYAAMRKELRHAVEEIKTQLEQAMVKTKKSGVASNGIHPDDSDVLQAVSTIRKKCTLKLEKSEKRKQDLLAEILLEEQNGRELSKIVKELLPEPKNSIVEKPMRARRRSNDRTRMSKQLTEEAERYIEDFISNVEDTDISSLDGDRSDTSSSIGGIMKTPNFQSPTLFKSAPVEMDGVTLPWLQWETSNDASPLSCINKHNLSQEAPSAQDLSNQFTSSRGSWSPAFTDCPSISKGEDSGTKFGQPGPGSYQSKFSSNGTNTMQFDVDDYVNLKSEEDFLHEVWSQRHRISSGSLLLCNQIIF >OMO87894 pep supercontig:CCACVL1_1.0:contig09160:125891:129349:1 gene:CCACVL1_08706 transcript:OMO87894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel MscS MEVELKDQAAAAAAATQPKAQVPTRAKTLERLSFSKPKARFVEYNYPLNNNNTHKQAFPDEPLLDDSINESSTDDDDEDEEEWNDEPDEDEKPKHQKKKKKMNWRRLIEWVLFFVIMTCLICSLTIESLKIKRKWGLEIWKWCLMVLVTFCGRLVSGWLIAFSVFLIERNFMLREKVLYFVYGLRKSIQNCIWLGLVLLAYTFMFDAKVHSKENKMLRKVFQALVAVLLGAIIWFIKIVLVKMLASSFHVATYFDRMKESVFHHYILDTLSSPPMDEKIHLKFDHGGGLSESKSMPSNWKQGKWKEGRKLSKSSKKLGSRRIDMEKLRELSLERPASAWNVKRLVTYVMSSGLSTISKTVDDFGKAESEITSEWEARNTAQRIFKNVAKPGAKYIEEEDLLRFLKRVEVHTIFPLFEGALETGRITKSSFRNWVVKAYFERKFLAHSLNDTKTAVQQLHKLASAVVIVIIIVVSLLVMEVATYKLILLVFTQLVIAGVMFQNTCKMVFESIVFIFIMHPFDIGDRCVIDGVQMIVEEMNILTTVFLRYDMEKIYYPNWVLLSKPISNFYRSPEMSDSIDFQIDISTSVDTIVALKKAIQAYIESKPKYWNPKHTVNVKEIENLNKLKMSLCVQHTINHQNYGERSNRISELILELKKIFENLNVKYHLLPQEVRVSQVNFDNWRNMMH >OMO87876 pep supercontig:CCACVL1_1.0:contig09160:548:12622:1 gene:CCACVL1_08688 transcript:OMO87876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory pathway Sec39 MEESVREVLYEERRHASRPFTSNYPPLPLQESNEAGKGGFLSFLSARGISQLKERWAGYKSPKKLKKPVSLFISPRGERVAVAAGNQVTILRKEDDFREPCGVFTSSSLISCTCGAWSESHDILGIVDGADIIYFIKANGEEITRITKKHLKVSSTIIGLIADDDFGVKPSFLCGFTILTSDGAFHHIEISQEPGASVSSTINSGLASKKQAPQNVFCFDYYQELSLLVVVGSAGGSSITADGKSGSCYLSLWRKQEGLILEPLSFTQFEGLYCEQKGYGGHLAYPKLVISPRGDYIAALDMNGCLHIFKLDKESCSVTNFAFQGRTNSQVTDRLFNGCTDILVDILDFTWWCDHILTIAKRSGFVTMLDILTGLKLIEDGPVYSMPVLERVQKFEGHLFLLESLSSEDRFDSSNGNRRTNHREQTSEDESNQLDVSGLHWSLISFSERSVPEMYKILIGDSKYQAALKFADRYGLDRDEVLKSQWLCSGQGINDINAFLSKIKDQVFVLSQCVDKVGTTEEAVKALLAYGLQLTNRYKFSESNDQETDKIWDFRMSRLQLLQFSDRLETFLGINMGRFSMQEYGKFRVMPIKDAAVTLAESGKIGALNLLFKRHRYSLAPFMLDILAAIPETIPVQTYVQLLPGSSPPSSIAIREEDWVECDKMVSFIKKLPENHEISTQIRTEPVVKRLLGSFWPSVDELAVWYKHRAIDIDSYSGLLDNCLCLVGFACQKGIYKLKQFHEDISYLHQLVYADESDGEISTSMSLVAWEQLSDYEKFRTMLNGCKEENVVESLLNKAIPFMQKRSQSVTLGTQEQVADGHCPADHTKSESFLVRWLKEISLANKVDVCLMVIEEGCKNLQSSGFFKDAVEVVDCALQCVYLFTVTDRWSTMAAIMSKLPHKQDSEIYIGNLDQRCKVAEGHIEAGRLLAFYQVPKPMKFFQEAHSDEKGVKQIIRLILSKFSRRQPGRSDNEWANMWRDMLCLREKAFPFLDLEYMLIEFCRGLLKAGKFSLARSYLKGTSSVALSTEKAENLVIQAAREYFFSASSLACSEIWKAKECLNLFPSSRNVKAEADIIDALTVKLPDLGVTLLPVQFRQIKDPMEIIKMAITSQTGAYLHVDEVIEVAKLLGLSSLDEISAVEEAIAREAAVAGDLQLAFDLCLVLTKKGHGHIWDLCAAIARGPSLENMDISSRKQLLGFALSHCDEESLSELLHAWKELDMQGQCETLMTLTGTNSPNFSVQGSSVISLPGYSIRDMLDLKNSSELVEGFNSADQEIHFNSIKNTLSLVAKSLPVENGTNWEQLLQENGKIFSFAAIQLPWLLELTRKSEHSKKFTSGLIPGKQYVSVRTQAVITILSWLARNGFAPRDDLLASLAKSIMEPPVTEEEDVIGCSFLLNLVDAFSGVEVIEEQLRNRENYLETCSIMNVGMTYSILHNAGVDCEDPAQRRQLLLRKFKEKNKPLNSDDINKIDEVQSSFWREWKLKLEEKKRVADHSRLVEQIIPGVETARFLSGDISYIESAVFSLIESLKLEKKHILKGVLKLADTYVLNRVEVILRYLTSILISEVWTNDDIVAEISEIKGEILGYAAETIKTISLIVYPVIDGCNKQRLAYIYSLLSDCYKQLEESKEPLSRVLPDQPNASALGLAHYYKVIEQECRRISCVKDLNFKNIAGLGGLNLQCFSSEVYAHIDEISLEALSTMVKTLVGIYSDSIPEGLISWQDVHKYYVLRLLTTLKDRVRTEFSTNNPENFQNLTSQLEQIYDLSKMHIKVLEPSQALEIIKQYFTAVIPPHGAHQNIPDNSTWQDCLIFLLNFWIRLTEEMEEFTTNEISIENSKFLPNCLMSCLKVLMRLVMEDSVSPSQGWSTIIDYVNHGLSGDLSADIFIFCRAMIFSGCGFGAISEVFVEALQHHATTATAPADTDLQDLPHLYLKVLEPILQDLASGHQEHQKLYQLISSLSNLEADLEELERVRCAVWERIARFSEDLQLASHVRVYALELMQFITGKNMKGLSSELQLNVHPWVGWDESLFASNKTRGTSNEGMPEQIDTSSRFTSTLVALKSSQLMAAISPGFEITPDDLMNVETAVSCFLKLCGVANADPHFDVLVAILEEWEGLFVIKKEEVASAVLSDAENNWGTDDWDEGWESFQEIEPLEKEKKEDDLLSVHPLHECWTEILKSLAKASRLRDVLKLIDRPITKSSGVLLDEGGARSLNDIILGADCFVASKVMLLLPYEGLQLESLSALENKLKQEGISDTIGSDHEFLMLVMSSGVLSTIINKTAYGTVFSYVCYLVGKFSHQFQEAQLSRLGKEGSNERGNKGDILFLFARILFPMFISELVKAEQQILAGFLVTKFMHTNASLGLINVAEAGLRRYLERQLHVLEHDKFAPEEVSGCETVKNTVSSLRGKLSNSLQSALSSLPRNERKWKVLTSDNMLWSKLFRERWGSDQAAFYAPAGPVDSTSWKRVYETQDRGDRVGLGLKIIREGGDYYLVHQGEIQRYLGSRRKRKDCASSSEAEMRVEESHVKMEEEEPSLGILDKILFFLGDLETASADAKRGRLLL >OMO87890 pep supercontig:CCACVL1_1.0:contig09160:80584:83104:-1 gene:CCACVL1_08702 transcript:OMO87890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase, type I MAKVKIGINGFGRIGRLVARVALQRDDVELVAVNDPFISVEYMTYMFKYDTVHGQWKHHELKVKDSNTLLFGEKPVTVFGIRNPEEIPWGETGAEYIVESTGVFTDKEKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKAYKPEYDVISNASCTTNCLAPLAKVIHDKFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTIDVSVVDLTVRLEKPASYDEIKKAIKEASEGELKGIMGYTEEDLVSTDFIGDNRSSIFDAKAGIALNEKFVKLVAWYDNEVGYSTRVVDLIVHVSKAK >OMO87895 pep supercontig:CCACVL1_1.0:contig09160:134056:137080:1 gene:CCACVL1_08707 transcript:OMO87895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYKLIKEVGDGTFGSVWRAINKLSGEVVAIKKMKKKYYSWEECVNLREVKSLRRMSHPNIVKLKEVIRENDILYFVFEYMECNLYQLMKDREKLFSEADIRNWCFQVFQGLAYMHQRGYFHRDLKPENLLVTKDIIKIADFGLAREINSHPPYTEYVSTRWYRAPEVLLQSYLYTSKVDMWAMGAIMAELFTLRPLFPGSSEADEIYKICSVIGTPTKDSWPEGLNLARAINYQFPQFAGVHLSALIPSASDDAINFITSLCSWDPCKRPTAAEALQHPFFQTCFYVPPSLRPRAAISRTPPSAGVRGTLEQQSARRYSGVLPNAKLNGNLSSLKANASFGTGVQRKLEMVNQDLNKNDKLVKNTAKQPKYRPPGRKSPISNNKEKGGRGASDVADKLANMSIGNRRQSVGPLRPPPMKAGVQWTAASNDMFLRPTQQMQPGRSFSRKVAG >OMO87877 pep supercontig:CCACVL1_1.0:contig09160:13602:16761:-1 gene:CCACVL1_08689 transcript:OMO87877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYFMVFGALGAVVAALELSKNSKDRINTSPAFNSFKNNYLVVYSLMMAGDWLQGPYVYYLYSTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPQYKILMVGRVLGGIATSLLFSAFESWLVAEHNKRGFDQQWLSLTFSKAIFLGNGLVAILSGLFGNLLVDSFSLGPVAPFDAAACFLAIGMAIILSSWTENYGDTSENKDLLTQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLLARSTLRVESYMQIVFVVSSASLLLPIITNFLVAPSKVKGGSISFAGCLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVDAFPITVMFGMCSIFLFVASILQRRLMAISEKPKIENWTALKERDPEAEPLND >OMO87893 pep supercontig:CCACVL1_1.0:contig09160:115352:122787:1 gene:CCACVL1_08705 transcript:OMO87893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MKLKDEKYHSHPDKGFKPVPATLLVIVLCGFSFYLGGIFSTEKNQLEGNIVQDVSRQVPSPKEAAVNPLQIKSVSFQECSIDYQDYTPCTDPRRWRKYGYHRLTFLERHCPPPFERKECLIPPPNGYKPPIRWPKSRDQCWYKNVPYDWINKQKSNQNWLRKEGDKFMFPGGGTMFPRGVSAYVDLMQDLIPEMSDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGVISTQRLPFPSNSFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTIEEQKSDYEKLTDLLTSMCFKLYAKKDDIAVWQKASDNTCYEKLAEPDVYPAKCDDSVEPDSAWYTPLRSCVVVPNSKYKKTALESLPKWPQRLVAAPERISDIPGGSASTLKHDDSKWKVRAKHYKKLLPALGTDKIRNVMDMNTVYGGFATSLIEDPLWVMNVVSSYAANTLPVVYDRGLIGTYHDWCEAFSTYPRTYDLLHLDGLFTAESHRCDMKYMLLEMDRILRPSGYVLIRESSYFVDAIATIAKGMKWGCRKEDTEYGVEKEKILVCQKKLWFFFSGTTMSGKGSSSFGEIEETLDIVEKKFMRLRNFDILDQYPPEDHHYKRFLNSLLSSSGLKSQTLTRNSFSKAIEKEMYILEESLPDWIFVRAYSSRFDLMRVAISGLENTPYRYGLFFFDIFIPHDYPYQPPQIFYNSYGHSFTNSKLRQDGRVSLPILEDQNWVPHRFTILDILEYIQHSVLNFVPKLEYSVLKELMSDEKERKQEFNQTCEAMLSILEKPPRGFGDFVAGYCGHHYDDKVRSILKDDDQRDRKDDFSLEKPIDLFKLVW >OMO87881 pep supercontig:CCACVL1_1.0:contig09160:29703:31142:-1 gene:CCACVL1_08693 transcript:OMO87881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILLLFTILGLFLILRFLSKTSSLEILIKLFRSFEDRFHVYQSYRVPQLNDLSQENELYHKVSTYLISLPSLEDSDFTNLITGSKSNDIVLHLDTNQTIRDTFLGARVTWKIEKSENNGTRVFVLRLRKNDKRRILRPYLQHILSVADDIDQRKKEIKLHINVVDNYQSGQNGRWRSVVFNHPSSLDTLVMDSDLKNRVKSDLEMFLKSKQYYHRLGRVWKRSYLLYGASGTGKSSFVAAMARFLHFDVYDIDLSKVSDDSDLKMLLLQTTRRSIILIEDLDRFLIEKSGDVSLSGVLNFMDGIISCCGEEKVMVFTMNNKDQVDQAVLRPGRIDVHLQFPLCDFSAFKSLANSYLGVKEHKLFPQVEEIFQVGASLSPAEIGEIMISNRSSPTRALRSVITALQSTSSNGRRVSRRLSDSESARNSQDLSDHSSMFSRDHSVREFRKLAGLLRIGSRRKEESLDLGTVDKEGSRHEA >OMO87888 pep supercontig:CCACVL1_1.0:contig09160:72627:73532:1 gene:CCACVL1_08700 transcript:OMO87888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MVLTNLSQLHPPAANDAIKLRIARAWDITVPGSEKIVGLAFVATDNQGNAIHVQTNESDAEIFRDLVFEGALYLIAAFRLTRANVSHVAVQSDLIMWLTRRSVLRPIPDDYHLTQDTILSFMLKKISPKWQTTMVFWQLTITLWDRSLDMINVPQLLSMNPKPVMIFAGMLVRIINGRPALTSSFATKLYVNLDIDETAMVQAM >OMO87884 pep supercontig:CCACVL1_1.0:contig09160:47077:47392:-1 gene:CCACVL1_08696 transcript:OMO87884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRANRYTHGAIAGLPKVPKRRARRSRERQPGSEFPTGRGTGDGHLEAHHDLQATPARPGKAAIGSFLHFPFLSITSSSSSHLLP >OMO87896 pep supercontig:CCACVL1_1.0:contig09160:138295:142200:-1 gene:CCACVL1_08708 transcript:OMO87896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTCIACTKQMADGGEEVEGARGSGTPSTKEAVKSLTAQIKDMALKFSGAYKQCKPCTGSSSYKKGNRPYPDFDAASEGVPYPYMGGSSSSTPAWDFTSASHHPGRSDSRFTGVFSGDRTPGGRESISAQDLVLEDEDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVQRFNRQALHTPPRSEDEMQRDSSYSRMGSARESPMAHWTPRNYYKPTGGKGYLPSEQMEQGGGQQYNAGSSAYDASRTTTSSRDEPSVSVSNASEMEAEWVEQDEPGVYITIRQLADGTRELRRVRFSRERFGEVNAKQWWEENRERIQAQYL >OMO87878 pep supercontig:CCACVL1_1.0:contig09160:18771:20900:1 gene:CCACVL1_08690 transcript:OMO87878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTSLTSHSTSSSSLYSNFQTSQFTIAFVPDSSCFSTVRSGKTSRLRFTSLNRRGLSIRSAATKPAKSPAEEDWKTKRELLLEKRVRSVDAKEAHRLQKENNFVILDVRPEAEFKEAHPAGAINIQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFMQSVESKFDKDAKIIVACSAGGTMKPTQNLPEGQQSRSLIAAYLLVLNGYKNVYHLEGGLYTWFKEELPAESEE >OMO87885 pep supercontig:CCACVL1_1.0:contig09160:50075:54464:1 gene:CCACVL1_08697 transcript:OMO87885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAIPIEYTGYKEYRKCSSSQLMGKSRKYSKGGNSSGFVPDYRHVVETMGESDGFGSSGRIDTEMTASEDSCAPKRKCISLSADSYDNFGVPTQVLSLSKMSRPERKHLELRLKIELEKVRVLQKKVASMGSSVVLAPVTDNRSCNDGKKRPLVDSVPHSAEVLSSHGKKGPFGGRNGARTKKSTSKRLEPVKPAVAVSNSNAHVMKQCETLLNRLMQHNHGWVFNSPVDVVKLNLPDYFSVIKHPMDLGTVKKKLASGQYSSPFDFAADVRLTFSNALTYNPAGNDVHFMAGTLSKYFEVRWKAIEKKLPVTMDLEAVPSTAAASIEVETNSGVLPFKKKKINPKETMIKPEPVRQIMTDQEKHKLSTELEALLGELPENIIDFLKEHSSAEGQTGDEEIEIDIDALSDETLFKLRKLLDDYLLEKQKNQAKVEPCEMELHNESGVSNSLMQPFKGYDHVDEDIDIIGGNDPPTSGYPAVEIEKDLTHRNSRCSSPSSSSSESGSSSSDSDSDSESDAAKASIPVSSTKEKLDLGTKVELNRDKIAIPDNENQSLNELGPAELNSPNKPSAMEAEDQQEGKQKSERHVSPEKLYRAALLRNRFADTILKAREKALEKGEKGDPEKLRMEREELERRQREEKARLQAEAKAAEEARRKSEAEAAAEAKRKRELEREAARQALLKMEKTVDINENSEFMEDFEMLRTANDEHLPNFMEESIPGHSQNGLGSFKLQGNPLEQLGLYMKADDEDVEDEPPHSTPDPVNDVEEGEID >OMO87880 pep supercontig:CCACVL1_1.0:contig09160:24658:27610:-1 gene:CCACVL1_08692 transcript:OMO87880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 26 MNFLIGAFKPACNISITFSDGKTRKQVPMKKENGQTVMVPLFQSQENIAGKISIEPFQGKKIEHNGVKVELLGQIEMFFDRGNFYDFTSLVRELDVPGEIYERKTYPFEFSTVEMPYESYNGVNVRLRYVLKVTISRNYGANIMEYQDFVVRNYSPPPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLQES >OMO81777 pep supercontig:CCACVL1_1.0:contig10105:24770:37750:-1 gene:CCACVL1_12221 transcript:OMO81777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFYLKTFFWVLLSISYLVFLTSASWCPHFVQQTSRQFEQKTDQFWEFQEESNTWVEVKLPFDLVSCVNDNCSKVGLIDQTTKTKEEQFQKENDGSKQEKKIKMKDDDIGGMEENYGTVLPLRKRISLTKMSDTSIWVTGESGSIYERFWNGVQWVIAPHDLQVSAGYAVSVLIVNQTILAISEEGNLYQMQLTDSSQPIWVEFKPAFHQTTNKEAEQSSVIQIKSGTVTYDGLRVYFCTKNGLLLELREVEPLRWENHGRPPGADVAAIADAGTVKTEVVYTISSTGDLYEYDKSSRPSWKKHLQSEEIAQDGSLRPLMGCTIRGLSGDYSVSLFLLTKGSKLVERRRHQRKWKWITHGSPEGHHLTAITPLLENEPNERFFSLFLTTSTGSVFEYKIAKHSGTAQENQISEPWQNHMHPPNAKVARGIAGVQFQLGRTLFALDDGRLAELHIPGLGGENSGPTYQLNMRKKSSSKYVWSIFDAPETEGWNAEYCTEERGPMNCIAGIKDEPNDSGSTRSLTRRRKGNKAQQDYLLPGTSKSRLVKTSEEYNFPDNWINTNFRLRVMHGGVAFFLITDSGLTFEYLYTESVWLWLRHDHSTPMRGALGNYNGSLFFVDTYGTVLIRERSSNELTWINCTAMRKGKQVIGGPPWDNMPGKAMKVTAEDALFFVSKTGRLLQFTVALRKFKWKDCRNPPETKIACIIDQEIFRENIVFVVGRNGRLYQYNKVTELWHEHHQSQHLVLSRLPGTAMRPSSLSLTGSLFMLSEDGGLVEYHWNAWDGWNWVEHGTPCKDVTLVGPPGPCFKGNKLFLIGSDGNVYLRYMDQLIWKWKNCGFPQNSDKATEDQIHDAKQEVCINNDFTASLDNNMENSNDPNRNCDQKVAPTRPIPFSEDSVIFELRDGRLFNKTSCLKGLASYNMATATLNLGATFKDSAHNIREQIIRRPLLKSTEQIFRCVCNYSHNERNDLGCPSPILEESSTNLPITKLELKFQDSQQFSLPDVKNLNRFLCGLLQDTHIQDLAYDYYEKAKQRAGFIPEKPMLKLLIRYLVQSRKWDLLMSLSEDFRHFNVLPDTYTCSRLVHTVIRARKFKVLGSLLEVFKTDEKVAVIAFNSAMAAYNKLHMYRCTIAVYETMKFNGIFQDSESYCLVMEAYQETGDMDKVAALFDEVESRKLNLAPVAPRLYGILCESLGKSGRVYEALEYFKDMTKKGIFVSSSVYSSLITSFASIRNITVVEELFKKAAERRMVKDPEIYLKLVLMYIEEGLLEKTLEVVRVMKEANIKVSDCIFCTIVNGFCKRRGFQSAIAVYEELLLQGCKPGQVTYASIINAYCRLGLNSKAEMVFLEMEQKGFDKCVVAYSNMIAMYGKAGRIRDAMKLVAKMKQKGCQPNVWIYNSLMDMHGKIKNLRQVEKLWKEMKRRKIAPDKVSYTTIISAYNRAREFEMCVKFYREFRLNGGLIDKAMAGIMVGIFSKTSQVDELVKLLQDIKAEGTQFDGRLYFSAMNALRDAGLEKQAQWLQMNFDTT >OMO81780 pep supercontig:CCACVL1_1.0:contig10105:46434:49889:-1 gene:CCACVL1_12224 transcript:OMO81780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIB MADSFCPDCKRPTEVVFDHSAGDTVCSECGLVLEAHSIDETSEWRTFANESGDNDPVRVGGPSNPLLADGGLSTVISKPNGSSGDFLTSSLGRWQNRGANPDRSLIQAFKTIATMSDRLGLVATIKDRANEIYKKVEDQKPLRGRNQDAILAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLEMEMGQSMEMGTIHAGDFLRRFCSHLGMSNQAVKAAQEAVQKSEELDVRRSPISIAAAVIYIVTQLSDDKKLLKDISLATGVAEGAAKQEKKQQEVSWPSMVGSSNNDNQDAAKQRKEKEQQKVASPSMQVGSSNNTYNSANYNNQNYNKKKLETKSGGGSSGGGSGYNNGEQSDYYYWESNIGPEDAMDWLYRSVLQT >OMO81779 pep supercontig:CCACVL1_1.0:contig10105:42438:45518:1 gene:CCACVL1_12223 transcript:OMO81779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRLANAWRLTVNDKKFIKNALQSEIRIDGRKPYEYRKIAIKFGRQVLASFINLPIFVFSSTQNREDGSSEVQLGQTRVMGIVTAQLVQPYRDRPKEGILSIFTEFSPMADPSFETGRPGELAVELGRTIDRGLRESRAVDTESLCILAGKFVWAIRIDLHILDNGGNLVDAANIAALAALLTFRRPECSLGGEDGQEVIVHPPEIREPLSLMVHHLPIAITFGFFSDESILVLDPTHNEEAVMGGRMTTTVNANGDICAIQKAGGEGVPQRVIMQCLQLATSKAEAITKQIKEAVEVYNTERALRKIKRHPTSKGKQGQSID >OMO81774 pep supercontig:CCACVL1_1.0:contig10105:18336:18428:1 gene:CCACVL1_12218 transcript:OMO81774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECPLSKKTTIVLATHGTKFFFDYSFEPSS >OMO81776 pep supercontig:CCACVL1_1.0:contig10105:22489:24317:1 gene:CCACVL1_12220 transcript:OMO81776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGLINANPVVYEKKERRVRNSAPQFDEYAVEPIDQLEIFDILFLLLLLDIKDPEHPYSLEELKVITEDAIEVDNDRGYVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPSRYKMDIRVAPGTHATEAAVNKQLNDKERVAAALENPNLVDMVDECLAPSYG >OMO81778 pep supercontig:CCACVL1_1.0:contig10105:39314:39385:-1 gene:CCACVL1_12222 transcript:OMO81778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKSALVGRRYAKHSFRFERHI >OMO81775 pep supercontig:CCACVL1_1.0:contig10105:20580:21797:-1 gene:CCACVL1_12219 transcript:OMO81775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREMGDRSVYVCHQTSGNYPTCEILEINLRQLLACRCRCRHGDIEMDTNIKIDGDDEFRCSSCELNKTEMRRVAWRGGPDNNPNVAGFMGCGVYNSRIIVGGGLLPDLKEKSSFKTECSDALYEFRPINRRFCKRKKQKQPLTLPSRRGQPLLFEWRDRLYALYGAPRSIYSLSQNYFVKGAAFDFYDAKLKTWIPLPRPPKPDYPHKSYAIFDDKLYVQSCHTGVFCFDLVTNQWSQQPISLAEAFVCEGKIIALPFGSDYVLIGYGGYATGGLFATYFSRATATILGSQHLRITLPLNCEASPCHLVDLGDGNICFFASIQRDSNSNSPSPSPSYYDIYMVKFKVEILPRHHWSYDDVVDDVSNQFLRITVLATRIFQFDIHHFNLTNNPTGVFGHTIGCFVL >OMO93351 pep supercontig:CCACVL1_1.0:contig08107:6071:10315:1 gene:CCACVL1_06529 transcript:OMO93351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MYQYIVMGIYTPLITCCFGLYIWCAAADPADPGVFKSKKYLKIPESGKYSGQKDSKLGGDSTTSMHDDNATSAGGKPLDKNAVATDETLKGTNVETEENNASQKQSSCLLWIFWPCAYVCKCSSSNEESSEQHMSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGKRNYRQFFTLMVSALLLLILQWSTGILVLICCFIERKQFAVDISSKLGSSFSLAPFVIVVAVCTILAMIATLPLAQLFFFHILLIKKGISTYDYIIALREQEQEQQGVGGQQSPQMSPASSLTGLSSASSFSTFHRGAWCTPPRLFLEDQFDVVPPDTGSVSSFGKKMGGEEPIKKKNPAAVKISPWTLARLNAEDVSKAAAEARKKSKILQPVVRREAPFGLEGDSSFGSSGRRMFPRPDNRRRASKRVRLPADLPLEPLMNITAKPADKNFNDTSSSLAPLQLEARSAFQTSRAMSSSVGIVASSPESSLDSPDIHPFRVSSSGAEESRRLTGLPAMNMAAHKGFPLSRSTSDGYEASGGEDSDRVPSRIVQRSSTNWSNVLFGSEQDETVVQLKAPSSSSQANIRMM >OMO93355 pep supercontig:CCACVL1_1.0:contig08107:25400:25486:1 gene:CCACVL1_06534 transcript:OMO93355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKFTLNGCGGGVAMAEEGGGFDPGNG >OMO93354 pep supercontig:CCACVL1_1.0:contig08107:21845:22290:1 gene:CCACVL1_06533 transcript:OMO93354 gene_biotype:protein_coding transcript_biotype:protein_coding description:kinesin-1-like protein MGEDDEKCYYKGELGDDLDMKKVLEDFIERERKLQQVGDVPNHQVHALQDTPSSKIRELELQLSAANEKIKMFELRISVADEEKEQEKRINQELQHRLTEGDNLRKKHYIPSNHIF >OMO93353 pep supercontig:CCACVL1_1.0:contig08107:14045:20106:-1 gene:CCACVL1_06532 transcript:OMO93353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVYSQNFVRFTRHLPHCPTVAVGETHS >OMO93352 pep supercontig:CCACVL1_1.0:contig08107:11914:12936:-1 gene:CCACVL1_06531 transcript:OMO93352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPQPLPPQPFQIDLWTNLCESKRITKAHSRHFLALSVLFLLPLAFSFSVYPYIYQLFSESSAPTVETHLSFLFLPYNQETPIPLPTKPIIFSVIYALFLLIFTSLATGSITYSVFHGFYGRPVKLISALKSALTSFPRLLSTYIVSELIVSGLFLLLALILIAMLKTIDFLGFQIHYSSPKFTSLLLFLLVIFLCFVIYLQVNWIFAPVIAVIESSWGLKSLKRSKYLVKGTRWVSLSMLLFYGFLSGILGWLISARWDDSSADQWKNWLFVLHIVWTSTCYLLILLSNFAATTVFYMYSKAIHGELAWEIAQEFATEYVSLPFDDGKVPHVVSVVYG >OMP03445 pep supercontig:CCACVL1_1.0:contig06073:6264:7241:1 gene:CCACVL1_02414 transcript:OMP03445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PMZ-type MVKNGLKQHEWLQGLYQIRTSWIPVYNQETFFAGMNTTQRSESINSFFDSFVDSTTTLKQFVVRYEKALASRHEKERKEDFESRHKSRILRIGSKMEYHGALVYTKKVFTLFQDELVKSNPFIKEKISKNGSCYEYKVSSCFDSRDSFLVSMDLSSKVGTCKCNLFEFKGILCRHILAIFHLKNVVEIPSHFILKRWTKEANKGNVIFENTPSFEDDLEKSAAARCLHVCRLINQLSSFAEKSKEQYKVIVGDLDQIFKKVLTMEEDNISSEKPVDDLIHDAMESQQNQSNHIPLVNIGDPHISQTKGRKKSGHESQNNRFKKWS >OMO57848 pep supercontig:CCACVL1_1.0:contig14307:1260:12102:-1 gene:CCACVL1_25678 transcript:OMO57848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGALQDVLHLHDVLARVSLARLCHTISRARSLDERPDIKSQFNAVLYQLLLDPSERVCFEAILCILGKHDNTERTEERAAGWYRLTREILKLPEAPSTSKDKAQKTRRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAVAVGLQDLDEGAYVNTFAESAESLDSDMNDNSSEGIRRTTSVSNVTGGKDTIAGMLASLMEVVRTTVACECVYVRAMVIKALIWMQSPFESFDELKSIIASELSDPAWPATLLNDVLLTLHARFKATPDMAVTLLELARIFATKVPGKIDADVLQLLWKTCLVGAGPEGKHTALEAVTIVLDLPPPQPGSMLGFTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIIAAQALTTVAIRSGEPFRLQIYEFLHALAQGGVQSQLSEMHLSNGEDQGASGTGLGVLITPMIKVLDEMYKAQDDLIKEIRNHDNANKEWKDDELKKLYETHERLLDLVSMFCYVPRAKYLPLGPISAKLIDIYRTRHNITASTGLNDPAVATGISDLIYESTKPAAPESDSLDDDLVNAWAASLGDVPAVNRVNEFLAGAGTDAPDVDEENIISRPSVSYDDMWAKTLLETTEMEEDDVRSSGSSSPESTGSVETSISSHFGGMSYPSLFSSRPTTYGASQSSIREEPPPYTSPGRDPYDSFENPLAGRGSHSFESEYEDRESSGNPQFGTALYDFTAGGDDELSLTTGEEVEIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVNRD >OMO52253 pep supercontig:CCACVL1_1.0:contig15553:2823:5594:-1 gene:CCACVL1_29291 transcript:OMO52253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein prenyltransferase, alpha subunit MDSDEGDREPLSRRAEWSDVIPLPQDDGPNPVVPIAYKEDFRETMDYFRAIYQADERSPRALCLTREAILANPGNYTVWHFRRLVLQALNADLYEELDFLQQIANSNAKNYQLWHHRRWVIERLGADARAKELELNKEILSRDTKNYHAWSHRRWVLQALGGWEDELDYCHCLLEQDVFNNSAWNQRYFVITRSPFLGGLKAMRESEVRYTVKAILAQPINESPWRYLRGLYKDDIEALVNDPQVFSVCLKVMNTKSNYIFALIMLLDLLCNDFQPSQEFRDAVDALWTSDTHPLDSDLAKAICYILEHVDSLRAGYWMWRKSKLPQAA >OMO52255 pep supercontig:CCACVL1_1.0:contig15553:8334:14258:-1 gene:CCACVL1_29293 transcript:OMO52255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MAFDQNSIPKDLRPLNVARTVTEEPRIGAALAATTNGGARTIEGVFSTPAREPGSPGSMPVFYPATVPDAGFVGLGYGNAVPLAPGIPAWRPQVPVAMHVPVTVPVGHPSMNPVVGFGYNPNFSNRVVANAVDQVSSDGVAGHGYSPSLGNRGSGNGSDQMSNDMMPTSFGLSSNMGNRGSGNVSDQSGNELIGGVNNKPNSGLRSNGSGEVGDEGGDDSVSGKKVKFLCSFGGKILPRPSDGMLRYVGGQTRIISLRRDVSFNDFMQKMVDTYGQPVIVKYQLPDEDLDALVSISCSDDLDNMMDEYEKVVERSSDGSAKLRVFLFSASELDPSGMVQFGDLHDSGQKYVEAVNGIIDGVACGITRKESIASVASTQNSDFSGTEAVDSIGASQGDVNGPPSTNMLSPSGNSATSHDTAPKMMAVDPNPAVYVDASAIHLGIPTVKSAPPQTASCQPEIDSDRLVPLTSTQQQFGYDLQHHYASTYIDPRHEVMNRADYAHLAPQMGFSNSNLVGNTSSVYGQQQFCDNAPGFTPHQFIPALHMTMTPSTSHVAIRPAVIQPVLQPQQTPLERYPDESTFGARVVQRPTDQSHNVYQAQIPAAMIGGGYAWHHIPQTEHVVFSDGSLPRHQVTITEKIPGLEDCHMCQKALPHAHSDPMVQDLRDSGGTPMVNTNSIYHSLRPEDAMRFLSVNRVAVTGPLGDGIVDQGAKVQQLGHVDQVGALKSDAVGFSQGLEVNEFERNISPKADNSDHPGTASQGVIGLAGELQSPYVLPTQYQFKEEVQHVGATGIQASEQPANEALRESHGKFSDVSKEDIVDLNHLIPIDGMVETLRISNEQIKSPVDKTRKENILDERLPEIAGRGVLSQSKQIDSNEMAILGNAVTHSQPKVGAQNLDSVDVLFANPSAVESAYNLDDMTWLQQKFAKTDTVSAPLNIDAQTSLSPSNRGGDALDSANSLFSNQDPWNLQQDTHFPPPRPNKIQTKREGFAPRGPFGENQVAVSGETRLEDEVYQLSSHLNKDFSSDHTQSSKGSAEELIKKELQAVAEGVAASVFQSSTASNADTPAQLDTGFEANQDTDVSTSDIEMQHKAKLEEIKTKQPDRTNFGIRVSDGIGRLQIIKNSDLEELRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMIDDFWNEAIKLAELHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRTALQKNERNLDKRKRLLIAMDVAFGMEYLHGRNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYADLHYGAIIGGIVSNTLRPAVPESCDPEWRSLMERCWSSEPSERPSFTEIANELRKIASKIPPKGQNPS >OMO52256 pep supercontig:CCACVL1_1.0:contig15553:30925:31527:-1 gene:CCACVL1_29294 transcript:OMO52256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNETDPGSPLSPTSLKQKLKSSLRLPWLRHHGHHHHHHGTPRSASASASPLPSPCPTPSPHGGKNINNLNNINVDKPKLTRTMSPTWLKSPEFRDKCRNLISRIGHAGKNDSQLDEFPLRNFSARLPRSPPPSSTATTSSASREITAYT >OMO52254 pep supercontig:CCACVL1_1.0:contig15553:6412:6540:1 gene:CCACVL1_29292 transcript:OMO52254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGERKVKPSPARAKLESAKGSSCGKRSEAEGCIWDLKIKE >OMO52257 pep supercontig:CCACVL1_1.0:contig15553:38496:38663:1 gene:CCACVL1_29295 transcript:OMO52257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMLPGVECARRRRFHQSGGSSDSPTTAAIGLTRRSSFCLYASNHETHHTSVSSQ >OMO78039 pep supercontig:CCACVL1_1.0:contig10647:2717:3033:-1 gene:CCACVL1_14688 transcript:OMO78039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRYRFGENRMLGRAAFAQLQPTPTSYGSTSISPPHHTHQAPPPLVALAISFRLYHQPTSFHSIKSTPRHQNPPPAS >OMO78038 pep supercontig:CCACVL1_1.0:contig10647:1143:1361:1 gene:CCACVL1_14687 transcript:OMO78038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAKTARESLDLAFHMSNILDTGLDRHTLSVLIALCDLGLNPEALAAVVKELQREPSFLPPPPTAPSSLP >OMO78040 pep supercontig:CCACVL1_1.0:contig10647:4153:4794:1 gene:CCACVL1_14689 transcript:OMO78040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGWLNGKTRFSNAMSIVELLVHMDCEGCEKRVRRAISKIDGVDSLEIDMEQQKVTVTGYVDERKVLKVVRRTGRKAELWPFPYDSEYYPYASQYLDESTFTSSYNYYRHGYNESVHGYFPDQPYSTLPDQTVHLFSDDNVHAYCIVM >OMO91767 pep supercontig:CCACVL1_1.0:contig08301:703:1044:1 gene:CCACVL1_07034 transcript:OMO91767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFVDHHLNQEKNVPKELTVSIRPGDNHRDQIKAVIRHGGGAHGGGGYVAGNNGGGGDGKSPNGQGAAVIPVYAAGAMNNNRYHHHGSSSGTINCIASTCFLFILFTSFMLFV >OMP10672 pep supercontig:CCACVL1_1.0:contig02054:788:853:-1 gene:CCACVL1_00827 transcript:OMP10672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRVRRSLKVLEPLSLHYKQ >OMP03413 pep supercontig:CCACVL1_1.0:contig06079:11644:15750:-1 gene:CCACVL1_02433 transcript:OMP03413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSDIFSDEPLLTQARAGAKFQPKTKRKAKDKNSGSIPSKAPDVGNEEVAKLPSSGLDAAHVIDESRSSEVTNPSQVATADSLLADVTVPDACDDLHSSFGRSVGESADIFSDLECIDPFLTQSSNNNGGIQIDDERMGTQEAGAFPDVNTDDILSDMTILSGRRAGKFKPKPRLQTSVSSHPAVVDSVTHPPNSQFVPPETTCGESSIPDFPPGDVPNCSPIDLGAFIPPDPSTSEFLANEELPNLAEASKTGVALPGDPPGATEKVSSNITQKKAFPVSNPAQTSKQSSTGAEENENGKTRKRLRKEMTNPQVVHDPEEGACNGDDPAVEFPSNSARDEDKDDDGNASDEYNVESASPKRSSRRSKKPVAEKEKPPRKHKNANEKKAQKQKETKEASDQPAKERPKRFSRSTRRKRKFVDETLLQTPEDEIDFAKVALKDIILLADYKERLAKKEAKELKSSSTNQSNDNTMQEEYAHNEESSHASEHDQGYKDDQTSIRAQSSSFCLNYQSFMDKEPRARWSKQDTELFYGAIRQFGPDFSLIQQLFPGRSRHQIKLKFKNEERRYPLRLSEALASRANDHSYFEKVIEQLQQASEAEQQSNGDVYVDLAHEEEVTPETTGEVAKPDQDEDVAGRDQEADVTEDHSPLKADETDDDDYDFLSSYKSAF >OMP03414 pep supercontig:CCACVL1_1.0:contig06079:25798:30610:1 gene:CCACVL1_02435 transcript:OMP03414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEKEEIKSFKDLGLCDELVEACDSLGWKTPTKIQVEAIPQALEGKDLIGVAQTGSGKTGAFALPIIHALLESHANQEYKSAPVFFALVLSPTRELAIQIAEQFEALGSGISLKCAVLVGGMDQMQQKIAIGKRPHIIVGTPGRLLDHLKTTKGFSLSMLKYLVLDEADRLLNEDFEKALDEILNVIPRDRRTFLFSATMTKKVKKLQRACLKDPVKIQAAPKYSTVDTLKEQYCFIPAKHKDCYLVYILNEMSGSTSMVFTRTCDATRLLSFILRNLNIRAIPISGQMTQSKRLGALNKFKSGECNVLICTDVASRGLDIPSVDMVINYDIPTNSKDYFHRVGRTARAGRSGVAISLVNQYELEWYLQIEKLNGKKLPKYPAEEEEVLLLSESVTEAKRLAQMKLKELGGTKKRSFGDDDEGDIEKYLGRKGSGKGGGKGGGKKFKKR >OMP03411 pep supercontig:CCACVL1_1.0:contig06079:4373:5122:-1 gene:CCACVL1_02431 transcript:OMP03411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDQKLVTYIARFGIWIWNQMPKHAGLSRSGKSCRLRWLNYLRPGIKRGNITKEEEEIIAKLHKLMGNRWAAIAAKLPQRTDNEIKNYFNTRMRNRKSEGFPVAESKNQKNDFQESSMEESSSNPIQYHLSDSQYCQAMVSVKEEEKFHINVGSFETDQVPVEGSNIDYDSFYVPFDDFFWATSFL >OMP03410 pep supercontig:CCACVL1_1.0:contig06079:116:1192:-1 gene:CCACVL1_02430 transcript:OMP03410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRPISCWNVNNNMLQKKGTWSPEEDQKLIAYIMRYGIWDWNEMPKYAGLSRSGKSCRLRWMNYLKPDIRRGNFTREEEETIIRLQKMLGNRWSAIAAMLPQRTDNDIKNYWNTRLKKRVENKLSASSSSAELITSSIESEQENCSSLEYDFPEPNSDPYSPSGSSNDGVAAVVDNTNSTYNTMEDNFASSLIYWDWELHGFSSDKEEFQAVSPNSQLYNLHDSYYGTVDDDFWDSPFI >OMP03412 pep supercontig:CCACVL1_1.0:contig06079:9882:10529:-1 gene:CCACVL1_02432 transcript:OMP03412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIDREFEEEKYSIQELEHIACCVLVELKHAKIDENTAQALNNIMKKYNIIPQINIKQKYKKLADGYNNTGAAPATFPSLPSHIAGEVVIGEVCREPCRKNLTVTDLDGGQQRLSLSKDHVEKFFKPMLKEEENLVKGIPVMVYDHPQGKGYEMMFKFWSGKMYVLTTPRWNQFKIDHALIPSQQVTVWLFRNLKTDKLCFAIALGSAHDGSRAH >OMP05918 pep supercontig:CCACVL1_1.0:contig05131:700:825:1 gene:CCACVL1_01791 transcript:OMP05918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNGKSENEWQRMHNSNSLSYRVKRTSDTTTWQQATQEQK >OMO68147 pep supercontig:CCACVL1_1.0:contig12260:5431:5508:1 gene:CCACVL1_20060 transcript:OMO68147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLSRDPLLEKYTRPCERILGQEC >OMO86925 pep supercontig:CCACVL1_1.0:contig09385:11364:11927:-1 gene:CCACVL1_09381 transcript:OMO86925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSENNTAIAEDQKPRSVFQGDGSFLKKILARKSFRGNSIRLFYTENPAAVPFDWETQPGTPKHPQSETIPRIKPPPAMHSRALDKPKFASSPHHHTRVAMSCFTFPRRRSCRKTHMGKKAHDAKADKGVKTPQSDVVSSVVNHEDSKPSSDHSRTSSSTSSFSTSSSSSSSSLRGLAKELFVKLSF >OMO86926 pep supercontig:CCACVL1_1.0:contig09385:12949:13551:-1 gene:CCACVL1_09382 transcript:OMO86926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGMSVIREENKLFFNKIISRNSSVGCSSRIYYYRSSEGIPFNWEMQPGTPKQPQEEDILPPISPPPAILSLGLPKPCINIEEPKPSIKQRFKFWKQGKKSHGNKKQDLYHGTHDYHSDKYSNYEMCSSDDGDFMASPPRISSSSSSSSFSFSNGFSFRSSKFSSPSRDSSLEGHYGCSPFKFSSILDRVSRSKRSTLT >OMO86924 pep supercontig:CCACVL1_1.0:contig09385:1552:6924:-1 gene:CCACVL1_09380 transcript:OMO86924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding, tRNA/helicase-type MMQANEFDGNAAFSGGGFMPSESTQTAPDRPFSSSKNSDARCLIPLTVKQLKDLSRGGESGICIDGVDVNNIVLVGMVTKIDNAVSDCTFRVDDGTGWVECTKWIHEQVDSAEVDKILVGMYVRVYGQLKSIQSRRTLHTFCIRPLTDFNEIASHFTECIYVHLYNTKLRAPSFTGGMATQPQVTNSFGSSPMKGYQANLSNQFSGQYNTAEEQMLNSMVLNYLRRKPCVESETGVASYVVARDLNISLDKIRKVLEFLSSEGLVYTTTEDHYKFTDA >OMO88505 pep supercontig:CCACVL1_1.0:contig08999:9096:10180:-1 gene:CCACVL1_08320 transcript:OMO88505 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative vesicle coat complex COPI, beta' subunit MFKNWMTWRFVAAGGASNFPLTSTGSGRHSGPTTSTPTIPGVGPISPQMKQVQARPLGTRPGADLGCNYNLHNREESFIPLTEAYSPTEPFVFGATSSSQNRRIRKWKSTARLSHQYSFDFLGPATNDQVGQKRTKQNYVLEAQHAGAKRRTTDVRVESNELCPIRTRDAIDAVVTTNRLSSFPQAELVNRPSGGTNFGGAVAAGTNDLPVSEQTVVGQNFENQAAGMGKGLHPCRDQ >OMO88513 pep supercontig:CCACVL1_1.0:contig08999:81463:81890:-1 gene:CCACVL1_08328 transcript:OMO88513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSQNTSFQAEQAKGQAEEKTSQLMDKARDTAQSAKESCQETGQQMQAKAQGAADSIKDTLGMNK >OMO88510 pep supercontig:CCACVL1_1.0:contig08999:65842:66224:1 gene:CCACVL1_08325 transcript:OMO88510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIHECFEDEELGISKRRKRGPRNLKFDAKKQGKVVLQDENIQTIGDEQEDMHDEEEEEVLTLPRGDGDNHRDDNDNDLELRDEYEDLGLDFDN >OMO88521 pep supercontig:CCACVL1_1.0:contig08999:141896:142888:1 gene:CCACVL1_08336 transcript:OMO88521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWAYRIGWKEQGFCREGRMREALRLFKEMKGVNPNHVTYTTLIDGYCRVNDLGEALRVRDIMESKGIYPGVVTYNSIIRKLCEEGKIREANRVLNEMSEKNVEPDNITCNTLINAYCKIGDMGSAMKVRNKMVEAGLKLDQFTFKALIHGFFKVREMDSAKEYLFNMLDVGFSPSYSTYSWLVDGYCNQGNEELVMKLPDELLEKGLRNVSVYRALIRRFCKLERVDCAQRMFTLMQGKGIAGDSVIYTSLAYAYWKMGKVNAASDVLNEMYKRRLMITLKIYRCFSASYAGDNSILGFFWNHVVERGLMSKSILKDIKQGKLQSNIN >OMO88515 pep supercontig:CCACVL1_1.0:contig08999:98908:99925:-1 gene:CCACVL1_08330 transcript:OMO88515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQQMQAKAQGVADSIKDTLGMNK >OMO88507 pep supercontig:CCACVL1_1.0:contig08999:38267:40513:-1 gene:CCACVL1_08322 transcript:OMO88507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKGRIPPPHVRRPLPGPGMVHHDPYGPGILPPPGPFPHFDMLPPPEIMEQKLAAQHVEMQRLGTENQRFAATHGTLRQELAAAQHELQILHAQVGAIKSEREQQMRNLTDKIAKMEAELQAAEPVKVELQQAHVEAQNLVVAREELMSKVHQLNQDLQRAHVDVQQIPALMAELESLRQEYQHCRATFDYEKKFYNDHLESLQVMEKNYMTMAREVEKLRAELMNAANVDRRTVGQYGGATGNNENEASGHSMGQNSYEDSYGVHQRHGPLASTATAANAGAGAAVYGGAQSGPVPTRTGYEVPRRPVYDTSKAPGYEAQRGTTYEVSRGPGYESQRGPGYDVQRGSGYEAQRGPSYDGQRGLNYDAQRGAGYDTQRGTLNEASRGATYDAPARGLAAAHGQAAPQSNGPYGSATSPARAGNGYEAPTRGGNPVRR >OMO88520 pep supercontig:CCACVL1_1.0:contig08999:135860:136021:1 gene:CCACVL1_08335 transcript:OMO88520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNPNSNSMGLTVPSPYYQARITKLGNQSFDLRSIQRTLFLHILAMWDLAY >OMO88509 pep supercontig:CCACVL1_1.0:contig08999:47434:47852:-1 gene:CCACVL1_08324 transcript:OMO88509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSQNTSFQAGQAKGQAEEKTSQLMDKARDTAQSAKESCQEAGQQVQAKAQGAADSIKDTLGMNK >OMO88522 pep supercontig:CCACVL1_1.0:contig08999:145572:147495:1 gene:CCACVL1_08337 transcript:OMO88522 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase MLRKRLNDLMESKGGKKKSSSSKSLFYEAPLGYSIEDVRPHGGIKKFRSAAYSNMASPVSAIGFEGYEKRLEVSFFDPGFFVDPQRMGLRSLSKVQLDEILKPAECTIVSSLSNSHVDSYVLSESSLFVYPYKIIIKTCGTTKLLFSIPAILKLADSLSLSVRSVRYSRGSFIFPGAQPYPHRNFSEEVAILDRYFLKLCSRRKAYIMGGSDKTKKWHVYSASAEMSNHSKPVYTLEMCMTGLDKKKSSIFYKTHATSAALMTEHSGIRKILPQSQICDFEFDPCGYSMNSIEGDAISTIHVTPEDGFSYASFEAAGYDFGVMNLNQLIERVLACFKPTEFSISLHADINRNKLEHRLSLDVSGYCCDERRFEVFGSSGSVLYCSFIGADVCVSPRSILKYCWSEDEKDEEAGGSWPVPHHLSAL >OMO88516 pep supercontig:CCACVL1_1.0:contig08999:102959:105732:1 gene:CCACVL1_08331 transcript:OMO88516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline dehydrogenase MATRLLFSPRFLNNSRYFSRPFNSASSATITTAVSPLNFDEKPEPTVEKTPTSSLNQFPTATPLDLNDHQKLFESVSTLKLLRSSANLRLASIEPLVDFGTWIMNSRLVETSFTRDLILKTVKHTFFEHFCAGETTEEAGDCIRKLHDANLRGMLVYAVEHTTDNAGCDRNLEGFLRSVEFAKSLPPSSVSFVIAKITAICPISLLRRVSDLLRWQHKDPSFNLPWKLNTFPIFSDSSPLYHTLEKPEPLTPQEELDFQLAFQRLQKLCQKSVEDNVQLTIDAEDTSLQPAIDYLTYSSAIMYNRDDNPIVYGTIQAYLKDAKERLYLAAKTAEKMGIPMGFKLVRGAYMTSENKLASSLGYDSPIHNSIQETHACYNDCASFMLERIADGCGAVVLATHNVESGQLAASKARDLGIQKGNQKLEFAQLYGMSEALSFGLRNAGFQVSKYLPYGPVDMVIPYLLRRAEENRGLLAASNLDRQLMGKELKRRLKNLQFAKPQVTAPSGIKVEIGTQ >OMO88518 pep supercontig:CCACVL1_1.0:contig08999:115347:118932:1 gene:CCACVL1_08333 transcript:OMO88518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRTIDDYEPGPVPPQRPLDRFGFIKQDVSNSQDGLAKSRLAFEYEREARRVRKWRKMIGVGGSDWKHYARRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDREVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLFQFDQLVREQLPKLGEHFSQEMINPSMYASQWFITVFSYSFPFPLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLIKLSFEKLIHALRNFPEDAMDPDRLLPLAYSIKVSRSLEEARNEYEKRHGKLVRSRESIQEQKQEQ >OMO88511 pep supercontig:CCACVL1_1.0:contig08999:67969:68822:1 gene:CCACVL1_08326 transcript:OMO88511 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin-responsive protein IAA27-like isoform 2 MGRTPHKDNKVETSGTKARKQPSKASFSKKASTKLRPRLPGFESPEREEQHGHDNVLSLKSFASRAKRSFTDASDSSGKWVFGGGNGGGFEGLFSPRNGGNNGRKGLFGLDSGKDDPVLKEGAAQKSIVLKR >OMO88523 pep supercontig:CCACVL1_1.0:contig08999:148386:150563:-1 gene:CCACVL1_08339 transcript:OMO88523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA-processing protein 7 MKKNRSRDKVDNIVETKEEKKKEKSNKKKRKAEEKNSTTIGTVGNENEGNFQKQDEWITDYQQSRPGLKILQQRIDEFIVAHEEELEQERKEREARLTEGGWTLVEHHKGRKKTTEAESGTTVGSVSQTAVEGKLAKQKSKEVSDFYRFQKRQAQRNELMMLQSKFEQEKKHIQQLKAARKFRPY >OMO88519 pep supercontig:CCACVL1_1.0:contig08999:128059:128124:1 gene:CCACVL1_08334 transcript:OMO88519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAPSPEHTHTLFRVKIGKV >OMO88508 pep supercontig:CCACVL1_1.0:contig08999:42291:46034:1 gene:CCACVL1_08323 transcript:OMO88508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISRLRHSQSCLHRLFFTTTTSRNVRLLSTGSNEATAGKFDTAAPPTEKLLVLGGNGFVGSHICKEALEHGLTVASISRSGRPSLNDSWTNNVLWLKGDLLSPDSLKHAFSEATAVISCVGGFGSNSHMYRINGTANINAIKAAVEQGVKRFVYISAADFGLVNYIIRGYYDGKRATEAELTDKFPNGGVILRPGFIHGTRQVGNIKLPLSVIGAPLEMVLQHTKLLTRIPLIGPLFIPPVKVTSVAKVAVRAALDPQFTPGIVDVYGIRQHVHHKSA >OMO88524 pep supercontig:CCACVL1_1.0:contig08999:151543:151980:1 gene:CCACVL1_08340 transcript:OMO88524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSQSQNLSYQAGEAKGQAQEKASNLMDRASGAAQSAKESMQETGNQLMAKAQGAKEAVKDATGMNK >OMO88512 pep supercontig:CCACVL1_1.0:contig08999:69436:75257:1 gene:CCACVL1_08327 transcript:OMO88512 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MASHHPKNDDDAEPKLESGCLYVKVSMDDAPYLRKVDLKIYGSYKELSLALEKMFRCFTIASLEGGQTTQETILEERVKKEEVKTRKEDSLKND >OMO88514 pep supercontig:CCACVL1_1.0:contig08999:85314:93943:1 gene:CCACVL1_08329 transcript:OMO88514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLTEFKPLEEKKRETGYLKNDLREY >OMO88506 pep supercontig:CCACVL1_1.0:contig08999:30610:36316:-1 gene:CCACVL1_08321 transcript:OMO88506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta subunit-like PLP-dependent enzymes superfamily MQIRLSNGYRVRARSALAADSTAIEIPRQWYNLIADLSVKPPSPLHPKTYEPVKPEDLAPLFPDELIKQEATNERFIDIPDEVLDVYKLWRPTPLIRAKRLEKLLDTPARIYYKYEGGSPAGSHKPNTAVPQVYYNAQQGIKKVVTETGAGQWGGSVAFACSLYGLGCEVWQVRTSYHHKPYPKLMMQTWGAKVHPSPSDVTEAGRKILQKDPSSPGSLGIAISEAVEVAATNDATKYCLGSVLNHVLLHQTVIGEECLKQMEAIGETPDLIIGCTGGGSNFAGLSFPFLREKLSGKINPVIRAVEPTACPSLTKGVYAYDFGDTAGMTPLMKMHTLGHDFIPDPIHAGGLRYHGMAPLISHVYELDFMEAISIPQTECFQGAIQFARSEGLIPAPEPSHAIAATIREALRCRETGEEKVILMALTGHGHFDLTTYEKYLQGNMVDLSFEEEKIKGRLYLIYKVFGIKEETKLYPKEREKKKKKKHYRFSDCYSTISQVSISRRSSKVQTPEMVSQSLFHANPPSIFTSSSTISSNKGEELWLGCLPQKRKQMHIRLSSGYRVRARSPIAANSTAIEIPRQWYNLIADLSVKPPPPLHPKTFEPVKPEDLAPLFPDELIKQEATNERFIDIPDEVLDVYKLWRPTPLIRAKRLEKLLGTPARIYYKYEGGSPAGSHKPNTAVPQVYYNAQQGIKKVVTETGAGQWGSSLAFACSLFGLGCEVWQVRASYDQKPYRKLMMQTWGAKVHPSPSDITEAGRNILQMDPSSPGSLGIAISEAVEVAATNDDTKYCLGSVLNHVLLHQTVIGEECLKQMEAIGETPDLIIGCTGGGSNFAGLSFPFIREKLSGKINPVIRAVEPTACPSLTKGVYAYDFGDTAGMTPLMKMHTLGHDFIPDPIHAGGLRYHGMAPLISHVYELGFMEAISIPQTECFQGAIQFARSEGLIPAPEPTHAIAATIQEALRCRETGEEKVILMAMCGHGHFDLTSYEKYLQGNMVDLSFEEEKIKGSLAKIPQVLA >OMO88517 pep supercontig:CCACVL1_1.0:contig08999:110280:113258:-1 gene:CCACVL1_08332 transcript:OMO88517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLALGMCYLFLKLTALPSPKTVPYSEFITSLQNSSVTTVLLEEGSRRIYFNMESKTAENNKTSEEESLAENSSIENVANIAARDNGVEGGQLQKQSLFKKFSRPQASTPKWQYLTRKIDHDEKFLLSVMREKGTTYSSAPQSVLMSMRNTLITIICLWVPLTPLMWLLYRQLSAANSPANKRHPNNQVVGFDDVEGVDTAKVELMEIVSCLQGSINYQKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFSVSASEFVEMFVGRGAARIRDLFNAARKSAPSIIFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESGMKVVVIGATNRPEALDPALCRPGRFSRKVLVGEPDEEGRRKILAVHLRGVPLEEDKQLLSNLVASLTPGFVGADLANIVNEAALLAARRGAEIVTREDIMEAVERAKFGINDRQPSTIGKELGKLFPWMPSLMGRNDTRQDGLQGPVGYQTLS >OMO88525 pep supercontig:CCACVL1_1.0:contig08999:153496:153615:-1 gene:CCACVL1_08341 transcript:OMO88525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPISHIHGPPEGFFSSLARAAAASNQQKSGSDCRSNSY >OMO60242 pep supercontig:CCACVL1_1.0:contig13751:24510:27419:-1 gene:CCACVL1_24311 transcript:OMO60242 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, TTF-type MSKQKKLDFFFKKRGIDVDNSECETETPTKSPRVKDQLPNESPKSPPNVVEEPEPEMDPGLRRPMWEHPVNKRDEIRRYYLMKGPYQIHLSNYPLSSEKHPRYFQYSWFDQFHWLEYSPTTDLAYCQPCYLFNMKSDGRPGWDVFTIKGFRNWKKVKAGKECAFRNHIGDDPCSPHNNAVRSCEELKKQAGDIDKVMHAQTSEQIRNNQLRLKTSIDVVRWLAFQACAFRAHRLQLTVVAASREVPSVHEFFNNLTFIINCVDALCKRRNELHAIHKAKIERLVSSSEIVTGKGANHVSTLQRAGDTRWGSNYYSICSLLVLFDETCSVLENIKKDGSTYSQRGDVSAALNMIESFEFIFILHLMKELMGISDILCALQQKSQDILNAMHLVSSTKMLIEELREEGWNGLLENVNSFCENHDLSAQFGGGRRRRQQDQITIEHHYHFDIFNVAIDFQLQELNNRFNVQAMDLLTLSSTLDPREKFKSFNIDKICTLAEKHYHLDFTEFCDEKNRLKVLFKSTDVSHKWASPTIRWVKLNTGSVSDKDDEIAAISVRRVAIVALDWVASHVKKDMCPSD >OMO60241 pep supercontig:CCACVL1_1.0:contig13751:776:4536:1 gene:CCACVL1_24308 transcript:OMO60241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSKSTADLENPLQLASATPKIDDNRVSIKGAAVNCGGCNDVTNRRKFDRALEIWSTTNADGWFKSMLNFALFCSKLVRLNSNDHYIMT >OMO60240 pep supercontig:CCACVL1_1.0:contig13751:1:569:-1 gene:CCACVL1_24307 transcript:OMO60240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKGSHGTENSSSNSLVSRPSGSGQQSIKEMGEEEANSQEEEIEQAFVDDANKGNGEDTDSAVGQDRVGASAIVTLSASQCHSWIEEGNRKAESGFPTALGFISKYRSGETKQSITHAKTRACVFGLTGSSSYQFCMPSADDRIFHIRNDVKRLFFYKSAFDMGFRFPCHPFLADVFTFYEIAPSQLM >OMO89443 pep supercontig:CCACVL1_1.0:contig08716:36784:37984:-1 gene:CCACVL1_07819 transcript:OMO89443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRCCSKQKVKRGLWSPEEDDKLVKHITAHGHGSWSSVPKLAGLQRCGKSCRLRWINYLRPDLRRGTFTAEEEQIIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLLSQGLDPKTHNLLSSRQRASNINKLSSQSQYSSEQPFTISNIRSSTDHTTTPTVMINMNMNPPVLTLPAALPDQPMAMQIPNSESRTHQIDPNKEFPYVSSIDSSTINMSSSSSSSIHHLSEFNYNLLDDQNPCINWDANVIVNETTFEAPRVEGIQQQEREKICDMEINKIVQVDNMDMVDAAPSFDSCSFDLSLLESTLMSSAICRDFNSMDEFSWNF >OMO89444 pep supercontig:CCACVL1_1.0:contig08716:48150:49727:-1 gene:CCACVL1_07820 transcript:OMO89444 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MADSTPLLSHYDESSEQKQKPRSLDDTIERCIGDFGWSQFLQAILVSLAWVFDAQQTFISVFTDAEPSWHCSDQSSSSSTICNSLSNICQLPKDSWSWDLPLETSIISEWGLECSSSFVTGLPASSFFMGCLIGGLVLATLADSTLGRKNMLFFSCLMMSLSSLLTVFSSNVWIYSALRFVNGFGRATIGTCALVLSTELVGKRWRGQVGVIGFFCFTLGFLSLPLLAYINRGSSWRNLYLWSSIPTILYCILVRFIVHESPRWLFVRGRKEEAVSTLKSMAAGQSILTMSFSNVPMEQESWNVDIYSTIKILLNKRWAFKRLAAVMVAGFGVGMVYYGMPLGLGNLSFNLYLSVAFNALSELPSSLITFFLIGKLSRKWSLVGFTILSGICSVLCVVVGRISPVLEMGLELVSFFSACSAFNIELIYTLELFPTCVRNSAISMVRQALVFGGVFSPVLVAAGRKNSFLSFGVFGVVIGLCGLPALGLPETKGETICDTLDEEEHKELEKKAAAAGSNNAAALLA >OMO98789 pep supercontig:CCACVL1_1.0:contig07023:7181:7246:-1 gene:CCACVL1_04066 transcript:OMO98789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDIPTAPNTVGRSLLINLD >OMO82166 pep supercontig:CCACVL1_1.0:contig10066:23670:27391:1 gene:CCACVL1_12051 transcript:OMO82166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNPWLKHFFPLLLTFFFFSPFPLLQPSLALQSDDTYVRQPPRQIVDTPHRRSKSDPQQVHISLVGKDYMRITWITDDKNVESKVEYGKVSGKYDATAVGEHTSYHYFFYSSGKIHHVKIGPLEAATTYYYRCGGHGPEFSFKTPPPNFPIEFVVVGDLGQTEWTASTLTHVDSKDYDVFLLPGDLSYADSHQLLWDSFGRLVEPYASRRPWMVTEGNHEVETFPIIYPHGFKAYNARWLMPYEESGSTSNLYYSFDVSGCHIIMLGSYTDFDQDSAQYKWLESDLGKVDRTKTPWVMVLLHAPWYNTNLAHKGEGESMRKSMEDLLYKARVDVVFAGHVHAYERFTRIYDNKADPCGPVYITIGDGGNREGLALMFENPSSELSLYREPSFGHGRLRIVDGTRAHWSWHRNNDSDAYVADEIWLENLTKSKSCWLNTQDNDGSKVNKDEL >OMO82164 pep supercontig:CCACVL1_1.0:contig10066:10009:11727:1 gene:CCACVL1_12049 transcript:OMO82164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDTESQSTEVESGMETGTETEWEDTESQSTEVESGMETGTETSESESEAPRVRCLRNWLELPREVTASILLKLGAIEMIESAQKVCTLWRNICKDPSMWRFIDMENLGDLHDMPYCLEKMCRHAIDRSSGGLVSINIEYFGTDDLLAYIAQRASQLRCLQLACCYSISDEGLSEAASKLPLLEELEIYVGNTGKRAIEAAILDGCPHLQSLDLRKCFHVNLEGDLGKRCAQQIKYLRRPDESTHGYKFITEVDFHGYDGFDGYDDDLNSDWSD >OMO82165 pep supercontig:CCACVL1_1.0:contig10066:12787:16347:-1 gene:CCACVL1_12050 transcript:OMO82165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSGGFIGGVVSYDRPPARKDIFIPKLNQLSPNSPEQVHISVVGADKMRITWITETSAPSIVEYGTSPGHYPTSATGTSSSYKYLAVYRSGEIHDVVIGPLNPNTVYYYRCSSDSIREFSLKTPPAHLPIKFAIVGDLGQTGWTNTTLDHIGKSNYDMLLLPGDLSYADFVQPLWDSFGRLVEPLASQRPWMVTQGNHEVEKIPVVHSTPFTAYNARWRMPYEESNSGSNLYYSFDVAGVHVIMLGSYTDFDSDSDQFKWLQADLGKINRGKTPWVIVIIHAPWYNTNTAHQGEAESEDMKKYMEGLLYEARVDAVFAGHVHAYERFSRVFDGKADNCGPVHITIGDGGNREGLATKYEDPTPEISKFKEASFGHGQLEVLNETHALWTWHRNDDDVNVVGDSVWFTNLSSDPACKKS >OMO94198 pep supercontig:CCACVL1_1.0:contig07944:18513:26658:-1 gene:CCACVL1_06114 transcript:OMO94198 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MTSGSGSEAGLPKPVDDALRALEQRWDQRFHEQDVRQRRFETLLETILERLDTLGIDANNRYDYDRENSDFRLKVDIPNFNGCLGIEEFMDWIAEVDRFFNYLDTPAEKRVKLVACRLKGGASAWWDRLQSRRVRKGKNLVRTWYRMKFLLQQEFLPPDYEQILFQAYQNLKQGAKSVHEYTADFMRGRIGVQVVRTLSEVKNLVLKAELMQQERSRRNYDNYRDDGSDDKDKKELTDRKFNNNNRQNYREEKAVGKRVVAEGGDNKKGDNNPYAKFHGVTCYRYEDDEEDDYDEDDKQIYVVRRMMLTPKSETQTQRHQLFKTRCTINGKVFRLIIDSGSYENIISKEAVRKLNLPVEKHPTPYSLWWIKSESRQLDVTKCCKVPFSIGKYKDEVYCDVVDMDVCQFLLGRPWQYDLDVLHSGKSNQYRFEKNGEKFLLLPMQKSDKSKEQKTFLSVAKDFGSEMKESNELYALVVKQQVELQVEEHPDLVQPLLLEFKDIMPDDIPDGLPPMRDIQHHINLIPGARLPNMPHYRMNPKESEILKEKVEELLKKGLIRESMSPCAVPALLTPKKDGSWRMCVDSSAINRITVGYKFPIPRPDDMLDRLTGSAWFSKFDLKNGYHQIRIRPGDEWKTAFKTKEGLFEWLVMSFGLSNAPSTFMRLMNQVLKPFPGQFVVVYFDDILIYSNSEEEHLAHLRQVLEVLQQNKLYVNLKKCNFLTRQLLFLGFVVSADGVQVDESKILAIKDWPEPKNVSELRSFHGLATFYRSFVTLKEKLYTAPVLALPDFDKVFKVDCDASGVGIGAVLSQEKRPVAFFSEKLSDSTRKWSTYDKEFYYVVRALKTWEHYLVGKEFVLYSDHEALKYLNSKKRISSDMHARWCQYLQKFPFRLQHKSGVQNKVADSLSRRVTLLTTLSSSILGFEQLKGEYEDDEDFSEIWSKVSNKQPSAGHVGRDKTLEVVKERFYWSHLRRDVCKFVEKCYTCQTSKGQSKNTGLYMPLPVPENIWEDLSMDFVLGLPRTQRGVGSIFVVVDRFLKMAHFIPCKKTSDAVAIARLFFKEVVPLHGVPKTITSDRDNKFLSHFWRTLWKIFDSSLNFSSTAHPQTDGQTEAVKRTLGNLVRCLYGEKPKQWDIALPQAEFAYNNAVHSATDRTPFSIMYIKAPSQTLDMVKLPKGNGLNVSAKHLAEQVVEVQQAVKQKLEAANQKYKQANDKYRRHETFEVGDQVRVFLRKERLPVGTYSKLKPKKYGPYTILQKINDNAYIVDLPDNMGISKTFNVSDLSKFHDSSVPLYPNSRTSFSQVEETDADELGISFMDLWTIRSPFERACNVLDFWLEAPVDFEDDEQFQSTVISQSSNQSPNPVEHDPDAEVVALSPRTLMATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRTTTQVKKRVYVCPEPNCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHTKICGTREYRCDCGTIFSRKDSFVTHRAFCDALTEENYRVNHNLGASGGILQSQAQELFTCDTGSNANTMMNLSISNENMDNSPRPLSLTSAGVMISSNLDSIFNPRTSPLAMGSAYTSATALLQKAAEMGAKISDNTIAPILLRGFTGYSTSGLNSSGAVQEGSSMVGSNMATHATSTNNFYVGEETYEKNLEPGDPRSLNTVPPALFDSHFLHSEENGNTANLLGEVYMGGSEKMTVDFLGVEPTGHQSISKKRSYDGNIVNLGYSNGQKSLNHLRSNW >OMO94197 pep supercontig:CCACVL1_1.0:contig07944:15133:17581:1 gene:CCACVL1_06113 transcript:OMO94197 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MYAAPLPSQLSDSNRRIIDNNATTLRVYQVWKGSNRFCLGGRLVFGPDIRSIFLTISLIVIPVILFCAFVSRRLIHQFNNHVGNLIVAILVIFTVYDLILLLLTSGRDPGIIPRNSHPPEIEEDGSTMSTDWPGAASQSSVSGVPHLPATKDVVVNGVIVKVKYCQTCMLYRSPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTTLCLYVFALCWVTIKKLMDEYHCSLWRAFMKSPVSGILILYTFVTAWFVGGLTVFHLYLIFTNQTTYENFRYRYDSKMNPYNRGCVRNVFEIFFSKIPKSKNNFRELVKLDSSALFAPSMPSGRTRTMSPELPKTSYDVEMGKRQAVAAEDFEEIHNQIDSVGGLERCGTQPRHTNQGDKANWEISPDIRMLAAEFGMEHNINDREKAHRDH >OMO69812 pep supercontig:CCACVL1_1.0:contig11978:1742:1912:1 gene:CCACVL1_19249 transcript:OMO69812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PHKENTVQEIQCILWKFTYSSKEKGVAQGQKLTKQYMEQFMKWRRTDLQRAVRGVD >OMO53960 pep supercontig:CCACVL1_1.0:contig15089:6156:20059:-1 gene:CCACVL1_28176 transcript:OMO53960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose/H+ symporter, plant MENGRENPEPMWKIIVVAAIAAGVQFGWALQLSLLTPYVQTLGVPHAWAAFIWLCGPISGLLVQPIVGYSSDNCTSRYRRRRPFIAGGAFSVVIAVFFIGFAKDIGYRSGDSLDGKTKPRAVALFVIGFWILDVANNMLQGPCRALLADMSGNNHKRMRVANGWFSFFMAVGNVLGYAAGSYSNLHKLFPFTKTTACDVYCANLKTCFLIDIILLLSITMTAITVVKETPRSNELVEMKEKSGVPFIGELISAFKSLKKPMWILLLVTCLNWIAWFPFLLYDTDWVGKEIYGGKVDGNKDEKKLYDIGVRTGALGLMINSIILGFASLGIEHVGRLLGGVKNLWGGVNFVLAIGLALTVWASKMAQSWRAEHATQLMDGPPHNIKAFALSIFALLGIPLAITFSIPFALASIYCSTSGGGQGLLLGVLNISIVVLQMFISVVSGPLDAAFGGGNLPAFVLGSIMSAVSAILAIVALPNPPPQQLSLNPVFSDAMVIKSSFSDSFSQFLWESSSFQLQQASDGGTIALDWLTSSDVSRGTYEMNHAISKDDTTPLVVVVPGLTSDSASAYIKHLAFGMAKHGWNVVVSNHRGLGGVSITSDCFYNAGWTEDVRVVLDYLHLRYPNAPLFVIGTSIGANILVKYLGEDREKVPLAGAVAICSPWDLLIGDRFICRRLVQKLYDRALTIGLQGYAKLHEPQYSRLANWEGIKKSRSIRDFDNFATCLVAKYETVDAYYRRCSSSSYVQNASVPLLCISALDDPVCTREAIPWDECRANKNIVLATLQHGGHLAFFEGIRASRLWWVRATEEFLDHLHCSPYMHVQKTENSDQQLSLESTIDQGPFVNVAEDGMVAAVGNEHAQNNIVEDASGLRNSQPKNCDDGVSTSEQNKHLTASKSDVILSATQVSEQSSNLQDVKSFDVISPWKRFLNHLSHQNGKSMWLLVYIAIITSWPIVGSALRIFSRKKLRNVSPAASQRR >OMP12164 pep supercontig:CCACVL1_1.0:contig00338:933:1058:1 gene:CCACVL1_00092 transcript:OMP12164 gene_biotype:protein_coding transcript_biotype:protein_coding description:proteasome component C1 EKAYGRVKKDEQHWNRLRSLRYHFLSRRPRFSDRVRRQSRR >OMP05174 pep supercontig:CCACVL1_1.0:contig05499:4273:4467:1 gene:CCACVL1_02030 transcript:OMP05174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIEFYRLFRPPYYPVSPYFVHVTGPEGTWLPVYEPELNGNQANGYVIHYRYKKIRANSSHTHA >OMO61172 pep supercontig:CCACVL1_1.0:contig13573:2791:11186:1 gene:CCACVL1_23713 transcript:OMO61172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLRRAGKVGEFVSVFVNEKQLCVYIASDGGRVCRPLVIADKGISRIKEHHMKELLDGVRSFDDFLRHGLIEYLDVNEENNALIALYEGEATPDTTHIEIEPFTILGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKRYSGVIQKYDNGASDRILRPQRTGMGSEKMQILDDDGIAAPGEIIRPDDVYINKQSPVITRGSRITSAEPLPDNAYRSARQTYKGPDGETCVVDRVALSSDRNENPCIKILIRHTRRPEVGDKFSSRHGQKGVCGTIIQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGHADKVEAISETLIKHGFSYNGKDFLYSGITGAPLQAYIFMGPIYYQKLKHMVRLQFFMLAYVFDDPVLDKMHARGPGPRVMLTRQPTEGKARNGGLRVGEMERDCLIAYGASMLIFERLMKSSDPFEVQDLGRLLIEDHYFYAACGCHKCDTVL >OMO61173 pep supercontig:CCACVL1_1.0:contig13573:29177:29680:-1 gene:CCACVL1_23714 transcript:OMO61173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKINSKKEIKRTENAMICSEFVSRKGQMQARMKKLRMKIKEDKRKEERLEEEVAAKRENSCSATGVDATETERSMCLKPRSVVTTKCRRGSRRIIFAVTGAPPVERMSCTE >OMO86547 pep supercontig:CCACVL1_1.0:contig09459:11804:17879:1 gene:CCACVL1_09562 transcript:OMO86547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMLVRVDSSGSETITYGKARLKTRRIGLQGGKLVKPNKQFKGSKV >OMO86551 pep supercontig:CCACVL1_1.0:contig09459:34959:36341:1 gene:CCACVL1_09566 transcript:OMO86551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTYAVAWVHPERKLSTRIDSQGHTSPTWNDKFVFRVDDDFIHGDTSAVMIELYAVHWFRDIHIGTVRAIVGNLIPPMSRPNKSREVQLGMRFVALQVWRKSGRPQGILNIGVALLDSSMRSMPLYMQMGSSAVGYRHLMGEEDPFQNSNNNHHPAAASTTTKSNTNNHLFLLTGSIPELRRSKSDSSSMVASEIGPRRSTKGGSIINGSIKGGSLVGFSIKEEDDTAPWNGGSVKGGSMVNGGSMVNGSDIGFGKVYKTNSRGSSLVNYMFEKQKKAKGGGSIVNGIEDPGKTGQKIYKKGQKASTATKSPGGLKIFNGWTDSELGPSPSEVAAAVAHRYYNNRMEEQESSIEGWSLDGSIEGLRSKLDRWRTELPALYDRGEFSSYVSSGNPTSNNTKSTLRHVRRDTSDDGGLFSCFGNICGCEISISCGPSGAAAARKKRSRVRRRTPSVDNLSFL >OMO86546 pep supercontig:CCACVL1_1.0:contig09459:11071:11187:-1 gene:CCACVL1_09561 transcript:OMO86546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSCGKRADAEIVRARMEEKGVRKQQGRSWVEANNSS >OMO86548 pep supercontig:CCACVL1_1.0:contig09459:22369:24171:1 gene:CCACVL1_09563 transcript:OMO86548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAATAVGSTFSPSIQTLKCMRFKQLTPDHNHSFLRIPSASARSISSISHSYFTHELFPTTVHKWRVLKVSADVAQQEAAAETAPVEESLVEEEEAAAAEEGGAQAESTEAEAEAEAESPVNTKLYFGNLPYNVDSAQLAGIIQEYGSPEMIEVLYDRETGKSRGFAFVTMSTVEDCQKVIENLDGSEYLGRTMRVNFSDKPRPREPLYPETEYKLFVGNLSWSVTSESLTQAFQEYGTVVGARVLFDGDTGRSRGYGFVSYATKSEMEAALQSLNGVELEGRAIRVSLAQGRKS >OMO86552 pep supercontig:CCACVL1_1.0:contig09459:44465:46215:1 gene:CCACVL1_09567 transcript:OMO86552 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MEGGLVLLGGGGGGGGGGGCSGGGGGSSGVSTNESAVSKVEVVSEANSYPAESELELGLGLSLGGSGGGSGKTKAGASSSSWGECGRILTAKDFPSVVSHHRANNNNGGPPSVAVSGTKRAAAESVSHEGGSPTAVSQVVGWPPIRAYRMNSLVNQAKAPRAEEEKGIGEKEKSKDANSKKKPNNGKQINSAVNEKGHLGFVKVNMDGIPIGRKVDLNAHSCYESLAQALEDMFLRSVPTINSIGAEKEQVTKPSKLLDGSSEFVLTYEDKEGDWMLVGDVPWRMFLSSVRRLRIMRTSEANGLAPRVHERNRSKPI >OMO86545 pep supercontig:CCACVL1_1.0:contig09459:854:2607:1 gene:CCACVL1_09560 transcript:OMO86545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVLFLSMLDSYFPVKKHQVEDVPTVAPAKIPKAYVAEEEKPSDSKPEEAEKNKKKTDEEDRPNIRVNSTPRPRAVISSPDNDAVIGHKNRIKEEDRKALKNCTVQNRHTTRNHIFARSPVKTRKSNDEAECNIEIRGKKGSGPTVSSQRRRLRTERPSWQDP >OMO86549 pep supercontig:CCACVL1_1.0:contig09459:26314:29977:1 gene:CCACVL1_09564 transcript:OMO86549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRYPIPAVTAAQVGTYFVSQYYQVLQQQPDVVHQFYSDASTMVRVDGNNRETAAARLQIHALILSLDFTGIEIKTAYSLESWNGGVLVMVSGSVQVKDFSSRRKFVQTFFLAPQEKGYFVLNDIFHFIDEEQIHHHPAILLAQHNLDSKLNASAIVPEPVPNYLLGGDIQGRDFLAPADIEENGPVDEYIFPEQQLQQAPESESIVENSSMQESNGSRVHMMNSVQEHLPSSVEEPAGEPQKHTYASILRVSKGQSAPSAVPQISVSKNTPPASDWDHTPQPTAQQPFVSSNAVDRSGADMVEDVSAMEDEGEIKSVYVRNLSTSVSESEIAEEFKKFGEISPDGVVIRSRKDVGVCYAFVEFEDMTSVHNAVKAGVAQVAGRQVYIEERRPNSYIPSRGGRGRGRGRGTYPTDAPRGCFGGRSYGRGGSYDGSERDYTRPRGNGFYRPTARQDRGLSSYPES >OMO86550 pep supercontig:CCACVL1_1.0:contig09459:30553:32653:-1 gene:CCACVL1_09565 transcript:OMO86550 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MLRSAPSFSVFPQGVEDDGEVGEEKLVRTVTIGDNIDAIGNADFSFMMKKELSMQLIQEEGEEEEERPYRFQRSYNEEVDLEPPSPPMYLATGLGIDAMADGIDLSSIDLDENGDPEQFHKRLVDEYPCHPLFLRNYAKFLQSKGDLHGAEDFYHRATLADPENGEILMQYAKIVWELHQDKDVALSYFERAVQASPQDSNVLGAYANFLWEIEDDEEKTEPASPSLRPPNPAGFANHAVDLLEVKNILDVDIEDYYRRMVKENHSNPLVLSNYARFLHESKGDLEGAEGYYSQAIQADPSDGETMSKYAKLVWDLHHDHGKASHYFERAVEATPENSHVLAAYASFLWEADEDEEDNTRQDQTQVSLQHGTLFTINS >OMO85734 pep supercontig:CCACVL1_1.0:contig09589:7643:14405:-1 gene:CCACVL1_10032 transcript:OMO85734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERAENNQIIGDKKKNGTRKIIFGKYEMGRVLGQGTFAKVYYGKNISTQESVAIKVINKDHVKKEGFLEQIEREISIMKLVRHPNVVELKEVMATKSKIFFVMEYVKGGELFAKVAKGKLKEDSARKYFRQLISAVDFCHSRGVFHRDLKPENLLLDDDGNLKVTDFGLSALPEQQRNDGLLHTQCGTPAYVAPEILKKKGYDGAKSDIWSCGIILFVLLSGYLPFQAENVMKMYRKIFKAEFEFPPWISNDARRLISKLLVADPEKRISIPGIMRNLWFRKGFTTNPVSDSFEESTVEKSPSSSPPFYNAFELISSMSSGFDLSNLFEKNKKPASLFTSKCSASAIMARLESLTKKLNFRVLSMKGFKVKMQGKVEGRKGKLAVTAEVFAVAPEVAVVEFSKSSGDTLEYNKFCEEDLRPALKDIVWSWQGEGFDWSEPAMANRTDPAAKVIRGTNPQNLMEKIVRTKIYQNTYWKEQCFGLTAETLVDKAMELDHIGGTHGGSRKPTPFMCLVLKMLQIQPEKDIVVEFIRNDDYKYVRILGAFYLRLTGTGADVYRYLEPLYNDYRKLRLKSSDGSFSLRHVDEVINELLTGDYSFDIALPPINDRRTLELLGTLDQRKSVLEDDFEEEEEKEENYQDGAENEPAHEKDYYQARSPVRETDRHRRRDSHRYSFMFPSWHLKPWMVEQLPIEISYDPARDQGYERSRDYYSERGCYRDRERDRNRDRDHYHLRDKKEYGCDRERDREREGRKPDRKDRDHGRQRNRSRSPSTRDRKRHAHGSISPRGHQAQDESAREKTNKKKAKNDDGTDHPDPEIAEANRLRASLGLKPLRL >OMO85735 pep supercontig:CCACVL1_1.0:contig09589:21167:24270:1 gene:CCACVL1_10033 transcript:OMO85735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLVSSFYQTQPPNYLASLESSIALRFAETVLLHQIMLSFRLSRVGFWIARVLSRGGYTNISRARFRPSLCRQYQPSLPEILPETRVFQGALFQKHHDFSTSASNNASEGGNEQEETISVTFVSKDGEETQIRVPVGMSMLEAAHENDLELEGACEGSLACSTCHVVVMDMEYYNKLEDPTDEENDMLDLAFGLTETSRLGCQIVARPELDGIRLAIPAATRNFAVDGYVAKPH >OMO93107 pep supercontig:CCACVL1_1.0:contig08124:3318:3386:-1 gene:CCACVL1_06624 transcript:OMO93107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSTHYSMHGNTPFRFIGIKAEQ >OMP11973 pep supercontig:CCACVL1_1.0:contig00628:8735:12211:-1 gene:CCACVL1_00190 transcript:OMP11973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNVVGRGNGDDEVQMVIDADKLLWDEDQESMMAAGGYVNSISSAEMDSLTAICDAFLPSLTHVVSHDHDAVATFYHSCASMAATPQRVGGLMSERLKHPKKWLIRLALLLLSTRLGTLILCGTPSISTKFPFFHAFSRLSPRKREEILLSWSLSFLHPLRMLFKALKLLTHLVFFSQVDEKNENLSWKAIGYCGVDPDFKANQLKNSKQNGCGNSIPSKESQGISKQEYIEERFGPLYKGLINLKHPRDIVADNLRRFGFPVSVPTPTNMSHHDPSLIIKCDAVVVGSGSGGGVVAGVLANAGYKVLVLEKGNYHARSNLSLLEGPTMDQMYMSGGLLATDDMGVVILAGSTVGGGSAINWSASIKTPQHVIKEWSNQYQLELFDSKLYREAMDVVCEKMGVQSEISEEGLNNAILRKGCEELGYPVNDISRNSPPDHYCGWCCMGCKDGKKKATSETWLVDLVNSGNGVILPGCETIKVLHKKGEAIGVAFELENNAGEKSTKDLCIVESKVTIVACGALSTPPLLKRSGLKNGNIGKNLHLHPVTMTWGYFPEEEKKSYEGGIMTAMSTVVANMDKSGYGAILQTPSLHPGMFSILMPWISGADIKKRMLRFSRTVHIFALARDKGSGNVHSTNSIGYEMERSDEENLKKGIEKMLRILAAAGAEEIGTHNCKGKSLNVKKASSDEFERFVKEESRRPLRDLNTQICSAHQMGSCRMGIDPKQSVVNQRGETWEVEGLFVADSSVFPTALGVNPMVTIQTIAYCTAQSVVEFLRRKKSDESQS >OMP11971 pep supercontig:CCACVL1_1.0:contig00628:697:4289:1 gene:CCACVL1_00188 transcript:OMP11971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESSSNSKNAGDSYLGNFISLISKYEIRYEGVLYYLNVQDSAIGLKNVRSYGTEGRKKDGPQVPPSDKVYEYILFRGSDIKDLQVKSSPPVQIDEQIPDDPAIIQSQFAGVPLSTSVSASVGGRTLTESTQWQDTPALTSKVHPSALPTHQFASQVDLSSSSNHSQVAQNAGSPLFSTSSYWQVQSGTPTNVTQAQQNPIPLQSPSMFSSPVPLQSHEKSLDLYSSPVMGLIDAPKPVNPVLSSVISSSLHSTVTGTFTPVQGSMSTDLPSCSSINASSPSQAAYAANRLTMSLFPSSVKDTNITESQSVGKALTSPVAAGPLSVYPTPVPYSASSSGSLLTSPSLLTPSHLAHHVLPATHNNPDHKDTTAVVSPLSYTPSLNSTPVSQPPLLPLPTPAQQSICSTLQFTEEFDFEAMNEKFKKDEVWGYLGKVDQKGEAEGLEDGVANQSMVDQQGLFPQSKFDPKPAYQKDDFFDTISCNSQSRGSRNGQNRFSERMKLDSETFGNFQQRSHVAFGPYGGYGAGRAQNYRGSYNWGRGYGYGGRGRGGNMYM >OMP11972 pep supercontig:CCACVL1_1.0:contig00628:5636:6911:-1 gene:CCACVL1_00189 transcript:OMP11972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHPLGFVLIFSLIASLGLVSFVSCLVAEAKRTKRDDLKLDGNLCLLPASDAFGLGVAALIFLSLAQIIGNVLVCANYWFRGKARKANKPILTAILLAISWISFGIAVILVSVATSMSRRQPYGQGWLDGECYIVRGGVYISSGVLSLAAIFTLLGAAATMTTMNQVDQGQKVHNALCHQSIQFSH >OMO50512 pep supercontig:CCACVL1_1.0:contig16148:3291:3371:-1 gene:CCACVL1_30395 transcript:OMO50512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSGPTNTKLLVRGLARTRLGLLLL >OMO96037 pep supercontig:CCACVL1_1.0:contig07545:53020:55740:1 gene:CCACVL1_05109 transcript:OMO96037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLFLLQNGCESRQKPQASQFQSPFSSLRMPWKGKNKEIFNTRSDLNSTGKEGKQSRENVIAKASFNRSPHVINFRWRNLYPQGMAWDPSAEHFIVGSMNQRSIHSVSDAGVIETIVSNPTLPENVTVLGLTVDSTKKQNAYSLASTQLLLSLPSTHSLPTTSEPAAASSYLTYPPFDYLEI >OMO96034 pep supercontig:CCACVL1_1.0:contig07545:13829:13948:-1 gene:CCACVL1_05106 transcript:OMO96034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGKSKQGDRKTWNREGGRNRRARFASDFSLLLPHSQYF >OMO96032 pep supercontig:CCACVL1_1.0:contig07545:1840:4448:1 gene:CCACVL1_05104 transcript:OMO96032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKQIAGEGLPANIAGMSKNQLYDIILIEQNHQQARQILIQNPPLTKALFQAQIMLGMVKPPQVIPTIPLPASQHPQQSVVQPPSQTNIQPAQTFPGQVGSQDQAVTSQTQPPIRKQHQNQTVTQISSAAVPTANLQSQPMPHSLQTPQQTKGHLNPPMTVTQSSQLPNVPPLPLHSSSQPPHHQMPTANSQLQQPLQTTGIPHMPLQPSMPPQGRPPSMPNYNHHYAPQMGPSAGFQHPGGPHHPSQPMFHSGNKPPALGHSFPQGQLPLPNQPPPQSMYQNQAGGLHLGSEFGNQVGGPMQSDRGSSWMSNQPDNSTLPQLQGPSPPVPSQMGPGNQPVRPGSLTPEMEKALLQQVMSLTPEQINLLPPEQRDQVISLQRMLRQ >OMO96036 pep supercontig:CCACVL1_1.0:contig07545:33461:33760:1 gene:CCACVL1_05108 transcript:OMO96036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAIYGSTSVDTWFLSFEV >OMO96033 pep supercontig:CCACVL1_1.0:contig07545:5857:11457:-1 gene:CCACVL1_05105 transcript:OMO96033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSVKLTEMPLRTSLPFTSYSSSLNLFFSAPKPSFRFFKPFSSLRTGNNGDSYPWDRQSSGYAASSSSSLRAFPSPSEKVIQSDGDGKADAESRYFDKDKGQSAIERIVLRLRNLGLGSDDEDEEEEDGIDEYNSRPVTGEERLGDLLKREWVRPDTMLEEKEKDEAVLPWEREEEEEVEVAVVEGGLGVKKRRVRAPSLAELTIEDEELRRLRRMGMYLRERINVPKAGLTQAVMEKIHDKWRKEELVRLKFHEVLAVDMKTAHEIVERRTGGLVLWKSGSVMVVYRGSNYEGPSSRSQSIDREGEALFIPDVSSASNAVSGSETGTVSTLEKSERVAVKPENAESMTEEEAEYNSLLDGLGPRFVEWWGTGVLPVDADLLPEKVPGYKTPFRLLPAGMRPRLTNAEMTNLRKIAKSLPCHFALGRNRNHQGLAAAIIKLWEKSLVAKIAVKRGIQNTNNKLMAEEIKNLTGGVLLLRNKYFIVIYRGKDFLPPSVAAALAERQELTKQIQDVEEKARIRAVEPAQSSEDKGQAPAGTLAEFYEAQARWGREISAEEREKMIEEASKAKHARLVKRVEHKLTVAQAKKHRAERLLSKIEASMLPAAPDYDQETITDEERVMFRRVGLRMKPYLPLGIRGVFDGVIENMHLHWKHRELVKLISKQKTLAFVEDTARLLEYESGGILVAIERVPKGYALIYYRGKNYRRPISIRPRNLLTKAKALKRSVAMQRHEALSQHISELEKTIEQMKKEIGGSQDIEDYANQGSFDPVSELSQSEDEALYTDSEGDEEFDDDEDEGEFDEDEEGDDNIDWEAEDDSSQSNFEKINSQRHW >OMO96038 pep supercontig:CCACVL1_1.0:contig07545:57046:57153:1 gene:CCACVL1_05110 transcript:OMO96038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIHANNTYPADFIAINLKIQTNVIDSSYQQLVP >OMO96035 pep supercontig:CCACVL1_1.0:contig07545:16515:16574:-1 gene:CCACVL1_05107 transcript:OMO96035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVGFGGLAVDYLEEMAH >OMO88467 pep supercontig:CCACVL1_1.0:contig09003:16870:17542:1 gene:CCACVL1_08371 transcript:OMO88467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IDVCLLKRTRNIGSKSKRLLIDSQDALELKLTWEEAEDLLRPPPSTKPSIVTIEDHDFEEYDEPPVFGKRSIFAVRSTG >OMO88470 pep supercontig:CCACVL1_1.0:contig09003:29882:35731:1 gene:CCACVL1_08374 transcript:OMO88470 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAELDFLASLQARDPFESGFFYVAEDGPSLCSPRDGNSSAKLSYKDVLGSPFSSSVQMVVDDVSDDEFEDDQSSIPCVHLSKEEKRRVRSPWVNALLLNLRGKSLPFKRNFGKSGKCFGSLQKVDYHTNHNSRGRFARFCVLVPCNKPLINKIKIGRLIQELQYEDVSCCFTCGIMGHKNTDCAKNKEDNTKVVEVEKSELGPWMLVNNRKNSKSRSRGRSRTKANHRGWSDRSQGQNDRHPVKIWRPKSKSNFEKSKEAGPSGTKQLCDGCCDPESVGQPTLVILDKGPTGTQNEEVAEFIGPCPNVSESEIPSYSHSQKSHVEANSEIKEGISGEFKSEKPHERQHITEEESGAYRCGANSGGENPKIGAEGQNFVSFQCADSSVVDDGNADFVERGGCGKTSKADDVNGDIDSTLSNKDLPSITPCEDLRSGSNNKRIGHHAMRLRKILRTARRRGMEWIDPIGFSGGIWLLWNADEFDVHIHSKTQQEITALAKVRSLNQSYAISAVYASPDRESRLLLWDYLACLGANLNLHWIWIGDFNETLCSLDKYGGRVVNPLNSSSLNDALSSCDMIDIGFVGPRFTWSNKNPISTLILERIDRAWINSAWLDSFPESTLFHLPRICSDHCPILFSTNASNPVFEEKPFRFQPMWFSDSSFFDVVKSVWDSNVGNFDSKILDFIQAVKEWNKNVFGNVFHKKNRLLARIKGAQLALANNPSQFLIDLEKQLQTDFIDILRQEETLWAMKSRIDWLKDGDKNTKFFHLTTKVRRKCNRIVALKDNVGNWLYNDDCKKHVIDFFSNLYTTEFPSHDLVANYEPPSIVKIDSQVHGRLVAIPSIREIKDALWSLKPFKAPGTDGLHAGFFQKCWDDIKDSLVQAIKAVFENNCMPDSWKHFLICLVPKTVSPDCIKLFRPISLANTCYKIVTKIIALRMKSLMNDIINPVQAAFISGRRASDNIILVQEALHSARVSSSKEGWMFIKIDLEKAFDRLEWGFIRDMLKFFNFPNNLIKLIMSCISDPSLAILINGSSSDEFHASRGIRQGDPISPYLFLLSMEYLSLLIENEVDNNSWNPIQIGRNGPKFSHALFADDIILAARANMGNCMTISRILDDFCHRSGQKVNKDKTKMWFSPKVSDSLAFDITNMLGFSRTNNLGTYLGHPLLVNKAKKADFGPLIEKIRNRLAGWKAKNLSLAGKSTLIQSVTSSIVDYPMQASALPPPVLDEIDRLQRNFLWGDSEEKRKIHSINWVNVTKAKRKGGLNLRRSRLRNVALMAKLIWRVKRNPENMWVKALCSKYNFDTFHKKSNNSNTWLSIRKGKDVFRRGCKKVINSGINISFWLDTWVGVVPLREIIHGPFNRNEENIKVSSCVNLDGTWNFDCVSFVLPDFIIDKIHAIPYCLQNPREDTFTWNLSSNGEFSLDTAYILAANDDFIFDPFWKKLWKSPCNNRIRHFLWLSAHNRLTTNSLLHNRQISDNFSCDLCIDSEEDCLHVLRDCTFATEVWQSFSLPDNFFDSLSIKDWIDLNLSSSMSFRNTPWPTLFAYSCWAIWKARNSRTFLGKVALPFTVKTQAVNLSIEFFHLAFNNTTAIKRNEILVSWIPPLQGWFKLNSDGSVEGNPGIAGSGGAIRDDQGQWVAGYSRKIGYTSSLQAEFWGLRDGLILAHSKGIQKLEVNVDALNVITLINNADISVHPLGNIIYDCRMLMQRFPCLNLSHCFREGNMVADALANNGRVQQMSFSVFDVIPYFVFDLLMADTAGISLPRLVNT >OMO88466 pep supercontig:CCACVL1_1.0:contig09003:384:1030:1 gene:CCACVL1_08370 transcript:OMO88466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTRILALKKKPPKAVDFYPSEDSSIPFHPSPSKPPRRIPQELWDFVSHQKLRTLRGCHSGRVGSLGWNNNDILTTGGMDGQIVNNDVRIVSHVVGAYRGHRSELCGLKWSTFRQQLASGSNDNLVHIWDRSMASSNSPRQWLHKLEDHTAVVKAIS >OMO88469 pep supercontig:CCACVL1_1.0:contig09003:27251:28801:-1 gene:CCACVL1_08373 transcript:OMO88469 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V1/A1 complex, subunit E MNDGDVSRQIQQMVRFIRQEAEEKSNEISVSAEEEFNIEKLQLVEVERKKIKQEYERKRKQVEVRKKIEYSMQLNASRIKVLQAQDDLVNSIKESARKALLRLSSDQKGYKNLLKPLIVQSLIRLREPAVLLRCREADRKVVESVLEEAKREYVDKIKVQSPPKITVDNVYLPPPPTTADSHETYCSGGVVLASEDGKIVFENTLDARLDVAFRQKLPEIRRRLVGQAGH >OMO88471 pep supercontig:CCACVL1_1.0:contig09003:36749:37678:-1 gene:CCACVL1_08375 transcript:OMO88471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase (Class B) MVKWVREIVLLFFFAILSKAMGTKPFGRMSGPIDQSSKDCLSWRLAVEANNLRGWRTVPTHCLRYIETYMIGGQYEQDINYVVEQIESYISDVVVSEDGMDAWILDVDDTCLSNLFFYKGKKYGCDPYDPLGFKAWAMKGGCPAIPAILGLFTKLVERGFKVFLVTGRDDETLGPPTIANLHNQGFIGYERVIFRKFEFESSSNDKIA >OMO88468 pep supercontig:CCACVL1_1.0:contig09003:21474:25275:1 gene:CCACVL1_08372 transcript:OMO88468 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MVSEGSFSSSNRSPAGVSKFANTFTTRIFSDVAGDITIVVDGEPFLLHKFPLVSRSGKIRKMVADGKDSNISKLELLNMPGGYQAFELAMKFCYGMNFEITTANVAALRCAAEYLEMTEDYREENLIARTEVYLNEVVALSLEKSVEVLCTCETLPSIVEEVGIPSLCVEAIAMNACKEQLVSGLSRLECDGESSELKSGCLEWWIEDLSVLRIDYYAKVIGAMGRMGVRPDSIVESLMHYAQTSLKGVGKSQIWNPVRMKPNPGIEENDQKTIVEALVNLLPTEKSSSIPLSFLFGMLRMAIMVEVTMACRLELERRVAFRLEMVSIDDLLIPSLRAGDSLFDIDTVHRILVNFLQRIEDEENDEDCGYESDGLGSPSHDSLLKVGRLIDTYLAEIAPDPYLSLQKFVAMIEILPDYARVIDDGLYRAIDIYLKAHPMLSDHECKKLCKFIDCQKLSQEASNHAAQNERLPVHMAVRVLYFEQLRLKNALSGNSGDGFLSQKLSSGVPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMFMKRGMMDKSGTGNTFLTSLSKGIGKIGIFSGPAGGKRQKASRKSRGADGKTGRSRRFVIKKGEKKSMFGVGLERAGELKKKFYRDLLTKLDTTSNKLPADSDSKQD >OMO71499 pep supercontig:CCACVL1_1.0:contig11613:36888:39093:-1 gene:CCACVL1_18194 transcript:OMO71499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTMGYNDGGADFNMPDSFNGRKLRPLMPRPIPSTNNPPCLSRINGTDLFALNHHLTVGDQNKREFNTQPVVVSSRWNPTPEQLRTLEELYRRGTRTPSAEQIQHITAQLRRYGKIEGKNVFYWFQNHKARERQKRRRQMESAPDEDIFERKDSTGANRTGYEVEQTKNWAIPINCSTLAEESVSIETAAKASVAECRVADGWIQFDEGELQHTRNNVERNATWQQQQQQQKIQFSNTNLINNSTTSAGAATPTIRKMDPKQSSLIKTHDHLNIFIAPNVLDHLINHNQEDHHHQDHDHGDSQTLQLFPLRSDNVKEKENGMISVAAAVSDNFTTPYQFFEFLPLKN >OMO71500 pep supercontig:CCACVL1_1.0:contig11613:45678:51670:1 gene:CCACVL1_18195 transcript:OMO71500 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin MREILHIQGGQCGNQIGSKFWEVVCGEHGIDPTGGYTGNSELQLDRINVYYNEASGGRYVPRAVLMDLEPGTMDSIRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICLRTLKLATPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASALFRGKMSTKEVDEQILQVQNKNFSYFVEWIPNNVKSSVCDIPPQGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDASADDDDGQYEEDDESRRQSLANLCFDPTISSSSLSCSSYFNSPVTLYSTNKKHLPNARSNDDDGNSDHEKVEKEITRKNAEIEGKLVARNRPLWQNLLFASKKIRSIVLLNFITIVYASDIPVIKTVETIMDPAPFSAVRFVMSAIPFLPFVVRARDDVKIRNAGIELGLWVSLGYFVEALALVTADAGRASFLSLFTVIVVPMLDSMLGAIVPARTWFGVLMSALGVAMLECSGSPPNFGDLLSFLSAIFFGIHMLRTEHLSRSTKQENFLALLGYEVCVVALFSTLWVVIGGWFDGIQDVEKSSLTWELLWDWMVAFPWLPALYTGIFSTGLCLWIELAAMREVSATETALIYGMEPLWGAGFAWFLLGERWGATGWIGAALVLGGSLMVQILGSSSPKGSIESERRNQQGNLLLVSEIEKGKLQKKLSTSPVVVRSRKDMMDMF >OMO71502 pep supercontig:CCACVL1_1.0:contig11613:60959:61057:-1 gene:CCACVL1_18197 transcript:OMO71502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGVDRQPATAIMSEWKASTVVPDMGGDSFL >OMO71498 pep supercontig:CCACVL1_1.0:contig11613:22773:23361:-1 gene:CCACVL1_18193 transcript:OMO71498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPDLLNLTVDDHETQVNLW >OMO71501 pep supercontig:CCACVL1_1.0:contig11613:52944:57615:-1 gene:CCACVL1_18196 transcript:OMO71501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MAANGIGGDERNVQRSYWMEHSVDLTVEAMMLDSKAADLDKEERPEVLSLLPSYEGKSILELGAGIGRFTGELAQKAGNVIALDFIESVIKKNESINGHYKNVKFLCADVTSPDLNFPEGSLDLIFSNWLLMYLSDTEVENLAQRMVKWLKVGGYIFFRESCFHQSGDCKRKHNPTHYREPRFYTKVFKETHETDDSGNSFELSQVGCKCIGAYVKNKKNQNQICWIWQKVRSDNDRGFQRFLDTVQYKSSGILRYERVFGQGYVSTGGIETTKEFVGKLDLKPGQKVLDVGCGIGGGDFYMAENFDVHVVGIDLSVNMISFALERAIGLNCSVEFEVADCTKKTYPDNTFDVIYSRDTILHIQDKPALFRSFYKWLKPGGRVLISDYCRSAGIPTPEFAEYIKQRGYDLHDVKTYGQMLRDAGFDEVIAEDRTDQFIQVLQRELNEVEKDKDTFISDFSEKDYDDIVGGWKAKLVRSSSGEQRWGLFLAKKN >OMO71503 pep supercontig:CCACVL1_1.0:contig11613:61534:61813:1 gene:CCACVL1_18198 transcript:OMO71503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGYESKPWMYESKPWMNKFLGLFIGFMFRDFWRIQISKALCFGAGGDGDGVDGQKLGFSYLFLR >OMO89020 pep supercontig:CCACVL1_1.0:contig08865:13315:15166:1 gene:CCACVL1_08059 transcript:OMO89020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKNLMEDKQLDFNQPLLTVRRYTPPVAASESEGKRKTDNSLPKIPRPPVYKSELKSGPIRNPGTVPFVWEKSPGRPKEESNLQTKALQRPPVAPKLPPGRVVDDKQHSSRKASDGKALIPYQTGSVISSSQSVPSSNQIETNYESCSGELEETRSSGSKDSDEAYVDALSTLSRTESFFFNCSISGVSGLDELEIKPSGIFSTDPQTRDFMMGRFLPAAKAVASETPPYATRKQPVAREPEREIKKLVIVDKQQPLYVSSPNNFQDHAQDGWCDESEDEDDYSQSSDYSAKVCGLFPPFLLKSSFCLLNPVPGMKIPAQKPVKPAYSVRTRQAKSSYLRYSSETKDEHAKASAEKGLTVVSRMEGLTEDKKNLNIGSSLMSYKSDCQKANGASPIRHLQGNDVSVYPSQTAKLIHQEKGFLGIPEKAKNYRANSIDPLQKGSKNFQELLAIESINQKSGAGSPVVEKTLYVDSVHKVKSPDPYFSDKALALSQHMEDDSEILVKPIEMEETPSKHSSLQDTKYLNNVADEKAIVLHKSAESVDSYSLFSPVKDAPDMKLDAKDDYRRDQHLIQES >OMO89019 pep supercontig:CCACVL1_1.0:contig08865:8915:9010:1 gene:CCACVL1_08058 transcript:OMO89019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQANHELEGFDRAGSRTQDMARKVLQSFKQ >OMO89021 pep supercontig:CCACVL1_1.0:contig08865:16248:17064:-1 gene:CCACVL1_08060 transcript:OMO89021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKAAAPPTPPPPPPPLRGLPELPSSPQAKTTIEIIDMEKTIIQSNKRPPEPPRVTASSPGITNSLAINFADQFISYPALSI >OMO89018 pep supercontig:CCACVL1_1.0:contig08865:91:5284:-1 gene:CCACVL1_08057 transcript:OMO89018 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo repeat-containing protein 3 and Serine/threonine-protein kinase CTR1 MLSVWDSNARICWWSDGLQLEQFSTIEACHDMEETRDEAGPPEQGPSNATWWSSDFIEKFGSVSLGSHEESLSNRESPRNFGQDGLSSQTASQILWSTGMLSEPIPNGFYSVIPDKRLKELFDTIPTLEELHALGGEGYRADIILVDFEKDKKLSMLKQLTVALVKGLHSNPAAMIKKIAGLVSDFYKRPSTDSPAKAALEEASHMFENRSVQMLGQIKLGTCRPRAILFKVLADTVGLECRLMVGLPNDGAVECVDSYKHMSVIVVLNSMELLVDLMRFPGQLIPPSTKAIVMSHISAAGESDSAENDSCDSPLEPNSPLYGFSERVDPDSAEKDENIHFQRKIDGTGASLRNMMLRSSTSIDRKL >OMO53846 pep supercontig:CCACVL1_1.0:contig15146:6696:7924:-1 gene:CCACVL1_28289 transcript:OMO53846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MAKISCSAADVKPLIKLAKTSLIGAAETVVAALANLLSDSHIVAEALAEDVVSALKRVLGDGTSEGKKNASRALHQLLKHFPVGDVLIGNSQCRFTVLALVDSLNTMDMDTTNVADALEVVALLSRTKKGVNLTYPPWSALAEAPSSLEPLVQCLAEGPPPLQDKSIEILSRLCGEQPVVLSDLLIASSKSIGSLANKIINSVSVEVRVGGAALLMCTAKEHKQQSVDALDQSGYLKPLIEALVDMAKRNTRCTSLEIEVRAPRDFIERTAFQEGEVFDIPDPATVLGGIVALCLLSILSSCLSKNRITVMEAGGLEVLPNKLASYVSNPQ >OMO53847 pep supercontig:CCACVL1_1.0:contig15146:8736:9680:-1 gene:CCACVL1_28290 transcript:OMO53847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MSSPSEKELITARLLGIAKAKKEARALLGSHGQAMPLFISILRIGTPVTKLNVAATLSALCKDDDLRLKMLLAGCIPPLLSLLKSESTEARKATTNAIYEVSFGGLSDDHFGALRNLCGEKDGYWRAKLKAGGVVTIVGLISSDNAAAQSNAASLLARLMLAFSDSISKVIDSGAVKALLRLVSQNNDTSVCSNAADALEALSSKSIAAKKAIIDANGVPILIGAVVAPSKECMQGEYAQALQSHATRALANICGGTSDLILYLGELSQSSRLAAPVADIL >OMO53848 pep supercontig:CCACVL1_1.0:contig15146:10027:10549:-1 gene:CCACVL1_28291 transcript:OMO53848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESSRGDSASWDEHVGLGLITRSGSSSAFAFSTKEAGEQAKVAFVGNVKVSLS >OMO78229 pep supercontig:CCACVL1_1.0:contig10614:2321:4153:1 gene:CCACVL1_14562 transcript:OMO78229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSFCGVGDSSVSENPFTPKASVIRYWNKEIRSQISPDHFLLSKVSPLSAVDSASFSKLAAQNDLASRLPSFCSSAKLFCFPDLSPSLEKHSKDVNFAEYANKNFSNYGTDRLSGVDSFRNYSENDNVIVDSFRRYSRDSAGHKDQFANYASESNVVDQRFNTYGAAATGGAGNFNNYNREVNNPNLQFTSYSDDANGRGQTFTQYTENANAGNGQTFTNYGKNGNGAPNEFSSYGKGANVVGSGFSNYGELANGANDTFTSYGFDSNVPLNTFKGYGDGGNAAVEKFSSYRDQSNVGDDSFQSYAKNSNSAKVNFNNYGQSFNEGTDKFTGYGQGATGQTVDFKIYGKNTTFKDYAKKGVTFSRYNVTSAGTSQVMTASGNVVNKWVEPGKFFREKMLKRGTVMPMPDIKDKMPERSFLPRTISSKLPFSSSKIAELKQIFHAGDNSSLESMMLDALKECERAPSRGETKRCVGSAEDMIDFATSVLGRNVELRTTENVNGSKQNIKIGNVRGINGGKVTKSVSCHQSLYPYLLYYCHSVPKVRVYEADILDPNSREKINHGVAICHLDTSDWSSGHGAFLALGSGPGRIEVCHWIFQNDMTWTIADS >OMO78232 pep supercontig:CCACVL1_1.0:contig10614:38168:38227:-1 gene:CCACVL1_14565 transcript:OMO78232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGKGPPLEPRGPWGQFN >OMO78230 pep supercontig:CCACVL1_1.0:contig10614:19798:20803:-1 gene:CCACVL1_14563 transcript:OMO78230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLKILLLILAGLCFSGAVARNTLKQGERFNSSVQLVSENGAVTLNFIKQEYDRTWDGKDFGFYLAINYTVSLNDPVVLSSWGHPIWLANREDPIADESGVLVIDETGLKITHDGAQRAVRVMKQRMGASDGKGQIVEAMAISTKKDPLEPHIKTR >OMO78231 pep supercontig:CCACVL1_1.0:contig10614:23609:35516:-1 gene:CCACVL1_14564 transcript:OMO78231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAEAGQSYLPARKLPHTKYLTNSEIAGARVMVLSGILGTTSISKLRNTPFVL >OMO65393 pep supercontig:CCACVL1_1.0:contig12655:110:1582:1 gene:CCACVL1_21534 transcript:OMO65393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/gamma-adaptin-binding protein p34 LSTLDALRDWVSHTDLQNFDILLCIGNKVDCIPGHPVHAEYRKRQLKLSDSSADPSYDFSYYGISQTDGSSLLGDEDPSANIRSKCLEWCIDHNIEFIEACASNADFDKCLSVDGDLQGVERLYGALSAHMWPGMVLKSGDKITEPSLPEKKEESSEEEPDYEFDYEVLSAGSVEPWDDTSEVWISASESSNTVLDIGKSIDTDDSVTQSVQGNISRIEKDELQPLPTVSASEDNIDRVETNAVEPDQDSASDDGRHYGFEDLEQLMSEIGNIRSNLRLMPDFQRRAMAAKLAMKMAAMFGGDSGGDSDDEEET >OMO65397 pep supercontig:CCACVL1_1.0:contig12655:39125:41140:1 gene:CCACVL1_21538 transcript:OMO65397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSEAKISNVLHIEPVSGGAKGVGVTNVRRKTPTPHKISLTTG >OMO65395 pep supercontig:CCACVL1_1.0:contig12655:11660:14686:-1 gene:CCACVL1_21536 transcript:OMO65395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQIVKLPFFSRCCGYGSSTLFPLSKSYSPLHGRWVKAHNHSPFRSFAVTKSEKLQIPKKKKRLDEICLERFQQYSRTFIQSWILQGKVYVNGKVVNKAGTPVSDKAVVEIMAEIPKYVCRAGYKLEAAIEQLGVDVAGKVALDSGLSTGGFTDCLLQYGASYVYGVDVGYGQVADKIRRDERVCVIERTNLRYLSGLPQKVDLVTLDLSFISILLVMPAVVNAMKEEATLVTLVKPQFEARRSQVGSGGIVRDPQVHQEVLEKIIKGVEKFGFQSKGWIESPLKGAEGNTEFLACFTRTSEKSSE >OMO65396 pep supercontig:CCACVL1_1.0:contig12655:18732:22903:-1 gene:CCACVL1_21537 transcript:OMO65396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MKKGVNDFLDFTFRHLDESRAVRCPCKKCNNVNYEMRKEIHDYLIVNRINRTYQRWCHHGEPLSDSDDDSNDESGDEPDDENEETNKEDGTSKMLHDFGCAQLTNDTVVGGSSDIPDEVVDDPARSCSSDPNEEAAKFYRLLEEFEQELYPGCERSSTLSFIVEVLNLKCLYGLSANVIDGIAKYMRWHKEKPSTDESMAHPVDYPDWKSFDAVHPSFAADARSVRLGLATPGNDIDVYLEPLIDELKCLWDVGVETYDAFSKGNFQFRAALLWTINDFPAYDNLSGWSTKGKLACPNCNLETSSRRLQHGRKTCYLGHHRFLLIKHSWRKNAKAFDGMVKFGKLPKKTKRGDDQLLGNWRKNSIFCELPYWSSLKLWHNLDVMYIEKNVSCALLERLEKAIPLILCKLEKIFPPSFFDIMVHLTIHLVTEAKHAGLVQFRWMYAVERFLRRLKSYVRNKARPEGSIAEACIVEECVNICSRYLDKTETRLNRVGRNYEGSDDRCHSRLQVFSKVGKPLLGNAYEELSLSGWAQARMYVLENCEEIRNFVEKHKEELKMADPRNIERRHRKEFSQWFEKYAIKLHNEGSELANDLIFRERVHCYKSILLNGWRFNTRDRELQLKSQNSGIFVKGDDSTGDDDVVSLDRSDLATTVVEGNSVNINIRGVEEEDGDEKMMMNLCLPMIMMMG >OMO65398 pep supercontig:CCACVL1_1.0:contig12655:47829:58975:-1 gene:CCACVL1_21539 transcript:OMO65398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLRCQLSYLYRENSLTREKQMEEAEMDKKKVQTASSLNMMHLPPA >OMO65394 pep supercontig:CCACVL1_1.0:contig12655:8914:9655:1 gene:CCACVL1_21535 transcript:OMO65394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLNWDGTLSAKDFRIGAIAFAQKWETLNLGYPPWSWVPSPKHPPLTSPEEEGYLSLEKIRISRPKQEDVDQNSQNVTGEEETSCSEKEDDIDDATLW >OMO69934 pep supercontig:CCACVL1_1.0:contig11933:15261:15458:1 gene:CCACVL1_19196 transcript:OMO69934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWINKLVFLLAIVAILIAAMVTPTAFGFWPKKKPLFGCADHNQFCDGVIIQCCNPYRCSLPVVGG >OMO69933 pep supercontig:CCACVL1_1.0:contig11933:10633:12952:1 gene:CCACVL1_19195 transcript:OMO69933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MDNNNLEQRLLEQQEKSDLKTRVWVETKSTWRVAFPGMLSRVTSFGMIVVTQSFLGHTGELQLATYALIQSVFVRFINGILIGMSSATETLCGQAFGAGQFHMLGIYLQRSWIVDGVTATIVLPLFFFASPIFKLLGQEEEIADAAETISLWFIPMLYSMVFSLTMQMYLQAQLKNLIVGCLTAASFVLHLLLSWIFVYKLNWGVAGAMASLNLCNWAVVFGEFVYIFGGWCPNTWKGFSKAAFIDLIPLVKLSLASGFMICLELWYNAILVLMAGYMKNATVQIAAFSICLNVIAWEFMLCLGLFAAAIVRVANELGRGNAKAVKFAIKTIMTTSICIGMVFFVLCLVFGHQISYLFTSNEQVAEVISSVAIGSGFQALIAYVNLGCYYGVGLPIGAVLGYALNLQVMGLWIGLLGGVALQTLIFAFIVWRTDWDEQVRKASQRLNRWLIKPEEEDNESPPQA >OMO51182 pep supercontig:CCACVL1_1.0:contig15942:12934:17456:-1 gene:CCACVL1_29946 transcript:OMO51182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1, alanine aminopeptidase/leukotriene A4 hydrolase MPVLEEKVNGPLKTVSYQESPIMSTYLVAIVVGLFDYVEDHTSDGIKVRVYCPVGKANQGKFALSVAVRTLEFYKEYFSVPYSLPKLDMIAIPDFALGAMENYGLVTCREMALLYDEQHSAAANKQTVIFVALYVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSLFPEWKIWTQFLDQSTGGLRLDGLAESHPIEVEINHASEINEIFDDISYRKGASIIRMLESYLGAECFQVDWEIYRWLVALHSQFSSSGSHEDGQWIIPITFCYGSYDKKKSFLLQKKSEDHDVKEFISDSKESGIAHCWIKLNVDQTGFYRVKYDEELAARLRYAIGYKYLTATDRFGILDDSFALCMARQLPLTPLLTLIVAYREEHEYIVLSNLISIASKVGGIVADARPELRNDIKLFFVNLFQYSANYFTYGISDWTMHLGWDAKPGEAHLDAMLRGELLTALAKFGHVETLAEASRRFHAFLNDRNTPLLPPGIRKAAYVGVMQKVNSSDKAGFESLLRVYRETNSSQEKLRILGSLASCPDQVIVIEALNFALSSEVRSQDAVYALAVSEEGREVAWTWLKDKWDLISETYGSGLLITLFIHRVVSPFASFEKVKEIEDFFASRTKPTFARTVKQSIEQVHINANWVESIQNDKNLAQAFQELAYNFKI >OMO51183 pep supercontig:CCACVL1_1.0:contig15942:18019:22039:1 gene:CCACVL1_29947 transcript:OMO51183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MSTSNPTIPGIVPTPHIFTGENYQTWSVKMQTFLQAHGMWGVVEGKDPYVLPDNPIVKQMKYHETETAKAFKALSVIQNNVSEVIFNLIMTCKTPKEAWDMLKAEFEGSNKVRRLQVTNLRRQFENLKMKDDETVRQYSERIMTIINKMRLLGSADISQERIVMKLVDTLPAKYEAKLSSLEDTRDMSTVSLSEIVNALQAFEQRQAARDQDSVEGAFSVKGKEKAQSSGKGKKIQKKGKRKKQESSGDSTCSKCNQKGHMEKVCKNKQQQHKRAQVAEDDENQEEQMFVATCIASTSSRNREEWLVDSGSTHHMVHNKDLFRNVDLSYNSKVIIGNGEYLEVKGKGDIVVSTPRGNKVISDVLYVPEIDVNLISVGQLLERGYKSFSLKWKEPAAYAAIDNDSNLWHMRLGHVSNTALVQLQKHGMMLDLPKLATQSDVCEVCQLGKKVRVPFPLKSSWRVSKRLQLVHTDIAGPMRTPSLSKNRYFMLFIDDFSRFAWVFFMKHRSEAAGIFRNFKIWIETQSEFKIKVLRSDNGTEYTSESFEEFLKATGVEHQLTVTYTPQQNGVSERKNRTVMEMASTNVKGYRVFNPKTSKIAVSRDVTFKESALWNWEKSEAEVPDVVLPSNVQQQAEPDQFDDENVDDPVVRGTRSLDEIYNRCSVAVLEPNSFTEASKSQNVNRHKARLVVKGYAQSYGIDYTESFAPVARLDTIRLLLAMAAQNQWRIHQMDVKSAFLNGNLQEEIYVEQPEGFSVKGSEEKIYLLKKALYGLKQAPRAWYERIDSYLIEIGFCRSPHEPTLYVKRDSKGILILSRYVDDLLVCGSGSVLVEKFKEEMEKVFEMSNLGEMSYFLGMEVTQNQQGIFIGQQKFAKEILMKFQMENYKSITTPLNPGEKFFKVDDYGLANATVFRSMIGCLLYLSATRLDIIFSVSLLSRFMHEPTENHLRAAKRVLRYVKGSLSFGVMFSKETVAQSTAEAEYIAASEAVNQAIWLKRVCADIKLSVAKNPVKINVDNQSAIAIAKNPVFHSRTKHIKIKFHYVREMEHEGEVSLQHCPSEEQLADIFTKPLSKNRFEAMRLKLNLQQTIQGGVLDLNVLASCLRRSVRFECVC >OMO51185 pep supercontig:CCACVL1_1.0:contig15942:41987:59525:1 gene:CCACVL1_29949 transcript:OMO51185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAIKRVAKLDVELTVDEKNDLMPVDVVFTLGLQEGRHGGYWLQLTRRRRPRMNHPTADVVLDGQFSLVKAKRKCPLKAPPSSAGGRFFCIGLFLVEGEFRMRFGTLDQYVDHHAKHRDRDAKHHRLDDHHAKGKRGRLDDHDANHHKLAGYAVAHRKIKALDVGSIFLTNGFCFHNQ >OMO51186 pep supercontig:CCACVL1_1.0:contig15942:114023:114106:1 gene:CCACVL1_29950 transcript:OMO51186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLKRFMILGEEEERDSRVAALAECG >OMO51184 pep supercontig:CCACVL1_1.0:contig15942:22484:22965:-1 gene:CCACVL1_29948 transcript:OMO51184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M1, alanine aminopeptidase/leukotriene A4 hydrolase MEQFKGQPRLPKFAIPKRYDIRLKPDLSACKFMGSTSIDLDIVAQTRFIVLNAVQLSINSASISFSPRNSFKVFEASKVELVEEDEILVLDFIQTLPVGLGVLAIGFNGVLNDRMKGFYTRYDFKLK >OMO51180 pep supercontig:CCACVL1_1.0:contig15942:954:4756:1 gene:CCACVL1_29944 transcript:OMO51180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MTSVIKIDAEQLVNALPDLSIQDQKELKKEGAVGEDGCANENHSGICAICLDKIMLQETALVKGCEHAYCVTCILRWATYSQIPTCPQCKHPFESLNVHRSLDGRINDYMFEESVCLLLRALWFKPLIVEECEVVYDDLEDYYYYQYEDEEDDEDDEDEVYYTSSPSVRIGNRRWGDNGYVRSGRQEARPVQRSNVQDTGAGSSREPKNKEVAKSTIGRRAKRALKREAANKAAAAKHQEHLMRLGRK >OMO51181 pep supercontig:CCACVL1_1.0:contig15942:9948:11300:1 gene:CCACVL1_29945 transcript:OMO51181 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MDCFSRSSGFCFNPNSNTISDGDQDSEFSGILEIYVHHARNIHNICIYDNQDVYAKFSLTYNPDDTHSTRIINGGGKNPEFNENLMMKVTQMDAVLKCEIWMLSRARNYMEDQLLGFALVPISQVVGKGKVTQDYSLSSTDLFHSPAGTVKLSLSLNTICIPANSHTNSFPETTTTCSISAEVVLLDRKISQVILDPVEYSRIEFPDINVVRENQKMVSEYFDGLNSRPGGIASFLHLGGASHHQHQHVEDYEMTANSSEENNNVGSISPNGSLQNSGFLSSTTTSLSDDSTDKKKRVVVGESSNSLNASVTTEANPNSSASGACPDTPTSKKGGEIRDDEKDSNYSNKESENSSTKLQGNINMASVKFGQVFEAEQSAMQQQIVDMYMRSMQQFTESLAKMKLPMDLDHKPQPEHHGHVIQNNHHTNKIDQHDKKKDGSRVFYGSRAFF >OMO51187 pep supercontig:CCACVL1_1.0:contig15942:119661:123024:1 gene:CCACVL1_29951 transcript:OMO51187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MSMVLLSKNKLQFVDGNIAVLAVISWIIHSVSPQIAQSIIWIDSALEIWTDLRSRFAQSNFLRDELMISRPLLACSSVVQCSCNLYKRIREYYEADHVLDIPKWQTIGIARKVHDLYKITATPISNLAEASSYSSNSISVPLSVNAVTVFATLLDQEKEPRNYKEAAQSENWTKAMQSEIEALECNNTWSVVDLPHRKTAIGCKWVLKVKRKADGSIEMYKARLVAKGYTQQEGVDFMDHTLFTNTTGDCFMALLVYVDDVVLPCNNMEEIVRVKSYLHETFSIKDLGELKYFLGLEVARSSKGINLCQKKYIMDLLRDADFLEIKPCPTPILPETRLSKDQGTPLADIRQYRQIVGKLQYLTATRPDLSFMAQQLAQFLDKPTDLHMNVIHRVLRYLKGTIGHGLFLPADKNLKIQAFSDSEWVTCIDTRRSKIGYCVLLGKALVSWKSKKQNTISHSST >OMP06204 pep supercontig:CCACVL1_1.0:contig05029:439:543:-1 gene:CCACVL1_01682 transcript:OMP06204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVKRSKLRLKRLDKISTITDSGIGQAQTLFGGFR >OMO68038 pep supercontig:CCACVL1_1.0:contig12303:5356:5427:-1 gene:CCACVL1_20115 transcript:OMO68038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVSHPISSPIHLTMRPSANPA >OMO77805 pep supercontig:CCACVL1_1.0:contig10711:10869:12676:-1 gene:CCACVL1_14803 transcript:OMO77805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVFKISDAAAAQSELLFNLHRQQSKPKALTPLSFCLPNSSLYRCYGDLGSQIEHSPLPTKLPLNIIDLFIQKLSLAENNTRIRGVSKDLIRKVVHFRDELVERVDSSEEVTSILDEKAPWLLRKFQHDRILLRKRDDDRAFTHLLKKLYSSPNLAMEVFNWRRVKADEGYPMTPEEYATGITIAGRIRKVDLAAELFREAASKQLNRTCLYNALMGAYMYNGFTEKCQLVFRDLKRNRNCSPSIVTYNILISVFGRLMLIDHMEATYQEIQNLNLSPTLSTYNNLIAAYLTAWMWDNMERTFQLLRAGPLKPDINTHLLMLRGYAHSGKLDRMEETYQIIKDQVDKKEFPLIRSMICAYCKSSVKDRTKRIEELLSLIPENEYRPWLNALLIRHYAQENCLETMENLINEAFERKTCILSAALMDCILATYFRYNAVDRLADFVKRAECAGWRICRSVYHCKMVMYGSQNRLEEMENVLNEMNNMKVNYTKKTFCILFKAYLMCGERHKTEQVLGLMCKNGYWIPSDTNPF >OMO77813 pep supercontig:CCACVL1_1.0:contig10711:61048:67422:-1 gene:CCACVL1_14812 transcript:OMO77813 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3 auxin-responsive promoter MLRVLNKRIKRLCSRLRWPVHRRSKSKIVIKRFGKSNSKAHSESKDHSIPNGTSKVHQDAQLDGLKSVRPIRIATFNAALFSMAPAMPKGEKSSSFDFENERLSDARGSMDLTLRAKSTNDRPKSILKQSPLHPNTMNDKENLSKQQKFVKSKLRVSINLPDNEISLLRSRQSSFAEHEKEGSSSKNLRGKAPLRSTVSFSTNIANGVDGYESYRSRRTVLEVLRELNADILALQDVKAEEEKGMKPLSDLAAALGMNYVFAESWAPEYGNAVLSKWPIKRWKVQKIFDDTDFRNVLKATIDVPQTGEIDFHCTHLDHLDENWRMKQINAIIQSNDGPHILAGGLNSLEETDYSSERWNDIVKYYEEMGKPTPKVEVMKFLKNKQYIDAKDFAGECEPVVVIAKGQSVQGTCKYGTRVDYIMASPNSGYKFVPGSYSVLSSKGTSDHHIVKVDLIKVNDNVEENVSRKRRQPKQKVVKITNTSPSTYDSLLKQIEESTKDAARYQAETLQSILQHQRGVRYLQRHLSGIDDHNAPIDAESFRRSVPLSSYDDYADYINQLANGVSTENHDHRHLLSVDPLVCFFYSSGTSSMKPKLIPYFDSSLSKAASHTAHQGSGAVLRKFFPPRPEVNKRLAFLYADGITTTKAGFKVMAASSFPLQGSSNVNRSLFMSLTSPKEVIIGSNVEHQMYCHILCGLRNSDSVDSIHAPYALGLIKAFRVLESNWEQLCEDIKIGFPSLEINDVPMRDSVIEVLGGPQPELSSRIRLICEAKDWGGILQKLWPNVRYIRCVTTGSMKQYYSKLKYYAGEVPLLGGDYFSSECCVAINLDIKQAPDMTRFVMLPTAAYFEFIPFDSTKNQVVSEETVDIRGVEVGKMYEVVATTYRGFYRYRLGDIVRVVDFYNTSPLLEFVMRAPKISYEIVTEGDLMAAFESLERNSIMSTTMEIVEFSSFFDFDSSPKRLKIFVEVKDYDMFSQDKALLKECCSVLEDGLGSVYKVQRDKGEIHPLSLSILKCGSFDKLQQEAINNGAPASQYKPPKIIRNRDVVNVLEEYVLASYDQL >OMO77806 pep supercontig:CCACVL1_1.0:contig10711:14852:18577:1 gene:CCACVL1_14804 transcript:OMO77806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVTASEVDIDEMGYAMSRLEIESELCDGGRVTNEAGSSKRSNKPLHNVDHEIAQLTNMRSSPHERLRQQMPGKEKLPVSPVKMLASREADGSLFVAGFQGSNIRIYDVDRGWKVRKNILAKSLRWTVTDTSLSPDQRYLVYASMSPIVHIVNVGSATTESVANLTEIHEGLDFSSAEDGGYSFGIFSLKFSTDGREIVAGSSDDSISVYDLEANKLSFRIVAHTADVNTVTFADESGNLIYSGSDDNLCKVWDRRCFIAKDKPAGVLMGHLEGITFIDSRGDGRYFISNGKDQTIKLWDIRKMSSSTSCNLGFRNYEWDYRWMDYPPQARDLKHPCDQSVATYKGHSVLRTLIRCYFSPEYSTGQKYIYTGSHDSRVYIYDVVTGAQVAVLTHHTSPVRDCSWHPYYPMLVSSSWDGDVVKWGFPGNGEAPAMSKKRVRRRFYY >OMO77808 pep supercontig:CCACVL1_1.0:contig10711:31146:32761:-1 gene:CCACVL1_14807 transcript:OMO77808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALEQQHGVDFKQVDASVHQLKGSSSSIGAPRVKNVCVAFRSFCEAQNRDGCLRCLQQVTHEYSLLKNKLQTLFRLEQQIVAAGGSIPGVE >OMO77812 pep supercontig:CCACVL1_1.0:contig10711:57151:60410:1 gene:CCACVL1_14811 transcript:OMO77812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MAIKEDIERGNNNNISCSHEEVTVALLPVEQKNMAGDEEDGQTDSSKASLWMVYLSTFVAVCGSFEFGSCAGYSSPTQNAIREDLSLTLAEAMRTATIFCVGGWLAIYFAQVVITGLNTTVIDKGGRKPLLLVSSTGLIIGCILTGISFYLKDHNLALNAVPILAVAGILIYISSFSAGMGAVPWVVMSEIFPINIKGVAGSLATLVNWFGAWAVSYTFNFLMDWSSYGTFILYAAINALGILFIVTVVPETKGKTLEQIQAAINV >OMO77807 pep supercontig:CCACVL1_1.0:contig10711:23251:24456:-1 gene:CCACVL1_14806 transcript:OMO77807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGRMSVASYALFLLALFQCRLLAVAILDPLDFLALQSIRKSLHDLPGSNFFASWDFTSDPCNFAGVYCESDKVIALNLGDPRAGSPGLTGRIDPAIGKLSALTELSIVPGRIYGTLPQSISQLKDLRFLAISRNFISGSIPATLGQLRSLKTLDLSYNQLTGEIPHSIGTLPELTNVILCHNHLSGSIPPFVSQMLTRLDLKHNALSGTLAPDSLPPSLQSLSLGWNQLTGPVDQLLSRLDQLNYLDLSLNQFTGTIPSRIFTFPITNLQLERNLFNGAVQPSDQVTISTVDLSHNRLSGEISPLFSTVQNLYLNNNRFTGQVPASLVDRLLSASIQILYLQHNYLTGIQINPTAAIPVSSSLCLQYNCMVPPIQTPCPLKAGVQKTRPTAQCNDWKG >OMO77814 pep supercontig:CCACVL1_1.0:contig10711:73710:76143:1 gene:CCACVL1_14813 transcript:OMO77814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGKSSKNDNRNPKRRHRDDDRFDPENVDDEIDIFHKQRDVVPLDINGDVGDSDEDDEHHIYNLQDIDDDDDDEDEEGDDDDIDDAQVSKFEAKLARQHKLLKAKFGGVEDEMNDEDDVEDEKESALWGGIKSRYYGGDNRDFELQSSDDEAHKEEEDEVKEIQKERAKNLSIEDFGVEDESEDEKNRELTFEEISEKGNAGKLFFVSEEAIDDLATFEEIKKDLNALSKEEQMDVVHRCFSLAMDFVFLSFFYCSFLCAVVNVGQMCVCVFS >OMO77804 pep supercontig:CCACVL1_1.0:contig10711:2805:4473:1 gene:CCACVL1_14802 transcript:OMO77804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MAGSNEVNLNESKKVVPLNTWVLISNFKLAYNLQRRPDGTFNRDLSEFLDRKVPANINPVDGVFSFDHVDGATGLLNRVYQPSSHNEAQWGIVDLEKPLSKTEIVPVIIFFHGGSFTHSSANSAIYDTFCRRLVNVCQAVVVSVNYRRSPEHRYPCAYDDGWAALKWVKSRTWLQSGKESKVYVYLAGDSSGGNIAHHVAARAAEADVEVLGNILLHPMFGGQIRTESEKRLDGKYFVTLQDRDWYWRAYLPEGEDRDHPACNPFGPRGKSLQGLRFPKSLVVVAGLDLIQDWQLAYVEGLKRSSQDVRLLFLEKATIGFYFLPNNDHFYILMDEIKNFVNPNC >OMO77810 pep supercontig:CCACVL1_1.0:contig10711:51567:51987:-1 gene:CCACVL1_14809 transcript:OMO77810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTRGAVQRLVTIRNDVGQGIVGNKGLELCPGGEVLTTLGNRTGLEAAGGTDIEVRDEGLVRIPARLGGVGGWNERSGAFKVVGENQAEALANVIAGAAAVGEERLEDGEV >OMO77811 pep supercontig:CCACVL1_1.0:contig10711:52968:54961:1 gene:CCACVL1_14810 transcript:OMO77811 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kinase MTEDNQPSLVADWAWSLVKTERTLDVIEDGMPELGPPEVLEKYVLIAVLCSHPELQCRPTMDQVVKMLETDVSVPSIPERPIPLVAHIDDIERSQKYKRGK >OMO77809 pep supercontig:CCACVL1_1.0:contig10711:34545:44373:-1 gene:CCACVL1_14808 transcript:OMO77809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKVDTCSPTGVLEDFFRTEEFETNSFKAPSTDSANEQNSKQSSRWRGIAQLFRTRSKKSLANLQPLGSFRLSLRRSSSMRENVAVAPDFLANCSSYNLKSPRKVFTLSELQTATKNFSIENLIGKGGYAEVYKGSLPNGQLVAIKRLTKGTPDEIIGDFLSELGIMAHVNHPNTAKLIGYGIEGGMYLVLELSPNGSLASVLYGSKDKPNWGIRFKIALGTAEGLRYLHEGCKRRIIHRDIKAANILLTKDFVPQICDFGLAKWLPEHWTHHTVSKFEGTFGYLAPEYLMHGIVDEKTDVFAFGVLLLELVTGRRALDYSQQSLVLWAKPLLKKNEIRELIDPALGEDYNSRQMNLVLLAASLCIHQSSLRRPQMSQVVQLLNGNPNSLKSLRKCRIPFFRKAFHEETLNAEELSSVKAIGNRMIYPIIGDRRCFREAEEEESVVVKSEVDGDGGGGGGGGGGGEEEESVAVVVESSRRSNVASTVRSAGASVAASISTSSEDHKDQVTWAGFDKLELGPSLFKHVLLLGYQNGFQVLDVEDASNYTELVSKRDGPVSFLQMQPCPLSSDGQEGFRASHPMLLVVAGDDTNSSSLGPTAGNLAGVARDCHLESEGANSVNSPTAVRFYSLRSHCYVHVLRFRSSVCMIRCSSRIVAVGLATQIYCFDALTLENKFSVLTYPVPQLAGQGAIGVNVGYGPMAVGPRWLAYASNNPLLSNTGRLSPQNLTPSPGVSPSTSPGGSSLVARYAMESSKHLANGLINLGDMGYRTLSKCYQELLPDGSNSPVSQNSVWKVGRLAGTDVDNAGMVVVKDFVSREVISQFKAHTSPISALCFDPSGTLLVTASVYGNNINIFRIMPSCVRSGSGVQSYNWSSSHVHLYKLHRGITSAMIQDICFSHYSQWVAIVSSKGTCHIFVLSPFGGDAGFQTFNSHGEEPSLFPVLSLPWWSTSSCAINQPTFPPPLPVALSVVSRIKYSSFGWLNTVRNAAVSATGKVFVPSGAVAAVFHNSISRSPQHVNPRTNSLEYLLVYTPSGYVVQHELLPSIGADLGANSSRIQAASYMQIQEDDLRVKVEPVQWWDVCRRSDWPEREESISQTALERQDVAEVSGGKSGCEEYRIDSLEINDSSSGEKASKPFSTRPHESSHWYLSNAEVQVNSWRLPIWQKSKISFYMMESPRANISKGGEFEIEKVRVHEIEIKRKELLPVFDHFHSIKTGWNDRCFAVGKHPQSLSSDPHQGEYKVTQETIICHSKPASLSSTESSEGGSSRRMENLLDLDQFNCEKSFAPTYQAVNEIGHGKSGDGIIEPLLLNQDSLTILSSPFQQENIYCSTGNSVINSFSASGSKLPPLRNMAEEAPCSDNGGIDDAAMLHVDHCDAPRNVLMDGVPLSTEQNLVDFGQLQEGHYEVVQHNECSKLTEVADDNVNGSSNHHENGKLDAEGENDEMLGGIFAFSEEG >OMO77803 pep supercontig:CCACVL1_1.0:contig10711:279:368:1 gene:CCACVL1_14801 transcript:OMO77803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRAFRYRTKHVVFSGSVVVLMGTGRVV >OMO63102 pep supercontig:CCACVL1_1.0:contig13053:65:124:1 gene:CCACVL1_22480 transcript:OMO63102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVEVKDKDQRELTAVDESR >OMO53256 pep supercontig:CCACVL1_1.0:contig15260:1702:10051:-1 gene:CCACVL1_28772 transcript:OMO53256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFSIEDGITGLRVSKQSCRSPSPLSNAYLHAIFGGLSPKLWQITETGWNPNPTRKGIVGIEYWLGLLRTYWGRTVSNVGPAGLVFLEFQNNVEDVEKLREMENSWEIWMACKNKIMNGRRNSFAPLNGRRKLERDEDLLLFRELHKREKDRVASLLLPVSDEFEPNGGNYALYRIGSGKKGSGYEFFPENNKNDYDWLKTPPATPLFPSLEMEAANGAELVVQRELPIITQPLSRFADDNEPSTPRNNIGRPKSPNQKPKIPSRSITPSHHRPSIEPKNTKLAQKAASSEPNNGTSNPKPYSNQKDHNHNNLDFLTTNLCKDLALAGTCTNKTKPASARSRVVSPLARTSAVPPQFAGLLISDETPPNLKTDRSTSATRGRPQTPNLKTDRSTSATRGRPQPPNPKTDRSTSSTRGRPQPQPQPKKVADQPSSTPKPTSTRQRQSCSPSVIRGRKSSTTQLEPKQDSNKGTQILGSKMVEKVMNARKSHNVEERDAKQKLRTVAASSTASTKHMGRGKKRDRDENIRSWRWRYELLNGFIVTVTSCQGCDSYSHISSGQ >OMO53257 pep supercontig:CCACVL1_1.0:contig15260:13870:15869:1 gene:CCACVL1_28773 transcript:OMO53257 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MALIRKDPGLSMFRHIETVFQMGEEHILEGDPKWHLIVSLPDDWDTFKMGLFEKAITHSFLAVAVDIFVEDKRRRNISPTRGNIMEDPEVQRVIRFRSIRIPRRILTRMTIPRRILTRTRIPEDDFDPDEDPEEEFEVSASDIVPPQPPLPDHQPSQPPVSPRPLIFGGGPRIRQAARKSTARIFVMAELEQGSTAQHKRDQEAFQTWKRKNSIARITLLSCMTDELICEFEEYATAQGMWNALKEKFGNVSDTKLKQLVIKFDNYKKHPENNMGLHLQEMGWEYMNAMFIHNASIKTFADVQRHLELEEERLMAMSNHPEVNMAQSSKRGVSSHKRKKGGKNVKQDDNAEPHAKKAKINKRKRGRRAGKNRDKSKVTCYNCGKLGHFAL >OMP00163 pep supercontig:CCACVL1_1.0:contig06716:12646:12711:-1 gene:CCACVL1_03451 transcript:OMP00163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISFVQFGEDDVSTHLCAAISSP >OMO99086 pep supercontig:CCACVL1_1.0:contig06960:14753:17091:-1 gene:CCACVL1_03937 transcript:OMO99086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box YYQQESAKLRQQIQMLQNSNRHLMGDSLSSLTVKELKQLENRLERGITRIRSKKIAEVERLQQANMVTGPELNAIQALASRNFFSPNVIEGGTAYSSHPDKKILHLG >OMO99085 pep supercontig:CCACVL1_1.0:contig06960:11824:14038:1 gene:CCACVL1_03936 transcript:OMO99085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Avirulence induced family protein MGGSAIDDDWEFTSPSNGVRTVVLVGRTGNGKSATGNSILGRKCFKSRASSAGVTSTCELQKTVLKDGQIINVIDTPGMFDFSPESEFIGKEIVKCIDLAKDGIHAVLVVFSVRSRFSQEEQAALQSLQTLFGNKIVNYMIVVFTGGDELEEDDETLEEYLGRECPEPLKDILVRCGNRVILFDNKTKDEKKKAKQVQDLLTLVNTVSEQNGGRPYSDELFVELKKGAIKLHDQHEEVSSLKGYTKHEISELKEKIEQTYELQLQRITKIVELKLKETTTKLEQQLAEEQAARLKAEKLAQVAQMKSSDEIRKLRENLEQAQRETEELRKQAARCTIL >OMP10664 pep supercontig:CCACVL1_1.0:contig02064:19:528:1 gene:CCACVL1_00831 transcript:OMP10664 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase, subunit D MRMMHNYFRIGGVAADLPYGWIDKCLDFCDYFLTGIVEYQKLITRNPIFLERVEGIGVIGGEEAINWGLSGPMLRASGIKWDLRKVDHYECYDEFDWEIQWQKEGDSLARYLVRISEMTESIKIIQQALEGIPGGPYENLEIRCFDREKDPEWNDFEYRFISKKPFSHV >OMO74737 pep supercontig:CCACVL1_1.0:contig11095:28912:29037:-1 gene:CCACVL1_16496 transcript:OMO74737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHTTERAESKFAEDYNFPTIKGGFQIIKPGRKPKHLDND >OMO74735 pep supercontig:CCACVL1_1.0:contig11095:17907:26735:1 gene:CCACVL1_16494 transcript:OMO74735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPQQPKKRVAFVLIDGLGDVSIPRFGYKTPLQAANVPNLDAIASAGVNGLMDPVEVGLGCGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATYDEKTGIVTSRRADRHFEEEGPILCAALDRMKLPSFPEYEVRVRYATEHRCGVVVKGPRLSGNISGTDPLKDNRLLLEAKALDDTDEARHTAAVVNELSREISKILVSHPLNAKRLAEGKNVANIVLLRGCGIRIEVPPFEKKHGLWPCMVAPTKIIAGLGLSLDIDILEAPGATGDYRTLLTSKATAIAKALSAPLQSCPSVFVPGEDEHKPGRSDGYDFGFLHIKAIDDAGHDKASVFKVKGLEAVDQAIGQLAKLLWQAESTGNFQYFLCITGDHSTPVEYGDHSFEPVPFTMCRLKDFVGAVGGESILLETSLDPFPLPTVKAGEDLNADIGLEKGGKFKQVQAFCGDSVFEFNDIAAARGCLGRFPGGEMMGIIKRKSPNALEDEPPAEGPYSGYLVITDEDAEEQDTFCFGACKRKAVEKLPFPQDKMLNVVHSSDVEETMVTRVWFIPVLDQPLSSNRYYVIRAKGRNKGLACTSSRELDTKLCCFFNDVISDEKPKPFDHRNVYQQFRIHRHHRHSFFAKSVATDSIPPKFLRKNGWELRISRSYRLKLNEALGLDSALRTRLPSFNFPMYSKKSPSVIVGTWYCPFIFVREKCRIRRQMRKSLFYTMTLEQWWQQIHTCDNNEDDEQNVVKVSKIVQREFCSVYGMQAEKENRVSHGGFWWFRSVARSDGRSAGVGLSLAIMEKMKWLREEGGWLDGEESEERVEREEEIESECGWRRYACYILVESFNLRRMDGTLVLSMESVVATVSGYQGSERFKLIKLISHAGASYVGSLSRSTTHLVCWRFEGKKYDLAKKLKTKIVNHRWVEDCIKEGKRLSEDPYILQSGEEMGPLLLEIPDVPKEDLLAEEFKAFSDIFKAFPDVRNETSDHGDGGSGLSGWLNSALLDENLFSGLGKKEGCSHRLKSKPYRKSSKDENRLSSRNCFQESTSSGLALKEHGESSSYASVLPMRNKRKISNNKELNSNCSTQLVREEENILNLSRGASLADSTKRKGRRLVKNVNRQTVVSDLSDSDQECHLPRVHKPYNRVKYPSAHSLDRTASLSEIGGPFTYNFNKRRAVDEETDEVQEIKLWNHQPRSKDSNLVGKDSPPISERTSPDGCFDAEKESQDVGPSKLAGKDAPPVLERTSPDGCSDAEKESQGVGQLELASRLPTSVELSCVICWTEFSSTRGFCLVDIDFVIHASRNGLIIC >OMO74736 pep supercontig:CCACVL1_1.0:contig11095:28265:28345:-1 gene:CCACVL1_16495 transcript:OMO74736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSYLAKMTADKKILRLSTTALHAN >OMO74733 pep supercontig:CCACVL1_1.0:contig11095:173:9260:-1 gene:CCACVL1_16492 transcript:OMO74733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLLEKPIQTTEALNFDYTKPSPVAFVPLISSSLIPENDIISGKEIGPRVIPYNHKLQVTVSLTMPESDYNRKLGIFQVKVEFLSANGKVTSSSRNPCMLQFKSQILRFAEIIIKSISLITGQQSESQVLNIKMDEFTEGLEPTAFLKVTLEQRAEFQPGAGIPEIYAASLALESELPHLKRVIWDWRRTIFVCTSIMWFLMELMAILLFCRPMIIPRGRPRINVYGENISPSNFISWVKTVEVNSVKKPKIEASVVIRQSLRTRGMPPDSKGLGNNFCENSDKIPKSEKQWPRTLPLGSLSMSDAFCGDNMESNKLLIETVLSVKGMVQIENLDGSVGIEKSDTWLESMDLKPEDVARVLPTPSPATLVKFFPCSNMRMIAAGNTYGNIAFWNVDCDSENGDGDGNYLYRPHEAPISGISIQQHSLSKIFTSCYDGFLRVMDAEKEVFDLLHHSDDTIFCLSQQASNLRSLFFGEGLGVLNMLDIRTRKCSESWHLHEDRINTIDFNPQNPNIMATSSTDGTACIWDLRRMSKNKPPTLKTVSHSRVVTAAYFSPSGNSVATTSYDEKVGIISGINYGDISMIRHDNLTSRITSFRAIWGWDDSYIFVGNKKKGIDVISSSQRKIVLTLKSPNMSAIPCRFDAHPHQVGVLAAVTAGGACLEIKECKTTQLSSLSQCPLYLKFEKKWVQKGRAIVSGTFPYACYRPREFSAGKKRGFNHRPREFSAGKKQGVYPQNVDLPAELPKQKKKPFPIPFKEIKRAARKDKLLAERGIEKPLEPPQNGLLAPDLIPVAHEVLDAWKLLIKGLAQLLHVIPVYGCSECSEVHVAHTGHFIQECKGPTSKKRRGMHSWVKGSINDILITIESYHLYDPFGRRIKHETRFDYDRIPAVVELCIQAGVDIPEYPSRRRTEPIRMIGKKVIYRGGYVEEPKPWRAVDPSSSSIVDLDTCGACGRYPPPPLEDVPRIAQETMDAYEIVQWGVTKLMRKYTVKACGYCSEIHVGPWGHNAKLCGAFKHQWRDGKHGWQDATVAEVLPPNYVWHVRDPKGPPLRSALKRFYGKAPAVVEVCAQAGAQIPDRYKPMMRLDIIIPDSDEANLVA >OMO74734 pep supercontig:CCACVL1_1.0:contig11095:11012:14181:-1 gene:CCACVL1_16493 transcript:OMO74734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVERSFEAWEEVQRHGQDLADRLAQGFTGLIQSHMTPPSFAWPNPPKSKLFDLEFPSQTFVNKDFGLPIDKSALFDIGGIGNRIGQAGLEFGASLNGLVQQFFRSLPVPFRAEETAIVSLRGDMSVKGQKVEVGGNDMEGLVGISDRLKEFGFVENDGASEGAGDEEIPGFNLKSAGLLGRPQGIINITSTYESRTRDLENSLVARGDLWRVEASNASSTSGNDNSLFLVQLGPLLFVRDTTLLLPVHLSKQHLLWYGYDRKNGLHSLCPAVWSKHRRWLLMSMLCLNPLACSFVDLQFPNGQLTYVSGEGLTTSAFLPLCGGLLQAQGQYPGEMRYSFSCKNKWGTRITPMVQWPDKSFTLGLSQSLAWKRSGLMMRPSIQLSLCPTFGGSNPGLRAEAIHTVKENLNLICGCALVAHPSAFASVSFGRSKWNGNVGNSGIVVRVDTPLSSVGRPSFSVQINNVIEF >OMP05138 pep supercontig:CCACVL1_1.0:contig05514:1536:3034:1 gene:CCACVL1_02049 transcript:OMP05138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEIGKLLVVTLQNDKPEVLISR >OMO89813 pep supercontig:CCACVL1_1.0:contig08602:526:660:1 gene:CCACVL1_07617 transcript:OMO89813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVPFHLSPNHDMCPMRLLPLHLTSGTLWCKNRIWLGAVLAMN >OMP06399 pep supercontig:CCACVL1_1.0:contig04948:701:5612:1 gene:CCACVL1_01594 transcript:OMP06399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQMQQSNAAATALYDHAGGGSLHNAGPAGDAGDAVMARWLQSAGLQHLASPLASTGIDQRLLPNLLMQGYGAQSAEEKQRLFKLMRNLNFNGESGSEPYTPTAQSSGGPATSDGFFSPEFRGDFGAGLLDLHAMDDTELLSEHVISEPFEPSPFMPGGNKAFDSEFSVTTSRQQKEQIDADASASLFSTNEKEISIRENNVAKIKVVVRKRPLNKKEISRKEDDIVTVSENGLTVHEPKLKVDLTAYVEKHEFCFDAVLDEHVTNDEVYRVTVEPIIPIIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAQDLVRYLHQPAYRDQRYKLWLSYFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAIKKHPEIKESKRNNNDGNESKAGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNAKKDQSVNSLPPSSKDASSAPSLSATADVEDVYERQQEVKVVDTGRRVVEKDVYTVDFDKQPSTFSSSYSFNGREETAMASGPSDRERFEVNNSYGGSTSQRVHSSNAQNSADTEEKVQKVSPPRRKGIREEKVTREEKPEKMGNWVKKDGGGSDMSTTNSRQANTVNYNNTNNIGHRQYDPEPSNDVNINAILEEEEALIAAHRKEIEDTMDIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAAGLVSLQARLARF >OMO55681 pep supercontig:CCACVL1_1.0:contig14607:25145:25297:-1 gene:CCACVL1_27092 transcript:OMO55681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRNGGGRGSKALLRCSAVVCLPCFVAHIDKFMWMVKGLHLHSFRPLI >OMO55677 pep supercontig:CCACVL1_1.0:contig14607:680:2661:-1 gene:CCACVL1_27088 transcript:OMO55677 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MGCGGGSMAPPPTDVSPHATKLEGPTFNSMEDENENEEKITAAKPPRNLSAMRHCTSSAWLHEREPDMGAVGLLPPSNENSGFLPLLRSGSCSEKGPKQFMEDEFICVDNLPHYLGSAADLPCPGAFYGVFDGHGGLDAASFARKNILKFIVEDSNFATGMKKAVKSAFVKADHALADAKSLDSSSGTTALTALILGRTMLIANAGDSRAVLGKRGRAIELSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHIKGSKGSKSPLSSEPELEEMLLTEEDEYLIMGCDGLWDVMSSQCAVTMVRKELMQHNDPERCSRALVKEALQRNTCDNLTVLVVCFSPDPPPRIEIPRSYKRRSISAEGLDLLKGVLNNI >OMO55679 pep supercontig:CCACVL1_1.0:contig14607:10842:16214:1 gene:CCACVL1_27090 transcript:OMO55679 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MATGGKGVKSRFSDRWKNEGMTFSMQFQRGEMSHTTKVQLQGKPHMFTLIPETECFENFVPPVDKPMIEESYISPASLHNPDHVSNLFHLHSSLPSLNNIPDTVSDNQSDTGDPSYLPPEDEDYIDQEGDGYQLMINRNPIASLDNSHQNVSPISIQHFSPEPNNNPDPPLHSSLVPAHNDTLNFHAVDHYSPEIQNPLNTESQIPLNSDQIQLQQEQSTLENSNPMPRCYIFDRTLNTYIPSTSQVDISDQSLSSEFPSDMGDRIATVIQQRRRIIRENRVTEEELSSGIRSLALKRSLEDEIEHGRVNKRSKAVSGVFIEDNAEGQASETISTRASGSRRGRKGIRKETVRRGRRKKIVWTRRQVDELCLFDVLVQMGSGSDVRDLSASLSMEENRAEALTEKALKQNIHKYDPDCVFLMETRNNRGMVDRIRKKLKFVGAVYVDPEGLSGGLALWWKEGWIVNSIEETKNFIDTVIEKDGVKFRITWIYGAPIYKDRKIVWDRIKRKAMGIDTAWMCIGDYNDIMDEDEKEGGNPKEQRIMQNFRNFINFCQLMEVPTQGQKFTWSGIRENGLIKERLDRSLMNLAWFETFSRSQVWNLISLGSDHSPLIFSSDVKDGKGSKKFKFEAYWCESDDYDGIIREGWGIDIDGNDAYKVICKLKKCRELLKKWCKEKDQNKQSKENIIKAISDLENVGDSVMDCEMIKDLENQLGKIWNHEEIYWHQRARINWIKSGDANTRFFHQSTLKRRQQNKVLRLKNGDNWVEEEEDIMTQFTSYYDNLFKSGRSENWEEVLECVPQLVDDEMNSGLTAIITDDEVRVAVFQLGELKSPGPDGFNGMFFQRHWNLIKDDICRMVRNFFRSGKLLKEMNATEIVLIPKVKRPEDVTQFRPISLCNFTYKIISKVLVNRMKPLMNVLVTENQSAFVEGRQIHDNVVIAQEVFHYLKLKKKGNQYDMAVKVDMKCVRTVSYSLVINGKSSRRVIPSRGLRQGDPLSPYLFILVIDALSRMIQTADPVNAKRLFDIIQVYSAASGQLINLDKSSLIFSANTPADIRQDLARCLQIREAENPGIYLGFRSTWGKTKCGALSYIKEKVEARLKSWKQQLLSLAGKEILIKAVASSIPAYVMMCFKFPKKVCADINSMVANFWWGQQDNEKRIHWVSWEKMTLPKEEGGMGFKELEAFNRALLAKQIWRILENPEAMWVKVLKGIYFPNADIMTAKKGARASWSWQSLLEGRNFIKEHLVWQVGDGKQVQIWHDNWIPEVGMLNIPEDMLQDRPEQVSELIEPSQKQWNLTEIDDLISPVERKAICSIPLGIVERTDKRIWPFTKTGQYSVKSGYYKLKNFDGCIQIGQKASTSHLIDRKIWKFMWSINCPPKIKVFLWRCVRNVIPTLWGLYRRGCHLNGVCGICGQEVETVEHLLLTCDWTRGVWFSICGLMIDKQSITSFDVWLWNLCNSLQESLDEAMPMISMIAFTCWIIWKSRCEVLLNHNVLSPDSTVIRIRKAVSEFLFIQLLHQKNLQNDNNEENQEQKWLRPPEGWLKINSDGSFCTKTNLAGIGFVVRNHDGQVLQATGKMCSATTALITEALALREAVGYIVRTGCHKAYFEVDSAELHRNIIEKDAVKLDWRIKHIVQDTQLMLNSIQEKEIRKICRSANKAADWFANQSRLRMLCRDWRQFPPSSLVKIWNKDGVPAPH >OMO55678 pep supercontig:CCACVL1_1.0:contig14607:3663:7804:-1 gene:CCACVL1_27089 transcript:OMO55678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLLCFLLLIASGFLSFNPIHASDAGSTAIILSTSHTPRLGGRSLLSLSSVPEQETELAARTDGTIVLRTQNSKRVIWSFASGSPIYSSFQAPSTSDTDTDNANENASQPTTAFFIDCGDDWELYAHTAQSNKMKLSVSVEEFVKHMPHVSEDGAITLGSKTTTIFVVDAMTGRILHVYRSPDSPSMLDSNKNETFLYDSDNVNKELLESSVANNAKHRFYITRTDYTLQSFHPNSDKISWSLMVAEIGAALLCQDVDVPYIASTLNSSNELLEIGTDFDLPFPCQSKGVVIREHDTSEDIATIRHDDPMLPLPASNGPSSETKLGRSIDDHQNRKMLLAASPDPKLLSQPKVNQLSNFSENSDNDTMVPLRPSENNDSIKVDLHEMRTPHTDDRSTFAKYPIPVVLCFILFIIVVLAFFIYHNVLVAKVLAALKDQTGADLNAGASKRKKSRRSGKSNGSVENGFSPIYGDNKLLLDLSKLANGGTDGRRIGKLVVSSIEIAKGSNGTVVLEGFYEGRAVAVKRLVLAHHDVAFKEIQNLIASDRHPNIVRWYGVESDQDFVYLALERCSCSLDDFIQISSDASQSSFLNDNQATHAMVEHKFQLDSVKGIMQDLSLWKANGHPSPLFLKLMRDIVLGLAHLHDLGIIHRDLKPQNVLIIKEKTVCAKVSDMGISKRLLEDRSSLGHHATGCGSSGWQAPEQLLHGRQTRAVDLFSLGCVLFFCITRGRHPFGNHLERDINVVNNQVNLFLVEHIPEAVDLISRLLNPEPELRPNAMEVLQHPLFWNCEMRLSFLRDTSDRVELEDRKADSDILKALESTAPVALGGKWNEKMEPTFIANIGYYRRYKFDSVRDLLRVMRNKLNHYRELPKEIQNLVGPVPEGFDGYFASRFPRLFIEVYKVVYTHCKEEESFQKYFKGNAV >OMO55680 pep supercontig:CCACVL1_1.0:contig14607:16787:24511:1 gene:CCACVL1_27091 transcript:OMO55680 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II/R MLQSNTFSRKTKAGRIKKEVREIYLRDDIYCGATACKLCDTSCARLDASAILILDTNVLLHQIDLLENPAIDNVVLLSVVLDEVKNKNMAVYNRIRALSTNPLRKFYVFSNQFHKDTFVKRMDGETPNDYNDRAIRVASRWYQTHLGPSTPVLLITNDRENKRRAIEEGISTDTIQSYVKALGQPELLDLLVHPASESDDVAMEKVEDLRPSKRQVVYQEHKPMSEITLGLHRGIYHQGKIRVNRYNPFEAYVGSESIGDEIIIYGRQNMNRAFDGDIVAVELLPQDQWHEEKSLCIADEEDDEEEDAHLAPSSADDAPRTTNLVSGSATETNSTQSRPSGRVVGIIKRNWHSYCGSLEPMPMPAGTGSYTSALFVSKDRRVPKIRIQTRQLENLLDKRIMVAVDSWDRQSRYPSGHYVRVIGEIGDRDTESEVVLIENDINSRPFSAQVLACLPPLPWTVSINDVTNSTRLDLRSLRVFSVDPPGCKDIDDALHCTALPNGNYEVGVHIADVTNFVHPGTPLDDEASQRGTSVYLVERRIDMLPKPLTEDICSLRADVERLAFSVIWEMTPEAEILSTRFTKSVIKSCAALSYVEAQARMDDSRLIDPLTTDLRNMNSLAKIMRQRRIDRGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAKQIRDCFPSCSLLRRHPTPTREMLEPLLRTAAAVGLDLDISSSKALADSLDHAVGDDPYFNKLIRILATRCMTQAVYFCSGDLSPPEYHHYGLAAPLYTHFTSPIRRYADVIVHRLLAASLGITKLPSVFQDRTQLTSIADNLNYRHRNAQMASRASVELHTLIYFRNRPTDTEARVVKIRSNGFIVFVPKYGIEGSVHLTTRGEKGGGEWYVDEQQQKIVKMDKSLSYSVLQTVRIHMEVVEIQPNRPKLQLSLI >OMO55682 pep supercontig:CCACVL1_1.0:contig14607:25887:29038:1 gene:CCACVL1_27093 transcript:OMO55682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALECWSSRATTDDDLVEQVLMRTNDRSEETASSSSSSAKAEAPSAMHKRFQRLSKNVSEAIASLKNSLNLESSARDPTHPVSASSKVETCRKVVWGTVVRNLTQLYPGSQLPEKLVSNIRKHYDSLPLSYAQAGFDMKEVFLHIKLIEQASGDDHPAIFIQQVSDDEVQGSIFKLTFACNSSFSWSAMSGALDTTSICCKKIQIFEKKGFTLGIILFMVQPSQDKLIKSHIENALKSAIKKPKMAAVKLPFGLCGCQEENTKGRDFGEIEEDPIEHSHRNGIENPNTKIQLQMPLPTSSFVVLVDEWQTIQSGGDEIGKWLLNSDNLEFIDQIGPSSFKGVYKGKRVGIEKLKGCDKGNSYEFELRRDLLELMTCGHRNILQFYGVCVDEFNGLCVLTKLMEGGSVHDLMLKNKKLQIKEIIRIAADVAEGVKFMNDHGVAYRDLNTQRILLDKHGNACLGDMGIVAACKSVGEAMEYETDGYRWLAPEIIAGDPENVTETWMSNSYSFGMVMWEMVTGEAAYSACSPVQAAVGIAACGLRPDIPKDCPQILKSLMTKCWNNCPSKRPQFSEILSMLLRPNNNSKYL >OMO60170 pep supercontig:CCACVL1_1.0:contig13770:538:2109:-1 gene:CCACVL1_24347 transcript:OMO60170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein MDARLFRFFTISLFLMVVSLMSSNTISVRARLLMEEPRLAEDEFSESELSNVAKSNISNQPELPKSELVKSELSKPELSKDSELPKPKVPKISELEKSKIPDELSKPEDPIVSKPNIPNQPKLSKPEQQKVSELSKLELSKVPEFSKTEVSKVSEIQRSKVSDELSKPERQNVPKKNIPNQPELPKPELPKPEQQKVSELSKPELSKGPELSKPEVPKDSDLEKSKILDEHSKPESPIVPKPNITNQPELPKPELPKPELSKPEQQKVSDLSKPVLGLSKPEVPKVSELEKSKVSDELIEKPCLAEDEFLESELPNVPKSNIPNQPELPKSELAKSELSKPKQQKVSNLSKPELLKDPELSKSKVPKVFELEKSKIPDELSKPEGPIVSKPNIPNQPELSKPEQQKVSELSKHEFSKALEFSKIEVPKVSEIQKSKVPDELSKPERQNVPKQNIPNQPELHKAELPKPEQQKSSELSKPEFSKVLELSKPEVPKDSDLEKSKIPDELSKPESLIVPKPTYPTT >OMO60173 pep supercontig:CCACVL1_1.0:contig13770:35968:36992:1 gene:CCACVL1_24350 transcript:OMO60173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin P/U MLAAGEFPDHQIQSPDSIQAETTTPRVLTIISSVLEKLVSRNDGLVEVLQGEQPNGLKGGSGRLGKSLHAFHGVRAPNISIPKYLERIYKYTNCSPSCFVVGYVYIDRLAHKHPDSLLISLNVHRLLVTSVMVAAKMLDDVHYNNAFYARVGGVSNAELNRLEIELLFLLDFGVMVSSRVFESYCLHLEKEMLINGANQHKIDRGISLLAIDDVTELSVEDTHNCSPPQAVDSNL >OMO60171 pep supercontig:CCACVL1_1.0:contig13770:9636:9971:-1 gene:CCACVL1_24348 transcript:OMO60171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKFRKQGCPMFDKLCIIYGDTTASGFNARPSTHSPSDSDEDARDQPIREEDARDQPLSDEDGIIGDVTSGSRRRASSSRLTGKRAKNQNALTTILNSYNENTKRKVEVW >OMO60172 pep supercontig:CCACVL1_1.0:contig13770:28984:35252:1 gene:CCACVL1_24349 transcript:OMO60172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGLVTAPINSPHLRKSSGRAVVSDLDDELGNGVGNGHLHPIPMEVHVLKSATTPMITVAIVPSPTLLWRFKVILFLLWGFICCKIGWYSVMRMSVDLRDLFLYEAFLYYNPLLLVTTVVWLWGINLWVFSQSNVNYAKIFDLNQNHLTHREIWKCATWMTIIVPSSMTAYLYLYSHGEVSWAASQPVLLYFAVVMVLIFPFDIFYFSSRYYMLRTLWRIAFPFQAITFSDFFLADILTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSLAIPLVLVLPYLFRFFQCLRQYKDTGERSTLLNAFKYSTAVPVIFLSALKYHVLPDSWTNIYRPLWLLSSVMNSLYSFYWDVTRDWDLSGFTLLFKFNKSHIWSHLLHGRIWEEGLGSTTANLRRPVQQQQCRRHARQSQLSYLCTT >OMO60792 pep supercontig:CCACVL1_1.0:contig13662:11133:11981:-1 gene:CCACVL1_23871 transcript:OMO60792 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAGA binding-like protein MDDDPTFRNWGFYEPTPPSFKGHLGLQLMTSMAERDTKPFIPGRDPNLMINPNAGFHPRDCVVSEATIPMNYRDGWISRDKFFSMLPPTVPNYGMLPETSAAHSLPILQPPPDPSTRDERMVGRVEEPPANKEGVQLKKRQAGATPKTPKPKKPRKPKDNTNSTVQRVKPAKKSMDIKINGYDMDISGIPIPVCSCTGTPQQCYRWGCGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAENYNFSNPIDLRTHWARHGTNKFVTIR >OMO60790 pep supercontig:CCACVL1_1.0:contig13662:2740:3393:-1 gene:CCACVL1_23869 transcript:OMO60790 gene_biotype:protein_coding transcript_biotype:protein_coding description:6,7-dimethyl-8-ribityllumazine synthase MASTVLGCVVSIPKATGGCGDFPSILPHNSASVSFSSSLKPQLQGFSMERKQRSSFVQTEAVRHLVGSVTKTQGLRFAVVVARFNEIITKPLLEGALETFHKYSVNEEDIDVVWVPGSFEIGIVAERLGKSGKYNAILCIGAVIRGDTTHYDAVANSAASGVLSAGLNSGVPCIFGVLTCDDMEQAINRAGGKAGNKGAEAALTAIEMASLFEHHLQ >OMO60791 pep supercontig:CCACVL1_1.0:contig13662:6345:9537:1 gene:CCACVL1_23870 transcript:OMO60791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLSPLFCLLIFLFLLVTEARNNPQKCSSSCGDIPIISYPFRLKEDPASCGHPDFKLSCQNNTTILNFHGGLYYVKRISYEEHIIRVVDVNFANGSCGLPNRALSMDQVMDDSRYPGHVNYSWLHTLNYVRCSSNFSNLGNSRVPCLSGNSSNDNVYVNLTQWGRLRSFEIPTTCQVISTLPATFENLDVLQQQNPSYETILKMQESGFDMIWSVECADCTSNDHQCVWKFNSTTMFECKEIYDEAAEIPWIYAYFIGMFVGATSQNENTTICSVFSPNLSQARKNPKICSSSCGDIANISYPFRLKEDPSNCGDPDYELSCHNNNTTTILNFHGGLYKVKKISYDKHTIRVVDVNLANGSCNLPYKALVMADVPYDTRYRGGQVDYHSYYHLYFVRCSNNISNLANLIAVPCLSGNSSHVYVNISWPYESTLTSSDFPNSCKIISFVPAKYENLYQNLNFSYETILKMQESGFDMTWSVECRDCAAKGLGCTSESEDTHLICQSKEEYDDGLRETYRLLATIFLLESRKKNDAMENSANVDLPLTPEPEEMLTPVSMERSS >OMO71985 pep supercontig:CCACVL1_1.0:contig11522:25669:26004:-1 gene:CCACVL1_18003 transcript:OMO71985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKVTKFTVTFIFAIVLLLSFDQHVLATRPLDEEVQVPTFNKNVILQSLQRGPVPPSGGNPCTNTPGRSRGRCTLTEMNVVGGHGGVAHPPPAFPEYVVSFGAASAGRR >OMO71989 pep supercontig:CCACVL1_1.0:contig11522:37031:44729:-1 gene:CCACVL1_18007 transcript:OMO71989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant MANHDLILGQNHNLALGQNQPLVLGHDHNLGLTQNHDLELGQTHERHSGLGQDHDHDHDHDLGLGHSHDHDLDLGSHDQEGDVGHSYGHDDDLTTDQKPDHGDHELALAENNELAVAEGQELDDNLELAVDDSQELGIDPARDLQAQMIVSLPPVLQARNINPIPTYELAVGQEFPDVKSCRRALRDTAIALHFEMQTIKSDKTRFTAKCATEGCPWRIHAAKLPGVPTFTIRTIHETHTCGGIAHLGHQQASVQWVANSVEQRLRENPNYKPKEILEEIHRVHGITLSYKQAWRGKERIMAAMRGSFEEAYRLLPQYCEQVKRTNPGSIASVYGNPTDYSFQRLFISFQASIYGFLNACRPLLGLDRTFLKSKYLGTLLLATGFDGDGALFPLAFGVVDEENDDNWMWFLSELHNLLEINTENMPRLTILSDRQKGIVDGVEANFPTAFHGFCMRHLSESFRKEFNNTMLVNLLWEAAHALTVIEFEAKILEIEEISQDAAYWIRRIPPRLWATAYFEGTRFGHLTANIVESLNNWILEASGLPVIQMMECIRRQLMTWFNERRETSMQWTSILVPTAERRVADALERARTYQVLRANEAEFEVISQEGTNIVDIRNRCCLCRGWQLYGLPCAHAVAALLSCRQNVHRFTESCFTVATYRKTYSQTIHPIPDKSLWKELTEGDPNASKALEVLIYPPKSLKPPGRPRKKRVRAEDRGRVKRVVHCSRCNQTVVFVFWFPSISSFSHEQFCGYWIFLKKGNSFVCYIIFWSMAIGGISSVKSEKLSHRFTRALSSAFLEWLLILMLFIDAMISYLITKFAHKCKLQTPCLLCSRLDHVFGKEKLKFYWDLVCCDHKLEISSLVYCHAHNMLVDVHGMCETCLFSFATINKSNAETYRLLVGKLGEHSDFGLHEDPSLEDQKLGHSPVRHCSCCNEPWMPKGYMKTLIQTQSTVSQNAEFDLPSPVSKEQGQDDLKNRSDNASVSVKAKHQRERGPDPLSHVAYTELKIDSESESESEVSSVAGDEEEALILQTDHLHRDIVDQHVQLESHTITVPEDVASDKLIDPVSETKPSISVSQSQADIVEPLVTKSEESIDSSIHGLEELNWKQASSKAEPSEFSDLISLDDDVPVPSNDRATYIDVSTEMNLESPGKVFPLPNADEIPTKGSEDSKNIDHVSSPSPANETPVEVPKESNPISIDYVSPSNFVASPIEESKESSVMSTLEVEKKSVAECEEICKPREQPLPIPESPVETNHASSDTSIQVPNSLDLSDAYKLAVGSRGRQLSGLLVEQWIGKESSKLSEDLKALLSQLSARGFEQSMNDASPRIAVSPRISVNSDEFKASDSSIINGIHLLQKRVSLERNESGLSIDGSIVSEIEGESVVDRLKRQVEHDRKLLNALYKELEEERNASAIAANQAMAMITRLQEEKATLQMEALQNLRIMEEQAEYDMEALQQTNDLLAEKEKEIQELEAELEFYRMKFHNVSMLGDIVKPADDFKARQGTEDHSESNGIEERTDKPPEPVSEKTNISCTVEGTNESSTDSNQSTMKNQLPGYEEGCHE >OMO71983 pep supercontig:CCACVL1_1.0:contig11522:7472:7867:1 gene:CCACVL1_18001 transcript:OMO71983 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MGKPRNIHHHHYDDLKSKNPTKVKKNPIKVTYIDSPTMVKVSNADEFRAIVQELTGRNSDTREQHSNDVHTTTIPKEEANQVHDSSAKVDDRILLDSFPVDMSSLEFDEDFTWRGVQEDLLGFQSSSSIFV >OMO71988 pep supercontig:CCACVL1_1.0:contig11522:35172:35945:-1 gene:CCACVL1_18006 transcript:OMO71988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLAVLLTTLALFLFLANASIRTAITVENDDENPWVYQEGSCQQQIMKQDYLKHCQNYMEEQCSGGRCDYNVRGSEHLDSCCQQLQKLDRQCRCTGLKQAMLQQMGGDMGKVMQEMQQMAESVLSKCNMEPRKCGTPYENPWGTKTKTQGCSRQIEQQDNLRHCRNYMEGQCSCSCSGCRCNTRAASRHLDSCCEELQNLDRQCRCTGLKQVIEEQMEQGKMERGEMREMYQMADKILSKCNMEPRKCDMPYRGMF >OMO71984 pep supercontig:CCACVL1_1.0:contig11522:8543:9190:-1 gene:CCACVL1_18002 transcript:OMO71984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLAAIADSQPQPMHTQFPAGGIVQPGGHYVQHQQAQQMTPQSLMAARSSMLYAQQQQQALHNQLGMSSGGSAGIHMLQSEASTAGGSGALGSGGFPDFGRGSYGEGMHGGRAMAGGSKQDIGSAGSAEGRGGDGGETLYLKAADDGN >OMO71987 pep supercontig:CCACVL1_1.0:contig11522:33291:33599:1 gene:CCACVL1_18005 transcript:OMO71987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKLISSSPPSSPPAPAPAPPLSTTKKTDDGREKLTKKDCMFLVAMMLMLGLGALLGAISATESHPPPPEFGLNSLSVSQFNISGSEITANWDVGFVAKKP >OMO71986 pep supercontig:CCACVL1_1.0:contig11522:27554:31816:-1 gene:CCACVL1_18004 transcript:OMO71986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQSSSSAESSVFAFRPSNFLSAPAPPLSLRFFPMAATTADDHHRKLQLRHSSSSSSTSSKLSNPVLACRASSVSHETTSSLRKDDESPVHGLSEMIVGVLGGGQLGRMLCQAASKMAIKVMVLDPSENCPASALAYDHMVGNFDDSATVQEFAKRCGVLTVEIEHVDAATLEKLEQQGVDCEPRASTIRIIQDKYLQKVHFSQHGIPLPEFMEIDNLEGAKKAGDLFGYPLMIKSKRLAYDGRGNAVAKSEEELSSAVAALGGFDRGLYVEKWAPFVKELAVIVARGRDNSILCYPVVETIHKENICHIVKAPADVPWKIRKLANDVAYKAISSLEGAGVFAVELFLTGDGQILLNEVAPRPHNSGHHTIESCYTSQFEQHLRAVVGLPLGDPSMKTPASIMYNLLGEEEGEAGFKISQQLIARALEIPGATVHWYDKPEMRKQRKMGHITLVGPSMGVVEARLNSMLKGEGSENKIEATPRVGIIMGSDSDLPVMKDAAKLLNMFGVSYEVRVVSAHRTPELMFSYASSARERGIQVIIAGAGGAAHLPGMVAAMTPLPVIGVPVRATQLSGVDSLLSIVQMPRGVPVATVAVNNATNAGLLAVRILGVGDSDLLTRMSQYLEDMKDDVLTKAEKLQKDGWEAYLNP >OMP05238 pep supercontig:CCACVL1_1.0:contig05468:2343:4358:-1 gene:CCACVL1_01987 transcript:OMP05238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLTAGPKFIRLHFHLTSYPGFDLSKAFFSVKAGPFTLLTNFSALLHAQGKPTLVKEFSVVVEEGQSLNITFTLSSAMSDAYAFINGIEIVSMPTNLYYGSPANENGIPFFGIGTGALQYSLGNSTALETMHGINTGGADIPPANDTGMYRAWSSDDNYLAIAESSVIPVNTSINLNFSNQPPFVAPDVIYRKARTMGTNRTINENYYLTWEFSVDSGFNYLVRLHFCEFQIEITRQGDRVFEILLANLTAETLADVIAWSGGRGFPIYRDYVVAIGNKGNLKQQNLSIALHPAPAWRTLYSDGILNGLEIFKLSNNLNIAGPNPDPISNLLEDMKPPKHDSPIRQPRKKRTILAMVAGLTSSFIVISLLFFLVYRIFSTKIVKNNSAAPALPSDVCRLFSLKEIKAATKNFDQNFIIGKGGFGNVYKGFINDGSTLVAIKRLNPSSNQGLLEFKTEIEMLSQLRHQNLVSLIGYCEDGKEMILVYDYMVHGTLRDHLYNTNNPPLPWNQRLKLCIGAAHGLNYLHRGPNHTIIHRDVKTANILLSEKWTAKVSDFGLSKMNDMSNTHISTAVKGSFGYLDPEYYRLHQLSEKSDVYSFGVVLFEVLCARAPIDRTRDHMQISLAEWAKHCYDNGTLDQIIDPYLQGKISTLSLLKFGEVAYKLLCFRGG >OMO79061 pep supercontig:CCACVL1_1.0:contig10516:181:294:-1 gene:CCACVL1_13924 transcript:OMO79061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DIWGLGDGFRTKFTLSPTEPTTETETVARLAVGFEFA >OMO86734 pep supercontig:CCACVL1_1.0:contig09427:72597:80781:-1 gene:CCACVL1_09494 transcript:OMO86734 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol-regulatory element binding proteins intramembrane protease MRMNRARRHGAARLPLRASPSSPSHSVAAANAFSCWYCDLKISVFNEPLFRFGRKYAPFLKLWFTVGTGFGLTALVGVTLILIWQLFLSSDTQLSNLFSSLLFGFSPSFKLSLSDSGYLFLSTLVSVSIHEVGHAIALTSEGIQLEYIAVFLAVLFPGALVAFDYDLLPALPRATALRVYCAGIWHNAVFCAVCGLLLLSQPMILSPLYIHAESPLVLSVAPASPLSEFLSPGDAIVALDGVHIHDAQDWMELTALLDKKMLRNSSDSQYYKGFGMIDFRKGYCVPNALLEDSKKVQLANGQLVCPDDFAAFVRIHCFDPGKLGDVSVEDGHQGRIENGVCLNAKEIVKLGKCSDGWGITAMTNASSCMCSKDESCLSPVQLPGLKWVEITYSRPYSKECLELKVSPSGSNTSDAVQQNCGGTFLFVGLNVHVPCFPNTSSSQQLAGSNGGDGVVVDDSDGGGGGGSDGGDCGNGGKSFGSVSCSICLETVTDNGDRSWAKLQCGHQFHLDCIGSAFNIKGAMQCPNCRKIEKGQWLYANGCRSYPEFNVDDWTHDEDLYDLSYSEMSFGVHWCPFGSLARLPSSFEDGEFSSTTYHELLGQHAIFAEHSAVSSATHPCPYVAYFGPTIHPSSSNSSGSVPDSSNFNSHWNGPSVPSEIPTSYAIPAMDLHYHSWEHHSPPFSTSSSRMGSSDQPSIPPVNQRSTRSSSDMARSGSFMPPFVVGHSSGARAGSSVASSLMPPYPGSNARARDRVQALQAYYQQQQPSTPAIRTPLARRSFNHRSLPQVGPVASSSEQVGGFYFIPSGTSGRNFQEAENPLSTRFHAWERDHLPSFSLNQVDRDSGWGAFHQAASGSDPSIRSSSFRQRHGSERTSSQNRS >OMO86736 pep supercontig:CCACVL1_1.0:contig09427:87523:94186:-1 gene:CCACVL1_09496 transcript:OMO86736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIKAEPGHHHTLAISETEDISAKKKPQKTQRVASLDIFRGLTVALMILVDDAGGEWPMIGHAPWHGCNLADFVMPFFLFIVGMAIPLALKKIPSKTQAVKKVVCRTLKLLFWGLLLQGGYSHAPDKLTYGVDVKMIRFCGILQRIAFAYLVVALAEIFLRRSPSKDVSAGHFSVFRLYCWQWLVGACILVLYLALLYGTYVPDWQFTVHDKNSPEYGKAFSVTCNVRGKLNPPCNAVGYIDREVLGINHMYQRPALRRSKACMENSPYEGPFKTGAPSWCHAPFEPEGILSSISAVLSTIIGVHFGHVLIHMKGHSERLKQWIIMGFALLILGLVLHFTNVIPLNKQLYTFSYVCVTSGAAALVFSAIYILVDIWNLKYIFLPLKWIGMNAMLVYVMAAEGIFAEDSSFEEEQLASMSTEDVIRASRILETEIRAQKEEMQRLNLELDSYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >OMO86731 pep supercontig:CCACVL1_1.0:contig09427:51255:54891:1 gene:CCACVL1_09491 transcript:OMO86731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSCTLYVGNLPGDTRMREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDPRDAEDAMRARDGYNFDGYRLRVELAHGGRRPSSSADRHGSYSGSSSRGPSRHSDYRVLVTGLPSSASWQDLKDHMRKAGDVCFSQVFRDRGGMRGIVDYTNYDDMKYAIRKLDDSEFRNAFSRAYIRVKEYDSRRSYSRSPSRSPYSRSPSRSRSYSSKSRSRSKSPRAKYSRQSRSVSRSVSPRSRSVSPARSYSRSRSRSRSPTPSPRSKRVSGSPPKRSPSRSRSQSLSRSRSPSRSPSVKSD >OMO86724 pep supercontig:CCACVL1_1.0:contig09427:4548:6251:1 gene:CCACVL1_09482 transcript:OMO86724 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MDDFDGSKSKKLLLECAGAFEDGNLELVDALLERIWTLAPKSYRGRVVKYYAEALVRRAYGLHPSLAYFYSLLPCYFLYDESLLYNYEIKAKVFKGKKQKQVHLIDFYLPHLYHRNRGYLFDGMRKLVCGDTTVCVRVSVVLPPFLKGIVDVGREEQYLARQAEEMEIEFKNLQMVYANSLGEMNPKLPFMLNLLGRRAEDDDEALVIFYSSKLNKLLAEEGALERELKRLAQINPDVVFITEPNANHNQSNFIQRLDHSFPFYFPRWSCFDEQERIRRGEIGNIVGCESKDRVVRHLSLEQWRSLFLSAGFMIPIPLQSQYYKQEKGCLVDYRDHFHSAWKFNPVDHFNPTSFNSLLRVNYATQ >OMO86728 pep supercontig:CCACVL1_1.0:contig09427:31876:36049:1 gene:CCACVL1_09486 transcript:OMO86728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MYDLIDVSAFKKLLLDCATALQDGNLKLADVHLGMISNLLAKKTDIARSRLVKYYAEGIVRRAYGLHPSLPFFTSRLPYFDKIEPNVLEGKKQLHLIDFYLPHLYSHHFYGPYLFDTLRKVCGDSISVRVSVVLPPFMEKTVDFRREEQFLAREAEKESIKLKDFKMVYANGLGEVDDAFMWDLMMKRRADDDEALVIFYSNKLHRLVAEEGALETELLTLAQINPDVVFISEDNANHNYSNFIQRLDHSFPYYFSTNPVIGIEAQNMKRREIGNIVGCEGKDLVERHLTFDQWRSLFLSTGFMIPIPLYVKGYNGKENGCLVYRDEFHSAWKFCSGVHLDSTSFNSLLRGWT >OMO86729 pep supercontig:CCACVL1_1.0:contig09427:38650:38994:-1 gene:CCACVL1_09487 transcript:OMO86729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18/L5 MVIPPPVRPPRIKEFLKPYVLKMHFTNKYVSAQVIHSPTGTVASGASSQEKALRGSMESTRDVAAAAKIGKILGERLLLKEIPAVAVNLGREQKYHGKVKAVIDSLREAGVKLL >OMO86744 pep supercontig:CCACVL1_1.0:contig09427:128148:131702:-1 gene:CCACVL1_09504 transcript:OMO86744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rad9/Ddc1 MELSISGNALKTFGRSITCLARVGNELVIQASPSQLALHTLNSSRSAYQSITFNPDFFDAYNISASQVQCSVLLKAVCSVLRTPIASIETLTVKLADPDASKLQWALECLNGMKKAYWITCNVEPDIQHLSLDRRKFPSNLVVRPRDLNRLLANFQSSLQEITIIATEPTSVPSDVDSEIGGKAVELRSYIDPTKDNDSSLHTQLWIDPIEEFVQYTHSGDPVDVTFSVKELKAFLTFCESCEVEIHLFFDKAGEPILMAPKFGLDDGPGSNFDATLVLATMLISQLNEGNPSEPVQAATTGHDQAIHGTGSQAQRERSRTNVCEQPSDHTRIWSDLSASAAKSISGVEERQVPGQQNLNASEQRDIQRISMIQITKDVPTRESVPPAAPSFRQQVEKEHVAAAQDMNQINGHGLSQHHPSNWVDADEEDDDDDDGDDNELCVKSTPPYYEEQ >OMO86740 pep supercontig:CCACVL1_1.0:contig09427:108591:110573:-1 gene:CCACVL1_09500 transcript:OMO86740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSSLSLRFTIFLSLSFAASSSSSSSPFYFFKSSPSSIPKATPSDLLSLLGPPSQSSSVNPSVADELKSCFKFLVPFIPVSNQKYPDSKSLSYRRSLLKSQKDKENELIWWPPEPVLELARLAVDSGGDPDSIHRALDPTVLPVPDVEGSNESKCQLTRTPYGRRFINQELNSYLEYLFKLIVERGPSVGLEVSLNRFDLFHGHLFIATDTGRLGILFHAKEYPAYDNELFPVNMGYCQKGSNVTYDDSMNLRNILWLAPLPSNSTKAWTAPGVLLVLDAHPEGIIYRDLIPEYVNFARTIYEDDLGEVVVDVNYLNTGNPQPDYQIFIC >OMO86742 pep supercontig:CCACVL1_1.0:contig09427:119925:121214:-1 gene:CCACVL1_09502 transcript:OMO86742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubber elongation factor MAQGDSNIKQDMASEEEQRLKYLEFVQVATVHAVLCFTNLYLKAKEKSGPLKTGVETVEGTVKTVVGPVYEKYHDVPAELLKFVDRKYEPAAKGLYAKYEPKAEQCAVSAWRKLNQLPVFPKVASVVVPTAAYCSDKYNQTVVSTAEKGYKVASYLPLVPTEKIAKVFSEEKPESQPLVSES >OMO86745 pep supercontig:CCACVL1_1.0:contig09427:132586:133438:1 gene:CCACVL1_09505 transcript:OMO86745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21e MDLYIPRKCSATNRLITSKDHAAVQINIGHLDETGRYTSSFSTFALCGNVRAQGDADSALDRLWQKKKAEIKQQ >OMO86732 pep supercontig:CCACVL1_1.0:contig09427:56211:56525:-1 gene:CCACVL1_09492 transcript:OMO86732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDEKTHEMITKYGSRMYSLLNHETTSNKKENHLCRTHKRRRPVLIPDRPLERSAAVALKEPSRGSRCIRGRRKHPWQGRVGRPFGFENPQKKIPIQNREFRN >OMO86730 pep supercontig:CCACVL1_1.0:contig09427:44995:46052:-1 gene:CCACVL1_09490 transcript:OMO86730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22e MCRGTITGAKGKKKGVTFTIDCSKPVEDKIMDIASLEKFLQERIKVGGKAGALGETITLTREKSKIIVTADSAFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRAVYELRYFNIAENEAEEED >OMO86741 pep supercontig:CCACVL1_1.0:contig09427:116062:118589:-1 gene:CCACVL1_09501 transcript:OMO86741 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MSSCLHAPMPYTVSSSAFSQSIRRPKTLKLGCRAFGVKCAAKIPMPPINPNDSFLQKLASLVASSPETLLNRPVKADTLPFMDVLDSDVYDCPKLMATPAELERSANYREHRTRRPPPDLPSMLLHGRIVYIGMPLVPAVTELVIAQLMYLQYMDRDQPIYLYINSTGTTRGDGEPVALETEGFAIYDALMLSKCQIHTLCLGSAFGQACLLLAAGTKGKRFMMPNATAMIQQPRIPSTGLMPASDVLIRTRETIILRDAFVELFAKHTGNSEETVANVMRAGPYSMDGAKAKEFGVIDKIYWRGQEQLMAEIASPEDWDKNAGIKDMDDF >OMO86725 pep supercontig:CCACVL1_1.0:contig09427:11676:12197:-1 gene:CCACVL1_09483 transcript:OMO86725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDETPPPPQTPPSPPCNDNESQQDQSPSAAPTWTIPANLKVQLEATAAAQEHGQWRNVVVTFCISYALQMIQFMGNADHDSNHHSLVVALSVIVLVVFTLLLVALFVHQSSSRVSQVLEKVAFLLSAAAFCLSLSIPFSFELRCAVWAVFLLALLIIIIFIMCLNPNTPQP >OMO86727 pep supercontig:CCACVL1_1.0:contig09427:26425:27024:-1 gene:CCACVL1_09485 transcript:OMO86727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPQRLMTFLASINQFFIRLICPQSDQARSQIQQPTTSTIDVEMPPPPQTPPSPPPPPPSPAPTWTANLKLQVEAKPAAQEHEHWRKAVLTFCYTYVLQIQFMGNADYDSNHHSLVVLSVLVLVAFTLLLVALFVHQSSSTISQALEKVAFLLSAAAFCHSLSIPFSFELRCAVWAVFLLALLIIIIFMCLNPNTAQC >OMO86733 pep supercontig:CCACVL1_1.0:contig09427:58461:58833:1 gene:CCACVL1_09493 transcript:OMO86733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGTEIVCQAGGIILEHNTLDRPLLDFRAMTELLILRLFGNPFEFLPEILPLHNLQHLSLANTKIVADENLRPVNMQIEVSMNTSFSSAMPIQ >OMO86738 pep supercontig:CCACVL1_1.0:contig09427:103035:103775:-1 gene:CCACVL1_09498 transcript:OMO86738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isy1-like splicing MARNEEKARSELNRFVAQKAAEKKKPKERRPYLASQCRNLAEADKWRNQIMTEIGRKVTDIQNEGLGEHRLRDLNDEINKLLREKSHWERRIVELGGPNHAKHAPKMTDLEGNIIDVPNPSGRGPGYRYFGAAKKLPGVRELFEKPVPELRKRKSGYDNYKRIDASYYGYNRDEVEGEAEEEWRRKEAKEVVTAGAAAAAREVLFEEEKEREFVKKKKKMELLSKYASQELLEEQSEAKDMLKIHR >OMO86735 pep supercontig:CCACVL1_1.0:contig09427:83453:84677:1 gene:CCACVL1_09495 transcript:OMO86735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mss4-like protein MLVYQDLLTGDELLSDSFPYKEIENGMLWEVEGKWVVQGAVNVDIGANPSAEGGDEDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTFIKRYIKNLTPKLETEKQELFKKHIEGATKFLLPKLKDFQFFVGESMHDDGSLVLAYYKDGATDPTFLYFAYGLKEVKC >OMO86737 pep supercontig:CCACVL1_1.0:contig09427:95910:99530:-1 gene:CCACVL1_09497 transcript:OMO86737 gene_biotype:protein_coding transcript_biotype:protein_coding description:WASH complex, F-actin capping protein, alpha subunit MAEEETELSDEQKKEIAKWFLLNAPAGEIQYVAKDLKSVLNADDVYNEAASEAFPVYNKSHMICLEMRGRFGDVLVTSYGELNENEYLDPKTAQVAIVDHVKQVCTEVRPATDEELPSPYIEEYRCALEAEMLKYVSEAYPKGVCSVYCTNGKDAEGPGSDFELVVVISAARLSPQNFCNGSWRSIWNIEFKDDIQSLELKGKLQVGAHYFEEGNVQLDTKHEYRDSTIFQSPDDSAIAIASIIRYHETEYLASLEESYLNLPDTTFKRICSARLVNKYPRRPYVILGLKAGA >OMO86739 pep supercontig:CCACVL1_1.0:contig09427:104835:107570:-1 gene:CCACVL1_09499 transcript:OMO86739 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tafazzin MALKWTEGGDLWKKKAFALQLQLRQRFRIAVDNHRRHRHSVLGDRYFTSTVKRWLHRFRDFRRDSLPSSTVFYRKRVNKDLNAEEDSVVFRMLQAVAVPLLGNVCHVFMNGLNRVQVYGLEKLHDALLNRPKNKPLLTVSNHVASMDDPLVIASLLPPRVLLDAQNLRWTLCASDRCFNNPVTAAFFRSVKVLPVSRGDGIYQKGMDMAILKLNSGGWVHIFPEGSRSRDGGKVVRPSKRGVGRLVLDADTTPIVLPFVHTGMQDIMPVGANFPRIGKTVTVLIGDPIHFDDILNAEETTDASRGKLYDAVASRIGHHLQNLKGQVDKLALEQSMLLENQHKGGAERAADILHQVDWDSFRVGSNEYMVEKSLEQGTQVRQNLNLTCPEEESTSDHCYSRTGFSREGGIASRIRSSMDPTELMGFAARGLFMSHRASESSVSTSQIRPVRAWKQVLEANSLQQWNTC >OMO86726 pep supercontig:CCACVL1_1.0:contig09427:14378:15535:1 gene:CCACVL1_09484 transcript:OMO86726 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MDFHYFKKLLLDCGRALEDGNLELLNALLERIFTLAAKYNRGRMVKYYAEGIVRRAYELHPSLPYFYSLLPFYYYFYYYSSPLNKIKPNVLNGKKQKQVHLIDFYLPHLYHRNRGYLFDGMRKLVCGDTTVCVRVSVVLPPFLKGIVDVGREEQYLARQAEEMEIEFKNLQMVYANSLGEMNPKLPFMLNLLGRRAEDDEAVVIFYSSKLNKLLAEEGALERELKRLAQINPDVVFITEPNANHNHSNFVQRLEDSFPYYFHDRVLLFTDEEEKICRGEIGNIVGCEGKNRVARHLTFHQWRSLFLSAAFMIPIPLQSIYHKEEENGCLVSGDRFQSAWKFNSVDHFDPSSFNSRLRGTHFFSSFLLGDVFVQYCLFFYPCFNKY >OMO86743 pep supercontig:CCACVL1_1.0:contig09427:124553:124900:-1 gene:CCACVL1_09503 transcript:OMO86743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rapid ALkalinization Factor MAVNCKLLVICAAMIMVVVVTAVDASGDQQQILGWIPTKSPCKGSIAECLSGEEFELDSEINRRILATSNYISYGALQRNTVPCSRRGASYYNCQPGAQANPYSRGCSKITRCRS >OMO99822 pep supercontig:CCACVL1_1.0:contig06798:22692:29543:-1 gene:CCACVL1_03606 transcript:OMO99822 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MLECFENIKMLIIAMQDHECKRCFAENARMVIDCDAPRAYPGLEEHNGDNGDHGKDAQGVQGSMDNLKGEDGIANMPLDDGIAPKMPFDPMKMPLGPMTRARAKKFKDALTSFVRTHLEGLKSIEDQLESIEVDGSKNIPNDSKLCTLLEIIESRKERSSLGVALIRPIEASTMGDNTPQGEQNQADLATMFQALMQRMDVLSNDVQQIKDRQNQQAQPPQQRANASNNNERVQPPQPRQVVPRIDPMERLRQQELGGQAYNENLRPRRGIEREEPKDNIKYKIPKFNGRGTPADYLEWESELDMYFDYHPHAESKKVQIATLDFSENALNWWNQLVQSRGRNLERPIDTWLELKSLMRKRFVPSYYINSLYQSLQSLRQGTRSVDEYYSEMMLLMPRAEVDEAPQATMARFMAGLNRDILDIVEMQQHYDVEELLQHALKAQSQVKRNGAKKSFASSSSSWKTPIKKDEKSSNKEKELAQKGASPKTDSKSSSSSSSKNHVKCFKCQGYGHYAKDCVNKKVMFTNDYGEIESEDEEFALGSNGDGDDEKGFAYDDADDDDGNTPTLLNLVARRTLRAYVKGDVHNQRENLFHTRCKYNDEVLCDVPPMQACHVLLGRPWQYDNKVYHDDVFPEEIPSGLPPIRGIEHQIDFIPRAQITNKQAYRTNLEETKELEKQVRELLKKGFVRESLSPCAVPVLLVPKKDGTWRMCVDCRAINNITVKYHHPIPRLDDMLDELHGACLFSKIDLKSGYHQIRMKEGDEWKTAFKTKLGLYEWLVMSFGLTNSPSTFMRRMNHVLRAYIGRFVVVYFDDILVYSRNLDEHVEHLRCVLDVLRVEKLYANLKKFTFCTNKLVFLGFVVSSQGIEVDEEKIKAIKEWPTPTNVGQVRSFHGFAGFYRRFVKDFSTLAAPITSVMKKNAPFKWGKEQQEAFETLKEKLTNAPLLVLPNFNNTFGIECDASGVGIGAVLTQGGRPVAYFSEKLNGAALNYPTYDKELYALKQGKENVVADALSRRESHEGGLMGHFGVDRTYEILHEYFFWPKMRYDVGKHVSSCIVCLQAKSTSKPYGLYTPLPIPYEPWTHISMDFLLGLPRSKRGKDSIFVVVDRFSKMAHFIVCTKTDDAINIANLFFKEIVTQHGMPRTIVSDRDAKFLSHFWRTLWAKLVDMDGERKTDFVKDLHAKLRAQIEKKTQHYMKVANKGRKEVIFEPGDWVWLHLRKERFPEKRKSKLLPRGDGPFQVLERINNNAYKLDLPSEYGNVNPTFNVSDLSLFDSDADLRKNPFQRRGDDAPRAYHGLEEHNGDDGDHGKDAQGVQGSMDKLKGEDGIAKMPLDDGIAPKMPFDPLKMPLGPMTRARAKKFKDALKSFVRTHLEGLKSIEDQLERIEVDGSKNIPNNSKLCTLLEIVEY >OMO99821 pep supercontig:CCACVL1_1.0:contig06798:8243:16376:1 gene:CCACVL1_03605 transcript:OMO99821 gene_biotype:protein_coding transcript_biotype:protein_coding description:myotubularin-related protein 6 MEEDQQNEVQLVKRKQEEMSEQMKEMKEMLAQILKAKGPMEALPALNDQETPEYPPGFAPNQGHGSSTMARQTFSIPTPPQNTYPFQTQYAYQDFAQQKH >OMO89404 pep supercontig:CCACVL1_1.0:contig08732:1140:2358:1 gene:CCACVL1_07860 transcript:OMO89404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type AIDTYGVGKVVASGNPEFEKGDIVGGLLSWGEYSVIKPGGMLNKLNPMGFPLSYHVGVLAFSGLTAYAGFFEICKPKKGEKVFVSAASGSVGSLVGQYAKLFGCYVVGCAGSQDKVALLKEKLSFDDAFNYKEVKDLKSTLKRYFPDGIDIYFDNVGGEMQGAAVDNMNISGRVAVCGVISEYTDYEKRAAPSMMDVIYKRIKIQGFLAGDYLNKFADFFTTTSDHLRTGNIKPLEDISKGVESIPSAFISLFRAQNVGKKMVKIAEE >OMO89405 pep supercontig:CCACVL1_1.0:contig08732:4766:14525:-1 gene:CCACVL1_07861 transcript:OMO89405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPIVRHRPVCHRIAASVVARSRWGASEAKAAEKTTFDINLEKFDTTVKLKMIKEVRVYMDLGLIFTF >OMO85855 pep supercontig:CCACVL1_1.0:contig09567:6447:6923:1 gene:CCACVL1_09962 transcript:OMO85855 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MASSSSKKIILKSSDGETFEVDEAVAMESETIKNMIEDDCADSEIPLPNVNSKTLSKVLEYCKKHVAGDKGTEKKAAEDDMKTWDTNFVQVDQNTLYDLILAANYLNIKGLLDLICQTIADMIKRNSVEDIRKTFNIINDFSAEEEAKLRQENQWAFE >OMO85856 pep supercontig:CCACVL1_1.0:contig09567:12713:13438:-1 gene:CCACVL1_09963 transcript:OMO85856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFSTVSLITGVICAAPNYDKWTRKKMKQPVAIAQLSPRATLPWAPQLTSEPIISLYVDPTSLNVMSPSPPFTRVRSTDTPHARIFICVSAASETALRKLWGVCGRFGVSALGDCHTFGRKRVSSTPQKF >OMO85857 pep supercontig:CCACVL1_1.0:contig09567:14162:14224:1 gene:CCACVL1_09964 transcript:OMO85857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRFLKRLQPWNIVDVIG >OMO85858 pep supercontig:CCACVL1_1.0:contig09567:16653:17669:1 gene:CCACVL1_09965 transcript:OMO85858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate-induced protein 1 MHRISVLLSLITVATLLIATPVIGYRPWPHLKPNSSDLMFGGSKKYEGSSEFVHMRYHMGPVLTANITIHPIWYGRWQKSQKKIIREFINSISAVNAKHPSVAGWWKTVQLYTDQTGANISRTVLLGEEKNDRFYSHGKSLTRLSIQSVIKSAVTAKTKPLPLNPKSGLYLLLTSDDVYVQDFCGQVCGFHYFTFPSIVGYTLPYAWVGNSAKLCPGICAYPFAVPEYMPGLKPMKSPNGDVGVDGMISVIGHEVAELATNPLVNAWYAGQDPVAPVEIADLCEGIYGTGGGGSYTGQLLNDRDGATYNMNGIRRRYLVQWVWNHIVNYCTGPNALDQ >OMO81853 pep supercontig:CCACVL1_1.0:contig10091:19365:20831:-1 gene:CCACVL1_12165 transcript:OMO81853 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MGSLSDDKLHFVLFPFMAQGHLIPMVDIGRLLAQHGMVVTIVTTPHNAGRVQKTVARAMESGQDIRLVPIRFPCEEVGLREGWENIDMLPSMAEWMQFFTAGNLMEEAVMELFEKLTPLPNCIISDFCLHYTTKVASKFQIPRISFHGYCCFCLLCNHSIHSSKILESITSDSEYFTVPDLPIKVEFTRVQLAAASDNCDGPWKELFEKIGEADRSSFGVVINTFEELESPFIEEYKKLRNGKAWCIGPVSLSHKDEVDKAQRGNKAAINEHECLKWLDSQEPNSVIYACLGSISSLRLSHLKELGLGLEATNKPFIWVIRGNDTSKQVENWMKDDRFEERIKGRGLVIFGWAPQVLILSHFAIGGFLTHCGWNSTIEGISAGLPLITWPLFGDQFSNEKLVVQILKTGVSLGVEIPIFEGLEEGIEVMVKKEDVKDAIEKLMDQGNEGMERRKRAKEFGDKANKAVAVGGSSYLNLTKFIQNIRQQS >OMO81850 pep supercontig:CCACVL1_1.0:contig10091:8745:10175:-1 gene:CCACVL1_12162 transcript:OMO81850 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MSQGHLIPMIDIARLLAKQNVIVTIITTPQNAARFSAGINRDIESGLLISVQELHFPAAEVGLPEGCETVDNLPSFNLFSNFHAAMRMLQKPVEELLRGLNPIPNCIIHDKHFTWMAEVASKFQIPRVLFDGKGCFTLLWGHNIITSKVHECVSEGEPFVVPGLSDRIEFTTRQLPGHLNPGSNMKEISEKVMAAEQGAYGLIVNSFEELEQEYVESYQKIKTQKVYCVGPVSLCNKNMLDKATRGNKGLTNEKKCLKFLDSWPESSVLYISFGSLSHLTPHQLTEIGLALESSNRPFIWVIRGGNKKEEMEKWLEEYGIEERVKGRGLLIRDWAPQVLILSHPSVGGFLTHCGWNSTLEGICGGVPMITWPMFAEQFFNEKLLVQILKVGVRVGVEVVVQMGEEEKSGVLVKSENVKNAIENSMDEGEEGEERRKRARNLAEMANKAVKEGGSSYLNITLLIEDIKQQATISNLH >OMO81851 pep supercontig:CCACVL1_1.0:contig10091:12133:13554:1 gene:CCACVL1_12163 transcript:OMO81851 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MASGHMIPMVDIASLLAQRGMIVTIVTSPLNAGKIEKTVTRAMESGLDIRLVQIQFPCKEVGLPEGCESVDMLLSNSEFTKFHTAANLMEEAVMKLFEELTPRPNCIISDMCLHYTSKLAAKFQVPRISFHGSCCFWPLCINNIFSSKILESVTSDSEFFTVPGMPAKVQLTRAQLEVLSDNSDELWKEYCQKVGEADGSSFGVVINSFEELELPFVEQYRKLRNGKAWCIGPVSLSHKVELDKSQRGNNASINEHECLKWLDSQQPNSVIYACMGSISSLRLSHLKELGLGLEASNKPFIWVLGRNDTSKQVENWMKEDGFEERIKGRGVVIIGWAPQVVILSHPAIGGFLTHCGWGSTMEGISAGLPFITWPLFSDHFAHEKLVVQILNIGVSLGVKKPTLRGVEGIVEVKKEDVKNAIEKLMDQGNEGMERRKRAKEFRDKANKAVAVGGSSFRNLTKFIQDIKEQSQNI >OMO81852 pep supercontig:CCACVL1_1.0:contig10091:14487:16874:1 gene:CCACVL1_12164 transcript:OMO81852 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MYRKTINIVTSSNRRFLNALRLEFNAGSSGAGVPMITWPMFGEQIGNEWLLVESLKVGVRIGIDAAMKDVKELDLAPFPSNSLSAFHCNSVVMASLSNDKLHFVLFPFMAPGHLIPMVDIGRLLAQRGMIVTIVTTPLNASRVHKSVSRAMESGQDIRLVPVQFPCKQVGLPEGCENLDMLPSVAEYLQFFTAANLMEEAVMKLFEKLSPLPNCIISDMCLHYTSKLASKFQVPRISFHGYCCFSLLCMHNIHSSKILETITSDFEYFTMPGLPIKLEFTRVQLVVQSDNSKGPMKEIFEKIEEVDRSSFGVVINTFEELESPFVEEYRRVRNGKAWCIGPVSLSHKDELDKSQRGNNASINDHECLKWLDCQEPNSVIYVCLGSMSRLRLSHLKELGLGLEASNKPFIWVLRGNDISKKVVNWMKEEGFEERIKGRGLVILGWVPQVLILSHPAIGGFLTHCGWNSTVEGISAGLPFITWPQVSDQFTDEKLIVQILKVGVSLGVEKQTILGVEENTEAIVKKDDVKNAIERLMDEGNEGMERRKRAKEFGDKANKAVAVGGSSYLNLTMFIQDIRQQSQKMCL >OMO81854 pep supercontig:CCACVL1_1.0:contig10091:21592:23556:-1 gene:CCACVL1_12166 transcript:OMO81854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MVSPPLPSLLQLTTSSITPSNAASPQRLDTSDSSSSLSTSTLNQHTTVEPYEIDLEQEHTSSHEIAEPTAFETPQETNYPPPSESITVPRKQRSFNIPVVIHKLIENAHPMQTRAKNQIFKPKSANLTTKHPFSALSEPTCVTQAMKDENWRRAMSEEINALIQNGTWELVPPSSSQNVIGFKPTTIRIVLSIAIQRQWPILQLDVNNAFLYGTLTETVYMKQPPGMVDSSNPTHVCKLKKAIYGLKQAPRAWYNELRSFLLSFGFKQSHSDSSLFLFQQDEVITYFLVYVDDILLTGTSNSHLQEVISALSNKFSLKDPTPLSYFLGIDAIPTQSGQFLCQRKYVVDLLERTSMLDAKPVSSPLPSTCLFSKDDGEPLEDASLYRSIVGTLQYLLITRPDLSFAVNKMAQFMNNPTMTHRRGLKRIMRFLKGTASYGILLKSIPSPFQLVAYSDVDWAGDTGDRKSISAFLVYLGGNLISWKSTKQKTVARSSTEAEYKAIANAASELTWVQNLISELGLSCTNTPIIHCDNMGATYVSTNPALHSKMKHVSIDFHFVRDKVNAGLLNVSHVSAQDLLVDLLTKPLPRQCFRQLSSKIGVLPSDSILRGHV >OMO76453 pep supercontig:CCACVL1_1.0:contig10903:16053:16466:-1 gene:CCACVL1_15657 transcript:OMO76453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKHLVCLALVLSLGFVMCSGRGDMSSKNSMHDQAATGSTNSGSMQTNTVYSGGDNCGIPADPPAEPPCSGGPEFPKTDGPFCQLVQNCRITAGCGNGELDELREIVRELTRKLDDMLIPGQIRRPMEAMAPGSV >OMO76451 pep supercontig:CCACVL1_1.0:contig10903:4505:12950:1 gene:CCACVL1_15655 transcript:OMO76451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWQWRLLPLLALLASFLVLFYFQDSFKASQGECSLLPYSHYWIASKRIVTQQGIISGAVEVKGGKIISIVKDADWNGKSKQVVDYGDAVVMPGLIDVHVHLDDPGRDEWEGFPSGTKAAAAGGVTTVVDMPLNNFPSTVSTETLNLKIKAAEKRIYVDVGFWGGLVPENAFNATTLEALLDAGVLGLKSFMCPSGINDFPMTDIHHIKAGLSALAKYRRPLLVHSEIQDDKSNVQVDNGGDDPRSYSTYLKTRPPSWEEAAIRELLTATKDTRSGGPAEGAHLHVVHLSDASSSFDLIKDAKKRGDSITVETCPHYLAFSAEEIPDGDTRFKCAPPIRDAANKEKLWNALMEGDIDMLSSDHSPTVPKLKLLNEGNFLKAWGGISSIQFVLPVTWSSGQKFGITLEKIALWWSERPAKLIGQHSKGAIAIGNHADIVVWEPEVEFDLNADHPMYVKNPSISAYLGKRLSGNVLATFVRGNLVYKQGNHAPAACVSVRPLQFKPKLISSVTNSLESRTSELATTLQSETLKTLEWPAICNYLSTFTSTSMGLYLTKTAAIPVGQSRDESQRLLDQTTAALHAMEAFKSEPLDLSSIEDVSGIVHSAASGQMLTVRELCRVRRMLAAARAVSEKLGAVAHGGSSERYTPLLEILQSSNFQMELEKKIGFCIDCNLSTVLDRASEELELIRAERKRNMENLDSLLKEVSVSIYQAGGIDRPLVTKRRSRMCVGIRASHKYLLPDGVVLNVSSSGATYFMEPREAVELNNMEVKLSNSEKAEEMAILSMLTCDIAESEAEIRYLLDRLLEVDLAFARAAYARWVNGVCPILTSEEPEVLISEADNALSVDIEGIQHPLLLGSSLGNFSDIIAPNSIDPSSSKVVSNFPVPIDIKVQSGTRVVVVSGPNTGGKTASMKTLGLSSIMSKAGMYLPAKRQPRVPWFDLVLADIGDSQSLEQSLSTFSGHISRICEILEVASKESLVLIDEIGSGTDPSEGVALSTSILQYLKNRVNLAVVTTHYADLSRLKDNDSQYENAAMEFSLETLQPTYQIIWGSTGDSYALTIANSIGFDRNIIERAKNWVERLNPEKQQERKGVLYQSLMEERNRLEAQFKRAESLHAEIMGLYNEVRGEADNLEEREIALRAKEMQKVQQELDTAKSQINTVVQEFENQLRIANSDEFNSLIRKSESAINSIVKAHFPGDSSSFTESETSSYEPQSGEQVHVKKLGNKLATVVEASEDDNTVLVQYGKIRVRVEKSNIRPISRSKSNAAISSRQSLKRLVRNKRRDFPLDSDATNSDATSYSPLIQTSKNTVDLRGMRVEEATLHLEMAIAARESKSVLFVVHGMGTGVIKELALDILGKNPRVVKYEQDNPMNYGCTVAYIK >OMO76450 pep supercontig:CCACVL1_1.0:contig10903:33:2354:-1 gene:CCACVL1_15654 transcript:OMO76450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQQWASPCGNQCTHKYATLMQIPWRVFCKKGCDADGETWDECLSECEEICYKDPVLKDRQWSAYIDRSPGAANYSEECFHACVAGCGYKFEKCKEKVDEVRPSRPLPPKPVPVEKPQNPPATKPGEPAEDVPCTSA >OMO76452 pep supercontig:CCACVL1_1.0:contig10903:13385:14514:1 gene:CCACVL1_15656 transcript:OMO76452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFEKAIGNPPEELRLPSAGNDLGGKKTREKILENFRLSWPESTLFHLSDGNFMALSHEAENPFHPSRSMVVMDDIFCIFIGSLANISELRRHYGLSRGATEAMVVVEAYRVLRDRAPYPPDQVIKDLEGKFAFILFDGKCSTLFVARDREGSTELKWGMAADGSLVLSDDPKTIQEACGKSSASFPPGCLFTNGNGLTSFVHPLHQVKAIAREDDDGNICGVMFQVDLFTRLPSIPRTGSAANWADITVVKGE >OMO52502 pep supercontig:CCACVL1_1.0:contig15519:27117:31187:1 gene:CCACVL1_29216 transcript:OMO52502 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD dependent oxidoreductase MLRQGVIKRMISQSQSKRSLSSLASDSNSKPRNENVPKEKVECVVIGAGIVGVAVARELSEKGKEVLLLDSAPTFGTVTTSRNSEVIHAGIYYPPSSLKARFCVRGRHLLYQYSSRNGIPHERIGKLIVATEASQIPKLNQLLDRGIRNGVEDLRLLDSSEAIRMEPELYCVKALLSPSSGILDTHSLMLSLVGEAEAKGTTFSYNTTVIGGHLEGDQMALHVCETKSLQDWDGSTSLQPDLILLPKFVVNSSGLSAPVLARRFQGLDTASVPPAYYARGSYFSLSYSSNARVPPFKHLIYPIPEEGGLGVHVTLDLDGQLKFGPDVEWIQPLHDTCSFLNRFNYSVSADRAEKFYPEIRKYYPNLKDGSLLPSYAGIRPKLSGPGQPACDFVIQGEDIHGVTGLVNLFGIESPGLTSSMAIAEYVVARLSVSVSGQYWRFTS >OMO52501 pep supercontig:CCACVL1_1.0:contig15519:16204:23805:1 gene:CCACVL1_29215 transcript:OMO52501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTALPVTAQGHCRRLFRPLSLSLPPTAFRFSSSTCRCIKSDVTKQEQEEEEEETFQVLTALKTPYNDIVIVDTAKSRMLLLDSTHNVHSVLQKGDEKWTESYWDDFVSLPPIVPEGPIAIYGLGGGTAAHLMLDVWPSLQLEGWEIDEILIDKAREYFGLSNLERCNQAGGRLQVHIDDAFSPIQNDLPKAYAGIIIDLFSDGKVLSQLQEVETWLELSDRLMPDGRLMVNCGGLSESTIDGKISYQSVDDTWKQNSTLKALAMAFPGQVSWKRTPEEQGLNYLALTGPLPDLTSWSALVPVCLTEAVKQWRPCW >OMO78488 pep supercontig:CCACVL1_1.0:contig10578:28997:30583:-1 gene:CCACVL1_14352 transcript:OMO78488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MEGINGWLSFPLYESFNHDFAIRRFCPARVELEQGEWEEAMNYEIDSFSPDDHDTGSTPHLAASEVDDLVDSFINMDDHVDDNDKDDQNSKKEQDFHDFQDGIDFVEFPMMMMEGDDHELERSGSCEDFGAHEMVPSVEEVSHGVDQGLHLVHLLLACAEAVGCRDTQLANSMLTQIWASATPWGDSLQRVSYCFATGLKSRLSLLQNVNGNGTISHCAMDVPLMTRQEKMEAFHLLYQTTPYIAFGFMAANEAIRQAAQGKDSLHIIDLGMDHTLQWPSLIRTLASRPEGAPTLRITGLTSHQSLLELEASMKSLTMDASSLGIALEFNMISEPVTPSLLTRENLNLREGEALFINSITHLHKYVKESRGSLKAILQAIKKLSPALITVVEQDANHNGPFFLGRFLESLHYYSAVFDSLEASLPRHSPQRMKIERLHFAEEIRNIVAYEGSDRIERHERADQWRRQLGRAGFQVMGLKCLSQARMMLSVYGCDGYTLGSEKGCLLLGWKGRPIMLASAWQLHNVSSN >OMO78486 pep supercontig:CCACVL1_1.0:contig10578:302:4600:-1 gene:CCACVL1_14350 transcript:OMO78486 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Nucleoprotein TPR MPLFISEEELSRLSNDAVMVAERADAYIRETYGELEAAKTKADADAITAEQSCSLLEQKLLSISRELSDLHAENAQLQSSLDERVAELAQAQAQKHQLHLQSIGKDGEIERLTMELLEVRKSKKQFLDIIEQKDSEISDKNTAIKTYLDKIVSLTDNAAQKDARLSESEAELVRAQATCTRLSQELCSSKEVATANEERFSAELSTANKLVELYKESSEEWSRKAGELEGVIKALELRLGQVENDCKDRIEKEVSARNQLEKETAYLKEKFEKCKAETEAGRKANELNILPHGNFTMETCISPYDANDMVEDNHVLLPDIPIGVSETALAASLLGDGWSPAKLYAKYQEAVDALRHERLGRKEAESTLQRVLCELEEKAVFLMDERAEYEKMCEAYSAINKKLQNSASERSKMEKMIQELKADLMTRERDNSLAQKAISDLEKQ >OMO78487 pep supercontig:CCACVL1_1.0:contig10578:20933:28226:1 gene:CCACVL1_14351 transcript:OMO78487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MEKNPNYVYTEHSRIDNQFNYVEHENGEFPPASQAFMVDPMGSLNMSVRPPELNGSEVKPVLNFSIQTGEEFALEFMRDRVNPVKPIIQNSMGESSYATTRYMDLKGILGISHTGSESGSDISMLNIVDKGPRGFERKDSLIEDQSNYGSLQSVPQASLGYGNNRGILGMSLGASGCTSSKMKVLCSFGGKILPRPSDGKLRYVGGETRIIRIRKDISWQELKQRILAIYDQVHVIKYQLPGEDFDALVSVSSDEDLQNMMEECNELEDKEASQRLRMFLFSLSDLEDAQFGMANVEGDSEIQYVVAVNGMDLGARRSSTLHGLTTSSANNLAESDGKTTERETSRFVQDPFVVSSSNIPGMAVSSSTFQSSQPVLPSSSSAYETHVQYYHGQTMQHPLQYGHSTSNYSYIAEFSDSVPPNGLLNQHRGLNEVQSYNGLQQHNPRMPEMELKPKLDGASRQDNVLEKLHPLEKDHPVSSQPHDEKVKKNIPLEEVPVAIASSDFPFLTSKTEAKNQENEKVMSYADAVNSGMVPKRGNDDNHSTSNGAFVKGHADSDSNQTDLSYLEPPVPPRKVYYSERIPREQLELLSRLSKSDDSLGSQLLLSHPQSGVAQPHAATETAENLCDSNMVPHTEVLGNVNHQTIDDGLAQLQKYKEFADSISEMNSKLSEEVLDTGLKQAVSNPVDNVQAAKRDRLHAPVHSEADLRTENSTKDDSKENHTIGISRADHGDILIDINDRFPRDFLSDIFSKAMLSEEPSGVSLLQTDGAGLSLNVENHEPKHWSYFQKLAQDYGEKDGSLINQEHQSDQLTTADAVPLSQAHSNQNFGEDNQKDNQSQVKISESMQFDAMIENLRMPESEYEKGKSEKRNIGLPPLDPSLGEIDINTLQLIKNEDLEELKELGSGTFGTVYHGKWRGSDVAIKRIKKICFTGRSSEQERLTLEFWREADILSKLHHPNVVAFYGVVQDGPGGTLATVTEYMVDGSLRHVLLRKDRYLDRRKKLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNMKDPSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPTIPSFCDPEWRKLMEQCWSPNPAARPSFTEIASQLRTMSAAASQAKVHGNKALK >OMO78489 pep supercontig:CCACVL1_1.0:contig10578:33261:34221:1 gene:CCACVL1_14353 transcript:OMO78489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSIVKSLKIIVDEREGLGAFKDYAKTDGLEHVCPHCSISDFKKKSQYNP >OMO51564 pep supercontig:CCACVL1_1.0:contig15788:13164:13301:-1 gene:CCACVL1_29712 transcript:OMO51564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPAMVTPRLACPFCQIFNQTQSCRCDLLAFEKPLPPPRGSRH >OMO51566 pep supercontig:CCACVL1_1.0:contig15788:44102:46827:-1 gene:CCACVL1_29715 transcript:OMO51566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRKTDEHSFFAISTSRAAVTRSFFYDTRLLMLPAYGYAYLPLGYPLTGDAARSRATPNALFLMMPAS >OMO51565 pep supercontig:CCACVL1_1.0:contig15788:38952:39392:1 gene:CCACVL1_29714 transcript:OMO51565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYLEEWASPYDLWLCFLAKARQLWSLEVVYFLLWLIWSNRNTCLQDDKVYKTPATLAATMAARLVSEVEVAARREETITPNRMNPCWSPLPTGYVKINTDAAFNAQSKEVGLGVAVRVVNGRVLLSVTSRTNNVPGFLFAEVYA >OMO51567 pep supercontig:CCACVL1_1.0:contig15788:54236:54334:-1 gene:CCACVL1_29716 transcript:OMO51567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DQSSPCNRNPVRAIWIAVLLRSGNADNSFRIG >OMO63634 pep supercontig:CCACVL1_1.0:contig12927:2316:4431:1 gene:CCACVL1_22373 transcript:OMO63634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHGLLPSLAGVRIQTTGLLLSPISQMFVCKSNQRSSLHEVIFDMRDTKVFRRKKRFDVLPVHVQIQQLQVTLKAWSCMQAKV >OMO63635 pep supercontig:CCACVL1_1.0:contig12927:5340:5618:1 gene:CCACVL1_22374 transcript:OMO63635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIVILGTGFLPRFLHVEMQLNSDCRITLTRQTEEMKCYTSELMTTKEFLKKTREELQTFAHELTVVIEIRDSIQMELVDVYRKAKTISID >OMO57670 pep supercontig:CCACVL1_1.0:contig14340:24643:28300:-1 gene:CCACVL1_25711 transcript:OMO57670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKRIRKTEEVTEFAYKKFESMSASAYGVDSIIGKFDGVAENRALELDHLLSLSQQYRAEEKRELLHVETNQLKESCGLDAFTQVYPSFSRQGEGVGGFMMNHPQSLKGAFSHGERQQVREDSGHVLPQFYPDLIEKSRGDQMEQENEFLGCRMNCAQNQNGDVIHGDRQKFQPYIHWAEKLQQLEQENLHLGSTMNSHCSHGERKELPEEYGHSLPQNSLDFVRDSQVMEHTKDIFSFKMNRSWPERKPSLNDEKQELNENYVHSLPLHYPHFDVESHQMQQEHGRHVSDFNVDSHQRQQENELLGSRMNLLHKQKGSPVNDEKQQLQDACTHSLPQPHIGLSGESNFMEQENEVLASRVDHPGDAKGAFFNGEGEQLKQDCLCDSYLLTKQGDDVCTTNGCTLQLSGTEELHSNIQSSDIHSKKRIIDLRKIRESGINALPQASNASDHMQELAQPSKSKNIKQRLGPPVHNSKPSNGESKKHGLGSPCRVRSSRKDIKQRLGLPCQLHSPNNMPKIERHNDVHKGVQAPDVDLPYVKRRRTGEDSKEFKQLIHGAFIQFVKVLNENPAQRRKYTEKAKADTLKCCVCGSKSKEFVNMLSLVQHAFTSQTSGLRVKHLGLHKALCVLMGWNSTAASNGLWSQKALPEAEALAIKEDLIIWPPVVILHNSSIAATNSDDRIIVSIEALEAFLADMGFDRGIFKVCRGKPANQSILSVIFHGTFPGLQEAERLHKLYVEKKHGRAEFQRINCSSGGTEKVTVDKVEDVLYGYLGIAGDLDKLDFDTKSRAVIKSKKEIYGVADALLHTK >OMO57669 pep supercontig:CCACVL1_1.0:contig14340:21203:24262:1 gene:CCACVL1_25710 transcript:OMO57669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLPLSSLFFFSFFLFLSIPLKVISQEINTERTLLLNLKQQLGNPSSLASWNDSSSPCNWPEINCTADSVTQLHLSNKNISVSIPPTTCDLKNLTFLNLSYNYITGEFPTVLYNCSKLQVLDLSQNLFVGPVPDDVYRLSTLTYFNIGANNFSGNLPPSIGRLSKLQTLFTHQNLFNGTFPKEIGNLSNLEELGLAYNEFVPMKIPHEFGQLRKLKYFWMIQTNLIGEIPEFFNNFSSLEHLNLAQNNFVGTIPSQLLLLKNLTKLYLYKNNLTGEIPRPIEALGLVEFDLSENNLNGSIPEDFSRLQSLVFFNIFSNHFTGELPPGLHRLPNLTTIRVFQNKLTGVLAPEFGLHSNLEEFDVSENQFSGQLPENLCAAGVLTGLVAHTNNLSGQVPESLGNCSTLRTFQLQNNKFSGEIPQALWTTFNLSALMLSNNSFSGKLPSHQLSWNLSRVEISDNKFSGEIPVEIATWSNLVVFKASNNLFSGKFPKEITNLSRLITLLLDGNDLSGELPSEIVSWRSLDTLNLSSNKLSGKIPAVIGTLPDLLNLDLSENEFSGEIPPEIGTLSPRLTFLNLSSNRLTGKIPIQLENLAFEKSFLNNPDLCADDPVIALPSCSYKPHEPKKSSSKYVAIVAACLAFLVILSTLFIVREYRRKKRRHCLATWKLTSFQRLNFTEGNILSNLTENNLIGSGGSGKVYRILINRSGESVAVKKICNAKKLDCKLEKEFLAEVEILGNIRHSNIVKLLCCISSEDSKLLVYEYMENQSLDKWLYGNKRRSMSEMGSVRRAFLDWPTRLQIAVGAAQGLCYLHHDCPTPIIHRDVKSSNILLDSEFKAKIADFGLAKMLSRHATTHTMSVVAGSFGYLAPEYAHATKVNAKVDVYSFGVVLLELVTGREANRADENMSLVEWAWQNFSRKRPIVEMLDPEITEATHLDEMIVAYKIGMVCTRASPATRPSMKEVLHVLQMIMERKELEVTLA >OMO71488 pep supercontig:CCACVL1_1.0:contig11617:49295:49372:-1 gene:CCACVL1_18208 transcript:OMO71488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIANAQTQPRKAHHAKSQDPNAK >OMO54543 pep supercontig:CCACVL1_1.0:contig14961:11449:11791:1 gene:CCACVL1_27748 transcript:OMO54543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKKFSSADPSEDSPSLFSISSSGHNLSFLSIFLSFSPLSLSDQRQRQSAGLLHLLASSFLASLVVSVSLPDSQ >OMO54544 pep supercontig:CCACVL1_1.0:contig14961:16372:18133:1 gene:CCACVL1_27749 transcript:OMO54544 gene_biotype:protein_coding transcript_biotype:protein_coding description:Male sterility, NAD-binding protein MDKACNAVEQKVPEAGIGIEKFLQGKAILITGATGFLGKAIVEKILRTSPNVSKIYLLIRAKDKEVAMQRLKTEVLEAELFKCVKDKYGEGYEGFMMSKLVPVVGNVSQSDLGMEQDLAIAIKKNVHIIVNSAAVTSFFPRYDDIIDINAMGPYNLMGFAKKCSKLELFLHLSTAYVSLPRPKTIMEEMLSMANITERDAYLNYNNYKSLVRFLPAVMLENEMKLIADCKKNFADPALASKELSELGLKRANKFGWPNTYTFVKAMGEMIIDSIREDVPVVIMRPALVEGTYNEPFPGRIEGFKGLDPLAISNGQGKLMGFIGTLDVVLDVVTWLLMRAWQQCQCMA >OMO50590 pep supercontig:CCACVL1_1.0:contig16114:33679:41147:1 gene:CCACVL1_30362 transcript:OMO50590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRDEEDDDVEDEEYEDDEDQLLDDEDYDEEEDEGRGRGGSSKKRRRSEFIDEMAEEDDEEEEDDDDYGGGGGGKRANKGGSSIGRHFFDLEAQVDSDDEEEEDEGEDDFIVDNGVDIPDNIGRGMHRRPLPLRDEEQEDVEALERSIQARYARSSHTEYDEETTEVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKYIDKGSELQIRSVIALDHLKNYIYIEADKEAHVREAVKGLRNIFAAKIMLVPIREMTDVLSVESKAIDLSRDTWVRMKIGTYKGDLAQIVDVDNVRQRVTVKLIPRIDLQALANKLEGREVAKKKAFVPPPRFMNVDEARELHIRVERRRDPMSGDYFENIGGMLFKDGFLYKTVSMKSISTQNIKPSFDELEKFRTPSENGEGEMVGLSTLFANRKKGHFMKGDAVIVIKGDLKNLKGWVEKVEEENVHIRPEMKGLPKTLAVNEKELCKYFEPGNHVKVVSGTKEGATGMVVKVEQHVLIILSDTTKEHIRVFADDVVESSEVTTGVTKIGEYELHDLVLLDNNSFGVIIRVESEAFQVLKGVPERPEVALVKLREIKTKLEKKFSVQDRYRNTVSVKDVVRILEGPCKGKQGPVEHIYKGVLFVYDRHHLEHAGFICAKADSCCIVGGSRSNGDRNGDSFSRFGGFKAPPRVPPSPRRFSRGGPPFDSGGRHRGGRGGHDSLIGTTVKIRQGPFKGYRGRVKDVKGQSVRVELESQMKVVTVDRNFISDNVVISTPYRDTSRYGSGSETPMHPSRTPLHPYMTPMRDPGATPIHDGMRTPMRDRAWNPYAPMSPPRDNWEDGNPGSWGTSPQYQPGSPPSRTYEAPTPGSGWASTPGGNYSEAGTPRDSSSAYANAPSPYMPSTPGGQPMTPSSGSYIPGTPGGQPMTPGTGGLDMMSPVIGADNEGPWFMPDILVNVRKSGDETLGVVQEVLPDGSCKVALGSSGSGDTVIALPNEMEIVPPRKSDRIKIMGGSLRGHTGKLIGVDGTDGIVRIDDSLDVKILDLVILAKLP >OMO55418 pep supercontig:CCACVL1_1.0:contig14706:4041:5312:1 gene:CCACVL1_27251 transcript:OMO55418 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch-type beta propeller MLKQPLPWDEGSNAESERAAEFWTRFSEIKLRGESNHPRLPKPCDRAERLPRDAWEKWCNHGVVSFNFSVCDYLMIYFLNPTHPSRIYHVVDFDYWKFNRAPGTNEDMVGHILVGSKIYVLGGYYDELCGPLDVYFCDVANQPQLPGGGYEWKPAPSLNSRKPKPCIFSLAGSIYVFTIWRDATFDTSCRMKRFEVLKPDSPNWEVLDWPFKERIYVINGTFVLDDGENQKFLIHFTFHMGGLEFVSIYDAKLNQWTEVGIPDSFSTTKLSQCLSLKGDDDIGLLLGRSESFVLNAFTRSFNTSLLTRLGLDEATLLGKRRYLDSVESWHLIPLGRGRSFFFLAFFFERHPVYEDYRLLIRFGTLDLVQHVDHRRHHHHHANKRHKLAGYKVAHKNIKTIDFGYDTFLLHNRLCISKSSLAGC >OMO55419 pep supercontig:CCACVL1_1.0:contig14706:16688:18241:-1 gene:CCACVL1_27252 transcript:OMO55419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKQALMGDAWIREAQEASKLVEDIENRVISQKQSNLKQQEKRVVDNIVARSKLLEAGIKLDRLESLLRNPPSKPILTNEDLDYRWKMLLDMQQRSKSLVLSLFALPTSSRPESLTPENTKEKYKIVDEYDYEQGGILQSKSQTKTSLSKDDTELFRPLISNDVMLESKVQMKTCGTSTSQSLLQKVFWIFGAVLGLAALIFILILICAVI >OMP10922 pep supercontig:CCACVL1_1.0:contig01768:4:1074:1 gene:CCACVL1_00747 transcript:OMP10922 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem antenna protein-like protein MTRLGITNSWGGWSITGGTITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLSGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQPVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSAGLAENQSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGRELFVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKIC >OMO91548 pep supercontig:CCACVL1_1.0:contig08329:3308:8893:-1 gene:CCACVL1_07076 transcript:OMO91548 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MVADNIEEVNNVEPRASDYVPPHVRNGNGNGIGVLNGNPCHPQQRPPQAAVPIPNAPVEAQGQVNQNHIREIIEDMIGPAFRRVGRPSFHKLYPEEYDRVHRIPRGYEVPDFTSFSGTSSEQSTLDYIARFTIAIKEKEERQAPVLDEDMDLDVSSPNQFPSNQPSFDQEMKVQEMKMTTKECIKVDDHNPSPLGDTEEVIEVPQKENIHLGGNDCDNAKATVFTKPDETSTYHIKALYIKAYIDGIPINRVLVYNGTTVNLLPFSSVKKIGKCNDNLMPSDVTVSDFSSAVKKTREILPTQLTVGSRTSMTTFFVIDSSSTYNILLGRDWIHANWFVPSSLHQMFIFWNGAEVEVVKADIRPFKVESHAVDARFYDGTIGPVRFMGGSMISQQEKEEAVNNALKRLSTHAIEMKLHEIEDLAAPPVNATNHDNIFNEITIDELDRASMKLDDLKAEVQDPLLEIYLGADGKPRPTYINLLVDGAAQHKVLSFMDGHNGYNQIYIAEEDVSKTEFRCPGAIGNFEWVVMPFGLKNAGATYQREMNAIFHDMIGKFMEVYIDDLVVKSHNTLDLIDHLRRSFERTRQHGLKMNPLKCAFGVGAVEKHQEAFEAIKNYLISPPVLVPPRKDKPLYLYVSATTSSIGCFLAQEVEKGKEQVVYYLSRTLTDVEYRYSPIEKLCLSLYFAAIKLWHYMLYFNVCIIAKTDIVKYMLTRPLLKGCLALSEFSFKYIPRKAIKGQVVADFLAAHPCLNLGEEFEDANEVMEAATLPWILEFDRSNTAQIAGAGIVFTSPDDDYTMMAFHLDFDCTNNQAEYEALIIGLELLREMKATSVHIKGDSLLVMNQLMQEYNCTSPSLLPYYAMAAQLLDKFDNVSIEHVPRYQNEGANVAAQLASGITFPDDIWKKVEAKAMRSTTHSDVKSFIEEHIVYRFGIPETTTTDCGTNFDGKITSHLERKFGLKFIKSTPYYTQANGSSTGVSPFCLTYGHAAMVPMELLAKSARVAFQNNLQWYDYNDAMMIKLEDLESERLDALNHP >OMO99814 pep supercontig:CCACVL1_1.0:contig06801:49358:52013:-1 gene:CCACVL1_03614 transcript:OMO99814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPKDNSPPVNAIIIPSLAVNSASPKKQAKVPPHRIDA >OMO99811 pep supercontig:CCACVL1_1.0:contig06801:17001:25874:-1 gene:CCACVL1_03611 transcript:OMO99811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIEASLLSKVSLANYYVVLGSSSISILNDRSILQKK >OMO99813 pep supercontig:CCACVL1_1.0:contig06801:44447:48383:1 gene:CCACVL1_03613 transcript:OMO99813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASSLTSSVLSRTLATVHDGGVAGFQPRFLRVALLSSCGTNNSDTSRRWFSPLLSSFSKSSGQTVSLCLAGAVATVSTVATATSVYAKEPPPAEVMPKEVVLYQYEACPFCNKVKAFLDYYDIPYKVVEVNPLSKKEIKWSDYKKVPILMVDGEQLVDSSAIIDKLGKRILPENTSKSGADEDEETKWRRWVDNHLVHVLSPNIYRNTSEALESFDYITSNGNFSFTEKISVKYAGAAAMYFVSKNLKKKYNITDERATLYEAAETWVDALNGRNFLGGSKPNLADLAVFGVLRPIRYLRSGKDMVEHTRIGDWYSRMEEVVGESSRIKA >OMO99812 pep supercontig:CCACVL1_1.0:contig06801:36761:38470:-1 gene:CCACVL1_03612 transcript:OMO99812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLMDEEEPKVEQAKPTALLKFSKATTFSNKTSNANPTTKTAPFTLPPPLHVPCQFLHHQCLHLYQSHH >OMO99815 pep supercontig:CCACVL1_1.0:contig06801:58023:59234:-1 gene:CCACVL1_03615 transcript:OMO99815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFHWTQGPKPSNATFPFEWAMKNFCSQFHHLPPVGRRSDENANQQLTTIDNQLQDEDKPRSDWDFSLSTVVSSTAAVSDTLGVIEFDPSGTIVATGGIARKIRIYNLNSLLLEEEAKLSSLRWKPGSGGRHLGSGDYDGVVMEYDLERQLPIFERDEHGGRRVWSVDYSHWDPFVGASGSDDGTMQMWDPRSGGEGGGCVAKVQPTIGRSSVCCVEFNPFGDPLIAVGCADKRAYTYDVRKMVEPLHVFAGHTKTVTYVRFLDTETMVSAGTDGCLKLWNMSESRLIRNYRGHVNSRSFVGLSIWRHGGLLGCGSENNQVFVYDKRWGEPIWVQRFEPLGSSHGFVSSVCWRQVHEDKCTLLAGGSNGVLQVFVGTRKSY >OMP01969 pep supercontig:CCACVL1_1.0:contig06345:1014:1394:-1 gene:CCACVL1_02959 transcript:OMP01969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFWVVGLLMLKVKVDLKFRPNQDEKHSACTSKGTGPTQQQVYRPKTRTSAASTDSAITPDQAKTLAAANAPEKTAYIDDMEEDNPLTTASMTTSSPEIHPTHIPPDKPSGETVVMETQLDDSNMVD >OMP01971 pep supercontig:CCACVL1_1.0:contig06345:4132:4578:-1 gene:CCACVL1_02961 transcript:OMP01971 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5/S7 MGDLDGEQKQLIKKLVNFRMKEGKKTRVRAIVYQTFHRPARTERDVIKLMVDAVENIKPICEVKKVRRAGTIYDVPGIVAKNRQQTLAIRWILEAAFKRRISYRISLEKCSFDEILDAYRKRGIARKKRENLHGLSSTNRSFARFRWW >OMP01970 pep supercontig:CCACVL1_1.0:contig06345:2285:2587:1 gene:CCACVL1_02960 transcript:OMP01970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPNNCFAKDGFLATECINELNTFPIPTAAPAKAIVAAPAPIDLAPSSIFKTLLLLSFPLQKAAPQEFSLILCVCRSSNSHMELFHMFEYLEMLFQFWG >OMP01326 pep supercontig:CCACVL1_1.0:contig06471:7329:7391:-1 gene:CCACVL1_03113 transcript:OMP01326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGELQVHKSQQEFGGKTSMK >OMO69957 pep supercontig:CCACVL1_1.0:contig11923:1221:1598:-1 gene:CCACVL1_19178 transcript:OMO69957 gene_biotype:protein_coding transcript_biotype:protein_coding description:tubulin-specific chaperone B-like protein MASRLQIKGDDSVLLQVTHSNLKNFSAYIRFSLQMSVEAVKDKLWKKCATLVNSMRLELYDDCKNKICDLSDGSRPLGFYSPLD >OMO69958 pep supercontig:CCACVL1_1.0:contig11923:9296:9466:-1 gene:CCACVL1_19179 transcript:OMO69958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVIVSGELLDMATTVYCCRG >OMO61450 pep supercontig:CCACVL1_1.0:contig13472:41389:42267:-1 gene:CCACVL1_23511 transcript:OMO61450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNNSKTNSPSSSKNKRKQQQQQQQQPQQQQETRFLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAEEAALAYDRAARSMRGSKARTNFVYSDMPAGSSVTSIISPDESHHDMSSIFSINPTFHQQNDITNHNQLFFTTTHQDPFNAVSCQFSSSGITAAGDGWTQESDTDTLGPYTPITGVMDAGNGGSQQFSDNSELPPLPPDVSSTCYGSGIGGSDMGYGACNWDETGVFGFSDQTSNGFDLGVSGSFLGFNSYELVQHSPLFGRLPSVPDTVTDGFELGSSSGYFF >OMO86851 pep supercontig:CCACVL1_1.0:contig09401:15683:17972:-1 gene:CCACVL1_09425 transcript:OMO86851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSGEAEAEYESEPDMSLLQRRREASDDDNDVEEEESNTSVRLNRSVCRTGYDDESDGCEGAPEYYDDEEEDDEVSFIDELEMLGEQVEEESKEEVAEQVTATKQRGNEEKVNDADKEALKAPRIGAFYMHDDRFGGLRRGSRNQRRSSRTEGTSCKTDAARVLSANNKRPYEKSQGKSPNTDSQKASSTKVTQPPKSFEMTRDADSSTVFSTKSKQ >OMO86853 pep supercontig:CCACVL1_1.0:contig09401:28617:31462:-1 gene:CCACVL1_09427 transcript:OMO86853 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS membrane protein/tumor differentially expressed protein MESGLGSNRNDQRLVISKYDSWFSQFRNGFNPWMARYVYALIFLVSNLLAWGVRDYGRNAFPEMEKLKNCQGGRGCLGAEGVLRVSLGCFAFYFVMFLSTAGTPRLFNCRDSWHSGWWSAKIALWIALTVTAFLIPTFLIQIYGEIAHFGAGVFLLVQLVSVISFITWLNDCCQSEKNAERCHIHVMLVATVSYVICIVGIIMMYIWYAPEPSCLLNIFFITWTLVLLQLMTSVSLHPKVNAGFLTPGLMGLYVVFICWCAIRSEPAGESCNRKAETSNRTDWLTIISFVVALLAMVIATFSTGIDSQCFQFRKKEAPAEDAVPYGYGFFHFVFATGAMYFAMLLIGWNTHHTIKKWTIDVGWTSTWVRIVNEWLAVCVYCCSNSFFGVAPPSFAAVTATLLCRWGMNDLKHSGICLNLT >OMO86850 pep supercontig:CCACVL1_1.0:contig09401:10977:15263:-1 gene:CCACVL1_09424 transcript:OMO86850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFESRDQRIGSSISPPSTHQAFPNQLNRVSSLAQHSLQQIPVDNAILPPSHVLAPQFHSCLGSRSQKLLEASALSFYGRRTLESLAGSIQSNIASLGTGSNIISPPQYTGIQGDQNFSTSSALFPAFDVTVVSLGYLPAAAGAVGATYNPSCNAPDGSFCGHLEMPSSVDIASCTEQQPTNFAVEIPDGDTDTVEC >OMO86849 pep supercontig:CCACVL1_1.0:contig09401:7920:8322:-1 gene:CCACVL1_09423 transcript:OMO86849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSFGKMLDCLYLSPPGSCTCFCMNTLEDEFENKPLITSDKSQLLRLKDVVSGNQTLAFQLKPKMVVLRVSMHCYGCARKVEKHISKLD >OMO86852 pep supercontig:CCACVL1_1.0:contig09401:21373:27180:1 gene:CCACVL1_09426 transcript:OMO86852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MASRLMEDEKNERIIRGLLKQPENRRCINCNSLGPQYVCTNFWTFVCTTCSGIHREFTHRVKSVSMAKFTSQEVSALQEGGNQRAKEIYFKEWDPQRNSLPDSSNVDRLRDFIKHVYVDRRFSGERNYDKPPRGRMGDKEDSYDNRRTDGYRGGSRSPPYEDSYGRYSDRSSPGGRNDDRNSRYGYDERRSPGYDQDSRQYGDNRKSPARPEVVNDWRREDRFANGRKAEDRRISDGDPKLEGRSPDRPKDLESSSPPVVRPVREILGENVIPLRISEPPKANGGRTVDGPHTQQRTASSSSLGSTSGNPAEVKLETTVSLIDFDADPEPPAAPAVPQTQQTTVTQSIAQPPSSTNDNNWASFDFAPQTKVSKAPSNMNTLDVLSQLSIPTAVPSHVSGTSSVVSAGGQSTAQQFTPPVDGASTNQPWNLASSQHIQGPVSAVPAQTPQAVPQPVQEATSAPTETKESGRKELPADLFTFTYPAYPAAAPGWQTGPPRGMGFPMQYNTPVQMSAFPQTSKSINPFDLGGETPPVQNQVFPSMASLQGALPNMAPPAGLVRTSSLGPPSSAWMPPQSMPYASGMPPQSLPYASGMPPQSLPYASAIPQRPYTGAQLPSNLPTSSHQMSSFGSEPSFGFVNTDQQVAGRFSAPATPQPFSSVGGGNPFG >OMO79148 pep supercontig:CCACVL1_1.0:contig10481:6043:6237:1 gene:CCACVL1_13879 transcript:OMO79148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDQPGGINRVGRAPPVFFGETPLASASKFQQVSLYPIPILLQTPRPPELAQSPPSPSSAGQA >OMO79149 pep supercontig:CCACVL1_1.0:contig10481:7216:18773:1 gene:CCACVL1_13880 transcript:OMO79149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGHVTKRAKYKTTIKDPGTPGTLRMTLEKILFVPHNPKSAGKLDVEFRFIKGQKHTKEGSNKPPWLNLTNNQNGSFIFEFENFSDLQDCRDFVGKVLAKSGEVSEKPSAANPDEQLSAADLELRIKLLREDSELQKLHKQFVLSGVLTEAEFWATRKKLLDKEASKKTKQRLGFKSAMPDNKSTDGRTNKVTFSLTPEIILQIFAEKPAVHRAFLTYVPKKMAEKDFWTKYFKAEYIHSTRNSHAAAAEAAEDEELAVFLKQDDIVASEARKKIRRVDPTLDMEADEGDDYTHLPDHGIFRDGIKEMPTDSPYEQYRRSIPKEINRHAAVVLEGRVVDVELEDTKAVAEALARSKQESSTKGEANGDTSLDRLHRVSRMTEIEDLQGPNHLPLAPLSIKDARDYFDSQQANAFRTSGGAEQMECSLSMQEAYGSLRESISMIKAMGLKDPIVKPEVANQVFDDLTHSITTTKHHIGKNPQESILDRLPKKTKEDLLHHWTSIIELLRHFWASYPITTPYLYTKVNRLKDAMSQIYPQLEELKGSVPSDIRHQVSLLVRPMHQALDAAIQHYESDMQKRSAREAPITSKTPLSFCQYNGSVCCNSSEDSQLRNQFKSMNVSDSACASLLKSILCARCDQFSAELYRIESELRAVPVLCNSSVSTSSSQSQVAKVDFCSNVWDKCHNVSIASSPFASQGKGGILINSTSKLTDLWQSKAAFCDEFGGASDDGATCFAGGPVILNSSESLAPPPPSGICLEKIGNGSYLNMVAHPDGSNRVFLSNQAGKIWLATVPEEGSGQVLGIVESNPFLDLTDEVHYDAELGLMGMAFHPNFQENGRLFASFNCDKVQWPGCSGRCSCNTDVGCDPSKLVSHNGAQPCQYHSVIAEFTTNSTTTTNLSLVTSIRPVEVRRIFTMGLPFTSHHGGQILFGPEDGYLYFMMGDGGGIGDPQNFSQNKKSLLGKIMRLDIDSIPSATTITELGLWGNYSIPKDNPYLEDKELLPEIWALGFRNPWRCSFDLERPTYFLCADVGQDQYEEVDIVTKGGNYGWRVFEGPFLYNSSNSPVANKSSNSINAILPVMGYNHSDVNRVEGSASVTGGYFYRSLTDPCLYGRYLYADLYADAIWAGTESPKGSGNFSSTQLPLKCAHDSPIQCTSKPDSTSPALGFIFSFGQDNKKNIFILASSGVYRILGPSRCNYTCSRENVTDFNGPGFVPPSSLAVGSKLRRPLGVLLDFP >OMO51528 pep supercontig:CCACVL1_1.0:contig15796:545:7492:1 gene:CCACVL1_29739 transcript:OMO51528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMWKWRKLPLPQIPQRHCQMQNKDNNCLGWHRSLVGILGIQERFKWDGSGGGGGGGGDNHNPNADDCSKSKSKSKTSNSNNHPSLRRKMKADTNCPRCSSTRFNLTLPPHTHTQSPDDNNNNNSSSTSTPPPLNFCPTCKTAYHYRLSPLQGTFVEIARPHIHKSNSKATPRNSLRLSFWDNFRSENSPPSPPPPPPGNGIAVHSPPGPPFAPGVNLVRAAAPKEGNGNGDGATAWLGGANLGKDLPTPKEICKGLDKFVIGQRRAKKVLSVAVYNHYKRIYHTNLQKESGAEAVDDDDNVELEKSNVLLVGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLTVAEFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDLDKTISERRQDSSIGFGAPVRANMRATGVTNAAVTSSLLESVESSDLIAYGLIPEFIGRFPILVSLSALTEEQLVQVLTEPKNALGKQYKKLFSMNNVKLHFTPKALRLIAKKAMAKNTGARGLRAILESILTEAMYEIPDAKTGSDKVDAVVIDEESVGSTDTPGCGGKILSGDGALERYLAEAKLKDSVENFASVEGEVQEGESEVSSRAMSL >OMO90283 pep supercontig:CCACVL1_1.0:contig08501:10265:11774:-1 gene:CCACVL1_07426 transcript:OMO90283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MQARTASLKPPECNPSVHSMPCQEVDGGKAAMLFIGLYLVALGVGGIKGSLPTHGAEQFDENSPQGRKQRSTFFNYFVFCLACGALIAVTFVVWIEDNKGWEWGFAISTIAILVSIPIFLFGSRFYRNKIPFGSPLTTICKVLVAAMVNSCMSRRGSSNAIANLATSPSYQTDQENVKQTEQPNNDEIPTESLKFLNRAVENKPAHPALECSVQQVEEVKIVLKILPIFACTVVLNCCLAQLSTFSVEQAATMNTKLGSLKIPPASLPVFPVVFIMILAPVYDHLIIPFARKLTKTEMGITHLQRIGIGLFLSIIAMAVAALVEIKRKRVATDSGLIDSTNPLPITFFWIALQYLFLGSADLFTLAGLLEFFFTEAPSSMRSLATSLSWASLAFGYYLSSVIVSIVNSVTDNSGHKPWLLGHTINKYHLERFYWLMCVLSALNFLHYLFWAMRYKYRSAGATSTK >OMO90281 pep supercontig:CCACVL1_1.0:contig08501:2815:5763:1 gene:CCACVL1_07424 transcript:OMO90281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKLGLIQQSVNTRYSQWVRDALDELPDNFTITDPSISGHPIVFASRGFLKMSGYSQEEVIGQNGKIFQGPKTNRRTVMEIREAIREERGIQVNLLNYRKDGTPFWMLFHLSPIFGKEDGRVTHFVAVQVPISRKQRRNGGVSLSEDGSGLNEIVFGSCRKEVCSDSLLELGRVLSLDSGSKVLDIEETCEAGDFEKRRAASAYNNILSVLTHYSELTGRLVCGKRCSVPAAGYISSSLNISLGRIKQSFVLIDPHLPDMPIVYASDAFLKLTGYDRHDVLGCNFGVLDGVDTDPSTLHEIKESIQTEQACTVRILSYRKDKSSFWNCLHLSPVRNASGKITSVQIAYYVGVQIEEDCKNQDRHGLSPEMRQLSAVGAVKVAVRSLSMGASLAGSSKS >OMO90282 pep supercontig:CCACVL1_1.0:contig08501:7279:8955:1 gene:CCACVL1_07425 transcript:OMO90282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKNQSFPSFLFPLFLLFSFLELIEAYPPFMTPPTWSLSMVSGGYWQLLQSSVGVSAMHAQVLPNNKVIIFDRTDSGPSNLSLPAGSFCPNNDCTAHSLVYDVVSNTFRPLHVITDTWCSSGSLDPNGTLIQTGGYNEGDHVIRIFPPCDDVSACDWYELTTTHLVDRRWYASNQILPDGRIIVVGGRRVFTYEFYPKNDFMNNNKSFYLRFLVETRDPEENNLYPFLHLLPDGNLFIFANQKSILFDYKRGIVLKQFPPIPGNDKRNYPSTGSSVLLPLRLTPMGPREVEVLVCGGAPAGSFLKSDKMKVFVEASRTCGRLRVSDPNPQWLIEYMPMPRVMSDMILLPTGDVLIINGASNGTAGWEDAVNPVLNPVLYSVNENPVRRFTVLSPSNIARMYHSTALLLPDGRILVGGSNPHTRYKFTGLYPTELSLEAYSPYYLDPKYNPLKPSVISVEMGNTVSYGQRFGVTFSLSLYKQGTVYVALMPPTFTTHSQGMNQRMVVLNLATVVQLSPFNYKVVVDGPTNANVAPPGYYMLFVVHSGIPSHSIWVNVI >OMO71049 pep supercontig:CCACVL1_1.0:contig11735:2274:3632:-1 gene:CCACVL1_18479 transcript:OMO71049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMEDQFPKMETFMNKEWAANYVYGMANGPKFFNDPIIWGALTGNNTVSHENGSGTGSNKSPSSSSTTTSPDRLLSSSPNSSSSAEEVPGSKLSQNIPGFIKQDVMNEHHSSFLVSGFTSVHPPGNPVSDAKDGFIPVNFLESFPKLNQAQVSEPPSPPSSLSPTSKFPNLTLFLQEPTMLDPSTGVNIDSIGKKSEPTSTLSQNPPFHLPQPGQIQSQPGNEWLRINQSLTNYQSKGFSDYWLSTTKTQPMKYTGRRLQNQHQKGNPSLPSASSSPGKLFRGVRQRHWGKWVAEIRLPRNRTRVWLGTFDTAEEAAMAYDTAAYMLRGEYAHLNFPDLKHQLKANSLNGNTAALLEAKLQAINNSATNKKSSSNDPSPTPAKVKDLNQNMAERGWEFGVESKTGICCQVMNENNKKTQEMMLPSDMDAVQLSRMPSLDMDMIWDALLVSDS >OMO71051 pep supercontig:CCACVL1_1.0:contig11735:32111:32434:-1 gene:CCACVL1_18481 transcript:OMO71051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMWTCTNCLEKSAENKWDDVVFALKEHLPTALLSLSISFVPVSTMLRNAYASVLRGFEEVYFPLEVVEKVLALEAQRPVVAQVLQESWNNMNLINPGEVAAQPEG >OMO71050 pep supercontig:CCACVL1_1.0:contig11735:17033:17104:-1 gene:CCACVL1_18480 transcript:OMO71050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSPRHTSNNKFKGQKCKKGAT >OMO53098 pep supercontig:CCACVL1_1.0:contig15331:5401:5475:-1 gene:CCACVL1_28884 transcript:OMO53098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMEKLKMFVVQELLQLLVSLLAL >OMO79545 pep supercontig:CCACVL1_1.0:contig10403:47234:50092:1 gene:CCACVL1_13601 transcript:OMO79545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKAVKSNLVLILDFGSQYTHLITRRIRSLSIFSLCISGTSPLSSITSLNPKVVILSGGPHSVHSADSPSFADGFVEWAQSNGVFVLGICYGLQLIVQRLGGEVKVGEKQEYGRMEIEVEKSCGIYGGKKVGDRQVVWMSHGDEAARLPNGFEVVARSQQGAVAAVEDNERRFYGLQYHPEVTHSPEGMETLRYFLFDVCGVNAGWKMEDVMEEEIKVINKTVAPDDHVICALSGGVDSTVAATLVHKAIGDRLHCCFVDNGLLRYKERERVMETFERDLHLPVTCVDATDQFLSKLKGVVDPEMKRKIIGKEFISIFDAFAQELEHKIGKKPAFLVQGTLYPDVIESCPPPGTGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRQLGRILRVPEPFLKRHPFPGPGLAVRVLGDVTEGNALDILRQVDEIFIQSIKDAGIYDSIWQAFAVFLPVKSVGVQGDQRTHSHVVALRAVTSQDGMTADWYYFEHKFLDDVARKICNSVRGVNRVVQDITSKPPSTIEWE >OMO79539 pep supercontig:CCACVL1_1.0:contig10403:14981:17234:-1 gene:CCACVL1_13595 transcript:OMO79539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MEGKEEDVKLGANKFSERQPIGTSAQTDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSQAKTKCATVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIVMQCLGAICGAGVVKGFQGDSKYEMLGGGANVVAHGYTLGSGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNKSHAWDDHWIFWVGPFIGAALAAVYHQIVIRAIPFKTRA >OMO79540 pep supercontig:CCACVL1_1.0:contig10403:21327:26157:-1 gene:CCACVL1_13596 transcript:OMO79540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQSLCRVIFVSALISLVCHPCSVTAGDIVHDDNLAPKKPGCENDFVLVKVQTWVNGIEDAEFVGVGARFGTTIVSKEKNANQRRLVLSDPRDCCSPPKNKLANDVIMVDRGHCKFTTKANNAEAAHASAVLIINNQKELYKMVCEPDETDLDIHIPAVMLPQDAGTSLEKMLISNSSVSVQLYSPKRPLVDIAEVFLWLMAVGTILCASYWSAWTAREAAIEQDKLLKDALDEIPDTRHVGSGGIVDINTTSAILFVVIASCFLVMLYKLMSYWFVELLVVLFCIGGVEGLQTCLVALLSRWFKRAGESYIKVPFFGAISYLTLAVSPFCITFAVVWAVYRNVSFAWIGQDILGIALIITVLQIVRVPNLKVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVARGDKSGEDGIPMLLKIPRLFDPWGGYSIIGFGDILLPGLLIAFSLRYDWLANKTLRAGYFLWAMFAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLTLGRKRGDLRVLWTKGEPDRPCPHIRLEHQHSGELSED >OMO79543 pep supercontig:CCACVL1_1.0:contig10403:41008:41127:1 gene:CCACVL1_13599 transcript:OMO79543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCTIPLTATSPSKSRLTSSITFITLPFQKTNNPTPTT >OMO79541 pep supercontig:CCACVL1_1.0:contig10403:29561:36871:-1 gene:CCACVL1_13597 transcript:OMO79541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFALLSSPTFLSPRPNYISLKRYQHYHRLNFPFKPLCLPHFRRLPAIGPDGKYYPNPSDDDPPEAPEDSMHGVDKFQQIYRQAARARKLQEEDFNKHKSNYLSAIADVDEDVLNKEKAGNDDGDDLFGEIDKAIAMKRQEFVKQGLLEPAPKKAEPVGDELEPDEVVDLEEIDKLQGLTAVSDSDDGENEDSDEEDSLKFDGGLSDSEGNKRETDKVSMLDSSFDLDLDSFGKSKVRIVEPKFKMSLAELLDESKVVPVSVYGDLEIEITGIQHDSRVVSAGDLFVCCVGRKTDGHLYLSEADKRGAVAVVASKEIDIEDTLGCKALVIVEDTNSVLAALAASFYRYPSKNMAVIGITGTNGKTTTSYLIKGMYEAMGLRTGMLSTVAYYIHGDNKLESPNTTPDAVLVQNLMAKMLHNGTEAVVMEASSHGLALGRCDEVDFDIAVFTNLTRDHLDFHGTEEEYRDAKAKLFARMVDPERHRKVVNIDDPHAPFFIGQGNPEVPVVTFAMENKNADVHPLKFELSLFETQVLVNTPHGILEISSGLLGRHNIYNILAAVAVGIAVGAPLEDIVRGIEEVDSVPGRCELIDEEQAFGVIVDYAHTPDALSRLLDSVRELGPKRIITVIGCPGESDRGKRPMMAKISTDKSEVTLLTSDNPKNEDPLDILDDMLAGVGWTMQEYLKYGENDYYPPLPNGHRLFLHDIRRVAVRCAVAMGEEGDVVVVAGKGHETYMIEGDKKEFFDDREECREALQYVDELHQAGIDTSEFPWRTTQFETAYHIYEVLANFDIIPKVAQRNGPLTPCNRYEGLTCKKSAIAILKRWEYRKTDNDLDSSCDDSKSSNDPLRKRHNLVSSLVSVGDDETSESNRLKQKDNDNAGTEGQQINVGKAKKRRKTKNVSNNLRKTRRKKDSPQDQVDLQKGTETSAHGRSAPIDEVKTFMETLLEELRVTRENLVKEMMEELQKIGAYDTTTPELNRRKRRHRKEKVQDQHEKTPKESTQFKHPNSFEESIQVQNFLAQQQNNFQGKSNQQEENFSRLEQDNFGYGMRVQNCSNKSSSIRHPKSNDADHSAYYFDASKVTEPITSSKKISPDHQPVQVEGHKTVVLAIEAPKSKGRSHKRSVKGKKTVNCSNQSQVPENQGKTAVTSDKTTGEKLGSSNILPSFLSSSSFQAPSSMYLTLPTVLTEPLVPNHGLNDASLCNYIPQRDRVAEKKRDVNPLMLEPNCNQGSFPVIQQPEERIRSFALMGSRNIAGNISQNSTLSTSGIGSGFPVPFYQGMDVVGSSIPKQVMTSEYRGATSFLPGGSYNLSEHLAANNNHHMLSAYKSGGSGRLMSYQYQNVKDGHFFPQ >OMO79542 pep supercontig:CCACVL1_1.0:contig10403:38919:39023:-1 gene:CCACVL1_13598 transcript:OMO79542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFLGTVSFELGPDITNTGTTIGPLLPLNPDTS >OMO79544 pep supercontig:CCACVL1_1.0:contig10403:43018:46043:1 gene:CCACVL1_13600 transcript:OMO79544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRLPRSPVLKSPVLSPKSPAIYEKYKSGCAWGLIHFFDFRQGHSHGKLISDKKRVHRHAKGDNDTKNRPKSLSNVKYQYPDDGLDNKDVAVNSRKAEIKKVTEEEMIVKHQTIKNTDDRQNLQLSHSKDHRKASKNTKKSSRIPAHDGSTEGYRQAPNQNMVDRSSNSNELQTNNAGNYKGKSIRGRKHEHGTEINVGAHMKEAVEAFVNRKLTDGENLSSNEVANRSKNFIDALEILNSNKELFMKLLQDPNSLLVKHIQGLRDSQEMKQQSQSSSKAQKTQESEPLNTIVLLKPGMQNCPDRKHRSAKSAFLSFEHMKRKLRHAIRVNKKDQCQMSIEGSDNSQRDLEEFEDGGKEMSRLANERIPAGNNHLNVGKMPESSHAVNRRDRMDRREYFQSRKHISEMLYRGNEDFSRTQTLRTLDRLMSFPQQDFLPRLTSGRDKGHEFGSPQMRFSPYVSFSTDNLYEWRVQKEKKSACLSSSAIRSLEGAKTSISSNLPPATKVLQNVYSLGDDLSQKVDQTSVCPGKVMESNEASGRNTLEPNIVQNTNDNLGTESVNIYEEKGYLECSKLDSTLGDQTSTSSMDVYSSSPSHIQRIEDSDSMTDGAEQPSPISVLEHFFVEDNTSSPSTVSLAAETPVESSCLDMEEHYASSIMESHFVQKSNEEYTSNDKQGSLAQYIRAILQISGLNWGELSRCHFSDQMLDSSSLFDNVQVWPDKPSTDRKLLFGYISEVLLEIYECYFRCSPWVLLLKPSPQPVLSSKNAVQEVLRRIDWLLLSELPQQALQQLVEKDLAKSGVWIDTRVDTEEVVTELVDNILQDLIVEAAI >OMO79546 pep supercontig:CCACVL1_1.0:contig10403:51114:52194:1 gene:CCACVL1_13602 transcript:OMO79546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MSELLNSIQLPILDLTQPTEPSFLSSLLQACQEWGFFYVTNHGIPQNLFTKVRALSDDIFSLPADTKVKLGPTSCIKTYTPHFIASPYFESLRVNGPDFFASAKASANELFGQEKSDVSEILQEYGNNMMNLSKRITDVILKSLGDGYEREFYESEFGKCHGYLRIVNYSPPNEVEEREVEGLGMHTDMSCITIVYQDELGGLQMRSKEGKWMDIPPCKNSLVVNIGDLMQAWSNGRLRSSEHRVVLKRLMNRFSLAFFWCFEDEKVILAPDEVVGVGNSRIYNPFVCLDYLKFRESNEVGNFEKIGYTVKDFAGLKVQVQ >OMO79547 pep supercontig:CCACVL1_1.0:contig10403:54390:57495:-1 gene:CCACVL1_13603 transcript:OMO79547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGVENQDRVPENLKKKLALAVRNIQWSYAIFWSISARQPGVLEWGDGYYNGDIKTRKTVQAVELDADQLALQRSEQLRELYESLSAGESSPQPRRPSAALSPEDLTDTEWYYLVCMSFVFNIGQGLPGRTLATGQPIWLCNAHYAESKVFSRSLLAKTVVCFPFSGGVIELGATDLVLEDLSLIQRIKTSFLGTPHQIVSNQSIPVAGSMGNDNDLACPAHDPQILETKLSPLLGCEQLEMASPDDSSDGYEPNQLQEEEPFMVEGINGGASQVQSGQLMDEEFSNCVHHSLNTSDCISQTFVDHGNAVPLLHKGEKDNDNNGLQDVQECNQTRLTSLDLRSDDLHYHTVLTALLKTSHQLILGPHFRNSNNESSFISWKNTSLVKSQKTRGETSQKLLKKILFEVPHMHDKELLDSPEDNGIRNAAFRPEADEMYGNHVLSERRRREKINERLMILKSLVPSNSKVDKVSILDDTIEYLQDLERRIEELESSREITESEARTKRKPPDHVERTSDNYGNNKISNGKKSFLNKRKACDIDEAELEVDFVGLKDNNITVSMNKKDFVIEFRCPWREGILFEIMDELSIINLDCHSVQSTTTEGILTVNIKSKYKGVGVASAGTIKQALQRVAWKC >OMO72232 pep supercontig:CCACVL1_1.0:contig11490:54032:54265:1 gene:CCACVL1_17890 transcript:OMO72232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLNNKNPVPLSFSHFVLAFLLSLFFYFPSRSCSLSNISPSLSSQSTVTMSSQSQPPPTPLVADNSERKWEWFPGR >OMO72233 pep supercontig:CCACVL1_1.0:contig11490:60569:60631:-1 gene:CCACVL1_17891 transcript:OMO72233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGFKSDATGGDITGKQNM >OMO72229 pep supercontig:CCACVL1_1.0:contig11490:25991:26422:1 gene:CCACVL1_17886 transcript:OMO72229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFDDLAQELARINLQSMPACRDSTTVKLPQQAYDILNNEYEFTVGLSRQSLRRDELKFKIYDYKLIESEAANRNGQRSKQIGESPSQQPLTATIEDLALQTPLKDLSGSPTVDQDSPALKNTIKPSASIDKEGSPAKKQKQR >OMO72230 pep supercontig:CCACVL1_1.0:contig11490:33504:34331:1 gene:CCACVL1_17887 transcript:OMO72230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MYVLSVTIEDGFLHHIKDARTPKEAWDTLTTLLAKKNDAKLQQLENELLSISQQNMPVSEYFSKVKLLCDEISKLDPNNVITETRMRRIIIHGLRPEYHGIVTATRGWEKEPTLTDLENILVNQETLDKQSKVSIKDDEKALFIKKGVAKEAESSRSRQGWRRGQRGRHPQRGGAQPVRDKDDNEEKRHRRLNDKCYNCGKVGHFARDCRSKHVQGNVATFVRKENNNEEEWDFQVSFAIDELEEIAVGCTFEPEEEASSWWSSEAVSLLKSKEI >OMO72228 pep supercontig:CCACVL1_1.0:contig11490:18338:18733:1 gene:CCACVL1_17885 transcript:OMO72228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MLMAATNNIVSRCVISRKAKEENGSSKFGESSRRVMVLFTNLCIGDMIPCLKWLDVITGFIPRLKATAAELDAFFDQVIEEHHKALKGDHEADKKDFISIILELQKDGLVEMELAQDNIKAILLVCQQLCF >OMO72227 pep supercontig:CCACVL1_1.0:contig11490:3291:6525:1 gene:CCACVL1_17884 transcript:OMO72227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMECVTEFPHTHMDRRPRKRPRLGWDVTQLPPQAQVGIFCAQDVGNVTSFAPSTARAPSDYTSTITTSSSLVVKGGVDRNGSPPWLEDDKDGHYMFELGDNLSSRCTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEMLQQLGKNDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRRLLECVAFIHDLHLIHTDLKPENVLLVSPEYIKVPDYKSTSRSPKDSSYFKRVPKSSAIKVIDFGSTTYERQDQTYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERLLGSLPQHMLKRADRHAEKYVRRGRLDWPDGANSRESIKAVLKLPRLQNLIMQHVDHSAGNLIHLLQGLLRYDPIDRFTAREALRHPFFSREQFRR >OMO72231 pep supercontig:CCACVL1_1.0:contig11490:49365:49937:1 gene:CCACVL1_17888 transcript:OMO72231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MPEDIPIAPANTPTNFNPYLGGGDFVFPLALNSMQNIETTLLMSSSRFTGLYGGDEEEEYPSDDYSSSSSSDDDDFELDSDFYGSDYFEEYILDDSDPEMRPASKTAIKGLEKVKINEELGKSVGFCSVCLEEMVVGTEARRLPCSHVYHGDCIVEWLNGSNMCPLCRYKMPVVDFDLNVTLPAEDGFDL >OMO80498 pep supercontig:CCACVL1_1.0:contig10317:49324:51272:-1 gene:CCACVL1_12928 transcript:OMO80498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTLRAATTTTAMAARAAPRGMWRVFSTNSPDSFNPPPTAGTTPGREQAPPSTNLFVSGLNKRTPTEKLREAFSQFGRVVDARVVTDRASGYSKGFGFVRYATIEEAEKGREGMDGKFLDGWVIFAEYARPRPERPPPQNNTDNFNGGDSYGRQRYN >OMO80500 pep supercontig:CCACVL1_1.0:contig10317:63677:65298:-1 gene:CCACVL1_12930 transcript:OMO80500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGVMRAAAKVAGVGVVNTTFRSGFQLAPPSAENSVMRVVSRPASSASAMVASSGGAETVVSANQRGSWDMIDDWEFAGAVEDEVTQVGSNSVSGGGEPMARVLFGGVPTMEEAKEATADLKDALDKVYLSSSNSAGAAQASRRTYLSYSEETKDCVTYEVRATSVPQPAIQAFRLLNESPDVQCVVASLAADPNVWNAVMNNAAYMDFVQSQKTAADVYEDHGSPRSSESSVKLEEYLDESQSKNIGDRFSDFIQNVKTGVVEMVNKATGFFQSLFASPTGENAKEKGSNYFEKTFGASMMGLAVMVIMVVILKRS >OMO80502 pep supercontig:CCACVL1_1.0:contig10317:75614:76080:1 gene:CCACVL1_12932 transcript:OMO80502 gene_biotype:protein_coding transcript_biotype:protein_coding description:nbs-lrr resistance protein MADAIIEVVAGTLIDALKDHSGRVLEFRSQFNELKTQLDLMKSFLADANKVKRKEETVKTTLSMIRDLTYDAEDILTDCLIRAEYQEQEMDFTGFR >OMO80503 pep supercontig:CCACVL1_1.0:contig10317:76814:77764:1 gene:CCACVL1_12933 transcript:OMO80503 gene_biotype:protein_coding transcript_biotype:protein_coding description:disease resistance RPP13-like protein 4-like protein MASLQLSYDELPTRLKQCLLCFSIYPEDSVISAEQLVHWWVGEGFVQGKDTRTAIELAFDYLSELISRCLVEVVKQRGFDGRVYSCKMHDLVRDLTIKIAREEEFCSFDEHGRQRPTIRSRRLGFKDEEDVKSLNKKSKLRAFLMMSSSPVSLDRTSPLFRVKSIRGLDFSQNKLENIPIQKLMHWISSLAYLNLRGVATLKELPQFIGELRNLQLLVLTGCNNLQKLPLSITNLQKLTVLDIGYCPIQYLPQGLGRLSNLQELSGFKVPSSENRNGCRLGELQGLSQLKVLRVNISEESDIAEDELTVMSKLKQLK >OMO80495 pep supercontig:CCACVL1_1.0:contig10317:13015:14832:1 gene:CCACVL1_12925 transcript:OMO80495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MDAHAHQVFRYGLTGAGLSYSSSYSAIPSIPNRLFSSLKSDIGNSPNSPFSTQFDSPFSTQFDSPFSTQFDCDTNTTLSDSQEQHSSTENHSGLSPSCNSSLESNAYFHQLSPSLDCKRESLPLCSGGTSYIQDVNSSPKIYTLQELETALMAPDEDEEVTTPSVSYGESSRPQTTGQRSRAWSQERQGSMALQPQTSIVSRHRQSTEVARVEKRQKGIEDLSLQGIPPGNLKQLLIACAKALSDNNMDDFDKLIAKARGAVSICGEPIQRLGAYMVEGLVARKEASGSNIYRALHCREPEGKDLLSYMQILYEICPYLKFGYMAANGAIAEACRTEDRIHIIDFQIAQGTQWVTLLQALAARPGGAPHVRITGIDDPVSNYARGGGLEAVGRRLAALSEKFNIPVEFHGVPVFAPDITKEMLDVRPGEALAVNFPLQLHHTPDESVDVNNPRDGLLRMVKSLSPKVTTLVEQESNTNTAPFLPRFVETLEYYLAIFESIDETLPRDRKERINVEQHCLARDIVNVIACEGKERVERHELFGKWKSRLTMAGFRQYPLSSYVNSVIRSLLMCYSKNYTLVEKDGAMLLGWKDRNLISASAWHCDS >OMO80501 pep supercontig:CCACVL1_1.0:contig10317:69253:70377:-1 gene:CCACVL1_12931 transcript:OMO80501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALFSSKKPRFYYITRINLVFFFIIFVSFSSTLSSSSSIHDLLVSRGLPAGLLPKEVKSYTLSENGTLEVFLDEPCLTKYENRVIFDSVVRANLTYGSLIGVVGLTQEELFLWLPVKDIIVDDPKSGLILFDIGVAHKQLSLSLFEEPPTCKPQGLLKNDVRKEKGFEAMR >OMO80497 pep supercontig:CCACVL1_1.0:contig10317:44756:45109:-1 gene:CCACVL1_12927 transcript:OMO80497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLEFVACCVTTPQVSSNDEVVAAVATPSREETRSLMPPKVVALKNKKKRVRVGAPEWKPSLYVISEDNVMAEKREKAQAPEAKPVAADRAVKRKSATVSRSKVHVRNYNDDING >OMO80499 pep supercontig:CCACVL1_1.0:contig10317:55214:62958:1 gene:CCACVL1_12929 transcript:OMO80499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 1 MVSSLEQLLQLTSLKELLMKETKEIAYGIPLPENQSDIDLMKDLGMDAYRFSISWSRIFPNGTGEPNEEGINYYNKLIDALLAKGIQPFATLYHWDLPQELEDKYEGWLSQQIVEDFEHYAFTCFQAFGDRVKHWITFNEPHGFSIQGYDTGIQAPGRCSILGGLLCKKGNSSIEPYIVAHNILLSHAAAYHSYRRNFKERQGGQIGMALDAKWYEPYSDSDEDKEAANRAIDFGLGWFLDPLFLGDYPNSMKSLVGERLPQISPEMSKLLVGSLDFIGINHYTTLYAKNDRTRIRKLILQDASTDAAVITTAFRGLTAIGEKAASSWLRIVPWGIRKLAIYLKDKYGNPPVIITENGMDDLNSPLISKNKALQDDKRINYHRDYLSNLSAAIREDKCDVRGYFAWSLLDNWEWNNGYTVRFGLYYVDYKNNLTRIPKASVSWFKDVLRPTSDAQFLEDESTKLYARKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLIQLYARRGDAVLDLACGKGGDLIKWDKAKVGYYVGIDIAEGSIEDCRTRYNGDADHHQRRKKFTFPARLICGDCFEVRLDKVLADDAPFDICSCQFAMHYSWSTEARARRALANVSALLRPGGTFIGTMPDANVIVKKLREAEGLAFGNSVYWIRFDEEFSEKKFRSSSPFGIKYKFHLEDAVDCPEWIVPFHVFKSLAEEYDLELVFVKNSHEFVHEYLKKPEYVELMRRLGALGDGNQDQSTLSPDEWEVAYLYLSFVLKKRGQPERTQANSRRDKGQMQIAKEDILYINSGV >OMO80496 pep supercontig:CCACVL1_1.0:contig10317:40462:43305:1 gene:CCACVL1_12926 transcript:OMO80496 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MATSPGSDLSSHGSSLESPLLPSKEPGWETIPEPGPEKLGIDDMLQKYCGEFGSWQLRHFVLTSLAWALEAFHTMVMIFADQEPAWRCLTPGSGCDEKGSVCGVEPGSWEWEGGPGSSTVAQWGLVCGDKYKVGLVQALFFGGCMIGAGVFGHLSDSKLGRKGSLTAVCILNAIFGCLTALSPNYFIYLLLRFLTGFSTGGVGLCAFVLATEPVGPSKRGAAGMSTFYFFSIGIALLSGIAYVFPSWRALYIASSIPSILFLLLVIPFISESPRWYLVRGKMNEAMKLMRDIAKCNGRYLPDEVILALDDDNQSCKEANEVDKEAISGSIVDVIRSPITRIRLFLAVGINFTCSVVYYGLSLNVVNLDTNLYLNVLLNAVAEMPAFTITALLLDKFGRKPLAIGTQWFSAIFCFAGCLMGNVGIWKVIRMFCGILGIFGMAGTYNLLFIYTAELFPTVVRNAALGCATQAAQMGAILAPFVVVWGGGLPFAVFAICGLIGGFLAYYLPETLNKPLYDTMTGMAEGESAPNWALA >OMO67235 pep supercontig:CCACVL1_1.0:contig12458:20515:23888:-1 gene:CCACVL1_20687 transcript:OMO67235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLLDAAMEGDIKSLHGLLQEDPLILHRSMVSCVSETPLHVSSMLGHVNFVQELLSLNPELASELDSHGCSALHLAAAKGHVEIVKELVKVDPGTCTVRNEDGKTPLHLAAIKGKVEVARVLVRACPESARAVTERDETALHLCVGNNRLVGLKSLIEEIWKNDDQLLNWKDCDGNTVLHLAVAKKQIEIIKFLLTSRGLDINATNKNGFTALDTLRQSPRDLRDMEIECILKDAGVLSAKDLHIITPDWHPSPTKVPRITKSMVSNVSTERSISGKPLMVHKHTDWLGRKRSALMVVASLIATVAFQSAITPPGGVWQADEHFDKAGNPLKYPLKAGTAVMAYSQKTEYGQFMIFNTLAFLASLSIILLLVSGLPIRRRRWMWLQMVIMWIAITAQVLTYFISLRYMSPESAKGMLHDVTQISVLTWLCLMLVVFIGNVVRMNLWILRKYGYIKEKEQEQEPPPAEVNDDDEDI >OMO67233 pep supercontig:CCACVL1_1.0:contig12458:2488:12524:1 gene:CCACVL1_20685 transcript:OMO67233 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MAAPVNIIVGSHVWVEDPQLAWIDGEVVKISGEEVHIQTTNGKTAVANISKIFPKDTEASPGGVDDMTKLSYLHEPGVLHNLAMRYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAGFGELSPHVFAVADVAYRAMMNEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAVRTYLLERSRVCQISNPERNYHCFYLLCAAPPEVREKFKLGDPKSFHYLNQSSCYALDGVDDAQEYLATIRAMDVVGISEEEQEAIFSVVASILHLGNIEFAKGAEVDSSVIKDEKSRFHLNVTAELLKCDVKSLEDALIKRVMVTPEEIITRTLDPVAAVGSRDALAKTIYSRLFDWLVDKINSSIGQDPNSKQLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLFQTFKNHKRFIKPKLSRTNFTISHYAGEVTYQADLFLDKNKDYVVAEHQDLLTASKCSFVSSLFPPAPEESSKSSKFSSIGTRFKQQLQSLMETLNSTEPHYIRCVKPNSVLKPAIFENANIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGVLAPEILEGNHDDMVACQMILDKMGLKGYQIGKTKVFLRAGQMAELDARRAEVLGNAAKTIQRQIRTYIARKEFVALRKAAIMMQSHWRGILACKLYEQLRREAAALKIQKNFRRHVARDSYLTARMSAITLQTGLRAMTARNEFRFRKQTKAAIIIQATLRCHLAYAYYKSLQKAVITTQCGWRRRVARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRTDLEEEKAQEIAKLQDALHAMQLQVEEANARVIKEQEAARKAIEEAPPVIKETPVIVQDTEKVNSLTAEVESLKASLMSEKNAAEEARNACADAEARNAELVKKFEDSERKVEQLQESVQRLEEKLANSESEIQVLRQQSLAMSPTGKSLSARQRTMIIPRTPENGNVTNGETKTPSDTTLAISNVREPESEEKPQKSLNEKQQENQDLLIKCISQNLGFSGSKPVAACVIYKCLLHWRSFEVERTTVFDRIIQTIASSIEVPDNNDVLAYWLSNSATLLLLLQHTLKASGAVSLTPQRRRAASASLFGRMSQGLRASPQSPGLSFLNGRGLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMMRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNRYLKIMKANHVPPFLVHKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCYEATEEYAGSAWDELKHIRQAVGFLVIHQKPKKTLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVIASMRTMMTEDSNNAVSSSFLLDDDSSIPFTVDEISKSLKQVDIADVDPPSLIRENSCFGFLLPRSE >OMO67234 pep supercontig:CCACVL1_1.0:contig12458:13932:16507:-1 gene:CCACVL1_20686 transcript:OMO67234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDEFGEEMNANGDSSGTVKQRFKDRSKKVAKTKEILSKQAVHTKEILSKQAVKIAKQAEEHERFINKVTHLLGVLGFGGFCFLLGARPQDIPYVYCLFYVTFVPLRWIYYRFKKWHYYLLDFCYYANTIFVIDLLLYPRNEKLFMVCFSFAEGPLAWALIVWRCSLVFSSVDKIISVLIHLLPGLVFFTIRWWNPATFEAMHPEGASHRHEWPYVEDKSSYLWTWLFGVPLVAYTLWQVLYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNLWWRLSGLLGDQNRMLMYILLQAVFTVATMALTVPIFLSYKFHVIFQILKVSASVWNGGSFLLEVMPRQVIVKEKKKSEIQMQPVQQNEQDESSPSIENAMKERESTEID >OMO67232 pep supercontig:CCACVL1_1.0:contig12458:1541:1651:-1 gene:CCACVL1_20684 transcript:OMO67232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIICSDLPYINSSDDNKPSREKADSASQIGPEMDR >OMO67236 pep supercontig:CCACVL1_1.0:contig12458:25724:27790:-1 gene:CCACVL1_20688 transcript:OMO67236 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic amino acid lyase MATTEFSLPNEHLLVSETDCWKKAAEALQCSHFDEVCKMVSEFAQAQTVQIHGTTLTVAQVTAISRRNEVMVELDEAIARDRVAKSANWVSDNISRGTAIYGVTTGVGSTSHRRTTKTSDLQTELIRVLNAGVIGKESLPSSYSKAAMLVRTNTLMQGYSGIRWEILEAMAKLMNQNLIPKLPLRGTITASGDLVPLSYIAGLLSGRHNSKVVTLEGEVINSIEALKRAGIGSPFELQAKEGIALVNGTAVGSAVAATVCFDANILALLSVILSALFCEVMHGNPEFTDSLTHKLKHHPGQIESAAIMKFLLDSSDYMKEAKEKYPLRKPIQDKYAIRTSPQWLGPQIEVIRQATHSIEREINSVNDNPLIDVARDIALHGGNFQGTPIGVSMDNLRIAIAAIGKLMFAQFSELVCDYYNNGLPSNLSGGSNPSLDYGFKGADVAMASYCSELQFLANPVTTHVQSAEQHNQDVNSLGLISARKSAEAIEILKLMSSTFMVALCQAIDLRHLEENMRQVVKQVLVQVVTKTLHISEDGSLLEKELLQVIEHQPVFSYLDDPMNPSYALLPKLRQVIVERALKDPKDQENGFSMFKRIPIFLEELKTRLEEELPKERTKFDNGEFATPNRIEKCMTYPIYGFVRSEVGTELLTGEKKVSPGEDIEKVYEAINEGKLGAVLMKCLTNLKL >OMO95726 pep supercontig:CCACVL1_1.0:contig07620:5636:10125:1 gene:CCACVL1_05288 transcript:OMO95726 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MARPLHRGVSTIRISGNSNDFWDSEVKDKTEKEDLDRNRSSDQSYLSLRFPFRLLFPDNSPSKYGTENGFASDPFSVGTPRSRHKLTMLFLKLSLVVIVLLALTGSFWWTISISTSSRGHIFHGYRRLQEQLVSDLWDIGELSLGPSRLKEVEFCPEEYENHIPCFNVSENLALGYSDGNENDRLCGLGSRQSCLVLPPVNYKIPLRWPTGRDVIWVANVKITGQEVFSSGSLTKRMMMLEEEQISFRSASLMFDGVEDYSHQIAEMIGLRNESNFIQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYESSGSQVQLTLERGLPAMIGSFNSKQLPYPSLSFDMLHCARCGIDWDQKDGIFLIEVDRVLKPGGYFVWTSPLTNVQSFLRNKEKQKRWNIVRDFAENLCWELMSQQDETVVWKKTSTKSCYNSRKPGSGPSICSKGQDVESPYYRPLQNCIGGTHSRRWVPIEGRATWPSRSNMNKDELALYGLRPEDLIDDTANSKIAVRNYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNARYGGFNAALLEAGKSVWVMNVVPTSGPNYLPLILDRGYVGVLHDWCEAFPTYPRTYDLVHADGLLSLETIQHRRCSVLDIFTEIDRLLRPEGWVIIRDTAPLIESARALTARLKWDARVVETESNSDEKLLICQKPFFKRQAS >OMO95727 pep supercontig:CCACVL1_1.0:contig07620:12009:13205:1 gene:CCACVL1_05290 transcript:OMO95727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWRFFFVAKPLLNPNPNPKFPNPRSFSTSFLITKIPKKHKPKRPKPDSPRTRPVTPESNRIPHFESLLDRDATFRFLTKTKEYLSKQPEQVLLLDDAGKLHRELGFPRGRKVARSISRHPLLFTTYRHSDNKMWLGFTDMMDQLLLEEKSIMEDMEEDRVTKVRKLLMMSKDKRIPLSKIYHKRLIFGIPEDFRDRIKKYPDFFRLVVEDDGKQVLELVKWDPSLAVSALEREFLVNEDKVKKAFKFPVKYSKDLGLEENDVKKLNLLNTLPLVSPYSDGWKLDLWSLEAEKYRVGIIHEFLSLTLEKRALIHHIVEFKEEFSLTRQTYEMLKGQPRTFYLAGTEMNWAAFLKDGYDENGNLIDKDPQVVFNEKLFKFAQMQGEDLSSGLEEKFSRD >OMO95728 pep supercontig:CCACVL1_1.0:contig07620:16408:18726:-1 gene:CCACVL1_05291 transcript:OMO95728 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, typical subtype MAKFKCFSLFTGKKKQIKLGDVSSKAGEQKKGVRTLQIKLQQPVQPLESDELKSTTFTVPVPDGFQEDSLCNVKVISHENPVGCEAAYEGEDEHEENASIKREFSDFDLQAHVANSGEEEFTFSTKRVASSDSFDTEVKEEFVYGAEEAAEKVVDMIQSGHISDPGIGRAEYWASPKLKRSCSNLETSNIVLRRVADQLPPSKSQSFEQLHELAATVRDDFNPGSPASVMTRCSADRVMLKKHSSSQVLPSRSRRLWWKLFLWSHRNLHKHWTIKPQPLPVSATLNQQGGYSSDTVELHRAMKLSKMESPGSFTGESLNKDFTGNCEDNQSWKGFHNGVSGLWPQNQWVALPSPSSSFTRVNEWVLDLETEPCDDDVNYEEGIAFPPSPDSGKLPARSTTQLTRHPDMNLSEEIIHANNVIQSLNSSSTVAHISGIGLKAIPIISHLASLRSVNLSNNLIAHITPGSLPKGLHTLNLSKNKINTIEGLRELTRLRIVDLSYNRIARLGHGLSNCTLIKELYLAGNKISDVEGLHRLLKLTVLDLSFNKITTTKALGQLVANYNSLQALNLLGNPLQSNISDDQLRKAVCSLLPKLTYLNKQPIKPQRAREVLTDSVAKAALGSGSWSSRKKAVKRASQGGTTSSSVHRSSMASGQKSKNKSKSRSRHHSSLKSHAPASSSR >OMO58277 pep supercontig:CCACVL1_1.0:contig14255:7746:10610:-1 gene:CCACVL1_25506 transcript:OMO58277 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MATRMDLDGQPIKPITICMIGAGGFIGSHLCEKLMSETPHKVLALDVYNDKIKHLLEPDSLPWAGRIEFHRINIKHDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGCFLPKDSPLRQDPAYYVLKEDDSPCIFGPIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRGEPLKLVDGGQSQRTFVYIKDAIEAVLLMIENPQRANGHIFNVGNPNNEVTVRQLAEMMTEVYSKVSGVPALEKPTVDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSLWDLLESTLTYQHRTYAEAIKKSMAKPVAS >OMO58276 pep supercontig:CCACVL1_1.0:contig14255:4306:4488:1 gene:CCACVL1_25505 transcript:OMO58276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MESWLDTIIDPKGWDQWNKLVVDLLIYVEFSNRGPGSNTSTRVTWSKVLPGITSANVLGA >OMO81466 pep supercontig:CCACVL1_1.0:contig10165:3794:6308:1 gene:CCACVL1_12396 transcript:OMO81466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLYWFKQISNNVRSERRLSLGEYKRAVSWSKYLVSSGAEIKGEGEEEWSADMSQLFIGNKFASGRHSRIYRGIYKQRDVAIKLISQPEEDANLANFLEKQFISEVALLFHLSHPNIITFVAACKKPPVFCIITEYLAGGSLRKYLHQQEPYSVPLNLVLKLALDIARGMQYLHSEGILHRDLKSENLLLGEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVCQKVKYLTHSWYETSMFLRFLSLTSG >OMO81471 pep supercontig:CCACVL1_1.0:contig10165:45839:49511:1 gene:CCACVL1_12402 transcript:OMO81471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex RNA-binding protein 1/RRP40/RRP4 MEKKESGSPASFIDSTVVPGDVVLDLSSMTNQTIKLGGGLRQDCDAISVMKAGTLRFTKPNKYWVESSQKRYVPCVEDTVLGIVVDSKADNLLIDIKGPALAFLPVLAFEGGTRRNIPKFEVGTLLYLRVVKANFGMNPELSCTDASGKAAEFGPLKDGYMFETSTGLSRKLLSSPTCPVLEALGKKISFEIAVGLNGRAWVNAASPETIIVVANAIMNSESLSAAQQIIMVEHLLKNIE >OMO81469 pep supercontig:CCACVL1_1.0:contig10165:38664:41189:1 gene:CCACVL1_12400 transcript:OMO81469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MPGLIMDEIKEEAVMNEGNGTTAYVKENPVSNKSPTSTPSPRSPHGADHPIDGMVQVNTSIEQLYENVCDMQSSDQSPSRQSYGSYGEESRIDSELRHLVGGEMREVEIMEEEVDKPEGDTHSSSSSKKGSSSGKKSGQLEKTQSASVKSISSGNVQKASQSQVDSEASTKTSPKGKSPPEKPPIDKRKNKTPKKSNMGARSMKKGKGSKLQNGTEDASESGFGNPDLGPFLLKQARDLVSSGDNLEKALELALRAAKSYELCANGKPSLELVMCLHVSAAIYCSLGQYSEAIPLLEQSIDIPAIEEGQEHALAKFAGHMQLGDTYAMLGQLEKSITCYSTGFEVQKQVLGETDPRVGETCRYLAEAHVQALQFDEAQGLCQMALDIHKENGSPASLEEAADRRLMGLICETKGDHEAALEHLVLASMAMVANGQEAEVASVDSSIGDTYLSLSRYDEAIFAYQKALTAFKTTKGENHPAIASVFIRLADLYNRTGKLRESKSYCENALRIYEKPPAGIPPEEIASGLTDVSAIYESMNDLDQAIKLLQKALRIYNDAPGQQSTIAGIEAQMGVMYYMLGNYSDSYNSFKNAISKLRACGERKSAFFGIALNQMGLACVQRYAINEAVELFEEAKSILEQECGPYHPDTLGVYSNLAGTYDAIGRLDDAIEMLEYVVEMREEKLGTANPEVYDEKRRLGELLKEAGRVRSRKARSLETLLDANPHNLNGEAIKV >OMO81465 pep supercontig:CCACVL1_1.0:contig10165:2719:2820:-1 gene:CCACVL1_12395 transcript:OMO81465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAAECLFTGGRVVETLITCEDPLRRRQSGSS >OMO81470 pep supercontig:CCACVL1_1.0:contig10165:43057:45504:-1 gene:CCACVL1_12401 transcript:OMO81470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMKLFNGHSFNLQSRSSDQQKPPLFSRLPLYPKNVSFIKKPSSLSSNSQTPVATLNLLKNPSQQPQNQSGFSMNKLILEFTEHGLFEDAIRVYLGMLQNGFRVQDFKFFPCLIKAFGGLSDVKRSRQIHGHVLKFGFVADVYVVNALLGMYFKCGEIEDAVKVFDNMSERDLVSWNSMISGFCQSEDYLGSLRIFSLMVKEYGVFPNLVGCLSALSSCASIESRSHGREIHGYIVKNGLESNDFLVSGLIELYMKCGDVRSAEHVFKSIVSKESVRKNTVIWNVMITGYVSNECLSKAMDLFVEMLELGIQPDSSTMVAVLVLCSQLGNLGIGKQIHRLIFAFGLKNDVRIETALMDMYFKCCHSEAGIKIFRRAQNKNSVMWGAVISNCAQKDRPIEALELFHNFMLKNSFPDPVMLLPVLRACSSLALKSKGMEIHSLAVKTGSVSDLYIGSALVDMYGKCRDIESAQNVFSRLHLRDLVLWNALISGFSQNECADEALAAFRNMQREGIRPNSVTIACILSVCAHLSIRIMSKEVHCFLIRQGLKSNVLVSNSLIAAYAKCGDINSSWIIFEKMHVRDEVSWNTIISALGMHGHADKMFVSFENMKQAGMKPDYVTFTALLSACSHIGRVDMGCKLFESMVEEYKLEPQLEHYTCMVDLLGRAGHLKQAYDLIKAMPCKPDEHIWGSLLGSCRSHGDEKLAKVVANHIFEHDATSIGYRVLLANLYEDLGDSNEFVKVRSEIKDMGLKKQPGCSWIEIDNKTHIFVAGDDSHHQSDEIYVVIENLTLEMKRAGYVPSVPSRSVVPDEVDC >OMO81468 pep supercontig:CCACVL1_1.0:contig10165:37737:37859:1 gene:CCACVL1_12399 transcript:OMO81468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHVASTPWKLKKQTGNRSAVAGRAGFGFGEKSPSPFSF >OMO81467 pep supercontig:CCACVL1_1.0:contig10165:14611:23116:1 gene:CCACVL1_12397 transcript:OMO81467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MDKKNSRTDLLAAGRKKLQQYRQKKDGKGSSSQGKSSKRSNKSEQHESDADAASSAAKQTVSSQVPEGETAAVDLSVSQSMESSLPSGLDAAAGVSSVEPIASETSNAEIILASNDGLPIEVVAHGDGDVDSSGPNDGESTRAVDSEPSTEIPSSTADIPVLEGDTKHDNAPDPSTLVDTIEGTVPDMSLSQTRGDPVTDVGAMQEADGLGLNQFDRGNETEFEVDGRLAFSDHERAEHLEGATSEVTSMEGPSREAEQTMCDNASLSILEANSSQTDGSLAESTQMTNKQVEDVESCSPVEDDKEMCSMSGDCGDDKTVKENQQNLLKGPFVSQDESHETSLQRNGELLSDLTLSIPRDGSPVRLSQLVEVIRALNEDEYKLLLNSQEVVSVANVGKDCLAPSCHPDLFEKLREELYLTAFMKDVFYLQLSEQSDLQIESDRHCHQLIDEISVLHSSLNEIQNGNAYLEEELAQCRSELLVFASGREELQNQFHTALSQAEEFSTRANELQSSLVRSQEDLSSLLSELADYKSQVAALQVDNENLNGTLHALTEERKKIVEEKESSLHENENLSMELTRCKDLLVTLQEEIEQLNVTIASLTEEKKIFMDEKLLSLHENEKLQTELADCKAMFASLQVDHSEISKNLALMTEEKMKLEEEKEFLEHEKKKADLGSKVVELTEVQEQLDALQLQHEVEILTLKESLRHAEEALISVRCELQEKISELEQSEQQVSSLTEEKKIFMDEKLLSLHENEKLQTELADCKAMLASLQVDHSDISKNLALMTDEKMKLEEEKEFLEHEKKKANLVFNIHQFGSKVVELTEAQEQLDALQLQHEVEILTLKESLRHAEEALISVRSELQEKISELEQSEHRVSSVREKLSIAVAKGKGLVVQRDGLKQSLVETSAELERCSQELQVKDSQLHELEIKLKAYSEAGERVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPEHFHSRDIIEKVDWLARSTTGNALPPNDWDQKSSVGGSHSDVGFVTVDNWKEDAQPSSILGEDLRRKYEDLQSKFYGLAEQNEMLEQSLMERNHLVQRWEELLDRIDMPSQLRSMEPEERIKWLGGALSEANFDRNSLQKKIDNLEDYSGSLSADLEESEKRIYVLEADLQSVTLEREHLSERLESLTSDHHNLVAKAAHLELENEKLQTKVSDLQEEMVKRIEEGERLLKMEGDIERLLDLVGDVLQEQDSEAKDLVSVDGSTACLERLLKKLIENYTNLNSVNPEVVKIGMDQTKLVDPTHDEARSIDVTAQNDVISLKNELEESLQNLMQVKEERDGYFEKLQLLLHEVQALKRKREELQEQLNQEEQKSASVREKLNVAVRKGKSLVQQRDSLKKTVEEMNAELETLKSELRHRENVLADYELKIRDFSAYPERIEALEADNLFLRNHLTETECVLEEKGYILGRVLNTVANIDVGDEIDTSDPVERLERIEKACHDLHAAVASAEQESRKSKRAAELLVAELNEVQERNDNLQEDVAKLASELTGVMKERDVAEAAKLEVLSRLEKLSTVHSERNRNQYSELMMLQSSVIELRKGFHDIHNLLSDASLKELEFLQHLEVNVKSYLEGNDAQDVAGLPYITPSNFENKEDFASMDTLSVSNMQDSTDENPIVEVSILIRHHLRDVMAEINALKEKLIVHSKSVHEQCHSVWNVLGILHRDRNYQKESFEAMRRNIIHIESIGKEKDLEILILRRNIASLYEACAKSVLEVENRKAELLGNNLATADFRTDLKPVTLADGGLSFSGDTSFSEEYIKAMGDKLLSTMKDFSSLKAEIAEGSQREMKTTIANLRKELHEKDIQKDQICMELVDQIKSAEAAATNYSRDLQSSKKLVQDLESELEVMREEQKSLQRRLKELQDVQVNAVELQDRVKSLTDLLSSKDQEIEALMQALDEEEVQMEELTKKIEELEEVLQQKNTALENLEASRGKVMKKLSITMSKFDELHNLSEGLLAEIEQLQSQLQDRDAEISFLRQEVTRCTNDVLVASQMSSKQDLNEINEFLTWFEAIISRVGVSDLQFDAKNNQVPEYKELIKKKIISVISELEDLRGVAQSRDELLQAERSKVEELTHREETLKKTLHEKESQLNLLKDVEDVGPAASFNTEIVEVEPVINKWAVAGTSTKSQVRSLRKVNNDQVAIAIDADDGSHSRLEDEDEDKVHGFKSLTTSRVVPRFTRPISDMIDGLWVSCDRALMRQPALRLGIIIYWALLHTLLAAFVF >OMO50082 pep supercontig:CCACVL1_1.0:contig16323:26203:35273:1 gene:CCACVL1_30648 transcript:OMO50082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHGCSISHKSKSYYQVLFLGSLILILLFKWFIYKGDFKEVARTFFLSRFNFKPLEEDDEEVNLPPKECDLFTGNWVLDNKTHPLYKESECKKSLTKLGSLVIFNIEEYNSTVEFYWAPFLVESNSDNPWRHSIKDRIIKPESIKNGGDDWKGVDFLIFNTYIWWMNTGNMKVLSSDWNNPDGIKCAKETLPLMNMSTPPNKAMKLQGCSISHKSKSYYQVLVLGSLILILLFKWFIYKGDFREVATTSFWSRFNFKSPEEDEQVNDLPPKECDLFTGNWVLDNKTQPLYEESECEFLSQQVTCLKNGRQDSLYQNWRWQPKDCSLPKFRAKVLLEKLRGKRLMFVGDSLNRNQWESMEYNSTVEFYWAPFLVESNSDNLWKHSVKDRIIKPESIKNGGDDWKGADFLIFNTYVWWTNTWNTKVLSSDWNNPDGINCAKETLPMMNMSTPPNIGTDYRLFSVVANKPFQESSNSSSVRVQDRNDGKAEIETGKGKEDLELLTIMEEEEEGEDGENKRVVLPLEECDIFTGEWVFDNGSHPLYKEEECEFLTEMVTCLKNGRPDSLYQKWRWQPRDCSLPKFDAKLLLEKLSGKRLMFVGDSINFNQMLSLVCMVQSAIPPEKKSFSYASYTTIFKMEEYNASLEFYWAPFLVESNVDPPNKRDGTVEPELFLEHQRRDKVLERDDSDPQHFYTIERRDESPASRNCS >OMO50084 pep supercontig:CCACVL1_1.0:contig16323:53437:53541:-1 gene:CCACVL1_30650 transcript:OMO50084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress induced protein MGRKGGLSTGDKSGEERAEEEGIAIDESKFRTKT >OMO50078 pep supercontig:CCACVL1_1.0:contig16323:2110:9686:-1 gene:CCACVL1_30644 transcript:OMO50078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptobrevin MFSKFFQKQGASPQSPTSKSNVAKGSLTPADLNPRVIVHYGIPSTASILACDLIQRLVAVGTLDGRIKVIGGENIEALLVSPKQLPFKNLEFLQNQGFLVSVSNENEIQVWDLEQRNIASSLKWESNITAFKVIHGTSYMYLGDEHGFVSVVKYDAEQHKLVLQPYYVPTNVIADAAGISSPSYPSVVGVLPQPCSQGNRVLIAYETGLLVIWDVSEDRIVLVRGNKDLQFKGETKSGSSKENKIEVSDCTSDSDEADKEITALCWASDDGSILAVGYVDGDIMFWNLSASNPGKDQKAENLHKNVVKLQLSSGEKRLPVIVLRWSANQSASGRGCKLFVYGGDKIGSQEVLTILSLEWPGGIESLKCVGRVDLTLNGSYADTVLLPTVGEMESGGNLLFVLTNPGQLHVYDDACLAACMSRQEKNPCVSSGQYVMPISTVAPCMTVSKLGLLHRDGELSKALSKVVSAAKLKAPDTPTPGTTRWPLTGGIPSLLNEAADYQVERVYVTGYHDGSVQIWDATYPALSLIFVLGTEVPGVDIAGASASVSALEICSFTQSLAIGTECGMVRLYKLTGTSDEMSLSIVKETEKEVHTSHQADGPRCTAVFSLLNSPVCELQFAKSGTRLAVGFSCGRVAMLDVSTISVLFITDSLSHSNCPVASLAMHSFTDTDTLVNSPKESGSTILNDEEMWLAFIMTKDACLTVLDGTTGLVVSNLSIPQKPDSNAISMYILDGGDIISAGSSETIETTSEPAHSSTEHGITPVEAKAEISAQLAHFGQRSNSLLILLCFEDALHLCSLKSVIQGTTDSIKAVNLLKPCCWTSVFKKDDKECGLVLLYRNGDLEIRSLKTLDVVGESSLMNILRWNFKTNMEKTICSSNRGQILLIHGYEFAAISILALENDFRIPDSLPCIHDTVLAAAFDATLGLSPSQNKSQDTSPGILGGIIKSLQGGKLDQNAHVEEACKNDLSHLERIFSYPPFLKPSVTSTDGQDFLDLNIDDIQIDEPLIISSSSGEIKIEKEKKTERERLFEGAATDAKPKLRTPDEIRVKYRGSEDAAAAAARAMDRLIERQEKLERINERSQELQNGAEDFASMAKELAKRMEKKKWWNL >OMO50079 pep supercontig:CCACVL1_1.0:contig16323:12071:13820:1 gene:CCACVL1_30645 transcript:OMO50079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSHKQQQLFPNLYGATAAPPPTPSTQPNHHLVTSATAADVLSKLLHRLPPTLSLPTRRSPASTTTTISFSAPNLNDLILSSASKLGFFQLTGHDISSQLANSAETESLSLFELSKDQKESCFPKNWPLGFDADEEEEDGDGKGESFCLDGSCSTESKDLPLSSLREFTRALEKLGLTIFDKVANAVGVENPIGEDPTRFCSYMWISEGLHGDNKPAGGSYPYVIGLQYQIRCQKYSLLADSGWVSVSPQVDSVMVTFGDIAHVWSNGKLKKVRGRPVACLGDGNNDSRGVTMSLLVTLPMDSMVAPFLPNVNSNENGDNNDNDETQACDNKGKKEESRLMFNSFSFEDYAWRVYHESLHFKDPLDRYRI >OMO50080 pep supercontig:CCACVL1_1.0:contig16323:17666:20241:1 gene:CCACVL1_30646 transcript:OMO50080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNEDVKSIAEFPFSRPKASEIQEEQAKQVINPVQESIKNLEGNSVSLSSRTPLGADEEDHSSKTKEISDENEVKSVVIEDDEQQQKIEEKIEMPVIEEDDEDVELPPEDCDLFTGEWVYDNETHPLYKEDECEFLTAQVTCMRNGRKDSMYQNWRWQPRDCNLPKYKPRLLLEKLRNKRLMFVGDSLNRNQWESMVCLVQSVVPPGRKSLNKTGSLSVFRIEDYNATVEFYWAPFLVQSNSDDPNMHSILNRIIMPESINKHGKNWKNVDYLVFNTYIWWMNTFTMKVLSLDWYNPDGIKCALETMPILNQSMYLNVGTDRRLYVIASNITSNMKVPVHFIDITSLSEYRKDAHTSVYTIRQGKMLTPEQKADPATYADCIHWCLPGLPDTWNEFLYTRIIARS >OMO50081 pep supercontig:CCACVL1_1.0:contig16323:21709:24365:-1 gene:CCACVL1_30647 transcript:OMO50081 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyrimidine monooxygenase RutA-like protein MEADGEFIFTWSCCGFLHRYRKQQLPQHEEDVLDDDEEEVLNDDEVEVANQNQHGQHDHWDLNEDTLLIQGFEAFFGEAQPWARMRDAFLPLRTNRSIRAQMQILIRCAVLYQLPPNLVNQIIDRIQQVLGGQ >OMO50083 pep supercontig:CCACVL1_1.0:contig16323:36131:51191:-1 gene:CCACVL1_30649 transcript:OMO50083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 1 MSFGVRQLAVLGEFKPFGLVAEALDGKPPDNTNDDYDYFLFDPENARQRDEDLDYDSSASALSDRCDHELFIRGNRIIWSAGSRVIKRFTLPSPVIMACWCRFGDISEALLCVLLKDSLTVYKISGEVVSIPLPCSIISIWSLPFGLLLQQVADGNSLTHGPFLNSSPSLGSRGVIRTRRESWNSPQHNSSFLTAYDNLIKGESSLMSSHLILKDLLEEPESIYIEERGKQNIMRDFDERTIWTSDRIPLMASYNKVKLQHSVWVAEVINSSLEVENASLSATVPAAVLPKRFCFRRIWQWKGAHIAASKVFLATDDDAAPVICFLLLEQKKLLSLRLQTVEINNEILFDVKPDMSWSIPAIVAAPVTVTRPSVKVGPLPYTDIVVLAPENILFLYSGKQCLCRYLLPPCLSKGHFSHNLGFSEAASVSHDLKIVGLADAVEARINVKVNNRQMFRCALRKSPSSSLANDCITAMAEGLSPRNYSLFLVHLWGDGDIRYLSEANSTVDSEWNSFCYIIMQICKKSSTASQKIPKSSWEFLCNSKFHENYCKNNSTIGLSSRIALDRPGLDSTRSYIDGTKSSEKSNYSDLLMESLDSLHAVYESLKMDNLRRRDLELLAILLCNTAEFLGEKCYLDHYVRDFPSLSKTIRLGTYSLSWKAPFSLFRWLENCLQQGCTPTNTNSLPLVICKDGSSVVSWARKIVSFYSLLCGAKLIGKKLSSGVSCNIASGSFSSNEELTVLAMVGEKFGLKELDSLPSGVSLPLRHALDKCRESPPADWPASAYVLLGREDLALSCLAHSCKFKELETQRNVNLISMSTPYILRLHPVTIPSTVSDTIGLESTRFEDTDSIDGSMADGMEYIFSCCTQLRYGRDLRLNEVRRLLCSAKPVAIQTSINPGASDQDLQQAFTVPKLVLAGRLPAQQNATVNLDPNVRNIQELKSWPEFHNSVAAGLRLAPLQGKVSRTWITYNRPEGSSVIHAGLLLALGLHGFLRVLTISDIYKYFQEEHESTTVGLMLGLAASYRGTMQPEIFKSLYVHLPAQHPSTYPELELPTLLQTAALMSVGLLFEGSAHPQTMQQLLSEIGRRSGGDNVLEREGYAVSAGFALGLVALGRGEDTMGFMDTLVDRLFHYIGGKEVRNERSLLVAPSMDEHNWGAGQMMDGSTVNVDVTAPGAIIALALMFLKSESEVIVSRITIPQTHFDLQYVRPDFIMLRVIARNLIMWGRIHPSKEWVEHQIPEVVKNGVKGLKDDSMDIDEMDAETFVQAYVNIVAGACISLGLRFAGSKDANAQELLYEYAVYFLNEIKPISTMSGSTFPNGLSQYVDRGTLEICLHLVVLSLSVVMAGSGHLQTFRLLRFLHSRSSVDGHGNYGIQMAVSLAIGFLFLGGGMRTFSTSNSSIAALLITLYPRLPTGPNDNRCHLQAFRHLYVLATEARWLQTVDVDTGLPVYAPLEVTIRESELYSETSFCEVTPCILPERSILKSVRVCGPRYWPQVIELVPKDEHWRSLANRIDPFNSGILYVKRKVGACSYVEDPIGCQSLLSRAMHKVFGLTSLKAGNPGNNSNNGPGSVAVDQLVSTFSSDPSLIAFAQLCCDLSWNSRSDVDFQEFCLQVLFECISKDRPALLQVYLSLYTTMVSLAEQVSSSSIDVSDSLAVSSLKLALSYNEAVLSGRLTTSSGGIVQSIFLGSLRKRVEELLNCSKVLKSDFYDYLKWGSWPSDGSIGVKNAVILSWYLQWFGVSAPPVTMTAVDKIKTMNISSSAVPLLCLLLPNTHINAIEEIDRLMLSSRVSR >OMO88396 pep supercontig:CCACVL1_1.0:contig09026:31381:32317:-1 gene:CCACVL1_08416 transcript:OMO88396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVEIDGLAIASRSSIINKGMPQVICFKKELED >OMO88393 pep supercontig:CCACVL1_1.0:contig09026:14605:16389:-1 gene:CCACVL1_08413 transcript:OMO88393 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein, Got1/SFT2-like protein MWKVKEYMSGGDEEERAESFLDEESEGICSLSTTQRMYAFAACLLSGLVLMFLALFVFAKPIKFALLFTLGNVLAVGSTAFLMGAEQQLRMMFDPVRVYATAIYIGFVVLALICALWIQSKILTLLAIICEICALIWYCLSYIPFARRIVSDLMIRFCDTEL >OMO88395 pep supercontig:CCACVL1_1.0:contig09026:23536:27563:-1 gene:CCACVL1_08415 transcript:OMO88395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCGISMTPSLESVELWHFHGNLSTKLIRPSCLPFNQKLPCSVRRQLQKVRCSSLRKPVSPVESDGNASTAKPISGEEEAGHVTRFKMSDFKVLDRVSIGLSGRADEVVFEAVAKDSSSPLYNSRVVLRRLISARAQRRGRRAIEVLKKLVHRRILYHSYSMQVYGYVSSQTSGGHSSFTLVHGYHGSFSLRHWLQQSDWLPTLEATLALDEESLRRVGDDSVGGPAVSRQMRLIRILMRDLLIGVNYLHSHGIAHTELRLENVHISPVDRHIKVGILGNAADFYEDGPTGSALEGNMDRRRMMIAFDMRCVGFMMAKMVLRELMDPLIFARFKSFLMKGNDASCLREFLLPILTRNSPTGNVGLQILDRNWGAGWNLLSLLLAVRPSKGISCLEALRHPFLCGPRWRVVPSMDIIRWGLGSTAVRITEEYIYRQPQRGRLAHFIELMEMLNPHSRPKNWLELLPGKWRLLYSTGRHIGLTLRQPPVRALIGDAYLTIAKASKLNTNLSVTSEIGFTVMMGQDWPHDKRGISGKLQVKSLSRLKAWRRLYLKDKATEGFYFSQSNPEDSLIEKLSSRKWRKAIPFKEFPSSLPVAKLHPDDIEVLMNLDAPLKQNVDVARNMVREIRTQIPPEMFELSNLVCGTYVDSRMLVLRGVNGSALLFTRSCADETCT >OMO88397 pep supercontig:CCACVL1_1.0:contig09026:35201:38126:-1 gene:CCACVL1_08417 transcript:OMO88397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIIPLYWKLGSVAIQENERDLYKRGSNCTISSVPYSE >OMO88394 pep supercontig:CCACVL1_1.0:contig09026:16896:21994:1 gene:CCACVL1_08414 transcript:OMO88394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLLEVTRAAHEDVERLERLIVKDLQNEPPTAKDRLYQSHRVRNNIDTIIATTEKLIEIYEDSDNARKDEIEALGGITASGINVFSAFYDRLKEIREYHRKHPAARVVDANEEYEALLKEEPVVEFSGEEALGRYLDLHELFNQYINSKFGAKIEYSAYLDVFSHPHNIPWKLKSTRQYREYMGNLLEYLIYFFQRTEPLQDLDRIFSKVEAEFEEQWAAGQVQGWEKKDEENGEDLAQHTVIDLDYYSTVEELMEVGPEKLKEALAALGLKTGGTVQQRAERLFLTKRTPLEKLDKKHFAKGSRGPGQNGSTAVPQDINSLKDVALMEAKMKKLCDLLSKTIEQTKENVVKKQALTYEEMEQEREEEETQVDTESDEEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITNIAEAQELWVKIQQRQGLNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >OMO67905 pep supercontig:CCACVL1_1.0:contig12353:40729:46077:1 gene:CCACVL1_20217 transcript:OMO67905 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G-like, type 3 MDSKSRKEKRKEARLGNNKQKHQSWLQHQESQRKRKLGDRKSNEGNGIKKKDSQTRFLEQNEIVQQTSEHSNKAKMVKGEKGFKRTSKTKFEEYLEMEMPNADMLAEQDRELERKLAKKLKVKGGKLGGDDDGLDMLFEDDKYALESWTEGFPDDEDLLDPSSKKNKKKKIVEQVSEDEIGDDSSFAALDLEEDARMSSEDIPAKAQARKRRRKRKSLQQKQEGDMAGETACSGSQPAEIHSEEVAVNAASAKAPEMDGNVKYVAPHLRSRARNESEEHTQIRRRVRGLLNRLSESNVESITAEIASIFRSTSRSVSSEIISQEVLVSCYNGPRGNEQYAAVFAAFVAGMACSVGMDFSAKLIASLAKTFEEEYLKEDNLSLRNLTLLLSYLCIFGVCSSDLIYDFLIMLSKRLTEIDVATILTILQCCGLKIRGDDPATMKNFILTVQNRVNELRASSGDGNAKINGKRMEFMLETICDIKNNKKKPKEDTVQHTRIKKWLQKLKAEDILLRGLKWSKLLDPEKKGQWWLSGDMISATDDVEEIANTIDKEAREAQKMLELAAAQRMNTDARRAIFCVIMSGEDYIDTFEKLLRLDLPGKQDRDIMRVLVECCLQEKVFNKYYTVLAAKLCEHEKNHKFTLQFCLWDHLKELDSVPLIRSMHLAKFIAEMVASFTLSLAVLKTVEWSDPKMLSAKRIMHFRMLFEAIFEYSDKLIWNMFTRIAVTPELETLRQGMEFFIREYVVKTNKKVMNKFKVAKKALNNTEGVLM >OMO67908 pep supercontig:CCACVL1_1.0:contig12353:62025:62246:-1 gene:CCACVL1_20220 transcript:OMO67908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESASSTTTSSALSSTASGSTTTSSPSLSTGTTNFNHPVPVPLDRNNFLLWRSQLLPVIRGHNLTGYIDGS >OMO67901 pep supercontig:CCACVL1_1.0:contig12353:3715:12219:1 gene:CCACVL1_20213 transcript:OMO67901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLHFLVQIELYEGRYDLEHHMVQQSTHKELAVTAIVYEYMVDLIPSSLRQPSRTRAVQVSGQSIDPTETDPKSVKSELVNRFRGLFGLSVSYSEAVVDAFEDDGGASDDDGSLRINKRSELSEWIEVAIDDLSSDIRLSNHSDIIGSLVHLTVYKLVFHPGGVLVDIHRSYVNGDTKVIEWYDPEKFCVSAMLSLAASIGVALLCKYLEEGEERLVNVYVEHGDSAITGEIPLPAGFNEPIPMVGDGDEAGCEEAVVYEAAGVGDENDAAGGGESEEDEGRIGNRIKRRKRLPYLLIWIRKVMEEKIQSLLVHGINMGVSALQPSSSQPNPSAAKKQGKKLGTKLAGKESQLTIGIPVDRPPQNITSVTELREQARRQVARVRQAATNEAPTQESRQTC >OMO67902 pep supercontig:CCACVL1_1.0:contig12353:19034:19452:-1 gene:CCACVL1_20214 transcript:OMO67902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFECGSECCKRASGQWATSSYKTSRAPSTTKEQATARRATPEESRAVLSDFWSAYQNWARLRRKNLNN >OMO67909 pep supercontig:CCACVL1_1.0:contig12353:69527:69586:1 gene:CCACVL1_20221 transcript:OMO67909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEMDAFMKATAVAGRPA >OMO67907 pep supercontig:CCACVL1_1.0:contig12353:51823:52848:1 gene:CCACVL1_20219 transcript:OMO67907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLTKFVFPPSLFVTATSLVSFSSLAIGGLSEIRGKHMQYSKFVGVNNNPVSESKKKLISSRTGMVLLYTPAFLAGLSSFAIFPDEGFRFFLLQSAITIHFLKRVLESAFVHKYSGEMALEATITILLSYFISSVIMLISQHLTMGFPEPPIDLKNPGILLFLIGIIGDFYHHILLSKLRSGKNAKEYKIPKGGLFDVVICPHYLFE >OMO67903 pep supercontig:CCACVL1_1.0:contig12353:30131:32032:1 gene:CCACVL1_20215 transcript:OMO67903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGRGSSFSGRSPSQNVSSQRLHSHNQDWRSRFFSVHVNFLSLNTTISELWKRFSAFGRVADVFLSNRGRQEYVGNYTFAFVRFRFEAEMNNAIIRGDGTFNDGRRIRVSRALLHQAGSDNKKGFAERGTSTLNFDANMSNKAQNKANPKKSGDNQGLTENRPDVFTLFPKIPKEDMTWIERSVVGFLSSFISHQSVQSNCDSSGISCYVRPLGGCSVLLTFESKDVMEFYLKEHESWFSTWFSSINFVTVDDSTHRKCRFDLAGVLVSVKRHAKIPSKIVVSHNGGRFEIPISTEPAQNFISLKSDRKISVAGHESSSSESWSPSDELISNQGDDVDSRMNDAVVDYEEGGIGNSLLNEVEAHDGLMSRERDDHKEGVVEELVSEPINFGDNGPLDKGAEVDNLVVNELEHSDGLSHVPESCLGDSRIGPQEDFHSGSDNVNANGDLVLLKGPSVGVQVTEREGSPLHSSKAKGSFNSVKDCGRRVRNMEDIEEEIEEDWFSSLLEKAKKRSKKLDKKKSKKSKSKMSKQQLGEVANGCLSDGDFENRNRILMKEARATWEVGKMLGISFDCDESLILEKFKSMEEDDIAT >OMO67904 pep supercontig:CCACVL1_1.0:contig12353:33416:38902:-1 gene:CCACVL1_20216 transcript:OMO67904 gene_biotype:protein_coding transcript_biotype:protein_coding description:cc-nbs-lrr resistance protein MVKKEARKNNLEENSQTDIPVLFDEKVLTFPNLEELRLFSNELLKEIWHGQVPAEYFRKLKVLELRGFPKQSAILPSCLLRSLKNLEKLVLGDASFEKIFQYEELNGDTDEEKRSQMFHCLADLTLFKLPELIHLWEEGYQPGSMFQNLRTLRVMECENLKNLVPPSVSFQNLMTLEVSKCHGFIHLVTPSTAKSLTQLTRMRITDCKMIENIVACVGDEMKDGAVFTQLKYLNLKCLPNLECFCLGSYPLVFPSLEELIVIQCPNMKIFSEGELTAPKLQNVQVTEDKGEGHAEGSNNTTLQQLFKEQGVGLVFAVLCVITSQYACSGDSHKAGFSESEAGALLGCGNDLNDQQTRLSSCQCCSNSNEALAVIDAYPANPEISTSFGFWDISPCLLKFKYLQCLDSSLNSLIELDYFEPKIMLNVRDYSRNGRDSFEQMAELGQQDEVNNMNMGGSCMHKF >OMO67906 pep supercontig:CCACVL1_1.0:contig12353:46417:50521:-1 gene:CCACVL1_20218 transcript:OMO67906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein MYKTKLQEFCQKKAWQLPEYNVKKEGEDHNPHFQATVIVNGIPFHSQNPARSSKQAQNDAAQLAFLHLASPPPPPPPTTTVLASASPGSSYAIADSGSSTANRTLHPERQEANQNSHVNETAYICKDNAKSNDIRHMYKTLLQNFAQKRNLMLPSYSCEFEGPPHATRFKCKVTINGQTYETLEFFPTIKEAEHAAAKIALSALSPGSFQEEDISFYKNLLQELIQKEGCPLPVYTTTRSGEAHNPTFVSVVEVKGEVFRGQEAKTKKLAEVLAAKVAYMKLNDCKSDRGLTVMTPAYQERKVPVLSSPHSPSNVNADNQQNVSPKAGTILNPSSSSGKDQHEDIDGPFSDFPQPEMTTDWPTSSTSSNLSEPPAPEDNLSTLNLPSNHSATSNLDSDSVTKRPLPMSNLSCNRVVVRPRVENMEVPAGSTVDPRSDDTWFAVKFDSQPDR >OMO56301 pep supercontig:CCACVL1_1.0:contig14543:1751:4853:1 gene:CCACVL1_26645 transcript:OMO56301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGFLIRPSQPSAPFLKHTRPTHSCKKHFCLRACAGNLEDEERRMIISKENDGWKIDFSGKKPATPLLDTINYPIHMKNLSTKDLEQLAAELRADVVHTVSETGGHLSSSLGVVELTIALHHVFDTPEDKIIWDVGHQAYPHKILTGRRSKMHTIRKTSGLAGFPKRDESVYDAFGVGHSSTSISAGLGMAVGRDLLGKKNNVVSVIGDGAMTAGLAYEAMNNAGFLDANLIVILNDNKQVSLPTATLDGPAKPVGALSRTLTNIQASANFRKLRETAKGLTKQIGGQAHELAAKVDEYARGMISASGSTLFEELGLYYIGPVDGHNIEDLVTIFEKVKAMPAPGPVLIHILTEKGKGYPPAEAAADKMHGVVKFDTKTGKQFKSKSSTLSYTQYFAESLIQEAEADDKIVAIHAAMGGGTGLNFFQKRFPHRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKIPVRFAMDRAGLVGADGPTHCGAFDITYMACLPNMVVMAPADEAELMHMVATAAAIDDRPSCFRFPRGNGTGVALPPNNKGTPLEIGRGRIITEGNQVAILGYGSIVQQCIEAANMLRSQDIYVTVADARFCKPLDTDLIKQLAKEHEVLITVEEGSIGGFGSHVSHFLSLTGILDGPLKLRAMVLPDRYIDHGSPQDQIEEAGLSSRHICATVLSMLGRPKEEALQFM >OMO56302 pep supercontig:CCACVL1_1.0:contig14543:8223:12490:-1 gene:CCACVL1_26646 transcript:OMO56302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQFHRRKGRQGPPVTIVPLPGQVSFRIICHVSSIGGFIGNSGAVVSQLRRETNCRIHCEEPVRGSDHRVILIVGSGSVERRFSLGDGVECEVSCAQEAMVRVFERVWEVEADREWGQACDGEEEEAYCGMLADTTQIGAVVGRQGKTIVRMRTETGAKIRILPPPQCGTKNDELIQITGGTLAVKKALVAVSACLQSCPPLDREPTPMSIPTERPSRGVSPDPHKEFFPHLSSLLPPMPANSASAASSAQFSTMDAEGDSNLDSSGTQKEVVFRMLCSNSSAGAIIGKKGAIVRAFQNQTGASIMFATPVTGSGERVVTISALENIESWHSPAQNAVVLVFARSVEADIEKGLPGVSKGDAVTVRLLVASDQVSCLNGKEGRVLAEIIEVTGADVRILDGDLESHYSPENVVEITGEFQSVQNAIFQVTSKLRDYLLPPEGLREIRERNCYGTRETGPSLANQPTSSSSDTDQGSNLAKRMQNAIFQVTSKLKDYLLPPEGLREIRERNCYGTRETGPSLAHQPKSSSSDTDQGSNLAKRMHPSHSENTTIPRSLNLQPKQTAGNGHSVATQDIDRGLTTFGGSLDHESGKKSAVVKNTTVEIVVPEDVFGSIYGKNGCNLARLKEISGAKVEVHDPSPGETEGIVLISGSPDQTLVAQSLLQAFVQAGQ >OMO94987 pep supercontig:CCACVL1_1.0:contig07758:3566:3931:-1 gene:CCACVL1_05655 transcript:OMO94987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMKQLFSKVLSPTDIEHRLAVPSEILWAFELDEVDRAADFEVKDIAGKKWQFRCSTRKKDFHPKPVVSKGWRRFVKDKRLQVGDKVVLYKDDVEGDSSFRIKTMKKVFKLFGEDVWLES >OMO94989 pep supercontig:CCACVL1_1.0:contig07758:11202:14703:-1 gene:CCACVL1_05657 transcript:OMO94989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLWRSPAKLVVAGAVLCTGGVAAGIATSNDPATSLKICTTVPLRLLHDSITAASIVYDYKYSLWGLPEGSKERDEVKHEVHLRSARKLQELCFRNGGVYIKLGQIIGQLDYLIPQEYVQTMRESMLNRCPVSSYDQVCEVFRKELGQTPDKVFREFDPKPIASASLAQVHVARTHDGQKVAVKVQHTHMTDTAAADQATVELIVNTLQWLFPSFDYRWLVAEIQESLPKELDFLIEAKNSVKCLENFRRLSPHIAEYVYAPKVHWSLSTSRLLTMEFIDGAQVNDVNTIQKLGMHPNEVARLVSQTFAEMMFKHGFVHCDPHAANLLVRPTPGKRSIFGKKKPQLILLDHGLYKELDFSTRFSYASLWRALVFADHNGIKENSVKLGAGEDLYVLFAGVVTMRPWDRVIDPAVDHLVLEGTESDRSELQMYASQYFPQISELLRRLPSVILLMLKTNDCLRAVNNSLFRDSSLETFIIIGKVSSKAVVEAKMMHKNSLLHRLNIWLEEVVLEARLLAMQMAMWLLQVRKAVTWT >OMO94986 pep supercontig:CCACVL1_1.0:contig07758:527:1552:1 gene:CCACVL1_05654 transcript:OMO94986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKSLEIFRKMVRPTEITNHRLVVPTEAMWAFLLKVDSNKLDIQALDVNGKTWNFRYITRRPGSHHPQPYFSRGWMKFVRDNSLQAGDTIIFKIRPLNDPIFFIEVAVKKT >OMO94988 pep supercontig:CCACVL1_1.0:contig07758:5747:9016:-1 gene:CCACVL1_05656 transcript:OMO94988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MVGPPRPQFVLFGSSIVQQSFSNGGWGAILADVYARKADILVRGYFGWNSRRALEVLDHVFPKDAAVQPSLIITYFGGNDSMGPHPSGLGPHVPLPEYIENMRKIVIHLKSLSDSTRLIFLTCPPVDEDRVNKNTSAYFSHLVRTNELCQKYAEACVQLCQELDVKVVNLVTAFQQRDNWTTDCFTDGIHLSAEGSKIVVAEILKVLKEAEWQPSLHWKAMPTEFPEDSPYDLVAADGKTTLNGCDWTFHRAIRWD >OMO94992 pep supercontig:CCACVL1_1.0:contig07758:30987:32455:1 gene:CCACVL1_05660 transcript:OMO94992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALFICSLALAIAHIIVAYRISCRERRKLLVYKIDIEAISACKNGFPRYQKILQEERVK >OMO94991 pep supercontig:CCACVL1_1.0:contig07758:21043:23307:-1 gene:CCACVL1_05659 transcript:OMO94991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongator complex protein 4 MAAAKTRTTSFSRNISTAAPSLGPGLKCGPNGTVFVSSGIPDLDKILGGGFPLGSLVIVMEDPEAPHHMLLLRNFMAQGLVHNQPLLYASPNRDPRGFLGTLPSPAASKDDKSRQRDPDQEKGLRIAWQYKKYFGENQLDGQRDGKHEYSNEFDLRKPLERHFLSAQRVECVSFQDCPNLSAIQDHCATFLSQFPRNDGNISCAGRIAIQSICAPQCEYSNMEWDMLSFIRSLKSMVRSSNAVAIVTFPPSLLSPSFCKRWQHMSDTLLSVKAIPDEDKELAQLLTGYQDMVGFLNVHKVARINTQVPLILEATTFSIKLQKRRFLILECLNQAPVDGSSGASYGTSGSCSGSSKTGNLDF >OMO94990 pep supercontig:CCACVL1_1.0:contig07758:16384:19941:-1 gene:CCACVL1_05658 transcript:OMO94990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSLGAKLVLAGAALSTGGVAAAIATSDDPATSLKVCTTVPLRLLRDSKTAASIIFDYEYSLWGLPEGSKERDKVKHEVHLRSARKLQELCFRNGGVYIKLGQHIGQLEYLIPQEYVQTMRESMLNRCPVSSYDQVCEVFKKELGKTPDEVFKEFDPKPIASASLAQVHVARTHDGQKVAVKVQHTHMTDTAAADQATAELIVNTLHWLFPSVDYRWLVAEIRESLPKELDFLMEAKNSVKCLENFWRMSPHIAQYVYAPKVHWSLSTSKLLTMEFMDGAHVNDVTTIRKLGMQPSEVARLVSQTFAEMMFKHGFVHCDPHAANLLVRPLPSGKTSIFGKKKPQLILLDHGLYKELDFSTRFSYASLWRALVFADPNGIKENSVKLGAGEDLYVLFAGILTMRPWNRVIDTAVDHLVINGTESDRSEIQMYASQYFPEISELLRRLPRVILLMLKTNDCLRAVNNSLLQGSSLETFMIIGKVSSEAVVEAKMMQQKNSILRWLNIWLEEMVLEARLLVMHMALWLLQVRKAVTGT >OMO55242 pep supercontig:CCACVL1_1.0:contig14757:30381:30500:-1 gene:CCACVL1_27337 transcript:OMO55242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVALIISFNKEAKSTIPGGFQFQARTTLSLLVAGGCN >OMO55241 pep supercontig:CCACVL1_1.0:contig14757:26026:26229:-1 gene:CCACVL1_27336 transcript:OMO55241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIMIMMMIIYQVLEAISFRRPTQETINVNMHVTAEELKIREELAMQIEKQLERESWTVYWFWFVD >OMO91462 pep supercontig:CCACVL1_1.0:contig08340:379:540:1 gene:CCACVL1_07109 transcript:OMO91462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEMKTKFDKYWSSYSTLLAFAIILDPRYKLQFLKFCFQKVHGDSEGSIMYYE >OMO86603 pep supercontig:CCACVL1_1.0:contig09452:12716:12811:-1 gene:CCACVL1_09547 transcript:OMO86603 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA binding protein MEELIISPSSSSSLVSFTQETTPSTLQQRLQF >OMO86604 pep supercontig:CCACVL1_1.0:contig09452:50599:55499:1 gene:CCACVL1_09548 transcript:OMO86604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-hairpin glycosidase-like protein MDGTKEMGGLKNVSSTCSISEMDDYDLSRLLDKPRLNIERQRSFDERSLSELSIGLTRGGHDLFETTYSPGGRSGFDTPASSARNSFEPHPMVAEAWEALRRSLVHFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKNFLLKTLQLQGWEKRIDRFKLGEGAMPASFKVLHDPVRKTDTIIADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILELCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRSALPMLKHDAEGKDCIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSMAIMDLIEARWDELVGEMPLKITYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAEARLLKDGWPEYYDGKLGRFIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMKPLIKRSSSWTC >OMO97014 pep supercontig:CCACVL1_1.0:contig07326:7652:8110:1 gene:CCACVL1_04709 transcript:OMO97014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFYKKVSDNVNSLGTFGNMMLQMLPADLAFTIFVPSETAFERDLRLRANDSLVGEKMSDTYAVISRILGFSAVPRVLDSAMVGAGEELSYDALSGFTLFISKDVRGRLVVNGVKSDKVDIRRGKLLVHIMDGVIMDAEFEKSVQPDFDEDD >OMO97015 pep supercontig:CCACVL1_1.0:contig07326:9196:18403:-1 gene:CCACVL1_04710 transcript:OMO97015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Villin headpiece MAVSMRDLDSAFQGAGQKAGIEIWRIENFRPVPVPKSSYGKFFTGDSYVILKTTASKSGALRHDIHYWLGKDTTQDEAGAAAVKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKHVEEQEYKTRLFVCRGKHVVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSCIQERAKALEVVQYIKDTYHDGKCEVAAIEDGKLMADAETGEFWGLFGGFAPLPRKTATDEDKTVDSSATKLLSVEKGKAEPVEADSLTRELLETNKCYILDCGLEVFVWMGRTTSLDDRKSASGAAEELIRDSNRPKSHIIRVIEGFETVMFRSKFESWPQVTNVTVSEDGRGKVAALLQRQGVNVKGLLKAAPVKEEPQPYIDCTGNLQVWCVNGQEKVLLPAADQSKFYSGDCYIFQYSYPGEDKEEHLIGTWFGKQSVEEERVSAVSLASKMIESMKFLAAQACIHEGSEPIQFFTIFQSFIVFKGGLSDGYKNYIAEKEIPDETYSEDGVALFRVQGSGPDNMQAIQVEAVASSLNSSYCYILHSGSTVFTWAGNLTSPDDHELVERQLDIIKPNLQSKSHKEGSESEQFWELVGGKSEYPSQKISRVPEGDPHLFTCTFSKVSLQVKEIYNFTQDDLMTEDIFILDCHSDIFVWVGQQVDTKNKLQALAIGQKFLEHDFLLENLSREAPIFIVMEGSEPPFFTRFFSWDSAKSTMHGNSFQRKLTIVKNGGTPVMDKPKRRAPVSYGGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAATFENPNARNLSTPPPMVRKLYPKSVTPDSGKLQSKSAAIAALTASFEQPPPARETIIPRSVKVSPPTPITPPEPNSKENSIGSRLGSLTIEEDVKEGEAEDEEGLPIYPYERLQTTSEDPVSEIDVTKRETYLSAAEFKEKFGMAKDAFYKLPKWKQNKLKMALHLF >OMO91595 pep supercontig:CCACVL1_1.0:contig08322:728:8999:1 gene:CCACVL1_07069 transcript:OMO91595 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MVNGTDQPERRSVRRRLVQSTLFPHKSPEIEPKVEQKDKEGEDDNNDGEDEEFCGSQGKKRGRKRKAKVTPPNRASKKAKEKSPKKTTPKKNGMNNLVEIEDSSPPPIPNLRLEAKLTAEENSRIFAGRQIHPFFASLKAGKKSQETAEVGRNGSLIERSNKCINIGPIHVFERNQDDVVLDWKDWTFVDKTSVEAGCDLEGSVFENCIGALCLENFLGALHSSHTSVVQNKLSDECITQDNDLIGTSTAIPAVLVDEQLQSYQRLKSSEGDCQLDEVTVLSKQADNAETSELEMQTRLCQESSVPFHHGCSLEPDNSLWTDKYQPKKATEVCGNTESVKFMSEWLHQWREGTFQAVKASNNIDNGNMQEDDYICSESDFDSENFNGEDSLKKVLLVTGPIGSGKSAAISACAKEQGFIILESNASDCRNGAVVKEKYGEALVGKALESLYGTRSQQNPIDSLGKHVMKSEFGDEVIEFISISDEEDSFGAHGASGKHVCIDKETGSSQVKKLLLFEDVDIIFPEDRGFIAAIQQIVEKAKGPVILTSNSNDLVLPANLDRLELRFTMPSPEELLHHLHMVCAAEKATTQPHLLEQLIKSCQGDIRKTIMHLQFWCQREKIQKDRKLQKAYGLLLFDIEVGHSILPTIIPWGFPSQLSELIEKEIANTLSMMEENLTLMAEELDNHSMPNGLEMHNNEIHCIEAKKELMLSRNPSIRDCNEFMNPSNTAHDFYNSSGTPVSFSRRTRRKKLDVISDSEDEHFDKQPSLVSEFKNVNRELFTEDCGLLCHYPNTQNCTRPLTNKQPCCEAEKYEDRGFQCSETANNLETETCKSLDVSCVPESSFVPETEIFDGMELSSRTLTSVHVDETTEVSVSCGFAEDLFPVEENDLGKFTHEFVTTPELLGSSCNVTGEAYHEVVLENSPNNYEEVERRGLAVMDECSRIDFNKRSISMVKFKNQVATDLVQKSWKKLRHSCADLKHYVDSEPKDAHKVLKLTSRMSDLISHADQLLSNCQMQDSSELFPSENFNAFSWCDDQWQMVDTVSQHGFCFYAKEIDAIGSKMGLHQRMNLSQEMLASSTSTMALGRLLEQDGRASRTSVDGKGFDMSPSKCELAAKRDVKSCIFDIIGPMVPSRSYLALKGAAFHEYISSLRCISKSETSRLSVKRRRGRVARHYLSTGELMSPKEISLLDHYNFIGKLLSNS >OMO67149 pep supercontig:CCACVL1_1.0:contig12466:44100:44904:-1 gene:CCACVL1_20760 transcript:OMO67149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKRPRPMIGKLSELLVSGNKPTAVVMDVVTSPRSPLDLKSPSPRCLKRSYDVGGVGLGIVAALEKSGGDSYRHPVVVNSGRNCDRIRGKYEDLEMESFTYVTSHKPGKSSCTRVYYDGGSNKEHDRLIKETKIPIQPATATAAEARFVVEDDDVPSYPTSDFLSSCHLCRKKLHGKDIYMYRGEKAFCSSECRSTQIMMDERKEQKCRSEASRSAKVSTSPYNSGQIFSTGILAI >OMO67144 pep supercontig:CCACVL1_1.0:contig12466:18247:19723:-1 gene:CCACVL1_20755 transcript:OMO67144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein, plant MAFSIASTALSSLPIRDKPQKSLPGKITTTFLPGRTHLQATKGVSSVCEPLPPDRPLWFPGSTPPEWLDGSLPGDFGFDPLGLGSDPETLKWFAQAELMHARWAMLAVAGILIPECLEKLGFIENFSWYDAGAREYFSDPTTLFVVQMALMGWVEGRRWADMINPGCVDIQLKFPNKKNPIPDVGYPGGLWFDPFMWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFCFQAIYTGEGPLENLMAHIADPGHCNVFSAFTTH >OMO67154 pep supercontig:CCACVL1_1.0:contig12466:75864:82574:-1 gene:CCACVL1_20765 transcript:OMO67154 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MKAPPNGFLANSGEGERKSINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKEADFIPSYPNLPSKLICMLHNVTLHADPETDEVYAQMTLQPVNKYDKEALLASDMGLKQNRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDYSMQPPAQELVARDLHDNSWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKSQLLLGIRRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIFYNPRASPSEFVIPLAKYNKAMYTQVSLGMRFRMMFETEESGVRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTAGERPSRVSIWEVEPVVTPFYICPPPFFRPRFPKQPGMPDDESDVENAFKRAMPWLGDDFGMKDAPSSIFPGLSLVQWMSMQQNNQFPAAQSGFFPSMVSSNPLHNNLSTDDPSKLLNFQASALPASNMQFNKTNPNQINQLPQAPMTWPQQQQLQQLLQSPLNQHQQQQSQQQLQRQQSQQPQQPQQPQQQPQHLLHQQQPQPQQQQQQQQQQQQQQHQQQQQQQQRQQPQPQQQLQQAFLPAQVNNGIIAPNQMPNQNLHQPAVYSQLQQQQLLTSNGQSTQAILAANKASHPLTSLPQDTQIQQQIEQQPNLVHRQQQQTQMQQSPLQLLQQSLSQRTQQQPQIQQLSQQGLSEQQLQLQLLQKLQQQQQLQQQQQQQQQQSSQQLLSPAGSLLQPPMVQQQQAHQQSQQLQQLPLSQSQPQPLGSNGLSTSTFMQPQQVSVNQPQSQNKPLMPMRTHSGLTDGDAPSCSTSPSTNNCQVSPSNFLNRSQQTPSILVTDPVVEASNPMAQELQSKPDIRIKHELPSSRGPDQSSKYRSTVTDQLEASSSGTSYCLDAGTIQHNFSLPTFLEGDVQSNPRNNLPFTANIDGLAPDTLLSRGFDSQKDLQNLLSNYGGTPRDIDTELSTAISSQSFGVPNIPFKTGCSNDVSINETGVLNGGLWANQTQRMRTYTKVQKRGSVGRSIDVTRYKGYDELRHDLARMFGIEGQLEDPQSSDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGNVAVPNQACSGTDSGNAWRGHYDDTSAASFNR >OMO67156 pep supercontig:CCACVL1_1.0:contig12466:96096:96170:-1 gene:CCACVL1_20767 transcript:OMO67156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGRKWGWPMAMGPRAKARRLAT >OMO67139 pep supercontig:CCACVL1_1.0:contig12466:5893:7320:-1 gene:CCACVL1_20750 transcript:OMO67139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASEIAAKLNLVAHPEGGFFAETFRDNSVLLSKSQLPPQYKVDRPVSTCIYFLLPSGSVSHLHRIPCAETWHFYLGEPLTVVELDEKDGKLKLTCLGPDLVNNQQVQYTVPPNVWFGSFPTKDFQFSADGGVTKAESRDSEKHYSLVGCTCAPAFQFQDFELAKHSELVKLFPNHEQLISLLAYPY >OMO67142 pep supercontig:CCACVL1_1.0:contig12466:12274:14233:-1 gene:CCACVL1_20753 transcript:OMO67142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis protein COQ9 MYRTAAKRLFHGASIIKRSGGGRLLRLPSPRNVVSSSRFSTTIDPQSFPNQTLNPSPSESSSGSSSTSSSTSSTAGDGPRHERKNPRAGYEEEQARVLQASLRHVMRVGWSEEAMIAGAKEVGVSPSIVGSFPRKEAALVEFFMDDCLQKLIDRIDSGEDLQNLIPSQRIAKLIRIRLEMQAPYISKWPQALSIQAHPLNVPTSFKQRAMLVDEIWHAAGDEAADIDWYVKRTVLGGIYSTTEIYMLTDSSPEFRDTWLFLDDRVKDAFDLKKTIQEAKYLAEAVGAGMGTSLQGFVSKVLQR >OMO67146 pep supercontig:CCACVL1_1.0:contig12466:30261:32480:-1 gene:CCACVL1_20757 transcript:OMO67146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPWRIGATNQNGVQAITASG >OMO67152 pep supercontig:CCACVL1_1.0:contig12466:66633:67238:-1 gene:CCACVL1_20763 transcript:OMO67152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQISEKPAAERSDLKFKGVRKRKWGKWVSEIRLPNSRERIWLGSYDSAEKAARAFDAALYCLRGRSAKFNFPDNPPDIAGGRSLAPAEIQAAAARFANSDQPPRSQSDQSTSGFQIESPSPSISDVTVQLDTSDQLPMDGSFLDLLTMDSGNYASDYGIFPGFDDLSSDFLGSSSLPNVGIEEDNFDGILVPDSFLWNF >OMO67151 pep supercontig:CCACVL1_1.0:contig12466:62458:62913:-1 gene:CCACVL1_20762 transcript:OMO67151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPKRDRDDGVKNNGNGRYKGVRMRKWGKWVAEVRQPNSRGRIWLGSYKTAEEAARAYDAAVFCLRGSSGKLNFPDNPPDIADASELTPAQIQEAAFRHASSAITEEAEVKQEMAAAAAVGKSYADCYFGTSGDGSNTRAYYHSPGVWTF >OMO67141 pep supercontig:CCACVL1_1.0:contig12466:11285:11734:1 gene:CCACVL1_20752 transcript:OMO67141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRRMAVSLVVLAMVAVAQGRESFIQPDGIGDLGHCAKRCGTKCALKLSPKRIAACFDAEGVEEMVGPCYAKCNQNNNV >OMO67153 pep supercontig:CCACVL1_1.0:contig12466:73359:74848:1 gene:CCACVL1_20764 transcript:OMO67153 gene_biotype:protein_coding transcript_biotype:protein_coding description:GroES-like protein MATSQLTASSMTVSARNLASFEGLRPSTVKFSSFGTLKPGSLAHRSFKSLVVKAAAVVAPKYTSVKPLGDRVLVKINEMEEMTKGGILLPTTAQTKPQSGEVVAVGEGKTIGNSKLESSVKTGAQIIYSKYAGTEVEFNGSAHLILKEDDIVGILETNDIKDLKPLNDRVFIKVAEAEEMTAGGLLLTEASKQKPSIGTVIAVGPGTSDEQGNRKPLSVAPGNTVLYSKYAGNDFKDTDGSNYIALRASEVMAVLS >OMO67147 pep supercontig:CCACVL1_1.0:contig12466:33617:35011:1 gene:CCACVL1_20758 transcript:OMO67147 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tify MSCSPEFVGQKQARSPEKPSFTQTCNLLSQYLKEKGSFGDLTLGMTCSGDANGPPEMLRPTMNFFPVNDVCGRNIAAPPRNLKSMDLFPQQAGFSSPASKDDGLKRVDSCVSSLNKSAAVEPQSAQMTIFYNGQVIVFNDFPADKAKEIMLLASKGNPQNNSFNPNPAKTNAPFTPSIPRSPIESGVSVPPPVVPNFINNPTQESIQPAQRPIPGGGNPPTPLRSTNCKESLTPQVPREEKG >OMO67140 pep supercontig:CCACVL1_1.0:contig12466:9660:9761:1 gene:CCACVL1_20751 transcript:OMO67140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGRRMAVSLVAVLAMVVVAQGPRQRIRVDRA >OMO67150 pep supercontig:CCACVL1_1.0:contig12466:54319:61419:1 gene:CCACVL1_20761 transcript:OMO67150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKDNKAEDEPSSLKWVWRPENIDSTVVQGKGDFSVNGIVDQKAMANDQSDYLWLMTRILINFLISLLGSQWAGYPSNSDKFDQRFVFETNVKLKEGKNLITLLSATVGFKNYGGKYDLIEAGVLAPIELVAHKGENTTVKDLSNQKWSYKIGLEGVENKIYDTECPSNVKWSSDNLPTNRNMTWSSDNLPTNRNMTWYKTTFKAPLGDKPVVVDLLGLGKGHAWVNNHSLGRYWPSYIVNETLCQTEVCDYRGTYSDSKCRSGCGQPTQRRYHVPRSFIKDGENTLVLFEEFGGDPSRVKFETVEVGSACVNAHVGKTVELSCYDRPISRIAFASFGNPQGECGSFAKGEAESSADVAKIIEKECVGKEACNFEVSERKFGKAYCNVKKLAVEAMTMYANNATGEKFCFLSNVNGQDDTTFDLQQDGQFFVPAWSVTILQNCNKEIYNSAKINSQISVMVKKPEEQPPKLTWMWAPEAMRDTLKGQGKFKASLLLDQKEATFDVSDYLWYMTSVDINDTIWQSWKTATLLVSTNGHALHAFVNSKLIGSQFSRQASGKQMVKGDDYSFVFEKPVSLNLGTNIISLLSATVGLTNYGEWYDLKPTGLVGGSVQLVNGNNTIDLTTSNWSYKVGLNNELNKRLYDPQSSQAKLFVADKVPIGRPLTWYKTSFQAPLGSEPVVVDLQGMGKGHAWVNGNSIGRYWPSQIADADGCNTCDYRGGYSDSRCRSNCGNPSQRWYHVPRSFLNNGTNTLILFEEIGGNMTDISFQIVTVGTVCGSAYEGSTLELACQGGRTIAEIQSATFGDPQAKCGPFKSGSSSVQNKSNSLAPACAGKTSCSIDVSTATFGSSSSGSGATRKLVVQAVCS >OMO67143 pep supercontig:CCACVL1_1.0:contig12466:14952:17574:-1 gene:CCACVL1_20754 transcript:OMO67143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHVLMLSNPKLTKKKTEHTPKRIILREPLHHLSGQRLVSTRSFTISGQLWPLLANNNLEGEIPESYSGFNSLTFENNVGLCGPPLPTVCGDVPSATDTPPGQGGKSSKSKIAIIGIVTLIILLFFALVSIISTKKKKEDEFSMLSKEPLREEVVEVHVPESTRRSSKPASSEPTSSRKGGDSKRGSQHGSKGGMTDLIMINDEKEPFGLQDLMKAAAEVLGNGGLGSAYKAVMANGLGVVVKRMREMNKLGKDGFDAEMKRDYAFQPLTNPANVAQGLFAYKSPEYIQYQQVSPKSDVYCLGIIILEIITGKFPSQYLSNGKGGTDIVQWVQSSISENQVEELIDPEILNDTGSINQMVQLLQIGAECTESNPDQRLQMKEAIRRIEEVQ >OMO67145 pep supercontig:CCACVL1_1.0:contig12466:20755:25255:1 gene:CCACVL1_20756 transcript:OMO67145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MVETLPVGRLQIQQQRLELKRWVPAFLSSHKTLFTVLWIAAFGSVFLWQRNIVGAGFSIFGNVGPGRPMPKMRPFAFNLTDFGAVGDGVTVNTAAFEKAILAISKHAKRGGGQLNVPPGKWLTAPFNLTSHMTLFLAEDAEILAIEDEKQWPLLPPLPSYGYGREHIGPRYGSFIHGQNLKDVVITGHNGTINGQGKSWWKKYRQKLLNNTRGPLVQIMWSSDIVITNITLRDSPFWTFHPYDCKNVTVRNVTILAPIFEAPNTDGIDPDSCEDMVIEDCYISVGDDAIAIKSGWDQYGIAYGRPSKNILIRNLIVRSMVSAGVSIGSEMSGGVFNVTVENLLVWSSRRAVRIKTAAGRGGYVRHITYRNLTFDNARVGIVIKTDYNEHPDDNFDRKALPILEDISFIGVHGQGVRVPVRIHGSEDIPVRNVTFRDMSVGITYKKKHIFQCAFVQGRVIGTIFPYPCENLDRYDENERLVKRSASQNITDIDYDI >OMO67148 pep supercontig:CCACVL1_1.0:contig12466:39309:43132:1 gene:CCACVL1_20759 transcript:OMO67148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQRLKQQQQAMMQQQALYQHPALLTAHQIEPILSGNLPPGFDSATCRSVYVGNIHPQVTEPLLQEVFLSTGPIEGCKLIKKDKSSYGFVDYYDRRAAALAIVTLNGRHLFGQPIKVNWAYASSQREDTSGHHNIFVGDLSPEVTDATLFACFSVYSTCSDARVMWDQKTGRSRGFGFVSFRSQQDAQSAINDLNGKWLGSRQIRCNWAAKGAVGNEDKPSSDAKSVVELTNVASEEGQEMTNEDAPENNPQYTTVYVGNLAPEVTSVDLHRLFHALGAGTIEDVRVQRDKGFGFVRYSSHAEAALAIQMGNARILCGKPIKCSWGSKPTPPGTSSAPLPPPAAAQMPGFTAADLAAYERQMAFAKYGGAQAMGMIPLQGQHALKQAAMGMGAAGASQAIYDGGYQNVATTQQLMYYQ >OMO67155 pep supercontig:CCACVL1_1.0:contig12466:89769:89834:-1 gene:CCACVL1_20766 transcript:OMO67155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGIFRLHVHAKNYNMGSIS >OMP12089 pep supercontig:CCACVL1_1.0:contig00441:141:251:1 gene:CCACVL1_00130 transcript:OMP12089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CKYRPMSHLVLFVKLDQECWMKKKVAHFLQEIKEFL >OMP12090 pep supercontig:CCACVL1_1.0:contig00441:2013:7067:-1 gene:CCACVL1_00131 transcript:OMP12090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGSACKEVVESHSPVPDYDISTAKSESNTTVMKSPVSFVGKHGIQSNNGVYELLECPVCTNLMYPPIHQCPNGHTLCSNCKIRVHNCCPTCRYDLGNIRCLALEKVAESLELPCKYQSLGCHDIFPYYGKLKHEQHCRFRPYNCPYAGSECSVTGDIPTLVAHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDNEAKKFSYSLEVGANGRKLIWQGIPRSIRDSHRKVRDSQDGLIIQRNLALYFSGGDRQELKLRFHLSSSFLSVNSSAFFLFIITLPPLVDQNQRISGGSERGEPRSSNMVKSGTPQKVLPIEIPAIPLDELNRMTGNFGTKALIGEGSYGRVFYAKLSEGQPAAIKKLDNTQEQDSDFGAQLSVVSRLKHEHFVELVGYCLEANNRILVYEFATMGSLHDVLHGRKGVQGAEPGPVLNWNQRVKIAYGAAKGLEYLHEKVQPSIVHRDVRSSNVLLFDDFMSKVADFNLTNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAIAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNAKPIGPDTPA >OMO62076 pep supercontig:CCACVL1_1.0:contig13360:5235:5418:-1 gene:CCACVL1_23043 transcript:OMO62076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDGFFFVLIRYQGFALTNPDRTRVVHLVMVGESSNRGSC >OMO62077 pep supercontig:CCACVL1_1.0:contig13360:17068:23859:-1 gene:CCACVL1_23044 transcript:OMO62077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRAQIGIRHDHGAISGGRDLGG >OMO97411 pep supercontig:CCACVL1_1.0:contig07244:56253:56615:-1 gene:CCACVL1_04576 transcript:OMO97411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTIALFLAFNLVLCTFVTSQIPPIPFSPPILNSPGQLCAIIPAYQSLTSDDDGHRNIGCCNFLDTLDSGSQLNGVLCEIIQYVKTTFGEGTVTNATAAAATLGQFCRLTTTNLTCT >OMO97403 pep supercontig:CCACVL1_1.0:contig07244:4102:4666:1 gene:CCACVL1_04568 transcript:OMO97403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYNATLLLERENENTNRLVSVCINLHEACPVTVAIPYNSDSFDITEEYSDVDDFEDDIHSLDGHQEDEEDDMDDGWSDEEEIDDDLQKRIEDFIAKVNNGWREERLKEKDYEQLWIDY >OMO97410 pep supercontig:CCACVL1_1.0:contig07244:51171:54073:1 gene:CCACVL1_04575 transcript:OMO97410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSPSTKATAVQAGRIRVLKEGSQPLGKGPVVYWMFRDQRVKDNWALIHAVDQANKANVPVAVAFNLFDQFLGAKARQLGFMLKGLRQLQTSIEDNLQIPFCLFQGEAEETIPKFLEECGASLLVTDFSPLRQIRKCKDEICKRVSDSVTIHEVDAHNIVPMWVASDKLEYSARTIRGKIIKLLPEYLIDFPMLQPPNKKWDARNQVIDWDSLIADVSRKGAEVPEIEWCEPGETAAMEVLMGNKDGFLTKRLKNYSTDRNIPLKPKALSCLSPYLHFGQISAQRCALEARGFRKLYPQAVDTYLEELIVRRELSDNFCYYQPHYDSLQGAWEWARKTLMDHASDKREHIYTMEQLEKAQTADPLWNASQLEMVHYGKMHGFMRMYWAKKILEWTKGPKEAIEICIYLNDKYELDGRDPSGYVGCMWSICGVHDQGWRERPIFGKIRYMNYAGCKRKFDVDGYIAYVKRLVGEIKKRKAESQLSQKTKQLRK >OMO97408 pep supercontig:CCACVL1_1.0:contig07244:26589:29558:1 gene:CCACVL1_04573 transcript:OMO97408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MLPFDTIEEAATFLGRNLTVAETLWFNYSAKKSDYFLFCHNILFLFLIFTLVPLPLVFVEMMRSLGFEKYKIQPKVSLSLSEMFKCYKDVMQMFILVVGPLQLVSYPSVKMIGVRTGLPLPSVWEILAQLAVYFLIEDYTNYWIHRFLHGKWGYEKIHKVHHEYAAPIGFAAPYAHWAEVLILGIPSFLGPAIVPGHMITFWLWIALRQIEAIETHSGYDFPWTPTKYIPFYGGADYHDYHHYVGEQSQSNFASVFTYCDYIYGTDKGYRYHKKVLKNLREKSRTDGAQNGGSYYVPTQDLKSE >OMO97402 pep supercontig:CCACVL1_1.0:contig07244:230:2499:1 gene:CCACVL1_04567 transcript:OMO97402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRFEILSLSGSFMPSDSGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLAGNQHEQKPKKQKHDSISAAAPMAAIPVSSADPKSNLSASSFRGDSWTSLPDSRNKPTDINFTVKAGLIFIAFQIIITILHESSSLPSDAYEQCLVEEKEESSYESVDIKVNGVSGDEMKEKVKQEKKCGINGGSNEEDEDFEDLERRIEEFIARSYRKWKEELVEENYNTNFLRIGNEGSYCEAWQIRKEKPRNISRPKNIHNIIKKQRLQLRRMIENMQIKAKTIKIRSYSIKHHKYPRSY >OMO97404 pep supercontig:CCACVL1_1.0:contig07244:5041:7318:-1 gene:CCACVL1_04569 transcript:OMO97404 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MATSLNANLPQPSLSCRTMLYSGLKLQSPGLFATARPNLSADFFGRVNKSLQCGTRNGKATRSQVRMMPIGTPRVPYKVPGEGTWQWLDIWNALYRERIIFLGQNIDEEFSNQILATMLYLDSIDGNKMMCFYITCLGGDLNPSLAIYDTVKSLKSAVGTNCLGYAYDLAGFLLAAGEKGHRCAMPLSTIALQAPAGSARGQADAIRNEANELLRIRDYLFGELAKNTGQPVEKINQDLGRSGKRFDAQKALEYGLIDRIVKPQRIKADAPRKDA >OMO97407 pep supercontig:CCACVL1_1.0:contig07244:18799:19888:-1 gene:CCACVL1_04572 transcript:OMO97407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENSLRRRLTKGRRPRLPFPSPTPRLNRRSAKRSSNIKHDRILKRCASEPCLWTTSVAAGEDHQQRSASFLGSEGEAAVLFRPQTCIDGFASSPSLLGFGSPSSSSSPKQGFEGYNKDARVIINVSVEGSPGPVRTLVKLGSSVEQTIRLVVDKYVEEGRTPKLDRNSELELQHSYFSLESLDKSMLIGDAGSRSFYLRKNSSNNGASNSFVSEIGPAVPPPTYLLPAFIARKLCKIVRRTRRLWKVLICFR >OMO97405 pep supercontig:CCACVL1_1.0:contig07244:7870:13238:-1 gene:CCACVL1_04570 transcript:OMO97405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cornichon MALLCAPYAYYNVRLYTRKQHLVDVTEIFNLLNWEKKQRLFKLGYLVVLLFFSIFCDIPMAQFIINLNASMPASQKFIIHVLDTTHFFVQPHVAEMIRSAISTFREQNSYEKPA >OMO97409 pep supercontig:CCACVL1_1.0:contig07244:37010:39349:1 gene:CCACVL1_04574 transcript:OMO97409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTNTTTTPVDSVSADELTAKSVHKRFCGEFAYSPGAVTAGGGSLVPQHQQHLVLSGGKEDLGALAMLEDSVKKLKSPKTSPGPTLSKSQIECAVDILADWVYECCGSVSFSGLDHPKFRAFLNQVGLPPVSRRELAGSRLDVKYEEVKAESEARIRDAMFFQVASDGWKVKSFASGDDSLGINSLIKDFSKELPLFKSVTNNALKLANFINNTTQIRICFQKYQLQEFGSTGLLRVPLRDHESLSFGPVYTMIEDILNSARVLQLVLLDETFKMVSMEDPVAREVAEMIGDMGFWNDLEAAHALVKSIKEMAQEIEAERPLVGQCLPLWDDLRAKVKDWCSKYHIAEDPVEKVIERRFKKNYHPAWAAAYILDPLYLIRDASGKYLPPFKYLTLEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMRERDPVTGKMRIANPQSSRLVWETYLTEFKSLGKVAVRLIFLHATSCGFKCSWSLLRWIGAHGHSRVAMDRAQKLIFIAAHSKLERRDFSSDEEKDAELFALANGEDDVLNDVLVETSSV >OMO97406 pep supercontig:CCACVL1_1.0:contig07244:16149:16574:-1 gene:CCACVL1_04571 transcript:OMO97406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRSPSNRRLSSIRVFSTFERSHTFPSHHDPDLVGRRGGLDEVPAGRLTSSLSARFPPENEGPHQQRLTKLLLKVNIEKSLGPVHVVMPSDNTVNDLIKAAIEIYVKEKRRPLLEEIDPKFFQLHYSAFSLESKVHFLYL >OMO62896 pep supercontig:CCACVL1_1.0:contig13123:16749:16913:-1 gene:CCACVL1_22582 transcript:OMO62896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNIFLMPAHQPKPRIAWMRPRVVHQEREVKEIEAQAREARVGSDRALKQPWK >OMO81993 pep supercontig:CCACVL1_1.0:contig10080:10206:11618:-1 gene:CCACVL1_12119 transcript:OMO81993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLEMPLHPQQSQNPQTAAQNPHHLHQNHPPQMVAYSSHHETEHSQHQPQSVKQGFTFAASKTKQLSPLSDEDEHGFTPDDNSADAKRKISPWQRMKWTDSMVRLLIMAVYYIGDEAGTEVNDPTGKKKAGGLLQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNDILGRGTACRVVENQSLLDTMDLSPKMKEEVRKLLNSKHLFFREMCAYHNSCGHGTTAGAGGANHSPEVATETSQIQHQQAQHQQQCLHSSDNAQIAGNSGRIEAEGSKLGKVGSDDEDDDEDDDSDDDEDEDDEEGMDGHTRGQNGHGHGHEDDEDDEKSSRKRPRKGGLGMASSPLMQQLNSEVVNVIQDGSKTAWEKKQWMKMRLLQLEEQQVSYQYQAFELEKQRLKWVKFSSKKERDMERAKLENERRRLENERMVLLVRQKELELVDQHHHQAQQHSSNKRGDPSSITG >OMO81992 pep supercontig:CCACVL1_1.0:contig10080:7848:7958:-1 gene:CCACVL1_12117 transcript:OMO81992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSSRKRNPFCIAGAGGVDCAILRGIGFWDSVRSV >OMO81991 pep supercontig:CCACVL1_1.0:contig10080:6212:7446:1 gene:CCACVL1_12116 transcript:OMO81991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCIALPYIDMKVVENKRSLLSLPPLISQNTPWLILSHGDQGEKQTFYSISEDQYYTRMIPEMENKIILPSSSSLEWMVLMDYDDGKNDYILFNVGTLEKIQLPFDMDDPYAVLMTATPPNGHIVFMKAKGNHEECIFQFCCPVFRLDSSVMAWVEVEDMGEYAIFIDNQFCGICCLAADDSGIRTNSIYHIPERDGDEYMYVFDLKEGSTTTYLPCGTLSRQFQSSKWMMLPTIKQLEDLKA >OMP03837 pep supercontig:CCACVL1_1.0:contig05942:1301:1363:1 gene:CCACVL1_02248 transcript:OMP03837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDKSIKLPVDCFQRILTRTK >OMO76594 pep supercontig:CCACVL1_1.0:contig10889:645:2069:-1 gene:CCACVL1_15551 transcript:OMO76594 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 2 MEEASEAKRQKTENMEEASEANQEKTENIEEASEAKWQKTENMEEASEANREKTENTEEASEAKRQKTENMEDASEAKWQKSENMGSYPLHLGTRQELEATSTQKQIEGDAGTIQLTPVRKGKIDNMDVFRRNCPSFLRPFAPELEITSTQKYLEQAGGIIRFSPVCRGYILLCLLFIDEEFETAQWYTIQFCVGKDNSEHPLGNIKEISNPFMHLKALPIATQICSVFDIKGNPCCFFPKKVSLISDKGPLTYIPPTLSRRVNPQSGVLGGKIFLMGGSYLHNTEEKWGEFFDLNTMQWKDMPSPPVIRDDDYLFTAPIDEEKKILVGASGQTEILSFNVDEESWELYDIIDNNAQRPITGENVVSCGVLYWLDDSHSIRVVVFEPFIRINDCGTPYLDVILKGQEDYELKLGPNVAMDLQQ >OMO61939 pep supercontig:CCACVL1_1.0:contig13390:22584:30700:-1 gene:CCACVL1_23139 transcript:OMO61939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase PRMT5 MPLGERVEGKGESRYCGVETEFDDDVCRLLSFNLSSAGFDFVVAPLMDPSYRPSLVQVQNDTSPVLPVAGSDLVLSPSQWSSHVVGKISPWIDLDSEDEILRMDSETTLKQEIAWASHLSLQACLLPSPKGASCANYARCVNQILQGLSNMQLWLRIPLLKSDDDSPDVDSDRLMDSWELWNSFRLICEHHSQLSLALDILSTLPSANSIERWFGEPVRAAILCTDAFLTNTRGYPCLSKRHQKLITGFFNYSVQVVISGKPLHEIHGATTGSAVNHIDENTNSMQRHPLRSYLEYVGYLYQRMEPLSEQERIELGYRDFLQAPLQPLMDNLEAQTYETFEKDSVKYIQYQRAICKALLDRVPDADISAVTTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVVTLHSLVKLEGWENVVSIVSCDMRLWNAPEKADILVSELLGSFGDNELSPECLDGAQRFLKEDGISIPSSYTSFIQPITASKLYNDVKSHKDLVHFETAYVVKLHSVAKLAPSKPVFTFNHPDYSTKKSNQRYTKLQFAIPQDTGSAMVHGFAGYFDATLYKDVHLGIEPSTATPNMFSWEYVLPCGKLQPLASENSGVILDS >OMO61936 pep supercontig:CCACVL1_1.0:contig13390:992:9449:-1 gene:CCACVL1_23136 transcript:OMO61936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MWEYHHSPGTNNCKAKNQVDLLLLLLLVTSVPEEILACTSNSPSPSGSVNDKMSRPITRSKNKRPRQVESDDTTSEILRKIHATSEITDDDLNQLYKITKPVCQGCRMNSKDNPNCFCGLIPPPNGSRKTGLWQKMSDILQALGPDPCNDLRASAESPAGLTNLGATCYANSILQCLYMNKSFRQGVFSVEPDVLKQHPVLDQLAQLFAKLHASKMAFIDSAPFIRTLELDNGVQQDSHEFLTLLFSLLERCLSHSKVPNARTIVQDLFRGSVSHVTTCSKCHKDSEASSKMEDFYELELNVKGLKILDESLDDYLSVEELHGDNQYFCESCNTRVDASRSIKLRTLPDVLNFQLKRYDFLQKTTTKKKISSVFSFPGELDMRGRLSEPSQVELIYDLSAVLIHRGAAANSGHYIAHIKDENTGQWWEFDDEHVSNLGDHPFGEGSSTSSAKSCVGVDGTANGNHLDPSQPQHAESSIRSRVEIFSSTDAYMLMYNLRCNKKNGVRGCMMHDSSSMEFEGDALQDGISLPPHLWEEIKILNSSFVDACEKFKLKKERELEHIMARRQEVRSVLSEAPVHTIGEPFYWIPTDWLRQWADNIIPPVLNNTSIQCIHGKFPVSNVGFVKRLSAEAWMKLFSKYNGGPALTNSDDCIECLLDLARTVVCADSYRDRRKMMKEIADNVLSGKCIDGTYYVSKAWLQQWVKRKNLDAPSEADAGPTMSIRCPHGYLMPEQAAGAKRLLVPEKLWLFFYEDAITIKPDDSLTCSTFPSDSEECPECSNALSEVACLEDSKRAVKLKQRQNHEKLAMGKSIPLSLNCRYYLVPSSWISKWRSYIASGKNVSPVEPEILDGIIDLLKCDKHSCLLERPPRVVYKRGSYVQKGHTTDRLTIITENDWKCFCEEWGGTEEKGISAVIEFRNADNLSGCNGDMPICEPQLDLPNEVNNEFESREPLIRTSPEVCEECIGERESCELMEKLNYADEDIYVYLVRGKEAPKSILQASETSEPDRRTSKRSRRTNDGNLVNLKVSASTSVYQLKMMIWESLGVVKENQILHKGSQIIDQETATLADLNIFPGDRLWVKDSEIHEDRDIADEISEQKLNLETTEEGFRGTLLTANISSQVL >OMO61937 pep supercontig:CCACVL1_1.0:contig13390:12393:16515:1 gene:CCACVL1_23137 transcript:OMO61937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEKGRAKMKTKWEDDNNVDRETNLKAKNVDNQPSAPSEGPLVPPYNVDESDEWWTLSQVEQENCPYLETINRKVLHFDSEKYCSVSFSKINVYACLVCGKYYQGKLINTHAYSHKPGKIYCLPDGYEINDPSLDDIRQRLNPRFAISHLIVIDSTCPFLSLFILHPPISSLCPRTTGYPGYNKTKGSNKGLKLSAWKQGTLTQAGREILIKAVTSAIPLYTMQVFKLPKKLCEEINSAVASFWWGQQSDEGRIHWISWKNLAEPKLRGGKVELKNGVDIQELQNLPQKVQDLMDKQEGRWKLEDVQQAVTEETILAIENMPICEEGGPDRLVWPASKNGEYTVKTGYYLLKAQEERQETNQPFSSHHISDQIWKAIWPWKVPRKIRYFCWKLCRGALATQFTLWRRKIACTPICPLCHSEMETPEHVVLLCKWVNLVWFGTDLGHIVDKNSISSVDKWLENSVYNAADNGIQRERVEIIVGYTLWNIWKERCSTVFEKREPCPEIVIRRIRNGVTESQGILDSIQKATAINKKEPQQWERPEDNWCKANCDAAFCKESGYAGIGIIIRNSDAEVVGGLAERHKVCSSLIAEGIAVKNGLQLAKQMRIPKIVVETNSQVLVQSVERSDDGHWEIDNIVHDIRSLMKYFEDCRIRWIARSANKAADWLSQQLKKGMRISNWVNVPPSTFVHVLSRDGLPAPPL >OMO61938 pep supercontig:CCACVL1_1.0:contig13390:18003:19413:1 gene:CCACVL1_23138 transcript:OMO61938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMMMASSSGWALSSSSSFRSRSRSRSRRKPSQTQSKAKNFAIVASKSNGKRANLSASRIQRVELPVYDNTKYHISQFLSHPSGIQAILNTSALQNFQFLDTNTYRCTLPKLTLLNFEATPVLDLRVIPTLEDCTVELFSCKFMGSKVLEQQNDHFSATMINHITWDTNISEPILEVDVKLNLSLEVVIFFLDRS >OMP12339 pep supercontig:CCACVL1_1.0:contig00126:9:1427:1 gene:CCACVL1_00032 transcript:OMP12339 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase PRMT5 MPRLSIFLSSLSFPLFSFQEPRNPRLLCSFSAETLVFFFFFIVRHPYPPLAGFSRIPLLKSYDDSPDVDSDRLVSELSNLCFSSPLVMFYPSPQMSTLPSANSIERWFGVPVRAAILCTDPLMDNLEAQTYETFEKDSVKYIQYQRAICKALLDRVPDADISAVTTVLMVVGAGRGPLVRASLQAYFRFF >OMO61373 pep supercontig:CCACVL1_1.0:contig13507:10179:17623:-1 gene:CCACVL1_23572 transcript:OMO61373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane bound O-acyl transferase, MBOAT MTINSESRVKQNQSKVELLLLLLYAIGFYTFVIRRSLHLARDHVSKLYGLGGGWLFPPRLNDVSDPQWRTLRANLPILTLVFGAFTMLATISRHSFHLRARGMSILWLFLSLIYLTYLHGACIIFIISIATANFLLVKTFAKAKYFPLVLWIFNLFFLIFNRVYEGYSFSNFGQRWAYLDNFRGTFRWHICFNFVVLRMISFGYDYHWAHEDSRFDQEKHIQRCRVCKSGKVCYQILQERNVTNDFTFTTYLCYLVYAPLYIAGPIISFNAFASQLDAPQNNYSIKEVTWYALRWVFGLSLMELMTHLLYYNAFAVSGSWKLLSTMDIFIVGYGVINFMWLKFFLIWRYFRFWSLIAGIEAPENMPKCVNNCHNLESFWKSWHASFNKWIVRYMYILLGGSQRKLLNIWVIFTFVAIWHDLEWKLLSWAWLICILFVPELLVKSAANAFEAEGGIIFRELSAVAGAFTITFLLGANLVGYVIGPSNFYWLISQFLSKEGLPVLGFMLFTFYVGTKLMFHISEAKQRMQ >OMO61372 pep supercontig:CCACVL1_1.0:contig13507:4173:8042:1 gene:CCACVL1_23571 transcript:OMO61372 gene_biotype:protein_coding transcript_biotype:protein_coding description:XPG/Rad2 endonuclease MGIKGLTKLLADNAPKVMKEQKFESYFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGEVTSHLQGMFNRTIRLLEAGIKPVYVFDGQPPDLKKQELAKRYSKRVDATEDLQQAMEAGNKEDIEKFSKRTVKVTKQHNEDCKRLLRLMGVPVIEAPSEAEAQCAALCKSDKVYAVASEDMDSLTFGAPRFLRHLMDPSSRKVPVMEFEVAKVLEELNLTMDQFIDLCILSGCDYCESIRGIGGQTALKLIRQHGSIEEILQNINKERYSIPDDWPYQEARRLFKEPLVCTDDEQLDVKWSAPDDEGLIAFLVNENGFNSDRVTKAIEKIKAAKNKSSQGRLESFFKPVGNTSIPIKRKGTKCMLQFPKPMLKSKIFSPQGYNSSRPIGFGSMPTPGRDIKHSTTFSRGVCFGT >OMP07274 pep supercontig:CCACVL1_1.0:contig04556:808:894:1 gene:CCACVL1_01339 transcript:OMP07274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDELPELNPEEYEADRYPSPGRTRLRP >OMP03097 pep supercontig:CCACVL1_1.0:contig06152:5707:7447:1 gene:CCACVL1_02568 transcript:OMP03097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKMGLKKGPWTPEEDQILINYINQFGHGNWRALPKQAGLLRCGKSCRLRWTNYLRPDIKRGNFTQEEEDTIINLHEMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLKQYNNGGSTSNENNPKKRQKTATTVAEAAAAKGNDSSKDKNKNNIKKEQDPVNCPNSPAVSSPQESLSSEVSTVTTTSENHSSSNNSNMMSTKMETQIEDEEFSEIDENFWSEVLSADNSSEFQVVASSDQLQAQTDHDYFPSSPLAAATLEPVNNDFGSNILFDNDDTNMDFWYNLFTRAGDLPELPQL >OMP07777 pep supercontig:CCACVL1_1.0:contig04201:170:880:-1 gene:CCACVL1_01227 transcript:OMP07777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S2 MARRYWNINLEEMLEAGVHFGHGTRKWNPRMAPYISAKRKGIHITNLTRTARFLSEACDLVFDAASKRKQFLIVGTKKKAADSVARAAIRARCHYVNKKWLGGMLTNWPTTETRLHKFRDLRTEQKMGRLNRLPKRDAAMLKRQLSRLQTYLGGIKYMTGLPDIVIIVDQQEEYTALRECITLGIPTICLIDTNCDPDLADISIPANDDAIASIRLILNKLVVAICEGRSGYIRNL >OMO98931 pep supercontig:CCACVL1_1.0:contig06980:18282:18350:-1 gene:CCACVL1_03996 transcript:OMO98931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGNLADVACHVSIITASSGT >OMO98930 pep supercontig:CCACVL1_1.0:contig06980:7561:7668:-1 gene:CCACVL1_03995 transcript:OMO98930 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG3-like isoform 2 MEVERNIDLVYGGGSIGLMGLVSQAVYDGGRHVLG >OMO98932 pep supercontig:CCACVL1_1.0:contig06980:21140:23914:-1 gene:CCACVL1_03997 transcript:OMO98932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWHGAASPGQPLLSSTAPPTSPDSPKSAPFLLAFTDINHQTIKSLPKART >OMO58881 pep supercontig:CCACVL1_1.0:contig14097:56252:64115:1 gene:CCACVL1_25289 transcript:OMO58881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEFGPVGKVKTAIARGKGGISESMRNTFLVVTVLIITATYTASLQPPKKDKDKEPESARRQK >OMO58877 pep supercontig:CCACVL1_1.0:contig14097:16425:18938:-1 gene:CCACVL1_25285 transcript:OMO58877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSGVLFLIIFPFAFFLHPIFCSAVSPVDVFLIDCGGSNPVKLDDSRVFRTDSSFSNVGFSHSSHIVVSRDDNSSALYNSARVFVKAFTYTIRTKQIGRHWLRLHFFPVQNSKYDLKSAVFSVVANGITLLHQFSFSKLNQNSPLMKEYVIEVGVLGSGSKKLELAFSPSNGSLAFINGIEVLAVPNMYFPSGIVPVPLGPELEISKNVAFETSYRINTGGPLLTPRNDSMWRIWEPDQPYLVNAASAHNVMVNPNLIKYPEGISAQIAPNWVYATAQEMAEANVTNQRFNISWVFEVEEGFSYFIRMHFCDIVSIALNSLVFNVFINKQSALSSFDISSKTLALSSAYYVDFLTNVSMGSNQILVQIGPPDVRNFPSNAVLNGLEIMKMSSPCKTLDGNACVAYSKKSKIPRKKLIVLALSSAAVFVVLMAIVAIFFLYFRQPKKPKRCPSTWFQFPTNVGNSDSKVSICSFASTAQSHALGRVLSFSEIREATKNFDESLVIGVGGFGKVYKGMLENGVMVAVKRGNPGSRQGLTEFRTEILMLSKLRHRHLVSLIGYCEEQNEMILVYEFMAGGPLRKHLYGSNFPPLSWKQRLEICIGAAKGLHYLHTGASDTIIHRDVKTTNILLDENFTAKVADFGLSKLGPTLDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEILCARPAINPALPREQVNIAEWGMHWQKRGLLEKIIDPHLVGFINLDSLRKFGETAEKCLGEYGAERPTMGDVLWNLEYALQLQEASIQNANSSDNSANYIPEIPGWISRVESINADRFDINDEASDAATTTSDVFSQLMDPKGR >OMO58876 pep supercontig:CCACVL1_1.0:contig14097:10546:12044:-1 gene:CCACVL1_25284 transcript:OMO58876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MPINRIAIGTPGEASQPDAIKAAFAEFFSMLIFVFAGEGSGMAFNKLTNNGSSTPAGLVAASLAHAFALFVAVSVGANISGGHVNPAVTFGLFLGGNITLLRGILYWIAQLLGSVVACFLLKFATGGLETSAFSLSSDVSGLNAFVFEIVMTFGLVYTVYATAVDPKKGNLGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWANHWVYWAGPLVGAAIAALVYDNIFIGNASHEPLSTGDF >OMO58879 pep supercontig:CCACVL1_1.0:contig14097:23293:30866:-1 gene:CCACVL1_25287 transcript:OMO58879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDTTAEAAAAAAAAQVEAEEEMEEAEEIEPPPAAVPFSRLFACADRLDWALMIVGSLAAAAHGTALVVYLHYFAKIVQVLGIPVPPPEQGHNMDAQVERFKELASTIVFIAVGVFAAGWIEVSCWILTGERQTAVIRSRYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLIIGFVNCWEIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTNHKAHGGEIITALFAVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERTVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLALDGLYAELLKCEEAAKLPRRMPVRSYKETSTFQIEKDSSSANSFQESSSPKLMKSPSLQRVPGVFRPQDGAFNSQESPKAHSPPPEKMVENGLAADAGDKEPSIRRQDSFEMRLPELPKLDVHSTQRQKSNGSDPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDVPIKLKEAKDTHHKDAPSFWRLAQLSFAEWLYAVLGSIGAAIFGSFNPLLAYVISLIVTTYYKHEQHHHLRDEVNKWCLIIACMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEDNSADTLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVAILIGMLLHWRLALVALATLPVLTISAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLKKILKQSFFHGMAIGFAFGFSQFLLFACNALLLWYTALSVKNGYMDLPTALKEYMVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIEPDDNSALKPPNVYGSIELKNVDFCYPTRPEMLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLKVYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDTLVAKNGFTHLTHLKCQKYHHPPTTAGFAESLG >OMO58878 pep supercontig:CCACVL1_1.0:contig14097:21003:22445:1 gene:CCACVL1_25286 transcript:OMO58878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbP, oxygen evolving complex MAMSSLSLNWVPPTFPKKSNVPQSNELARPNSLSLQNNSATCPKETISNEENNCKRRQLLLGVGALTANLLPAASLLAEEIPPNYSSFVDIPDGYSYYYPSDWREFDFRGHDSAFKDRFLQLQNVRVRFIPTDKKDIHDMGPINEVVYNLVNHYYAAPNQFVDILDMQERTTDGKNYYTFEYALASQNFASTSFTTIAIGNGRFYTLVVAALERRWKRVRNKLKVVADSFRVLDI >OMO58880 pep supercontig:CCACVL1_1.0:contig14097:36385:39625:1 gene:CCACVL1_25288 transcript:OMO58880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II PsbP, oxygen evolving complex MAALQNSVPSLHHTLFLNSFPQKGLQKHNHGAFSCCKRGVSVHVRAEQSTSASDSSSSAHFQDSCRRRQLIAAGITAPFISIVDQTPFAFAAETKKGFLSVTDKKDGYSFLYPFGWQEVVIEGQDKVFKDVIEPLESVSVNVIPTSKQDIRDFGPPQEVAETLIKKVLAPSNQKTKLIGAKEHEADGKTYYTFEFVAQAPTYIRHALSAVCIGNGKFYTLTTGANERRWGKMKDRLLTVVDSFQIFNV >OMO58409 pep supercontig:CCACVL1_1.0:contig14235:21706:22575:1 gene:CCACVL1_25460 transcript:OMO58409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFDSPSIIRFLEPLTSDVFTARFTNCHFDETVFPSLGTPKSSTDDKRKKAEIFSWNEKSLSHLDPRAPECENEVRRLIHVQAIANKMPNAFNETARVKKSHILAVNVPARIEVPVELTKMDRSGPKDTVPRKRRGRNPESAPDEPIDLSRGKEIPPEVLQNLIQREHIALEVAQTYEKITNPGNTEISMNYCNEIWDRNEIIINDAFALSVAHEIKNDDYEPRSITECRQRQYWPKWEEAIRIELTLQREVFGPITKTPDNIKPVGFNGSLSGNEMRRMRSICPEMV >OMO58408 pep supercontig:CCACVL1_1.0:contig14235:18737:19168:-1 gene:CCACVL1_25459 transcript:OMO58408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDINQPNALPYDRYGDAPKAYHGRNDAPRTFYGLEDKHGELGKDDQGLQGSVDKLKGEDGITIHQEDAIKMPFDPLKMPLGPMTRARAKRFKDALMGLVRTHLEDLKTIEVQLKSFGDDLGKKLQINYKFITLLAIDSRWSD >OMO87954 pep supercontig:CCACVL1_1.0:contig09149:32241:34553:1 gene:CCACVL1_08646 transcript:OMO87954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MSEKDTIEARERRIRAIQKEILSIRQRYHEEWFDYWDRFNWACKFFVDHGLPEGQLIKSFIVGLSQSDRTLIDAINKRSLELESPEDARRLLSTLAEGEFQRKRQRYVRKQLELCKQLPDKEAHHFWDRLNQIWCQPPTHGLHEKELLNRFYSGMQNNGQRQIDDACGDIPPWMKGGFFSKGCAKKRKLVKQILIKSKKFSTKVTQWHQAPEIETTLSKDKPVHSKTSEIDGFHDVAEVPTGIEPQESSETINDPHELDLQDSSQSLPKEGDDEPYEVCVPTLPKEVPKVVPEKSEAPKEDIKMDILSPSPTSLAKQEVEPPEDEPPEDEPPEDEQLGYPKLKELSVLNFVDNQPLKHEDRNFLGINKLLKSYYIEEINMDQFFLEDKKICQHEDTIQRRDENNANEECRKHPLHQPLTATKMALSFLAIHGVALNKIARAPSQASTVPLARSCQVATEPPVPHTRPCPANVVAARAMPGFIRSNRTPCPVSAGHSYFLPSHHRTKSGHVCALSSYIRCTSAPSQVRTDQYLAKDAQCRVISGYHRAITSHIRATTGMHTSANAAK >OMO87953 pep supercontig:CCACVL1_1.0:contig09149:28999:29457:1 gene:CCACVL1_08645 transcript:OMO87953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASASETVVSHIASATSSFDAWEKLNKLYANKSRSRVMALRDKLTIPRGNKSISEYFQLLRGISDELALINTIIPEDELVILALNGAGPEYKELAAGIRARESYISFEELIEKFLDYEEHLQKQQSTADLSIPTANYANKPFARNRSYQGQ >OMO87955 pep supercontig:CCACVL1_1.0:contig09149:38304:40379:1 gene:CCACVL1_08647 transcript:OMO87955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MEPKSRPCVFLGYSKNQSVYLCLDLVSQKIFVSRHVMFDETKFPFEHVTADLTQTESNSTHRPTATASECPNSSSMSTAPPSTTDTLELTCATHAIKDTNWKKAMMEELKALRRNNTWELVHEPSDRTVVGCKWVFRVKRNADGSVASLVVKPVTIRLVLSIAIANGWSFKQMDVNNAFLQGDLTDEVYMKQPPVLYDKKNPEMVCKLKKAIYGLKQAPRAWYCALSNFLISFGFKNSIADTSLFIYQTGSILAYLLVYVDDLILTGNDGNFLQRFSTTLANKFSIKDLVQLHCVLGIEVLHTPKGLFLSQSKYIADILNKANMVGAKECTNPLSVASPLKLHDGTVNKLSQFMHKPSTLHLQALKIVLRYLKGTIQHGFLLSSAATTSLKMYTDSDWASDADDRRSTSAYIVYHGSNPISWCFKKQKTVARSSNEAEYRAIALGVSELTWIQSLLNELQVKVPKAPTIFCDNLSATYTCANPVFHTRMKHLALDYFFVREKVSAGVLNIKHIPTQEELADALTKPLSTEISEVDFQDWCLR >OMO87951 pep supercontig:CCACVL1_1.0:contig09149:146:3893:-1 gene:CCACVL1_08642 transcript:OMO87951 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant regulator RWP-RK MEYPFSSKEKGNGYWVPPRAQMEVGEQLGGNTRNSISEDPFNFSELMNFDTYAGWCNSPVATDQMFASFGLSSFPSLPYASFDSLNNTGQSSGTFFEAGDASNVMDSSYNCVDRAVFPQTGAHTGNPLATADTDELGLRQTNGCSRQNNTSELAYSLVSRPIGLSLDEKMLRALSLFKDSSGGGILAQVWVPVKHGDQYMLTTSDQPYLLDEMLSGYREVSRTYSFSAELKPGSIPGLPGRVFTSRVPEWTSNVIHYSKGEYLRVGHALNHKVRGSIALPVFQPPEMSCCAVLELVTMKEKPNFDSEMENVCIALQAVNLRTTAPPRLLPQCLSRNQRAALAEITDVLRAVCHAHRLPLALTWIPCNYAEEAIDEIIKVRVREGNKGRDGKCVVCIEDTACYVNDREMQDFVHACAEHYLEEGQGIAGKALQSNHPFFSADVKTYDISDYPLVHHARKFNLNAAVAIRLRSTYTGDDDYILEFFLPINMKGSSEQQLLLNNLSGTMQRVCTSLRTVSDAELVEGSKVEFQREPVQNFPPMSMSRRSSETALSADSDMNSNERTPLNVSNSQGDRKEADGLPEQAMSGPRRPTEKKRSTAEKNVSLSVLQQYFSGSLKDAAKSIG >OMO87952 pep supercontig:CCACVL1_1.0:contig09149:16158:20774:-1 gene:CCACVL1_08644 transcript:OMO87952 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MAVNLRTIAPPRLLPQFNLNAAVAIRLRSTYTGDDDYILEFFLPINVKAISEQQLLLNNLSGTMERICTSLRTISDAEIVAEESKVECQKGNVPNSLEMVLFADSDINSDEPIGNNEIEIVKEADHINKSPFSRVKRRKLTSNVWEEFHKEKDKDGNGLVKVWAICSHCKKKFDGSSKKGTTHLRNHLNRCKSRGTKVMRDQELIVPAGRASENHSIGEVNSSFDQERSRMDVARMIIKHQYPLNIVEDEFFSIFLKNLQPMFKLQSQEALSSDILCVYREEKGRLIEYFDKISCRLNLTISLWTCELEKITYCCFAVQFVDDNWVLKRKIIAFKTLGYEKLEARTFVGDFKSLLVDWNFDKKLCSLSIHNSTSSSLDIAEEIRKSWPFSEASYPLSTFYISFDGHITNLLAKYKSGDQLDYGIIKISSSCLLDIHGLYKKTSQQECRDYPLMNVTSEDGWRRTCSLVLAIAAILDPQFKFDLVEFSYNTIYGHDSAGIHLALIRHTLTNIFNEYASKIHSRESPLDDTNSLASSPTAEGNTMESFQRWYSSKRKASIEASELDKYLQEPVICPEREQFDVLGWWGKHASKFPIIGRMARDILAIPLPTIISGYSSNENVIMDNPIYEGLDPQIIEAMICCKNCTKLCGGKPFDRRVCSSPSPTRRRKLPKSWSPLALMFFFNLSISIFGQSSAFALCLEVEQILVSVGCSGMSNLECAVLPPGVIAATTPEVVAKAIRPLDLRSY >OMO86991 pep supercontig:CCACVL1_1.0:contig09367:19396:19455:-1 gene:CCACVL1_09341 transcript:OMO86991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VINMDNYGWDVNVDSRLGH >OMO66154 pep supercontig:CCACVL1_1.0:contig12579:18836:18943:1 gene:CCACVL1_21292 transcript:OMO66154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEYNKYTNEPPQPSKANRKTHKSQLKLKSKPFR >OMO75504 pep supercontig:CCACVL1_1.0:contig11036:14912:16090:-1 gene:CCACVL1_16149 transcript:OMO75504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane protein 6/97 MGILCKLVDALLLVVFVVMLLMGPLIDAQTVLPETAFPDVLVRLKKSYADEYKDYIMVEKPHFFVGLVWLELIFQWPLLLFNIYGILASKPWFNTTCLIFGASVITAMSAILGELIGSQRASDKLLMMYSPFMGVGVLALLRGLVPRSGKGAPSKRPALARKKRA >OMO75505 pep supercontig:CCACVL1_1.0:contig11036:17477:19415:-1 gene:CCACVL1_16150 transcript:OMO75505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MTQMCPKMAKLNLRRRVQRRFFTLRRCICCFWDRILMCSLGKPIKYRNLPRSATAAVPTPPSSSVDGSFSAKIATLPPHVCTHHHHHNHHHDSDLVPLKISLLGDSQIGKTSFLVKYMGDDIEGQQPIHEKGFNLINKTLLVGGARISYSIWDVDGGEKSKSDIALACKDSVAILFMFDLTSRCTLNSVISWYQRARKWNPTAIPILIGTKFDDFIQLPIDLQWTIASQARAYAKALNATLFFSSATYNINVNKIFKFITAKLFDLPWTVERNLTIGEPIIDF >OMO75507 pep supercontig:CCACVL1_1.0:contig11036:35373:39763:-1 gene:CCACVL1_16152 transcript:OMO75507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ionotropic glutamate receptor MIWVSQSCLVDCRKPAVVNVGAIFTFNSVIGRAAKPAMEAAIADINADPTILNETHINLFMTDANCNVFLGSTQAFQVIEKEVVAIIGPQSSSIAHIISAISNGLQVPMVSYAATDPTLSALQFPFFVRTVQSDSSQMIAMADLVDFYGWKEVIAIYVDDDYGRNAISILYDELYRRQAKAVYKLPLSVHFTQSDVISLLHESKSLGPRVYVVHVNPDPQLRFFAIAEKLQMMTSNYVWFTTDWLSATIDSFSPMNRTALHVLQGVVGLRQHIPESNQKKTFMSRWREMLQNGSVASELNTYGLCAYDTVWTVARSIDKFLNDGNNLTFSSSNKLNNTRSAGLQLEELKVFDGGATLLKDLLDTNFSGLTGQVHFSSDRNIVTLGYDVININKMAVHTVGYWSRNFGFSVSPLETLHGTEKNHSETDQKLLSVTWPGGKTERPRGWVIADDERPLRIGVPHRASFVDFVTEMHDSHKIGGYCIDVFTEALKFVPYYVPYKFELFGDGRSNPNYGQLVKMVADDVFDAAVGDIAIVKNRTKIVDFSQPYTTTGLVIVAPVRNPKSSAWVFLKPFTVDLWLLTATAFVIIAVVIWILEHRVNDAFRGPPRRQIVTMFMFSFSTLFKTNQEETISALGRVVMVVWLFLLMVITSSYTANLTSILTVQQLSSPITGIDSLIANTWPIGYQVGSFAYGYLTENLNIHRSRLIELHSPEEYESALRQGPANGGVAAIVDELPYVELFLANRADFGIIGQPFTRSGWGFAFQRGSALAVDMSTAILKLSENGKLREIHRKWFCKMGCPGERKKHEEPNQLHLSSFWGLYLLCGAISLAALLLFILRMVRQYVRYRRRQRKLCPPSSSVHHTTRCSQIIYNFFDFIDEKEEAIKKMFMQCEINPAPETPVSSTA >OMO75503 pep supercontig:CCACVL1_1.0:contig11036:283:4341:1 gene:CCACVL1_16147 transcript:OMO75503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQPNMMEGQLHPLEMTQNTSESEIARMRDDEFDSTTKSGSENHEGASGDDQDAARPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELGRELGLEPLQVKFWFQNKRTQMKTQHERQENTQLRTENEKLRADNMRYREALSTASCPNCGGPTAVGQMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVNYPLLSSPMPPRPLEFGAQPGTGEMYGAGDLLRSISAPSEADKPMIIELAVAAMEELIRMAQMGEPLWMTSLDGTTSVLNEEEYIRTFPRGIGPKPTGFKCEASRETAVVIMNHINLVEILMDVHQWSTVFSGIVSKASTLDVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRESYYVRYCKQHAEGTWAVVDVSLDNLRPSPTVRCRRRPSGCLIQEMPNGYSKVTWIEHVEVDERGVHNLYKQLVNSGHAFGAKRWIATLDRQCERLASVMATNIPTGDVGVITNQDGRKSMLKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDENSRSEWDILSNGGVVQEMAHIANGRDTGNCVSLLRSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAILPDGTAATAGGIADAGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCETA >OMO75508 pep supercontig:CCACVL1_1.0:contig11036:42421:45054:1 gene:CCACVL1_16153 transcript:OMO75508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6 MASSSVISFQTSNLRSAFLGERSAICVPSIPVTRVGLVRKVIECKESRIGKQPIQVPSNVTITLEGQDLKVKGPLGELALTYPREVKLDREDGGILRVRKAVETRRANQMHGLFRTLTDNMVVGVSKGFEKRLQLIGVGYRAMLEGKDLVLSLGFSHPVRMAIPEGIQVKVEENTRIVVSGYDKSAIGQFAASIRKWRPPEPYKGKGVKYADEVVRRKEGKAGKKK >OMO75510 pep supercontig:CCACVL1_1.0:contig11036:48752:49081:1 gene:CCACVL1_16155 transcript:OMO75510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHETIGKNLDASVSEGGGGEFKITKVAGEDLGGHRHEIIDHINHDGRCSQVEEELGFDPCSFAKTLEERKASVRQCIFKFGACFFGFWVGMLGLKQRLLGAVLGGSHD >OMO75506 pep supercontig:CCACVL1_1.0:contig11036:24254:28677:-1 gene:CCACVL1_16151 transcript:OMO75506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ligand-binding receptor MKEFLLISRLMTRPLILLSLLFIWVPIGVFSQTGNASASSNSSSSSSSSLRPKVINIGSLFTLNSVIGRAANPGIQAAIDDVNSDSSILNGVELKLIGHDTNCSGFVGTVEALQLMESDVVVAIGPQSSGIAHVISHVVNELHVPLLSFGATDPTLSSLQYPYFLRTTHSDYFQMYAIADIVDYFGWKEVIAIYVDDDYGRGGISALGDALAKKRGKISYKAAFSTGDPKNKINDLLVEVNLMESRVYVVHVNPDTGLNVFSVAKALNMMTAGYVWIATDWLTSYLDSMEAVDTDTMNLLQGVVALRHYTPDTKVKKSFISRWNNLKYKERAGPADFNAYALFAYDSVWLAAHALEVFLNEGGNVSFSNDPKLHDEKGSMLHLESLRVFNGGQQLLQTLLRMNFTGLSGQIQFTPDKDLVHPAFDVLNVGGTGTRRIGYWSNYSHLSIVTPESLYNKPANISTGSQHLYSVIWPGETTTTPRGWVFPNNGQPLRIAVPNRVGYKEFVSKDDSPQGVRGYCIDVFEAAINLLPYAVPHTYILFGDGLASQQCFSLTAFQRDSPLAVDLSTAILQLSENAGESLLNTADSARKKRKGILSRRWSLQGQLGALSAQRALST >OMO75509 pep supercontig:CCACVL1_1.0:contig11036:45451:48033:-1 gene:CCACVL1_16154 transcript:OMO75509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MARIQHSSFQWPAGILQVIGGVRRDVVLGNMSDSMPQQVAAAIIIMAFRHVISYIFTEGETVAEAVSDLCPLLAFTLILNGIQPVLSVAIIDPDQPKCDCRK >OMO50300 pep supercontig:CCACVL1_1.0:contig16224:2480:2578:1 gene:CCACVL1_30523 transcript:OMO50300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVQMRIQQKYTNKKEGPNAHKLHANDPIIV >OMO85344 pep supercontig:CCACVL1_1.0:contig09633:16350:19788:1 gene:CCACVL1_10255 transcript:OMO85344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHAAQTVAVATVHIKLSYLHFEGKSARA >OMO85343 pep supercontig:CCACVL1_1.0:contig09633:8786:9987:-1 gene:CCACVL1_10254 transcript:OMO85343 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MVLWIVSICSQGKRYRLIELLEKKQIRTTWDFLQAEATLPVIPQARRLKALLCGAGGIGTEAVLNSAEKYNPETKSWEPLSSMHQKRKLCSGCFMDNKFYVIGGRDENDKALSCGEAYDKDKNTWELIPDMLKGNTVATSQSPPLVAVVNNDLYCLETSSNELRVYLKKSNEWKKLGLVPVRADLSRGWGVAFKSLGNELLVIGFSSSILNGGMTIYTCSPQPDTEELQWRCIEGCKDRLNFFLLNCSIMVA >OMP05110 pep supercontig:CCACVL1_1.0:contig05527:18265:21682:-1 gene:CCACVL1_02060 transcript:OMP05110 gene_biotype:protein_coding transcript_biotype:protein_coding description:glucan endo-1,3-beta-glucosidase 5-like protein MTIQCTLDTRTTKWDVSLLYQGNRGSLKKRKSKLLPRGDGPFQVLERINNNAYKLDLSSEYGKVSATFTVSDLSLFDNDADLRINPFQGRGDDAPRAGHGHEEHNGDHEEDEHGLQGSKDKLEDNGGCAQVVPSSKELPKMSFDPLKMSLGPMSRARAKKFKDALRSLVRTHLEDLKTIEVQLKSFDEDLGKNIPIDSKLIILLVIDGSL >OMP05109 pep supercontig:CCACVL1_1.0:contig05527:13003:13743:-1 gene:CCACVL1_02059 transcript:OMP05109 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MVEIMRNRKLFPTLAEANQTTDDCSGFCDPACPYNCYPFPDYYYLSPPPPLPPPPLSVQNHYISPYVIIFLTVMASLLLLIGYYVIVVKSCFGWFCSRQSQAQTDHQSSDEDENRVDHPIWFITTVGLQQSIINSITVCKYKKGEGLIEGTECSVCLNEFQEGETVRLLPKCNHAFHISCIDTWLRAHTNCPLCRAHIVFDSVCAPTPSADQNSDNIELIENSENGDETSVENQGSENRARAGSSK >OMP05107 pep supercontig:CCACVL1_1.0:contig05527:663:2553:1 gene:CCACVL1_02057 transcript:OMP05107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 VVFKTRIYHCNVDAAGNLSLDILKDSWSLALTFTKGTRGLKNIK >OMP05108 pep supercontig:CCACVL1_1.0:contig05527:6737:6880:1 gene:CCACVL1_02058 transcript:OMP05108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyl transferase protein MRKKNIINSRRVEAIHAPDAAMADAKNFTFVVAQTKTDGMAIGKLRN >OMO87523 pep supercontig:CCACVL1_1.0:contig09226:53016:59274:1 gene:CCACVL1_08976 transcript:OMO87523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MEAPQVIFVPPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSTVKQRPTDNDRYVKNCRNGRQVGKRGWPSIAMVTFYSSLAVSVVSTEENSKEKEEMEMLLRRLPSTAVSITTTALSRRHDSLQRRFKYLSPISFYPKLSLLCFSSASQISSEYTDLITEQSSKRGPLKPGLYLVGTPIGNLEDITLRALRVLKSADVILSEDTRHSGKLLHYYSIKTPLLSYHKFNESQREQTVLTRLKQGEIVALISDAGMPGISDPGTELAKLCVDENIPVIPIPGPSAFLTALSASGLSTDEFTFVGFLPKHAGSRKERLLASASETKTQIFYVPPHKLSQFLEESCPIFGDSRQCVIARELTKIHEEFWRGTLGKAKEVFSAHQPKGEITLLIEGNSNCIVETPSECQLENELGKLISSGHSLSSAVKLVAEGTSMKKKMIYSLALRKFGKKREAEDSN >OMO87524 pep supercontig:CCACVL1_1.0:contig09226:60606:62256:-1 gene:CCACVL1_08977 transcript:OMO87524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVESLLKKLNFIPTSVFTTDLPYVDFLDRVHKAELKLRSKGLWEVPHPWLNLFVPKSKIADFDKGVFKGILNKNKTSGPILIYPMNKNKWDQRSSVVTPDEEVFYLVALLRSALDNGEETQSLEYLSNQNRQILRFCDDAGIDVKQYLPHYTTQEEWKDHFGNKWDRFHKMKMEFDPRHILATGQQIFRPTFASSSSKIASW >OMO87519 pep supercontig:CCACVL1_1.0:contig09226:32575:38589:-1 gene:CCACVL1_08972 transcript:OMO87519 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase, plant/fungal/bacterial MMSLCFCSLIRPSPLIFESNLPWNLNLKRSICPLGFSIHGNNRNFVARVVQQGFEVNNISLPSPVVEEETEKEVNGELVNGVAGTELVEDKPKPVRVRTKRADDYDSDDESFEGRFKLRNGKEVFEEKAYLVGVERKGQTSDSFGIEESLKELTQLADTAGLMVVGSTYQKLASPNPRTYIGSGKVAEIKSAINAFGVETVIFDDELSPGQLRNLEKVFGGDVRVCDRTALILDIFNQRAATHEAALQVALAQMEYQLPRLTKMWTHLERQAGGQVKGMGEKQIEVDKRILRTQIGVLKKELESVRKHRKQYRNRRTSVPVPVVSLVGYTNAGKSTLLNQLTGANVLSEDKLFATLDPTTRRVQMKNGSEFLLTDTVGFIQKLPTTLVAAFRATLEEISESSLLVHVVDISHPLAEQQINAVDKVLSELDVSEIPKLMVWNKVDRVSDLQRVKLEAERRGDVVCVSALTGDGLQEFCNEVQEKLKDSMVPVEALVPFDKGELLSTIHQVGVVEKTEYTENGTFVKAFVPLRFARLLTPMRQMSFLSATLSLAHPGLGFGWGNDQFGSPPFGLFPQFYQFSCPQADDIVMSVLEKAIAREPRMAASLLRLHFHDCFVQSGGPSWELPLGRRDSKTASLHTANTNIPPPNSTLQNLVTLFQRQGLDQVDLVALSGGHTIGVARCATFKQRLYNQNGNNQPDETLDRNYYFGLKSSYVLPL >OMO87512 pep supercontig:CCACVL1_1.0:contig09226:2460:2978:1 gene:CCACVL1_08965 transcript:OMO87512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSGNSNSNSSGSSSATLLQNSGSEGDLQQLMDQRKRKRMESNRESARRSRMRKQKHLDELVGQVTQLRKDNNQILTSINFTTQHYLNIEADNSVLRAQVMELSQRLDSLTEILNFLNNNNTTNNPMFHESDQHQGFETSSSAADSFMINPFNMPYLNQPIMASPDIFQY >OMO87520 pep supercontig:CCACVL1_1.0:contig09226:42526:46690:1 gene:CCACVL1_08973 transcript:OMO87520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYYPNFSYQRDNLSTTYLGDQKPVSYLESPSDPGNSPIYLNQASTTGAFSEILSGSSLSLQNCVQIPSIGGRNEMSFIPPSTEAVSLQSVDGQLNITSSTSICNSVAAPVLPRSVLDGEQSSQSQGLSLSLGSQSQISSGVPVPSLHYQYQGYSSLFSGHLPFSGKGTIPFTGDESKRSKELKISDGMNCGYTGGNNDAFEIDALSNPQGSINQKQMHSEIYQFESGFSTTILNSRYLRVAQELLDEVVNVRKALKQPDTDKNASSQLKSSNGMSSEPSESVNNSSSDISPAERQELQNKKTKLLSMLDEVDRRYRQYYHQMKIVVSSFDMVAGSGAAKPYTALALQTISRHFRCLRDAISGQIQQTQKSLGEQENSSNNQGGVIPRLRYVDHQLRQQRALQQLGVMRNAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSEKIMLAKQTGLSRNQVANWFINARVRLWKPMIEEMYKEEFGEMDSNFKTSLENAAKAARENSATSEDKGEEFQESMTSKTADADNVQPGQVQYLKPDHITDVELNRPTARSMFQSFATGDAGSPSGTKLQFNQRSNVEGNNPYSNTIIPASQHGHGSLMTGDAMYDLTELSNFAVGGQVSLALGLRHHENNVFPMSGDTNMRGSNKVASSVGPETVDFHCMDPGNEQDRSRKKKRVTEPEGDK >OMO87517 pep supercontig:CCACVL1_1.0:contig09226:25582:28574:-1 gene:CCACVL1_08970 transcript:OMO87517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDVSEDAVRRRNAVAEYRKKLLQHKEYESRVRAGRENLRAAKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLGDQIRELRESIELPLMNPELFLRVGIKPPKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYSADFGKE >OMO87518 pep supercontig:CCACVL1_1.0:contig09226:29354:31904:-1 gene:CCACVL1_08971 transcript:OMO87518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MAFLIRSTFDSCSANVSNNVSHFIEEKQQEEEVEEGSTDIKFDRNARAPTPNPLVFMKSKLVLLVSHELSLSGGPLLLMELAFLLRGVGAEVVWVTNQKPTETDDVIYSLEHKMLDRGIQVWSAKGQEAVNTALKADLVILNTAVAGKWMDAVLKEHAAQVIPKVLWWIHEMRGHYFKLEYVKHLPFVAGAMIDSHTTANYWKNRTSERLGIKMPETYVVHLGNSKELMEVAENQVAKKVLREHVRESLGVRNEDLVFALINSVSRGKGQDLFLRAFYESLQLIQERKLQVPSIHAVIVGSDMNAQTKFETELRNFVSEKKIQDRVHFVNKTLTVAPYLASIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTMEIVVNGTTGLLHPVGKEGVSPLANNIVQLATNVEKRLVMGKHGYERVKERFLEHHMSERIALVLKEVLQKGKHYSHS >OMO87522 pep supercontig:CCACVL1_1.0:contig09226:52456:52524:-1 gene:CCACVL1_08975 transcript:OMO87522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARETERKREKRRCRLVLSY >OMO87515 pep supercontig:CCACVL1_1.0:contig09226:16548:19107:-1 gene:CCACVL1_08968 transcript:OMO87515 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase METCQLLGRFFPASPLEFNHRSRKLARTTNFFISANGFSSKQTEKSEGESRNRMFILGMGFVGQFFAQELLNEGWIVSGTCTSMKKRKELQIRGFDVEVFDANQPQMSTMNALKCYSHLLVSIPPVVGIGDPMLQHGELLRSVLMGGNLQWLCYLSSTSVYGDCGGAYVDEDCPPNPTNELAKLRLEAEQGWLNLAGDIGIRGQAFRLGGIYGPGRSAVDTIIKQEPLSKSQKLRVSKQFTSRVHVADIWQALKASIHIPSSRGTYNIVDDDPAPRKEVFAYALDLVEKKWPGLIKEINFPEAEREEPFIRKATSSGEKRVSNARMKKELAVELLHPTYKSGLQSIIDQLENPF >OMO87521 pep supercontig:CCACVL1_1.0:contig09226:48379:49749:1 gene:CCACVL1_08974 transcript:OMO87521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLPAPIVTEESEISQTRHLMDLLGAANETNRHAQRLSLSLGSHMFAPNSLNSNMASSSYLIPAEEDRQVCNPAGVSDDYSFTGSPFASSSSTSLHRSFANSIGNSRYLRPTQSLLDEAVNVGGSNVEDHFFGKLYLGGVSRLSSELKAEFCSNEISLSDKNELQIRLAKLIGLLEEVEVRYEKYYQQMEEVVSSFEALAGIGAAKCYTALALQAMSRHFCSLRDAIITQINVIRRKFSHDLPKINRGLSQLSLFDRDSRQNRLSLQQLGMIPSQRQAWRPIRGLPETSVAILRSWLFEHFLHPYPTDSEKLMLASQTGLSKNQVSNWFINARVRLWKPMIEEMYKEEFADSPQDSESDPSLACTSMGRESIADQAED >OMO87514 pep supercontig:CCACVL1_1.0:contig09226:13598:15554:1 gene:CCACVL1_08967 transcript:OMO87514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGSACCVAARDRTLPNRTGTGSETLHRNVTCSPSWSFRWDNRRRVAGEIEEPSYHVSNGASRNVSMEIKGTLSSDRGNLSDQGSPLEIENYGTPASQKSPVHEEMGGNMMTPPSDISRASNYSVEVKNLAESPDIADSSAPKLSFSIPSSFSPPITDTLSSHGHLLPPSSTPSRRARRSPGHRLLRQISDSRILGLKSPNNYSMSEGRSSFVLSTCSNELTAGSHGGSSDGWSMRTFSELVASSQRERWSFDSENLGSGYGKISGCSSRFSYSPSIDSQTCGACSKLLAERSSFIASNEISVVAVLVCGHVYHAECLEMMTLEADKYDPGCPICMVGEKQVSKMSRKALKAEAELKAKHLKLFKNRVIDSFVDGGCDDFGHQENAKREGKSPKLEPSSSRRSSLAKPFLKRHFSIGSKWGRSLSENDSARKKGFWARYRKD >OMO87516 pep supercontig:CCACVL1_1.0:contig09226:21885:25018:1 gene:CCACVL1_08969 transcript:OMO87516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDIVNMIVFGIVSWTTGFLVIRKIFSKRSFEFSNRIVSTIHATLAVTLAALSVEDWSCPVCPLSSNSSLKQRQVLAITVAYLIYDMICCLFDQKISLDNTIHHLVSIVGLGAGLVYQKCGSEQVAALFITEISSPFLHARELLKELGYRDTDLNLAADITFAVIFSLARMIGGPYLTFVTLTANNPLLIKAMAVGLQLVSAFWFYKIARMVKYKLIKRTKKKRTLGVTVAYLIYDLICCLFDERVGLDNMVHHLVSIIGILACLAYHKGGSELVAALFVSEISSPFLHARELLKEVGYRDTDLNLAADIAFAVIFSLARMVGGPYVTFLTWSANNPMLIKAMAMGLQLVSAFWFYKIVKMVKYKLTKRTNKSLLSTSPHTMKLN >OMO87513 pep supercontig:CCACVL1_1.0:contig09226:8469:9584:-1 gene:CCACVL1_08966 transcript:OMO87513 gene_biotype:protein_coding transcript_biotype:protein_coding description:HIT-type Zinc finger family protein MLIKLHTLLSLAFNKVAAMILNGFTSPAKSVSVSSRLFKTKPCSSTFARINHLPATVGFQSSSRSSSRSSWDLKSWSIGDVPFLHLSAASTPLLRGDLGGLSYALPSLPRRRGSKLVPRASKDVPYSYKYPPMTKKPRWWWRTLASIPYLMPLHETWMYAETAYHLHPFLEELEFLTYPFLGVIGSLPKWFLLAYFFVAYLGVVRRKEWPHFFRFHVVMGMLLEIALQVIGFVSRWMPLAIYWGKVGMHFWTFMAFAYLFTVLECIRCALAGMYADVPFVSDAAYIQIPYEI >OMO52953 pep supercontig:CCACVL1_1.0:contig15388:122787:127629:1 gene:CCACVL1_28985 transcript:OMO52953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MAKSSQKTSSSLQTNANSNTSTKTRFKQKNKGKQYEVRIVRMWDAINSKTGQLIGIAMVVLDQQGKSFTAKVKIINIDTTFGWYYLSCSCNRKVTENGDKYRCNYCNIDVKKPSPRYKVNVEAHDDSGTASFVLFNTEASHLLKKSVDELYKTSLEIHGPSVPSLSPLKQSGLTTETRGKQKQIIGDEPNGEASSDEEPLQSPMKNEESV >OMO52943 pep supercontig:CCACVL1_1.0:contig15388:24271:30737:-1 gene:CCACVL1_28973 transcript:OMO52943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSIGLGRSIRVGSTLRPRKSSDYFWDRRLTRGDFEPTRSIPQILGRPLDSSGKACCPFDLAFAKFVGNYKCGRSSMFEGVGIFISWEIIQLLVSGVPISDQSTRNIWEVRRAIGLSGWYRSKPNDHESQLRFFVFQMLDQNPMKGQT >OMO52947 pep supercontig:CCACVL1_1.0:contig15388:65188:67123:-1 gene:CCACVL1_28978 transcript:OMO52947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSFAKSAVTKGKDQVFVAAVPLRATKGAAQLLMSAAYSLNLWDLQHFMVIIEPSSPPPHSQSQALVFDYQPEDPENIFTALAVLSGRAVPGVVLTRKLTKLPRSKCWFVGYSNEDAVDKAYKFNNTWEADLRVGLHDCRDYTNGLVEHLTGEKLILEHLRSTTAGQS >OMO52948 pep supercontig:CCACVL1_1.0:contig15388:68659:69543:-1 gene:CCACVL1_28979 transcript:OMO52948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEVVSVQNALHEEKATEEVVKVQETKTEEVVAVAPAAEPEAVAEEPKEAESEAPVAPEPEAPVEVETKEVVEEEAKVVPETEEPEVEKKEEEIFTTPTETVAEPVAEETKETPEETVEETKLETTESAEAAAAAEPEAAAAEAPVEEAPKEEEVAKEEEKPAEAEEQVETAETVPAEKTE >OMO52944 pep supercontig:CCACVL1_1.0:contig15388:31415:39214:1 gene:CCACVL1_28974 transcript:OMO52944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCFVIFMEGHTNGREKWKMKIKIKEMKRD >OMO52951 pep supercontig:CCACVL1_1.0:contig15388:107927:114156:1 gene:CCACVL1_28983 transcript:OMO52951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVGILEAHVWLMIELESTVGKSASSRGGNDPTAYPGWL >OMO52945 pep supercontig:CCACVL1_1.0:contig15388:58220:59503:1 gene:CCACVL1_28976 transcript:OMO52945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLNLSIAAMDSSDDEKHAAKRRKTKPEDEHEDDDDHQQQTTGMENLPHEIIVDILSRLPITSLVQFKFVCRRWRGLAQDPLIADMHLSCKTDTNPCLILHCDFPIRNQLYFVDLSSHNHDKDKVKRLYAPFQATMPEFDVVGSCNGLLCLSDSLYNDALYVYNPFTMDYMELPKSKQYPDQEVVFGFGFHPKTKEYKVVKIVYYRNTSSSFNRSRRIIYPQSDVQVFTLGTSAWRSLGKVPYQFVRRPSEALVSGRLHWVSRPRRYHPARRLVSFDLADEQFREVPKPDCGGLNRCNFHVSVLKGCLAAAVYGNYGKLEVWVMKDYNVKESWIKEFSIGAYMPKCLKQNLDRDRPLKIWKNVANGKVVRVLCLLENGEILLEYKNRVLVSYDPKKGKFTDLSFQGIPNWFQTVVHAGSFNWINTP >OMO52946 pep supercontig:CCACVL1_1.0:contig15388:62656:63774:1 gene:CCACVL1_28977 transcript:OMO52946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLSLTLSHNILSRLPLFSLFNCKLVCKSWYNLVKHPNLPFLHHDFHESHNSPSLILHSPHSHGGDQLFFVDNFNYVDRDDNHHQSYKGVAKEIVLPDELDDHKFTSVLHCDGFLCLSYMEVENLSTYNKFWVYNLFTGELMRLPPKPMTPEYKVVSGFGYHPKYKARKVVRIVEHECVKYDSKLGNCELSLEQTTEVFSLGTLSWRDKGKNPFRIRMQSCQVLINGALHWFGQDKVKKYSQNIIVSFDLMLEEFGRISLPDIRIDETEEDDSMLTVLGGCLSVISRMPDNKIDVWLMKDYGMKESWTKEFTIDPEFHLLGFLRPLWVLSNGEILAEYGKQALFSYHRNSKKIKKMNVRNLPRPFQVAPSI >OMO52952 pep supercontig:CCACVL1_1.0:contig15388:116920:117714:1 gene:CCACVL1_28984 transcript:OMO52952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSRIFLFSCSVLLLCSSLAYGQAKSPPAPVALTPTPTPTPAPAPAPEHVNISYLLSVAGPFNTFLDYLESTKVIDTFQNQANNTEQGITIFVPKDSAFKNLKKPSLSNLTDDQLKQVMLFHGLTKYYSLADFNDLSSKGPVSTFAGGQYTMNFTYKAGTVGLDSGWSITKITSAVHSTDPVAIYQVDKVLLPEAIFGTDIPPMPAPAPAPDVSPTADSPAEDSKDSNSPKSSPSKSSSHRIMNLGICSQLILAVLGGVVLVF >OMO52954 pep supercontig:CCACVL1_1.0:contig15388:138421:143968:1 gene:CCACVL1_28986 transcript:OMO52954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYQGVIVSDPWLQSQFTQVELRTLKSKAYLNLQGRAIEKTGGTKSSFLKATTTTVHHAINESEKASYVAHINGYLAEDKFLKDYLPIDPSTNELFDLAKNGVLLCKLINVAVPGTIDERAINTKKVLNPWERNENHTLCLNSAKAIGCTVVNIGAHDLVEGRHHLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSQDVEELLGLPPEKVLLKWMNFHLKKVGYEKQVTNFSSDLKDGEAYTYLLNALAPELSSPAALDVKDPTERANMVLEQAEKLDCKRYLTSKDIVEGSTNLNLAFVAQIFQHRNGLTTDTSKMSFAEMMTDDAQTSREERCFRLWINSLGVPTYVNNLFEDVRNGWVLLEVLDKIAPGSVNWKQANKPPIKMPFKKVENCNQVIKIGKELNFSLVNVAGNDVVQGNKKLILVEPRVVNWSLVTKGENEEDRKLNATYIISVARKLGCSIFLLPEDIIEVNQKMMLTLTASIMYWSLQQQAAESEDGEIPDDSSVSPKDGEDETAFSREVSGLSIDDSVSEAAASDKGSEQQSPKDNKE >OMO52956 pep supercontig:CCACVL1_1.0:contig15388:157925:164170:1 gene:CCACVL1_28988 transcript:OMO52956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MSSQEEGEIVSSSDDDDDFHLFEVLSNARQIDVLESQEEAEIVPDENNNISVSEYVIFEQNGKRVSFADLPLQWRDDQNEAATTDDDDSLKTNVFVHGSFEFKASVKDLLCHKLLIAETMKRDEDFAKFQDVLKILDEMPQTYTTLDQDLHSPTMKGFIVDEDPEEDYYVDDNDICEEIDVAGKSIFDTVCAFCDRGGNVLCCEGRCLRSFHPTMEDGNHFFCGSLGLDSAEVDDILSFLCTNCTYKQHQCYACGELGSSDVCQEVFPCVSATCGHFYHPKCAAKLLHPDNEAEATTLEVKVAAGHSFICPMHKCFACKEIEDSEVQDLQFAVCRRCPKRNLLRKPYEGRSFAKGMERSLATQPDSNLLHVSVLVLLDHEIFRELGTPRRDHIIFPEVYEEKYTLNMLSDKGKNLASKRSEASEDFATSSPRSQTRTEDQTDYDGIQDSSLATEDGGIRDSSSSKRTNMHGSRLEISDSREQRVYIHRGKRKNRYHKNKNRYRNMHVRKKVERRRHSVNDADLNDRMLAIMESVYSSFNTEEFMNKHQQFSTANARILKGTAVRKSITVGRVEASAKAARTASEKLQAGGDSLEDAKAVCGPDVLNQMSDWKKDLSVYLGPFLYGPRYTSYGRHYTKVEKLKEIVDFCCCSNDFSCLLNEKLEEVRKSCSFKNYDLFHPKNDFSFEQKDWMTVNMDELPDGSELVIGLNPPVGREASGTNKFIQKALRFRPKLIIVIAPMEARRLELEAYDLIWEDHTVLSGKSFYLPNAVDIDNKPLERYNVEPPGLYL >OMO52955 pep supercontig:CCACVL1_1.0:contig15388:144835:148893:-1 gene:CCACVL1_28987 transcript:OMO52955 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MPPASPNSSSWTFEPDLDDIDLDASDFTASVPLKKVPNGDIFEASRAGDADRLRYLLESGVNVNARDNWDSVALYYACLAGHLDAARLLLESGAICSEHTFDGDRCHYASLNLKVRKLLKAFEARPPPLGPLQAALRDTFLSCAANQAFLDQVAETGLHFHVSGAASNGASSSYHFPPDVVFFVQGRPIEAHRVILSARSPFFRRKFEKDWKDRNEVRFSREKLSYPALYSLIHFFYSDRLEVAVDDMEDLVRICKVCKCESLQRVLEKELLHQKYAEYKALKDVDNSQKRFILQGASLPEEDRLPAGLHWILQISLAKSPKEHDLENGVDRLLPYVSTMQISDSLDDLADICVRVDKRIFRCHQVVLASRSEYFKARLSRMKDFHEGKSDLPSETLPCLEEHDLSAEAFEKMIEYMYTDGLTDIDPDQAEEMFDAASRYLLFPLKRAVADALLPHLEMVSPAELCHWLVLSDMYGVLKIREYCLDTIACNFETFADTREFRAMLLTLPPPSGDSSLRTTVPSAPGAVINTDQGNLLDDLREKWLEAEAAELDQRDESALLFDKRLEMLMLVAEQEKSDTSADDGQDCAI >OMO52950 pep supercontig:CCACVL1_1.0:contig15388:85801:86456:-1 gene:CCACVL1_28982 transcript:OMO52950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSYIPLPNTNSINKTPSQPYKSHEPQDGGADKGISIFWDNEFSANENACKHHKFGEPVTRPDVRRHSPEPQQKY >OMO52942 pep supercontig:CCACVL1_1.0:contig15388:21425:23794:-1 gene:CCACVL1_28972 transcript:OMO52942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding-like protein MEQKEFRIPQLRIGGHTDYIILRVARRWKTILPTTKEFLSIDFLFTDDEYSLRNQRDAAHGYMESNMEQHFSETLKEGLLYKISVFQVKPLKGTPKYNAIPAEKTILIYWSTEVREVTEGINKNNSAEDIRFKDDYSEIKLLEAAQEQRNRDNLFDEAIESSIIQILNLSSSGIVQAENSSLEAIVNTTPA >OMO52949 pep supercontig:CCACVL1_1.0:contig15388:81400:83842:1 gene:CCACVL1_28981 transcript:OMO52949 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO protein GDP dissociation inhibitor MGLEDDKKKGTEEESEDEAGAQKLGSRQMSESSFYATDQDDDNDDEPRAIQLGPQCTLKAQLEKDKDDESLRKWKEQLLGSVDIDNIGETLDPEVKVLSVSIVSPDRADIDLSIPEDGKPEGLWFTLKEGSHYRLKFSFQVGNNIVSGLRYTNIVWKTGVKVFSTKEMIGTFSPQLEPYTHEMPEEIAPSGFFARGSYTAKSKFLDDDNKCYLEINYTFDIRKEWA >OMO50956 pep supercontig:CCACVL1_1.0:contig16027:21642:24349:-1 gene:CCACVL1_30107 transcript:OMO50956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MAGAYKGREKYIQDGWGDNASRSLMSLLRSLFVEGFAMHGVIPSDLADDFMPQIKEGHFYKIRIFEVVPRLRKTHLVVPLETELFFNVSTQINEVREGVSKFPDYYFKFASYDQVMIRNEKCYCLTDVIVVLGQTTDVKPIVLNNNKGSVDKRDIFLTLISGKKIRITVCDPKILELDITGIIRMGYKPVLAIDGLYIKDNSGNKQINTCSTTKFYLDIDIHEACDVRKRISKISF >OMP06059 pep supercontig:CCACVL1_1.0:contig05073:22488:23601:1 gene:CCACVL1_01724 transcript:OMP06059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin subunit MSSSRGGVQPLMRAAELEKMSLEQLKAVKEQADIEVNLLQDSLNNIRTATGRLEVASTALHDLSLRPQGKKMLVPLTASLYVPGTLDDADNVLVDIGTGYFVEKTMAEGKDYCDRKINLLKSNFDQLIEVASKKKTVADEAGAILQAKLKQLSPSS >OMP06057 pep supercontig:CCACVL1_1.0:contig05073:3864:5676:1 gene:CCACVL1_01722 transcript:OMP06057 gene_biotype:protein_coding transcript_biotype:protein_coding description:E2F-associated phosphoprotein MERKQANKQQVEEMEEDSKTQKMDSPTNSQKTISDDDEIDYTIKPEFYDPDLDDKDEVWVQNKRKGRISDAVLSCPACFTTLCLECQRHEKYLTQYRAIFVVNCKIDNENVRQERVKSNKGKRRRETKDNEAGAAGGETFKSVCCSVCSTEVGVIDEDEVYHFFNVLPSES >OMP06058 pep supercontig:CCACVL1_1.0:contig05073:18063:18857:1 gene:CCACVL1_01723 transcript:OMP06058 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MSNSEGAAANGAIMDPQRQQSTGNNGALVVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSVSVRGGANSTSLSSTTSSSALDHKPLLGPTPFILGKRVRADDDNAGKDDSGGVTVGPGVGSIVGPAGTGGFWALQARPDFGQVWSFAPPPPPEMMVQSAAAAAAAAAAHQPAAAALFVQQQQAMGEASAARVGNYLPGHLNLLASLSGAPGGSGRRDDDPR >OMO54014 pep supercontig:CCACVL1_1.0:contig15067:27786:29992:1 gene:CCACVL1_28130 transcript:OMO54014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAENQRLETPLHEACRQGNVKIVMLLLNANPWVACKLNHQNESPFFMACSNGHLKVVKLLLNQPWLRELEEDGIDQNCLHVAASRGHTGVVKKILDVCPNFAQKIDKNGQFPLHSSSSKGHREITRMLLQLDSDIAVKFSNDGYTPLHLAAMNGKAAILEEFLSMAPTAFQYLTKQGETVFHLLVRYNHCDAFVYLVQVFADTYLFHCPDQYGNTILHLAAYGGRYQIVEYLIRRTIIEVNSRNLKGLTALDILKQAEGNAQILLFKLLQDKAKKTSEVEECNTNTSEEPESSLSRNKENLISNGLGLMRLSKGRREELNEMAHFRQNKQHEMYSEALQNTRNTITVVAILVATVSFTAGISPPGGVYQEGPHKGKSVVGKTTAFRVFLLSNNIALFTSLCIVVVLVSIIPFKRKAQMRLLVIAHKVLWVAVAFMATAYVAAVWVTVPHDHGRWVLVASLSTSGATLGTAFISLTVMLVEHWLRKLKWRKEQQKRGKELEDLRMRRLGSFNSDMESSNLQGYHSY >OMO54018 pep supercontig:CCACVL1_1.0:contig15067:59290:60873:1 gene:CCACVL1_28134 transcript:OMO54018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITKMLLNLDRFLALKHNRDGYTPVHLAVIFGCVGVVEEFMSRIPLSFQLSTLKEGDTVFHLAAKFDQYEIFMLLSHEFSHASKLLDRPNGSGDTVLHVAVSRGNYQLAQSILYIRNWVGSINSKNNSGQTVLDILNHVEQYSPETYDLIDLIKRAGGKTSEELIIHPAEIAIHFPAEESEQSRATEHLPAPVIQSNKKPGEVDHDQINDDLSQDNATEHLPPAPTQSRVEEKEKPEEADDRNDDSAESSQDDESSSLKTITSSHREKYSSDPKPNANKHRKRPKNNIAGEADMRRRSIVQREKLIEMHNFRQELEMYKEALQNIRNTITLVAILVATVSYAAGVNPPGGVYQEGPYRGISIAGQCGWQWLSWLQLMSQLHGSRFRMIIKRDGCS >OMO54020 pep supercontig:CCACVL1_1.0:contig15067:74954:77135:-1 gene:CCACVL1_28136 transcript:OMO54020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTVHRTPKSSGRQSLFFQDLQSPISARRGKFSSPGQAAAVSALWRENFGSSDLPPPPMYTLEDHAHLSPESGILDYPMSPENKSDPRTPVQSSGRDFSTPAKNKSEASTSFSVLSGHPNQQSATSGSWWSPAKASSAEQDDKGKGSPVEGVIQPGALITLPPPREVARPEIQRNSIPAGNLDEEEWVTVYGFSPADTNLVLREFEKCGVILKHVPGPREANFIHILYQNRSDAQRALSKNGMQINGVLIVGVKQVDPMQRQALNERLNNQGFMTLPPASSRTQELNNFQPSRPYLQNGNTNARQSGGAIANPAKSLWSKVMDYMFAY >OMO54017 pep supercontig:CCACVL1_1.0:contig15067:58852:58974:-1 gene:CCACVL1_28133 transcript:OMO54017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGQRKYALVIAVQLVSHIRIAVQEQREDIEVPLTTCFM >OMO54019 pep supercontig:CCACVL1_1.0:contig15067:63005:73545:-1 gene:CCACVL1_28135 transcript:OMO54019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M41 MMNGHNKTPPPPPPPASTPPMQLAEAKLAAAENGEYEQGMGFNAGVVGSKTGVWTRGDVLVVTLRVLCMGASLTAMSFMVTARQVSTASFYGFQLHLHSKWSFSYSFEYLVGVTAAAAGYSFLQLLIGGSRLLRKSSVIPSRNQAWFIFAGDQIMAYAMMSAGSAASGVSNLNRTGIRHTALPNFCKSLDSFCDHVAVSIAFTFFTCVLYAASAVLDGSSHQIFSSFMAYHLSFGSSLYPKLPSLKPKSRNPFFSSPSISCQIYSFKSNNSDDDDKTKKTQFNFLALPLTLTIISTSFPQKSCLAAVKASDRKKTQKKTQEALTPEQLKQWSKNLPIVTDRIPYTEILSLKHEGKLKHLIKPPSASLKQRAEPVLVVLEDSRVLRTVLPSINSDRKFWDSWDELKIESLCVNAYTPPIKRPEVPAPYLGFLWKVPTFMLSQFKPKESKRALEMRRAREEFKRQQKEELARMKEERLMIEKAMKVKKKEEERRKKREIRKRKQDESLHDARRNYQDRAKMWARLAYDSNVATALGLVFFVIFYQTVVLNYKRQKKDYEDRLKIEKEEAEERRKMRELDKEMQEIEGEDDESEQAGGEENPNLKMAMQFMKSGARVRRANYKRLPQYLERGVDVKFSDVAGLGKIRLELEEIVKFFTHGEIYRRRGVRIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRSLYQEAKENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVCLDGFEGRGNVITIASTNRPDILDPALVRPGRFDRKIFIPRPGLIGRMEILQVHARKKPMAEDVDYMAVASITDGMVGAELANILEVAAINMIRDGRTEITTDDLLQAAQIEERGLLDKKERSPEAWKQIAINEAAMAVAAVNFPDFKNIEFITISPRAGRELGYARMKTDNIKFQKGMLSRQSLLDYITVQLAPRAADELWFGEGQLSTIWAESADIARSAARKFVLGGLSEKHYGMSNFWLADRINEIDLEALRILNMCYERAKEILQQNRKLLDAVVDELAEKKSLTKEEFSHLVELHASLKPMPPSILDIRAAKRAQFQEMMMNQKVEIATAMNVLGSVEASKQFTVGDHKGWQQPGANDSAFYSHWASRKRFHVGDSLSFEYQNDSVLVVEKWDYYHCNTNKPIFSFTDGNTTVVNLDRPGLFYFISGAVDHCRSGQKLLIRVMGLHQKPDQSPPSVAAPPGADIAPGPHHSSGLVVTVTLSSVFVALMVTVIILVLKEQGKNSGQSSDENEGP >OMO54016 pep supercontig:CCACVL1_1.0:contig15067:41379:46816:1 gene:CCACVL1_28132 transcript:OMO54016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGLLQAISKNDLNALRSYLLDYQHKGNILEQRDEESNTILHLAVRQGKLEMVRELIRLNRKLLEAENEKQETPLHEACRQKNLEVFTLLLDSNPNMAYQLNSENQSIFSLACSHGFVEAVDIMLDMSALMEFEETAIHSVPLHLALPRGHTDLMKKILQVRPTLAAKTNLHRSSLLHYASSMGDMDITKMLLSLDRFLALKHNRDGYTPVHLAVICGRVAVVEEFLSRIPVSFQASTLKDGNNVFHLAAKFDRYEIFMLLSQDYSHASKLLDRRNRSGDTVLHVAVSRGNYHLAQSILYIRNWVLSINSKNNSGQTVLDILNHVEDSPETYNLIDVIKKAGGETSEELVIHQIDQEAFHHSATTEEESEQSQDNNNIPATDQDLPAWVLPSREEEKPDEQVDDHDDSSQDNATEHVPSPIMATTQSRVEDQKPEEADDENDDDSSDSSQDDHSSTLKTITSSFHREKYSSPKPNASKRRKHTKKNAQHLRRQSMLRREKLIEMHNIRQDRHQETYKEALQNTRNTITLVAILVATVSYASGVNPPGGVYQEGPFRGMSVVGKTTAFKVFVLSNNIALFISLCIVIVLVSIVPFRRKPQMRLLVFAHRAMWVAVAFMATAYVAAAWVTVPHDHQEKWVFVALLTTCGGTLGTAFIALTVKLVEHRVRKLKWRKEQRNREKEEQKRGKEATELRTKRIISLQNGTEIAPIGAVSLEKKQETAHIPKFAEWDKIASFNSDLASSRHLGYHSHLILMGCALLQAIAKNDLHALSRLLVHENGRVIEQRDEFSNTILHLAVRFGNTEMVREIIRMHGEILVDAENEKRETPFHEACYQGNVQVMELLLDCNPCVALKLNNENQSGFSIACSQGQLQAVKFMLNQPSLMKFEEDSVHSIPLHLALSRNHTNVAKEILRARPNFGRKTDQNKSNVLHYASSRGNLETTKMLLSIDSDLASKLNNDGHNPIHLAVINGHVAVLEAFILTNPTTLRHPTSDGETIFHLTAKFNKLNAFMFVAQFSSLLELLNKQDRYGNTILHLAVTRKNFQLVTYIMNAATTILDINITNGKGQTALDIFEQAATTTGVENKQQVEKLLRSLGCKRSIELNQRNINKPDNRISSSISEIQHHALNVKLEQPSEVPRGEQRISSSPQQRQDEEIYIAELQNAKNTIVVISILIATVTFSAGINPPGSVFQDGVFKGNSISAKTTAFKVFMMSNNVALFSSLCNVIVLVSIVPFKTKKLKELLVITHKIMWVSLAFTATAYVAAVRVVVPQGEDTGWMNDALMAISAGSLVIVFICLGGAFVKHWWLRRRFGTRTDITSNSLSAQVRDWFGQIII >OMO54012 pep supercontig:CCACVL1_1.0:contig15067:15818:19451:-1 gene:CCACVL1_28128 transcript:OMO54012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60 MSSSFITMTSMGSPAFPCSRTMDKRFLSSSGRLASSDSISSGSFVGRKHNVVLRRERSPKIWAMAKELHFNKNGSAIQKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGARLVRQAASKTNDLAGDGTTTSVVLAQGLITEGVKVVVAGANPVQITKGIESTTKALVSELKLMSKEVEDSELADVAAVSAGNNYEVGNMIAEAMSKVGRKGIVTLEEGSSSENNMYVVEGMQFDRGYISPYFVTDNEKMTAEYENCKLLLVDKKITNARDLVNVLEDAIRDGFPIVIIAEDIEQEALATLVVNKLRGSLKIAALKAPGFGDRKSQYLDDIATLTGGTVIRDLVGLSLDKAGKEVLGQAAKVVLTKETTTIVGDGRTQEAVNKRVTQIKKLLEAADTDYEKERLSERVAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIRETLDNDEQKIGADIVKRALSYPMKLIAKNAGVEGSVVIEKVLSTDNPNYGYNAATGKYEDLMSAGIIDPTKVVRCCLEHAASVARTFLTSDAVVVDIKEPEPAAPMNNPGYGY >OMO54013 pep supercontig:CCACVL1_1.0:contig15067:21903:24592:1 gene:CCACVL1_28129 transcript:OMO54013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQMDPKLLEAIARNYKHTFTSLARRKKGILEQRDPSSNTTLHLAARFGHVELVAEIVRLCPDLLLSENDRQETPLHEACRQGNDEVVDLLFETNPVAATKLNNENQSPFLLACRNGHLTVVKLMLRESWLMEFEEDRVDSTPLHVAISGGHINVINQLLQVRPHFARKSNKKGLSPLHYASSRGHLETTKLLFSHDSDLALQYDSDGHTPLHLAVINGYMTMLEAFLSSAPTAFGYLTRDGETVFHLAIRFEKYNAFVFLARFFNFTNLLRQPDGFGNTILHLAVLRENYQTVKYIIDTTIVDINHQNHKGYTALDILEHARIDAENEQLKALLQRAGAKYSIELSPLMPEIKQIHPPMLTHGNPGDIVEEKELTVFHPSLDSRRVEPKDSILSIEPSVDLATSSISQNSRGHFLRNQIASYLPQSWKERMVLPTPWHQKRHLSRSCGHLPDLISTTEEGQRNDLHEHQNGEKSIVQEKCESPKQDKLRNLWQLKCASERRRKELSKLHRIRQHKQYEMHSEAALNARNTIVLVAILIATVTFSAGINPPGSVYQEGHLKGKSLAGKTKAFKIFMISNYIALFTSLGIVVALVSIIPFKRKQLMRLILITHKLMWVSLSFTATAFISGTWVVVPQGRNGGWMVEVLLAISAGSLGILFIYLGVAMSRHQLRKLRYRDNKKERVADIAAIQGKNRSQSSIFDNRRSENQSYQMSQSTNSDVDSSTSLGYHAY >OMO54015 pep supercontig:CCACVL1_1.0:contig15067:30698:32854:-1 gene:CCACVL1_28131 transcript:OMO54015 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase I associated factor, A49-like protein MEGQEPSSSKSRTKKSKKGNREEKQKEAIKAVPESPEAKQENIQVKIQLFQDQPKKIPPLVAYFPSGYNPNPQEEEDGPKNNSNRPRVKVYRNMAQNKHNRLEVVVSPSGSNVDFVGSSYRGEAAAAQVCRYSLGVLDKESGTLKILPIASNKIFRLEPRVRGLETANKDDLDSARRELTAETKEDKMGELTALYGTKKDRKKRKDMLALKKEADPESQKSLDKKIAQVAFNKAALGDTTALIARNIPPHDSSATSPQDTYPLDQIIFKGDWDFLEEDIYRLWQKGGEVSSNAYPTFVCNRIKKLEAIEDEDEKWKLACLFSFITHLLKFNDMCKVDRVASAKNHKIPNVLRQRFHSMFAADQESKSWSPDQINRLISYVLVLTLHADQFRTDLRDIAKDLKMSSINLRTHFENLGCKLVREKTGVYAATLPVPLKFPSENLKRKRRR >OMO54021 pep supercontig:CCACVL1_1.0:contig15067:79221:79603:-1 gene:CCACVL1_28137 transcript:OMO54021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADVAQKMEAREASAIFIEGLISTIELDIKEVDDHEEKEFVGSSSNEKLHIEYNNQKAQMLIERACQCSCNNLDSPDLNIIEEPVTAPF >OMO82563 pep supercontig:CCACVL1_1.0:contig10021:11210:11356:1 gene:CCACVL1_11900 transcript:OMO82563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFVSAAVSVMAVDAIGVASLSAGYRTGPCESGHCNLPKFDTIIVSI >OMO90667 pep supercontig:CCACVL1_1.0:contig08448:15526:20707:1 gene:CCACVL1_07321 transcript:OMO90667 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MSYLPCCRVPISPTQHLQENTAREKQIEEAEMDRNKEAKRANRVKNGTSQEEHNGANGDHVSDKHGNVMDVQEDAKVDPGLNASLEEHGVGGIAYDRPLLGEAAQHRPYDRPLDRPSHGPTGHNRPSHGPTGHNRPSHGASPGHNRPSHWASAHNRPMDPLAIPQGPMTRARAKRFKEALLGFVRSDLGDQMQRLDNMDTKFDALADDVQQVKDGQNQQAQPPQQRANAAHNNERIQLPPPRQVARLDPMEGLRQQELGGQAHNENMRPRRGVEREEPKDNIKYKIPKFNGRGSPSDYLEWESKLDMYFDYHPHAKPKKSFMRKRFVPSFYTNGLYEELQSLRQGTRSVDEYYSEMMLLMSKTEVDEAPQATIARFLAGLNREIHDIVEMQQHYDVEKLLQHALKAESQVKRNKKSFASSSSSWKTPIKKDEKSSRSWRKRKRNRDEELALGSSGDGGDERGLAYDDEDDDDGSTPALVNLVARRTLSAYVKGDVHNQRENLFHTMMYANGKPSSVIIDGGSCTNIASMYLVKELALPTTKHPKPYSLGWFNDREEIKVNKQVLVSLSLGRYDDKVLCDVLPMQACHVLLGRPWQYDNKVHHDGETNKYSFMCGKRLITRDLGNFEDLQCC >OMO79104 pep supercontig:CCACVL1_1.0:contig10489:28062:34980:-1 gene:CCACVL1_13913 transcript:OMO79104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylation protein Rxt3 MSGPPKRSHEEGSGAGHPSSASKYPHEDTGTYPKLAPSSVSTDYHHPAPYDMGQDARMARIPRAEPRDSDRRSPLQHGIYRIPSSTGPSDSHLDSHQGGSESRMESRDSKENREVRVESREPRVEMREFYGEAVKREYQSGKGEKDGRFESRGDESKELKYDRENYNDPKGDVKVEKDVYGGPGSHSSWKDSRDYHRGKRYSEPPGGNIDSWHIQRSNSQGAVEVGKEGSATEERDFTEAHEAVGENKFDSKGDDRFKDKDRKRKDLKHRDWGDRDKERSDRRSSMQVGNSSGEMKESVREERESERWERERKDLKEKERPKEREKDHVKREPLNGAEKEGLNYEKELGDGSARIQEQENQASEQKKQKELDGWKNVDREARDRRRERDVDTEGDRPEKRSRCYDKESDDGCADGEGATEREREGFNYGVQQRKRMLRSRGSPQVPNREPRFRPRAQENEGSQGKSEVSSVVYKVGECMQELIKLWKEYETSQADKNGESSQNGPTLEIRIPAEHVTATNRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPAIQELRATIRVLPPQDCYTSKLRNNVRSRAWGAGIGCSYRVERCCIVKKGGGTIDLEPCLTHSSTVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKKPLYTSARLKKGEVLYLETHSCRYELCFTGEKMVKATPPSQAHEADPEKSQNHHSHSSNVEKTEADNIMIDVFRWSRCKKPLPQKVMRSIGIPLPVEHVEVMEENLDWEDVQWSQTGVWIAGKEYTLAR >OMO79105 pep supercontig:CCACVL1_1.0:contig10489:38588:39157:1 gene:CCACVL1_13914 transcript:OMO79105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMEEKQEHFCQSGRNNNANENLFTVGMLLLMVCLKIALLLFLVEQWRAWVFLVLNIVLLAIFFTSSSTQSQESKGEMKKRGNCRLPIDEVEAKKRKNESSDDIDEEKVEEKVEEEKNNEAHDPLKLSKEELNERVEAFIAMFRQQLASDARKGRENNKFFADRKQADMKKPIKLSSHGVNCFVLKVQG >OMO79103 pep supercontig:CCACVL1_1.0:contig10489:4276:10792:-1 gene:CCACVL1_13912 transcript:OMO79103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKGKDVEEFANRKEKMKKKKRWREGLKRWFEFYFVNSYLFGNLKSKGETAWVILPEVVLSAVSEDDMKCLEGVKNSLKDPDGKLSTWTFNNNSVGFICKFVGVSCWNERENRLLGLELRDMGLSGQLPESLKYCQSMQTLDLSANKLSGAIPSSFAHHDKADFAGNDGLCGGPLGKCGGLSKKNLAIIIAAGVFGAAGSMLLGFGVWWWYHLRWIRRRKKGHLGRGDDSSWAERLRAHKLTQVSLFQKPLVKVKLADLMAATNNFNAENIIVSTRTGTTYKAVLPDGSALAIKRLTTCKLGEKQFRWEMNRLGQLRHPNLTPLLGFCLVEEEKLLVYKHMSNGTLYSLLHESNAPIDWPTRFRIGLGAARGLAWLHHGCQPPFLQQNICSNVVLVDEDFDARIMDFGLAGLMTSSDVNETSFVNGDLGEFGYIAPEYSSTMVPSLKGDAYGFGVVLLELVTRQKPQEINAGEEGFKGNLVDWVNHLSNSGRIKDCIDRALCGKGHDEEISQFLKIACNCVVARPRDRWSMFQVYQSLKNMAEEHGFSEQFDDFPLIFKQDNESM >OMP12141 pep supercontig:CCACVL1_1.0:contig00374:79:147:-1 gene:CCACVL1_00103 transcript:OMP12141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAAATVDASIPRKFLSFFYELS >OMP11415 pep supercontig:CCACVL1_1.0:contig01306:1753:2238:1 gene:CCACVL1_00529 transcript:OMP11415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSIHISTSPSVFPVSAPSPSRVKFRPSLVAATATATENFTSTYTSKQEKGSSSGYLSRPGMASCTSLYEVLGISVGASNQEIKAAYRRLARVCHPDVAAIDRKDSSADEFMKIHAAYSTLSDPEKRAVYDSKLIWRRQRPLTSASRFSRGRNWETDQCW >OMO58830 pep supercontig:CCACVL1_1.0:contig14113:5860:5973:1 gene:CCACVL1_25328 transcript:OMO58830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLALTNPDPTRVAHLVMMDESPNRGCCVRKVSNLRPA >OMO58831 pep supercontig:CCACVL1_1.0:contig14113:6284:9770:-1 gene:CCACVL1_25329 transcript:OMO58831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAPIRIGIIGCAMIAYKVCRAIQLAPNATVAAVASRSVEKAAAFAMANGLPPEAKIYGSYEALLDDPDIDAVYLPLPTGLHHKWAILTAQKKKHLLMEKPVALNVGEFDEIVKACEENGVQFMDGTMWMHHPRTQKMEEFLKDKAEFGQLKSIHSCFTFYGDENFLESNIRVKPELDGLGALGDAGWYGIRASLWANDYDLPKTVTAMPDALLNEAGVILACGASLVWEDGKVATFYCSFLSNLTDPLTVIGTYGTLHLNDYVLPWHDHEASYTTTPRPVWDGRNYMPLPVDHTVASTLPQEACMVREFATLVQNIKKNGAQPDPKWPTISRKTQLVVDAIKVSIDKGFQPVEIVG >OMO58832 pep supercontig:CCACVL1_1.0:contig14113:41238:46376:1 gene:CCACVL1_25330 transcript:OMO58832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSQTLRELNFNSEMPPLCIDYPTLDMTCKLLSAERYNEICASCPYHNLSEALLIQNFYDGILHDDRTYVDAASGGSFTLKTPEEARKLLNTMAENVYQFNATNGDVSSKSSEPANERLNNLEATMKLMAAQQGDLVKIFTNMMNTLSQNLTPLPEGHIYGNKYGVKAIGEAQQWYTNEIELPDIPLPPAISPPLEPIFLDVPPPVAIINNKLPSEEEISANHKKENPLSSPKADQIQSEDEWMEEMQAKYDPLPFPQRFAQQLYSLRKLEVNVPFVNEMAKVPSFSIKDKGIRALKSKMKEKEFGVTSFIKVVQNIMKNTLSKFQGAVEKQVSLHHLFLHGHESGAEIGKDGDGTSQGRTTAHGDLASTLEEVMNKMEARFQKMIQEQITKNENGFKQIQEAISVIAETLGGQVQQHQAPHPMAAPYQVVAPPQVVAPPQIAAPYQVAAPYQVAEPHQVAASHQLAALVQQPQKITKNVMVNFPKNGRLSKVEKAKSLAMEEKVVPVRRDLVQKHLVRKDANGDACTKIRRYGYANSETKSWEHQLERPWIPRSVALRNFAPRRKLEFSPPSAKQEMVRSKDQPPRKMARKRLDFDTIPTFQKENSAPSRRMAQRFSKPRELTHEKHSLYYRWKKWHMAPSTSSSTPSSSKMVPSVTKQFQNALKLDPSAKTPTRPRSTKPKHEARPWLDRWKEEFQALAMEKEVNFHKERAVQSGRPKMVQPPPKAQNGVWRRVEHPKLPSQPIQGSKKTWRRKELRQRAKERRKMEMNEVPALQKLNVDSTTSNSNDGDDELGATNSSDGATSTTSNTTHVTNVTSNNMNESGDDNDTIQLSHEDSEHSGGKKDSANVVTKHDDNATNTHDNGLINAMEEDDGTQGGGTKINCTGDDGTLGDGTQDDCTQGGGTKINCTGDDGTSSDGTQDDCTQGDGTKSVYCTESGDEAIYDATSDNMNSNVGSNDYGDDQTNEFKVANEEVMLGGQLSNHPHFDEADGSTTYKEIEDPPKINDRVVYNHKNNPHN >OMO58173 pep supercontig:CCACVL1_1.0:contig14265:40295:45251:-1 gene:CCACVL1_25552 transcript:OMO58173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNEEFSVMVLASDLGIDARPFLTHQPPEIEDPDNWHDCSQDFSDQDFSDLDLLRFFSLQGSDKSGSRIFRIVGKYFPATVISADLLKKYICHKICSELPEGPFCIVYMHSTVQKEDNSPGVTILRWIYEDLPSEIKDRLQVVYFIHPGLRSRLVLATLGRFFLSGGLYWKIKYVSRLQYLWEDIKKGEIEIPEFVENHDKVLEHRPLTDYGIEPDPLHLTEVPSSSLSLGRGIYQLNGREEMGCTHSKSKDEVLHESHVAAIGKAGGKNNIDIVNDVKEVAKKEEKGNAEMVKLHVRKDEVEAMLKDDKNESEDESFYTADNIEEINPKSEEHHNPDGVS >OMO53940 pep supercontig:CCACVL1_1.0:contig15096:22062:22672:-1 gene:CCACVL1_28193 transcript:OMO53940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSPVLAGDDFYFGGGLKPCLQRFSVQIC >OMO88291 pep supercontig:CCACVL1_1.0:contig09047:14092:14310:-1 gene:CCACVL1_08478 transcript:OMO88291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial import receptor MASKVALKPKGKSGKGSKGSEEKSTVQCLKEWSTWAVKKAKVVTHYGFIPLVIIIGMNSEPKPQLYQLLSPV >OMO88293 pep supercontig:CCACVL1_1.0:contig09047:67565:69465:-1 gene:CCACVL1_08480 transcript:OMO88293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHLESHGEATCEATKFLLIGKILSDKPINRKGALGVLRSMWGGKDCPVITELGMQIYGLAFQSEAQMFEAMVESPWSVMGCCLILKKWEIDKPISEIPFDRVQFWVQIHELPLDMQTLDNLKKIDNSIGRVVMLEKPEWNQGTGRCYMRMRIELDVKNPLIPGFWVPRQGRDKLWVKLKYEKLSDFCFVCGRLGHHGKYCDKSGNGLSRDNPYGPWMRVAPVRRTFLGRLIDTEEKGVPALPEINPVENAGILTRVYRSVESEGVPELPAIQSAEDVGSRGEPELETEAEFFSRQSKSLARPRELTRELESSAHGPNSERAPHASRESVTKVLGNTLHKCASACDGFSGATISGDFLRGNLHENGLCRIRDEHVDNQEISMVKREIYGTSGVTNLADAAAVRKSLERKHRAELVSLNKENLLPVTTCSVYNPTSEKDSISGPELASESDASPPSVVKEAFNETVLFHVEVGLTTFFRNLNLKRSLEEIGSFGEVSNKKIWVEVRMVP >OMO88292 pep supercontig:CCACVL1_1.0:contig09047:17532:23369:-1 gene:CCACVL1_08479 transcript:OMO88292 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAAAELSNLCSQLSLQEGEKPKVVISQDLLEEGDGGAQFFSLIGKFFTEKKANLEGMRTTLFNAWKLQMGLIVKEVGEKIYMFQFKDEAERDRVLVTQPWHFNRILLVLKKYDGVEKPEEVDFTFCPFWVRIFDLLPIMMTAKVGAAVGELIGPVLEVDEQWGEVEFRYESMPDICLVCGMFDHISENDCPVATEMRLKLGRVIRRFTPWIRAESPRFKPSRLNGGDGSFRLSDSASRRLSLLSVASGSSFHSVRGGRDLQPSPSVGDNRRSNRPHVDSMVLRGKQVARALFSDDESCEILSRQPRNEGPRIADQDELVGAVAKRVETVAQRVEPTQSYTDEADELYGDLGLDLATGIGPSTLGLGGSRQPHNGSGLNKMNNMGSVLGNEIPGIEQFRLGLGDIAAGLDNSVPKEGVLSTQNLALLQNDQVRQLLADLAAASPSFVFGATTSTSSPSRRVRKWKKAARASQSTSLNLVAGQSNVKEGRKRLSGSSILMPNTGGLVKRSREHAMEVGSTQSAGQDVEGLAPYLAGNSGKDVATKQENVRTVSEMDWIRSRLGFDYCFAVDCNGRSGGLAFLWMADNNVSLLSYSFFHIDVCIGSSDIDKWRFTGFYGRPETYKRHESWALLRSLCDRYSIPWLCAGDFNELISSAEKEGGALRSSRQMDLFKSVIDYCGFHELPVVGPLMTWHRRIKGELVFERLDRSFATESWWRKFGSSFEKHLISSASDHLPLLISISSRTQVFSKRKRSFKFEDMWCSHENFEKIVKDSWCSSSQEIASKLADCSLALEQWNKTEFGNLQCNIAYKKKEYELLYADYGAGSMVQFEKCKLELDKLYRREELLWRQRSKALWLKEDMISRLDASFTAKEVKEAVFQMSCSKAPGPDGFSPGFYQRCWLIVGADVTCFVLDFLNNGETQSAFVPDRMIYDNAMISFETVHFMRNKRTGRQAHMALKLDLSKAYDRVEWTFLEETMRVMGFPIKWVSLVMKCVRSVSYSVIVNDEQCQRFIPSRGLWQGDPLSPYLFVLCMEVFSSMIESANGTAEYFSTGHFMEARLGSNPSFVWRSLLAVRKVIEEGSRWRVGSGNLDVWEDCWVDNLNSCRPLPRIDTHKPSIRVADLIDFENRSWNIDMVLNTFEKEDAWRILSLAIPRQPVPDRLIWNDNKLGCFSVRSAYYMARRLLGRDVMPIGSRLPIWKYIWSASVPPKIKYFAWRLVWNILPAKNILRHRGLDVSDNCEVCVEVETSIYHVFFGCRFSELVWESVCLWVPICLEQWDSETDFWNYFVDKAATVGHLDKALYVLWALRYNRNRSMHDSTCSTPAALVKLVVSMEAQVANAIGVNIVDNLSKHKQVEWNPPPPGILKINSDASCCAARGEAGLGVVIRNSNGEVVVSGSRKLYFVSDPLYAEVHAILFGFELALEYGVDDCMFESDSLSAITEIKKHGLSFWEGGVLIDEIRDLATLFDKCTFHFVNREANDLAHRIASLGLDDVWCGSVPPSVL >OMO79683 pep supercontig:CCACVL1_1.0:contig10384:153:3135:1 gene:CCACVL1_13505 transcript:OMO79683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor aIF-2, bacterial-like protein MISLLEFRIEFGSLLILRYFHASSGLLARRKNEEESGLKLRDKEKPKGKFSKREKKSEPPVEARYVPKLKKTSKSLQEKTVEIFDGMTIVELAKRTGEHVAALQDILINVGESVGSEFDPLSIDIAELIAMEVGASVKRIHTSEGAQILPRPPVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVSMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAVAHAKAANVPIVVAVNKCDKPAANAERVKIQLASEGLLLEEMGGDIQVVEVSAIKKTGLDNLEEALLLQAELMNLKSRVDGPAQAYVVEARLDKGRGPLATAIVKAGTLVCGQHVVVGSEWGRIRAIRDMMGTLTERATPAMPVEIEGLKGLPMAGDDIIVVQSEERARMLSAGRKKKFEKDRLLKISDGAEALKELEEVPERAEMPIIVKGDVQGTVQAVTDALRTLNSPQVFVNVVHVGVGPVSQSDLDLAQACRACIVGFNVKSPPSSISLAATQANIKILMHRVIYHLLEDIGKMIVEKAPGTSETQVAGEAEILGIFEIKGRSKAKGGEVRIAGCRVTNGCVTKSATMRLLRSGEVVFEGPCASLKQETQDVEKVGKGNECGLVLCNCDNFQVGDIIQCLEQVIRKPKFISSESGAVRIEC >OMO79684 pep supercontig:CCACVL1_1.0:contig10384:4788:24587:1 gene:CCACVL1_13506 transcript:OMO79684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAEVDFLHKVGTTELTLCRIDQKIDFVGFWLLSSLSWHVGGLDSLTGLDTVTVGREQENAFSFGK >OMP02610 pep supercontig:CCACVL1_1.0:contig06218:42141:43849:1 gene:CCACVL1_02747 transcript:OMP02610 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MAASLLRLHFHDCFVNGCDASVLLDGDNTTEKFALGNLNSARGFEVVDAIKTAVESSCSGVVSCADILAIAARDSVLLSGGPSWRVLLGRRDALISNATLANAALPSPFESLDAIIQKFVNVGLNITDVVSLSGSNLTQIPNPLSLE >OMP02608 pep supercontig:CCACVL1_1.0:contig06218:31334:32893:1 gene:CCACVL1_02745 transcript:OMP02608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQSQMMNQPPQMMMNPVQVQVPVQPQLMNQSHQLMAAAAHSQAMNQLAAAQSQPMSSGPQMMNQPPPPPMMMNRSYKPWQSQDPNPNLNLNPNPNKKFPSFNRNNNWKGKKVTDSRKFDNKPLPMPSASAISSVSAAPGSSTQGYKPPTLNELQSQNRLKARKFYGNKKKFNNNNNNNNNRFAPYAPRNTTSFIIRAKKSGGIASLVSPCPVTPAVLPTPIFSPSREVLGDMAKEEWGVDGYGSMKGLIRLRSPEAGHEEDEDEDVGNGGGSSESDVEEHVEVERRLDHDLSRFEMIYPSYGGDYNNVLENRVDDQDTHIAQLEEENLTLKERLFLMERELGDLRRRLQFLERQSQIVEDVNEEVVENGSDNESEDGGSDLRVVTGAADHNNVEILQFVPRDGRNADVVMENDNNGGMPQNEGIRDISMDEIAGEDDVARKVELKNEGRTDDELKSGQDFREETVGEKENETNSDEAGDSEFVGEKIVEDEKDESGCEEARNKSADNNGTMNEEMHL >OMP02611 pep supercontig:CCACVL1_1.0:contig06218:53501:54909:1 gene:CCACVL1_02748 transcript:OMP02611 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MPSLVQMSSAALLLILAVMLGGSHAQLSPTFYASTCANLNSVVTTALGQAVQSDIRAGAKIIRAHFYDCMVNGCDGSVLLDDDAENGIDSEKDEAPNQTLDYDIVDTIKTAVENACPGVVSCADILALASQIGVALAGGPTWQVPLGRRDSMDANAAGTAGIPSPFDTLDIQQRKFRDVGLDDSTDLVALSGAHTFGRARCATFSNRIGTDPSLDPTFSDVLAQICQGGANALTNLDTSTPDDFDNNYYTNLQNNRGLLQTDQDLFSTNGSSTVAIVNSFAASQTEFFDAFVQSMIKLGNLRPLTGTNGEIRTNCRRIN >OMP02612 pep supercontig:CCACVL1_1.0:contig06218:61070:62594:1 gene:CCACVL1_02749 transcript:OMP02612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MPSFQMSAALLVLLAMLLGGSNAQLSPTFYGSRCPNVTSIVRGVLERAVQSDVRAGAHIIRAHFHDCMVNGCDGSLLLDDDAANSIDSEKNEVPNQSIDYSVVDDIKTALENSCPGVVSCADILALASQIGVSLAGGPNWQVPLGRRDSRTANRAAVLGAIPSPFDNLGQQQSKFRDAGLDDSTDLVALSGAHTFGRARCATFSNRIGTDPNLDPTFSNVLAQVCQGGNNALTNLDSSTPDDFDNNYYTNLQNNRGLLQTDQDLFSSTGASTVAIVNSFAGSQTEFFDAFVQSMIKMGNLSPLTGTNGEIRTNCRRIN >OMP02607 pep supercontig:CCACVL1_1.0:contig06218:1960:28292:1 gene:CCACVL1_02744 transcript:OMP02607 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 48 MSRAEELWERLVRAALRRERFGMGAIGQPVAGTAGGIAGYVPSSLTNNRDIDAILRAADEIQDEDPNVSRILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREGATIDRSQDIARLQDFYRLYREKNNVDKLREEEMKLRESGGFSGNLGELERKTVKRKKVFGTLRVLGMVLEQLTEEIPEELKRVIDSDAAMTEDLIAYNIIPLDAPTITNAIVTFPEVRAAVSALKYFRGLPKLPGEFSVPDTRSADLLDFLHYVFGFQKDNVSNQREHIVLLLANEQSRLGIPEETEPKLDEAAVQKVFLKSLDNYIKWCNYLCIQPVWSNLDTVTKEKKLLFVSLYFLIWGEAANIRYLPECLCYIFHHMVREMDEILRQEIAQPASSCCSENGVSFLDQVITPLYEVVAAEAANNDNGRAPHSAWRNYDDFNEYFWSLHCFELSWPWRKSSSFFQKPKPRSKNPLKSGGGQRRGKTSFVEHRTFFHLYHSFHRLWIFLVMMFQGLAIIAFNDERLNSKTLREVLSLGPTFVVMKFIESVLDVVMMYGAYSTTRRLAVSRIFLRFVWFSVASVFITFLYVKAIQEESKTNSNSLVFRLYLIVIGIYAGIQFFISFLMRIPACHHLTNQCDRFSLIRFVKWMRQERYYVGLGMYERTTDFIKYMFYWLIILSAKFSFAYFFQIKPLVKPTRAIVQTSPIQYSWHDFVSQNNHHALTVASLWAPVIAMYLLDMYLFYTVLSAVWGFLLGARDRLGEIRSLGAVQKHFEEFPAAFIKTLHPAAANRSGTCCFVFLKVVEKNKFDAARFSPFWNEIIKNLRDEDYLTNFEMELLLMPKNTGSLPMVQWPLFLLASKIFLAKDIAAESRDSQEELWERILRDDYMKYAVQECYHILRFILGEILEAEGRMWVERIYEGIEDSIQKKSIHVDFQLNKLQLVISRVTALLGILNQAEKPEHEKGAVKAVQDLYDVVRHDVLAINMREHYEQWNNISKARTEGRLFAKLKWPRDPELKAQVKRLHSLLTIKESAANVPKNLEARRRLQYFTNSLFMDKPPARPVHEMLSFSVFTPYYSEIVLYSMNELLKKNEDGISTLFYLQKIYPDEWKNFLARIGRDENAADTELFDSPSDILELRFWASYRGQTLARTVRGMMYYRKALMLQTYLERENSGDTEAAVSRLDSTDTQGFELSPEARARADLKFTYVVTCQIYGKQKEEQKPEAADIALLMQRNEALRVAFIDVVETLKEGKVHTEYYSKLVKGDINGKDKEIYAIKLPGNPKLGEGKPENQNHAIVFTRGNAIQTIDMNQDNYFEEALKMRNLLEEFHRDHGIRPPTILGVREHVFTGSVSSLASFMSNQESSFVTLGQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRIINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLLDFFRMMSFYFTTVGYYFCTMLTVLTIYIFLYGKAYLALSGVGETMQIRANIMKNTALEAALNTQFLFQIGIFSAVPMILGFILEQGFLRALVSFITMQIQLCTVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVRHIKFSENYRLYSRSHFVKGLEVVLLLVVYLAYGYNAGGVLSYILLSVSSWFMALSWLFAPYLFNPSGFEWQKVVEDFRDWTNWLLYRGGIGVKGEESWEAWWDEELAHIRTLRGRILETILSLRFFIFQYGIVYKLDVQKDNTSLTVYGLSWVVLAVLILLFKVFTFSQKISVNFQLLLRFIQGLSFLLAIAGVAAAVVFTDLSITDIFACILAFIPTGWGILCIAAAWKPVVKKIGLWKSFRSIARLYDAGMGMLIFVPIAFLSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNTGI >OMP02609 pep supercontig:CCACVL1_1.0:contig06218:35699:38734:-1 gene:CCACVL1_02746 transcript:OMP02609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVGATKNLNVWPPMNLQRNLGLNPSPPPKLFKPKPNTKTLRICRCSLDVERAVQVLEGQEGVPDPTSSDLIEGRWKLMFTTRPGTASPIQRTFVGVDNFSVFQEVYLRTNDPRVSNIVKFSELIGELKVEAAASIKDGKRILFQFDRAAFSFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSPSGNVRISRGNKGTTFVLQKETDPRQKLLAAISTGTAVREAIDEFIALSKSETKDESVLLEGQWQMVWSSQVETDSWLENAGNGLMGSQIVKNEQMKFVVNILPGVRFSMIGKFVKSGPNTYDVTMDDAALIVGPFGYPLEMETKINLQLLYNDDKIRISRGYNNILFVHLRTE >OMO84700 pep supercontig:CCACVL1_1.0:contig09719:44241:46376:-1 gene:CCACVL1_10705 transcript:OMO84700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTPPLPPPIPAPQPPPPPPPPASLPAKPNLVDASSSESEMESSDEVNEKGVAKPARKHAKREAILGPAIDKSVAHEAVGVKPATLIPKEIPLIKKKNPLLQINIAPKQIPNEQKDDDDDHDLKQISEEPNEEDSDAKRFATADELEKGKLPPEEILSLPMFKNYTAGNPASVLYIKNLAKDVLPEDFYFIFGSLFGSIDAAKSSLNVKLMQEGRMRGQAFVTFPSVELAHRALNLVNGYVFKGKPIIIQFGRNPAAAKTT >OMO84698 pep supercontig:CCACVL1_1.0:contig09719:33081:35088:-1 gene:CCACVL1_10703 transcript:OMO84698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MAEVLGKANLFTTCNYSHRKNQGGGVSLPRRNSGFGLRRRNSLPSLKLKSQALRSDFNGKRVVFLEKKSSNKKRFCQVPVKAQMQTGLIGRTQKWWEKGLQPNMKEVTSAQDLVDSLVNAGDKLVVVDFFSPGCGGCKALHPKICQLAEKNPEVQFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAQGRLCSFSCTNATIKKFRDALAKHSPDWCSLGPTKGLEEKELVALSSNKDLSFDYTPKPVQPAPVPAQEEVLVSKEAPSESETNLPLPTSTTSLNTKDAEEKALVGAGR >OMO84699 pep supercontig:CCACVL1_1.0:contig09719:38945:40729:1 gene:CCACVL1_10704 transcript:OMO84699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan/tyrosine permease MLLPHHPSIPNSLLKSRFNLHFINTQLHSLSIPSTASLNIKPIKLFKRNQHSFSTLPSKNHHPLLFTAKCRPQSLVPPAVSHDNEENIDETVRILKNEIIQESQGQGKEKSFWGAVSLIIGTAVGPGMLGLPAATIKSGPLPSTIAILLSWVYVISSIILVAELSFAAMEEDGLAEVSFTGLATKALGNHFGAFVAVIYASLSFSLLVACVSGIGSIVCQWFPQMNLVLAHALFPLAVGTVIMFFPFKAIDASNRLLCFLMLFSITALVAIGLSVARANVLGSFTHASWSLSSILPAIPVTVLTLGFHVITPFICKIAGNSVSHARKAVLIGGAVPLIMVLSWNLIVLGLAGTTSVVSPKDPISLLLSVNPSALSAVQGFALSALATSLIGYAVSFPKQLLDTLELILGKTSLEKQKLYEPQLVSTTDGSGRVGFVIYSGQQDRGKFGKVSFGSNDIASSKDKLFSRMTDLKSFEMLVMPLVLSAPVLIASYFPSTFSRALDFAGVYANCFLFGILPPAMAYIQQSRKKLRLSILPGGDVALALLFGIAVILGIWH >OMO84697 pep supercontig:CCACVL1_1.0:contig09719:15729:18182:-1 gene:CCACVL1_10700 transcript:OMO84697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MDRDEPHWRINSSFSPPPLRIWDCRLHSDGLPHGSHGAGLPGSSLSSNSRGSRSRVGSEGYINHHHSVSDGALSYSASPPDNAQVPRWTSPLQRFKPEELPASSIGGPLPQTSWFPRSTERRYAVKPTAASPSFGSPSSLSESSRWESSSKQPFSFPNRNFSGRRSYITKAVYPLVFRNPVSDSEAFGDADINSVGRLTPSEDRFSPFHWHENISGVEHKFHKTLSELQRSEASPDPSASSRREGFRWSSASSYDLGLDGEKFDMAEHVEVESVRSPISSVVDHKCGVCGKLLWQKSPWSSHRIMRGGDMPTAGVLPCSHVFHAECLEQVTPKSQMNDPPCPLCLKTIGPLEEPTSFSEPLQVALRSLRRSRGAMISEDHEDDEVAYHFKEKFRRNWPRPSPRRNDSGSSIKNRLKKHFTFKGKVSKDIFTTKVFQKIGSSSSSGREAVRHRVSIQ >OMO56900 pep supercontig:CCACVL1_1.0:contig14464:4854:5141:-1 gene:CCACVL1_26175 transcript:OMO56900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTQHFSQSYNSNWTAEQNKLFENALVTYDKDIPDRWRRIAKLVGGTNEEEVKRQYEILLEDIKRIESGKVPLPKYRRTAGSSKTNDIANEQQR >OMO56906 pep supercontig:CCACVL1_1.0:contig14464:27277:28979:-1 gene:CCACVL1_26182 transcript:OMO56906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGLINANPVVHAKKERVARSEDPHGDDAVDPLEIYDILPLFSILNFVRDIRDPEHPYSLEQLSVLSEESITVDEKLGRILITFTPTIQHCSMATVIGLCLRVKLKECFPPHFKLDIKVAPGSHADEDSVNKQLNDKERVAAALENPNLRQLVDECLYSNEL >OMO56907 pep supercontig:CCACVL1_1.0:contig14464:29875:31895:-1 gene:CCACVL1_26183 transcript:OMO56907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRRHAQKDDSEQRDAKISELRTAIGPLSGRSAKYCSDACLRRYLEARNWNVDKAKKMLEETLKWRSTYKPEEIRWHEVAHEGETGKVSRANFHDRQGRTVLIMRPGMQNTSSSEGNIRHLVYLLENGIMNLCEGQEQMSWLIDFTGFSLNTNISVRVARDIIYILQSHYPERLAIAFLYNPPRIFEAFYKAVKYFLDPKTAQKVKFVYPKNKDSVELMKSYFDVENLPGEFGGKGTLKYDHEEFSRLMAQDDVKTAKFWGIDEKPYYIANGKSAAEVAPEPAAIA >OMO56901 pep supercontig:CCACVL1_1.0:contig14464:6948:10287:1 gene:CCACVL1_26177 transcript:OMO56901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGFSSSSTATTQALKEKKWDALVIGGGHNGLTAAAYLARGGLSVAVLERRHVIGGAAVTEEIIPGFKFSRCSYLQSLLRPSVIRELELKRHGLKFLKPTATSFTPCLDGRYLLMGHDDHHNYLEISKFSKRDADGYPRYENQLYKFYKLMDFLLDSRTPETLQWGSSSFTDRLRDKLEKSVFWARCLRHIFALGQKDMVDFMDLLLSPTSKVLNKWFESDVLKAALAADATIGSMASIHTPGSGYVLLHHVVGETDGDRNVWSHVEGGMGAISLAISNAASEAGASIVTNAEVSQLMIGDSGAIEGVLLADGTRVHSSAVLSNATPYKTFLGLVPQGVLPEDFVRSIKYSDYKSGTTKINVAVDKLPQFDCCRSNNPEVGPHHTATIQIGCESMEGIELACRDAWNGLPSKRPVMEMTIPSSLDKTISPPGKHVIGLFTQYTPYKPSDGSWDNPTYRESYAQRCFSLIDEYAPGFSSSVIGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSGYRTPVRGLYICGSGAHPGGGVMGAPGRNAARILLDDFKKHS >OMO56899 pep supercontig:CCACVL1_1.0:contig14464:3468:3890:1 gene:CCACVL1_26174 transcript:OMO56899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESERKQTAPKSESVPSSPTSTVVCERPKPDKDAGFVTNMRARFDGFIHAPMDEHKACFKQSFQKVFGSWNWNDNNEQRDTFKSIKSLFVFEKKN >OMO56903 pep supercontig:CCACVL1_1.0:contig14464:17360:19487:-1 gene:CCACVL1_26179 transcript:OMO56903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKAVMVMNTQGKPRLAKFYEYLPPEKQQELIRSVFAVLCSRAENVSNFIQAESIFGPDSRLVYKHFATLYFVLVFDSSENELAVLDLIQVFVETLDKCFKNVCELDIVFNYSKIHTILDEIIFGGQVLETSSTEVMKAVEEISKLEAASNSITLIPKSASGWRNR >OMO56905 pep supercontig:CCACVL1_1.0:contig14464:21465:26103:-1 gene:CCACVL1_26181 transcript:OMO56905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSAYDSFELLNDCPTKIDAIESYGSKLLVGCSDGSLRIYGPDSSGADRSPPSDQHALRKEPYALERSVPGFSKRPLLSMEVLESRELLLSLSESIAFHRLPNLETLAVITKAKGANVYSWDDRRGFLCFARQKRVCIFRHDGGRGFVEVKDFGVPDTVKSMSWCGENICLGIRKEYMILNATNGALSEVFSSGKIAPPLVVALPSGELILGKENIGVFVDQNGKLLQADRIVWSEAPTVVVILMPYAIALFPRRVEIRSLRVPYPLIQTIVLQNARRLIKSKNSVVVALDNSVHGLFPVPLGAQIVQLTASGNFEEALALCKLLPPEDASLRAAKEGSIHIRYAHYLFENGCYEEAMEHFLASQVDITYVLSLYPSIVLPKTTVIPEPEKLMDLSQDASQLSRGSSGLSDDLDTMLPQLSESDESEALEFKKMSHNTLMALIKFLQKKRYSIVEKAAAEGTEEVVLDAVGDSFSSTRFKKSNKGRATISVNSAAREMAAILDTALLQALLLTGQTSAALELLKGLNYCDVKICEEILQKGNYYAALLELYRSNSMHREALKLLHQLVEESKSNQSQTELIQKFSPEAIIEYLKPLCGTDPMLVLEFSMLVLESCPTQTIELFLSGNIPADLVNSYLKQHAPNMQGRYLELMLAMNENGISGNLQNEMVQIYLSEVLEWYSELSAQNKWDEKDYSPTRKKLLSALESISGYNPEALLRRLPSDALFEERAILLGKMNQHELALSLYVHKLHVPELALAYCDRVYESVVHQSPAKSSGNIYLTLLQIYLNPQKTTKNFEKRITNLVSSPNTSTPKVGSTASVKGKGGRKKIAAIEGAEDLRITSSNTDSGRSDGDAEEFSEEGGSTIMLDQVHDLLGRRWDRINGAQALKLLPRETKLQNLLPFMGPLLKRSSEAYRNLAVIKSLRQSENLQVKDELYNQRKAVVKISSDSMCSLCNKKIGTSVFAVYPNGKTLVHFVCFRDSQSMKAVAKGSPLRKR >OMO56904 pep supercontig:CCACVL1_1.0:contig14464:19969:20766:1 gene:CCACVL1_26180 transcript:OMO56904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MATQDDDDEDIDPVRMILPDEQEEGKSLTMLGDGVAKQQVQQHYIRSIESTLVIRQLPSEGLSFQLWPAATTLVNLLDNHRCHTTKSPLATTLTALSNCENDRKLKILELGSGTGLVGIAAAVTLGANVTVTDLPHVIPNLQFNVDANADVVAQKGGNVDVAPLRWGEDEDAVVIGREFDLVLASDVVYHDHLFEPLIQTLRFLLNGGEGDEKKVLVMAHLRRWKKDSVFFKKAKKLFDVETLHVDPPKEGSRIGVVVYRFSGKS >OMO56902 pep supercontig:CCACVL1_1.0:contig14464:10934:16429:1 gene:CCACVL1_26178 transcript:OMO56902 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD dependent oxidoreductase MWRRSFSTSSHANAANALKEKKWDALVIGGGHNGLTAAAYLARGGLSVAVLERRHVIGGAAVTEELISGFKFSRCSYLQSLLRPSVIRELELARHGLKLLKRNPSSFTPCLDGRYLLLGPDKELNHSEISKFSKRDADAYPRYESQLERFCKLMDPLLDSSPPESLQGVSSLQDRFKNKVQNSAFWTRLLRQAGSLGQKDMVDFMDLLLSPASKVLNNWFETDVLKATLATDAVIGSTASVCTPGSGYVLLHHVMGETDGDRGIWSYVEGGMGSVSMAVANAAKEAGAHIVTSAEVSQLIVEDSGKVNGVLLADGTPVHSSSILSNATPYKTFMELVPDNVLPDDFTRAIKYSDYSSATTKINLAVDKLPDFDCCKSIYPGSGPQHTGTIHIGSESMEEIDSACQDAVNGLPSRRPVIEMTIPSVLDKTISPPGKHVINLFIQYTPYKPSDGSWDDPAYRESFANRCFTLIDEYAPGFSASIVGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKGWSNYKTPLPGLYLCGSGAHPGGGVMGAPGRNAAQLVLQDFKKKSI >OMP06879 pep supercontig:CCACVL1_1.0:contig04766:185:370:1 gene:CCACVL1_01415 transcript:OMP06879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPLREKDNMQLRIVNYILYGNGKPTRRISDTSIQLVRTCLVLNWDQDNKSSSADEVCASFVE >OMO99504 pep supercontig:CCACVL1_1.0:contig06881:31207:32311:1 gene:CCACVL1_03774 transcript:OMO99504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MEEQSFLDRMMGHLRSTCKYYTGYPKDLGPSRVIHFTSEREFVQLLHQGHPVVVAFTIRGNYTMHLDRVLEEAAAEFYPNVKFMRVECPKYPGFCITRQKKEYPFIEIFHSPEQV >OMO99503 pep supercontig:CCACVL1_1.0:contig06881:17440:28688:-1 gene:CCACVL1_03773 transcript:OMO99503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYHSLNVHTHSLFRSLCSIGRNFARTPRVGDSFPSFKNVYVIFPSLLKSNKGYCSLSCNLNSSLPGTLHATPHGNAVIYSKNEHILHQEAALGMANHQEGVVNDNSSNGRVMLIDGTSIIYRAYYKLLAQLHHGYLANADGNGDWVLTIFTALSLVVFDHDGVPFGHTSISSKENVMAKGLNFRHTMYPAYKSNRPPTPDTVVQGLQYLKASIKAMSIKVIEVPGVEADDVIGTLAARSVDAGFKVRVVSPDKDFFQILSPSLRLLRIAPRGYEMVSFGLEDFSQRYGDLKPSQFVDVVSLMGDRCDNIPGVDGIGNVRAVELITKFGTLENLLQCVDQVKQDHVRKALIENADQAILSKNLALLRCDLPFYMVPFATRDLTFKKPEDDGEKFTSLLTAIGAYAEGFSADRIIRRAFYLWKKLGAS >OMO99501 pep supercontig:CCACVL1_1.0:contig06881:8520:10570:-1 gene:CCACVL1_03771 transcript:OMO99501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCWRLVMDAISKSATCPKSLNPANAILKMKTKHLASQPMEKLRSM >OMO99502 pep supercontig:CCACVL1_1.0:contig06881:13806:16153:1 gene:CCACVL1_03772 transcript:OMO99502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-tRNA ligase, class II MPRQKAGPDASVKKTDVWVPGSTRNPEAYILKDQETRYRQRYLDLMLNLEVRQIFKTRSKIISYIRSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNELNMKLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAFADYNDLMELTEKMLSGMVKELTGGYKIKYHANGLDNDPIEIDFTPPFRRLDMVEELEKMANLNIPKDFSSDEANKYLVDACAKFEIKCPPPQTTARLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFINKHELCNAYTELNDPVVQRQRFAEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLAMLLTDSQNIKEVLLFPAMKPQDEPSAKAPAT >OMO54909 pep supercontig:CCACVL1_1.0:contig14863:90256:98526:-1 gene:CCACVL1_27477 transcript:OMO54909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLRISKAGPGLDKSYYYNSELGPRPESNPAPMNISTYI >OMO54908 pep supercontig:CCACVL1_1.0:contig14863:82616:87258:-1 gene:CCACVL1_27476 transcript:OMO54908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase/Amb allergen MGFISCRFFCLFTLLLLMTLFVLGKASASAEKTELKSRLGEEEMLQQGMKNNSSMADGLNDDEWINEHAVENPEEIASMVDMSIRNSTERRKLGYFSCGTGNPIDDCWRCDRRWYLRRKRLANCAIGFGRNAVGGRDGKYYVVSDPGDDDPVNPKPGTLRHAVIQDRPLWIVFKRDMVITLKQELIMNSFKTIDARGANVHIANGACITIQFVTNIIIHGLHIHDCKPTGNAMVRSSPSHYGWRTIADGDGISIFGSSHIWIDHNSLSNCADGLVDAVMGSTAITISNNYFTHHNEVMLLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYLAPNNPFAKEVTKRVETSSNYQWRHWNWRSEGDLLLNGAYFTASGTGASASYARASSLGAKSSSLVGILTSNAGALSCRNGRMC >OMO54906 pep supercontig:CCACVL1_1.0:contig14863:46826:49020:-1 gene:CCACVL1_27474 transcript:OMO54906 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MSSSTPDVTSTPHQPGIGSSTNQDQATEETNPANTDPVAEGLDEERVPENKRAKTSTVWSEFKDVTSNGTDFGQCIHCKKQIKKNKSRSTTQFKRHLETCPALTNGKFDMAKMREAAAHWILMHEHPFSIMEEEGFNMMQKRGMPQWEKVSRVTIKKDCMQVYEVEKKKLKAVLKNVNKISLMTDLWKSSNQRIEYMVLTAHFIDSNWRLQKRIISFVHIPPPRRGVEIADCFYKCLQEWGIENKVYTISVDNAISNDVAIRNLKDTFSRTKKLLCGGKLFHVRCCAHILNLMVQDGFSEISNMIDSIHESVRFINHSEARLRSFAAIVQQLQLPERKLILDCKTRWNSTFEMLSTAIKFKDVFPRYQDREPSYNCCPAPGDWVKVEKICEILEVFNAVTKIISGSDYPTSNLFLNEVHRVKVLLDKNMNNENEFIRAMVSRMKSKFDKYWGECNLLMFIAAILDPRCKIMAVEYCLNRMYSEQEARANMAQIRDALFEIYEDYVSEHQHGDEHSAETNVLDHGSSGGNSTDSTSGWSEILTYVKSKGSGPPQESDLDAYLSEGCFIYSGDPAEFNALEWWKANSLRYRILSKVARDILAIPITTVASEAAFSAGSRVIDTYHASLAPETVQALLCGGDWCRNLHGVKKKNKNEKKSIEIALG >OMO54907 pep supercontig:CCACVL1_1.0:contig14863:55612:58340:1 gene:CCACVL1_27475 transcript:OMO54907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPLSFQGPLFTPCFTPAPICQSYWFTPQRDWLVSLRLHANSLSGPLPEPALPNSTCKNLQSLDLGNNKFSGNFPEFITRFKALKELDLSGGEHLTLEDVLNATGQVMEKTNYGTVYKAKLADGGNIALRLLREGSCKDRSSCLPVIKQLGKVRHENLVPLRAFYQGKRGEKLLIYDYLPNRSLYDFLHESRAGKPVLNWARRHKIALGIARGLTYLHTGLEVPITHGNVRSKNVLVDDFFVARLTEFGLDKLMIPAVADEIVALAKTDGYKAPELQRMKKCNSRTDVYAFGILLLEILIGKKPGRNGVDLPSMVKVAVLEETTMEVFDVEVLKGIRSPMEDGLVQALKLAMGCCAPVASVRPTMDEVVKQLEENRPRNRSALYSPTETRSEVGTPF >OMO71028 pep supercontig:CCACVL1_1.0:contig11748:501:9842:1 gene:CCACVL1_18495 transcript:OMO71028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein MAGGRKKGGNKAKVKNLSLGDLVLAKVKGFPFWPAKISRPEDWEREPDPKKYFVQFFGTEEIAFVAPADIQAFTSETKSKLSEKCQAKHKYFVQAVKEICVAFDELQKEKSSGLRDETDRSTPGCEASSVDGAEDVEAEVGLKNGTGAVASGGETTSEGKGDASNLDSCSRRGENHGEDLKPSISGHADDSSMVFSSEGKQKLPNGERPKQEVFSPSSLDEPSHIKKEFSSDKIGTVNCSKKTLREDQKFKKMASGPKKRSQDLVDGNKSSSSAPKLLRDEKSGRLDRPDSKEHLKDRIKGKVSGSTTKKFSPDALKSDSNHTGAKKAKELPKTKGNLKPTDDVWDTASISKGETAGKKKRADPGLGKPKLGSDEILHPAKRSKFVDMKNDASKGSLVKDIKSNSPSSNNVDDKAAVLPLSKRRRRALEAMSDSASLNSDDKAGKNPVELKNDTSSSNHTRVPAAQISKRRRAVCLYDDEEDEDPKTPVHGGSARNVKVTAVVSDASKSSDISHASAINAHRSGGDSTRCENSGPKEALSRVADDFVSPARPQTVEKRPSAHVAVTPERSEVEQLSSKEVKPVLVSPKKSPQLISAMRPAIEQQRNAKATVKVSSNGTQKKAPSGSLKGSGLVSDGSKSSQNQVSSQRNRPASSVERPKSTPKPTSRVNDTVIVTETSIEFDVFREDRAFTDSKTPDSAMSMKNLIAAAQAKRKQAHSQQYTFGNSSSIFMSISDVQGSSPSPAVQPSSTVNNAVQVDVQGSAHRTNLVSPSTLGRQSGSQNQQDTEEVEERRASSGHMAAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLESEPSFHRKVDLFFLVDSITQCSHNQKGIAGASYIPTVQTALPRLLGAAAPPGASARENRRQCLKVLRLWLERKILPEAILRRYMDDIGGSNDDTISGFSLRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGFLSSNAFEDEEEEDLPSSPCRETADASPLEPAHALGEAETCTVTPSDRRHCILEDVDGELEMEDVSGHPKDDRTFTNDSLETDSQQQGTDSVTEPASNSSNELPPLPEGSPPLPPDSPPPPPPLPPSPPPPPPPSSPSPPPPPPPPPLPAQPPPPPAPPSCPPPAFIPQPPVPTQPSLLPQPMLPPQSSVQSSPQLAYQPPVPHEFRGTPKGNPMVQMAGNNPHGGHIDAGIKSELFPQQSPCFPTGVCNSREPSGYNSSRQLEYGHNEMYLNAQSSQPSQQFQHGNTAFVQRPLHPTLPQTSSGHFSFTKPAMPPHPQHPYPQYSLPSQHDGRRPFVGDEQWRMPAAEYNADNQRGGWIAGRNPSPAGPLFVQEGYFRPPVERPPANNMGFPIASTNNLPAGAPNSGHGVSQMLPCRPDMSAINCWRPA >OMO71029 pep supercontig:CCACVL1_1.0:contig11748:16475:17938:-1 gene:CCACVL1_18496 transcript:OMO71029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSATTDSKNPTNSAASMASSTSLETLQLSFGNLRINNGKIEINTDKPSSSSSSSSSSLPLNGSSKGVPPSPDSSNSESTSSLPSFNGMNLINNGVGDYYHQPYNFSETLNGGGYGLGLGQPYNPYFLTPTPWKHPLLNHHHQVSYFSDFPNEKSPFLPSPTGENPNGFSQGDHEAIINLALMEESSKQLQGLILMKDPKIVKRIFEGVIDNLFQLMISQFGRYLFQKLVELNDEILLQRIMEKLDRNVIYYASIDRYGSYSIKKLIKVLQKSPLVNQIVKTLADRFWELMTNTTGQYVIMECLEFLDYQKNDKLYLEAIDKCLKLATHDKGCGALNQFISRSRGPRSDELLSKICEQVVYLSLHPYGNFVVQGVLGLQNPVIIEKISYSLRGYYVKLSMMKGGSHLVEKCLKSSSGALVNVISEFLQSNQLIQVAKDRYGNYVLQAALRETKRFSNALHGSLVMKLRSYHNLQDLNHGYARNILV >OMO61425 pep supercontig:CCACVL1_1.0:contig13488:28377:29600:-1 gene:CCACVL1_23519 transcript:OMO61425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEFRDWAGIPCDLLCQIVGKSDLLIDDCIRTSAVCRSWQACLEQPKFPVCLMLAENEDEDNNMRCFCTASEDKVMERELPELRGRRCWGTPFGYLVTFDEGFAFPTPEGFRRFFLHKFAFSSSDPTSPDFVVMAIFTSRQVLLGFAKPGDEAWTTIGDGSDAFALDDVTYFNGSFFAVSSRGLLLKCQDLDGPSPKCVEFTKSPGYENDDDDSVYPQQYIVDLNGHLCMILRMLGSDDIKNSAGEIVYPDVNVTWEFVIFKLDMQSRNWERMVSLGDWCLFLGNCCTFCVLATHYPGCTPNHIYYTDDDYVNYEKCGGYDTDDDYANYEKCGGYDTGIYNFDDDEDGGLLLPQDGESDGFRSKFCPPLWILPSSY >OMO61426 pep supercontig:CCACVL1_1.0:contig13488:40178:49961:1 gene:CCACVL1_23520 transcript:OMO61426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDAFEAEGNKWQLDGNQLSKSIACKSIDVFP >OMO61428 pep supercontig:CCACVL1_1.0:contig13488:63315:75152:-1 gene:CCACVL1_23522 transcript:OMO61428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVWRPKKAASKQRRKSDMSRREPTKDPASKLVEKDDFSHATPDRSYRDMVCPIAT >OMO61427 pep supercontig:CCACVL1_1.0:contig13488:51030:59952:1 gene:CCACVL1_23521 transcript:OMO61427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MLGLIESQDMLGFINGATPMPKSHVSKDEDAKQEKENPDFVAWRRSDRLLRGWITGTLSEEALGLVVGLETSAEVWKALVDSFTQDTQEREISLQLQLQNHTKDGHSMADYIRIFKNVCDDLAAIGKPVDDRAKVFGLLRGLGSDYESFITSMLKPPIPTYNDLIPLLQGHETMKSLHQTSKSPNLNMAFMSQRNTANRNFSKRGRGSFSSRGRGFPQTYNNKFNRNDGYSGSNSNGSSTHGNNSQDDSGKTNIVCQICKLPKHTALDCYNRFNHAYQSEKARQAMAMKLDGPIDNSWFPDTAASAHMTADPGILSSLSQYHGCDKILIGDGSLLDISHTGTMDIPVLDGNLQLNNVLVVPEIKKNLLSAGQLTDDYPYTCEFSSAGVVIKDRETGKMIAKGSKQDGVYALGTKEKAAFFSTRFKTASDEVWHQRLGHPQPKVVELLKKNKLITSTSGNKVEHFCDSCQMAKACRLPFILSNEFCDTPMDVIHCDLWGAAPVASFQKFKYYVIFVDEYSRFTWYFPLKHKSDFFQCFLNFHAYVENQFGKKIKVFQCDEGGEFTDGRFVQFLQNNGIKLQYACPKTPEQNGLVERKHRNIVELGLTLLFHSHTPKRYWVEAFGTAIWLINRQPSRVLDWKSPFELLYNKSPDYSCLRVFGSKCFPFLRSHSKNKLEPRSLPCIFLGYSELHKGYRCLHPPSGRVYISRHVTFDEKVFPFKDHGSLFAPSDTCDFTEFIDWFSGSPADEDTLGKPTTFTPLHVSETQSLEDVSLGASSFPDVSYATTPSHSSTPESATDFTLINEEVHNPDPSIPMNHVQQEEVVINSEPQVPSTNSSPIATRQSHGIAKPNPKYFNDDFCFTATSIPIEPKSVKTALKHPDWKTAMEEEIHALMQNDTWELVPQSNSMNIVGCKWVFKTKTKADGSLERLKARLVAKGFNQVPGVDFLETFSPVVKPATIRVVLTIALARDWEIRQLDVKNAFLHGFLNEPVFMTQPPGFQNSQHPNYVCKLNKALYGLRQAPRAWFDRFSTFLLSFGFTCSVADSSLFVLQSSRGTILLLLYVDDIILTGSNSHFLRDFIAALGREFSMKDLGPLHYFLGVSVTPFDGGILLHQAQYARELLDRALMHNCKPISTPMATKSGSSPNDDALYSDAPFYRSIVGGLQYLTFTRPDICYSVNYLCQFMHQPTNLHFRLVKRLLRYVQGTIDYGIRLLRHQPLELCGFSDADWAGCSLTRRSTTGYCTYLGGNCISWSAKKQPTVARSSTKAEYRALASAAAEMTWLSFVLRDIGVYLKKPPVLFSDNISALHMTINPVFHARTKHIEIDYHFVREKVSAGSLVTQFVSSSNQVADVFTKALPRHALLLLRVKLGLCQIPQPSLRGRDETPDFVAMSNTNRLHLDYRNSYSRFQERLDKFESGIFEAGDQKWRLWKVTSHISTSDEKVYAEFKLRTLNIDKTMEDEFLKRNTDCVYFLASPLTCKKGMECEYRHSEMARFNPRSCWYWMHGNCINPTCSFRHPPLDGNTQVSSESAALPYPSSTPATNTSIPCYFYFNGFCYKGERCSYSHGHDAIAGKLLKTDALPMDQKTTTENDAGAAPTEVHTSPSKSAPKPSKDVVPQLKEEQQQSAPKIMPLKTVSPKLPVFESEEAPLFKSGSWLLNEGLTVSSSPICEDESSEEKVDDHVEPEERGESSPGFDVLVDNKLEDLDYENDSEYLLAPDKEQMEQFLSYDFEDSVQREARYPNVEFPYDQDVCDVHEDSDNEFIPDIVRRPRGRPRDSRLDSTFQKRRRLLPVDPFYELGEPSSDELDVDLRDILSKRRVAGANPLNFLSRRSEYSHVTGRSSGRPQRHGIHRKLRGRLASHAGKHSIESMGDQGFFSNGGNHCHRLKHLEPNRSIRRPFREKWLPKQRTISSQVSRHLVSRERKLSHPSTAFTGPKTLAEIKEEKRKTEEAGGRMRPSSRTTSVDFQGPKPLSEILKEKGRNDTASNGNTSSS >OMO92546 pep supercontig:CCACVL1_1.0:contig08200:10409:10477:1 gene:CCACVL1_06826 transcript:OMO92546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLEAKVTSRKPVVLYPLDSPFTL >OMO60930 pep supercontig:CCACVL1_1.0:contig13643:46566:50103:1 gene:CCACVL1_23802 transcript:OMO60930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLSNPTLSTLFLGQKLRQNHNGLTYLPNFRSHKIPRCAVDMPYGGNAPTFPRIKVWDPYKRLGISPYASEEEIWSARNFLLEQYAGHERSEESIEAAFERLLMTSFKQRKKTKINLKTRLKKKVDESPPWIKNLLNFVELPPVEVIFRRLFLFAFMGGWSIMNSAEGGPAFQVAVSLAACIYFLNEKTKSLARASIIGLGALATGWICGSIFVPMIPTSGSWVAGLLFGASHTVAFLDSKPHADGGLQHPS >OMO60928 pep supercontig:CCACVL1_1.0:contig13643:31538:32548:1 gene:CCACVL1_23800 transcript:OMO60928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENTSFIPPPSSSPAASSSNLQGTTASALNTRNKSAKQKGSGSANAYASATKATASASFAGGGGSVSATATVSASFTDAGSASADVQVQSQPPASTSTEGENLEREKANANERSSPLSRRKNQSLISGKRPISSNSLESVGGVSATNNSKETATNKKSKMEGIGTADVEEPSPIAMEENTSSIPPPSSSPAAGSSNLQGTTASTLNTRKKNAKEKASGSGNAHASATTATASASFVGGGSGTVSASASFPAAGSASSNVQVETQPPTSTSSKGKKGAKGIAK >OMO60929 pep supercontig:CCACVL1_1.0:contig13643:35978:45286:1 gene:CCACVL1_23801 transcript:OMO60929 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MVYKSLDSVTIKDIEASGVSSQVAAEIHRKVTEIVETFGAATPETWNRISKHVLNPNLPFSLHQMMYYGCYKDFGPDPPAWIPDTEGALLTNVGLLLEKHGKEFLGSKYKDPISSFSHLQEFSVSNPEVYWKTVLDEMCVKFSVPPDCILCESPSEDGHVVNPGGKWLPGAFLNPAENCLIVNNKRSLDDIVIRWRDEGDDDLPVKGMTLKELQEEVWLVAHALDALGLERGSAIAIDMPMNVYSVVIYLAIVLAGYVVVSIADSFAPLEVSTRLKISDSKAIFTQDLIIRGEKSIPLYSRVMEAQAPMAIVIPARGSSVSTKLRDGDMSWPNFVEGVRKLKGNVFKAVEQPVEAFTNILFSSGTTGEPKAIPWTHLTPLKAAADAWCHMDIRSGDIIAWPTNLGWMMGPWLVYASLLNGASMALYNGSPLSSGFAKFVQDAKVTMLGVIPSIVRTWKSTNCVAGYDWSSIRCFSSTGEASNVDEYLWLTGRACYKPIIEYCGGTEIGGGFVTGSFLQSQSLAAFSTPAMGCHLFILGDDGRPIPQDAPGMGELALGPHMFGSSSTLLNTSHYDVYFKGMPSWNGLILRRHGDVFERTSREYYHAHGRADDTMNIGGIKVSSIEIERICNTVDSSILETAAIGVPPADGGPERLVIAVVLRDPENSTADLNQLKISFNSAVQKNLNPLFRVSHIVALPSLPRTATNKVMRRVLRQQLAQVDQNSKL >OMO84425 pep supercontig:CCACVL1_1.0:contig09747:29502:30496:1 gene:CCACVL1_10826 transcript:OMO84425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase, regulatory subunit MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQAQQLISAK >OMO84424 pep supercontig:CCACVL1_1.0:contig09747:6162:21524:-1 gene:CCACVL1_10824 transcript:OMO84424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLERGKKQGRREKEREDNLSRDVHKTARGAIFSPWQLCPLAGWECFFIEFHFGPLAKEGRLLIHVQQVAPAAATHTGVFSDNGQPPNPIETSSRSHSLHPRTLNKIMVERGGSERGAFRRGFGGRSDRPEAGVAGLPFDSDWYPLNSQASEFAPHMREVVKMLSDSEPCINAMSPDN >OMO64028 pep supercontig:CCACVL1_1.0:contig12872:2233:5533:-1 gene:CCACVL1_22096 transcript:OMO64028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTERKRKVSLFDVVDEATAKVAKTNGGGLAAMNVNSSINKWNGRPYSQRYYDILEKRKTLPVWQQKEEFLQVLRANQTIILVGETGSGKTTQIPQFVLDAVDIETPDKRRKMMIACTQPRRVAAMSVSRRVAEEMDVAIGEEVGYSIRFEDCSSARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLLKEVLRNRPDLKLVVMSATLEAEKFQGYFNGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHTCEPPGDILVFLTGEEEIEDACRKITKEVGNLGDQVGPVKAVPLYSTLPPAMQQKIFEPAPPPLKEDGVPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFNNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTDLGEKMSEFPLDPQMSKMLIVSCEFNCSNEILSIAAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPSWCYENFINHRVMKAADNVRQQLVRIMARFNLRLCSTDFNSRDYYVNIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTSRNFIRTVTDIRGEWLVDIAPHYYDLENFPQCEAKRVLEKLYKKKEKDREESRNRK >OMP06507 pep supercontig:CCACVL1_1.0:contig04909:13551:14801:-1 gene:CCACVL1_01542 transcript:OMP06507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MEGGGSDDHQLHHHHHHLHHHQQQHHHHHQHRPTFPFQLLEKKEEDNNNQPCSSSSSPPFPSLPITSTSPSSDQPSLQISPEPSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSSGFNSNFSMNQRRSLFPGIGLETSPTFLNFQSSSNLSSMFQATTKQELRESSNTTSLDISETEEGSLGRKRRPETDLSSQHQMGSYLLQSSTGAIPASHAAQIPANFWMVTNSNNQVMSGDPIWTFPSVNNSGLYRGTMSSGLHFMNFPAPMALLPGQQLGSTSGGGGAAAGGGGGGNSGISEGHLNMLAGLNPYRQVSGTGISESQASGSHSHHGGGGGGGGGGDDRHDSTSHHS >OMP06506 pep supercontig:CCACVL1_1.0:contig04909:12468:12596:-1 gene:CCACVL1_01541 transcript:OMP06506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKERVNRTVWDPHLISSRCWLRWVGPSCCSLPKPMYIVTDKQ >OMP10970 pep supercontig:CCACVL1_1.0:contig01749:589:660:1 gene:CCACVL1_00742 transcript:OMP10970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AHDSTLIVMDWYQDRVLDRQTSF >OMO55103 pep supercontig:CCACVL1_1.0:contig14794:16212:19929:-1 gene:CCACVL1_27391 transcript:OMO55103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGISWSSTSNSNRRRNQNYLHAPPPPPPPPPYYYPQEPTSLPPPPPPPPLPSTSHPYPAHPQNPYPTHPPPPPPPVHNNYYSSPPYNSCSYSNPMVGRFPVHYHPYYANQTNGWPAIRAHAMAAPPQPPPPYVEHQNAKKVRNDVNVHKDTLKLEVDEQNPDHHLVSFVFDALFDGSITIFYFAKEESNCRFVPLYPEAHEPVRVPFQKGLGQRFRQPSGTGIDLGFFELDDLSKPSPGEDVFPLVISAETCVPPQSSSERIDDPVDNSSAHMQITQAVLEKNNGNSFQAKVIRQILWVEGVRYELREIFGIGSSAAAEGFDDSDPGKECVICMTEPKDTAVLPCRHMCMCSECAKALRLQSNKCPICRQPIEELIEIKINSNH >OMO55102 pep supercontig:CCACVL1_1.0:contig14794:7735:9603:-1 gene:CCACVL1_27390 transcript:OMO55102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEFMKIQTCVLKVNIHCDGCNHKVKKILQKIDGVYKTHIDPEQGKVTVSGNVDPAVLIKKLAKSGKHAELWGLPKANNNNQNHLAQQFKNMQLDNGKGGNNNNKGGQKGNNNNQNNHHQQQQQQQPKPVQPTPQQMQQLQQQLQQMKGLQDLKLPQLKDMKMPAFNPNNNNNQKAVKFAAEEDDFSDDDYDDLDDDYDDLDDDFDDELDDPQHPLNKMKPMMGNVPKGGMPNMMMLNGMMNGNHPQLMNAQKGAQNGAPNGGANGKKGGNGGGGGGGNAVPVQILGGGGNEGKNGNGGKKGGGGGGGGNNNGGNQHQGGGGKNAGKNGGGLPQEGKNGNNGGGGANKNGGNNGGANGGNGGKKGINGAPNGINDGFPSMGGPNGNISQMANMNMAMGQMGSLPMGQMGSQMGNIPAVQGLPAAAMNGGGGGGGGYFQGAGPDVMPGNPYHQQQYLAAMMNQQRAMAGGGNERFQPMMYARPPPAVNYMPPYPYPYPPPQPDPYTHFFSDENTSSCNVM >OMO94290 pep supercontig:CCACVL1_1.0:contig07925:776:7426:-1 gene:CCACVL1_06067 transcript:OMO94290 gene_biotype:protein_coding transcript_biotype:protein_coding description:sucrose transport protein SUC4-like protein MLVVVSLGSGPWDQLFGGGNSPAFAVAAVAALTSGLMAILAIPRSSSQKPRALP >OMP10148 pep supercontig:CCACVL1_1.0:contig02745:644:1421:-1 gene:CCACVL1_01015 transcript:OMP10148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNSNDVDSRIYELARGPSHIATFYKGFFVNGFKFHTELYGQHKKTMNSGVWIKGSCYNDNERDFYGVLVDIIELEYLGDGNKIVLFKCRWFDTVRGVVVHPRHGLVKVNHKSRLASNEPFVLAAQALQVCYSPYPSNKGPRQDWWVVFKVKARSRYDGVSNHEVDDVFEPESIYQEDLFAPSTIHPSKEVDREGILISGYMEEVGVIQQHEEEEESDEESEEESEEESEQELEDEEEEDILESEEEPNDEAVAYMSDSS >OMO88311 pep supercontig:CCACVL1_1.0:contig09040:22098:27435:-1 gene:CCACVL1_08474 transcript:OMO88311 gene_biotype:protein_coding transcript_biotype:protein_coding description:[Pyruvate dehydrogenase [lipoamide]] kinase isozyme 1, mitochondrial MCTRSTLPHKSPGPGPPVCLTPPTRLGYPTKLFARFSPIPRDSLALLGMETGTACPVTSLMFYWFFGSMVYLDAPASTVLSMSMVQVFRFHVGGAAASQVMVLSVHKVEDLPLM >OMO88310 pep supercontig:CCACVL1_1.0:contig09040:18612:19105:-1 gene:CCACVL1_08473 transcript:OMO88310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRVKFVRASESGSEIAKLLEVGTLPYQRKHVSKMLYVVTPSLSLLSSQPSTSKTTETSSSGDNTDPAFLDIIEECARKSKEISSTLQKYVWEMKLYNEVK >OMO96679 pep supercontig:CCACVL1_1.0:contig07404:29674:29880:-1 gene:CCACVL1_04840 transcript:OMO96679 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRIM-19 protein MPILQDGPSPGGIASIRFALHTPNKGPSAMAIILPVFGAFSYGMCPIDKGNKNRRALAPTSTVIDETT >OMO96678 pep supercontig:CCACVL1_1.0:contig07404:17637:22732:-1 gene:CCACVL1_04839 transcript:OMO96678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MSSERVPAELSKGVNGLEKVILREARGSSAEVYLYGGHVTSWKNDHGEELLFVSNKALFKPPKAIRGGIPICFPQFSNHGPLEPHGFARNRFWSIDTDPPPFPTNSSSKAHIDLILKPSEEDMKIWPHSYEFRLRVALGPGGDLTLTSRIRNTNTDGKPFTFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQNKERCTEQGDAITFETEVDKIYLSTPTKIAILDHEKKRTFVIRKEGLPDAVVWNPWDKKAKAMADFGDDEYKHMLCVEAAAIEKPITLKPGEEWRGRQELSAVPSSYCSGQLDPQRVLQGS >OMO96677 pep supercontig:CCACVL1_1.0:contig07404:2285:4043:-1 gene:CCACVL1_04838 transcript:OMO96677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MAKRSLVFFSFLLLALAASAQKLSPNFYSKTCPNLESIVRTAVQNKFQQTFVTAPGTLRLFFHDCFVRGCDASVMLASTNGNAEKDHPDDISLAGDGFDTVIKAKAAVDSNPQCRNKVSCADILALATKEVIRLTGGPSYTVELGRRDGRISTKSSVQGQLPHPTFNLDQLNSMFARHGLSQTDMIALSGAHTLGFSHCGRFSNRIFNFSPRNQIDPTLNFQYAMQLRQMCPRNVDPRIAINMDPTTPRTFDNAYFRNLQQGMGLFTSDQILFTDRRSRGTVNLFASSNSAFQQAFVSAITKLGRVGVLTGNQGEIRRDCTRPN >OMO53217 pep supercontig:CCACVL1_1.0:contig15283:10610:10717:-1 gene:CCACVL1_28803 transcript:OMO53217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFLGVGVSPESEEDVTIIFLLGRAMNPTSAVY >OMO61652 pep supercontig:CCACVL1_1.0:contig13434:25113:28000:1 gene:CCACVL1_23329 transcript:OMO61652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLFSFSGSDDFCPGGSIYPNPKESSLLLSLGRHVDVYFPPRKRSRISAPFVFAGERFEQKKPSIEVLPDECLFEIFRRLPGGQERSACACVSKRWLNLVSNIRRDEISDNKTTQPLNLKGGVVSETNDEDVEGDGYLSRSLEGKKATDIRLAAIAVGTASRGGLGKLFIRGSNSSCGVTAVGLRAISHGCPSLRVLSLWNLSSVGDEGLCEIAEGCHQLEKLDLCNCPAITDKSLLAVAKSCPNLTDLTIEGCANIGNEGLQAVARFCPNLKSVSIKDCPLVGDQGISSLMSSASYSLAKVKLQSLNITDVSLAVIGHYGKAVTDLSLTSLQNVTEKGFWVMGNGHGLQKLKSFAVTSCRGVTDLGIEAVGKGCPNLKQFCLRKCAFLSDNGLVSFAKAAGSLESLQLEECHRITQFGFFGSLLNCGAKLKAVSLVNCLGIKDLNCALPAVSPCESLRSLSIRNCPGFGDASLAALGKFCPQLQNLEFSGLHGITDAGFLPLLESCEAGLVKVNLSGCVNLSDKIVRVMADLHGWTLEVLNLEGCKISDASLVAIAENCQLLSDLDVSKCAITDSGIAALARSSLINLQILSVSSCTMVSDKSLPSLGKLGQTLLGLNLQQCKSISSRAVDLLVEQLWRCDILF >OMO61655 pep supercontig:CCACVL1_1.0:contig13434:53372:55844:-1 gene:CCACVL1_23332 transcript:OMO61655 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase, plant/fungal/bacterial MTSSSANLSSSLFSSSPTFSSPKLNFKFPSVSQRPSLPTVESRVTPFIACSSTISKSSGPIRHCSLSRRRGLAFTTTLPFLLPLYEFVDNVGAIAAESDQTDYLRIKEEISKVVTKGKAAGVLRLVFHDGGTFHMAENSGGMNGSIVFELERPENAGLNKSLKVLEKAKKEVDAIKPVSWADMIAVGGAEAVAICGGPKIPVALGRLDSAEPDPEGKLPQESLDAAGLKQCFQRKGFSTQELVALSGAHTLGSKGFGSPVAFDNTYFKILLQKPWKSSGGMSTMIGLPSDHAIGEDDECLRWITKYADDQNMFFEDFKNAYIKLVNSGVRWKNM >OMO61662 pep supercontig:CCACVL1_1.0:contig13434:98601:101323:1 gene:CCACVL1_23339 transcript:OMO61662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSFKNPILPISDPPIDDEEEKERLIRRADDKLFKGSAMTKRGAYAAISYMSCAVLLILFNKAALSSYSFPCANVITLFQMISSCSFLYLLRRWKIISFSNNESLTNFDGNTSFVPLKTLIHTLPLAGTYLLYMLVTVESVRGVNVPMYTTLRRTTVVFTMLVEFLLAGQKYSSSIIGSVGLIVLGAFVAGARDLSFDFYGYAVVFLANITTAIYLATIARIGKSSGLNSFGLMWCNGIICGPVLLLWTFLHGDLKTTMNFPDLFSAGFMAVMILSCTLAFFLNYTIFLNTTLNSAVTQTICGNLKDLFTIGLGWILFGGLPFDLLNVTGQLLGFVGSGLYAYYKLIGK >OMO61665 pep supercontig:CCACVL1_1.0:contig13434:113720:114385:1 gene:CCACVL1_23342 transcript:OMO61665 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase MASMIMASSKTLIPITSSLPSKPRLQQQIPQISLPKIPTPKFLNSPQILSLSSSTLKSISLLTVTSLSFAPPSLAEEIEKAALFDFNLTLPIMMAQFLLLMFALDKIYFTPLGNFMDERDAAIKEKLASVKDTSTEVKELEEQAAAIMRAARAEISAALNKMKKETQLEVEEKLAEGRKKVEVELQEALANLEKQKEETIKSLDSQIAALSQEIVKKVLPL >OMO61668 pep supercontig:CCACVL1_1.0:contig13434:129949:132258:1 gene:CCACVL1_23345 transcript:OMO61668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAAENGLKGDPRLQAISNAIRVVPHFPKQGIMFQDITTLLLDHKAFKNTVDIFVDRYRDMDISVVAGVEARGFMFGPSIALAIGAKFVPLRKPKKLPGEVIAEAYELEYGTDCLEMHVGAVQPGERAIVIDDLVATGGTLSAAIRLLERVGAEVVECGCVIGLREVKGQRRLNGKPLYILVEPREIDGCY >OMO61650 pep supercontig:CCACVL1_1.0:contig13434:5228:7829:1 gene:CCACVL1_23327 transcript:OMO61650 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MQFLGPQLYATVDLDKARVGRTSIARDRPSSPQWNETLRVYCAHSISNIIFTLKDSSPIGAVLIGRAYLPVQDLLTKGTLRRSIHILDEEHHNIPGDSIIDVELQFFDVSQDENWSQGIKTPDFKGVPYTFFGQRKDCKVTLYQDAHISDEFINPGISLSGGKLYQPQKCWEDIFEAIDKAKHFIYITGWSVYTEITLVRDPTKPDDDESNETLGKLLMRKANEGVRVLLLVWDDRTSIDILKEEGLMSTHDEETAKYFRFSEVHCVLCPRNPDDKRGLVSGMKIATMFTHHQKTLIVDSEIIPFGGRSSGKRTLVSFIGGIDLCDGRYDTQEHPLFKTLGTIHKNDFHQPNFQGSSISKGGPREPWHDIHCKLEGPVAWDVLYNFEQRWTKQARWKKHYLIPREKLDEIIIHPKQILPLDQTETWKVQLFRSIDDGAVRGFPEEPEIAHKLGLLSGKNSIIERSIQDGYINAIRRAKKFIYIENQYFIGSGFDWNSKDVNDADIAALNLIPKELSLKIVSKIEAGERFVVYIVIPMWPEGLPESGSVQAILDWQRRTIQMMYTDIAEALKKKGLKTDPRDYLALFCLGNRETKKTDGEYIPKDKPDPNSDYAKAQQARRFMIYVHSKMMIVDDEYIIIGSANINERSMAGSRDSEIAIGAFQPNHLATWQPARGQIYGLRMSLWREHLGGLLDECFKFPESEECVEMVNSIAHKNWDLYTSDSLDKDLPCHLLRYPINVGSDGSVSHLSEANKCFPDTNAPVLGSKSAILPPIVTT >OMO61658 pep supercontig:CCACVL1_1.0:contig13434:76150:77928:-1 gene:CCACVL1_23335 transcript:OMO61658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPGPRPYVCERRAWHSDRHQPMRGSLIQEIFRVVNEIHSSATKKNKEWQEKLPVVVLKAEEIMYSKANSELEYMDLKTLWDRTNDAINTIIRRDESTETGELLQPCIEAALNLGCTPRRTLRSQRNCNPGCYLSMGAQEAENTSQGNLTTNSHCVASFPSFMKPTTMDVTHLSSESQKHLADDSNCTTNKFPLTSENCPYLSNDQCLPVEKYPPTNMYSIYPLYYGNHPKFEELQHGFGIFPKSISNTVEPAKISAIHNLFSSDVDSSNKINQTNVRNTSNNPHEIACDLSLRLGPVGNGRSQEIEDTGSTSLGWKSNLTPSIDNKFSSFPSSNRDDPLNSSSNECSVEGEHMNVGATMRKRKTVYGPSVDQQFCLPPKVPFSHLSGRMKSARS >OMO61657 pep supercontig:CCACVL1_1.0:contig13434:72267:74756:1 gene:CCACVL1_23334 transcript:OMO61657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWTSSPRSPLKRLHVKVKPLKLEGLSRRIDEDEEEDDKKMVMVEIVWKGPAKSAGLMVPLFKSSSKHKRNRSSERILRNNGESIEWDDEFESLCEFSVMSKDRGCGSWDVSLNVLLHGKNGEKMRKTAVAGKVPMNLSEFVSELECSEIERKFPITLNVDGAVIEATLLISVSFAEVRSPPDTAGFVQSSAESNKEDGFFKMVKGLTRQKNKKEKVHQLSLCDSDESLVFDSDGLHGNMSTTTSETSNSGELSLGPELESSKSLGIQLESTTNQKQMIRLFSWKKRRLSFRSFSRKKIEPLINSESKINESDVSVVDSKDGNCWEVKELVSRDGQARLKANVFFASFDQRSEKAAGESACTAMVAVVAHWLHSNQDIMPTRLEFDSLITEGSSEWRKLCDNVAYTNSFPDKHFDLETVLKAAVRPIAVLQDRSFTGVFSPEKFDCLKGHMSFDEIWNEINSNRNDHGFEPRVYIISWNDHFFVLKVESNAYYIIDSLGERLFEGCNQAYILKFDDSSVMYGKAAAAQVKQESSNSEETGEKAAQQTKEEEANEEVICHGKECCREYIKRFLAAIPVRELEEEEKKGEASNFSPLLHQRLQIEFHYSSSSSSSCSSSATS >OMO61664 pep supercontig:CCACVL1_1.0:contig13434:111613:112905:1 gene:CCACVL1_23341 transcript:OMO61664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIEVEDFVSPRASLEKPRRSFSLPLSSLQMVSRKSFSYSKLPEEPIKLSILKLDGSSFQVEVMKSATIAETKLAVQNVFGYMPQKGPGKISWPHVWGHFCLSYEGQKLVTDTDQIMNYGIKDGDQLHFIRHVSSINNLTKIRSKRKIVAPKQSPLPISNSSSSSDSELNDEDNGDYDDMENGRYQRRDNGENQTNKEKPVVVKQECQFGQLWRGWSLASRRSSIRKTGSSGKAITCQSRYGSSFVANFRKIIQLSPRRTLREV >OMO61667 pep supercontig:CCACVL1_1.0:contig13434:119479:122330:-1 gene:CCACVL1_23344 transcript:OMO61667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSAALRPNLSAASSLHCRKLSSLRRDWKQKTLPLSAVTKRKGHSPFVVKSVLNDSKPSINDHGPTEPARILLERLFAQTQKLEQGMSRDGEHLKDFHLTLDLETLESDLKAALTALKQKEDDLQNVERTVVLEQSELSRAKDELEQREKEIAAASSKREKLEGELKQANLAFASQASQIEDLKLQLKERDKEIAAAQSALSTKEDEMDKMRNGLVKKSEEAAKIESELISKSLLLNDANEVLKKQKLELQGLREAIQEKEEQLETSASLRKLEEEKVKDAEAKLQQQTMEWLLAQEELKKLAEEASRHMGEANETFEDFRRVKQLLSDVRSELVSSQKSLASSREQMELQEQLLQKQLEELEEQKKSVASYMESLKNAQIEVESERVKLRVVEARNKELERDLSVERELIEELQEQLKKEKSSLQQAIHDASVLRKELEQKNAKFSEMSNVLQSKEAELVEAKLEIQHLKSEKASLQLILEEKDQELSNARNKLEQVNQEIGDLKMLLSSRENQLIQASALLKDKDDYAQKVQDELDDTKMKFSEAESMMERLAELTNKLVVSVNDEDNNVLRPVDDVGHGLMHQLVDRPNDFRLQNKKLETELKFTKESLKAKEMEVLAARRALTIKDEELKMVLGRLEAREKEVHRLKEEMVADANDLKKLYALAQERIGEKSIGDLAIEKLQLEAAQLEVEAATSALQKLAEMSHELLDKATLSIEADSDISIFPQIGAGPMISMMENNECFTEVKTGVARLSALTEQLVKDAGIVGAYQQS >OMO61649 pep supercontig:CCACVL1_1.0:contig13434:232:1036:-1 gene:CCACVL1_23326 transcript:OMO61649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSKKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFETAEAAARAYDQAAILMNGQNAKTNFPVTKTTEHDDQVAGNNSDRIKGDDVESPLPAKALSELLNAKLKKCCKDQSPSLTCLRLDTDNAHIGVWQKRAGGNRSSSNWVMRVELGNNNQKKMMMKPEEALMEDDGSTLLSNSPMGDDHEIIEGGSNNVLGEEDRIAMQMIEELLNWNCPTMMTSTSSSGGI >OMO61666 pep supercontig:CCACVL1_1.0:contig13434:116785:118946:1 gene:CCACVL1_23343 transcript:OMO61666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKVVNALSASFSEYEFLDGDDELRSVVAASNQKVPWIDPSTLKLRHRLGRGSFGDVWLATYHRSTQDYDQYFEVAIKMLHPVKQDDMKTLLDKFDDLYSKCQGVNNICSLQGVSIISGKFCIIMKFYEGSVGDKMTRLKGGKLPLPYVLRYGINLAQAVLELHSKGILVLNLKPFNFLLDETDQAVLGDIGIPYLLLGIRLPSSDMAHRLGTPNYMAPEQWQPEIRGPISFETDSWGFACSILEMLTGITPWNGKSADEIYDLVVRKHEKPLIPSGLPPPVEKVLLGCFEYDFRSRPLMKDILHMFNSLEIGGEDNVQWTGLESTTVSGRISNSTGYTEWFLSKDCLQVGDIVRSRKTPNSCKPENMDVPEGAVVGLPFTDRDFVLVRVHGIHDPLRVHVSTLERVTLGLAAGDWVRLKEEDKRHSPVGILHSIDRDGSVDLGFIGLETLWKGNSSQFQMAESYCVGQFVRLKSNVLSPRFDWPRKRGAMKVGLFVGKKIGRSKGKRHSSLIQNDIQYMDGQAAGNPAWLPPTVANILFPEGAAR >OMO61663 pep supercontig:CCACVL1_1.0:contig13434:108679:110030:1 gene:CCACVL1_23340 transcript:OMO61663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHQILDELIEVNYNLRMINSSILAGQKGKHSPPPPKAKAEEEDYETTDDDDDDEDDGEEEIEDTTTWEEEGENEDEQVGEVAEDEQGGEVLEAEDGEATEEDNLFFLSAAAGCQWRWPFLPLALWQMAEVEFPKSATIAEFKLAMLNVFCHMPKKGPGEISISWPISNSSSSSDSELKNDELDDDYDHDMEKGRYQRRHNDENQTNEKPAVVLELFT >OMO61654 pep supercontig:CCACVL1_1.0:contig13434:36304:39022:-1 gene:CCACVL1_23331 transcript:OMO61654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDNLSSAGGLEVAHQNGAYPQLRVSGDDGFVSDNVNGNVETTVEAHAQNGMDENGTTGETREGSNDFVECNGLVDSKTEGERKDNAKQTKPKNVQAKTKSERPSGPKNVPSTLVKKSKDGKSAEATLTATNGGYGATNSRVKQPLKNRSFNERPANPSKQSEKPDAVLSDGTTEKPKLKTLKKGPVHKDEADVESSSPTSADAKSRRVGTLPNYGFSFKCDERAEKRREFYTKLEEKIQAKEVEKSNLQAKSKETQEAEIKMFRKSLNFKATPMPSFYQEPAPPKMELKKIPTTRAKSPKLGRNKGSTPSDSDGNSNSGHQSGRLSLDEKSAQSIISGKGISPVNAKKPQRKSLPKLPSQKTTLSRAANEEKTSKTSNQVKEKVSASKTSTKGKIASSKATNEENTTSSDVTNEESSPVQQKETVSTAESVECQPDMVQGSVIGEQEQPHLVQEPIALEQ >OMO61659 pep supercontig:CCACVL1_1.0:contig13434:80318:81457:1 gene:CCACVL1_23336 transcript:OMO61659 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MLSPTPLSLFCALLLCLPLAVIITITSPTTTTTTTVPDGSRQNPVPDFVPLAKTHQRFSFKPPPPPPLEDDKYLLRVASRVNSKPPPGSPKKIAFLFLTISPLPFAPLWELYFNKTPKTLYNIYVHADPTYTYDPPFSGVFANRVIPSKPALRFTPTLTSAARRLLAHALLHDRSNYIFALLSASCIPIRSFNFTYETLTRSKKSFIEILNNEVGSYDRWAARGPEAMLPEVKLDDFRIGSQFWSLTRKHARIVVGDEMIWAKFNQPCVVWDTCYPEENYFPTLVHMRDPRGVVAATLTHVDWNGSSDGHPRMYEASEVGPQLIERLRKEKPRYGDDGTNGSDWSVRRQRHDPFLFARKFSTDSIQPLLRIASDVIFKD >OMO61661 pep supercontig:CCACVL1_1.0:contig13434:93522:94587:-1 gene:CCACVL1_23338 transcript:OMO61661 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MELQLGLALPTPNIIKGFDLNNLGFDQIKGFGDKDCVKNKRGFEQAFGNYFTHEDCKAMPLLLWNGQPNEEEDHKKNSTSSSMDENETEEEDEVVGWPPIKTWRKKLFQQHQPGRVENNIRKVENKENGRSIYVKVKMEGVAIARKIDIRLYHSYEALTNSLISMFAKHQKCDEDDYTRYTLTYQDKEGDWLIAGDIPWETFVRSVQRLEIVRNSG >OMO61651 pep supercontig:CCACVL1_1.0:contig13434:8941:15774:1 gene:CCACVL1_23328 transcript:OMO61651 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MATRLLHGTLNVTIFEIDRLKYGGLSLLTKANGPSEFAKIFVAQFKRVMLCQSEIIGSKVYATVDLDRARMARTRMVAKQGASGPKWNESFHIYCGHLISDIIFTVKYDMPIGATLIGRAYIPVQDVINGAIVDRWVDILDENKNPIHGGSKIHIQLQYFDVSQDSNWSQGIKSPQFKGVPYTFFEQRDGCRVTLYQDAHVKDDFPVPPVILPNYQPHRCWEDIFDAIIRAKHLIYITGWSVYTEITLIRDPNRQRPDGNLTLGELLKKKADEGVLVLMLVWDDRTSVEQFKKDGLMATHDQETGDYFKNTKVNCVLCPRNPDDGKSIVQGIEIASMFTHHQKTIVVDSEVPGERSGRRAIVSFVGGIDLCDGRYDSQDHSLFKTLGSIHQNDFHQPNFTGSSIKKGGPREPWHDIHCKLEGPVAWDVLENFEQRWLKQVENKNLLIPRSELDRLKIQKSAVTSSTDPETWNVQLFRSIDDGAAAGFPENPDEAAKKGLVSGKDTTIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWKPSKDFNINDINALHLIPKELSLKIVSKIEAGERFTVYIVIPMWPEGIPESDSVQAILDWQKRTMEMMYSDITQALRNKGLNANPREYLTFFCLGNKESKKPGEFQPTEKPDPNSDYARAQQARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGGRDTEIAIGAFQPHHLATTNRPAKGQIHGFRMALWQEHLGHVESSFESPQSLQCVQAVNSRADQLWNLYSNDSFLQDLPGILAFSFLEIGFCFGVFRCNLLVIYPLGSVPFLPAWGVQIYGLFLVYMARFGGGGGGGGGVGWRSRGCFGCFTKPALIIAVDEPSKSLTIQGQKSKRSSFSEDFWSSSACEMDNSTVQSQGSMSNQPLDPSASSSQSSEFVNHGKFTSSDILGLLLWHQTRQQWLKNKRPEKREQPRDSVISWNATYESLLGNNKPFPQPIPLSEMVDFLVDIWDQEGLYD >OMO61653 pep supercontig:CCACVL1_1.0:contig13434:34589:35542:1 gene:CCACVL1_23330 transcript:OMO61653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MLLRSSSSPILKTCIHPSSATVDSAQKIPSKRNSLTPSASPIKTIQRTSSESNMRQIAIPKRHNLHTSNLMGRRHPTSVEEEEETFVSGGGNGGGLAGRQGFGGDWGGGRGKKQSMDEYYQNMIEIYPGDPLLLANYAKFLKETRGDLVKAEEYCERAILVKPDDGEVLSMYGDLIWNNHRDGDRAKYYFDRALQASPEDCNVLASHARYLWLDGNEDEEEEMGKKDDQQKHETQTFTHTRHVRFSQGRPPLAAASN >OMO61656 pep supercontig:CCACVL1_1.0:contig13434:56361:58679:-1 gene:CCACVL1_23333 transcript:OMO61656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWIDKNGVFLISNNSEFGFGFATTKNDVTLFLLVVLHMETTTVIWAANRATPVSYSDQILFDKNGNLSLQKGGTVVWTTNTANKGVSAMSLQNSGNLVLQTDDGTVIWQSFDHPSDTLILNQEFKEGMKLVSNPSDSKLSYILEIKSGDMILSANYSIPQPYWSMGKDTRRNINKNGGEVTMASIAANSWSFFDDSKNLLWQFKISDPIDANATWIAVLGNDGFISFLNLQNQGSSAATKIPADPCGTPEACQPYFSCSAPSGIRKCQCPSGLSSVSCKSGITSPCSQGQNSVDLVDAGTGLDYFALAYVSPSSKTDLNGCKASCRSNCSCMALFYDNTSGNCFMFDQIGSFENSDQKSDLVAFVKISSNGAGGGGSKKFPYVVVIVLATVLVILGLLFVSYRYYRNKRKLPDPFDETSEEDNFLENLTGMPTRFTYNDLRVATNNFFVKLGHGGFGSVYRGTLPDGTELAVKKLEGIGQGKKEFRAEVGIIGSIHHVHLVRLKGFCAEGSHRLLAYEFLANGSLDKWIFRRNREDQPLLDWDTRFNIAVGTAKGLAYLHEDCDVKIVHCDIKPENVLLDDHFQAKVSDFGLAKLMTREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMLLLEIIGGRKNFDPGESSEKSYFPSYAFKMLDEGKLKDILDSRLSIKDEDERVHTAIKVALWCIQEDMHLRPSMTKVVQMLEGLSPVTNPPMSSPLGSRIYSSFLKSMTSGEGTSSGPSDCNSDAYLSAVRLSGPR >OMO61660 pep supercontig:CCACVL1_1.0:contig13434:85923:87875:-1 gene:CCACVL1_23337 transcript:OMO61660 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein MAPSTIRKAIGAVKDQTSIGIAKVSSNMAPDLEVAIVKATSHDDDPADEKYIREILNLTSYSRGYVNACVSAVSKRLGKTRDWIVALKALVLVHRLMNDGDPVFQEEILYATRRGTRLLNMSDFRDEAHSSSWDHSAFVRTYAMYLDQRLELMLFDRKSGSRGGAGGSSHGNGGDRYGGRDDFRSPPPRPYEYDYGDSRGDNGYGNYGMPRRTRSYGDMSEAVGRNGREEKKPVTPLREMTPERIFGKMSHLQRLLDRFLSCRPTGLAKNSRMILIALYPVVKESFQLYADICEVLAVLLDKFFDMEYPDCVKAFDAYASAAKQIDELIAFYNWCKDTGVARSSEYPEVQRITGKLLETLEEFVRDRAKRPKSPERKELPAPPKEEEEPAPDMNEIKALPAPENYTPPPPPEPEPVKPPEPQEDLVNLRDDGVSADDQGNRLALALFNGPPASNGNGSWEAFPSNGQPEVTSAWQTPAAEPGKADWELALVESASNLSRQKAALGGGLDPLLLNGMYDSGMVRQQVSTAQLSGGSASSVALPAPGKTPVLALPAPDGTVQTVNQDPFAASLSIPPPSYVQMAEMEKKQHLLTQEQQVWQQYARDGMQGQASLAKISNPGYYAPGPMPMMPYGMPPVNGMGPPAGYYYTPY >OMO61669 pep supercontig:CCACVL1_1.0:contig13434:133092:138201:-1 gene:CCACVL1_23346 transcript:OMO61669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRRSPPKHRHDGTSPLPLGMDWSPPPRKWNGRETVWPHDPRTGWSYCVTIPSWVFLPKSRDSDPVVFYRVQVGLQSPEGLTTTRGVLRRFNDFLRLCTDLKKAFPKKSLPPAPPKGLLRMKSRALLEERRCSLEEWMTKLLSDIDLSRSVAVASFLELEAAARSSFQEVNEQSSEANVAGNSTNPSLPIPSSSSIPQFAGSSSITSDYGSDTAYETSELGTPRLGRDDSSEIGLGDLTLDEDLTGSIEKLVKYGMSNIDEGLFMGQTILEQLEDFPRHKTHTRNINNKWGKDTCNGNGSRVPFLAGNGLELFSEPEPAKMAGHARKLSSESIGSDGSSLRGSDISNSGIPNSSADGSPGTAELRAVGTIGNSESPLTSEAQIVLPLDQRHKMNRVLLTMQQRLVTAKTDMEDLIARLNQEIAVKDYLMTKVKDLEVELESTKQKSKENLQQAILIERERFTQMQWEMEELKRKSLEMELKLNPKQDEKQIPETTNQSIAKENDAMLQELNATKEQLKNMSRQYEELEAKSKADIKVLVKEVKSLRKSQKELKQEVAQSLSEKSEAEVQLQREREFSEHERSARENLLNECRLLHKRLLECNVNLSMDDDENLTKDSSLVDDALDLLTESDNKITLLLTEVQLLAKESSTADGETGSIHNNCYDRTIDELRKIIADIFANNAKLRKQVNSHLRHRLKRDLSKNNDKELNESSER >OMP11517 pep supercontig:CCACVL1_1.0:contig01211:128:9244:-1 gene:CCACVL1_00470 transcript:OMP11517 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MADHHPTLGAASFWTQANALLRKNLTFQKRNMWTNVRLISFPIFFCLLFVALQRLVDSQLNSSDNRCGCVCVEVDPNGQCQRTECGLQYSDMDQASSCPIPNPPEWPALLQIPGPNYRAVRTDVLTSGDLPNESCRSLGSCPATTLVTGNNQSLGEILKGSMFSTSFNPDDPQGSFATNVVGTETYPERYNYLEPAFASALPLYNIQSQCTSNSTFSISINQSSTSRDIELRCVQGLYLWRNSSSEVNSELYKGYRKGNSEEKINEFVAAYDFLNSDGNNFNVTVWYNSTYKNYSTGAPMSLLRIPRSVNLASNAYLQFLQGSGAKMLLEFVKEMPKPETKLKIDLSSLLGTLFFTWVILQLFPVVLTSLVYEKQQKLRVMMKMHGLGDGPYWMISYAYFLVISLLYMLCFVIFGSVIGLKFFTLNDYSIQLVFYFIYINLQISMAFLVAAVFSSVKTASVIGYILVFGTGLLGGFLFQSFVEDESFPRGWIIVMELYPGFSLYRGLYEFGQYSFRANYMGTDGMRWGNLSDSTNGMREVLIIIFVEWLVVLFFAYYVDQVSSSGAGKSPLFFLKNFRKKPQSSFRRPSLQRQGSKVFVQMDKPDVTQEREKVEQLLLEPSTSHPIICDDLKKIYPARDGNPEKFAVRGLSLALARGECFGMLGPNGAGKTSLINMMIGLTKPTSGTAYVQGLDIQTYMDTIYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLRGSALNQAVEESLKSVNLFHGGVADKQAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNSLWNVVKRAKKDRAIILTTHSMEEAEALCDRLGIFVDGSLQCVGNAKELKARYGGSYIFTMTTSANHEEEVENMVRHLSPSANKIYQISGTQKFELPKQEVRIADVFQAVENAKTKFTVFAWGLVDTTLEDVFIKVARGAQAFNILS >OMP10618 pep supercontig:CCACVL1_1.0:contig02106:883:954:1 gene:CCACVL1_00846 transcript:OMP10618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KAADSVARAAIRARCHYVNKNGSV >OMO52058 pep supercontig:CCACVL1_1.0:contig15635:45095:46093:1 gene:CCACVL1_29383 transcript:OMO52058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLSRKEEKSHARLQNQRIEESSQERVYLLSCQVVIGIFVLHINNLEEQGKKDT >OMO99832 pep supercontig:CCACVL1_1.0:contig06795:103647:105624:-1 gene:CCACVL1_03602 transcript:OMO99832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMTSQGQIQQRMLFSDEFPLETTPSSKGFVNNFQDFHNHHLEHQIDHVNGSSSNPVFGLQTTCYDPFDHASIFPHGYSTNNDVDFYEWKPFAADHSNVGHGHVMNNLQSGGFFNLSQWVINSDDLIMGSSENVGFNLRFDHFQEIKPMNFVVPDELSCVTAENAYYKKAEMNKNKALTSTKKNSKVCKKSVVKGQWSTEEDRKLVQLVEQYGLRKWSHIAQMLPGRIGKQCRERWHNHLRPDIKRDTWTEEEDRVLVETHIELGNKWAEIAKRGSMLQDYIRSLNLDNQPSCSNKCQGKTSNINGINAIVANINGSTKVSTYQRTQALEFCPSNDRLVPEYDFNEVPDFSFDEKLMLQETCSLDSLLDEIPSAPINVADADNYDPGKSFEIDLMGLEVKKELDLIEMISGQQPIRPQKTNSKQDNYNFLRFNLTIFRFALIT >OMO99833 pep supercontig:CCACVL1_1.0:contig06795:120759:120854:1 gene:CCACVL1_03603 transcript:OMO99833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNKRKFGEISRIRGNRDHQNGRKNQGPKQ >OMO99824 pep supercontig:CCACVL1_1.0:contig06795:2095:8789:-1 gene:CCACVL1_03594 transcript:OMO99824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKGILLSALSVGVGVGVGLGLASGQTVSKWAGKTSADDGITGEQIEQELMRQVVDGKLSKVTFDDFPYYLSERTKVLLTSAAFVQLKHNDVSKHTRNLSPVSRAILLSGPAELYQQMLAKALAHKFESKLLLLDITDFSLKMQSKYGCTRKEPSFKRSISEMTFERMNSLLGSFSLLSPREETSGILRRQSSSIDIKSRAMEGSSNLPKLRRNASAASDISSMSSNSALTNPASHKRTSSWCFDQKLFLLSLYKVLVSVSETGPIILYLRDVEKLLLQSQRLYNLFQKLLNKLSGSVLILGSRILDPEDDCKEVDERLSVLFPYNIEIKPPEDETRLDTWKAQLEEDMKVLQIQDNKNHIAEVLSANDLECDDLGSICQADTMTLSNYIEEIVVSAISYHLMHNKDPEYRNGKLVISSQSLSHGLNIFQEGKSCGKDTLKLEANAESAKNEGEDAVGAKTESKSDTSASETKSETEKSISGAKKDGENPPAPKAPEYPPDNEFEKRIRPEVIPANEIGVTFADIGALDETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKAGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSIESREKILRTLLAKEKAENLDFKELATMTEGYSGSDLKNLCVTAAYRPVRELIQQERLKDLEKKKREEAGKSSEDASETKEENNEEREITLRPLNMEDMRQAKNQVAASFASEGSIMAELKQWNDLYGEGGSRKKEQLTYFL >OMO99825 pep supercontig:CCACVL1_1.0:contig06795:26986:27308:1 gene:CCACVL1_03595 transcript:OMO99825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVIPTKVRLTRDPITHLGISEFRADHEAVKPNPKES >OMO99831 pep supercontig:CCACVL1_1.0:contig06795:66279:67034:-1 gene:CCACVL1_03601 transcript:OMO99831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSQPLSIESFSYSWLVNLKPSLESLDSSLRASLDACDEASFIEMDPRMPPSRRFFRSSQDFKFDFPISQTPLTLVHADELFSNGYVMPLFVNPLKMEAYEVSDSTSALPTSSRTPNLVVPASKRNCPSLRRCRRLSKRLFLKYLDFLRPLYRRIRGHKSSGRAESVDGRVPVRKNWVYSAETSPRVSVAYSADEWRKSCDSESSIYEAVLHCKRSIGK >OMO99828 pep supercontig:CCACVL1_1.0:contig06795:50378:50488:1 gene:CCACVL1_03598 transcript:OMO99828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNFVIPPPRPPVAIHQLKATMKLPHMLPCLEGKL >OMO99829 pep supercontig:CCACVL1_1.0:contig06795:53328:54396:1 gene:CCACVL1_03599 transcript:OMO99829 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 SUMO-protein ligase CBX4 MRIVSTLINAGSHLACVKFLTFKEINKRWENGDIIDVEHLTSLEVLHHHGLGGKQDIAEKTNKGGKSSKNKFNIFDYLKPGSKGDFGNVEMESPLDKGKKAFKRKAHEHLGMVEASPKRYRDSDVGAVLPGNAMVLKECTLSMKLLETESAFESPLVTRKSASNMLALADKFT >OMO99826 pep supercontig:CCACVL1_1.0:contig06795:35532:38085:1 gene:CCACVL1_03596 transcript:OMO99826 gene_biotype:protein_coding transcript_biotype:protein_coding description:C4-dicarboxylate transporter/malic acid transport protein MDRGGEHQVCVELQECSEPVPSLLKMISSNEVAGFDNVRRSETSIFPEPINIPESSGHQRKLSISISMPSSPTRGAASSAASKNVHFLDDSANGFMNGDLGSSSLASNTPDSTQPKKVKFLSQPMPKGSVFGESANVNHHHPGIRKLKDKRFDSFKTWSGKLERQLSNLRGKPRESHVPEEDSTTSSSESNHVSNNVPVDRYFDALEGPELETLRASEEIVLPGDKTWPFLLRYPIGSFGICLGVSSQAIMWKTLATASSTRFLHISATTNLVLWCISVALVAIVSSIYLLKLIFYFEAVRREYYHPIRVNFFFAPFISLLFLALGVPPSVASHLHQSLWYVLMTPFLFLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFAVGLAHYIVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWANIQGSFDYGSRIAYFIALFLYFSLAVRINFFRGFKFSLAWWAYTFPMTGAAIATIRYSSAVTNVVTQTLSVILCVVSTLVVTALLVTTILHAFILRDLFPNDIAIAISYRKPKPHHKRWFHLRNGSSDHNKDIENFLKFADSAESKDIEAALKNPTTAEATSA >OMO99830 pep supercontig:CCACVL1_1.0:contig06795:56639:57224:1 gene:CCACVL1_03600 transcript:OMO99830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIALRYVFFFLLLDVPLTDDIGAFCLIGFGHFSSGFQMNSSREALFLNFEGNLVLLAVAISTTGFTLFASRFCSRNVEHSVVVIVFFRGFYL >OMO99827 pep supercontig:CCACVL1_1.0:contig06795:39311:39448:1 gene:CCACVL1_03597 transcript:OMO99827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALILFQWPLLDSQNPPLSHTLGAAVTNESSANVISSLGNYKTMR >OMO72091 pep supercontig:CCACVL1_1.0:contig11508:3136:6487:1 gene:CCACVL1_17950 transcript:OMO72091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSANVIHRQEIKESEDVHLSFTSDGYQPTSPFLFDILNKNRT >OMO72092 pep supercontig:CCACVL1_1.0:contig11508:8323:10420:-1 gene:CCACVL1_17951 transcript:OMO72092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxymethylglutaryl-CoA reductase, class I/II MEARRRSSTKPVQSLKPAKTIPLEDSTKASDALPLPLYLTNAVFFTLFFSVVYFLLSRWREKIRTSTPLHVVTFSEIVAIFTLVASFIYLLGFFGIDFVQSLILRPSADVWNAEDDEEDHEVLLRKDDVRKVPCGQALDCSLPPLPPAPIVTAQKVFDEKPVIITEEDEEIINSVVAGKVPSYSLESKLGDCKRAAAIRREALQRLTGKSLTGLPLDGFDYESILGQCCEMPVGYVQIPVGIAGPLLLNGIEYSVPMATTEGCLVASTNRGCKAIHLSGGATSILLRDGMTRAPVVRFATAKRAADLKLYLEDPENFETLCLVFNRSSRFAKLQSVKCAIAGKNLYMRFMSTTGDAMGMNMISKGVQNVMDFLQADFPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKGDVVTKVLKTSVEALVELNMLKNLAGSAMAGALGGFNAHASNIVTAVYIATGQDPAQNVESSHCITMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGAHKESPGANSRMLATIVAGAVLAGELSLMSALAAGQLVKSHMKYNRSSKDVTTAASS >OMO72097 pep supercontig:CCACVL1_1.0:contig11508:67801:71272:-1 gene:CCACVL1_17956 transcript:OMO72097 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic amino acid beta-eliminating lyase/threonine aldolase MVTRTVDLRSDTVTKPTEAMRAAMATAEVDDDVLGADPTAFRLESEVAKIMGKEAGLFVPSGTMGNLISVLVHCDIRGSEVILGDNCHIHIYENGGISTIGGVHPRPVKNNSDGTMDINLIEAAIRDPGGELVYPTTRLICLENSHANTGGRCLSVEYTDRVGELAKKYGLKLHIDGARIFNASVALGVPVHRLVQAADSVSVCLSKGLGAPVGSVIVGSKSFIAKARRLRKTLGGGMRQLGFICAAALVALQENVGKLEGDHKKAKVLAEGLNQIKGLRVDFAAVETNIIYFDIVEGSKFTAAKLYKNLEEHGVLVMPEGPMRMRIVLHHQISSSDVQYTLSCFQQALTGASKENGI >OMO72094 pep supercontig:CCACVL1_1.0:contig11508:31764:34220:-1 gene:CCACVL1_17953 transcript:OMO72094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPPSSATTPSSSSSQFTYQTATNPSYFPLPFHLQQQAQPYIPPAQPLPVAPAPVYPAPVAPVPGVYSLPQYQQAQQLFQRDAQIVTPEALESVKAALASSEIEHKAETKKKAIPRKAAGQSWEDPTLADWPENDFRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFANPSDLAAALKEMNGKYVGNRPIKLRKSNWKERTDIEALDRHKNHNQKRPKPQKKGILHK >OMO72095 pep supercontig:CCACVL1_1.0:contig11508:41726:48771:1 gene:CCACVL1_17954 transcript:OMO72095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEKHEALSETCCSTSASTTESSPVQETELGNSENKKTTRPLRRRPWINYGRYDNCSDDESDCGKLDQDLRLRLNLPKGVIRGCPECSDCQKVSARWHPEEACRPDIQDAPVFYPTEEEFEDTLKYIASIRPRAEQYGICRIVPPSAWKPPCPLKEKNMWENSRFATRVQKVDKLQNRASMRKMSKVTNSMRRKKRRCMRMALDFRSESGSMAGSADAGFCEVERFGFEPGPEFTLDKFQKYADDFKAQYFKRGTGVDMEGKMTIHQEHYEPSIENIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKKSSQVGFASNDKYINSGWNLNNFPRLPGSVLSYESSDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKIWYGVPGKDAPKLEEAMRKHLPDLFDEQPDLLHKLVTQLSPSILKSEGVPVYRCVQNAGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQIAIELYRDQGRKTSISHDKLLLGAAREAVRAQWELNLLKKYTSDNLRWKDVCGKDGVLATTLKTRVEMERLRRGSICSSSQPKKMESNFDATSERECYICFFDLHLSAVGCQCSPDKFACLNHAKQFCSCTKGAKFSLFRYDINELNILMEALEGKLSAVYRWARLDLGLALSSYVSKDNMLSGKLSHALQVPKEVPLQPSVSSFKGLPGEETTKERPSILAQISAQMLLLQKNKQSEAAKPSKDAISTTKKEEASLSATNLQAPVSCFSQEHRPSTGGQNAVENRVKQPSAPLETAVDSRVKKLSAPSDNNIILLSDDEGDEPEKPVSQKPKEQSITKQAEVSLRLAPSGEVSTCNYKEPVLTTPLTDAAVMNQRDASAPDVQRNSSSHNSGVKNELVGTAISLLGTNRQTISCHLDSSAAEASRNVQDSSNTKEIDSNNNNPVTVEGNLQHVPPLESEKTNKEKHENMGGIASANLVDNARITIGGPSCSQNNLDRNFRQKGPRIAKVVRRINCNVEPLEFGIVLSGKQWCNSQAIFPKGFKSRVKYISVLDPTNMSYYVSEILDAGRDGPLFMVSVEHCPSEVFIHVSASRCWEMVREKVNQEITKQHRLGKTNLPPLQPPGSLDGFEMFGFSSPAIVQAIEAMDRNRVCTEYWDSRPYSRPQVQILQHSPVPDNGANSIRTSGEQNNAGAPPNNCLPSGVDTILRGLFKKANPEELQSLSSILSNKRSPMEVDFVARLLNEEFHNRPR >OMO72096 pep supercontig:CCACVL1_1.0:contig11508:58587:59237:-1 gene:CCACVL1_17955 transcript:OMO72096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSLSQQSNPNNYPSSIVGSNAAISSTTSISSSGVATPTSSTSITQAPPQPTTPSRYENQKRRDWNTFCQYLRNHRPPLSLSMCSGAHVLEFLRYLDQFGKTKVHNQTCPFFGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEEHGGRPEGNPFGARAVRIYLREVRDFQAKARGVSYEKKRKRPKQKVSSAQQPPSAPPPAAVLAEAAAASE >OMO72093 pep supercontig:CCACVL1_1.0:contig11508:20015:22648:1 gene:CCACVL1_17952 transcript:OMO72093 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-amylase 7-like protein MEPVNFNCTSKIVIRFKHEHARFVLENDEKMGRKGKWLSSIKKAFSLDSKDKKNKGSRPAGGTSAGMTSSSSQMVLQQTSPSSLRGISFGYSPYDLSFSAHSQSPGMVGDGGEQIDSLPLIDGGEQAGMTFILRSYVNSSLEVSIADFDPK >OMO72098 pep supercontig:CCACVL1_1.0:contig11508:74947:77550:-1 gene:CCACVL1_17957 transcript:OMO72098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKATPIRDELHINEDMDLHDSAKLEQRRQADLRRNLRSGLTGLPQPKNEYQIVVQAPPEDNEEPEEKIEEDMSDRLAREKAEEEARQQALLKKRSKVLQRELPRPPAASLELIRDSLMRADGDKSTFVPPTSIEQADEMIRKELLSLLEHDNAKYPLDEKANKGKKKGAKRPANGSIPNIEDFEEDEMKEADSLIEEEAEFLRVAMGHENESLDDFVEAHNTCLNDLMYFPIRNAYGLSSVAGNMEKVAALETEFDNVKKKLDNDKLKAEGMEKKFNVLTQGYERRAATFWHQIESTFKQMDTAGTELECFQALQKQEQLAASHRINGLWEEVQKQKELEQTLQRRYGNLIAELERIQNIMNVYRIQAQKQEEAAEKDHAVELSEAAVPSSEHVDSSLDGHANLKVDMNVNSGKEHDAMDVETDMSANVPSIVEDNRDNTTKTLNGMTGDFVTSSEVTTESIKPDNSSALGGDTAEEQTGMVA >OMO83121 pep supercontig:CCACVL1_1.0:contig09935:13746:30736:-1 gene:CCACVL1_11549 transcript:OMO83121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDGDREGLGDSAAAVIHRFQVRGLVYAAAPVGRGSDPD >OMO81575 pep supercontig:CCACVL1_1.0:contig10145:13279:15470:1 gene:CCACVL1_12352 transcript:OMO81575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L29 MFLSRFVGRTALLNAAKSESSGAATAAATAAKGHNPLEQFFEADRSADDEKPVYGRSWKASELRLKSWDDLHKLWYVLLKEKNMLMTQRQMLYAQTLRFPNPERIPKVRKSMCRIKQVLTERAIEDPDPRRSAEMKRMINAL >OMO81576 pep supercontig:CCACVL1_1.0:contig10145:15868:16002:1 gene:CCACVL1_12353 transcript:OMO81576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKNMKQWEQRSEHGQWAMGNGQLAIGNWLSEKERSGLLVTEV >OMO90541 pep supercontig:CCACVL1_1.0:contig08466:7452:7517:-1 gene:CCACVL1_07345 transcript:OMO90541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISWGSNAQDAIVDFPDLT >OMO90543 pep supercontig:CCACVL1_1.0:contig08466:25814:34046:-1 gene:CCACVL1_07347 transcript:OMO90543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICCLDLKYCLDQCQFIGGIKFGEMEHDCLIARGVSANLHELDYGSALDCGEETFCTQVCRIGTHSFGVCVNGAQGAGYTTYNWIVDWFVQHIGSQISMMKAIRDCSSRKSVLHLLGMREVSPRTKYVPKRRWGETANLDAKSTSGSKSEPIRDARRGLVSWAEEESLRHLSGKYCPLLPPPRSTIAAAFSPDGRILASTHGDHTVKIIDCERGKCLKVLSGHQRTPWVVRFHPLHPDILASGSLDHQVRLWDAKTAECIGSRDFYRPIASIAFHAEGDLLAVACGHKLYIWQYNKKGESSSPAIVLKTRRSLRAVHFHPHAAPFLLTAEVNDLDSSDPSMTRATSLGYLHYPPPAVFVTTGHSNDGVGLTADPSIMSLPCVTVPSFPIDDSRMDLQYVSRLVGSTGMQVARSPSMQFQTDVTMGEQNHSTASPMQTFPAIPSGLYPGTEAPLNSSVPYRMGNVATDASIDGMETDEIHPVRENREANSSSVDPYSNGLSGVPRRVLNQMDVREFGQVQPFLPFGAISWELPFLQGWLMGQQTNFPSMHPHSGIGHQNLVQYMGSSSTLQSLIDNMESAATSLGIQNNISQSAVSGRTGLQQVSQSRFSVSQSGEVDVPSNSLRDGADTQQTLSRIQSELATSLAVAAAAELPCTVKLRVWLHDIKNPCAVLNADKCRLTIPHAVLCSEMGAHFSPCGKFLAACVACVLPHVEADPGLQALVQQDSGPGTSPTRHPISAHQVVYELRIYSLDKATFGSVLVSRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLKSIVIDGKTASPIYTVLEVYRVSDMELVRVLPSSEDEVNVACFHPFAGGGLAYGTKEGRLSVLQYDGSHGTDYSIPNYLSE >OMO90542 pep supercontig:CCACVL1_1.0:contig08466:12242:12515:1 gene:CCACVL1_07346 transcript:OMO90542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAGKGIVWANAIFFGANKDSAARLH >OMO61130 pep supercontig:CCACVL1_1.0:contig13581:16868:22251:1 gene:CCACVL1_23729 transcript:OMO61130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MVAHERLIGKTAQNGDASLSDNSMGLRGCLTRERTSAIHEEIMSKGTFQNKDVVLICKVRKQKMCSAQLLFEVFERAGYRIDRYLQSDLYHGITSNVQVAKDVALLLKEEGFTLSQGGDTNLVLCYCLELQNVMPEWYVGKRFLLLNLSMLTGTYPHSMVFIRSLYILGLRLRFRNATLIKRASCYAGLPECIDAGLAIVALSQIVRHMMVQFLSELNSSRVLGAKAPGYRIVERVPWGFFAVFGDLRMVLSLLSWGRKSMVWLLNRRIRYFEQWLIAPGLGSCLILKRWEVEKSISEISFDRVQYWIQIYDLPPEMQTLANFKKFRDSIGRVVMLEKPDWSQGIGRCYMRIRLELDVNKPLIPGFWVPRQDKGKLWVRLKYEKLGDFCFSCGKIGHLSKFCDAVTLESSRESAYGPWMKAALVRTVLEERLISFDEQGTPELPWDGSVNENAGILIRKRPESSNGGTAELDEDELRALGLWAEDGSMAAREEMLIKHIDEHVGSSGLFCKARGNSVDNVNVERAYERVGKVGETSGARNVGECAGGYDAHAELGKQIVAHHVDNPLSPIGKGDKLGASSLGVLVESAVLVQNETISTESHGKETYGDNDGNDEYGLDKENLFCEEPVRKYNQVPVTTINEVTKLGNVVLHQVEIGLSSFFRNLNLKRRFEDGIVQNEFTSKRIWVESENGYSIRYIYNERNMAVDMDGLQNSDAEVVDKTNIVMVSRRMRNKARITGGGRLKEPPKIYEAFILELSRCGTSLDSKALKELIRKNGPQILFIMESKNKRRYMENLKRKLKFKYGFYVDPQGLSGGLALWWNDDVSVSILRSCKNLIDSTVTDVKNGVVSRIFWVYGPPEAEDRSKFWQLVQRRMEDQNIPWMCLGDFNDILYLHEKEGGNIKEYWKIRNFRDMVDGCNLIDLPFQGQKFTWIGKRDGLIIKERLDRALVNTSWIEQYPNTQVFNNPIIG >OMO61129 pep supercontig:CCACVL1_1.0:contig13581:10145:10660:1 gene:CCACVL1_23728 transcript:OMO61129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEPQIVPKPYLKKKLVLHLTFTIPSIKNIRIGPHCIVQVKQAIYHYLAYFFTMELNPTSEADPPSHTSIKSFLSIACLLGSSSSIFEIS >OMO61127 pep supercontig:CCACVL1_1.0:contig13581:1921:2332:-1 gene:CCACVL1_23726 transcript:OMO61127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSKVETISRLAQWRIDNFGPCTYKKSDPFKVGLWNRHLSIEKNRVFYIRLFPKPSRVSKEQPLFVKFIIRVSNVVANRRIYISPGMHLSIPSVLNPCFF >OMO61128 pep supercontig:CCACVL1_1.0:contig13581:7331:8349:1 gene:CCACVL1_23727 transcript:OMO61128 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-ATPase proteolipid subunit MSSTFSGDKTAPFFGFLGAAAALVFSCMGSMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIRIVGDAGVSSWL >OMO77920 pep supercontig:CCACVL1_1.0:contig10670:31605:35811:1 gene:CCACVL1_14739 transcript:OMO77920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MSRFHVGGKVVDKVDLLRKKPTAWRLDVWPFAIMYLLWLTIVVPSLDFVDAAIVFGGLVVTHILVLLFTAWSVDFKCFVQYSKVSDIHHADACKITPAKFSGSKEVVPLHFRKQVASSSSSTDGEEIYFDFRKQCFIYSEEEKSFSKLPYPTKETFGYYLKCSGHGSDAKVLTATEKWGRNVFEYPQPTFQKLMKEHCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDSQTLMVHRCGKWVKLSGTDLLPGDVVSIGRLSGQNGEDKSVPADMLILAGSAIVNEAILTGESTPQWKVNPLF >OMO98570 pep supercontig:CCACVL1_1.0:contig07092:3028:3108:1 gene:CCACVL1_04191 transcript:OMO98570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGGKLRNLLKQRAKHTQARLSKSLRDL >OMO57288 pep supercontig:CCACVL1_1.0:contig14413:54423:61993:1 gene:CCACVL1_25855 transcript:OMO57288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNGGMTWRRRAEKLDGFDLSPSDSEEVHVLAVDDSLVDRKVIERLLRISSCKVTAVDSGIRALQFLGLDEEKNSNGFDGLKVDLIITDYCMPGMTGYELLKKIKESSAFREIPVVIMSSENILTRIDRCLEEGAEDFIVKPVKLSDVKRIKDYYMSKDFKVGTEGEKGRGGMNKRKQRESCDSSPQNIEVFYPTQTPAPPPLPEDPLPTPDKLPPEPQPPPRTPPSPSSDNTNKTIAKAVAATAASTIVIAGIFFFCIRKYVMGQRKKDRVGDSFQGGSRSQSKKVEPVQEIPLLRGKSSTSHVPPPPEDDDSTETISPKLPPPPSHRVVLNAVEKREAPVQSKFLPPSSMPPPPPSLPIQHNNSKSPAAPPPPPPPAPSNRTPAPPPPPVPAKGPAPPPPPAPAKGPAPPPPPPKAGGSVATSLKPPPPKAGETSGNNQAVKLKPLHWDKVNNNVEHSMVWDKIHGGSFKVDDDLMEALFGYVATNRKSPNGKAKNTGNTSPSSSSQIMVLDARKSQNIAIVLKSLALSRRELVEALNEGQGLEAETIEKLMRIAPTEEEQSQILGFDGDPTKLADAESFLFHILKAIPSAFTRLNAMQFRTNYDSEILHIRENLQNLELGCKELRSQRLFMKLLEAILKAGNRMNAGTARGNAQAFNLTSLLKLSDVKSTDGKTTLLHFVVEEVVRSEGKKCYISRTHSLSRSSSRNSTSNSDNSTTKEDREKEYITLGLPVVGGLSADFTNVKKAATIDFSIFSSSCSALSARVAEIKRLVSQCLADGKGGFVKEMKGFIDDAEEELKTIAEEQKRVMDNVKRTAEYYQAGASKDPFQIFVIVRDFLGMVDQVCVEIARNQQRRKSPQSPNSSESRTKMRFPVLPPNFMTGKSRSNSSESDGDS >OMO57287 pep supercontig:CCACVL1_1.0:contig14413:53849:53932:-1 gene:CCACVL1_25854 transcript:OMO57287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDRTAPSKSIYGNYRHGSRTGGINNG >OMO57286 pep supercontig:CCACVL1_1.0:contig14413:26922:34714:-1 gene:CCACVL1_25853 transcript:OMO57286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, MYND-type MECAGKGSGTRCLGPPRKRCGRCGAVAYCSASHQLSHWKEHREECERLEQQMKRLDSLNDFPFTFTEEATIQISEKRGNRCSFLSKRGIHQVGMWSCECCCGPSITSNTWNLSNILCPCHGPSSPIIKSLCTWRDYYEWRCIPLHSPVALLLHWPLTVYHATQLTGLGSLTSEICKLRIHYLGPEKELLQLAVFGELCALFPDVNVHIDFIGPAVPQHRHGDKIDLYGYAHCIENDCVCKSENESSSSDIGTHTSSAVTLQLHRGFYHDWFGDKSKDSFPHLIIAPNAGIAAYSSWLPTIVGLGFGVDFGQAFKVPFRINDVLPVLPRQISWPVLNNLHSAVDLLPAFVGSITPQNGSIDWKGACFYDNEARLELSGSDRNGSGLGGGVLYLTTSDAHSWTCMDLYVFATPYRVTWDYYFSAREHTLKFDSWEDPAELEYVKQHGISVFLMPSGMLGTLLSLVDVLPLFSNTAWGQNANLAFLKTHMGATFEKRPKPWRATINPEDVHSGDFLAVSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDEMGNVWVAESGHENEKGEEIIVIIPWNEWWELSLKDGSNPQIALLPLHPDVRAKFNSTAAWEYARSMAGKPYGYHNMIFSWIDTVADNYPPPLDAHLVISVMSMWTRVQPAYAANMWNEALNKRLGTEDLDLYGILDETERRGIAFDQLLTIPEQDEWVYSDGKSTTCVAFILEMYKEAGVFGPVANSIQVTEFTIRDAYMLKIFENNRTRLPSWCNDEEGRLPFCQILGEYWMELPQYNTLEPYANMNENCPSLPPIYDRPTEC >OMO57290 pep supercontig:CCACVL1_1.0:contig14413:73765:74874:-1 gene:CCACVL1_25857 transcript:OMO57290 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MRSILHAAAVLLLCYLISAPFCVGIRTIGGGDGLGFGFSEAPEYRNGVECPASVNKEVVSACDPSLVHVAMTLDSEYLRGSIAAVHSVLRHASCPENIFFHFIAAEFDPASPRVLSKLVRSTFPSLNFKIYIFREDTVINLISSSIRQALENPLNYARNYLGDILDVCVDRVIYLDSDLVVVDDIMKLWNTTLTNSRVIGAPEYCHANFTKYFSDGFWSDPVLSRVFQSRKPCYFNTGVMVMDLVRWREGNYRKRIENWMEIQRKRRIYDLGSLPPFLLVFAGNVEAIDHRWNQHGLGGDNVRGSCRSLHPGPVSLLHWSGKGKPWVRLDDRKPCPLDHLWEPYDLYKGKLVKAHSSSSIFLGFSGYLL >OMO57289 pep supercontig:CCACVL1_1.0:contig14413:63945:68927:-1 gene:CCACVL1_25856 transcript:OMO57289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSKPGLEVSVSFGRFENDSLSWEKWSTFSPNKYLEEVGKCATPGSVAKKKAYFEEHYKKIAARKAELQAQAQAQDQEKPLNLDNLYHGGDLLGKSNDQCSDEVDEQETNLVTQVNETRFDEPYEEPEIAMECQNSLVEGVKKNIDSTGESEVTEKLDCRVESEVRENIDSKVDCQEKEVIDSTVEIAVLNKQEETARDEAGFVKEEVDTLSKGSQDVNELPLISEKHRENTPKIKDKNIKSGPSTKAHKITPLNKERNETRVKKKPTSPVTKTPQFSTPRASKPTSTPTASSASRTPLRTGTTSSYSSQKTKKPSLTESKKVAPRSLHMSLSLGPSGSDPASPATTRKSLIMEKMGDKDIVKRAFKTFQSNYRELKPSTQEQSPASKQVPEKGRVPRVSTLTTPQKENGGSSRVTVMEKKNAKAAPSYFGLKSDDRAERRMESSKKLEEKPNGREAERKYLQTKSKDNRDAEIKKLRQSLNFKATPLPSFYHGQRTSKGPLDKFGTKSDIHRKGQSPTAAES >OMO65541 pep supercontig:CCACVL1_1.0:contig12643:17574:21942:-1 gene:CCACVL1_21508 transcript:OMO65541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSSLHHLSTSLSFLSKPSLSLAPVLRPIRSYAPISSSLQFNIKFAPPSPKPKPPPNLQNDAVADSESPPFPTDGQFFIPWIVRGEDGNLKLQTQPPERLIRELADAKTQKPKKKVDKAVKKKKEVSAVGNASVEPPKLSKAARRFYNEKFREPPQRLSKVLAAAGVASRRGSEELIFNGKVTVNGSVCNAPQTRVDPNKDIIYVNGNRLPKKLPPKVYLALNKPKGYICSSGEKEFKSVLDLFADYLKSWDKINPGSPKPRLFTVGRLDVATTGLIIVTNDGDFAQKLSHPSSNLHKEYIATIDGKVSKRHLMAISEGTEIEGILCVPDSVELLPQQPDLLRPRLRIVVHEGRNHEVRELVKNAGLEIYSLKRVRIGGFRLPADLGLGKHVELKQSDLRAMGWKS >OMO65542 pep supercontig:CCACVL1_1.0:contig12643:22962:25240:-1 gene:CCACVL1_21509 transcript:OMO65542 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD dependent oxidoreductase MANKDAQSMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITPLPEPHEDEIQFILDAICDYLNVKVRRTDVLSAWSGIRPLAVDPKAKNTESISRDHVVTEDYPGLVTITGGKWTTYRSMAEDAVDEAIKSGKLSPINESITSNLRLIGGDGWEPSFFTVLAQQYVRMKKSYGGKVVPGVMDTAAAKHLSHAYGRRAEWVATIAQTENLGKRLAHGYPYLEAEVAYCARNEYCESAVDFIARRSRLAFLDTDAAGRALPRVIEILAAEHKWDKSRQAQEMQKAKEFLETFKSSKNAQFHDGKHKKHTHISFDSSGSNGIIESYAETLPI >OMO65540 pep supercontig:CCACVL1_1.0:contig12643:512:4801:1 gene:CCACVL1_21507 transcript:OMO65540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYLKQSWCLGRFLVLVFVAEFAIHGFSNEEKAPNLSFIQEGTSAPEKLRYDYIIVGGGTAGCAIAATLSSKAKVLVLERGGSPFSNTTKIRKENLVPTLTDTSPDSFSQAFVSEDGVPNNRARVLGGGTAINGGFYSRAEPLFIKQTGLDEALVEDSYKWVERKLVYKPTLFRWQTAVRNGLLEAGVLPFNGFTYDHINGTKSSGTIFDKNGNRHTAANLLEYADPRRIKVYVHAVVNKIIFTTQGGSRPKAQGVIFYDARGGKHRAFLKSVSRSEIILATGAIGSPQLLMLSGIGPASQLEPLGIKVVLNQTMVGREMADNPSNGLIIPSPVLVDLDAPTTVGITKFGNYIESFSGYDFIALYGSSSGSQSLPNDFTVTFNKMGESSMSSREAMANPITNLGLILEKFVGPISKGYLELRNTNATDNPKVTFNYFQAPEDLRRCVQGMETMIDVVNSKSFSPFRYKNTTTQDLLNMMLNLKQNHRNSTVTSLEQFCRDSVNTIWHYHGGCVVGKVVDRDYKVLGVESLRVIDGSTFNFSPGTNPQATTMMLGRYMGRRILQDRDR >OMO70039 pep supercontig:CCACVL1_1.0:contig11892:8190:10507:-1 gene:CCACVL1_19132 transcript:OMO70039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MKLTWNKNKKNNKKRPLEAIGNYPNLPFEQQNPDVDSQLQNEESSSSQNQEKAPAADSLDSDAKQLAQSFQAQGTKLAEDGKYREALGKWEAALNLMPENAVLHEQKAQVLLEIGDAWSALKAATRATELEASWAEAWVTLGRAQLNFGEPDSAIESFDRALAIKPDFVEAQDDRKTAVHLIKRRKQLHISGLSTDKSRYMVGDKTEAFG >OMO76483 pep supercontig:CCACVL1_1.0:contig10897:28473:29357:-1 gene:CCACVL1_15633 transcript:OMO76483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MGLSSKQVSSDGLGSWNQSLLQAQTLELPKSNSSMKRPNNPQNQQQNSEQLKCPRCDSTNTKFCYYNNYNKSQPRHFCKACKRHWTKGGTLRNVPVGGGRKNKRLKTSSNTNTAAVVAAAAAVATTGHASSSAIKSSTTTSFMAIQPPQQRQVNPTMQFPSSLLQQNSSVNCKSNNNSMFLGSSISSQSLPQTQGMQFPFSSSSSSSFDTTTPSSISTSFQSSSIYNYTGSETMEDPTISSIIMPSTTVSQPWPIPITSSGMDMANNYWNWDDIDALVSSTDLNIPWDDSEIKP >OMO76481 pep supercontig:CCACVL1_1.0:contig10897:12125:12244:1 gene:CCACVL1_15631 transcript:OMO76481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTFNGLSLPQTSARTHKTRLRPPSDGGFWALSTAKIHLL >OMO76482 pep supercontig:CCACVL1_1.0:contig10897:20768:26118:1 gene:CCACVL1_15632 transcript:OMO76482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFGSKKLVRRVQCRLKFLKIKRRSIINQLHGDIVNLLRNGHPQTAFSHAEELIKYQNLSTAYDLLEKFCEFIILHLPYIRKHKNCPNDIKEAVSTLIFAAAWCGDLPELQTIKKLFKERYGTRFIQGITESNPENHVNSQVKGKLCLKYITDDMKQSLIVELAKEHGFQPQHPGSNKRLGSNVLVICGNNNLHWEGFLFEIGKSVQVEHRYETKMICNDSTGINASLESFGSQSEFLVEEIQVNTIQNNYAGDKTVFLFNYSTSTDVLSETDNGFSGARLLVSSQICAETNIFAQQSYDPERVNRHVHPNLPDYDELVAKFKTLKQEHQQKTNWRFLYPYHAMHAEAMASTLLLALVFIIDLIAFGLAVAAEQRRSTATVLASGTDRYCVYDKDIATGLGVGAFLLLLLSQLVIMVASRCLCCGRAMRPSGSRAWAIVLFITCWVFFFIAEVCLLAGSVRNAYHTKYRDHFSNPPSCATLRKGVFGAGAAFIFLTAIVSELYYVSYSKAKDGGPANYGRDTGVRMGNL >OMP10607 pep supercontig:CCACVL1_1.0:contig02115:64:684:-1 gene:CCACVL1_00849 transcript:OMP10607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLSPSRDEYYMVAAGNSLALNQGIQEEQVVPARYRQEFLTIAWEQVHLRSIFPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQVALDSGVPAIADHEGKIISADTDKIKIIFSGNGDTLSIPLVMYQRSNKNTCMHQTPRVPRGKCIKKGQILADGAATVGGELALGKNVLVAYMPWEGYNFEDA >OMO53693 pep supercontig:CCACVL1_1.0:contig15169:13000:25671:-1 gene:CCACVL1_28435 transcript:OMO53693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNAREDAAFKMPHFLPDCSPSFALWWAGQWKNITDNENVEVIAARLAPPGGKRIAKPKKPKRAASDPSSDNDEENEAPSLVARKKNKPTSLNASATPASSHPTTDCHPTQPKKEAEKPKATKPSILLAKTPSSIVSSTSSKPAFASKPASFTLKADPTSVLLARHESLKHLLSKVVPSPYLSFRDSEIPSEAALKDALEEQRSKFEIWQQDIEDENSKVFDPTEEEFEVDRKITDLEAELASLKARRANLAAKREQTTLERINRLEARAKELIARQPEIEEINRQHDYLQFQMVQLSTDFESWIDYLPGRKEYGDDGEKGSRMKARSMVVAAMQAVSSLRKGGKGIERIEDAIDFVNNQLSVDDLSLPAPRSSIPIDSIRSTIFEEMNNQIRDAVMSMINSEREGKNIDQALVKNVQSIYVDVGQGSMKYYDKDFEEALFKDTAAFYHYDGMANSHIRRYRTLLTIIMERKLAKRQRLSSPTPPITPTLPTRPTRPTATTRLTPKTLALAAELAASSPDADSAADFAQIDDSIRLSLLLMDELIQQSIRRRAVFEEPNYPKVISLLVAEADRSEFHKKRIGWWIQNDNLPEESLKMIVHLKCDCGAEGCVTCIMMESLSVNRVSSCGIAREAVADKKMEESTRKFLPSAAWSIQTRKFLPPAASILTDFDADHPAFKFTASDLYMFQDSDDDYVNDVYAIWLLEHELEHSIQYPNCSNPREVFQEPSYPNVISLFGGEPGEPVRSVFDEKKDLPKDDLKMVVHLKCYCGVEGCVYWYNDGGLSWSKVTLSAVRSRMCAYFATCCSCGSHVTHCGIVTEASAVDPAASIPTTWSASYAGLPAFNFKATDLALLNDFDIFNVILLLEGVYDPSEYDKITDVPNPKAVFCEPGYPRVITLGEDAPARSEFHNETIGWWIQKSELAEDIKMIVHLKCNCGAKGSVTWFNDGNFKLSQGDKLSVILSRKVAYLGTSCMCGSDKTHCGIAFHKSIDKILGLGKKKRKKDKILGL >OMO53694 pep supercontig:CCACVL1_1.0:contig15169:33971:40778:-1 gene:CCACVL1_28436 transcript:OMO53694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MYAADLNPARETGRVTPAGMVLFPIQFTWPHGGRSVFLSGSFNRWTELVPMSQAEGNPNVFQAVCAVPHGCHEYKFLVDGEWRHDQGQPHRNGEYGIVNTFDTVPVPAEVPQVQPRTELNSMEVDNGLTHRISAFSLNQPMPRISEEDVQASRRSISVFLAAHTAYELLPESGKVVALDVDLPVKQAFHILSEQGIAMAPLWDFSKGKFVGVLSASDFILILRELGNHGSNLTEEELETHTISAWKEGKAYMNGQVDGHGRPIPRNLIYAGPYDNLKDVALKILQNGVATVPVIHSSSEDGSFPQLLHLGSLSGILKCICRYFKHCSGSLPILQLPIYAIPLGTWVPRIGESTSRPFALLRPTASLIEALNMLVQAQVSSIPIVDDNDSLLDIYCRSDITALARGRAYTHNLSEMTVYQALQLGQDSTTPYETRSQRCQMCLRTDTLLKVMEQLANPGVRRLVIVEAGSNRVEGIISLTDIFRLAHPGGPLTTNKAAALAKFLERKLQDPNGLSSINPELLELAVNNAKAAVVQSGTSNSGRRIKHVDSFGDSEVVEDHDGTLKRPKKKVKL >OMO53692 pep supercontig:CCACVL1_1.0:contig15169:5878:10638:1 gene:CCACVL1_28434 transcript:OMO53692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRRSNRSRLGPKASIRERIRRMVRSTKYAAMARKADLDDKGCIELLAKGYFHCRGSRLRVGCRKFSSHFLAASAIKAQIIK >OMO53695 pep supercontig:CCACVL1_1.0:contig15169:64826:65674:1 gene:CCACVL1_28437 transcript:OMO53695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNNNNGSIATAAAGGGVHPACAACKHQRKKCDENCILSPYFPADKSREFQAVHKVFGVSNATKIIRNANSDEDRKKVADSLIWEAFCRQKDPVLGPYGEYRKIYEELTLYKSQNQMMLLQVHQGRDDQSAATHVLKMAPNNVIEWNNNNHNQNNSNNTTNNGLTNNNNINSKTLIDVKGGATINNVVLRYNNHQGVVNVGHEESLVDFSSYGYPSHSQKSVQDKPTSILPLQYYASGNYYKLINHLILLIIYIYNLIIKKYSFYSSFYSHGRSYGLLLYS >OMO98070 pep supercontig:CCACVL1_1.0:contig07167:354:4456:1 gene:CCACVL1_04350 transcript:OMO98070 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative kinesin light chain IGANMLHLARVIMLNCNQHGRMHVSEAIAELDKAKGLLNNSIRIAGQVIYKLERQKGKNQNNGFSGRDGREALIVLLQSLDALGLLEINRQELQEPGVKVFSSPEAKNAHFECISAYKELASGKLIGNSPEVKAEYLSCLKHLSSLLDAEGTQKYRGTTLQELKDEMKGVELDISSYRGRKN >OMO98071 pep supercontig:CCACVL1_1.0:contig07167:8290:11294:1 gene:CCACVL1_04351 transcript:OMO98071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MGHEFKSLLQLDTRKLIWLIGITFVVIITFQYVELPYGNVLSSLFPSGKVSVEKESSFLASAPSSTESETAHNVTRSIGLDYNGTNPNNETAQDKESSEGKEKDFNNDVVSEADVGLNRSSKFDEGTEAEEESSIEELEELNRNSTVDYPGSSNNETKPEEVDGVSWESEMEGSITIISGLNQTSTGNETANDSGTSIGKDKDPNNVIVSEVNKNSTADDTQSSNNKTIAEEVSKTEESFSSKNDTVDINSSNNITGDANISLSQESTGSPDTGVESPLPDLPPTNSSTNMPLEKDVETNIRMPAVSVNSSTSSPEQHVTPSFGNKEITEEIQKNITTAGYNSSPTKTREVKKKPEMPPALTTIADMNNLFYQSRVSYHSKTPRWSSRADEVLLDARSQIENAPIVNNDPQLYAPLYRNVSMFKRSYELMESTLKVYVYKEGKRPIVHTPVLKGIYASEGWFMKQLEANKRFVTKNPKEAQLFYLPFSSRMLEETLYVPDSHSHKNLIAYLKNYVDTISTKYPFWNRTEGADHFLVACHDWAPSETRRPMPNCIRALCNSDVREGYVFGKDVSLPETYVRNPQKPLRDVGGKPPSKRSILAFFAGSMHGYLRPILVEQWGKKDPDMKVFGRLPNVRGKMNYVQYMKSSKYCLCPRGYEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWESFAVFVLEKDIPNLKKILLSIPEKRYRQMQLRVKRIQQHFLWHPRAEKYDIFHMILHSVWYNRVYQIPPAPR >OMO67421 pep supercontig:CCACVL1_1.0:contig12435:8658:9238:1 gene:CCACVL1_20530 transcript:OMO67421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGSRHYKFGGFPVHPKQLHGSKGYRLSGLPT >OMO67420 pep supercontig:CCACVL1_1.0:contig12435:2394:6506:-1 gene:CCACVL1_20529 transcript:OMO67420 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG MEREMEMKQSKFKRICVFCGSSPGKKSSYREATIELGSELVARNIDLVYGGGSIGLMGLISQAVFEGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPNARHIIVSAPTAKELVKKMEEYEPQHERVASKLSWEIEQL >OMO63926 pep supercontig:CCACVL1_1.0:contig12880:18889:19359:1 gene:CCACVL1_22175 transcript:OMO63926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1/H5 MICEAISTLKDRTGSSQPAIAKFIEDKYNKMLPPNFKKLLSVQLKKFVKSEKLVKVKNSYKMSSTEKLKLAIKETQTKKASPKNKASTHKEKAAKKVSEKSVKTKRLSQVKTPEALKKASKKEVKKRLSQVKTPEGLKRNNSKPENKARVAKKAKK >OMO63925 pep supercontig:CCACVL1_1.0:contig12880:16349:16921:1 gene:CCACVL1_22174 transcript:OMO63925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIHPIQMLLVGFTLLLAFPLATSTTTSKEEIKCDECTPVLYPSPPPPVYPSPPPPVVIECPPPPVPPSPPPPPKPITPPPACPNCPPCPSCPPICIVCPPVCPGCPPPPCNVCQVPAPPSYPSPAPPRQPRDEPGVMGGAVYSPPNGVNPYFPYTFNTPPSRSSANSNLELKQFLVSIIFLLATFSFF >OMO63924 pep supercontig:CCACVL1_1.0:contig12880:9616:11538:1 gene:CCACVL1_22173 transcript:OMO63924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSCRMRNGKSLKLGSQGNSGECGSRICSLPDTILLHILSFLPTKAAVKTSLLSKRWQFLWMSVTKLEFEEKSKEGATRINFMNFVERALLLHDLKNLQKFSLNCNLLSDGPRINAWISAVLKHKVKHLHLVLSYDDFDGWFVLPQHLFTCESLEKLFLVIYDCHQLPSFICLPNLKSLTLSSITFEDHHSVQRLLSGCPNLEKLRLSECVWVNVGAVYINSSKLEELNIYELEVEGYDPPGCQFIISGVRLKEFTYMGELKHDYCIIDTPSLVKVFVGGIVNRKEKGSDRLAAYHAHKILRGFGKTLEFLSLTCHVLQALTYADELAAHLPMFENLKQIEVFGLEAVDFACRGLLNIVQKSPHLESLHLLMGVRLSTISEEDDKVFNPVPACFLTHLKTVKLYKFNGTEEELRVVKSLLQRARVLEKLWLNSNEETRILGLLSTLPAGLLNNNVAFF >OMO89954 pep supercontig:CCACVL1_1.0:contig08572:10112:10177:1 gene:CCACVL1_07556 transcript:OMO89954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMPAEVIQLLCKECCLNGE >OMO52717 pep supercontig:CCACVL1_1.0:contig15466:26525:30572:-1 gene:CCACVL1_29100 transcript:OMO52717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SITSFPIDDCFSSDLYNNCVATPYASSSNSNKRQVRTPYAYADGSTFEDLKEGLKKAMEDLVRPSTIVLYRPLGGVIRVIFRLTA >OMP04394 pep supercontig:CCACVL1_1.0:contig05750:3887:3964:-1 gene:CCACVL1_02165 transcript:OMP04394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDFERPSKISATTLEEKKRGEYVFN >OMO81771 pep supercontig:CCACVL1_1.0:contig10108:2332:2442:1 gene:CCACVL1_12227 transcript:OMO81771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGEYLSEQLDEPSRSELEESDEDGYMNLMRRIE >OMO71554 pep supercontig:CCACVL1_1.0:contig11601:11982:16242:1 gene:CCACVL1_18162 transcript:OMO71554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MSSKSNYARSLEETPTWAVAVVCFVLLVISIIIEHAIHMVGKWLKKKHKPALYEALEKVKAELMLMGFISLLLTVGQSTISSFCISKSVADTWHPCSKKAEANKYGESTAGSGRRLLEFLSHENEAFIPRRSLASKEDKCQEKARILPPYFLHILTMRRWKKWENETKTIEYQYYNDPERFRFARDTSFGRRHLSFWSRSTLSLWIVCFFRQFFGSVTKVDYLTLRHGFIMAHLAPGNETKFDFQKYIKRSLEEDFKVVVGISPIIWLIAVLFLLAYTHGWYSFYWLPFIPLLVILMVGTKLQVIITKMGLRIQDRGDVVKGAPVVEPGDDLFWFRRPRFLLFLIHVVLFTNAFQLAFFVWSTYEFTINSCYHEHTEDIIIRITMGVIIQFLCSYVTLPLYALVTQMGTNMRPTIFNDRVAAALKNWHHTAKKQTKHSKHSPSTTPFSSRPATPTHGMSPVHLLHNYPNRSVESYQNSPRHSNYDNDQWDPDSFHSPRHPEINDSVHERQLEMREVDRSVHDITTTSSSQMPPVPQQTIRSQHEIDITPSDFSFAKK >OMO52889 pep supercontig:CCACVL1_1.0:contig15407:1253:3309:1 gene:CCACVL1_29029 transcript:OMO52889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSNWICTNSTKNMFVKVVHPGGHVELHDRPVLAAEIICRNPRCVVAHPNVFQQPSAIVAPETLLMPGQKFYVVPISTIRKLQRLSNKYSPSPVRQQTPTPTTSKSQSCEEHDLHDNRTSSSSSGGPYGSYKFEPWANIGVGVMSARGIKNLVQPWTSLRTPVPEMTCMEEG >OMO52890 pep supercontig:CCACVL1_1.0:contig15407:5196:9703:-1 gene:CCACVL1_29031 transcript:OMO52890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MADTSISLEEIKNETVDLERIPVDEVFQQLKCDKNGLTSDEGQKRLQIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEIAAIMAICLANGGGKPPDWQDFVGIVVLLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVNKNPGDEIFSGSTVKQGELEAVVIATGVHTFFGKAAHLVDSTNNVGHFQLVLTAIGNFCICSIAVGMVIEIVVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSIIEVFTNDVDKDMVMLLAARASRVENQDAIDACIVNMLGDPKEARAGLTEVHFFPFNPVDKRTAMTYIEADGSWHRVSKGAPEQIIELCNLRDDIKKKAHEIIANFADRGLRSLAVARQTVKEKNKESPGEPWEFVGILPLFDPPRHDSAETIRRALSLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDETMETVGVDELIEKADGFAGVFPGEDMIL >OMO52891 pep supercontig:CCACVL1_1.0:contig15407:10091:16049:-1 gene:CCACVL1_29032 transcript:OMO52891 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MADSFDDIKNETVDLEKIPVEEVFEQLKCSKEGLTSEEGQKRLQVFGQNKLEEKKESKFLKFLGFMWNPLSWVMEIAAIMAICLANGGGKPPDWQDFVGIVVLLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQEAAILVPGDIISIKLGDIIPADARLLQGDPLKIDQSALTGESLPVNKNPGDEVFSGSTVKQGELEAVVIATGVHTFFGKAAHLVDSTNNVGHFQLVLTAIGNFCICSIAVGMVIEIVVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSMVEVFTKDVDKDMLLLLAARASRVENQDAIDACIVGMLGDPKEARAGITEVHFFPFNPVDKRTANTYIDSDGSWHRVSKGAPEQIIELCNLQGDVRKKAHDIIDKFADRGLRSLGVARQTVPEKTKESPGSPWEFVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQHKNETVDAIGVDELIEKADGFAGVFPEESRMTWASSTKTLPLLRSFQQFISTKHCYKEADD >OMO88282 pep supercontig:CCACVL1_1.0:contig09055:21852:27379:1 gene:CCACVL1_08489 transcript:OMO88282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLTSDSDTTKAEIIFFSSFSYFA >OMO88281 pep supercontig:CCACVL1_1.0:contig09055:19615:21464:1 gene:CCACVL1_08488 transcript:OMO88281 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin-induced protein 5NG4 isoform 1 MEKLRCCGNFLESSKPYFAMISLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAFATAVIAPFALIFERRGQPKITFSVFMQIFILALLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVMCRMEKIDIKKVRCQAKIVGTLVTVAGAMLMTLYKGPIVELFWSKNFHPRQDVNQTAPSDKDWVKGSILLIIATLAWASLFVLQAKAIKTYKNHQLSLTTLVCFVGTLQAIVVTFVMDHNTSAWQIGWDMNLLAAAYAGIVTSSISYYVQGLVIKKRGPVFATAFSPLMMIIVAIMGSFILAEKIFLGGVIGSVLIVMGLYSVLWGKHKENNQKEFEYEEEIPEPIKGIQANGNTILIIEDIEANEVEFQKAEANNKLSAVAIAMPMPDSPVKTKQDQK >OMO88283 pep supercontig:CCACVL1_1.0:contig09055:31443:31694:-1 gene:CCACVL1_08490 transcript:OMO88283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EQKRLQDQFAAEQEAFFGSRPKKPLGQTNAMVGTPIGRRVSTPSERHGVLGLKERRESARVNYVIVPLNFVALPKDDPASRGN >OMO88280 pep supercontig:CCACVL1_1.0:contig09055:9135:10761:-1 gene:CCACVL1_08487 transcript:OMO88280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDRTELLDDNFLLLSRKECRVLHSKIDKERGLTSDYATLNKAKDDDDDTFEVFKMQVQKEIEDLKVAKCEEKDTELATVVADASDIAKELVDEARADLLKLIKEAHPGLDLSAFEVAVVGGEKGTPSQAYVEEDEAVVSDKGIEVMELLVHHIVIPSLKQAFTTDELFDVSQGDIAHCKEIGDQFFAEEADTAILPTAAVDQPVVPFQVQGDAQDKPQDEEDAS >OMO58729 pep supercontig:CCACVL1_1.0:contig14177:51462:54191:1 gene:CCACVL1_25391 transcript:OMO58729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFKLDRFGFCSLLCVILMLVSVSNGQLSPSENRILFQVQKLLEYPEVLQSWTNWTNFCYLPPSPSLKIVCTNSRVSELTIVGNRSSPSRITKTTSGPQGLSGKFSMDALFTVLTKLSNLKVLSLVSLGLWGPLPAKINRLHSLEVLNLTSNFISGEIPKQISSFQNLTSLVLSDNLFNGSVPDLTALSNLKELNLGGNHLGLEFPSLSQSLEALAVKPPVRKKEQESGINIGLILGIIGGVIVIAGGIVLLILIIVRRRTDTTEDANYERSIADKMSVRSSPKPAIDSRRVPQTMRSAAIGLPRYRVFSLEEIEDATNNFDSSNFMGEDSQGQLYKGWLVDGLVVAVKCLKLKQKHLPQNLMQHMEVLSKLRHRHLVSVLGHCIVTYQDHPNTASTVFIVFEHISNGSLRDYLTDWRKKEILKWPQRMAITIGAARGIQFLHTGIAPGIYGNDLKIDNILLDETLTAKISNYNIPLPLKTGSESPLANRLSSDDIAEKEDIYQLGILLLQVITGKMATFTSELDELKLELEKSLAEGPSGLRNVIDPSIRGTFAYESMRTTVQFAINCLSKDSTKRPSIEDVLWNLQYSIQVQEGWNSSGNLATHM >OMO58735 pep supercontig:CCACVL1_1.0:contig14177:86913:89918:-1 gene:CCACVL1_25397 transcript:OMO58735 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide repeat-containing protein MFKNRIAIDEKLDYMKPDLAACNAALECCCYELRSVSDAEKVDKSIGYGIISTCINLGLSDKAHSILDEMNAQGGSVGHRTAEATQLVMDISNAGLQLDSGMYDAPIEASMTSQDFQSAFTLFRDTRDARIPNLKGSYLTIMTCLMENQRPELMAAFFDEVVEDPCIEVKTHDWNSIIHAFCDGQEGIKFDRTLVDAFLYALVKGGFFDAVMQVVEKSQEMRIFVDKWRYKQAFMEKHKKLKVSKLRKRSFRKMEALIAFKNWAVWNPVESREVLTPKANVVTSPSYVSLCTGFGCGHPYFARYIKCNAGVLQFSTGTGIDTVSSIDYPTSTIVAVDPFMSTCSSMQNSGSFSLDRASPFTLTGSRVCSGLYSCKGVTGIGLAEDAPTATCCVYDSLMGVGSACKNCETSGGLCGFSVLDQSFTHFLVFAEIV >OMO58722 pep supercontig:CCACVL1_1.0:contig14177:6958:8261:-1 gene:CCACVL1_25384 transcript:OMO58722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQESRDDCVPTGSYARIYIKDVPLDVASKLCVVSRTCPIVLCGLLQHECKMSVLHFSIKKHDSYDAPIKAKEEFIFHVGFRQFVARPIFSTYNMNSDKHKVERFLHAGRFSIASIYALVSFPPLPLIVLKGAGGSSAPLAAAVGSLRSIDTDGIILKKIILTGPWKLGQSVVAVVELKSLLVHMKWFLRF >OMO58736 pep supercontig:CCACVL1_1.0:contig14177:91266:93027:1 gene:CCACVL1_25398 transcript:OMO58736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFPGSVTPDYVPFEVWDSLQGLSTYIRTMLSTQALLSAIGAGEKSVTIIVATFQWFLRDLTGMLTFYQGSNLDSNAKMWRLVVDLMNDLGMLMDLFTPLYPSAFIFIVCLGSLSRSFSLNYGARFAVVDNFKVVEE >OMO58737 pep supercontig:CCACVL1_1.0:contig14177:94149:100262:-1 gene:CCACVL1_25399 transcript:OMO58737 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MSNNLEKSANGLFEEKKMTKSGSSASGDHASVEMINNKGENQRHIKQEEESSKPPSPSKKQEDQIESTKAKMGEVKEENERLKVLLSQMVKDYQSLQMRFLDILQQEEAKKSNTETPSHDHHQENEEESDHFVSLSLGRTSSTASRQEAKKEEKKMSASNNNEGGLALALECKLELPVASTTEPAAAAAEKNLSSESSFGKEEEEEEPTEIWPPSKILKTDKNGDNNNNNEDASEQMQVKKARVSVRARCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTGSPTCPVRKQVQRCAEDMSILITTYEGNHNHPLPLSATAMASTTSAAASMLQSQSSTSQPGLGTSVSAPATSSNHHGLNFNFSGQTSSSRQPYQYYFPNSTISTSNSHPTITLDLTAPANSSYFNRLSNAPRYSSSSSTSLNFSSNSSSFSLEGPWNSAAGNLNFGAFPNKNSFIGALNLAGRQPISTQQQHLYQSFTQVNNNQTTPPDLSETITAATKVITSNPNFRSALAAALTSFIGNNGGGQLPRDINHDQKQQKQGSLLLFPTSKSSSSGMAQAQTSKESSSLSSCEAYFEKIKSRKKLPQPLQETLTAAFARIPVSSFPQVSGGKVIEIQADTTIAEAVKILSECNIMSAPVRNPDAVTSLDWRERYLGIIDYSAIILWVLESAELAAVALSASTATAAGLGAGAVGALGALAMGVTGPAAVAGLTVAAVGAAVVGGVAADQVVKDAPSAADNLGKDFYKVIFQEEPFKSTTVRSIVKSYRWAPFIPVAKDSSMLSVLLLLSKYRLRNVPVIEAGQPDIKNYITQSAVVAGLEGCRGRDWFDCISSRPLSDLGLPFIPADEVISIGSDDLVLEAFKRMRDNQVGGLPVVEGSGKKIVGNISIRDIRHLLLKPELFSNFRFRQLTVKDFMNTVVSTGQECGRLTPPITCKVDSTLGSVIQSLASKMVHRIYVVAENGDEVIGVITLRDVISCFIFEPPNYVDSYIGFSVKEMLDQ >OMO58727 pep supercontig:CCACVL1_1.0:contig14177:39122:40812:1 gene:CCACVL1_25389 transcript:OMO58727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinonprotein alcohol dehydrogenase-like-superfamily MGVTYLTEVNAFNGGLIWKQNLSELTGLTGTGVVSNTTVSRSTPTVAGDLLIIGIYGPAVVIAVSRLNGRLVWSTKLDPRPRLAGPGGKEGGGQWGAATDGRRVYTNIANSDRQSFMLTPSNQTTTAGAWVALDANTGDIVWATANPSNDTAQAPVTLANGVLFAGSVAPNGPIYAIDTNTGNILWTYNTGATVYGGISASYGCIYLGNGYTVGLARFHPTWTPGTSLYAFCIG >OMO58733 pep supercontig:CCACVL1_1.0:contig14177:70187:82658:1 gene:CCACVL1_25395 transcript:OMO58733 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, phage-type MADCTSANLTKEEIENPSTWLNLLDDEENQNLLRTTSASSIFNATSHDHQNNQERIMQIEAEQRNCHSSSAPCNSTADPLPMTNTNTAPPQPVLDIMKRDAEKDPATHPNSLYARLLINQTTLTALREMFQAAIIMNWLGECAKVIASENQPVRWAPPLGLPVVQPYRQLGRHLVKTSLQVLTLQRETNKGRTIEREKPRRRCEGTAMGAIVLDLRPGLGIGPFTLEQQLSIYDVVDVKYYDEEPLKLDSIISFTDHGFHTLFEFDIVIYNFVTAEMENWFLLMKYENDINAYGTNDAVTVNEIEASHELFKNLSSSMIGDGLIQNEELQLALFQTPYGENLFLDCVFDLFDEKKNDVIEFEEFVHSLHVFDPYAPIEDKIDFAFRLYDLRQT >OMO58724 pep supercontig:CCACVL1_1.0:contig14177:13919:17119:-1 gene:CCACVL1_25386 transcript:OMO58724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPACVPKSERDTIAERQGLEAEERALAEAEKRKLEHRKVETRQIVVDKIEDEENEKNMELEANVVVDDDDDKVNEAEEYEAWKVREIARIKREAMIELCKVGSDSHTVGRKAGVDDDGTTYVEFGHLSERFYDSDSIDKVIEVYKIDMQICYPDPNSPECGLVLELVDLLGIIEGTEAEAIEVRKLLWEHIADERSLLMAIVAADLRSDIASDSSVKMCREVDPTGSRTIGVVTKLDLVDAGDARVAPYLSGGKDVFLGHYFGFSDRLTSQVLL >OMO58734 pep supercontig:CCACVL1_1.0:contig14177:84383:85564:1 gene:CCACVL1_25396 transcript:OMO58734 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MEVGEAKRLRLRVALDMAGKKLSGLTAAVDPETYLRDLAAIKNRTDEDISNIKQARMVLKAVTRRNPRVASGWISLARFEELTGDMEAARRVIEKGCQNCPKNQDLWLEGSRLAGPDERKMKAMIVRALKAIPNSSRLMLESDVLQKSRVLRRGIENIPNSVILWKALIDMVDEDNIEVAVLLLNKAVECCPTHADFWLALARLLPLKEAREALERARQQLPGESAIVIAQARLEEAGGGADRDLVVGNIIEGFIRELERQGLYIDRRAWMEKAEVAERLGCAVTCQAIIRNTIGIGMGRDVEVSVRKLTWIAEARELTLRGSLVTARATYAYTLSVFYSDTHIWKIAEEFEFTYGFDISIRRLHHARLASLAWRIGEARGILATICSPPLWV >OMO58723 pep supercontig:CCACVL1_1.0:contig14177:11173:11670:-1 gene:CCACVL1_25385 transcript:OMO58723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSASILLHFPWTLLMATRVPPNTSVNEDPISQPRVLQETSSEVVKGTPEDN >OMO58730 pep supercontig:CCACVL1_1.0:contig14177:54732:56529:-1 gene:CCACVL1_25392 transcript:OMO58730 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >OMO58732 pep supercontig:CCACVL1_1.0:contig14177:64317:65213:-1 gene:CCACVL1_25394 transcript:OMO58732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHIDKKARVGLRRWEDLDSKILKNIFDRVPSSDLFFKVSLVCRPWHLACWYTLFWSDPKTLDFSRYRHGFGLGLFGTEVDDMDIVYGSEIRVQHGMKNFVSFLTRIMEGNDANGNPLDRWRQSISKIIIPFKLAYIFDDCHLRSIAKRTPAVESLSLMATIEITVAGFAKATRYWENITHLAVGFCHPRYPCVKMIEQIGKSYPQLTSIEFCSRDHKEFCVGMKIAQAIVRSLPKLTTLRFQTVSLFEQAVAFISHNCPELKVIHCDDCFPRSSRFSPFIVRSYTFDLGKGQDLEW >OMO58725 pep supercontig:CCACVL1_1.0:contig14177:17862:19583:-1 gene:CCACVL1_25387 transcript:OMO58725 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family-6 MDKKVKRFELNFRMYLEMFPPGLFYTFPSCFPVETVTSVEYLSLSSCILQLPGLGNFRSLKHLFLKDVELDDEIFQNILSGCLLLERLSLSGSRKLVNVKVDGSSSKLRCLEIYFCHYLKTIEIYTSNLVIFRYIGPKVYFSLESAPCLVEVSFCVSLKTCDNRLSYACAHFASKLPQLERIVLELNPHEVCKEIKMLPTFSNLKVLVLWVLIKNESLMVFTSLLELSPSLRRFELHSYWGDISARSYD >OMO58731 pep supercontig:CCACVL1_1.0:contig14177:61427:63538:1 gene:CCACVL1_25393 transcript:OMO58731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMKLHHLESAIKVFAEMPDWNVASLNTVISGFWRNGYFQEAMIVFKGMCYGSSRPNSLTIAAVLPACQSLELGMQVHAFAAKLGVDLDVYVATSLLTIYSNLGEIVLATKLFVNLTNKNVVSYNAFVSGLLHNGVPAVVLNVFKDMRDGSQEEQPNSVTFVSVISACASLSYLQFGRQVHGVAMKTDVQFDTMVRTALVDMYSKCRAWQWGYDVFKEMNGSRNLITWNSMIAGLMLNNQIGMAVALFEKLDFEGIKPDSATWNSMISGFSQLGQGFDAFKYFQKMQSAGLDPSLKCITTLLPACAVLSALEHGKEIHGHAIRRGMSNEVFMATALIDMYMKCGYSSFARKIFDQFELKPDDPAFWNAMIYGYGRNGENEPALEIFDLMQEEKVKPNSATIIAVLSSCSHAGQVDRGLQVFRMMDENYNLRPNVEHFSSIVDLLVRCSRLEEAKELIDEMPDPPSTVYASLLGACRCHLNYELGEEMAMKLLELEPENPAPLVTLSSIYAVVGRWVDVERIRRIIDDRGLRISEGFSSMANIKGQTMELAALVRARMEANKLKALVRAAASSSSCETQITEEQRTRIEANRLKALEREAARARSLQST >OMO58726 pep supercontig:CCACVL1_1.0:contig14177:32753:36163:-1 gene:CCACVL1_25388 transcript:OMO58726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNIVLVGRQGCGKSTVIAALFLILSGHDIAPRLLCAAATEAPLHWTILVKKKEDDRDDNDAYVEFEHIPDKETIRAVDTVQNRRRANQNDKYEVETY >OMO58728 pep supercontig:CCACVL1_1.0:contig14177:41289:44945:-1 gene:CCACVL1_25390 transcript:OMO58728 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGKSPAKWIKTLLLGKKSSKSNLSKGREKLNSTNKGEGLVSSKVTVSDLSGDPPTISAPILVTSARSVVEPQKDTPNILPNDGVNVPSAKEDGNDNAIANLGNLEDPERIRLDQAAAKAQAAFRGYLARRAFRTLKGIIRLQALIRGHLVRRQAVATLCSTWAIVKFQALARGQKVRCSHIGIEVQKKHLVLGSKSSDSFRVSISAQVKNLSSNAFVQKLLASSPSAVPLQLQYGPEEPNSSWQWLQRWTRSHFWDPPTRPVKSLASKSQKKGDANAQGKIKRGVRKVSNAKVENGSNRSTLEHEKSKRGPRRVSGNPADSAREQSQNELEKVKRNLRKLADSAKEASDKSEVNEKPKQTLKKTSSSDAPDVSGQESAEEMRDVTTTLSEPPNVEADLKLSMVDDSPNESNVCPAVDLQLPENNGETENMEVTEELSPKDEQVSNESLKASQRRASFPVKIENQENGVNSTPKLPSYMAPTESAKARLRGQGSPRFTREVVEKNGLNRRYSLPSSTTTNLSPLSPRAQRLVQAAGKGAIRNEKSLSASKDASDKGVRAEWRR >OMO58721 pep supercontig:CCACVL1_1.0:contig14177:2386:5482:-1 gene:CCACVL1_25383 transcript:OMO58721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPLEPSLSRTLIEANEDGCLSQALTIVAMLSAETNLLPGRSKSNDKKRKHPSLELPDGSGFSDHIQFLQIYEWWNESDYDIGWCKDHELQRLLLLKIEDYSGKPQGRGKLVEFIWLREKKFKLREAEMCAVLCQIKLDAETVCSSRRILMMKSSQY >OMO88197 pep supercontig:CCACVL1_1.0:contig09084:4508:4603:-1 gene:CCACVL1_08531 transcript:OMO88197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKTIENSTNGPRKTRNKKCSE >OMP12322 pep supercontig:CCACVL1_1.0:contig00133:1031:1214:-1 gene:CCACVL1_00041 transcript:OMP12322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASHYGNDSFITWPILLIRRWAMSHTLFWLIRTAWRGFATNDSVVKPMRGSTTNESVVKPMR >OMO86311 pep supercontig:CCACVL1_1.0:contig09506:7550:11468:1 gene:CCACVL1_09645 transcript:OMO86311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSSPKFVRVLYFSSIIGCVQANSVFGSPLQYRCVCLPSMGFEGKSMKLQVKKEKTCQRKTICAHAFTDLTYVAPVVFVYLLKECYFNGNLKATKKFRALQQQVHNVLCNSPQPGPATFVAYCLYILPLLGPHCEGFSHLIVSALHRFLKTAASTGDSLEAKILAARLFVDIVEGSIGHDERIAVKILEVFDVKLTDIEKVVSRLKVQNDCRFDSAKTYVEKHIYGLIESQSYMTAVNLLEHFSIRQSGQSFLVKMMEKKQFRAAEKWAAFMGKPMLSILVQEYADRNMLKNAYVIIKRNNLQQEFPDVHHKYKESALKQLAEKACWDVAEAKANGDRQLVEFLVYLAMEAGYSEKVDELCNRYALEGFLNAKELEASFLHRHFLNLNDLVVEDIIWVDEVDGLRKATCHIEGSKVVGLDCEWKPNYVKGRKPNKVSIMQIASDKMVFIFDLIKLYEDVPDVLDNCLTRILQSPRILKLGYNFQCDVKQLAQSYGDLECFKCYNMLLDIQNMFKEPRGGLSGLAEKILGAGLNKTRRNSNWEERPLTPNQLEYAALDAAVLIQIFCHVRDQSHPADVSEGHDKIEWKSHIVSYMDNPKKSRKESKIRKELEVEDNGP >OMO86312 pep supercontig:CCACVL1_1.0:contig09506:12484:16039:1 gene:CCACVL1_09646 transcript:OMO86312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQDFELEKARLLSLAQDLGFDEQSAKKSLDHLISLYGDDGQDFITVEHCGDDFVAALAETMQDSEDWDGDLQAVETEACGALNNMFDRNALANPKSNSNGNSNVGNYINIVDDSPDGKKKTNFMELDSSSDDEELDLWISRKKGNNSTVSSRLDQSSCSVSSISGQKHSSSMSMGEQRTLSYEELQALDDVELANVVIFGNKSFRPLQHQACKASLAKRDCFILMPTGGGKSLCYQLPATLKPGVTVVISPLLSLIQDQIVTLNLKFGIAATFLNSQQTASQAAAVLQELRKDSPSCKLLYVTPERVVGNASFLEVLKGLHRKGQLAGFVVDEAHCVSQWGHDFRPDYRGLGSLKQHFPNVPVMALTATATHSVREDILKALRIPHALVLKTSFDRPNLKYEVIGKVKDSLKQLGQLLKDRFQNQCGIVYCLSKNECAEVSNFLNGKCKIRTVYYHAGLAAQQRVTVQKKWYQGEVQIVCATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDNCPAVCIALYQKKDFSRVVCMLRNGLGCKKESFRTAMDQAKKMQQYCELKEECRRQILLEHFGESFDRKACKYGSTPCDNCLKTSE >OMO86313 pep supercontig:CCACVL1_1.0:contig09506:17754:22392:-1 gene:CCACVL1_09647 transcript:OMO86313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRSLVLSIVKRRELETGSWGFATSEEPKGQKNALFLSLLLCLLEDDALSLAELAIKSRKKLFSNNSEFSEEARRGRPVENLEQLLSHTTEVDEYITTTSNKRSGEFNSSSSVTSDEGYGSRAPGVVARLMGLDSLPTQNVPEPSSTTYSGASSLRVSHHERSTPDLWNEYHVMNYSNISDKLERSSSNPIEPRLHKVQNRPIERFQTEILPPKSAKPIPITHHKLLSPIRSPGFIPAKSAAYIIEAAAKMIEASPQPTSKGKGASFGSSSVPLKIRDLKEKIEAEHKVSRPQRPDEHSVSAARPLKGQLNYNSRIKSDYGPTYRLSKDSEKGSSNNSRNKGKSVSLVDQARVNVQKRDGSLSSSNGSSVKQKERNDAKPKQFSRSQAGLQRTVDKGSSANRTNNVLKQNNQKQNCISNRDHSTSKTSTLDQADRKDRPMNGRIGLNKTVNKVSINSEPQSRKTALVATDTVKEFPMSRRKNLPRKKRPVNEDMQSGKIVSDTSSIKYGEKSIKCNVATDGHLNRDAEKLKTSMEVVSFTFTSPISRITEKSSSFDSDPSIDNDLLYVKHSAFSSPGLNIIGGDALSVLLDKKLHELTCRVESSNCNIITDEAPASPTSSLQTSVPSSVTVTTTPVGLHKVLQVDLDKDISYSLGDFGHSSIGNMGLDWRRKWQLSEEIEEQNAFSGSSESIGLDHQHPIELSNFEPAIMSGSCSDCRNGPNGIKEFSVALDQEGSSWTPGSECLTELGTDFSDVASSASMGEMGKKLLTRTSSLGDFKESTNWELDYIKTVLRDSELTFIEYALGQTENIMTPNGFNQLEHWNETERNGEDHNKIEQKLVLDCMSECLVSRCEELFVGSCKGLGKWGILIQKKGWLAEELYKDILGWKSMGEIMVDDLVDKDMSTKHGKWLDFEPEAFEEGVEIENSILTCLVDELVSDLLL >OMO86310 pep supercontig:CCACVL1_1.0:contig09506:4163:6721:-1 gene:CCACVL1_09644 transcript:OMO86310 gene_biotype:protein_coding transcript_biotype:protein_coding description:tripartite motif-containing protein 16-like protein MAPKLSQEVVAKFQTLLKNVRPELFNAYRKRPNPQFQSTLPAGKFCQWLTMYGEENHQFILDVIRFKSIRVNEADWSTCASQDLPTLYAYESIPGYCTLHTKVAKCKDKTTGGLEIRNEGGKLTAYISVSVSKTWKTHQARLMGILKGLEWSFRYLEKANITEKRLIVRNDFKEIIDTLITLNTPEENLDKINNKHTQLIYRKISDVIKKFEDVKFVFVPSRMNQIADLLAGNYMKPKKKDGSIEYSKEFILDLDNIAEKARNGTFKCFPNDLPKGFVEKYDPSKFPTG >OMO67529 pep supercontig:CCACVL1_1.0:contig12418:29007:30342:1 gene:CCACVL1_20464 transcript:OMO67529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L30e MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKDERMVEYLYFVVLDGKLIIIANNCPPLRKSEIEYYAMLCKVGVHHYNGNNVDLGTACGKYFRVCCLSIVEPGDSDILKSVPGDH >OMO67531 pep supercontig:CCACVL1_1.0:contig12418:44781:48941:1 gene:CCACVL1_20466 transcript:OMO67531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGSKVEEDKALQLCRERKKYVRQALDGRCSLAAAHVAYIETLKSTGTALRKFVELEAPVESSLYTSTNATPEPLALTEKSPSHFSYSSPSFSQRVDATETFSPSPSPPTSSHFQVHHMKFGIMSSQKVEEKPSLPDIRLVTSSSTPQNTTPRSTEKPEPSPFETSSLPPGTPQWDFFGLWHPIDHQLSFQEGKESNQGSEPVVDFRRLREEEGLPELEDEEGASFHEREETQISEDEFDDEPPTDTLVRSFENLNRMNDHKMANGSSAIPSARSVASEADFHNGEKGNSPDLSPLGRTPSTVSIPTEIKKTPVKEDVSENKVAPKDFPSVMKDIEFLFIKASDSGKEVPRMLEANKLHFRPIFPGKGGGSTTSIFFRACFSCGEDPSQAKEEPPQTAVKYLTWHRTTSSRSSSSGNPLGLNSKDDMEDLSNNLFYNTCMISGSHASTLDRLYAWERKLYDEVKASERVRIEYDLKCKLLRQLESRGESSSKIDKTRAVIKDLHSRIRVAIHRIHSISKRIEDLRDNELQPQLEELIEGLSRMWEVMFECHRRQFQIIQVAYKSGNMKISLLSESHRQITSHLEDELRSLYSSFTKWIGSQKAYLKAINSWLEKCVSIQKSLKRSKRKNIETQFLRKCGPPIYVTCGLWFDKLEALEVKNMKEVSDSIKSLAADVSCFLPHQEKKKGKSANHAPGEDDNSDPAINMSLSGKDDDLKSFSEASVRVYTELGQEIQQAKCDYDMFLRGAEIGNEESNDQSNDQSNNQGSSQSNDQSKDKPKSQP >OMO67538 pep supercontig:CCACVL1_1.0:contig12418:77689:80865:-1 gene:CCACVL1_20473 transcript:OMO67538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MQRSAGLYGSLIVDVADGEKEPFHYDGEFNLLLSDWWHQNVHDQEVSLSSNPFRWIGEPQSILINGRGQFNCSMAAKFSSNSSVSQCKFRGNEQCAPQILHVRPNKIYRLRIASSTALASLNLAIAGHKMVVVEADGNYVQPFEVNDLDIYSGESYSVLLRTDQIPSKNYWISIGVRGREPKTPQALTILNYFPTSASKLPTSQPPITPPWNDYNHSKSFTKSIFALMGSPKPPKNHDRRIILLNTQTKVNGFTKWSINNISLTLPSTPYLGSIKFGLNHAFDQKSPPDNYDSNNYDIFKPALNPNSSYGNGIYTINFNTTVDVVLQNANALAKDVSEIHPWHLHGHDFWVLGYGEGKFRDEDEKKFNLKNPPLRNTAVIFPYGWTAVRFVADNPGVWAFHCHIEPHLHMGMGVVFAEGVHRVGQIPKAALACGLTGNKQN >OMO67527 pep supercontig:CCACVL1_1.0:contig12418:8465:12344:1 gene:CCACVL1_20462 transcript:OMO67527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHVIDAEEGLGHRGMSDDGDAEPGEGGEANNAENSLVHDEDGICEPYVGLEFNSDTAAKSYYDEYAKCMGFSAKVGQTRSKDGTIIAREFVCGKEGFKRRPADSCDALLMIELKGDKWVVTKFVKEHSHSILSPSKVHYLRPRRHFAGAAKTVADSCQGVGVVPSGVIQYERAMEDWFEREIEADYDTICTTPVLRTPSPMEKQAADLYTRKIFTKFQEELVETFVYTANRIEGDGAISTFRVAKFEDDNKAYMVTLNYPEMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPPHYILKRWTRNAKSSGVGTDERSTESQGQETLTRRYSSLCREAIKYAEEGAIATETYNVAMGALKEGGKKIAVMKKNVAKVAPPGSQASGATHDDKKSSTSAPDTVPLLWPRQDEVTRRFNLNDTGTPAQSVSDSNLPRMAPVSLHRDDGHPDNMPVLPCLKSMTWVMEKNLAHGRRVAVINLKLQDYSKNPSAEMEVKFQLSKLTLEPMLRSMAYISEQLSTPANKVAVINLKLQDAETPTGESEVKFQVSRDTLGAMLRSMAYIREQLSVVVTELQSEPLPKKQRK >OMO67528 pep supercontig:CCACVL1_1.0:contig12418:13351:19024:-1 gene:CCACVL1_20463 transcript:OMO67528 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arsenite-resistance protein 2 MGELINMPVDGRRGDRKDDNNNSTNTTTTTTTTNNSNTTTTNNNNTNNNRQPPPSDDPNGSPPPPPPPRRRDRDSRERRDRDYYDRNRSPPPPPPRERDYKRRGSISPPPPPLNYRDRRHSPPPRRSPPYKRSRREDGGYEGRRGSPRGGFGPGGRRFGYDYGGGYDREMMGRPGYPEEGPHGRFFGRSSDWDSGRGGYGDASNTGSAQREGLMSYKQFIQELEDDILPAEADRRYQEYKSEYISTQKRAFFDAHKDEEWLRDKYHPTNLVTVIERRNEHARKVAKDFLLDLQSGTLDLSPGVNPFSSNKSGQTSDPNSEDEADVGGKRRRHVRGPTKETDLLSAAPKAHPISSEPRRIQIDVEQAQALVRKLDSEKGIEENILSGSENDKINRDKPHGGLTGPVIIVRGLTSVKGLEGVELLDTLITYLWRVHGLDYYGMIETNEAKGLRHVRSEGKNSDVSKNGSEWEKKLDSRWQERLRGQDPLEVMTAKDKIDAAAVEALDPFVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHTELVFELTSKVREELYFQNYMNDPDAPGGTPVMQQMLPKDKPQRRKIAENRLKDERGSRRERDNRANGSDRFDRSENPQSGDFQSNNDGPDGANRDDPMFDAFGGQGMHVAAPFSSDIAPPPVLMPVPGAGPLGPFVPAPPELAMQVFRERGGPPAFEGNGRGGRPGPNLSGPAPFLLPPGFRQDPRRLRSYQDLDAPEDEVTVIDYRSL >OMO67530 pep supercontig:CCACVL1_1.0:contig12418:31309:35859:1 gene:CCACVL1_20465 transcript:OMO67530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-structural maintenance of chromosomes element 1 MDSFTAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLFVTAFGAVLHVISTSLLGITAITMANTIAGEETVHKLASLLLIVLGGSYILLFLTGKGGHSHSHNQPLEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSTIIVMTSLVALSFYGASQLKFHWVERYDKVLVGSVLCLVGILTLLFHDHDGDEGCFVLIGHHMKVLYIAVKMLSAVSNPIMAVLNSKHHTLIQSLLSRGPLTEKEFRSIFTSITGQNPGTNQQKFDDYLLKINRELSFVQLDMRACRDQYTGQVYYGIVNNVSDEQSKLGAQYFVPQIAFFKAIVEAIAQDVTAQGSISSIDALNIRLESQILNSSGSQSQDGSFNIPAAFRTFSMSQKEKTLDQLVKDKWLCFTEDGNIGIGVRSFLDLRGWLHNAEIPSCEVCNEAGLKANLCPNEGCMVRIHQHCLKVRFSQKGAIVCPRCDTQWQYQPPKAEPIEVEDEAGMPTQTQPSQRSRRKRQRQSQNDDTDRAGPSSQASVPNSETNTRRVTRSFARMR >OMO67526 pep supercontig:CCACVL1_1.0:contig12418:770:4938:-1 gene:CCACVL1_20461 transcript:OMO67526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homoserine dehydrogenase, catalytic MKAIPLILMGCGGVGCQLLQHIVSCRSLHANLGVHLRVVGVSDSRSLVVTPDIKQKELDDNILSEVWRVKSDGSSLSKLSGFGECQVFSNAELRTEMLGIASLLGKSTGLALVDCSASSETIGVLKQGVDLGCCIVLANKKPLTSTLEDYDKLVSRPRYIRHESTVGAGLPVISSINRIISSGDPIHRIVGSLSGTLGYVMSEVEDGKPLSQVVKSAKSLGYTEPDPRDDLSGMDVARKALILARLLGRRIELSSIRIESLYPEEMGPSKMSVEDFLNSGLVKLDNNIEERVRKASLNGNVLRYVCVIEGTRCEVGIQELPKNSALGRLRGSDNVLEIYTRCYSEQPLVIQGAGAGNDTTAAGVLADILDIQDLFP >OMO67532 pep supercontig:CCACVL1_1.0:contig12418:50186:50974:-1 gene:CCACVL1_20467 transcript:OMO67532 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MADRVHPGDSPTPTNDNKLSISKLPPPSPEKPVPQPGTYVIQIPKDQIYRVPPPENASRYAHLSKRKASKGVLRRCCCCFLTTMLVLLTAAAIAAAVIYFYFKPEAPSYSVESVAIKGFNLTSSSALSPEFDIAVRAHNPNDKIGIYYEKGSSVRVFYEDVDLCNGALPVFYQPTNNVTLFQMALKGSGIELTNTALRALSDEQSKGAVPFKLRLRAPVKIKVGAVKTWEITVKVTCKITVDKLTAASKIVSKDCNYGVNLW >OMO67534 pep supercontig:CCACVL1_1.0:contig12418:61108:65163:1 gene:CCACVL1_20469 transcript:OMO67534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPTGHGKELNKMVSRRRIVACRMSFGVTDR >OMO67533 pep supercontig:CCACVL1_1.0:contig12418:55851:59487:-1 gene:CCACVL1_20468 transcript:OMO67533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFINLLVFLLFLVAIASGQSDFEALLELKKGIDKDSSQKVLASWDSKSLASDGCPKDWFGVTCTFPNGFGNLDKLKYLDLGSNGFSGDIMDLLAQLESVVHVDLSINKFSGSVDLALGSSGFVSSIQYLNISHNMLVGELFAHDGMPYFDSLEVFDASNNQLVGTIPSFNFIVSLRILRLGNNRLSGSLPEALLQESSMILSELDLSLNQLEGPVGSITSATLKKLNISSNKLSGSLPFKIGHCAIIDLSNNMLSGDLSRIQGWGNYVEVIQLSSNSLTGTLPNQTSQFLRLTTFKVSNNSLEGVLPEILGTYPELKVIDLSHNHLKGSLLPSFFTSMKLTDLNLSGNNFTGSLPMPSVSSAENLSLVTLDLSYNSLNGHLPPEISKFQNLESLNLSNNKFEGSIPDSLPDELKGFNVSFNNFSGAVPDKLRGFPESAFHPGNTFLKFGSFPLSPKGSSNLNLDEHRSRMKPVTRIALIVGLVGGAAIVALVCLVIYYRTNWDETTSDLSKRDGGKETVGQEEYSVSRTSAPYKSKDSSSSFSFRQELLASSKKGSAYDHGNSSSVSNDPKSFDHPEFMRRDEGLASPISLLSSSNASPSKNQFPFESRGALRVRSPDKLAGDLHLFDGSLAFSAEELSRAPAEVIGRSCHGTLYKATLDSGNILAIKWLKEGIAKSKKEFAREVKKLGHIKHPNLVSLQGYYWGPKEHEKLMISNYINARCLTNYLQETEPGKLPPLSLDERLKVAIDVARCLNYLHNERAIPHGNLKSTNILLETPNLTARLTDYSLHRILTPAGTAEQVLNAGALGYRPPEFASSSKPCPSLKSDVYAFGVILMELLTGKCSSEILSGSTGVVDLTDWVRLLASENRADECFDPMILERDNVEHTHRTLDAMLQVALRCILPAQERPDMKSVYEDLSVLVL >OMO67535 pep supercontig:CCACVL1_1.0:contig12418:66880:66960:1 gene:CCACVL1_20470 transcript:OMO67535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKQEQLAKPKRVRESAAVVEQTPP >OMO67536 pep supercontig:CCACVL1_1.0:contig12418:67627:69486:-1 gene:CCACVL1_20471 transcript:OMO67536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMFDDMSMCGDMDFFSAPLGEKDVVASQEPEATVEDDYTDEEIDVDELERRMWRDKMRLKRLKEQNKGKEGIDIAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQADNSIPGKNDGCNSIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPNGNEEWWPQLGLPKDQGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALARELYPDSCPPLSSGGGSGSLVINDCSEYDVEGAEDEPNFDVLERKPGNLNSNLGMERMRAVQQPPYPIKGEVVSNLDFMRKRKPSNDINMMEHKIYTCEFLQCPYSELRLGFHDRTARDNHQLTCPYRNSSAQFGGSNFNVNEVKPVIFPQTFAQSKPAAAPIASVPTSFDLAGLGVPEDGQKMISELMCMYDNNVQGNKNMNTGNNPVTEGQNLLQSKIQHQQQQQDEFFRGQGVMVEGNFFEESSMPSMPNNHQMFSQGEGQFDRYKGLNTTFEGNHNNNNSFQLMFGSPFDLASYDYKEDLQAVGMDSLPKQDVSLWFQ >OMO67537 pep supercontig:CCACVL1_1.0:contig12418:74303:76500:-1 gene:CCACVL1_20472 transcript:OMO67537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupredoxin MVCGKYWDFWCVIVLSLIHVTFGSQVRHFQWEVEYMFGSPDCLENVVMGINGQFPGPTIRAKAGDTIVVELTNRLHTEGVVIHWHGIRQIGTPWADGTASISQCAINPGESFEYRFKVDRPGTYFYHGHYGMQRSSGLYGMLIVDEHGNREPPFHYEAEFELLLSDWWHQSVHDQQVGLYSKPFRWTGEPQPPKTHNRRIILLNTQNTINGFTKWALNNISLTLPPTPYLGSIKYGIHEAFDQKSPPDNYDDANYDIFNPALNPNSTYGNGVYTIKFKNTVDLILQNANALAKNVSEIHPWHLHGHDFWVLGYGEGKFRDEDEKKFNLENPPLRNTDVIFPYGWTALRFVANNPGVWAFHCHIEPHLHMGMGVVFAEGVRRVGKIPRAALACGLTASVNP >OMO59980 pep supercontig:CCACVL1_1.0:contig13826:5310:13847:1 gene:CCACVL1_24496 transcript:OMO59980 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S15 MINGEGTRESNISQVTSPDPFHPSRSKSVGGGGASTVSSPHYSSCGESELDRYCSANSALGTPSSIASFNDCFRDSEFGSVRSISGFGLGDDFENFSLEGNQRVPYNRRIEFRRDRIQDRRDVNVKCVEEGSTSFLDTEFREEDGNSSRFEHSDGENSMYNYGTDDDECKNNSYYRKKDEHRIENKNVNENPLGINSSVAFGSNDWDDFEQEAGTVDLASFMLDASVMRQRGQVGSDLQENANGLDLFPIGFSSSTEPQLVEKVKDIPVATYPEEVDDDFEKEANSSQRGNEAEQYVKEIRVTRNQLQDADEDMDYLETCSVTDVFALEQDPPVEKGPVEIGLDAVGFDLVTQNQSAESKQVIARNEIKLPEKQQISNHRAELDPLSDCSLPVYSQKIKAELFDDCKPDSPTSTCESIVSSSTFKNLPVPREEHPQLVKMENFELNAFYDEVVHDMEEILLESVDSPGSMFSQGNRMFQPQSSLPLRDGGSTASTSGAADAYPRSAHALRIDGIEVVGAKQKKGDVSLSERLVGVKEYTVYKIRVWCGNDHWEVERRYRDFCTLHRRLKSLFSDQGWNLPPPWSSVEKESRKIFGNASPDVISERSVLIQECLRSIIHSRSFSSPPSALIWFLSPQDSSPSTPPSHNLSSQSTHFSRGAETENISPLGKTIALIVEIRPPKSIKQMLEAQHYTCAGCHKHFDDGMTLMRDLVQSLGWGKPRLCEYTGQLFCSSCHTNEMAVLPARVLHQWDFTRYPVSQLAKSYLDSIYDQPMLCVSAVNPFLFSKVPALHHVMGIRKKIGSMLPYVRCPFRMSINKGLGSRRYLLESNDFFALRDLIDLSKGAFAALPVMVETVSRKIEEHIVEHCLVCCDVGVPCSARQSCNDPSALIFPFQEGEIEKCMSCGSVFHKHCFKKLANCPCGALLRPDEATRHSDSLIRGASFGASGALDLIGKRSSSGLPVEKSLHFTTGAVTMGRMHSRGKGISASALPYKRTPPSWLKISSQDVEENICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPTWKYESTTASTLVA >OMO59979 pep supercontig:CCACVL1_1.0:contig13826:251:3980:-1 gene:CCACVL1_24495 transcript:OMO59979 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpp10 protein MAASIEAAVESLRQIRITEPPLWLVPKQELSEAVRAASKHLFASLKPHSPKSPFDQLLIEGFDAEQIWQQIDLQSQPLLSNLRREVKKFEKNPEEISKLKEAVKEGKNEKVLEENEPDDVDELDEDDDMDLDEVEEEDEDGEQEEKESGREEDGESEEEERGGIEDKFLKIKELQEYLEDEEEAVEEEDDKLGLFDKYDEDDDDDLGNARYEVFFGTKNNKGSKERTKSRDMLEEDSESDDEQNVDKRRDGLSTHEKEDAELQSKIKQMEKENLDPKVWTMRGEVTAAQRQKNSALEVDLDFEHNVRPAPVITEEVTASLEDLIKSRIATGLFDDVQKAPSVTSKAPREIKELDENKSKKGLAEVYEEEFVRKTDPASAPLSFSDELKKEASMLFKKLCLKLDALSHFHFAPKPVVEDMSIQTNVPALVMEEIAPMAVSDAAMLAPEEVFAGKGDIKEEAELTKAERKRRRANKKRKFKAEAAKRMAKKPREVAPVYSDDGDYSICSAFKS >OMO59981 pep supercontig:CCACVL1_1.0:contig13826:15585:17657:-1 gene:CCACVL1_24497 transcript:OMO59981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLTGGGDSWQPAMTVNTTTSTYWVNWRVLVCAIWVLITAAFSFLLIWKYEGSHKSNHNDGETEQETAGSLHEDETWRPCLKGIHPAWLLAFRIVAFFVLLILLIVTAFVDGGSIFYYYTQGTFTLVTIYFGLGSMLSMRGCYLYHKRVSGDKVGNVELDTEQGSCADGTCGENSNNAAKNPEDPPQGHSLSQPAGIWAYVFQIIFQMNAGAVLLTDCVFWFIIVPFLAIKDYNLSVLAINMHSVNVVFLLADTALNCLRFPCFRIAYFFLWTVTYVIFQWLVHACVNVWWPYPFLDLSSPYAPLCIDAFPMLRPLCFGNKAEAPAILKMVPGLLPVYEVTSGRRVMQRIDLGESLWKNSNFPQ >OMO72546 pep supercontig:CCACVL1_1.0:contig11434:33996:35937:1 gene:CCACVL1_17724 transcript:OMO72546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNNGGSSNNDHEESSPLLSKPAVEQDQKKKPNDTKSKEATAEEVASPKVCAGAGCGWTADGLPLGHGSVVGEPMGRAQWDSPLCACLGRNDEFCSSDIEVCLLGSIAPCVLYGTNVERLGSAPGAFANHCLSYSGLYLIGNSFFGWNCLAPWFSYPTRTAIRRKFNLEAKSKVHYELRKRSPRFILWFSLDEQGSFEALNRSCGCCGSCVEDEMQREQCESTCDFATHVLCHTCALCQEGRELRRRLPHPGFNAQPVLVMIPPGEQTMGRGA >OMO72550 pep supercontig:CCACVL1_1.0:contig11434:65348:68545:1 gene:CCACVL1_17728 transcript:OMO72550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MAAAKESRSLEETPTWAVAIVCFVLLLISIVIEHVIHLIAKWLKKRHKRALYEALEKIKSELMLLGFISLLLTIVQGTISDICISKAAGATWHPCSKKQKSESNKDEDKFSGSDENHQRKLLTFQGFGGIDERRVLAAGGYDDKCAAKAYGFHQLHIFIFILAVSHVIYCITTLALGRAKMRKWKAWEVETKTVDYQFSHDPERFRFARDTTFGRRHLSFWSRTPVLLWIVCFFRQFIRSVPKVDYLTLRYGFIIAHLAPQSQMKFDFQKYIKRSLEEDFKVVVGINPISWLFAVLFLMFNTHGSYSYLWLPFIPLIIILLVGTKLQVVITEMGLKIQERGEVVIGTPVVQPGNDHFWFNRPHLLLYLIHFVLFQIMFGVKSCYHEKLEDVIIRISMGIVTQILCSYVTLPLYALVTQMGSSMKHTIFNERVANGLRNWHQKAKKQIKQNRHSGKMVITPLSIRLETPPETPRSMSPLPLLHKHRHRGEEVDSSQTTPRRSSFEGWEINKDFTLEQKQRGAANQTDNNSNHSHNELQLVIEPYEFSFDQRANR >OMO72542 pep supercontig:CCACVL1_1.0:contig11434:12892:17336:1 gene:CCACVL1_17720 transcript:OMO72542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIREITAAEFLQGTTRQTLFLQRNSSVKRCNLLWGKVCNPSRMRLMSNKKGISLRCSAQSKPQATASGSVRTNLVDEQPGLVGRPGQEIIHFYRVPLIEESATDELLKSVQAKVSNQIVGLKTEQCFNIGLDSKISGEKLSTLQWILGETYEPENLATESFLEKKRKEGLNAVIVEVGPRLSFTTAWSSNAVSICQSCGLTEVTRMERSRRYLLYSKGALPENLINEFAALVHDRMTECVYSQKLTSFETNVVPEEVRYVPLMEKGRKALEEINQEMGLAFDEQDLQYYTRLFMEDIKRNPTNVELFDIAQSNSEHSRHWFFTGKIVIDGQPMDRTLMQIVKSTLKANPNNSVIGFKDNSSAIKGFLTYRLRPVKPGTACPLDETTREIDVLFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVIAATAGYNTGNLNLEGSYAPWEDPSFTYPSNLASPLEILIEASNGASDYGNKFGEPLIQGFTRTFGMRLPSGERREWLKPIMFSAGIGQIDHTHITKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNAAELDFNAVQRGDAEMAQKLYRVVRACVEMGEDNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTMSVLEIWGAEYQEQDAILVKPESRSLLEFICARERLSMAVIGTINGQGRVVLVDSLAIEKCRASGLPPPPPAVDLELEKVLGDMPQKSFEFKRVDYALEPLDIAPGITVVDSLKRVLRLPSLPLSDVAVIAQSYVDFTGGACAIGEQPIKGLLDPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLEGEGAAMYDAAIALSEAMIELGIAIDGGKDSLSMAAHSGGEVVKAPGNLVISAYVTCTDITKTVTPDLKLGDDGVLLHIDLAKGKRRLGGSALAQAFDQIGNECPDLDDVSYLKRVFEVVQDLLGDGMISAGHDISDGGLLVCALEMAFAGNCGIVLDLASQGNSVFQSLFAEELGLLLEVSKNNLDSVMGKLNSVDVSAEIIGQVTASPMIELTVDGVTHLNEKTSLLRDMWEDTSFQLEKLQRLASCVESEKEGLKSRHEPSWELSFTPSSTDDKYMKATLKPKVAIIREEGSNGDREMSAAFYAAGFEPWDVAMSDLLNGAISLHDFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLLNQFQEFYKRPDTFSLGVCNGCQLMALLGWVPGPQVGGVFGAGGDPSQPRFVHNESGRFECRFTSVTIKDSPAIMFKGMEGSTLGVWAAHGEGRAYFPDDGVLDRVLHSDLAPLRYCDDDGNPTEAYPFNLNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPKDWNVDKKGPSPWLKLFQNAREWCS >OMO72545 pep supercontig:CCACVL1_1.0:contig11434:25186:26160:1 gene:CCACVL1_17723 transcript:OMO72545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISGKPISSPGRTEKYPPPLMRFLRSNVGSRSRGRSRSSPMFVRKKNTAIETQEPSSPKVTCMGQVRVKRSKQAGKKPGRPGAPTKRRSRCKWIRNALFCHHFPGKLKAKPCFRPSWKKWGAFFQMGSCRKSKIREESSKFGSKTEDSVVENEEEEESEENEEEAKIFVSSSCKSPPKNALLLTRCRSAPYRSSSLACRFWGSPLANQETEQGEETEETELENRANGGFKEEEGIPTSEKESICRNSDQGSQIDSETEEKSDSCKEFEERKTEKSGNNEELKTEQLGHLRPLILTRCKSEPARTAERLDPEMSFWKKRRLGFT >OMO72547 pep supercontig:CCACVL1_1.0:contig11434:42647:44788:-1 gene:CCACVL1_17725 transcript:OMO72547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFSYKVTAIFLALFFLCFALNSNQLIATTEFDFGTLTLSSLKLLGDAHLNNGSVRLTRDLQVPNSGSGRALYSKPVRFRQPGTHYPCSFSTFFSFSVTNLNPDSIGGGLAFVISPDGDFLGAAGGSLGLLDDKGQALGFVAVEFDTLMDVEFKDINGNHVGLDLNSVVSSQVGDLGTLDIDLKSGNLVNSWIEYDSSFGVLNISVSYSNLKPKQPMLSLPLNLDQYVNDFMYVGFSGSTQGSTEVHSIEWWSFSSSFESNSGSPSSPPPPTASLMNPTANSVKSPPPSIAPSSVSTSSTQQKSSKSSSSCHNQLCKEGPGAVVGVVTAGAFFLALFAGALIWVYSKKFKHVKKSENNFASEIIKMPKEFSYKELKAATRCFNANRIIGHGAFGTVYKGILPDNGDIVAVKRCSHSSQGKNEFLSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFEARTPLPWSHRQKILLGVASALAYLHQECDHQVIHRDVKTSNIMLDEGFNAKLGDFGLARQIEHDKSPDATVAAGTMGYLAPEYLLTGRATEKADVFSYGAVVLEVASGRRPIERDVNAAGNKVGVSGNLVEWVWSLHRDGRLLAAADARLEGQFDEGEMRKVLLVGLACSHPDPLARPTMRCVVQMLVGEAEVPIVPRAKPSMSFSTSHLLLSLQDSVSDCNGMITISSSSSSDNSYIGPDLV >OMO72543 pep supercontig:CCACVL1_1.0:contig11434:18916:22783:1 gene:CCACVL1_17721 transcript:OMO72543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQLLLGAAVAGSTGFLAKHLLNPNPNNPISQDTPNPNTDQQKQDLELQNEFLESGFESQGEDKEKNDGIFRFSSSESSGNGKTGFKGKAKDRSPRKKLLLNKAEKKRSNVGGGVEVSRRKFAICLKKRRTSKNVAYKYGSCPPKDSSVFRWGLGFGIMYMMSAGKAEISKLNSAMDETAKVVHELKSELCKRKSCNLQASNSGNEGATCSTESSGKNTQLLLDKSCKGNINHNEIKVCSLPVIDDGGYASSVLTEEQEPQPELMEMDQLEAELESELQKLSESEVSAKSLHEPVEQRFDSYECQGVLPSELDQKLCHLLIQQQENQIEELESELSLTQSKLHEKEAELRALKDCVRRLTNFSLSTVSDDDAEAHREQECMNDLDCLIKGGPETRKSLVGMKRPIES >OMO72541 pep supercontig:CCACVL1_1.0:contig11434:718:3763:-1 gene:CCACVL1_17719 transcript:OMO72541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MADDSPASHRRDPIKSSVGNVAAQRRRQNAVMVGKERRESLVRAKRLCRVGLSGEVDVPVEENDMVTDEEEFLLEAQTSSAVEELKSAFAYQGKGSMQKRVNALRELRRLLSKSEFPPVEAALKAGAIPLLVQCLSFGSQDEQLLEAAWCLTNIAAGKPEETKALLPALPLLIAHLGEKSSLPVAEQCAWALGNVAGEGEELRNVLLSQGALPPLARMMLPNKGSTVRTSAWALSNLIKGPDPKAATELIKVDGVLDAILRHLRKSGEELATEVAWVVVYLSALSNYATGVLVKSDVLQLLVERLATSNSLQLLIPVLRSLGNLVAGDSHTTSTVLVPGHEITDGVIKVLVKCLKSEHRVLKKEASWVLSNIAAGSVEHKQLIYSSEAVPLLLRLLSTAPFDIRKEVAYVLGNLCVAPTGHDGKPTLIQEHLVSLVQRGCLSGFIDLVRSADIEAARLGLQFMELVLRGMPNGEGPKLVEHEDGIDAMERYQFHENEDLRNMANGLVDKYFGEDYGIDE >OMO72544 pep supercontig:CCACVL1_1.0:contig11434:23322:24355:-1 gene:CCACVL1_17722 transcript:OMO72544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGRNWNPPWMDGKGKSFDTPTMAPPPIFYLPQHKQATTTAL >OMO72549 pep supercontig:CCACVL1_1.0:contig11434:56909:62751:-1 gene:CCACVL1_17727 transcript:OMO72549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MAAAGNHQSLEHTPTWAVATVYFIFISISIALEHFIHLLTNWLKRRQKTALIDAVERLKSELMLLGFMSLLLAVTQSQISNICIPLKMADVMLPCRKQLADQDEARTMSDAASDRCSSQGKVSLVTKKGVQQLHQFILVLAVMQIVYSVITMALGKAKMRRWKAWEKETQTTEYQVANDPERFRLTRQTTFGRRHVSSCTETSIQLWIKCFFRQFYNSVAKVDYLTLRHGFISAHLSGRYSNFNFQKYIERSLDEDFKTVVSISPLMWFLVVIFLLVDVHGWHVYLWLSYVPLLVVLLLGAKLEVIVAQMALRINNQSTVIRGTPLVQPNDGLFWFGRPKFVLTLLHFTLFTNAFELAFFIWVSIQFGFDSCYHEHTPIIITRIVLAITVQVLCSYITLPLYALVTQMGSKFRNKVLGAMDRLWFHQIILFPEPFSLLLPKAIKQLQQTNIQDSVTSTPSSISTLSLASLAEEESSATSSPLSPPGNSRNEEEQEEEEQVKERPTRVSLSSNRSRSHSSSPSTQKRQKKLHRHSTSSLGGKLQKSMSCRSMKDLELEEVKGFMDLGFIFKKEHLNKRMISVVPGLLRLGFGFSKTKQMIKTDDHNKPIINLDSSNSSADDDDHDNEIDKEDYFEQELERGVMRPYLSEAWLIKRPDSPLLNLRVPKLSAAADMKKHLKNWAKTVASEIQQAC >OMO72548 pep supercontig:CCACVL1_1.0:contig11434:50458:52644:-1 gene:CCACVL1_17726 transcript:OMO72548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MAMKRHHHQHPCSSLIFLVLPLLLQFYLGKSELQLNYYAESCPNAEEIIKQQVINLYNKHGNTAVSWVRNLFHDCIVKSCDASLLLETVIGVQSEQESDRSFGMRNFKYVKTIKDALEKECPSTVSCADIVALSARDGIVMLGGPRIEMKTGRKDSKGSYLTEVETNIPNHNDSIQSVLSRFQSIGIDTEGTVALLGAHSVGRVHCVNLVHRLYPTVDPTLNPDYAEYLKGRCPSPDPDPKAVLYARNDRETPMIIDNFYYKNLLKNKGLLLIDQQLASDPTTSPFVEKMAADNGYFQDQFARAVRLLSENNPLTGDEGEIRKDCRFVN >OMO99518 pep supercontig:CCACVL1_1.0:contig06876:3855:3971:-1 gene:CCACVL1_03761 transcript:OMO99518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYYDFKQAAMVKSVDTLLLGSSARASRFESEWRHNIF >OMP04216 pep supercontig:CCACVL1_1.0:contig05776:225:965:-1 gene:CCACVL1_02178 transcript:OMP04216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA assembly KRR1 ILMMKKELEKDPSLKNENWDRFLSKFKKKNVKTKKVKSKEKKPYTPFPPPQPPSKIDQQLESGEYFLSEKRKLTKKWEEKQEKQAQKTAENKRKREEAFVPPKEPVNHDSNKTETDKEDVAALAKSVK >OMP11191 pep supercontig:CCACVL1_1.0:contig01492:284:1505:-1 gene:CCACVL1_00631 transcript:OMP11191 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MKIQCDVCNKEEASVFCTADEAALCDGCDHRVHHANKLASKHQRFSLLHPSSSKQVPLCDICQDKRAFLFCQQDRAILCRDCDVPIHSANELTQKHNRFLLTGVKLSATSALYTSSSSSSISNASDSVPDFKSQPLIKNPVSASPANLNPFSSLAKSSSSNINGTTAAAAVINKNNNTGDNNNLMAISEGVGSTSSISEYLIEMLPGWHVEDFLDSSPPPFGFCKNDDGMLPFFDADIGSNLSSTNFSAENLGLWVPQSPCCSMINPPQYSSQMGGQIGLMNLKETKEIIGMKPNRRSSYADDAFTVPQISPPSIGSKRSRPLW >OMO63036 pep supercontig:CCACVL1_1.0:contig13074:15510:17578:-1 gene:CCACVL1_22517 transcript:OMO63036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MAKLFVLSLLIVLIFILHPQANISSTAIPIQANIIVALDGSSPIKSINEAIDMIPNHNENLFVILVKEVVYNENVLIKESKTNVMMIGEGMEKTIIESNRSVKGGFYTDKTATMEVQATNFVAKDLAIINNAGPQGEQAVALRTGNSQIAFHRCSIQGYQDTLFAAFGEQFFSECQIFGTVDFIFGEAAVVIQNSSIFVRKLLPGQPNVITAQGRRSDLENNGIVLHNCTITSAPEFFQIRKSVETYLGRPWKTFSRTIIMESFLDDLIHPKGWLDYNGTSALPTLYYAEYRNFGPGANTNGRVQWSGYHVLTDPKLVRKFTVKRFINGTNWLPGLGVPFIPGLLN >OMO50444 pep supercontig:CCACVL1_1.0:contig16181:21905:22379:1 gene:CCACVL1_30434 transcript:OMO50444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSKPAAGQQRRIDSYGYCSRTQHRHTIDGFFSKAIDMASLKTNLCRALSANSFDKQKTKFSQGRVFQTRKL >OMO50443 pep supercontig:CCACVL1_1.0:contig16181:20486:21564:1 gene:CCACVL1_30433 transcript:OMO50443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTRKEQWRERKKKVKQGVRGKSRKDKNMEKQLYKLSLVPITVTKTVPSFLVDGEEKENYGSASSMDPDLYKAAMKGNILELVEAVEKAPADRQCDVPASCIQVSPQKNTMLHIATSFRHHEIVNLICKDLPFFIQEKNSRGDTALHIAARIGDPSLVCLIVNAMQDYSSQAILGDRNEDGNTALHEALLCHHDKVARILIDKNREISYGVNKEGKSPLYLAAAAGYADIVRLIMENPLGNCNEQQRLRNKSPAHAAIHGRNIDVKKEGIRFTVPHP >OMO50445 pep supercontig:CCACVL1_1.0:contig16181:23971:24486:-1 gene:CCACVL1_30435 transcript:OMO50445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDQQEATQKPTSSTPPPSSYTLFLKIMSKRRTWVFLFVLVYAILLSSSWNSLKSILSWYQRQAQPSSSGWPALYASVLLGAVFGVLSMIAALAVAVPATLVTWITIVVLLAFFGKPKRTLVLEGRKITREIAGFVFKILLKEGNLVAAVCAVLGYFALVRKNSGESLSD >OMO50446 pep supercontig:CCACVL1_1.0:contig16181:28259:28701:1 gene:CCACVL1_30436 transcript:OMO50446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRLTKLCTDLQREAEAEVDADTPQQPTAATATANSAAADNQIGNNQDKKDEIKSQGGDGTSQQQPETNEKELKKDIPGT >OMO50448 pep supercontig:CCACVL1_1.0:contig16181:40868:40966:-1 gene:CCACVL1_30438 transcript:OMO50448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVNCLNGPKTNPTRSRDRVTGSNGPTAGPGRV >OMO50442 pep supercontig:CCACVL1_1.0:contig16181:6400:11733:1 gene:CCACVL1_30432 transcript:OMO50442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVFEGFSNRWVLLSWLLQAAKLKST >OMO50447 pep supercontig:CCACVL1_1.0:contig16181:31612:38702:-1 gene:CCACVL1_30437 transcript:OMO50447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFLVFMVAFVKDREFQSFFAKGCVLLHISMALWRVNFERRLEDLAWDWPRQAVGDVVLALSWATTFLALMLRRCWGDASDSDEEWVREGDWDGGGSSKNVLTDKVGTGSSPEDNNYRHQWQAGDDGKGRFQEKKDKIEMG >OMP11611 pep supercontig:CCACVL1_1.0:contig01100:885:998:-1 gene:CCACVL1_00401 transcript:OMP11611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREFEIPGSNGRPGDQEAMAGDVMHSSSGGLLLLCMI >OMO89250 pep supercontig:CCACVL1_1.0:contig08810:47777:52607:1 gene:CCACVL1_07958 transcript:OMO89250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKIDPNIIFNRTAIRTNVPKKTTPNVSRTDQSTILVPVFVPRPRLYPDPEDRDLKWTEMFMVKWWELGTRNCKEWER >OMO89252 pep supercontig:CCACVL1_1.0:contig08810:57736:61106:-1 gene:CCACVL1_07960 transcript:OMO89252 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAMATMRIMTSRMMNISPSSSSSSSSSSSPPLATQSLSAPPSSTNLPLRTIPGSYGWPLVGSIPDRLDYFWFQGPDTFFRSRMEKHGSTVFRTNIPPSFPFFVGVNPNVIAIVDCKSFTHLFDMEIVEKRDVLVGDFMPSVKFTGDTRVCAYLDTSEPKHAQIKNFATEILKRGSKVWVDELIGNLDKFLDTIESEISKNGSSTYLPHVQTFLFNFLSKSLIGADPAVDTDIANSGAFYLNLWLGLQLLPTVPLRIIQPLEEIFLHSYTYPFLLVSGGYQKLYNFIEQHGKEVVKRGQNDFGLSTSEAIHNLLFVLGFNAFGGFSIFLPSLFNTIASDKTGLQARLREEVRKISGSTTRLGFDSLKDMELVNSVVYETLRLNPPVQLQFARARKDFRLASHDSAFDVKKGELLCGYQPLAMRDGKVFDDPESFKADRFVGKGKELLDYLFWSNGPQTGTPSESNKQCAAKDYVTLSASLIVARMFQRYDSITGDSGNFTAVEKAK >OMO89255 pep supercontig:CCACVL1_1.0:contig08810:78116:83605:-1 gene:CCACVL1_07963 transcript:OMO89255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNDIGVAAAINILSAFAFFLAFAILRIQPVNDRVYFPKWYLKGLRNSPLSNGAFVSKFVNLDFRSYARFLNWMPAALQMPEPELIDHAGLDSAVYLRIYLLGLKIFVPIAFLAFTIMVPVNWTNDTLERSHLTYSDIDKLSISNIPTGSRRFWTHLVMAYVFTFWTCYVLKREYEIVATMRLHFLASEQRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHPDHYLSHQVVYNANNLSKLVNERKNVQNWLDFYQNKYERNPSRRPLMKTGFLGLWGNSIDAIEHYTYKVERLSRDISAEREKVTSSTKSIMPTAFVSFKSRWGAAVCAQTQQSRNPTIWLTEWAPEPRDVYWENLAIPFVFLTIRRLIVAVAYFLLTFFFMIPIAFVQSLANIEGIEKAIPFLKPIIEMKVIKSFIQGFLPGIALKIFLLFLPSILMMMSKFEGYISLSALERRSATRYYIFQFINVFLGSIITGTAFQQLNNFIHQSTNEIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKNFFLVKTEKDREEAMDPGTLGFNTGEPQIQLYFLLGLVYAVVTPILLPFIIVFFGLAYVVYRHQIINVYNQEYESAAAFWPDVHSRIVTALIVSQLLLMGLLSTKEAAQSTPLLITLPVLTIWFHRFCKGRYEPAFVRYPLQEAMMKDTLERAREPNLNLKEFLNSAYSHPVFKSAEESESDINMEELEPEPVLIPTKRTSRKSTPLPSKKSGSSVSLSEVYDERSKP >OMO89254 pep supercontig:CCACVL1_1.0:contig08810:69976:76173:-1 gene:CCACVL1_07962 transcript:OMO89254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MDEVMTAADASSSRGGDAASPSSNLLPSNLPLITAFLAFALAQFLKVFTNWFKERRWDSKRMIDSGGMPSSHSATVTALAVAIGLQDGTGGPAFAIAVVLACVVMYDASGVRLHAGRQAELLNQIVCEFPPEHPLSSVRPLRELLGHTPLQVVAGALLGCIVAFLMRSSGTPKIAFLFLARQNLPLDFLWDSFFKNVDEAMFSIYVHSQPGFVFNESNTKSAFFYDRQLNNSIQVVWGESTMIQAEKLLFEAALYDPANQRFVLLSESCIPVYNFGYVYSYLMSSPKSFVDSFLDVKENCYSPEMSPVIPEKKWRKGSQWIALIRRHAVIVADDNIVFPVFREFCKRWPPADLIESRQILHAFEIFDNIPDGHNCIPDEHYVQTLLNMRGLQKEIERRTLTYSSWNHSATAGEMNTWHPLRFEHADATPQLIQEIKDIKDVYYEAEDRKEQCHVNATKLAAPCFLFARKFTPWAAVRLLSERSLDLHHAAAAA >OMO89249 pep supercontig:CCACVL1_1.0:contig08810:38263:41509:-1 gene:CCACVL1_07957 transcript:OMO89249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEIPKDLITQLQISLRKQANVPSYDPNDSSLPNLPSLPQSNHRCLHCQAHLLRGPDSLLCIFCGKHQSTLAAPPQPIKFKSTSGYRWFLHSLNLDGSEMVGESLGGKEFNRGPREEFPLSDLLDLEIKWNDAEVEKFESSLRKNNRLNLAGLDLDDDFLAERKGDSVPVASEGTLAVKKETDSTGTDAVQSRENLSLFENVRGSKSSGSVSGWQADFQSDDSKTDPDANSSQSFDAFGGSSKEFSSQMDTVFGQGKNLFDGQEKDNQAFSQSKTNDWFQADMQGNSTSGMGIENANISSSANLDWVQGDQGQTVGSNAPGKKPTNDEDDNDDSFDDWNDFEGTTNAPNAVQSSQGQNADGIQSVNEKDGNSFSGLGAGSESTLFETQNEVSKSFDHFAGSSADLSSHMDSVFGTGKDSLHGKAVDNTTSSHTSSWFQDDLWSNFTSGTAHHVEQSDVDLVDKDGGMLGNLNNFSVSVNRNKDDQWPTSSNRAADSGTNDEDDDSFGAWNDFKTSSAADSSISSWKEPANHTSSTEEKSSDPFSRWGTDFQSANSNNHHENSKSSDPFVSTSIDLSDHLDTVFTSGKDLVEGKENDGSKVSNSNWFQDDLWSHSTSKVTQQPENLDATSNDVDSGTAQSVQHSPSMNVDWFPDDQWLTSSNYKAPDKRTVVELDDSFDDWNDFTSSTTMQDASSNSWKQATIPDKKTIPENDELSAAWNDFTSSTSIKDATSSFSKQTFNHEKPSLETSEINLFGLDTNSNNNSFGSQSQADFFSGAFSNQYLSTETSVSDRMADTGGIGGSNAEVTQARDFSSVTTGSKTDDLEILMSQMHDLSFMLESNLSIPAKVDGLN >OMO89257 pep supercontig:CCACVL1_1.0:contig08810:96078:100432:-1 gene:CCACVL1_07965 transcript:OMO89257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSNSAPIYSYPSTLNVGNFVSLKLTSKNFLLWKTQMLGLIESQDMLGFINGATPMPKSHVSKDEDAKQEKENPDFVAWRRSDRLLRGWITSTLSEEALGLVVGLETSAEVWKALVDSFAQDTQEREISLQLQLQNHTKDGHSMADYIRIFKNVCDDLAAIGKPADDRAKVFGLLRGLGSDYESFITSMLKPPIPTSNDLIPLLQGHEIMKSLHQTSKSPNLNMAFMSQRNTANRNFSKRGRGSFSSRGRGFPQTYNNRFNRNDGYSGSNSNGSSTHGNNSQDDSGKTNIVCKICKLPKHTALDCYNRFNHAYQSEKARQAMAAMKLDGPIDNSWFPDTAASAHMTADPGILSSLSQYHGCDKILIGDGSLLDISHTGTMDIPVLDGNLQLNNVLVVPEIKKNLLSVGQLTDDYPYTCEFSSAGVVIKDRETGKMIAKGNLFNFCKNNGIKLQYACPKTPEQNGLVERKHRNIVELGLTLLFHSHTPKRELHKGYRCLHPPSGRVYISRHVTFDEKVFPFKDPGSLFAPSDTCDLTEFIDWFSGSPADEDTLGKPTTSTPLHVSETQSLEDVSLGASSFPDVSCATTPSHSSTPESATDVTLINEEVHNPDPSIPMNHVQQEEVVINSEPQVPSTNSSPIATRQSHGIAKPNPKYFNDDFCFTATSIPIEPKSVKTALKHPDWKAAMEEEIHALMQNDTWELVPPSNSMNIVGCKWVFKTKTKADGSLERLKARLVAKGFNQVPGVDFLETFSPVVKPATIRVVLTIALARDWEIRQLDVKNAFLHGFLNEPVFMTQPPGFQNSQHPNYVCKLNKALYGLRQAPRAWFDRFSTFLLSFGFTCSVADSSLFVLQSSRGTILLLLYVDDIILTGSNSHFLRDFIAALGREFSMKDLGPLHYFLGVSVTPFDGGILLHQAQYARELLDRALMHNCKPISTPMATKSGSSPNDDALYSDAPFYRSIVGGLQYLTFTRPDICYSVNYLCQFMHQPTNLHFRLVKRLLRYVQGTIDYGIRLLRHQPLELCGFSDADWAGCSLTRRSTTGYCTYLGGNCISWSAKKQPTVARSSTEAEYRALASAAAEMTWLSFVLRDIGVYLKKPPVLFSDNISALHMTINPVFHARTKHIEIDYHFVREKVSAGSLVTQFVSSSNQVADVFTKALPRHALLLLRVKLGLCQIPQPSLRGRDETPDFVAMSNTNRLHLDYRSNGDKLTFD >OMO89251 pep supercontig:CCACVL1_1.0:contig08810:54414:57000:1 gene:CCACVL1_07959 transcript:OMO89251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNHAALKVGLGLMGLCLFGYIVGPPLYWHFMEGLAAVSHSSNTCPPCVCDCSSQPLLTIPEGLSNASFADCAKHDPEVSEDTEKNFADLLTEELRLRETEALESQRRADMALLEAKKIASQYQKEADKCNSGMETCEEAREKAEAALAAQKKETAKWELRARQKGWKEGVAKSRTQSQGNLQSV >OMO89253 pep supercontig:CCACVL1_1.0:contig08810:63903:69272:-1 gene:CCACVL1_07961 transcript:OMO89253 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2/barren MAEALSPNPRQRIPIASRLQSPTSPFFLGSNDDKLERARARAARAAAIRRKPVAPNAQPPADSDPCLDKDQILELFHNCIKLASENKINQKNTWELNLIDHLREIIKVEVENDTETNFQKASCTLEAGVKIYSMRVDSVHSEAYKVLGGINRAGHENDLDSAVEDVSVDNAREGNPKKETEKKMSPLSTLESSFEALNIKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYAGCRVLFDSFEVPGKEMPCPNQHDLSETIDLSFAGECVEHMVLKMQAKDEISPTLNYIVNQFDEDNKRAMENFSCSQRSTDQADTMNNENEFDSVAFESFETDAFDHDDQPSLVNEDFNGAEPTFTTYNEDTEQFSFTNPDSEDRFENVDEYLFLSLGFPFKQNAWAGPDHWKYRKAKVSEDVSTEENTEVVTKKARNKKQVEPDIDFTKALDNEMPDIFAPPKNPKTLLLPSNRTPCNTKLPEDCHYQPEALVKLFLLPNVMCLGRRRRRKLPGNLNESREQCDDYDPQPSWEEQSVFGGQFDNEVDHSDVDDSDTLVAQPRQANKIEVQYDKTSKQVDVQNLKETLWDHIQHSPEISFDHQGPQETLSFKHLLASFPVDCRAAATIEEISPHLCFICLLHLANEHGLSIHGYPDMEDLSIKLPPNDKQTSEVI >OMO89256 pep supercontig:CCACVL1_1.0:contig08810:86572:91996:-1 gene:CCACVL1_07964 transcript:OMO89256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLTCTVFGDDSSDKDVLVEFKNAVLDPSGLLSTWTESSNHCSWHGVICDKNSKVLSLNITGFGSGHGGNFEYSINTTASEIFSCSDYSLFPFYGFGIRKDCVGINGSLFGKLVPSIGRLSQLRILSLPFNRLSGGIPDEIWDLENLQVIDLENNLLSGSWPARISGLKNLRVLNMGFNNMTGKIPDWLSSLEKLGILNLAFNPLMNGIVPGFIGRLRGVYLSMTWLEGDLPADIGEGCKLEHLDLSGNMLTGQIPASLGKCSELRSLLLFSNLLEGEIPHEFGQLQNLQVLDVSRNSLSGSIPVELGDCSGLAVLVLSNYIFNPIDDLVIAKWDPGSITDDLNFYHGEIPDGITKLPKLRVLWAPRATLEGNLPSDWGACDNLEMVNLAQNFFKGEIPIALSLCKKLRYLDLSSNKRLTGELFEELPVPCLSVFDVSDNLLSDGGPAVFHNFGGNNFTGNVLSMSLAPERLEDQSSYAFFAGGNLLSRPFPGSFFGNCNGLSALFINVSYNRMSGQLPAEISLCKSLHFLDVSANQITGPIPPGVGNLVSLVALDLSRNLFEQLQTLEILDISLNSLAGELPDGLVSLTNLIVLRLNNNKLSGQIPSGLANASLIYFNVSFNNLSGPLPSRTKLMGSCSLVGNPFLDPCLASPDEAIAAQLWNYTASRPTGHRAREKIFNTTEVAVIASVSAIITLVPALLSFFVYDPSFADYGYDFNIVQWCSLLPQQGKVKEFFAAGLWDSNHQNELEEIFQLGLAAKIVCRYLTLGMVVKNGVAEENVMYDASGVRLHAGRQAELLNQIVCEFPPEHPLSNVKPLRELLGPAYSTSGATSSLRLPSAPNLMPVLWRHGSSRKLCNGC >OMO89248 pep supercontig:CCACVL1_1.0:contig08810:33246:37840:1 gene:CCACVL1_07956 transcript:OMO89248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rcd1 MAYVPAGGYPLRGPSASGGGGGGGGATSAAAVPTNNPSSSAVSATPFHGRNLPFITRLILGLQSDQNREQALALLVKNRQLFSDDVAILLWDSFGTMTLLLKEITSLYPALLSTHSISERDIARVSNAIVLLQSVASHPDTKMRFLKAHMPVYVYPFMNSTKSESSYERLRLSSLGVIQSLVKVDNAEVVEFLLFTQIFPSCLRCMEFGRTLSKTVATYIIYRILQNSEGLKYCFALGDRFLSVSRALGIMVENLDQEEEKHSPRLLRNIICCYHRLLESENDRARHILTSCIPSKLVDSTYIHILKESCPEALGLLQELVRNLQPTGQRSRTQPTSESVVTYIR >OMO84860 pep supercontig:CCACVL1_1.0:contig09685:13373:13447:-1 gene:CCACVL1_10603 transcript:OMO84860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TAHSRAERIILEAIGGSRRQAAII >OMO84859 pep supercontig:CCACVL1_1.0:contig09685:2050:8016:1 gene:CCACVL1_10602 transcript:OMO84859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVNHLTLPLGGPRRHNEHSTNRTTSFDLSASNRAISINYTPPLAFALANTLKITVKKFKMYRTASQER >OMP03932 pep supercontig:CCACVL1_1.0:contig05904:1369:5871:-1 gene:CCACVL1_02226 transcript:OMP03932 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAGQTSEELEELKRDRDEMKEQLKQMMEMLNKLTGPLDTTPKEGTMNQKADDSNGKVDVGSNPKNNGKAPADLVDNTPLGYVYTHTTPYYAHPETSGGGFGPRTRTLRHQDQTIRQSTQLHKSGKIFEQRNQTEFPKSAENPSGGIEEVSKENQSTTQIRGVCNEDVRELPSTKCDDLERAENEEVPLELWNVDFFSTLENRWGSFIQVDDKTRSRSSLRIARLQVMVSSLSDIPKLAVGKSSGSSFKILVTVEKESDDVLPDRIQAIANGISGDVPTDDCNNLALICSNEVNVLSTNANSLSGHSMGKRNFIHNGYSSSLRNERYIICAGFMKRVGFHCAIVNVYALNDEEGRVLLWNELKDLKEQFDLPRIIGGDFNAVLHTKERQGRDENSLGTRSFTDFTNDLQLIDMPLLGAKFTWGSNREIPSFSRLDRFLVSTEVLISFPDIKQKLKPKSLSDHNPVLLFVENVNWGPKLFKFFNHWFEMEGFQEMVANAWDNNSGGDLFQRFRLLKPKIKEWYKACGEADFRRIEMVEEQIHQLDLKIQQGENVESNREEMTQKRGEIWRLYRSAERSWQQKSHLQWLREGDKNTRFFQLVATNRARRNHIDQIEVDGRVVVHPEEVKEEISSFFERQILDCSLIANEVVDSMRKGGEGGICFKVDFEKAYDSVDWGFLEFIMRKMGFGEKWMKWNSKCVTTPTISVLVNGSAGRTFSTSRGLRQVSKLSGPGDLAETTLEKEGVATNLFYLGVTHYV >OMO50823 pep supercontig:CCACVL1_1.0:contig16050:24987:25590:1 gene:CCACVL1_30229 transcript:OMO50823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEDSWRLKTPKKLKGEI >OMO68158 pep supercontig:CCACVL1_1.0:contig12257:33269:34069:1 gene:CCACVL1_20056 transcript:OMO68158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MSIGRAYCKTGILNNKLYVVGGVTRGHGGLTPLQSAEVFDPHTGIWSEIPSMPFSKAQVLPTAFLADLLKPIATGMTSYRGRLFVPQSLYCWPFFVDVGGEVYDPDVNSWVEMPVGMGEGWPARQAGTKLSVTVDGELYALDPSSSLESARIKVYDHQDDAWKVVVGEVPILDFADSESPYLLAGLLGKLHVITKDANNNILVLQTVVQNHLTSLPSTSSSSSDNSSGMGVDPAESTTAFEADVWRVIATRMAGSSELVSCQTLNI >OMO68159 pep supercontig:CCACVL1_1.0:contig12257:34861:40653:-1 gene:CCACVL1_20057 transcript:OMO68159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MVQARLRVQKQGNGHCTNVPRNVNLEKLQSNYLFPEISKREFQHLDKYPNAKVISLGIGDTTEPIPEQITLSMANYACALSTSDGYRGYGAEQGNQALRKAIAETFYKDVLIKDTEVFVSDGSQCDISRLQLLLGSKVTIAVQDPSFPAYIDSSVIIGQAGDFQDKTGKYQNIEYMQCGAENNFFPNLATTPRTDIIFFCSPNNPTGHAATREQLQKLVEFARDNGSIIIFDTAYSAYITDDSPKSIFEIPGAKEVAIEISSFSKFAGFTGVRLGWTVVPEELLFSSGFPVINDFNRIVCTCFNGASNIAQAGGLECLSSEGFQAIRSVIDYYKENAKILVDTFSSLGLKVYGGVNAPYVWVHFPGSKSWNVFAEILEKTHIITVPGSGFGPGGAEYIRISAFGQRERIIEASRRLQKLFSQEKQPLLNSV >OMO68156 pep supercontig:CCACVL1_1.0:contig12257:9175:12866:-1 gene:CCACVL1_20053 transcript:OMO68156 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate anion transporter MSNRVGAELCSNEMDVITNSSSRRNSESLPYLHKVGLPPKQSLLKEIAGTVKETFFADDPLRNFKDQSRSRKLVLGVQAIFPIFEWGRHYSLSKFKGDLIAGLTLASLVIPQDFGYAKLANLDPQYGLYSSFVPPLVYAFMGTSRELAIGPVAMVSLLLGTLLQEELDPIKNKVDYRRLAFTATFFAGITQATLGFFRLGFLIDFLSHAAIVGFMAGAAVTISLQQLKGLLGIKKFTKNTDIVSVMRSVWSSVHHGWNWQTILIGVSFLAFLMLAKYIGKKKKKLFWVAAIAPLISVILSTFFVYITRADKHGVQIVKNIRKGINPPSVDQIFFSGEYLAKGFRIGLVAGMIALTEAVSIGRTFASMKSYQLDGNKEMVALGTMNIVGSMTSCFLTTGGFARSAVNCMAGCNTAVSNIVMSSVVFLTLKFITPLFKYTPSAVLASIIISAVIGLIDFEAVCLIWKIDKFDFVACMGAFFGVVFISVEIGLLIAVSISFAKILLQVTRPRTAILGKLPRTTVYRSIFQYPDATKVPGILIVRVDSAIYFSNSNYVKERILRWLADEVENLKEHSQPRIQYLIVEMSPVTDIDTSGIHALEELFMILEKRDVKLVLANPGPVVVDKLHASKFQELIGEDRIFLTVADAVMTCAPKLELVP >OMO68157 pep supercontig:CCACVL1_1.0:contig12257:22276:23962:-1 gene:CCACVL1_20054 transcript:OMO68157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNGKLRGFMCQSTAVNAGCMVADPRSAMVPRRLDRMLTDDTRLLMNNARYSKLVESRRFAAADHKIRPKPTSTLTPFVRREQIERQDQPKPLQKQPLQLASSDHVFQVVVMRVSLHCQGCAGKVKKHLSKMEGVTSFSIDLESKRVTVMGHVSPVVVLESISKVKKAEFWPC >OMO52354 pep supercontig:CCACVL1_1.0:contig15546:14981:17510:-1 gene:CCACVL1_29251 transcript:OMO52354 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MKQGQLMKSMKRADSRKFHSWWWDSHISPKNSKWLAENLEEIDRNVKRMP >OMO52355 pep supercontig:CCACVL1_1.0:contig15546:17827:21940:1 gene:CCACVL1_29252 transcript:OMO52355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLTKEKKEGGYNWGKQAATERNVQFKSRARLKPRPKRGFGNSFQPAIDAPRLEPHELRHCPKRKDGGNQF >OMO52353 pep supercontig:CCACVL1_1.0:contig15546:6698:11495:1 gene:CCACVL1_29250 transcript:OMO52353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDLVSQQLSIPGNQMAQLESIPSNLDGPMSMGLMRYGSNESLQQQIPSSMPIGHMRSVSNDLGSQLTSMSNRQPEMLESQAYTQASQQYFITSKPVGQMVPTMMGSLRPHQLPTLNKRKAPMEPISPNSLPEKLSEPNKRVAQMDHRPWLQPISAPNRKTIQMHSVSNSPGSQPSPGSNKRSVPSKSGSSASRNQPAQMRPSAKVQSESFESVRSKMRESLAGALALVCQQQGENEKAGKNSNDEAASSPGKTDSPPGNSSSGNSDAVPSISADPQGISLSNREGAEGNSSDATETLICGGQKFQSSNLLPDEEVPFSDNIFARDELLQGNGLSWVLEPEINVAEKMEIETVNKQHRDVERVGGDDIKQSLQSPQVLANQIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELRERVVAGEITPERLCSMSAEELASKELSEWRQAKAEELAQMVVLPDTQVDIRRLVRKTHKGEFQVEVEQDESASVEVSASTTIIRQPKTEAKQAPTTGKTVGKKDESDSAGEKSNIEDPNLTITIPSSEEGPDPMQGLMGEDELKDADFLPPIVSLDEFMQSLDSEPPFENLPSDAEKATPVSDKDGSEVGSDSKSSGQASEDPIATTPDKPERVDAGSLKSDADVKPAELPVKAETTVSVPTLKVENIWEGLLQLNISAMTPVIGTFKSGEKTSTKEWSSFLEIKGRVRLEAFEKFLQELPMSRSRAVMVTHFVCKEGSTEGERGSLIEAADSYILDGRVGFAEPSSGVEIYFCPPRAKALEMLSKILSKDQFEALNAIDNGLIGVVVWRRAQLISPNSSSSHHKHSSKKQHLSSTSRRHQEKDTHTSANLNTNFPSKPTSYSRAGPPPPPAYSKPPPNDDDDDDVPPGFGPGSSRDEDDLPEFNFSGGSGPQYPTGYQSQRVGMASSHLHSQTSSRPVDQMRELVQKYGQPNTSSSLGVAMQSWNDDDDDIPEWQPQTTQQPLQQPLAQVNIYQQQQLHAPQQLTHHTLAAMNMQGQPNATQQWQQQQQGTWWVPQPGSTQGQQYVNGAQYYGASVGTGQGQPAWRKDAPHSRGF >OMO66842 pep supercontig:CCACVL1_1.0:contig12508:2594:2653:-1 gene:CCACVL1_20969 transcript:OMO66842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVGSGGLAKEFSEEMAY >OMO66841 pep supercontig:CCACVL1_1.0:contig12508:1575:2006:1 gene:CCACVL1_20968 transcript:OMO66841 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase MPPDSQLSESATMRAFITLIETQYNISFPSMPLEESTEIDLCPPLRRIIKLILKIVEEYTEYGSAGASLLKRWLGLTGPIHHNATDLVAMLNDAKILKHLYRRGIADLYPPRLSLHQAFDGLVIISPTTTVTDVPICGEEKFF >OMO66843 pep supercontig:CCACVL1_1.0:contig12508:3315:6377:-1 gene:CCACVL1_20970 transcript:OMO66843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFIYSESQSRLCVLIHVLLCLCPFVLSQQLSSNQTNVMISVSKQLGIENSSWDAAKVPNPCNWKGVTCRSPRNDSIVSVSLSGFGLSNSSFLPMLCQIDSLEILDCSNNSLPSIPDEFFSSCGGIIGLKRLNFSKNKLGGSLPSFQNFRALEFLDFSFNLLSGTIDSQLHNLVSLKSLSLSFNQFNGSIPSGLGENKLLEELQLSVNNFAGSIPPEILTYPNLVRIDLSSNKLSGGVPQSIGNLTKLEVLILSSNQLTGVIPATLADITTLQRFSANQNQFVGSIPSNITKFVKILDLSYNNLDGTIPGDFLLPSNLQTVDLSYNKLEGPMPESLSPSLVRIRLGSNSLSGPIPFQSFASLENLMYLELENNSFTGMIPPEIGSCPKLALLNLAQNQLNGSLPVELVNLTGLQVLRLQQNKLGGEIPGDIGQWSKLSVLNISWNSFNGTIPSSIANCASLVNLNLQGNNLSGSIPDTVSNLKTLLELQLGDNELSGRIPSMPPSLQISLNLSTNHFQGTIPKTLSDLPNLEILDLSNNIFSGEIPGFLTSLFSLTQLILSNNQLSGVIPNFSKHVSLSTSGNPQLKNATNNTPPSSNRKKPIAVTIVITLAAAVLAVGVVAIVFLLISRRYYKVNDAQSQSSEQLSPPQLVMQGNLLTANGIHRSNIDFTKAMEVVASPTNIVLKTRFSTYYKAIMPSGASYYLKKLNWSDKIFQLGSHDKFEQELEVLGKLSNSNVMIPLAYVLTVDSAYLFYEFAPKGTLYDALHGSLKSSLDWASRYSIAVGVAQGLVFLHGCAPSPILLLDLSSRSIMLKSLKEPQVGDIELCKVIDPSKSTGSLSTVAGSVGYIPPVSQGTELAKWVLANSVRPDKRDHLLDFNISRTSLVIRNQMLAVLKVALACVSVSPEARPKMKSVLRMILNAR >OMO71424 pep supercontig:CCACVL1_1.0:contig11645:8836:9648:1 gene:CCACVL1_18225 transcript:OMO71424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGQISQDVVVEVPAAEVWDVFRGLELGNIINKLLQDVMGTVEVVQGDGGPGTILKVTFPPGTPGVGYMKEIFTKVDDEIRLKETEMIEGGYKAIGFDLYRSSFQIIEKDGESCIVRTTVEYEIDDKLQRRASKLTTKTMEMLAEVAGKYIKENRCPTK >OMO51399 pep supercontig:CCACVL1_1.0:contig15849:2349:7932:1 gene:CCACVL1_29815 transcript:OMO51399 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MSKIESDSDKEVSLTAGQSSGMDVMSPYYIHASDNPGQVYVSELLRDGNYGEWILSTKPTPSLGNAYHLVAEDEQQKQISASRKPVVEAAAFQMKGGRGDKTEADRKSGRRGKLNAGEASARSPTVPVAEIPVLTQVQVECLMQLLSGDNRSAANVDVGKNKVSKPWIIDFGATDHIASDAELLVEIQEKNGELPVMIPNGDKIPIKSVGKAKLPNGMGINNVLNIPDFKCNLISVSKLTKDLNCALIFVADFCVIYDLPSRKLIGVGKLRDGLYYLEPVRVEVDDDDPYVIVVGKSAETFQQRQFPALESFLPQSPAEHDVSPSAYIENVALQQFENNGSTEDSSHGSSNANAGELASNVDEGVRRSHYISTQPKHFRQFEIDLPPTITHMQQPSSSTISTVYPLSHFVSYQRFSHSHQVFLVAITAHDEPKTFRQAVKHEHWQEAMKKQIWALEENGTWVLTSLPPGKKAVDSKWVYKVKFKPNGEVERYKARLVAKGFTQVEGVDFHETFAPVAKLVTVRCLLAVAIKKNWDIHQLDVNNAFLHGDLDEEVYMQIPQGFAKEGETRVCKLQKSLYGLRFSIKDLGPLKYFLGIEAARSQKDWFLASEITRPDVAYAVNLLVVHDKSTWKQPCEFCGSPVSWKTKRQSVVAKSSAEAEYRAMTVTVKEYPLFQWIRPVYLDDHDRNPDQRIRSHAEEFGIDVDKVMSEEVGLECSTDETVGPPPGFGSQPKFRGSSAHGRCDDDDDDDGGNEGRTTTTGTQGGDGQSGAKDASRYGDNYGSDYVPYSPFPCEADFTHAIQDEDHGSRRAGNGAKNRKGRRAMHELTDEFSLMSLTTTSSSFGYGRHFESSSSYGTRSRANEFESSVSSNIYPEYPLEQQTYNEHPVQQSNADAVSGEYPPIRWLTSISREAYLEYVHNYMVVYKPYMSWLEYFNWITAPGGDDTSMPSTSHSSSNYGSSDFEPPRNSMWY >OMO59093 pep supercontig:CCACVL1_1.0:contig14056:14098:17388:-1 gene:CCACVL1_25082 transcript:OMO59093 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MGYDRRTRSAAETAVTVGYGFGERLTVAGSDIAFAPYGGYWRQLRKICALELFSAKRVQSFRSVREEEVSNLIALISSNKGLPINLGEMLNNLTYSITLRSAFSGRRKQHEAFLSFLKKTLEELGLFSGVVDLFPSMKLLYWMSGTRKRHQRLHHDLDEMFERIIEEHRANKANPKNGDEVTDDLIDVLLKLQDRGDLEFPLTTDNIKAVILDILATGTDTSSTTIVWAMAEMMKNPRVMEKAQAEVRQIFDRTGEVNESNIHKSKYLNLVIKETLRLHPPVPLIPRENVERCEIKGYEIPAKSKVLVNIWAIGREPNYWNEAERFNPERFLDSSIDYRGLNFEYIPFGGGRRICPGISFGMAVVELCLANLLYLFDWKLPNGMKHEDLDMTEAFSATGKRKTDLCLIPIPYDAPCVEK >OMO59095 pep supercontig:CCACVL1_1.0:contig14056:30563:31988:-1 gene:CCACVL1_25084 transcript:OMO59095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKAVGVTEVVLAINYQPEVMLTFLKEFETKVGIKITCSQETEPMGTAGPLALARDKLIDGSGEPFFVLNSDVISEYPFKEMIEFHKAHGGEASIMVTKVDEPSKYGVVVMEETTGQVDKFVEKPKLFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAAEKKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKASTKLATGPHIVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEAGVRLSRCTVMRGVRIKKHACISSSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >OMO59096 pep supercontig:CCACVL1_1.0:contig14056:42952:44908:1 gene:CCACVL1_25085 transcript:OMO59096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDKTDPNPNQSKPSKLRWGELEEDDDLDFLLPPKEVIGPDENGIKKVIEYKFNEEGNKVKITTTTRVRKLAKARLSKRALERRNWEKFGDAVREDVGSRLTMVSTEEIVLERPRAPGTKPDEKAAADSLAQLSKAGGTGVLMVCRTCGKKGDHWTSKCPYKDLGAPVEAFVDKPVASETSMAASGAGKAAYVPPSMRAGAERTGSDMRRRNDENSVRVTNLSEDTREPDLLELFRTFGPVTRVYVAMDQKTGTSRGFGFVNFVNREDAQRAISKLNGYGYDNLILRVEWATPRAN >OMO59094 pep supercontig:CCACVL1_1.0:contig14056:20667:25514:1 gene:CCACVL1_25083 transcript:OMO59094 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein MAMANNVINLATFVSSIFPSSVDKLKKKSSNSFQKNNFWVSRISSVKAVKSPAEPATGKWERQRRPQNVDGDIFVDHTCIDCDTCRWMAPQVFTRVDGMSAVSKQPTCEEDRLKALQALLSCPTGSIRTEEPPADILDAQKTFPIPIDEKKLPGVYHCGYHSEKSYGATSYLIVHPEGNILIDSPRFTERLAREIETLGGVRYMFLTHKDDVADHGKWSKRLGCDRVLHSKDVEDCTIDVEIKLEGSGPWSLADDILLIHTPGHTEGSVCLFYKSLKVLFSGDHLMVTGSKLDILECFNRLPVDIQVESIEKLLEFEFNWIIPGHRRRIVFKDVAEKNAVLEALIQEHSSVRNRPLQCIFAAASIGVMVSAHGFFNSTAFCYLIASMGLQALWSFALACLDLHALRSKRNLQNPVLVSLFVVGDWVTAILSLAAACSSAGVTVLYARDLGYCRSAPISCSRFQTSISLAFISWFLLAISSHVTFWLLAAV >OMO59092 pep supercontig:CCACVL1_1.0:contig14056:5631:7243:-1 gene:CCACVL1_25080 transcript:OMO59092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MVQNTTYNFTDIVFSPYGGYWRQLRKICALELFGAKRVQSFRPVMEEEVSNLIALISSNKGLPINLGEMLSNLTYSITLRTAFTGRSKQHETFVSILNKLTQEAGGLFGCVVDLFPSLKFLYGISGLRQRHQRLHQVLDEMLETIVEEHRANKAKPKNGDDVTDDLVDVLLKLQDREDLEFPLTTDNIKAVILDLLAAGTETSAVTMEWAMAEMLKNPRVMEKAQAEVRQVFDRTGDVNESNSHELKYLNLVIKETLRLHPPAPLLVPRENVERCEIKGYEIPAKSKVLFNAWAIGRDPNYWNEAERFDPERFLDSSIDYRGLNFEYIPFGGGRRICPGISFGMAVVELGLANLLYHFDWKLPNGMKHEDLDMTEAFSASAKRKTDLCLVPIPYGAPICVEK >OMP06456 pep supercontig:CCACVL1_1.0:contig04929:1115:10918:1 gene:CCACVL1_01567 transcript:OMP06456 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol oxygenase MAPTCIENPEEIATPWKGKEMQPEISDKLASNEGFEMPENNAFGNSFRDYEADNGRNDIVEQHYKSSHINQTVDFVKRMRAEYRKLDKAEMGIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYPNEDWLHLTALIHDLGKILVLPQFGELPQWAVVGDTFPVGCAFDESNVHFKYFKENPDFNNPKYNTKNGIYSEGCGLDNVLMSWGHDDYMCMVAKENGTTLPSAALFIVRYHSFYPLHKHGAYAQFMNKEDKENMKWLKIFKDYEAEGGRKDIVEQLYKLSHINQTVDFVKRMRAEYEKLDKAKMGIWECCELLNEVVDDSDPDLDEPQIQHLLQSAEAIRKDYSNEDWLHLTALIHDLGKILVLPQFGELPQWAVTGDTFPVGCAFDESNVHFKYFKENPDFNNPKYNTKNGIYSEGCGLDNILMSWGHDDYMCMVAKANGTTLPSAALFIIRYHSFYPLHTDGAYAQFMNEEDKENMKWLEVFNKYDLYSKSNVLIDVEKVKPYYESLIAKTQIAYNGTTTKMNSASVATRAKQGY >OMP06458 pep supercontig:CCACVL1_1.0:contig04929:14678:15502:1 gene:CCACVL1_01569 transcript:OMP06458 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MVAGKALIKEPEYTCVDILQLPLMSLGFSMTFVAALGFIGDVECWRPTWRLILYLLTMFILLLVLAAMVVLIYFITNNDFHGWLPYNWDSIRACLLNSTHMCSELNHTYHDFFINARLTSVQYGCCMPPAECGFTYVNPTYWLINPNKTGSAASKDCLQWSNDQSQLCFHCDSCKAGLLDDLTKEWRRVDLALFIILVVLICLYVVGFCCAFRKSKASDEEDKKKMKVHLPKSQPQTKDQEK >OMP06457 pep supercontig:CCACVL1_1.0:contig04929:12149:12463:-1 gene:CCACVL1_01568 transcript:OMP06457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSRIRKSMATRYKMRSQVMRQQPPMKPNRATTEIEIPRIIRGHCKILTQVLSGSFVSQIPAPIIGIERSKVMKLIAPTMVFLVAAISLKRMIQSRSFRGLV >OMP06460 pep supercontig:CCACVL1_1.0:contig04929:28580:30587:-1 gene:CCACVL1_01571 transcript:OMP06460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGPDDEDNRWPPWLKPLLREHFFVQCKHHADSHKSECNMYCLDCMNGALCSFCLAYHKDHRYIQIRRSSYHDVIRVSEIQKYLDISGVQTYVINSAKVVFLNERPQPRPGKGVTNTCEVCDRSLVDSFRFCSLGCKIVGTSKNFQKKKRHLAMASDSEDSYSSSSHGKLKNNNKENSNNSFKVQSFSPSTPPPTSVNYRTAKRRKGIPHRAPMGGLIIEY >OMP06459 pep supercontig:CCACVL1_1.0:contig04929:16726:26695:1 gene:CCACVL1_01570 transcript:OMP06459 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein TORNADO 2-like protein MAERNNNNNMVGITILITMLLAVPILGCGVLLTKQPDNTCCSVEILPWALIILGFSIMAVTLLGFLGNCRFIVYFVAVFIFIVYSSFGSHGCFHLFGPAAPGRSYVEHDLNDFHGWLRRKVATPYKWDPIRDYRNSTHLSGDRQTSTNPT >OMO58769 pep supercontig:CCACVL1_1.0:contig14166:28806:29414:-1 gene:CCACVL1_25362 transcript:OMO58769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECFAELADWTNEIVWFGFGRPITLQQH >OMO58770 pep supercontig:CCACVL1_1.0:contig14166:44523:47584:-1 gene:CCACVL1_25363 transcript:OMO58770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHPLQALVFAGPNGIKENSVKLGVGEDLYVLFAGILTMRPWNRVIDPTVDHLVINGPESDLSETQNLIADIHLEIVICYFSKGNFFLSIAIVLYDFPRGEEDEEATVNQAADHGEVQLMPEEENTQRQQTQGDYNYGLLRDLLGDGFSQWMMRNGVNRGKCQAMNSQQGS >OMP00012 pep supercontig:CCACVL1_1.0:contig06746:217:3824:-1 gene:CCACVL1_03500 transcript:OMP00012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHDTKPTVLLFFDKQRFIFNAGEIDHICFSRVCSEKAGGLPGLLSTLAGMGDGVSVNMWGPSNLNLLVDAMKCFIPHDAMVHTHLISHTGPLQTDNPSADGSKFKDVKISAILLQPNGVEESAVNPSDVVVYVCELHEIKGKFHKEKADALGVKVKTKFKELTEGKSVKSDCLDITVHPSDVIGPPVPGPIVIIIDCPTDSHAQELLCTQSLNEYYWDSGNQPQATKIVNCVIHLGPASVVNSIAYNKWMKKFGSAQHVMAGHVMKQVENPILKSSARMAAQLNYLCPQLFPPLSSWPTQDHSIAAPGLISSTEDPVSECENISAENLLKFTLRPHTHLGLDRSNVPSSLVASESIDELLLKSPDIVDAAQDVKGSLLLDCGKGTLAQLRRRYGMEGSNNAVKNLACVWISHIHADHHFFDNKTTTIVPWETFELDNESNNNQLVPENNEGIKHFDLASKFSESLQGSSKRRKLSVLVDNVATFPLLKRLKKVLQKAGLERLVSFPVVHPDAFGVILKAADKNKQFWKSDTRLESCVLW >OMO83152 pep supercontig:CCACVL1_1.0:contig09928:5609:12514:-1 gene:CCACVL1_11536 transcript:OMO83152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSEKLTALKKAYAEIILNTAKEAAARIMVSERKALRYQQELFAAKDEALLMLLRLKQMLDAKVIPSYMCPFMANEAEMMSLNQQKRIDELEAQLGEAEDIVRDLRADLREAQDELEKLTKEKMQCIDNQKSENDVAVSLEISQENRVNNFGSATSSLPDAETDIVTVFDMKSSVPNETDVGNKCSCTNNCYVCNPDFASIVMRRKEPDLYRNGCTQRIRALERCLLNGISGQVDDAKNEKAREGEEGSDMHTKPSLGADMCRPEEKTEELKTMRSDVYNNIQILPLSSFHRKRKRAARYKKNKAPSSMNIHDQVVARCEESDLFCPETFSDAADGNVQSGEQSRIIEHDVLNNPHCPMPSSPLDPAEGIIQSSYEVVDKNDGEIVKACDFQNEKSDDKLLTDRKELPRRESGSAESLGVPSCKMDIEMVDVSADNLDVKVSEITEGSSSQPANNKFIMYTFKRKRKKESFSSPDRDSSLDDGTSQRNAEEKQNGQLDSEKATLITETSRDSRRLVQVARQGRSINRFRPQISFQLTWYMDRFIPCRSAMDFGSALVKLTERETIQDPQAVVSPASEAYRKALAEALNMNRTRILAFNNRPPIPVQNFTGDLEPAKSAKQIRRIPQCPDRTLDVPDLVDDFGLNLLDWGSSDVKAIALGNMVYLEGHSGDSKLVTGLDEDGIVASVSWAPDGRRIAIGLDNSEVQLWDAASNQKLRTLRGCHAHGSRVGSMAWNNHVLTTGGMDGLIVNNDERMSSHIVDSYEGHTLEVCGLKWSASGQRLASGGSDNLVHIWDRSMASSNLPTKCLHRLDHHTSAVKALAWCPFQSNLLASGGGEGDGSIKFWNIQTGECLNSVDTDSEVSALLWSKTERELLSSHGYDRNQLILWRYPSMIKMAELAHTSRVLYMAQSPDGCTVASAGEDETLRFWNVFGVPKIPKPTPKLKPEPFPQVNHIR >OMO83156 pep supercontig:CCACVL1_1.0:contig09928:41332:43115:1 gene:CCACVL1_11540 transcript:OMO83156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEVKVEETQAAEVVIPQEEPKKVAKENEKVVHSEEAVKVDDSVPKTVGKSSSYREESNFLSDLKEFEKKALINKDISLWGVPLLPSKGGEATDVVLLKLLRAREFKVNDALQMLENILQWRKENNIDSILEEDFGVDLASASYMNGTDKEGHPICYNICGVFENEELYKETFGTEEKRGKFLRWRFQLMEKGIQKLDLKPGGVTSLLQINDLKNSPAPSRKEIRIVLKQIVAALQDNYPEFVARNIFINVPFWFYALNALLSPFLTQRSKSKFVVARPAKVTETLLKYIPAEEVPIQYGGFKKENDYEFCGQDAVVSEVLVKAGSTATIEIPADEVGCTSTWELIVLGWEVNYKAEFVPTDEGSYTVIVQKSKKIVAQSQEGPLRNNFRNNEPGKLVLTVENTSSKKKRLLYRYKTK >OMO83153 pep supercontig:CCACVL1_1.0:contig09928:14937:15920:-1 gene:CCACVL1_11537 transcript:OMO83153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLSYFSNSIMFNPSSSFQQAENLDYLSGFDSNLLLFDTTTFVDPFLHNGLHFSPSEDPYNSFNKYFPLEQFDDPFEFEFSQCQKFTANSDYYCSNPNLSRINDHNSFFTAAGGSNIPNPLPLSTLSSNCDHKLDNGKKTSSCSSAGAGAGAGAGAADSSSNLSSQSIAARQRRRKITNKTQELGKLIPGAHKMNTAQMLQAAFKYVKFLQAQVALLQSLLTSSIHQGAGEEALMQSQQQLQNLLASQAIQEKLYSEEKCLVPKHFLQALVNDYQIDESKPLIIEDINRLLLIN >OMO83157 pep supercontig:CCACVL1_1.0:contig09928:63504:65592:-1 gene:CCACVL1_11541 transcript:OMO83157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QVEKKTMSSNGDYYSALYLAMEEESYVSIV >OMO83154 pep supercontig:CCACVL1_1.0:contig09928:18509:24649:1 gene:CCACVL1_11538 transcript:OMO83154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLPYHIRHNPHFCLLKLSFLSSNSAVLLAPKTFSSSFFRTLLPSTPSPKPCKRLVPSLSSKPISKNNSLKLPTNGFSSLPYASVTTPVYPKDLEERHLDTRSWQIMNKRLTDLGINTSECVPGKENRLLCPSCNGGESEEISLSLFIEEDWSSAKWLCFHAKCGWKGATKALADGKPSDQIFNKVNKYKAPRQITVEGLLLEPLSNEVIAYFAERMISAETLKRNAVMQKRLGEQINIAFTYWRKGALISCKYRGIEKKRFSQKDTEKIFYGLDDIEDESDIIIVEGEMDKLAMEEAGFRNCVSVPDGAPQSVSSKEVPDEEKDTKFQYLWKCKEYIAKASRIILATDGDSPGQALAEELARRIGRERCWRVKWPKKNDTADFKDANEVLMYLGPNALKDVIDNAELYPIP >OMO83155 pep supercontig:CCACVL1_1.0:contig09928:27846:39226:1 gene:CCACVL1_11539 transcript:OMO83155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTFSSSFIRTLLPSTPSPKPSKRLVPSLSSKPISKNNSLKLPTNGFSSLPYASVPTPVYPKDLEERHLDTRNWEIMNNRLTDLGINISECVPGKQNRLLCPSCNGGESEEISLSLFIEEDWSFASWCCFRAKCGWKGATKALAGNKPSYQSFNKVNKYNAPRQITVEGLQLEPLSDELIGYFAERMISAETLKRNKVMQKKFGGQVNIAFTYWRKGALVNCKYRDIVKRFSQEKDTEKIFYGLDDIENETDIIIVEGEMDKLAMEEAGFRNCVSVPDGAPPSVSSKEVPDEEKDTKYRYLWKCKEYIEKASRVILATDGDPPGQALAEELARRIGRERCWRVKWPKKNDTADFKDANEVLMYLGPNALKDVIDNAELYPIRGLFNFSDFFEDIDKYYHRTLGYEFGVSTGWRALDDLYNVVPGELTIVTGVPNSGKSEWIDALLCNLNENVGWKFALCSMENKVRDHARKLLEKYLRKPFFDSGYGTSIERMTEKELEEGKKWLNDTFYLIRCENDSLPSIKWVLELARVAVLRHGVRGLVIDPYNELDHQRPVSQTETEYVSQMLTLVKRFAQHHSCHVWFVAHPRQLHHWVGAPPNLYDISGSAHFINKCDNGIVIHRNRDPDAGPIDQVQICVRKVRNKVVGTIGDAFLSYDRSTGVYMDIDAAPKPKKR >OMO64154 pep supercontig:CCACVL1_1.0:contig12859:77767:79521:-1 gene:CCACVL1_22000 transcript:OMO64154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hepatocellular carcinoma-associated antigen 59 MQKKKNFRKRSIHDDEGDDPAAKSDDEEDRRLALEEVKFLQKQRERKLGIPAITTAQTGGIVAKVTEKGEADGEKEELVLQDTFAQETAVMVEDPNMVKYVEQELAKKRGRNVDAKNENESDLTSAEDELYKIPEHLKVKKRNSEESSTQWTTGIAEVQLPIEYKLKNIEETEAAKKLLQEKRLMGRAKSEVSIPSSYSADYFQRGRDYAEKLRREHPELYKDRGSQDESAVSRPPETSNDAAGSRQAATDQFMLERFRKRERQRVMRR >OMO64163 pep supercontig:CCACVL1_1.0:contig12859:120958:121020:-1 gene:CCACVL1_22009 transcript:OMO64163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQPKPTARAVEVSDVLGA >OMO64166 pep supercontig:CCACVL1_1.0:contig12859:148381:148665:-1 gene:CCACVL1_22012 transcript:OMO64166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKYANKLDPLMGCPKQQEPKCVNGLLNGPRACIGQSLRFKNGISKAAAKATCNWLHQAEFRNVGSKSNKHYTNHLLFLTTTVNSFILGDKGS >OMO64160 pep supercontig:CCACVL1_1.0:contig12859:112120:115122:1 gene:CCACVL1_22006 transcript:OMO64160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase MKRLLIWVFSLFCVLGIGLSRSENGLEDLKAKESLLSYLEKDAVSPGANSLMVPLTLIQGAASKGAVCLDGTPPGYHLDPGFGSGANSWLIQLEGGGWCNNIRTCVFRKTTRRGSSKFMEKKINFTGILSNKPEENPDFYNWNRVKLRYCDGASFAGEGHDEVNKLYFRGQRIWSAGMEELMAKGMQNANQALLSGCSAGGLASILHCDEFKDLFPPSTKVKCLSDAGLFLDAANVAGSHTLRNMYGGVVTLQGVQKNLPSTCTSQMDPTSCFFPQNLIANIRTPMFLLNAAYDAWQVQESLIPNFADPHGEWRDCKMDHSHCNATQMKFLQDFRIQMLDAIDVFSKSNQNGLFINSCFAHCQSERQDTWYQSDSPRIENKAVAVSVGDWFFDRTAVKAIDCAYPCDSTCHNLIFK >OMO64142 pep supercontig:CCACVL1_1.0:contig12859:6321:8789:-1 gene:CCACVL1_21988 transcript:OMO64142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the outer envelope membrane of chloroplast MGTPLPREWLGIQQFPAATQTKLFDLLGELKQENVNTLTILVMGKGGVGKSSTINSLIGEQVVRVTAFQSEGLRPVMVSRSWAGFTLNVIDTPGLVEAGYVNHQALELIKGFLLNKTIDVLLYVDRLDAYRVDDLDNQIINAISNSFGKEIWRKSLLVLTHAQLCPPDGLNYDVFSSKRSEGVLKAIRMGARMKKKEFEGSAIPVVLVENSGRCNKNENDEKILPNGEAWIPNLVKAITTVATNKSQAILVSKKLVDGSDANDKGKMWIPVILGVQWFVLKWIQGAIKKDIATGNGPL >OMO64158 pep supercontig:CCACVL1_1.0:contig12859:102255:104584:-1 gene:CCACVL1_22004 transcript:OMO64158 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IA, variant 3 MTGPITHYFDRASELFVFFPSTGVGTFMKMDAVGRATGAKYECLLFDMDDTLYPLSIGINLACRRNIEEFMLQFLNIEESEVPRMCLELYKEYGTTMAGLKALGYEFDNDEFHAYVHGRLPYEKLRPDPVLRNLLLSMPQRKIIFTNADKEHARKVLKRLGLEDCFEGIICFETLNPPVEQADFMDAMDDNHVLAEGDQELNSAIPKSPILCKPLLEAFEAAIRVANVNPKKTIFFDDSTRNIASAKAAGLHTVMVGSSILVPGADYALSSIHNIKEAIPEIWEGEDEQMEQVIQSAAVETAVLA >OMO64143 pep supercontig:CCACVL1_1.0:contig12859:9875:12878:1 gene:CCACVL1_21989 transcript:OMO64143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor 25 MSARFLKKILKEQEQQKQRVIEEEEEEQLNGEESESPDSSSRPSKNPFDLLNEGDEEDEDNFDQVDELEVAHENLTRKQEPPSLKSSATAVPASNNKSKKKKKKKSKEGSSNLDKHETPLDVSLDTLSLDVSSSSHQLGSNKPTSENTKVHGDLVKQSTQSILQVDPKYLNVENELRRIFGSKVVKSFEKGNQSSTSRQVRGGRRGSHHIRKTFLISPSDHWARWDGSLSMEFLETKDGYNYFRYTHSSSYDQAQRAFEAAQAIHDLNGVASVLLYHPYHVDSLITMADYFKFVGEHQMSADAIAKCLYAMECAWHPMFTPFQGNCQLKFSHDTNKPLFKALFTHMKNMDRRGCHRSALEVCKLLLALDSDDLMGALFCLDYFALRAAEYAWLERFSEEYRSDSSLWMFPNFSYSLAVCRFYLEQEESSRGSCADSTKASSADLIYQALMLHPSVLKKLVAKVPLKDQSWTNILKNSFFNSDQIGIPSLDHLINIYVERNYLIWRLPDLQKLLRNGALLLVETLEHNKSEAKDWACVRKEAFSSDKNEYAHLLVQDFSDTVQTLPPDNLQNFMVDMREAGQIGGQVANPPAGGHAPPPRDVANRNPLAVLFESLLPWVNYGDAGDGVFDENQVNGQDQDDEDR >OMO64165 pep supercontig:CCACVL1_1.0:contig12859:147478:147825:1 gene:CCACVL1_22011 transcript:OMO64165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISVNPKEDAQQTLSERIAKLTINVKEEEKECNVSAAAAPGISESESETCRTPTGRENKIPEPLTCPPAPRAVRKRKGSSSSSLSADKDEPRKIVNDQEMIEVIFCPDHPQDSP >OMO64159 pep supercontig:CCACVL1_1.0:contig12859:106724:110354:1 gene:CCACVL1_22005 transcript:OMO64159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNQLGRLVNGLSLVAKEIVKRSQTINSAKNGDLETLIGSSLKTALVSATDLTGLTKGKVREFSSPSPKESVVYFNGKNDGDGEDSVNSSLNDAASAGPIVDEPINSDKGVSSSDQLVLNDKVFNDGLEGERESNLDNKRDVDGGEVAGTVAEAPVVIKRRRPRERKVPSTPFSRAFGFAALGAGLAWGTVQESAKRLVYGSPKSNDKQSAVSPFLSEKNAERLALALCRMRGAALKIGQMLSIQDESLVPAPILAALDIVRQGADVMPRSQLDQVLDAELGPGWSSKLTSFDYEPMAAASIGQVHRAVTKDGMDVAMKIQYPGVANSIESDIENVKLLLNYTNLIPEGLYLDRAIKVAKEELSRECDYELEASNQKRFWDLLSGREGFYVPLVVDEISSKRVLTTELVSGVPVDKVVPLDQGTRNYVGRKLLQLTLMELFEFRFMQTDPNWSNFLYDEATKTINLIDFGAARDYPKRFVDDYLRMVMACANKDREAVLEMSKRLGFLTGMESEVMLEAHVQAGFVVGLPFSKLGGHDFRSTNITQSLTNLSSTMLRHRLTPPPDEAYSLHRKLSGAFLACIKLGAVVPCRELLLEVYEHYQFGEDEEMLSSASVS >OMO64141 pep supercontig:CCACVL1_1.0:contig12859:5523:5669:1 gene:CCACVL1_21987 transcript:OMO64141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTLVPTQNSASQPINLHTISRNPRSQKAKSTVTTHGVAPRGHHRYP >OMO64151 pep supercontig:CCACVL1_1.0:contig12859:59472:62147:-1 gene:CCACVL1_21997 transcript:OMO64151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 5 MKKGGLLVLLVLTVIIIFQYGGVCHARPQPGGGTCGGCFVETRGTTFVKNGRPFYLNGFNAYWMMVFASDNSTRSKVTDAFKEASKYGMNVARTWAFNDGQDYKPLQISPGSYNEETFKGLDFVVAEAKKYGIQLILSLVNNFKDYGGKDKYVEWAKQQGENVNNEDDFFTNCLARRFYKNHVRKVLTRVNTITGVAYKDDPTIFAWELMNEPRCPSDPSGTHLQIPQVDFSTIHLYPETWLPSNDVSETSQLAFAEKWIQAHIQDSNSIVKKPLVIGEFGRSSKYPEYSLEKRNQYFQKIYNDIYNSARTGGSFAGGLFWQLLSPDMENMGDGYQVVLQQNPSTANIIAQQSQKLSSLT >OMO64162 pep supercontig:CCACVL1_1.0:contig12859:117521:118563:-1 gene:CCACVL1_22008 transcript:OMO64162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDESMTVVSSMQEDEYDDLDVGFDHDHENQTHPHNLSRLSMCTSSMYTNEDVDDDDMGMFMSRLSIESFDADVDEEFSYKETKGMLELSSDSDKEPTCYSLPATPPRRRNRTGVLSHQLMGVVKDYASENEAQKGGFGRPKGNRNLRKRRIIRERWSEKESKSSSNFSSKKKDGGDSFMFANYSNYSGSFSGESEGGGSTAGGVVVITRPKGGRRSLCMDLEEVKACRDLGFELEHERMLEMPGRVSLSAGSTLDNNSSGGNSPIANWRISSPGDDPRDVKARLKVWAQAVALASTSRNCS >OMO64167 pep supercontig:CCACVL1_1.0:contig12859:149631:151756:-1 gene:CCACVL1_22013 transcript:OMO64167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDYYVGNGIITLMVVLLVRKIFQAFKEAVWRPYALTRFFKKQGIAGPPYSGSVDKIKRLRMAAARIVLDTNSHDIVPRVSPHFHKWSSQYGKIFLYWEGQPRLIISDPELAKEILSSKFWFYKKPFFSHRIEMFSGKGVASLNGSDWQRHRKILNPAFSMDKLKLMVDTMATSTISMLEEWTSQAKEGSKTIEMMEELEKLTSEIIGHAGFGISYEMGKEAFHAQKMIQRLALGSHATSYIPGNQYLPTASNRQLWKLDKKLRSTLRGIVERRLDSKESNNLQFPYGDDLVGILIAHLKSNEPADTSYKLTMDEIVEECKTFVFTGHDTISKLLTWSIFMLSSNPEWQAKLREEVLRECGMKIPDADMLSKLKLMHMFFLEVLRLYSPVVMIMRQASEDMKLGSLTIPKHTRVVLPILMIHHDKDYWGEDANEFNPLRFKDGISKASKCPNAFLSFIAGPRVCIGQNFAMLEARTVISVILQRFSLSLSPEYKHTPISYFILQPQSGLPVIVKPLNV >OMO64153 pep supercontig:CCACVL1_1.0:contig12859:70545:71069:1 gene:CCACVL1_21999 transcript:OMO64153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDIEEDQLSNGTVMEITEKEQSETNNVGSEEMEANISQILEKIDRFTQLVSELLESGKTMFRELSNEFEERLFMIHKEQMEKWQEEIKELRLIDASNEDSNSLLNNARYLLHNPLFDS >OMO64145 pep supercontig:CCACVL1_1.0:contig12859:16496:18691:-1 gene:CCACVL1_21991 transcript:OMO64145 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 3 MHSAPPLSLPRMATSFTISRCSASACQRAVVFLSRTQRCHSFSPRTAKFRFLKLNHLTRNCSSSPKAPFIVADDEKYGNKQVISITPRLYDYILGNVREPQILRQLREETANMRGSQMQVSPDQAQLLAMLVQILGAERCIELGVYTGYSSLAIALALPESGCLVACERDARSLEVAKKYYALAGVSDKIIVKHGLAADALKSMILNGEACSYDFAFVDAEKKMNQEYFELLLQLVRVGGVIVIDNVLWHGKVADPLVNDAKTVSIRNFNRSLMEDNRVSISMMLKMLASETCL >OMO64149 pep supercontig:CCACVL1_1.0:contig12859:35547:38330:1 gene:CCACVL1_21995 transcript:OMO64149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPNPLLFFATFSLLLSTFHLKVIAVEQTSEVNLNSRILQDSIVQQVNENPKAGWKAALNPRFSDYTVNEFKHILGVKPTPKRELLGVPVVTHDRSLKLPTSFDARTAWPQCSTIGRILALSDRFCIQYGMNISLSVNDLLACCGFLCGSGCDGGYPISAWRYFKRSGVVTEECDPYFDDTGCSHPGCEPAYPTPKCVRKCVAGNLLWKQSKHYSVSAYRINSDPADIMAEVYNNGPVEVSFTVYEDFAHYKSGVYKHVTGGVMGGHAVKLIGWGTSDDGEDYWLLANQWNREWGDDGYFKIRRGTDECGIESEVVAGLPSNKNLVVEAADDMDTSKYASI >OMO64164 pep supercontig:CCACVL1_1.0:contig12859:129126:130635:-1 gene:CCACVL1_22010 transcript:OMO64164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQEEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRQRGIDPVTHKPLSEVENGEDKSQQQTSNSSRDKVSGATTTSELNLNAADNLKPGDQRQPSVTYQQLEMEGCSSSKPMNSNNNNSNKDFFLDLAGHHHHHLPIQQLNYASSNARLSSSTSNPTLWFNQTSKAFDINSEFSSSPISTLLPPLTSSFLSSPMGYKPSSVSVSSDSPSMASFTVNNGSRYWETAASAANNSNSSSSTELQSNSSFFENGSFSNWGLGDCSTSEKEAPIHLMESQGEEIKWPDYLNNPLLMAAALQNQTPQSLYNIEIKSESHFLTNNTSSVSSNSLWPHNQQQQEPLKNSDICAKDIQRLTAAAYGHI >OMO64140 pep supercontig:CCACVL1_1.0:contig12859:1966:3094:-1 gene:CCACVL1_21986 transcript:OMO64140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLYWTVIPVMLVHYYATHPLAQFDQWRSKIVIVLTWVWSIRLTHNYFRREKWEWGAREDWRFADMRRQYGKHWWWISFFAVYFSQQIFLMGICLPLYVVHSVNKPLNIWDLVAAGVCLCGIVIAYFADTQLHNFVTRNSKLKELGKPMVLNLDRGLWQYSRHPNYFGEQLWWWGLAIFAWNLGHGWTFVGSLINSMCLAYVTVLVEQRMLKQEHRAEAYRLYQKTTSVWIPWFRSSAIAVKDKDT >OMO64155 pep supercontig:CCACVL1_1.0:contig12859:83085:87396:-1 gene:CCACVL1_22001 transcript:OMO64155 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MGNGTSRVVGCFVPFNGKSGVDLDFLEPLDEGLGHSFCYVRPTIFDSPAITPSNSERFTVDSSTLDSETLSGSFRHDTIEEPSGLQRQCKSFPETTFKTISGASVSANVSTARTGNQSAMFATDVQEPAASFESTSSFAAIPLQPVPRGSGPLNGFMSGPLERGFASGPLDRGGGFMSGPIEKGVMSGPLDATDKSNFSAPLARGRRRPRFQRFMRSVSGPMKRTFSKHSMGSGWMQRFFLHPVTQLAWHAKEPKFRSELSRNGLDGGASEGEYGNSRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSHLYKAIDKELEGLLWDYDDKSGIDPFKADICRSGNLDTGSDCSKGDQSNSCSNQEISSSMGDSLNRENSRGRSSTNEIVEENDVGVIMEQKLSNCRNSKLGVESESALGAILAGKGRKSMRLYELLQMEPWDGEGSLSVSVMDRQGNGSRDCQPISDNIECNNSSNSKDDSSSIRGEDPTTSGEDSGTGLDFDNQNAAAAASMEGQRQSTRKSLIGSKIRKIYRKQKSLRKKLFPWSYDWHRQEICVDERIVESSGPIRRCKSGVVDHDAVLRAMARALESTEEAYMEMVEKALDINPELALMGSCVLVMLMKDQDVYVMNLGDSRVILAQERPNDRHPNPNFARDDLRHRNRSRESLVRMELDRISEESPMHNQHGQLSIINKNRDISICRLKMKAVQLSTDHSTNIEEEISRIKAEHPDDDKAVSNDRVKGQLKVTRAFGAGFLKKPTCNEALLEMFRIDYVGDAPYLSCIPSVVHHRLSSSDRFLVLSSDGLYQYFSNEEVVAHVTWFMENVPEGDPAQYLVAELLFRAAKKNGMDFHELLDIPHGDRRKYHDDVSVMVVSLEGRIWRSSG >OMO64148 pep supercontig:CCACVL1_1.0:contig12859:30493:34692:1 gene:CCACVL1_21994 transcript:OMO64148 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH interacting protein 1 MGIQKIHHLKSRGSSSQSHIPGSLKCEAKGMEDHPVFGADSGDDLDDCDLKEISCELGMVEVPNDGCLLNEDVHADAIRCNLPKKMKTLESVRAKNIVASPSTNGMNIIAPNYSTKGVVKVKTYGNANHNHNQKIVLGDISEQCRTVPKGLLKVVQKGPSVQPELPKVFSNRSQTASLVGAQDLQDCKVSYSPASLYLGNAGGFSGTPFMWQKVSGSKLNLEQSQCALSRQHGTFRSSRYLQSSGEDISKEVDIADLDKHKLFGHGEESVTGSKRYNFGGQSLWQNFDMGKKKLFERSLELYPFADQFHEGEKHSRIMQRECITIFPRVPEAVSRNSGIGGGLQEKLMAFPNQMKSPCDYSVGNSENSSKPSVSERLKYDLTLPLTYKRRKPQAKSSSEFAHSLMKDTEIRDGSPKESKRLSGENLKALRVKFMGWETPMSKICEALNRCGKKAEALAESVWNHLRVSPSIADAAVARLAQGTKVLAEGGHDKLFQHTFQTLAGEKLLHAYVCYLSTSSGPVIGTLYISSKRIAFSSDYPLRYTSSPGHQQWMYYKVVVELDKLATVDPSANRLNPSEKYIHIVTTDGYEFWLMGFISYDKALKSLNEALRHSRHESSIPVL >OMO64144 pep supercontig:CCACVL1_1.0:contig12859:13548:15273:-1 gene:CCACVL1_21990 transcript:OMO64144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ion channel regulatory protein, UNC-93 MAVERYNSPLVQVCLIGLVCFCCPGMFNALSGLGGGGQVDDTAANNANTALYTTFAIFGILGGGIYNIFGPKVTLAMGCSTYVLYAGSFLYYNHHKDQTFAIIAGALLGVGAGLLWAGQGAIMTSYPTRGRKGSYIALFWTIFNLGGVIGGLIPFILNYHRETAETVNDQTYITFMCFMTAGAIISLAILNPDRVVRDDGTRCTNMKYSNVKKEAIEIFKLFRNWKLRLIIPAAWASNFFYTYQFNNVNGKMFNLRTRGLNNVFYWGAQMIGSVAIGYFLDFSFKSRRKRGFVGVGIVAVLGTAIWVGGYKNQKKFSFHHLPDRLDFKKSGTDFAGPFVLYFSFGLLDSMFQSLVYWMIGALADNSEILSRYVGFYKGVQSAGAAVAWQVDRVKVPMMNQLVVNWVLTSVSYPLLALLVYLAVKDDDGKSAAEADTASGIPAPDSNKDGYKELAKPTPSMESNVS >OMO64156 pep supercontig:CCACVL1_1.0:contig12859:95541:97549:-1 gene:CCACVL1_22002 transcript:OMO64156 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclase/dehydrase protein MRALPTPLSLTAVSTVALAPSSTIPRLLLSPPIALKTSSPFISTYYFHRFRFSKFRPTPFCISNSDDTSSSDTDEDDDDFCFVDDDDDGFVLSDEKTQSVSEDGVFIEIKKLGANSRKIRAKIGIEASLDTVWNILTGYEKLADIIPGLAVSKVVEKKDKFARLYQIGQQNLPLGMKFNAKGVIDCYEKDLEILPSGKKREIQFNMVEGDFTRFEGSWLIEQYNKGKCEGNEAYLGQEFQTTLSYLVDVKPKMWLPVRLVEGRLTSEIKTNLCCIREEARRVISALSSL >OMO64147 pep supercontig:CCACVL1_1.0:contig12859:21760:26802:-1 gene:CCACVL1_21993 transcript:OMO64147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSQTLTGATASSSSLRRSRLYGARSPASYRVLRTVATLKVPGIPPGLRAISTEPLESRNDGVKLTQSDTDDLVPRNKNDKQGGEEEDDEEKEEEKPGISSIQVSRQKYIPISKAELLDAIVSRLFDSQDKDALHFRLLSSCLDSILHAEHKSILEQMRTDYYHPHSEERKRKKSAVSESELTGDGMEPEAWFNYSLRNFLNSSAKNGRRYTDDKVAVSSRFQRAFMQLLNDAQFEELSIRDLMLTNALNTDYLLTLPIYVDWKRASESNAIIFRRGYSTERQKGLLIVEKLDYLQSRLLRGIFSILSKPLGKVGKWITQNLNEASQKEETQDLIRRLKLWLEEVSLFQQSYFNYEQNSENLLGVDKLSDRDLPIWLAAQSAVSRYEGFLSSVGPRGRLLRKLFARIGLIPPTPETPFQLESDNSVSEPYLRPTFLSRISLSDIWRPATRKYCGNDVWKMLKTSVSILLSRSVLQEPAFQELILLYTTDSAEGDTEDSSEAQALQLEIYERIPIPDLPVIFPHKKLSFRIIDTVRLDVASILGLLAFVINYKFENISSSPSAIVLDAVAITALLVYVFRVALGYKQTWDRYQLLVNKTLYEKTLASGFGTVHFLLDASEQQQYKEAILTYAILLNMENSQVSCRQEVGDKCERFMYDVFKHKVEMPVDKALNTLLRLGLVTEKIVDGRPKLQAIPCPKAYEALKDRWDSLLG >OMO64150 pep supercontig:CCACVL1_1.0:contig12859:42977:58859:1 gene:CCACVL1_21996 transcript:OMO64150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyl transferase MSIPKEPEQVMKMRGGSVLGKKTILKSDHFPGCQNKRLKPQIDGAPNYRQADSLRVHGVAIPTIVGIRNVLKHIGAQKDGKQARVLWISLREEPVVYINGRPFVLRDVERPFSNLEYTGINRDRVEQMEARLKEDILMEAARYGNKILVTDELPDGQMVDQWERVSTDSVKTPLEVYEELQLEGYLVDYERVPITDEKPPKELDFDILVNKISQADISTEVIFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTNSVGRVFESGSNVTDNLPDSEEAIRRGEYAVVRSLIRVLEGGVDGKRQVDKVIDKCASMQNLREAIATYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYIHSERAALRASSCGHTSFADWMKARPELYSIIRRLLRRDPMGALGYASLKPSLKMLVESADGRPHEMGVVAALRNGEVLGSQTVLKSDHCPGCQNASLPERVEGAPNFREVPGFPVYGVANPTIDGIRSVIQRIGSSKGGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRERVERMEARLKEDILREAERYEGAIMVIHETDDGQIFDAWEHVNSDSVQTPLEVFKCLENDGFPIKYARVPITDGKAPKSSDFDMLAANVASASKDTAFVFNCQMGRGRTTTGTVIACLVKLRIDYGRPIKVLLDEVSHEETDGSSSSGEENGSSATRLTSGTVKVRTENEHGRAFGIDDILLLWKITRLFDNGVESREALDSIIDRCSALQNIRQAVLQYRKVFNQQHVEPRVRRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGECMMTFKTWLHQRPEVQAMKWSIRLRPGRFFTIPEELRAPQESQHGDAVMEAIVKARNGCVLGQGSILKMYFFPGQRTSSHIQIHGAPHVFKVDGYPVYSMATPTTMGAREMLAYLGAKSSAGVAGQKVVVTDLREEAVVYINGTPFVLRELNKPVDTLKHVGITGPVVQHMEARLKEDILSEVRQSGGRMLLHREEYSPSLNQSNVVGYWENIFTDDVKTPAEVYAALRDEGYNIAYRRIPLTREREALPSDIDEIQNCQDDSSGRYLYVSHTGFGGVAYAMAIICCRLDAGKNFGTSRVAQSLADAHLNSAPEENLPSRTSDKEALRMGDYRDILSLTRVLMHGPKSKADVDIIIERCAGAGHLRDDILHYNMELEKARDDDDEHRAYLLDMGIKALRRYFFLITFRSYLYCTSPAETKFTYWMNSRPELGHLCLNAYYVNESNSVSADEQAREERQTSWKRTSKKVGIIGQSNSAKSGIRLGKTNFPRRKCAIRRVTTTSAVLADVAKDFMAFKENILPKKEADPKTVASIILGGGAGTRLFPLTRRRAKPAVPIGGCYRLIDVPMSNCINSGINKIYILTQFNSQSLNRHISRTYNLGNGVNFGDGFVEVLAATQTSGESGKKWFQGTADAVRQFIWLFEDAKHSHIENILILSGDHLYRMDYMDFLQKHLDSGADISVSCLPVDESRASDFGLLKIDENAQIRQFLEKPKGESLKSMQVDTTILGLSAQDAKKSPYIASMGIYLFKTEVLMKLLRWHYPAANDFGSEIIPLAMKDYNVKAYLFDDYWEDIGTIKSFFDANLALTDQPPKFHFYDPLKPIFTSPRFLPPTKIEQCRVVDSIISHGCFLRECSVEHSIVGIRSRLEYGVELKDTLMMGADDYQTEAEIASCLAEGNIPLGIGRDTKITNCIIDTNARIGKNVVIANKDKVEEADRPSEGFYIRSGITVVCKNSVIRDGTII >OMO64146 pep supercontig:CCACVL1_1.0:contig12859:18994:21337:1 gene:CCACVL1_21992 transcript:OMO64146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAFAASVYPAANARRAIHEIKPLSSKLFTTKKRSFRNKFVCSVAVTPDGNRVSEFELENKKHDLLLAVQDTQRGLVATADQRSIIEEALVSVEGYNMGAPIDLVTLDGTWRLQYTSAPDVLVLLEAAARLPFFQVGQIFQKFECRDQLSGGVIRNVVRWSIPNLLEEQEGATLVVSAKFDVVSVRNINLQFEEIKIQDINISEQLQALIAPALLPRSFLSLQILQFLRTFKAQVPVTNPATGRRSVAGLYYLSYLDRNMLLGRAVGGGGVFVFTKAQPLEL >OMO64161 pep supercontig:CCACVL1_1.0:contig12859:116005:116163:-1 gene:CCACVL1_22007 transcript:OMO64161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLEDANEIKKALSRVRRVNVNLVDREIVMVDITSLQRKIPISVSRRRGTT >OMO64157 pep supercontig:CCACVL1_1.0:contig12859:100078:101822:1 gene:CCACVL1_22003 transcript:OMO64157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGFSLQMVNCPRLIFQGQDISNVHRPHMSGVSQTRCLSSPGKALAAKLNYASSFPLTQLSHKSPSLKYRRMVCKSMANDVELQAKLTSKCFFDVEVGGEPIGRIVMGLFGDVVPRTAENFRALCTGEKGYGFKGCSFHRIIKDFMIQGGDFTNGNGTGGKSIYGSKFEDESFALKHVGPGVLSMANAGPNTNGSQFFICTVQTPWLDNKHVVFGHVVDGMDVVRKLESQETSRMDTPRVPCRIVNCGELPLDG >OMO64152 pep supercontig:CCACVL1_1.0:contig12859:65024:68386:-1 gene:CCACVL1_21998 transcript:OMO64152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPMFQKLGLSLDILLFSILVSLFMCKAVTLAAILNDKDALLQFKSAIVEDPLRLTSSWNPNDKDPCFWHGVTCDPLSGRVISLNLSSNLNSTCSVLTLSTSTKTVAAGPVGGNFTLFYPCLHVAADGNISFSTKLRGKLSPAIGYLTKLRVLSLGFNGFFGKLPLEIGKLSLLEILDLGFNAFHGPLPASLKNCIALRVINLSGNQLNGTIPTIFGQFVGLQVVDFSFNEFSGEIPEGLGKNCGSLMHLHLASNGLSGSIPSNLGNCGELKSLILSSNMLQDDIPLSLGKLENLEALDLSRNFLSGAVPPDLGNCKQLKLLVLKNNYGPLYSRNESSLTFLKEESGLEDFNYFEGELPESIVQLPSIHVLWVPNANLEGSFPQKWGSCSNLEMLNVAQNFLTGQIPVSFGKCKSLYYLDLSSNNLTGLLPTEVSVPCMVVFNISHNSLFGNVSRFSYECSNSSIYLSASNVGLVGLYSSFFYRNALMNVGHFPLPSSEFVVLHDLSKNQFTGAVPPFIISSYSLSVKPHYGFWLNGNNFEGNLSAYSFDPCRSLEGLIFDISNNKIEGQLPSDMGSTCRRLKFLSIASNEFVDSIPTSFTNMASLVMLNLSENRLQGSIPSYIGEMKDMRWLSLYSNNFSGAIPQVLAQLPSVEVLELSSNSLSGGIPPDLTKLNHLSVLRLDHNKLTGPIPSGFSNMTTLSVFNVSFNNLSGPVPLNSNSINCESVKGNPNLQPCHPDESSSDLERRHFGNISQQGAYSPMASIQKKGSGFTPLEIASITSASIIFSVLVALVFFLVCMKKFACNSVSDQVSGRKEVVTCNSIGVQLTYENVVRATGCFNLQNCIGSGGFGATYKAEITAGVVVAVKRLSVGRFQGVQQFAAEIKTLGRVQHPNLVTLIGYHVSEAEMFLIYNYLPGGNLEKFIQERSRRTTEWSMLHKIALDIARALTYLHDECVPRVLHRDIKPSNILLDNNFNAYLSDFGLARLLGTSETHATTDVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSSFGNGFNIVSWASMLLGQGRGCEFFTAGLWDSGPQDDLIEVLHLAVMCTGEYLSSRPSMRQVAQRLKGLQPATS >OMO79062 pep supercontig:CCACVL1_1.0:contig10515:375:5238:1 gene:CCACVL1_13923 transcript:OMO79062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASDAIASAVNPRMGIPEPTEKDSAKTQAAAETQNPEAAVEKWPGWPGICVFRLIVPVLKVGSIIGRKGELIKKMCEETRARIRILDASAVSTPDRIVLISGKEEPEAPLSPAMDATIRVFKRISGLPENDGDAKAAGVAFCSIRLLVASTQAINLIGKQGSLIKSMQESTGASVRVLSEDEAPSYVVADERIVEMQGEALKVLKALQAVVGHLRKFLVDQSVLPLFEKTPNAMVTQDLQAETRAEKLSLFTASQIGIDLPVTARRDSLFLERETQLESRIPSSGISVYGQDPAISTIHSTSGLVRSMVPVVTQIAQTMQIPFSYAEDIIGIGGANIAHIRRASGAVITVQESRGQFDEITVEIKGTSSQVQIAQQLIQEYMSQDKDPVMSSSYGDTSYGSLFSQLGSTSYSSSLSTQPYGGYGGSSSVGGGYSTFRL >OMO93278 pep supercontig:CCACVL1_1.0:contig08110:20362:24178:1 gene:CCACVL1_06552 transcript:OMO93278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFTSQMVPEWQDAYMDYNSLKTLLKELHGFKQKARPAAAASGGLNRKMTLYRAFSGLTQRYNNNSGPLTPSSPDIEDQQQPILVNSVSRNGSQSYQTTFLMQADDGGEYELVFFRRLDDEFNKVDKFYKSKVEEVKKEAEILNKQMDALIAFRIKVENPQGWTWEERSGELTRLASNVAASAAALAASTPAGARASRKMDHLDIIEEGPSIHEESDEDKDQEKQRNHEIEMKNVQVQNPVVKKAKARKPAPLQILNQVKMNNTLETPRSTIKGVLNVPNHTELEFNRKNLRKVENQLQRAFVEFYQKLRLLKSYGFLNTLAFSKIMKKYDKITSRNASKSYMKMVDNSYLGSSDEVTRLLERVESTFIKHFANSNRSKGMNVLRPKARIQRHRITFSTGFFAGCTFSLLLALILIIRSRHLLDKKSEMEWNKYMDTLFPLYSLYAFIVLHMVMYAVNIYYWRRYRVNYAFIFGFKQGSELGYREVLFVSFGIAALALGAVLSNLDMQVNPKTKDYNPASEIIPLILMVVMFVVLFLPVNIVYKSSRYFFLACLFRCICAPLYKVILPDFFLGDQLTSQVQAFRNIEFYICYYGWGDYGRRENSCKQNGVFNTFNFIVAVIPYWARLLQCLRRLIEEKNPEQAYNGLKYFITIAAVCLRTAYSLNKGYAWGVLAVIFSVSAAIYGTYWDFVHDWGLLKRNSKNRWLRDKLLIPQKSVYFGAMALNILLRFAWFQTLFNFDLFALHKQTWITIVACLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFNYDEDEDKDD >OMO93277 pep supercontig:CCACVL1_1.0:contig08110:13985:17799:1 gene:CCACVL1_06551 transcript:OMO93277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFASQMVPEWQEAYMDYDYLKTLLKEIHIFKHRNRPAAATGPNGLTRSRSLYQAFSGLIHRHHHSGPLEPTSPDIEDHPILVNPIVRDGSHRYQTTFLKQTEDGGEYELVYFRRLDDEFNKVEKFYRKKVEEVTKEAEVLNRQMDALIAFRIKVDNPQGWSWDDRSGDLTRLATDVAASTAALHASTPAGRVDHMEIIDEGPSYHERSDEDKEEQESNHKKENVEVQMAIVVHKAKARRPAPLQILNQVKMNNTLETPRSTIKGVLNVPKHTELKFTRENLTKVENQLRRAFVEFYHKLRLLKSYSFLNTLAFSKIMKKYDKITSRYASRSYMKMVDNSYLGSNEDVAKLMERVEATFIKHFANSNRSKGMNVLRPKARKERHRITFSTGFFAGCAFALVLALILMIRARHIMEEALKEERNKYMETLFPLYSVFGFIVLHMIMYAANIYYWRRYRVNYAFIFGFKQGTELGYREVLLVSFGIGTLALGSVISNLDMQMDPKTKDYKTFTELVPLILVGVLFIMLFLPFNILYRSSRYFFLTCLFHGICAPLYKVTLPDFILADQLTSQVQAFRSLEFYICYYGWGDFRHRESTCKQNDVFTTFSFIVAVVPFSIRLLQCLRRMFEEKDIVQGYNGIKYFITIVALCLRSAYSLNGGLGWEIVAIIFSVAAAIVGTYWDLVYDWGLLQRNSRNKWLRDKLLVSRKSVYFGAMALNCLLRFAWLQTVFNFNLFALHRQTLITIVASLEIIRRGVWNFFRLENEHLNNVGKYRAFKSVPLPFNYDEDEDKDE >OMO93279 pep supercontig:CCACVL1_1.0:contig08110:25473:25946:-1 gene:CCACVL1_06553 transcript:OMO93279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPNPESADPQTPKTLSDPSTGKSKPVGPDADQPKVESSNSGDEKKEVEGEGEGEEEDIGECGFCLFMKAGGCKETFVAWEKCVDDAEKNSDDIAAKCMEATAALHKCMEAHADYYAPILGAEKAAHEQAIIELENEKAAKDSEQNPEPKEDSKKN >OMO93276 pep supercontig:CCACVL1_1.0:contig08110:1857:5260:1 gene:CCACVL1_06550 transcript:OMO93276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKEFASQMVPEWQDAYMDYDYLKTLLKELQRFKHRTKPPPSAAAPGGLTRKMTLYRAFSGLTQRAHHNHNPTSPGESPDIESQPILVNSVHRNGSQSYETTFLMSSDEGGEYELVYFRRLDDEFNKVEKFYRAKVQEVMKEADILNKQMDALIAFRIKVENPPEIFDRSVEMTRLASDIAASAAALSAATPSGARASKRAPHLEVIEESTHSRADDDDDGDDEKDDQKENRKTPPPVTHHHVKENKPNKTKGIRPAPLEVLDKVKMNNTLETPRSTIKGVLKVPNHKELSFNRENLRKVEEQLKRAFVEFYQKLRLLKSYSFLNTLAFSKIMKKYDKITSRHASRSYMKLVDNSYLGSSDEVTRVMERVEATFIKHFSNANRAKGMNVLRPKARRERHRVTFSTGFFAGCAASLFLALILLIRARKIMDKTGRTQYMETMFPLYSLFGFIVLHMVMYAINVFYWRKYRVNYAFIFGFKPGTELGYREVLLLSFGLGALALASVLSNLDMEMDPKTKDYKAFTELVPLFLVITVFVILFLPFNILYRSSRFFLLTCLFHCICAPLYKVTLPDFFLADQLTSQVQAIRSLEFYICYYGWGDFKHRENTCQTSGVYNTFYFIVAVLPYLARLLQCLRRLFEEKDPVQGYNGLKYFLTIAAVCIRTAYSLDKGVAWRVIAWIVSAIAAIFSTYWDFVYDWGLLNRHSKNRWLRDKLLVPHKKVYFIAMGLNVLLRFAWLQTVLNFKIGLHRQTLSTLVACLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFNYDEDDDKDE >OMP07966 pep supercontig:CCACVL1_1.0:contig04110:1185:1256:1 gene:CCACVL1_01184 transcript:OMP07966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLCINAGHCLPLSTFSHQTTSS >OMO91931 pep supercontig:CCACVL1_1.0:contig08284:916:1179:-1 gene:CCACVL1_06953 transcript:OMO91931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNQNRQERKMRGNLTSASSSASHACNKIHLQ >OMO91932 pep supercontig:CCACVL1_1.0:contig08284:4992:5764:-1 gene:CCACVL1_06954 transcript:OMO91932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKALKEVGIVSKSKAIQLAED >OMO60648 pep supercontig:CCACVL1_1.0:contig13693:28610:30158:1 gene:CCACVL1_23989 transcript:OMO60648 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MEDTLGLLRIKVVRGINLAVRDTVASDPYLVITMDNHKLKTRVVKNNCNPEWNDELTLSIKDTNAKIHLTVYDKDTFTVDDQMGNAEIDIKPYIAALKLGKSLQNLPMGCALKRIQPDQTNCLADESSIVWNNGKITQEMRLKLRNVECGEVVIQLEWIEVAGCKGLGTDEVVNDPRSPVKPWNHHIRRHR >OMO60649 pep supercontig:CCACVL1_1.0:contig13693:31801:32992:1 gene:CCACVL1_23990 transcript:OMO60649 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MEDILGLLRIKVLRGINLAVRDTLSSDPYVAVTMDNFRLKSRVVKSNCNPEWNDELTLAIKDPNVPILLTVYDKDTFTEDDQMGNAEIDIKPYIAALKMRKDLQNLPMGHALNRVQPDQTNCLADESSIVWNNGKITQEMRLKLRDVECGEVVIQIEWIDGHGCKGLESYEIQF >OMO60645 pep supercontig:CCACVL1_1.0:contig13693:866:997:-1 gene:CCACVL1_23985 transcript:OMO60645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYCKRVELINWYGTRIIKCQGCPTGGRLIDQNGPAIHRFTP >OMO60647 pep supercontig:CCACVL1_1.0:contig13693:13290:13978:-1 gene:CCACVL1_23988 transcript:OMO60647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYKQKKNQSAKGNRLLISITVLGSAGPIRFVVNEEELVAAVIDTALKSYAREGRLPVLGSDLNDFLLYCPSAGSDALSPWETIGSLGARNFMLCKKPKTEKIEDDGRPTEAIPRKGSGNWKAWINKSLNLKISSH >OMO60650 pep supercontig:CCACVL1_1.0:contig13693:36107:39522:1 gene:CCACVL1_23991 transcript:OMO60650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-D-phosphohexomutase superfamily MASTSTTPIPLRGNGRNPSFSPSSPAKIAFQKPHRFPFSSRSSKLIITCVKSPSSINSVKYNEVVVDEEIDKIKRLQNGSDVRGVALEGEKGRTVDLTPPAVEAIAESFGEWVTNALEEERGRPVEDVKVSLGKDPRISGASLSVAVFAGLARAGCLVFDMGLATTPACFMSTLLPPFSYDASIMMTASHLPYTRNGLKFFTKKGGLTSPEVEEICDKAARKYANRLTKVSTMLKSPPRKVDFMSAYANHLREIIKERVNHPVHYDTPLKGFQIIVNAGNGSGGFFTWAVLDKLGADTFGSLHLNPDGMFPNHIPNPEDKTAMALTRASVLENSADLGIVFDTDVDRSGVVDNKGNPINGDKLIALMSAIVLKEHPSTTIVTDARTSIALTKFITDRGGQHCLYRVGYRNVIDKGVQLNNDGIETHLMMETSGHGALKENYFLDDGAYMVVKIIIEMVRMKLAGSDEGIGSLIKDLEEPLESVELRMDIVSEPKYAKARGTEAIETFRSFVEEGHIEGWELDSCGDCYVRDGCLVDLNDRPAAVDAHMYRVRVSNEKNEEVGWVHLRQSIHNPNIAVNVQSTVPGGCQLMTKVLRDKFLMASGMDKFLDISQIEKYARNGNMG >OMO60646 pep supercontig:CCACVL1_1.0:contig13693:3037:4914:1 gene:CCACVL1_23986 transcript:OMO60646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MKRDHYHLQPNNNPEPSTVGSSSACGGSTNGKAKMWEEEAAQQDCGMDELLAVLGYKVKTSDMAEVAQKLEQLEEVMCNVQDDGISHLASETVHYNPSDLSTWLESMLSELNPPNTFDPIVSSGPALPAALDDSFLGPAESSNLTTLDFDTSNQNKGRRLFEEASCSDYDLKAIPGKAIYSQKSQTQTQTQTHDSSSSSSLNNVKSEKRLKPSSGSHSDIFPPAAAAASSSSYGISAESTRPVVLVDSQENGVRLVHALLACAEAVQQNNLNLAEALVKQIGFLAISQAGAMRKVATYFAEALARRIYRLYPQNPLDHSLSDVLHMHFYETCPYLKFAHFTANQAILEAFEGKKRVHVIDFSMNQGMQWPALMQALALRVGGPPAFRLTGIGPPSHDNSDHLQEVGWKLAQLAETIHVEFEYRGFVANSLADLDASMLDLRPSEVEAVAVNSVFELHKLLARPGAIDKVLSVVKQMKPEIVTIVEQEANHNGPVFLDRFTESLHYYSTLFDSLEGSVSSQDKVMSEVYLGKQICNVVACEGPDRVERHETLAQWRTRLGSAGFAPAHLGSNAFKQASMLLALFAGGDGYGVEENNGCLMLGWHNRPLIATSAWKLANKTTAVATH >OMO60644 pep supercontig:CCACVL1_1.0:contig13693:227:355:1 gene:CCACVL1_23984 transcript:OMO60644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDAKGRRPSTPLSQGKGGMVKRPPRLGGTPFPSQSPSQQSR >OMO97061 pep supercontig:CCACVL1_1.0:contig07304:50743:54065:1 gene:CCACVL1_04673 transcript:OMO97061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMFSPTVKVRYSRAEERDKLRDSSELSSKR >OMO97065 pep supercontig:CCACVL1_1.0:contig07304:66978:67040:-1 gene:CCACVL1_04677 transcript:OMO97065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPFKPETQFEPSLGPPPP >OMO97059 pep supercontig:CCACVL1_1.0:contig07304:14560:20453:-1 gene:CCACVL1_04671 transcript:OMO97059 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MRNGKAILKEKPTAVTEDNTDDEKYLYESWERSNRLALNLMQMSMADTVKPSVPKTNNAREFMQKIKEYSQSDITDKSIVGSLMNFGQFQVNYNSLKEKWNFQEIKAMLVQEEGGLKNMKEQTVHLMMHEGDSSSKAEPGRKDKDKRKDNSSLKVKEGHVRKEMRCFFCKKIGHLKKDCPKRKAWFEKKGSKRSAHIENSAFLWHQRLGHISNERIMRLVKGDILPQLDFVDWDVERQLDRKVKVVRSYRGGEYYGKYDKSGQCPGPFAKFLESQGICAQYTMPGTPQQNGVAERRNRTLMEMVRSRKPSLRHLHVWGCPAEVRIYNPHEKKLDARTISGYFIDYPEKSKGYRFYYPNHSTRIVESGNASFIENGQFSGSGEQRKVDIKEIQGETPMSNDSHQVVVPLVVSQLHNVREQRIHVRNLQGEHEGVDPPNQQDEPANNTQVEIEQTVNEPQEVALRRSVRQRRPTISQDFEVYSIEHECDLSIDDDPVSFKQAMECNNSEKWLNAIKEELKSMDDNKVWELIELLEGSKRVGCKWVFKTKRDSKGNIERYKARLVAKGYIQKDGIDYKETFSPVSKKDSLRIVMPLVAYYDLELHQMDVIGYSDSDYAGCVYSRKSTFGYLFLLAGEGVSWKSGKQSVIATSTMEAEFVACFEATIHGLWLRNFISGFGVVDSIARPMGIFCDNFAAVFFSKNDKYSKGAKHMDLKYLSVKKEVQKRTVSIEHIGTDLMVADPLTKGLPPKTFVGHVERMGLIDKSLLA >OMO97064 pep supercontig:CCACVL1_1.0:contig07304:65409:66127:-1 gene:CCACVL1_04676 transcript:OMO97064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGCYIRKLRRGGGSHFRRGVLGLEGRARVMKSHWRRPLPMAKCAK >OMO97057 pep supercontig:CCACVL1_1.0:contig07304:6314:7522:1 gene:CCACVL1_04669 transcript:OMO97057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPRNFNRALMVLQDFDGMVTPESIDLDYCPFWMQIHGLPLAFMTERIAKGIGSSFGSVEEVDATHENLAWGKFLRIKIWLKVSNPIPRGSRINLPMIGDTFVPFLYERLPDFCYICGRLTHSESDCEMLYTMKRVKGKAVREYGPWLRAEFSQASLTDSDGPGLSFITPQAIQRQNDGRNKLKMVMENVTESSCSVRVQAGKTHCGKLVIEDNCGNSGLLENNVVNCTKLIVDIPVPMQQSLPTSPDPLPKNLDVHHHVNNISENLAKQLLDEQIISEIGDTVDNLQSILMNNSGANLTVNKSSGPGDGFVFDSQPHSSRGVQQPNWKRYARSGSRIKSSSSQASRRVGGKRIQVETEHDVVHQDQLGKRYKEIGDGSGDVNSSLPAAGFEHTSSPCRDL >OMO97058 pep supercontig:CCACVL1_1.0:contig07304:10969:13768:1 gene:CCACVL1_04670 transcript:OMO97058 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MNNLLGKEFTEDEIKLAAFQMNPSKSPGPDGFNPCFYQKYWSIVGGDVTRLALEFHNEGKPLPDINHTTIVLIPKVADPSCVKEFRPISLCNVLIKIITKALTNRLKLILPQIISETQSAFVPGRQMFDNSMIAFEIIHHMSNSRSHSKQHMALKLDLRKAYDRVEWQFLEDVMRVMGFSERWISSVMTCVRTVTYSVSVNGNHSERIYPTRGIRQGDPLSPYLFLLCMEGFTSLLKTAELNGNIEGVSVARHAPKISHLFFADDILLFIRAQWSDCATLLDILNRFELASGQQINIEKSSIFFSKSTPDSLKNAIMSQLEVWRLMQSEASLCYRILKAKYFTNNSLIDANLGRNPSFLWRSLLAGRKVIIEGSRWRIGNGMTVKVWEDKWINNSTDFKPHPLPGTLCNDQLRVSDLIDHVEKRWLIDRLELLFQPDDIQRILCMPIPVSFQPDKLIWNDSSVGIFTVKSGYFVARRVLQKEEYSIADKNPFNRNKCVFEHRCCLPSALAIRAQITLQDCHFSSPIQSSNQIMCPWVPPTAGIIKLNTDAAYNLATGTAKSGTVLRNSTGQIICSGFKQTNYIVSVLHAEVLSIHFGLQMALRNNVDCIEVHADSLMAVKEIARGANSNWEGGCLITEILHLASLFSLSTFSYSHRSSNTLAHNIAQLDLGVGAIFEWYGALPPCVCNLDN >OMO97062 pep supercontig:CCACVL1_1.0:contig07304:57935:60110:1 gene:CCACVL1_04674 transcript:OMO97062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFDNMLHKEISIVTSGGHSDGRLEKVPAETGHFAPTLRYKQHKVLAIRDFPPGCGWLSTARPSEHMQVPVISESGTPELSGLQKDSSEDNFATSKEEVVRRSVKSHSGESSLEKPSARNHCPSRGVTVVRDFPPFCGRNAPPLSGEECMKLLPRASSAFVANKNDIERSIKTCTRKNSCSTKRAYQGTSQVATWNNEDTLQHHRQHLAQKSYKYDVSLPTPCPSSNDATITRSKVRETLRLFQVICRKLLQEEESKLLGEGRTRRRVDLQAAKILKEKGKYVNTGKQIIGPVPGVEVASGGYDDDLENSDVLIYMGQGGNVMQKGKQPQDQKLERGNLALANSKFVKNPVRVIRGETRSSDVSEGRCKTYVYDGLYVVVEFKKEVGPHSKLVYKFKLVRIPGQPELAWRVVKKSHKSRAREGLCAHDISQGKEIIPICAINTIDAEMPPPFAYVPHMIYPDWCHPLAPKGCDCINGCLESGNCSCLTKNGGEIPYNHNGAIVRVKPLVYECGPTCKCLSSCYNRVSQHGIKFLLEIFKTESRGWGVRSLDSIPSGSFICEYVGELLEDTEAEKRTGNDEYLFDIGDNYSDHSLFEGLSSLIPDAHLGSCPVVENSGFTIDAAKCGNIGRFINHSCSPNLYAQNVLYDHDDRRIPHIMLFAAENIPPLQELTYHYNYKIDQVHDEN >OMO97063 pep supercontig:CCACVL1_1.0:contig07304:62579:63257:-1 gene:CCACVL1_04675 transcript:OMO97063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MDNPSGSDDTAKATIEKERPDVNVIVLPEGSSVTRDLRFDRVRVFVNQQNQVVQVPRVA >OMO97060 pep supercontig:CCACVL1_1.0:contig07304:34267:34632:-1 gene:CCACVL1_04672 transcript:OMO97060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNKGDAPVIPSAAKPIYKGKKVAKGVAQMGAKPNFNLGQSVFNLQNKGFVFSAEASSSGPKVGPSKPKAHTKPIHLVNNAQQKGRCYVVKGTMAENSAGKEKLRFVSGMDKEQYLQSTD >OMP03030 pep supercontig:CCACVL1_1.0:contig06157:3987:8238:-1 gene:CCACVL1_02614 transcript:OMP03030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSRGFATQLEFKLTNLHHVSHGLASNKRKRMCFQRDRLTILSRIRCCCSDPVVPIRGPSGSGKSKEKAEEWRFDHKKSPHRVRVHASPAMPFASAQSRFLSKQEKFYPRCTPRNSGPQSRDTPPKRDTGIANEKDWGISLLNENVNESGTNEDGSTWYRESGEDLGENGYRCRWTRMGGQSHGGSSEWKETWWEKSDWSGYKELGVEKSGRNAEGDSWWETWQEVLHQDEWSNLARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGGGSVLKWTDKWAETELGTKWGDKWEEKFFAGIGSRHGETWHVSPSGERWSRTWGEEHFGNGKVHKYGKSTTGESWDIVVDEETYYEAEPHYGWADVVGDSSQLLSIQPRERPPGVYPNLDFGSSPTPQVDDQPDIPPPPISE >OMP03032 pep supercontig:CCACVL1_1.0:contig06157:12115:12663:-1 gene:CCACVL1_02616 transcript:OMP03032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SAGTDSTVNLWLAPTSATDESISESIAEPPTKQADPLLNSYSDYEDSVYGLTWSSREPWIFASLSYDGRVVV >OMP03031 pep supercontig:CCACVL1_1.0:contig06157:8539:8658:1 gene:CCACVL1_02615 transcript:OMP03031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSVGALGLVASWGVGVGRVECVERGARDQIGGSSAIS >OMO96616 pep supercontig:CCACVL1_1.0:contig07425:11569:12546:1 gene:CCACVL1_04863 transcript:OMO96616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLSNIMALSGKLGSLLRQNGQFPMTSMLNSLRCMSTSTTKLFIGGLSYGTDDQSLRDAFSSFGDVTEAKIIVDRDTGRSRGFGFVNFADNQSASSAASAMDGQELNGRSIRVSFANDRPPRSGGGGGGFRGGYGGGSGGGYGGGFGGDSDY >OMO96617 pep supercontig:CCACVL1_1.0:contig07425:19169:24201:1 gene:CCACVL1_04864 transcript:OMO96617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylenetetrahydrofolate reductase MKVIDKIKSLQGGEGDNGKVVFSFEFFPPKTEDGVDNLFERMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNIICVETMMHLTCTNMPVEKIDHALETIKSNGIQNVLALRGDPPHGQDKFVQVEGGFACALDLVKHIRAKYGDYFGITVAGYPEAHPDAIGSNGVATPEAYQNDLEYLKRKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPAEVTAALEPIKDNEEAVKSYGIHLGTEMCRKILAHGIKTVHLYTLNMEKSALAILMNLGLIEESKISRNLPWRRPANIFRVKEDVRPIFWANRPKSYITRTLGWDQYPHGRWGDSRNPSYGALSDYQFMRPRARDKKLQEEWATPLKSIDDIQEKFKNYCLGKLRSSPWSELDGLQPETKIIHEQLGKINLKGFLTINSQPAVNGERSDSPSVGWGGPGGYVYQKAYLEFFCPEEKLDALVDKCKAFSFITYIAVNKTGNLLSNIGVADVNAVTWGVFPAKEVIQPTVVDPASFMVWKDEAFEIWSRTWAALYPDGDPSKKLLEEVQSNCYLVSLVDNDYVGGDIFAAFEDL >OMO96618 pep supercontig:CCACVL1_1.0:contig07425:34238:36189:-1 gene:CCACVL1_04865 transcript:OMO96618 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MGEMDTSKTVKLERYNSYLRRLHSTKLLNASSKLLFRVTLLIALVLILFFTINYPPLSDNPQHGPPHHHHHSFLSTSLFSNSPGGAAWEKQVRHSSTPRRPNGFSVLVTGAAGFIGSHCSLALKKRGDGVLGLDNFNNYYDPSLKRARQKLLSKHQIFIVEGDLNDGPLLTKLFDVVPFTHVLHLAAQAGVRYAMQNPQSYVSSNIAGFVNLLEVAKAANPQPSIVWASSSSVYGLNTENPFSERDRTDQPASLYAATKKAGEEIAHTYNHIYGLALTGLRFFTVYGPWGRPDMAYFFFTKDILQGKPIDIYKTQDQKEVARDFTYIDDVVKGCLGALDTAEKSTGSGGKKRGPAQLRVYNLGNTSPVPVGRLVSILEGLLTTKAKKHVITMPRNGDVPFTHANVTLAYKDFGYKPTTDLATGLRKFVKWYVSYFGIEPRVKKETQHTDESG >OMO82594 pep supercontig:CCACVL1_1.0:contig10010:17934:18053:1 gene:CCACVL1_11873 transcript:OMO82594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAAAAGVDNRPICLRFPREMILDWLPTVTEELLWRL >OMO79955 pep supercontig:CCACVL1_1.0:contig10360:11270:15374:1 gene:CCACVL1_13274 transcript:OMO79955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMKKMMVAVLIFCIFTSQMENAESQVDCYDACSTGCVQSNTRLMQRCDRKCQIRCGPGRTGAVEGKGGDVASGGVVSHIHQSQKPITTIGCFIGWRLTIFALAGCCLT >OMO79956 pep supercontig:CCACVL1_1.0:contig10360:16242:18227:1 gene:CCACVL1_13275 transcript:OMO79956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDSFGSLSRRSFDVRLTGHHRGKSHGSLHDLHDEPIVVQNSRWANLPPELLIDVIKRLEESESTWPARKNVVACASPGPRDGTIQCFIKRDKSKLTYHLFLCLSPALLVENGKFLLSAKRTRRTTSTEYIISMNADNISRSSSNYIGKLRSNFLGTKFIIYDTQPAYTSAHVPPPGRTSRRFYSKKVSPKVPTGSYNIAQISYELNVLGTRGPRRMHCIMHSIPASALDAGGSVPGQPELLRHPLEDSFRSISFSKSLDHSVEFSSSRFSEIGTSHEDDEDGKMRPLILKNKPPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPAPPDIDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >OMO79959 pep supercontig:CCACVL1_1.0:contig10360:33059:35603:-1 gene:CCACVL1_13278 transcript:OMO79959 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MDRYQKVEKPKADTPINENELRITAQGRMRNYISYAMTLLQEKGANEIVLKATGRAINKTVMIAELIKRRIVGLHQNTSTGSIDITDTWEPLEEGLLPLETTRHVSIITITLSKKELDSSSIGYQPPIPADQVKPTAEFEENEGGNANGVVEHRNGGWDGGRGYGGRGRGRGRGRGFRGRGRGYGGGNMQRDSGYYNGNGQPGPLPGQGRGRGRGRGRGRGRGQGYRHDGGQGYRSEAPIQKTA >OMO79958 pep supercontig:CCACVL1_1.0:contig10360:24381:32185:1 gene:CCACVL1_13277 transcript:OMO79958 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative helicase MAGATAMA 3 MAMDKGKLQEEASLARFCKIILGWDYFRLLQSSNKKSKDAAASSLKEVKNSYKDVDEYLATFEPLLFEEVKAQIVQRKDEEEVTDWKLRLVIECNEADGFHLAALTFDADEEESISQNDLLLLSKEEFKDGSKKLPTTYAFALVEHRQKTLLRLRMYLVGEFTQVNPDVEKNSERLIRMQALVTSSANEVEKRLYSIKICSLSTIAREYVALRSVGSLPFKNLILSAAERGSDSKDRAWKISGSLHDHLKENLNKSQQEAIDAGLSRKPFVLIQGPPGTGKTQTILGLLSAILHATPGRVQSKVGMITVTRRPELSMEEKYKHWGRASPWLMGVNPRDLITPIDGDDGFFPTTGNELKPEVVNSNRKYRIRVLVCAPSNSALDEIVFRLLKTGLRDENVHTYTPKIVRIGLKPHHSVEAVSMDYLVNRKRDLADDKQKQGSTGRDIDSIRAAVLDEAVIVFSTLSFSGSSVLTKMNSGFDVVIIDEAAQAVEPATLVPLASGCKQVFLIGDPVQLPATVISPIAEKFGYGTSLFQRFQRAGYPVKMLKTQYRMHPEIRSFPSKEFYNEALEDGSHVMQQTSRRWHKYRCFGPFCFFDIHEGKESQPSGSGSWVNMDEIEFVLVMYHKLITMYPELKSSSQFAIISPYRHQVKLLQERFQDTFGVESKKLVDIGTIDGFQGREKDVVIFSCVRANKDRGIGFVSDFRRMNVGITRAKSSVLVVGSASTLRNDEHWNSLVESAEKRDCFFKVSKPYASYFNDEYLESVESVVEDTMDANEIAENENDVASNMAGVADGGDMADGDGDDDGGAADD >OMO79957 pep supercontig:CCACVL1_1.0:contig10360:19500:21382:-1 gene:CCACVL1_13276 transcript:OMO79957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKVITTTSQTNPDLPRQPSLCSSLSTLLADLQNQQNHNNQNQSLNGFGSMSMDDLLKNIYSSPPPPPTTTDVHAQFPGASISRDGSFSLPKDVANKSVDEVWKEIVAGGPDQRQGGASEEMTLEDFLTKAGAVREEDVRGAVNQVGEGAGVYAMDPAVINGAGGQFSAFGNNGSVDHQRLVAPAGGGGRGKRRAVEEPPLDKATQQKQRRMIKNRESAARSRERKQAYTVELESLVTQLEEENARLLNEELMENLIPVEEQQRAPRVLRRIHSMQW >OMO72892 pep supercontig:CCACVL1_1.0:contig11340:21562:22858:1 gene:CCACVL1_17538 transcript:OMO72892 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MILISSSAASLPLRKGFYQKKCPSAETIVRNAVKAALASDPGIPAALIRLHFHDCFVRGCDASILLDSTPNNKAEKDSMGNKGVQGFQVIDEAKAKLEAQCPNSVSCSDIIAFAVRDSVTLAGGPYYDVPAGRRDGRISSIQEVTKNLPDVFFNVTQLKENFAHKGLSVEEMVTLSGAHSIGDSHCSSFSKRLYSFNKTVATDPSIDVSYVTLLKAKCPKPLNNNGANPDPLVPFDPVTPNRLDNNYYKNLKSSKGLLASDQTLWDTAITRNLVKGNVNHPSAWAKKFAAAMLHMGSIEVLTGTQGEIRKNCRVVN >OMP09778 pep supercontig:CCACVL1_1.0:contig03012:236:337:1 gene:CCACVL1_01039 transcript:OMP09778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDEEIDKCQIWPNGFAIVLQSPDDEDLKRKTTI >OMO90108 pep supercontig:CCACVL1_1.0:contig08526:36940:55398:1 gene:CCACVL1_07507 transcript:OMO90108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAICVTWFTVMVKRLDKETIHNYEVPLTMLVTSILFLTATSSIFLLKGFLRPCDLYKVTSIVFLIATSPIFLLKGLLRPCDPYKGGICCSRVGNDELEEVRWLNSLLRKISS >OMO98411 pep supercontig:CCACVL1_1.0:contig07113:1062:3582:1 gene:CCACVL1_04230 transcript:OMO98411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIAHRPFLYGISRGKATSRVLRLQGGQSFYDSFASSSLLSATSFSMGGLVSKLPSASVKSLSIIGRNVGEGGPPYFNGKGGIAVSQPLNYLSPTMITKKTENCVDRRTNRAS >OMP00132 pep supercontig:CCACVL1_1.0:contig06722:20852:32993:1 gene:CCACVL1_03459 transcript:OMP00132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIVGQLPFPSENGYKVWEDPSLIKWRKRDPHVTLHCHESVEGSLRYWYERNKVDLSVSKSAVWNDDAVQKALDSAAFWVKGLPFVKSLSGYWKFFLASSPTAVPKDFYENSFQDSDWDTLPVPSNWQMHGFDQPIYTNVLYPFPLDPPHVSTDIPTGCYRIYFHIPKEWQGRRILLHFEAVDSAFCAWINGVPVGYSQDSRLPAEFEITDYCYSCDSDKKNVLAVQVLRWSDGSYLEDQDHWWLSGIHRDVLLLSKPQVFIADYFFKSKLADNFSSADIEVEVKIDCSRDMPKDKVLTNFIMEAALYDAGSWYNHDGNVDLLASNVANIKLNPAPAGTLGFHGYVLVGTLENPKLWSAEQPNLYTLVIVLKDASGHVVDCESCLVGIRQVSKAPKQLLVNGHPVVIRGVNRHEHHPRLGKTNIESCMVKDLVVMKQNNINAVRNSHYPQHPRWYELCDLFGIYMIDEANIETHGFDLSGHLKHPTQELSWAAAMMDRVIGMVERDKNHACIFSWSLGNESGYGPNHSASAGWVRGRDPSRVLHYEGGGSRTSSTDIVCPMYMRVWDMVKIAQDPNETRPLILCEYSHAMGNSNGNIHEYWEAIDNIFGLQGGFIWEWVDQSLLKDNGHGGKFWAYGGHFGDSPNDLNFCLNGLVWPDRTSHPALHEVKYVYQPMKVSLAESIIKIKNGNFYDTTEGLEFKWTAHGDGCELGCGTLSLPVIEPQSSYDIEWKSGPWYPLWASSDAEEIFLTITAKLLYSKRWVEAGHVVSSTQVQLPAKRDILPHIIKTKDDLLSAEILGDNIRISRQKLWEITLNVKTGSIENWKVEGAPIMKSGIFPCFWRAPTDNDKGGGPSSYYFRWKAAHLDDIAFLTESCSIQSKTEHLVKIMVVYLGVPKGEYGTSNKLEKADALFKIDMLYTIHASGDIIIDSNVIPSSNLPPLPRVGVEFHLDKSVDQVKWYGRGPFECYPDRKAAAHVGVYEQTVDGMHVPYIVPGESGGRADVRWVTFQNKDRCGIYASTYGESPPMQMSASYYSTVELDRATHNEELIKGDSIEVHLDHKHIGIAGDDSWSPCIHEKYLIPAVPYSFSIRLCPLTAATSGHNIYKSQLQN >OMP00131 pep supercontig:CCACVL1_1.0:contig06722:7908:19268:1 gene:CCACVL1_03458 transcript:OMP00131 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase, alpha subunit MEENSSAIILDSEIVGLRFCLASPKEISTASFNGFPITHISPLSNSYLGLPLECGGSCGACGTNEPGKCEGHFGYIELPVPIYHPSHIDELKRLLSLLCLKCLKLKNKFQIKSGSVAERLLASCCENSPHVSIDVKRKGGSACYLELKQPSRQGPTSWKFLERYGFRYGDHPKRTLLPCEVVNILKKIPAETRRKLSGKGFFPQEGYILHYLPVPPNCLSVPDISDGVSVMSSDLSTSMLKKVLKQTEIISKSRSGTPNFESHEVEANDLQYAVEEYLQVRGTVKASRNIDARYGVSKDSSGSSTKAWLEKMRTLFIRKGSGFSSRSVITGDPYKRVNEIGIPSEIAQRITFEERVNSHNMTYLQNLVDNKMCLTYRDGSSAYSLREGSKGHTFLRPGQVVHRRIMDGDIVFINRPPTTHKHSLQALSVYVHDDHTVKINPLICGPLSADFDGDCIHLFYPQSLAAKAEVLELFSVEKQLLSSHNGNLNLQLATDSLLSLRVMLKTFLFKKADVQQLSMYLSSALPQPALLKGNSFGPCWTAFQILQTAFPACLDCSSNRYLIGKSEILKVDFGRELMPSVINEVVTSIFFEKGPKEVLEFFDSLQPLLMENIFAEGFSLSLEDFSISREAIEDIEKDVQLISPLLYQLRSTYNELVGLQIENHIREAKVPVANFIRKSSAFGDLIDSKSDSAVTKVVQQIGFLGQQLFDKGKFYSKTLVDDVAYQFQSIYPSDLVDYPSAEFGLIKSCFFRGLDPYEEMVHSISTREVIVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNVNSNSIIQFQYGLNARTKPQFPAGEPVGVLAATAMSNPAYKAVLDSTPSSNSSWELMKEILQCKVSFKNELIDRRVILYLKDCNCGRKYCQENAAYVVKNHLRKVTLKDAAKELVIEYKQQQAEPESEAGLVGHILLNKELLKELNVSMQEIHMKCQETITSSRKNKLTADTFKRADLFFNECCSIQQSCGGKWLDMPCLMFVCRNSQDLDSSLQDLSDIIYPALLETVIKGDPRICSANIIWVSPDTTTWIRNPSKSQKGELALDVVLEKSAVKQNGDAWRTVMDCCLPVLNLIDTQRSIPYAIKQVQDLLGISCAFEQAVQRLSTSVSMVAKGVLREHLILLANSMTCAGNLIGFNSGGYKALCRSLNIQVPFTEATLFTPRKCFERAAEKCHVDSLSSIVASCSWGKHVAVGTGSRFDVLWDHKEVGFDQRSGIDVYNFLGMLSGVKGPTSNTACLGEEVDDLMELDDMGEWSISPDHGAGSDKPVFEDAANFENDLEDQPAESTWDKVASSTENVGWDAFSAWNKNAEDGDKFAEAGAGTTKQTEWSNWGTSKSKTQDFVEDQTDSSGWGMNKSDTGVLPVKEKTSKSNGWDAGTSWATSTPKQNEWCDWRTNKSKTQDVVSPKVDRLSMKEPAELSGWGKEKPDAEDGKTFKSNGWDAGTSFESSKSGGWNQQKSVEDSQVWGSQHNSADHSPGWGSQQKSANRSQGWDSQKKSEDPLPGWGSQKKSVDRSQDWDSHQKSPKQTQGWGSQKKSMDHSQGGGLQEKSPEPSQGWGSQQKSPEPSQGWGSQQKSPEPSQGWGSQQKSAEPSQGWGSQQKSAEPSQGRGSQQKSPEPSQGWGSQQKSAEPSQGWGSQQKSPEPSQGWGSQQKSPEPSQGWGSQQKSAWGSLDESNRQASTNGWGRQGGEDATEGEQQHQWGGKTKGSRRWASDAGKKKPSVKSVNDDSSMAAMYTATRQRLDMFTSEEQDILSEIEPLMQSCRKIFRQPGYNDGDPLPASDQSFVLDNIFTHHPDKAAKMGAGVDYVMISKHTDFQDTRCFYVVSTDGQKEDFSYRKCLESFVKGKYPEMADAFIEKYFKKTRPGGNRERSASVAPDEGENQHPHRERSVSVAPEEGENNGQ >OMP00133 pep supercontig:CCACVL1_1.0:contig06722:49088:52082:1 gene:CCACVL1_03460 transcript:OMP00133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALRDSSCSIGSAIKTVSGSLEEKSKKQFNDEHPDKSYSADVFKDFATKWECMTNAEKAPYLQEAEMLNTEHVNLKHLKDPNVQKRLKAFKIYLEKSKKQFNDEHPDKFYFAYVFKDFATKWECMTNAEKAPYLQEVEMQNTEHVNLKHVKDPNVQKRLKTFNIYLYDMFNYH >OMP02635 pep supercontig:CCACVL1_1.0:contig06213:5836:12531:1 gene:CCACVL1_02738 transcript:OMP02635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MEREEQKSSLNSPLIQISREKDELISNENDREGQNKIVEEVKKQLWLAGPLIAVSLLQYCIQMISVMFVGHLGELALSGASMATSFASVTGFSLLLGMSTALDTLCGQSYGAKQYPMLGIHMQRAMLILLIVSIPLTIIWVNTRSILVFLGQDHDIAKAAGDYARLMVPSLSAYGLLQCLVKFLQTQNIVFPMMICSGIATLLHILVCWIMVFKTSLGFTGAALANAISYWINVFLLVLYVKFSPSCAKTWGGFSKEAFQNIVTFLRLAIPSAIMVCLEMWSFELMVLLSGLLPNPQLQTSVLSVCLNTAATVWMIPFGLSGAVSTRVSNELGAGHPEAASLAVCVVIVMAICVGLLVGSILMLIRNVWGYAFSNEVEVIKYVAAMMPILALSNFLDGLQCVLSGTARGCGWQKIGAYVNLGSYYLVGIPCAVLFAFVLNAGGRGLWLGIICALVVQVTSLSIITIRTNWQQEREHEQSLISSVLEDGSGFNGENSRAKRVGREVIIEEVKKQLWLAGPLICESFLLYFLQVISVMFVGHLGALALSSASLATSLAAVLAFNLLIGLSTALETLCGQSYGAKQYHMLGVHTQRAMFVLSLISIPLAIILAYAGSILAAIGQDPEISRAAGVYARLMIPSLFAYAILQCLLRFLRTQNNVFPMVFSSGITTLIHIFLCWILVLKFGLGSKGAALAGSISYWINVLMLAFYIKLSPSCAETWTGFSKEALHEIFAFLRLAVPSAVMVCLESWSFQILVFLSGLLPNPELETSVITICINSTAIIWMIPFGLSSAASIRLSNELGAKDPKAAKLAVRVVLVLAISQGILVGLALILMREIWGYAYSNDEQVVKYVAAMSPILAISNFIDGIQCVLSGIARGCGWQKIGAYINLGSYYIVGTPLSVVLAFVFRLGVKGLWLGITGALITQMLCLLMITIRTDWEQEAKKATARVHEGANMDNALPGC >OMO99841 pep supercontig:CCACVL1_1.0:contig06790:9024:10106:1 gene:CCACVL1_03584 transcript:OMO99841 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus glycoprotein MIAGDGNLKLTNGNEITVWSTEVPVQSPNNSVAVLLDVGNLVLKDNSSGDILWESFSNPGDTFLPGMRIGINLKTGEKRFLISSKSNENPSPGSFVGGVVAESAPIIEAFIWKNGNRSHWRSGQWNGVKFIGIPQMNADYLNGMGLLSNSQEGTWYFTLNDFNNSLIRFLYVSPLGSLQIINWDEGSNDWNVGLEVPDNECDIYGACGPNGICNKDKSPICKCWEGFEPKSYQEWNRGNWTDGCVRRIELQCDKINASLASSDGDHNKPDGFLKLSGVKLPDLSQYHKPREITEEGTEESCRVWCLNNCSCMAYGFVTGIGCMFWTGDLMDVQSFSYGGEDLFIRVAYAELGTIYIYTHL >OMO99842 pep supercontig:CCACVL1_1.0:contig06790:15724:20095:1 gene:CCACVL1_03585 transcript:OMO99842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation, RCC1 MDIDSIIGETKTRSVNTPTKSAIYVWGYNHSGQTGRKGKEQQLRIPKQLPPELFGCPAGANARWLDIACGREHTAAVASDGSLFTWGANEFGQLGDGTEERRKHPKKVKQLQTEFVKSVSCGAHCTAAIAEPRENDGTISRSRLWVWGQNQGSNLPRLFWGAFAPNTIIRQVSCGAAHVVALSEEGLLQAWGYNEYGQLGRGVTCEGLQGARVISAYAKFLDEAPELVKITQVSCGEYHTAAISEKGEVYTWGLGNMGQLGHSSLQSGDKELLPRRVVALDGICMKDIACGGVHTCALTSQGALYAWGGGQVGQLGLGPQTGLFSCNPNESFFRNIPALVVPTGVQLIACGHSHTLICMKDGRIHGWGYNSYGQAANEKSIYAWYPSPIDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLADSVTLLNAAQIEDVASRTGADALARLCERLREHYVDKGDCNYEDDISNLRNQLTTH >OMO99844 pep supercontig:CCACVL1_1.0:contig06790:36600:39205:-1 gene:CCACVL1_03587 transcript:OMO99844 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MLKTLERYQKCSYGAVEVSKPAKELETSYREYLKLKGRFETLQRTQRNLLGEDLGPLNSKDLEQLERQLESSLKHVRSTKTQYMLDQLSDLQNKEQMLMEANRALSIKLDEISARNQFRASWEGGEQSNVSYNNQQPQSQGLFQPLECNPTLQIGYNPVASDQMAATSHAPQVNGFIPGWML >OMO99843 pep supercontig:CCACVL1_1.0:contig06790:21583:24937:-1 gene:CCACVL1_03586 transcript:OMO99843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MEGILERYERQAFAERQVGPSTPSESQGNWSLECPKLMATIEALQRNLRNFMGEELDPLSLRDLQLLEHQIDTSLKRIRIRKNQLMNESISVLQKKERALQDQNNMLAKKLKEDEKTQAENAQPEQHELAQSSSSFIPPLSPPAATIQFPSLAIGLNSCRGTSQATEGANKEADTQLQPSSNTVIPPWMLRPVNR >OMP00924 pep supercontig:CCACVL1_1.0:contig06583:280:1746:1 gene:CCACVL1_03244 transcript:OMP00924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRAQENNENSVDRRHKRMMKNRESAARSRAGKQVPVKADLPLLCCVLLSNGIRDSLKAERRMLESFIKSKVRKQLRH >OMP00925 pep supercontig:CCACVL1_1.0:contig06583:2843:2926:-1 gene:CCACVL1_03245 transcript:OMP00925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILKPYTKVTQSSSKVYFTYKLFFTSHS >OMO99582 pep supercontig:CCACVL1_1.0:contig06860:28977:29877:1 gene:CCACVL1_03726 transcript:OMO99582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKTGSTKLAGFPSDVIRVLLCRLPVEDLLQFRLLSKQWRTTIESPDFIREHLAHTRLKLHKSIILEGFIE >OMO99580 pep supercontig:CCACVL1_1.0:contig06860:2447:8392:-1 gene:CCACVL1_03723 transcript:OMO99580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQLYQETRLVVLSPDLGLVSSKAALKCLGKPSPESKLAAFRSQKSTVGSSRLQGWAIECAKDAHWQWCNARCQNAIALVRYRECLKNHAAAIGGNATDGCGAGEFMPNGEEGTLEALKCSACNCHRNFHRKEIQCDCPFPFDCYHNSAPLINSGRKLILGPHQNYILAASPAAAVTAVPPLMKAGSSVPSETDEKLDDNGGGGGGGRVGAKGSGGGGKLVRKSFRTKFSQEQKEKMLKFAEKAEWRIQKLDESVVQQLCQEIGIKRRVLKETGMALIATACKKTENPQDCISLLESDPRSFISNLTGLARIALEITAWKANTSLIVAEYWLQHAKDYPGWASASACRGGYEFSMNSMQDSLRAFDELKFDKSYQSLQYVISNVTYCENMRADGFNDLNATMLKITKYVLAILHQLF >OMO99581 pep supercontig:CCACVL1_1.0:contig06860:20888:21136:-1 gene:CCACVL1_03725 transcript:OMO99581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDAFHDLIKMFRDMPQEQQARSEDSLRRVHEAVREVRPMLKGQEQQPGQLPIVANAPNGQEVQADLVMPVEEVANDIDEVN >OMO99583 pep supercontig:CCACVL1_1.0:contig06860:42904:47369:1 gene:CCACVL1_03727 transcript:OMO99583 gene_biotype:protein_coding transcript_biotype:protein_coding description:tetratricopeptide repeat-containing protein MGEEEIKLLRGYELRLLRCTLHPPPSNPSHDSRPPASDDSLGLYHALISDMLSSIEAGDFIGALSSKAARLVLEESKLSESPETAYLELLDRVHLFISADSIDDSERACRAIIVMCIAVAAFFCFTQCNLTGPVEGLLKRPLPMKAWWDGHGMQEWEDWARNELMTAGSDLVAKFSLLQQRILNGQSSSLLNLLQVFKRDTLHFGTLENVISYWGANLLDGEASTIVTVVHLEAGLLEYEYSQLEFCRQHFNSALVAAGLELSVTGVLGFRTVHQVEPKAQMVLLVKKCPKSSGGTCTSLGPDLDPGLDPSSSRISEDSDILLTPKLLENGNCCGSNGQCCQNSSSSGASLTPVQQAVVLAQCLLIGKTTRQDEMQGWEFAPYIEAIDSQQSSYFMIRCLSYLMRFRWESTRPRTFDRASMMMPYLVEQIQEGSLGVSHRLPLCFVIHFPTIPALRK >OMO55134 pep supercontig:CCACVL1_1.0:contig14783:14262:16328:1 gene:CCACVL1_27378 transcript:OMO55134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSKSANNTTLHIHHQRASSGFIHNSQHPKTLMRRTLLKSPPFSLSRLQSPLLSTTPYRNVDSPPIPPKLFSNSISISSSRFVFTPAYPPPPEWMDPVINISGLASTNPKDLQPSPWVSQILNLLDGSSDMESNLDSFCHKFLIKLSPTFVAFILSSAEVQNKPDVAFRFFNWAAKQNKYTHMLECYVSLINVVASANDLEKLKFLFAQLKEMGFEMTMSAANSLIRSFGGLGMVEELLWVWRRMKENGIEPSLYTFNFLLNGLVNSMFIESAEHIFEVMENGKIRPDIVSYNTMIKGYCKAGKTQKAMEKLRAMETINLVPDKITYMTLIQACYSEGNFDSCLSLYHEMREKGCEVPPHAYSLIVGGLCKEGKCFEGIAVFDNMIQNGCKANVVIYTTLIDAFAKCGRMEEALKLFERMKSDGLEPDEVSYGAIVNGLCKTGRLEEAMEYLRFCRANEVAVNAMFYSSLIDGLGKAGRINEAQKLFEEMVEKGCPRDSYCYNALIDALAKCGRVNEALTLFNRMEDEGCDQTVYTYTILISGLFREHKNEEAMKLWDMMIDKGITPTAASFRALSFGLCLSGKVNRACKILDDLAPMGVIPETAFEDMIHVLCKAGRVKEACKLADGIVDRGREIPGRIRTVLINALRKAGNADLAMKLMHSKIGIGYDRMGSIKRRVKFRILVEC >OMO55133 pep supercontig:CCACVL1_1.0:contig14783:5429:11706:1 gene:CCACVL1_27376 transcript:OMO55133 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MEINLDSDSESVKEATKFLLIGKIISDKPVNRKGAMGVLRSIWGLKEGPVITELGMQIFGLAFHSKDQMVQAMLGSPWTVMGFCFILKRWEIEKSISEISFDKVQYWVQVHDLPLEMQTVVNLKKIGDSIERVITLEKPEWIQGAGRCYMRLRLEFDVHKPLTPGFWVPRKDKAKLWVNLKYEKLGDFCFECGKFGHMAKNCGELVSTGNRDHMFGPWMRAAPVRSVMEDRLINVEEKGTFELPYYGPVQENAGILTRKWPESQSGGVSELDEDEYREFCEQVSKSSKAARAIYVDNPLDKRVEISGEASQARDQLDVSVSKTRSVERVTLEGGVSRIENAQVVSSGISVSADLDKRTSIAHVDNQVVSVDKERVSAVSQEGYNLMQPLVRSSSLPALNSPPSPVPISIPSNEVAYIDKNLGSDKENLEPGNSNVNNRYASTSNYEQAIIPTGKPPGSQEAALLSDQPAVSEERVESAVLYQVEIGLSSFFRNLNLKRRFKDAMLQDQINSKKMWVESESGFTMKYISNERSVDVEMVASQDTEVISSDKTNRVIVSRRMRNRAVSVGNRRLKDVHGAGPTLTVQALKELNRKNGPQILFIMETKNKRRYMENLRRKLKFSHGFYVDPEGLSGVLALWWNDEVSVHIIRGCKNLIDSTVTDQKSGIACRIFWVYGPPEASDRARFWHLVHRRMEEQNTPWLCVGDFNDILYLHEKEGGSTKEYWKIRNFRDMKSCRRALLNWSKKAFLNNKEVIESIKQKIAAIQDKACSAESYMKVEELVSQLQEAWSKEEQYWYQRSRIKWLKDGDANTKFFQQTTIQRRQDQALFHVPRVVTDQMNNDLTRDISEEEIRIATFQLGATKAPGPDGYNGTFYHKYWDIVKEAFCGAVTSFFSSGHMLHEINNTNIILIPKTKNPELVTQFRPISLCNFVYKIISKVLTNRLKPFMDSIITQEQGAFVGERQIQDNILIASEAFHYLKLKKRGKNYDMGLKLDLNKAYDRVEWGFLEVTLEKLGFCQKWIKWIMECLSTVSYTLVINATKENCDGMAWILKAYCEASGQLVNLQKSSIIFSNNTPALIRNQVESSLQIAGATNPGTYLGVPNFWGKSKCQAMKFIQERIRDKLQGWRQCLLSKGARKGARASWSWDSILEGRKILQEHIRWQILNGEKVDIWKDRWVPEHDILKQNGEPIGGNLPQKVAEIMDKDERLWKLEAIKDEFNPNVLNNIEKLPICHSTEEDRVVWPYNKDSSYSVKSGYFAIKSQVPRILSSSSSSHQSPAVGHLKINCDGAFDEDTGAAAFRVIVRDCNGRIIDGIAKSLLVSSCVEAEAIAIKEALNLAQDRKFDSITVETDSEVVLRSIQSFPKDRVHDWRILPTVKDIKESLDSFASVELSWIGRKANLAANWVATSMRKGMCPLD >OMO55132 pep supercontig:CCACVL1_1.0:contig14783:1389:4474:-1 gene:CCACVL1_27375 transcript:OMO55132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpv17/PMP22 MAATLNSMASHKPSVPFKPITISNKCPFLSPILLISSRPLYNCNKTSTNPLKLRSKKTINWVIRTVTGDKELSPVVEISHSDEAKNPSFPNGSNFLEASSSSSERVDAGGGNNDDNDDDEKLSSRAINASIVLGFGTLAVSKLLTIDHDYWHGWTLYEVLRYVPEHNWIAYEQALKANPVLAKMAISGIVYSIGDWIAQCYEGKPLFDFDRTRMFRSGLVGFTLHGSLSHYYYQFCEDLFPFDDWWVVPAKVVFDQTVWAAIWNSIYYVALGFLRFESFDNIFRELKATFWPMLTAGWKLWPFAHVITYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSESRISETTPESGSNSSSTPPKVHRKPDYGIEACL >OMO55135 pep supercontig:CCACVL1_1.0:contig14783:22680:25385:1 gene:CCACVL1_27379 transcript:OMO55135 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3 auxin-responsive promoter MELAAPSGNYGYDNIMSWFDNVTENAAMVQRETLRRILELNYGVEYLKKWLGEGDEDMKMKMKIQEMEGCALESLYTSLVPVASHADFEPFIQRIADGDTAPILTQQPITTLSLSSGTTEGRQKFLPFTGHSSQTTLQIFMLAAAYRSRVYPIRDGGKILEFIYSGKQLKTKGGLTTGTATTHYYSSDEFKIKQEKTMSFTCSPQEVISGGDYKQSTYCHLLLGLFFSDQVEFITSAFAYSIVQAFASFEELWEEICNDIKHGTLSSRITLPRMRKAVLDIMDPNPNPFLGSKIEAICEELRGLEWCGSIPKLWPNAKYVYSIMTGSMQPYVRKLRHYAGCLPLVSAEYGASEGWIGVNLDPCLPPEDVIFAVIPTFAYFEFIPLYRQKQDWTSSPTVDFIEDRPVSISQVKVGQEYEIVLTTFTGLYRYRLGDVVEVAGFHNGTPKLNFICRRKLILTINIDKNTEKDLQLVVERGTQLLSKYRAELVDFTSHADIVHQPGHYIIYWEINGEVEERVLGECCREMDASFADHGYVVSRRSNSIGPLELCIVERGTFKKILDHFIGNGAALSQFKTPRCTSNQMLLRILNVCTIKRFRSTAYS >OMO93702 pep supercontig:CCACVL1_1.0:contig08079:11459:14309:1 gene:CCACVL1_06378 transcript:OMO93702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MTMKKSQSFKFLLLVFFLLAQNIMQSHQRRLEEGGSGDGDIQRSYYQIDLPDQVIGPESIAFDCRKEGPYIGVSDGRILKWQGPNFGWKEFAIPSSNRDRKLCDGSSDPNLEPTCGRPLGLKFNHATCQLYIADAYFGLLMVGPNGGVAQQLANSAEGIPFKFTNALDIDSNSGVIYFTDSSIFVQRRNYVMSAIMFDRTGRLLKYDPETKKVTVIYKETTKMRILKFRLDSSSTNSYVPEEFAKVSRIADNIKRNEKGEFWVGLNSERGRIQNDDVVKAKLLFGDWVIPDPVGVKYDEEGNILEQLDGNGGSALNSVSEIEEIDGKLYIGSVEKPYVGIFA >OMO93704 pep supercontig:CCACVL1_1.0:contig08079:22354:36160:1 gene:CCACVL1_06381 transcript:OMO93704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, typical subtype MEIIRKKNYYVLLCCIAFFLCNFDSALVAAKEMVFDVVALMEIKSRLVDPYGVLDSWDEAVPDPCSWNMITCSTDYQVIALEAPDRGLSGTLSPYIGNLTYIQSVRLQSNNLTGNIPLSIGALSNLRTIELSNNKFSGQIPSSISHLESLQYLRLNNNSLSGEIPPSLANMTQLTNLDLSFNNLSGPLPRLPTTTFNGSFVDPRGVLDNSFVDPRGVLDNWDEAAVDPCSWNLVTCSSDGLVVGLKAISQNLSGTLAPTIGNLTNLQLVFLQNNSISGHIPSELGKLPNLHTLDLSINNFSGQIPSSLSHSKSLQFLGLSNNSLSGAIPPSLANMTQLTSLDLSFNNLSGPLPRLPTTAFKGLSIVLPSKDVLHRNHGLKMKEMEFRKEKFAMCYVSLFCLWTSACGLLLPNKGNNEVQALIGMKNSLVDPLGVLESWDEDAVDVCSWSLVTCPSDGLVVHLKAASQNLSGTLAPTIGNLTNLQLVLLQNNNISGYIPSQLGKLPKLQTLDLSSNNFYGQIPSSLSHLESLHYLRLNNNSLSGAIPPSLANMTQLSFLDLSFNNLSGPFPGLPTTTFNIVGNPYICSNGADKKMEVIRKKKYVLLCCMAFFCLCNCDSASVAPTGVNNEVTALMGIKNLLDDPYRVLNNWDESSPDPCSWNMITCSPDGLVVGLGAPSQNLSGTLSPTIGNLFNLQLVLLQNNNISGDIPPEIGTLSKLQTIDLSNNKFSGHIPSSISHLKSLQYLRLNNNSLSGEIPDSLVNLTQLTYFDLSFNNLSGDVPKLHTGVFNIEGNPNICSNGANKKVGCDRDVMDLGSLPSSSSSIAKLKFPAEILYTLPFELSRLPILENLYLDNNKLSVVPAELGELKTLKLLVVDYSRLVSVPVELRQTVWLVEQQT >OMO93701 pep supercontig:CCACVL1_1.0:contig08079:6997:8870:1 gene:CCACVL1_06377 transcript:OMO93701 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MTRRGPSLSHIFFADDLILLGEAFVAQCKVMVDCLNRFCSFYGQKVNFAKSLVYTSPNVSPQLVNNIVAQTGMSSCDDLGRATLVQSVTSTIPVYNMQTSRLPSSVTKQIDSLNRNFLWGSTAEKTKVPLVKWDSMGWKLDNGNTGLWARVLRAKYLKGQSFVRSTMSQCSSHTWRSIHSTSNLVLQGSARLIVSSAYKLLANEPSVDFGWKKLWKMDVPPRVKHFLWLVRHERLLTKLACFRKHITPVATCPRCGVADESVLHVLRDCGTSQLIWSRWLHGASFRTNLHLLNCSSTTLIGWVKPPIGFVKFNVDAVARTNPGELAAGGICRDSDGLWLFGFTCKFGWGHISKAELYAIYHGLRIAWQSGHRSIIVESDSLIAVNKILQPPSLHDPLSPVI >OMO93703 pep supercontig:CCACVL1_1.0:contig08079:19397:20467:1 gene:CCACVL1_06379 transcript:OMO93703 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase MAVSAIGFEGYEKRLEISFFEPGLFVDPEGKGLRALSKAQLDEILGPAECTIVSSLSNKQVDSYVLSESSLFVYPYKIIIKTCGTTKLLLAIPPILRLADSLSLAVKSVRYTRGSFIFPGAQPYPHRNFSEEVAILDSYFGKLGAGSHAYVMGGHDKEKWHVYSASAETVVSSGPIYTVEMCLTGLDREMASVFFKDQSGSAAIMTINSGIRKILPNSEICDFEFDPCGYSMNAIEGAAVSTIHVTPEDGFSYASFEAVGYDLKDLNLKELVERVLVCFKPSDFSVAVHVDIPNHSLDLNCLLDVKGYSCGEKTTEELGVGGFIMYQKFNSTGSCGSPRSTLKCCWKEEEEEEELV >OMO67933 pep supercontig:CCACVL1_1.0:contig12348:72551:75658:-1 gene:CCACVL1_20193 transcript:OMO67933 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MATTTQKLVVEVIDARNLVPKDGHGASSPYVVIDYYGQRKRTRTISRDINPAWNEVLQFNVEKKSDVFSDMLELDVYHDKNHGPTSRNNCLGRIKLSSGQFVKKGEEALIYYPLEKKYLLSWVRGEIGLKIYYVDEVVSPPPVAAPPPVEEKKSEEPPQTDTAAAPVPSDQQPASGEVKPDDNPASEGDQAAAAPPSDPPENEAAPRSEENGAAEDEKPAEGADQVEPEADSQPDQHDDDIVLEPTSANWTPPKQPEIMASTTSGSIPEIKVARTTSAPPPITRPAAPTNYASVVDPPDHTPTERPSFDLVEKMHYVFVKVVKAGSLPTNGNPVVKIAISGCHVTSKPARKAMYFEWDQTFAFARDAPETSSFLEVSVWADAAGANFLGGVCFDATEIPLRDPPDSPLAPQWYRLEGGGAHRGDLMLATWIGTQADEAFPDAWKSDTAGNLINSRAKIYLSPKLWYLRASVLEAQDILPLTALKEGSFQIKAQLGFQVQKTKVSVSRNGNPSWNEDLIFVAAEPFSDHLILYLEYRQPKGPVALGVVGVPLTAIERRVDDRKVISRWFNLEDPKNEKKGYKGRVHLSLCFDGGYHVMDEAAHVCSDYRPTARQLWKPPVGTLELGIIGGKNLLPMKTINGKGSTDAYTVAKYGPKWVRTRTVSDSLDPKWNEQYTWKVYDPCTVLTIGVFDSWGALDIDGAKEATRPDFRMGKVRIRISTLETGKVYRNTYPLVMLGNNGVQKMGELEVAVRFVRAAPTLDFLHVYSQPLLPLMHHTKPLAIGQQEMLRSTTVKILAAHLSRSEPPLRNDVVRYMLDADSHTFSMRKVRVNWSRIVNVLSGLTDIVRWIENTRAWKNPTATILVHALLVMLVWFPDLIIPTLAFYVFVIGVWNYRFRSRDKLPHFCPKISLADTVDRDELDEEFDTMPSSRSPDIVRVRYDKLRAIGTRVQNILGDFATQGERVQALVTWRDPRATGIFVGLCFIVAFMLYLVPPKMVAMAFGFYYFRHPLFRDRMPSPALNFFRRLPSLSDKIM >OMO67928 pep supercontig:CCACVL1_1.0:contig12348:3054:3152:1 gene:CCACVL1_20187 transcript:OMO67928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPRPLATAACNNAADFIFHDKFYSERVSRV >OMO67932 pep supercontig:CCACVL1_1.0:contig12348:52508:66922:-1 gene:CCACVL1_20192 transcript:OMO67932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRIPIFLMGLLLFSCLTNADEYVKYKDPKQPLQARIRDLLKRMTLEEKIGQMTQIDRSVASAEVMHKYFIETIGVVGFFSSAKARYMKYKDPKQPVEVRIQDLLKRMTLEEKVGQMTQIDRKVASADVMKKYFIASAKARYMKYRDPKQPVEVRIQDLLKKMTLEEKIGQMTQIDRRVASAEVMKEYFIGQ >OMO67931 pep supercontig:CCACVL1_1.0:contig12348:31701:41076:1 gene:CCACVL1_20190 transcript:OMO67931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKTTARICSNHKLLEFDSKKIRIRLGHCRAELKLDYRIEQSASSMMF >OMO67934 pep supercontig:CCACVL1_1.0:contig12348:78429:81501:-1 gene:CCACVL1_20194 transcript:OMO67934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MYVLAHGYVNLYINTEPVQEALIPGLPDDLALRCLAKLSHGYHGLLEAVSKRWRDLVRSHEYANYKAKQGWSGDWLFVVTERANNQWIAYDPEADNWHSLPKVPTEHEGLQHFGFSCVCVGNRLLVIGGSYGPRDTAYPRQTPVITNNLLQFDPFKKQWTRLTSMHTPRSHFACCVMSGKVYVAGGRNQSHPRGLSLAEVYDPIRDRWEELPSMPNPQMDCLGISYKGKFHVLSDQVGLAEQNPSEIFDPLKQKWRTVDDIWPFSRAMQFAVQVMGHDRVYTVVDWGESLVKTRDPVRGEWYTVGAVPPVVLPDHSRELEAFGYGFAALRHELYVLGGKVLKWEDSGAGRFDVVRLPGVRVCDPLQRPLTWREIRPMCKPSGGTILGCASLEEEYPS >OMO67937 pep supercontig:CCACVL1_1.0:contig12348:108524:110125:-1 gene:CCACVL1_20199 transcript:OMO67937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHGRLKERFCGKFPEFGAIFMSNASTREECFDRKLLGLPMSHADFVKGVKVGMILFLFEYERRQLHGVFKATSDGDIDIVPHAYSSSGKKFPAQVKFTTIWHCYPLREKEFSNIIRDNYFATNRFNFGLSKDQVQSLLGLFGSRMIKVSRSQSFIRSEIEKNYPESSKRRKMKRGKSTRTKGARKKLKGSSLDSSQSSLAFIAGHSSSSGLELLPNPDHAIQIQPVSVGEDVKELESSLNSDIELGDYIPLLQSDDSDSPDTRASPESGFFATDRTSLPFSLTGLYKDKCKPVPAASPIIEVGDVHIKENDYGLKSSIENHQEDNNSDYIYNLSVRGLYSDASNSRDSVFSRLNLSSGVEVKEDHTRVEKSVKRTRKQRNRTSEVDSSAQDIMEELQQMHDTWNETVGMPRSVERENDHTVSKRSSVFSRLSWD >OMO67936 pep supercontig:CCACVL1_1.0:contig12348:102463:106425:-1 gene:CCACVL1_20198 transcript:OMO67936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRTVQVKNLSDLASEREIHEFFSFSGDIEHIEIIGEPGQSKTAYVTFKEPKALEIALLLSGATIVDKIVTITRADDYVPKPDIHEARTADIVSISPAGHTSPNAEEGRSGSPRSGREYVSKAQDVVASMLAKGSAMRQDAVNKAKAFDEKHQLTASASAKVISFDRRVGLTEKLTVGISVVNEKVKSVDQRLQVSDKTMAAIFAAERKINNTGSAVKSSRYVTAGTAWLNGAFSKVAKAGQVAGTKTREKFNLAMSNLTAKDPIAV >OMO67935 pep supercontig:CCACVL1_1.0:contig12348:89253:95116:-1 gene:CCACVL1_20197 transcript:OMO67935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation, RCC1 MSTSPQGPKLNVQKSMRKVSPSGSHKDLWLVVQKGSLADVDSALALLKKSGGNINSRNNFGLTPLHIATWRNHIPIIRRLLAAGADPDARVCDSSHPLVHQNVFKFVMDVQSSFHDTSESIFQDGESGWSSLHRALHFGHLAVASVLLQSGASITLEDSKCRTPVDLLSGPVLQVSGSGHDSEATEVFSWGSGVNYQLGTGNAHLQKLPCKLDSFHGTTIKLVSAAKFHSVAVNSRGNVYTWGFGRGGRLGHPDFDIHSGQAAVITPRQVTSGLGARRVKAIAAAKHHTVIATEGGEVFSWGSNREGQLGYTSVDTQPTPRRVSSLRSKIVAVAAANKHTAVVSESGEVFTWGCNKEGQLGYGTSNSASNYTPRIVEYLKGRVFTGVATAKYHTIVLGADGEVFTWGHRLVTPKRVVIARNLKKSGSTPMKFHRMERLHVVAVAAGMVHSMALTEDGALFYWVSSDPDLRCQQLYSLSGKKMVSISAGKYWAAAATATGDVYMWDGKKGKDKPPVVTRLHGVKRATSVAVGETHLLTIGSLYHPVYPPNIPKSDQAPKFVDGEVEEFEEEFMFNDSESISMGSSIHKNDSGEKPIPSLKSLCEKVAAECLVEPRNAIQLLEIADSLGADDLRKHCEDIVIRNLDYILTISSQAFASASPDVLANLEKSLDLRSSEPWSYRRLPTPTATFPVIINSDEEDSESEIIRTRDSYKNKSPLENEGNRLDSFLQPKDDPNQGISKQVRALRKKLQQIEMLEVKQLGGCILDDQQIAKLQTRPELENSLAELGVLVEKSQSKGSYLVSPDGKGNKKGDVSRKQRRKNKQRVAQVDSISGFGASNIEPKSMKDLPDIEIPQVSMNKEENVVSEEVLADQASKESTLFVQKKESLPPAKDKCTSQTATKKKNRRGGLSMFLSGALDDTPKQVTPPPPTPRSEGPAWGGAKVSKGSASLREIQDEQSKIHVSQLPGSKNQVEEHFDTRNEGKILLSSYLQSKPIPVISGQVSQTSDMERSTPPWAAASGTPPHLSRPSLRSIQMQQGKQHQTLSHSPKIKTAGFSVATSQGSPSDSPGMNRWFKPEVEAPSSIRSIQIEERAMKDLKRFYSSVKVVKNQS >OMO67929 pep supercontig:CCACVL1_1.0:contig12348:13095:14102:1 gene:CCACVL1_20188 transcript:OMO67929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFQSLLKKGVTFTWGDLQQKGFEKVKDILTAPATMTPPIKGQPMMLYLTSTNESVGGLLVQEVEGVEKLVYYISRCLHGSELNYSPMEKHCLSLVEVTRKLCHYLLAHKLIVVTRSDPIKYLLSKTVMAGRASRWYFMLGEFDISVMQPKAVKSQALSDLLAYFPSKSLHEEILSDDLPGEVHVEVCHIEPSCGEWQLFFDGSSTTSGGGAGIVLIPPEARCEHEEALSLAFKLDFPCTNNQPEYETLVLGLHTATIIGVEELCIIGDSNLVVKQTNGEFSLKEPMLAPYRDLVRSFLDKFQSVRCEHSRRSFNRYADALATLASKINMPNGE >OMO67930 pep supercontig:CCACVL1_1.0:contig12348:22983:27493:-1 gene:CCACVL1_20189 transcript:OMO67930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, superfamily MTIGQLLASASENAEYMKYKDPNQPVEVRIQDLLKRMTLEEKIGQMVQTDRGAASAEVMNKYFIVCFQVIYILAIGMIKVNPLGMAT >OMO77608 pep supercontig:CCACVL1_1.0:contig10724:3649:6545:1 gene:CCACVL1_14934 transcript:OMO77608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSWARRVARRRAPKCRYTRQLLSSLTTSFSSKPLDVVLAANLGCSHCQKRVSDAISRIDAAVPSMMHKSFSPSTTKPRSNTIPHLLFHNRHMGIANTILQSDP >OMO77609 pep supercontig:CCACVL1_1.0:contig10724:7253:7473:1 gene:CCACVL1_14935 transcript:OMO77609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDVESIVVHVLEKKVTVTRQAAIYKKK >OMO67451 pep supercontig:CCACVL1_1.0:contig12430:2861:8968:1 gene:CCACVL1_20519 transcript:OMO67451 gene_biotype:protein_coding transcript_biotype:protein_coding description:SIT4 phosphatase-associated protein family MFWKLTALSASSPVESILDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLRYVVEEPPEDADSKRAFKFPFIACEIFTCEIDVILKTLVEEEELMNLLFSFLEPNRPHSALLAGYFSKVVVCLMLRKTVPLMNYVQVHQDVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFLDVMQWLADSNLLEMIVDKLNPSCPPEVHANAAETLCAITRNAPSALATKLSSPSFVARIFGHALEDSHSKSGLVHSLSVCISLLDPKRSAIVSPLMHSFRHQPMYEPPIPVNAETINAMLPKLGDLLMLLNLSSDEKVLPTTYGELRPPVGKHRLKIVEFIAVLLRTGNEAAEQELISSGTIKRVLDLFFEYPYNNALHHHVESIILSCLESKNDTIVNHLLQDCDLIGKFLQIDKNPILAGDSNQPTVPAAGKRAPRAGNIGHITRISNKIVQLGSSNSHIQTCLQENSEWNEWQATVLQERNAVENVYRWACGRPTALQDRTRDSDEDDLHDRDFDVAALANNLSQAFRYKIYGNDDNEEDHNALDRDDEDVYFDDESAEVVISSLRLGDDQGSSLFTNSNWFAFQDDRIGNAPVAASPTEVMDEINLNGTANGGNSSSDDEVVVGEEDELNENKQSLNGTSTSNAMNGFNDSMSGGDLNLQGEKANASHDMGFFRFDTPESVDLFGNRPLPEWVGWGESSDLQVGGSSKNPFLDDDSSDVNQPSHTEAMVTDVSSPSNGESILPNGSSSSDSMDLSDGSVSSDTSQKSPPPVPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNVIPKSPEKENPDDGGAGMKEFNDANYWRVDQEVAVLE >OMO99115 pep supercontig:CCACVL1_1.0:contig06955:9665:11829:1 gene:CCACVL1_03924 transcript:OMO99115 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthetase MKSKPEHGYVIFLLIQFLNSEQNGLSATDSRRLLCILEELYDEWPKRHRPEYRQKSIYEEFPALNVNTFESWEEIPEEFFVDYDELLNEARGSFDKGIELESWELKCLSEIKEVANIDQSTKLVTMETSVSTSYDQISDAVLDACFAQDPDSKVAYYEKIVRNTCRCIGFVSDDLGLDGDNCKVLVNIEQQSPDITQGVHGHLSKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGSRLTQVRKDGTCPWLSDTNLVVLDSNPPFEYPPKST >OMO99114 pep supercontig:CCACVL1_1.0:contig06955:5760:7235:-1 gene:CCACVL1_03923 transcript:OMO99114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLFNKFQDAVRTLAKSPTFARDPRKLQFEADINLLFLYSSYNRLGNDADEADAEEIIDMASKAPFYEQQKQVQENIHHQIKNFCTAMDEILLPDISQPQESQSNATPPQSGLSFAVGRISPSTNRPEGNVFYMLSYIDGTVINAQPWGYGGETRELSDGSTKADVRPKTEVTEQGSDRLWKMLSKPLDRSHVGSGGEILERRNPLALAHFANHPGKEMVPNVMVCPYDFPLTEKDMRAYIPKYHSEM >OMP05936 pep supercontig:CCACVL1_1.0:contig05125:2461:11949:1 gene:CCACVL1_01784 transcript:OMP05936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILIISWPSGTINTLHLCSWFGVTCSRSNGRVATLNLHGQKLVGSIPPSIGNLTFLTEINLENNSFHGEIPQEVGSLLRLQHLNLTSNSFGGELPTNLTHCSELRTLALNHNRFTGTIPNQLSSLTKLETLAIAANTLTGSISPWMGNFSSLYKLSLARNNLHGTIPNELGQLLGLGFFQLSQNHMSGIVPPSIYNISSIYMFSVAQNQLHGNLPPDIGLTLPDLEEFYCGVNNFTGFIPVSLSNASKLRILEFGGNGLTGTIPRNLGSLRDLITMNFGRNRLGTGRIGDLSFVDSLTNISTLEVLALDGNRFGGELPISMANLSHKLRTFTIGRNLIQGSIPAGIGNIVNLNLLGMEGNQLSGSIPEVVGKLRKLQVLTLHDNRFSGLIPFSFGNLTALTRLSMDENRFEGSIPPSLGNCRKLRVLNVSCNNLNGTIPREIMTLSSISISSSLNSLSGSIPVEVGKLIHIVELNLADNRLSREIPSSLGSCVSLGHLYLGGNAFEGTTPLAFKSLRGVEVIDLSRNNLSGQSPDFFNKLLFLRHLNLSHNDFEGEVSEAGIFANATAFSIYEIPELNPYFKGHDMVPKELIFEIFLRLSAKDLVRFRCLSKDVCQEIDSAPFTMANLNRSKLVFYNTNYIDANSLYVADFDDEDEISKLANPLNPDGCLIRDEFEVYGSCNGLLLLKNKTSRKAYSVDEVYYRWLLLNPLTRNFNKVIPCPREESPDISDDETLFGFWYDSIGNDYKLVQIIQSGNLRYEDDVEIWVFSFASNTWGKQTVPFCSNMFPQQYDNSGVFAYGSLHWLSQAITPSTQTQEIVTLDLTNEEFVHLIDSPSLNCRHRTCYLGSLLVIEGSLALMMREFQEFEYQQQIELYSAVKHEEEDYYVWTKLYNVILLLWMSSCLESAIPTFANESDRVVLNDFKSQVTQDPLNIMASWNNSVHFCSWFGVSCSPLNGRVVILNLEAQKLVGSIPPSIGNLTFLTGINLENNNFHGEIPQEVGRLLRLQHLNLSINSFQGKIPTNLTHCRELRTLSLAYNGFIGKVPNQFTSLSKLRLLELGANNLTGKIPTWIGNFSSLFGLTLSNNNFQGTIPDELGQLSGLGFFQLSGNYLSGIVPPSIYNISSIYFFSVTKNQLQGHLPSDVGVTLPNLKKFYVALNNFTGAIPATLSNASRLQMLDLGGNGLTGTIPRSLGSLGNLIKLGFENNSLGTGKVGDLTFFDSLTNISTLEGLGLAMNNFGGQLPSSIANLSNKLKIFTIGGNLVHGSIPVGIGNLVNLRVLGMEANYLSGTVPEVVGNLQNLNVLSLSDNEFSGLVPFSLGNLTALTELFIGDNRFEGSIPPSLGNCQNLLQLDVSSNNLSGTIPREIMSISSLSISLSLSNNNLSGSIPVEVGKLNNLGMLDLAENILSGEIPNSLSTCVSLERLYLEGNAFEGIFPLALKSLRGVAEIDLSRNNLSGQIPDFLSKLLILNRLNLSYNDFEGEVPQAGIFADASAFSVIGNNKLCGGVQNLHLPTCTRKSHGRHLGPKVVIPVTIAVIFVLLCSLSSCYLLRRSKSHSNALYEEWQSHLSYSDVVKSTNGFSEENLIGSGSFGSVYRGKFSHDETVVAIKVLNLQQKGAARSFIDECNALRSVRHRNLLKIITACSTIDHQGNDFKCLVFEFMPNGNLNQWLHPEANEQFQNRKLSLVQRLNIAIDIASALDYLHHQCETPMVHCDLKPSNVLLDEHMTAHVGDFGLARFLFDSFDNPSSNIHSLSVQLKGSIGYIPPEYGIGGQASIYGDIYSYGILLLEMFTGKSPTDDMFKEDQSLHNFVEAALAEHAMDVVDLSMLSEEDNIEEETKESAMKVEEFVVPVMKIGLSCSAKLPVERMIVTVVVNKLTDIKDRFLKLKRNNKRMIRKH >OMO50849 pep supercontig:CCACVL1_1.0:contig16040:17889:21967:-1 gene:CCACVL1_30206 transcript:OMO50849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoenolpyruvate carboxykinase, ATP-utilizing MESKGIENGVGRNGLAKIQTQDNGVEVCHDDSATPVKATTIDELHSLQKKKSTPNTPLYNKDAQGNNAFANNVSEVQRQKQQLQSISASLASLTRETGPKLVKGDPASKHETSKVAHHHVHHVTPTISVSDSALKFTHVLYNLSPAELYEQAIKYEKGSFITSTGALATLSGAKTGRSPKDKRVVKDETTEDELWWGKGSPNIEMDEETFMVNRERAVDYLNSLDKVFVNDQFLNWDPEHRIKVRIISARAYHSLFMHNMCIRPTPEELEDFGTPDFTIYNAGRFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPKRQILSLHSGCNMGKGGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSENGVSNIEGGCYAKCIDLSGEKEPDIWNAIKFGTVLENVVFDEHTREVDYMDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKHGATGWLVNTGWSGGSYGSGNRIKLAYTRRIIDAIHSGSLLEANYKKTEVFGLEIPTEIEGVPSEILDPVNTWPDKKAHEETLLKLGGLFKKNFEGFVNYKIGEDSNLTEEILAAGPIF >OMO50847 pep supercontig:CCACVL1_1.0:contig16040:520:1509:-1 gene:CCACVL1_30204 transcript:OMO50847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MDSLIVSPLLQVIYEKLASYVIRIGTLQGRKKDIKKLRDTLLIIQAVIEDAEERQLKDNKVKIWLSKLRDVAYDADDLLDEISTQFLQRELVKQKPPTDQSHKISIVGTCRAQKTSVRNKLRRIQSVIYKETQRRIQVSSFTLQSVPGYFKISHKLKEICQRMDDIAKEMSTFQFKEVNSYDTREKRVRETGPTVDESKVYGRREEVKQVLDLLVSNSNVWVIPIVGIGGIGKTTLAQLVYNDQRLDGHFDLKIWVSLYDNFSTKKIVSKILECVTKHRCASSEMIVLQSQLQESVCGKRYLIVLDDTQTNISVKKLMAMTMANRCLKG >OMO50851 pep supercontig:CCACVL1_1.0:contig16040:37087:39490:-1 gene:CCACVL1_30208 transcript:OMO50851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGTGWRRAFCTTIPRDPETSVLDKHQQQQNPSPSPSPRSCAKLSFFSSGSNPSTPRFQSQPVSSPSLRCRTNEPSSTNESPTLHCKTPKSATKSPRPILSSNPSSPRSPLKLSLFRNSFKFRSSCGICLNSVKTGQGTAIYTAECSHAFHFPCIAAHVRKHDSLVCPVCNTTWKDVPLLSIHKNQSPPQNDTVLIETTTPRIEEKKIIESYSPRIVNQPEQKPIPKPKQSDLRSYDDDEPLLSPTAGGRFIPIPEADENIEEEEEEDVEEFQGFFVNANPSSAVKSDEVVPNSGRDMRNVQVRLSPETAVVSVGRGYETYAVALKIKAPPPPPAKIQTYSRNSGNTASHLDPSHRAPIDLVTVLDVSGSMTGAKLQMLKRAMRLVISSLGSADRLSIVAFSASPKRLLPLRRMTAQGQRAARRIIDRLACGQGTSVGEALRKATKVLEDRRERNPVASIMLLSDGQDERVQSNASNQRHHSGHVSSTRFAHIEIPVHAFGFGQSGGYSNEPAEDAFAKCVGGLLSVVVQDLRIQLSFASGSAPAEITAVYSCNGRPSVLTSGSVRVGDLYAEEERELLVELKVPTSAVGSHHVMCVRCLYKDPVSQEVVYGRDQALLVPRPHAVRSSAPKIERLRFFFITTRAIAEARRLIECSNDLTSAHHLLASSRALLMQSNSMLAEEYVKGLEIELAELHWRKQHQMMEIQRRRVMNERERERDAMNMVVMDENGEPLTPSSAWRAAEKLAKVAIMKKSMNRVSDLHGFENARF >OMO50850 pep supercontig:CCACVL1_1.0:contig16040:30745:33354:1 gene:CCACVL1_30207 transcript:OMO50850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetrapyrrole biosynthesis, glutamyl-tRNA reductase MAVSSTFSGAKLESLLVNSCSSSSASSLRAYFPCQQQMKALSKPNNRGRKNMVQKSVRCEVAQSDAALEGEKMDPAKASALSALEQLKTSAADRYTKERSSIVVIGLSIHTAPVEMREKLAIPEAEWPRAIGELCGLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKTSGIPVSEICEHRFLLYNKDATQHIFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVVGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELALMKLPEPSHTTARMLVIGAGKMGKLVIKHLVAKGCTKMVVVNRSEEKVAAIREEMKGVEIIYRPLTDMLACAAEADVIFTSTASETPLFFKENVQDLPAVSSEVGGLRLFVDISVPRNVGSCVTDVEGARVYNVDDLKEVVAANKEDRLRKAMEAQAIIAEESKQFEAWRDSLETVPTIKKLRAYAERIRLAELEKCLSKMGDDIPKKTRRAVDDLSRGIVNKLLHGPMQHLRCDGSDSRTLNETLENMHALNRMFGLESDISLFEQKIRAKVEQSQK >OMO50848 pep supercontig:CCACVL1_1.0:contig16040:4680:8330:-1 gene:CCACVL1_30205 transcript:OMO50848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEVASLVVSPLVQVVYEKLVSYLNTLEIPADRKKNIKTLQDKLLIIQAVMEDAEQRQLKDKKVKIWLSKLRDVAYDADNLLDEITTRVLQKPLLKKKAPMVVSVSLGAQPPPPARHRQPLLTRIKLSIQEETTRQVGFASFALQSILTSFQMPRKLTRILERLDDIAREMSTFQFRQVVAYKRSDTREKRETGPYVDQSQVYGRREDLRKIVHMLLSSDPSIWVIPIIGIGGIGKTTLAQLVYNDQSLDGHFDIKIWVSLYDNFSSKRLVCEILECVTKHRNESSQLGVLQLQLQGSLCGKKYLLVLDDVWNDDRDEWDKVRNLLRCGAEGSRIIVTTRSEKVASVMTSSPPYHLEALTEDDCWTLFKQQAFASGEEDSFSTLLPIGRRIIGKCKGMPLAAKLLGGSLRFKREEHEWLRVQESDIWNLDAGENRILSVLRLSFNHLPSHLKQCFAYCAIFPRNYHLNKEKLIQQWIAGGLVQLSAGDTPEMLEHVGNDYFNDLLKMSFFQLASNSSSCSTMVEFKLPDLIYELAKGVAGNEFLEMGNRSEQRSLANSYQTQGVVSDVAETRHASVDSDYRSNFLPQAMYKAHKLSTLNLLSSADVSTKALKKIVACFRHLKILNLSGSGIKKVHRSIGKLIYLRYLDLSNTCLQTLPATIGHLCNLLTLELSGCADLVELPEEIINLIGLRHLNIRGCVRLACLPAGIGDLVNLQTLPIFIVGDKSHLHELCRLKHIQGELKIKDLRNVDIESYLSHMQIHTLHLLWGNDDEGKLNRYTSRGATQRQQDACLVLSCLEPHSIIRRLSINGYPGQHFPEWLNCVTVQNLTELDLINCRNCETLPKLGRFPHLKSLKIQGMDNVVRMDSELGEGMKLFPSLHDLTLRDFPQLRTWEDMGSTEAFPCLERLAITKCPLLNTMPRFPSLQHLEVQNCDPLILRSVAEQKTLLTLSIDSFTELPFIPKPLSENCSLLRSLTVVSCPNLPSLPSNLGKLTALKSLKIGWCEMLDNLPRQLANLSSLETLEIIECPRLITLPDGIFERLSLLRSLSIENCNALTSLPTGLRQATTLERLTVMYCPNLASLPDSVQNLSALKGLTILSCPELASLPEGLEDIQTLQNLEIRICPRLMALPKLGNLVSLRSLAISECENIKSLPEGIQQLSAIQHLSIRACPNLEKRYERGVGEDWQKIAHIPHVYLGTSVLQTRQNTAVGSSSS >OMO92090 pep supercontig:CCACVL1_1.0:contig08266:9130:16715:1 gene:CCACVL1_06923 transcript:OMO92090 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase PIF1, ATP-dependent MQIRLGELRSIGDGERQGNGVVHPSLERSSSVLRGGRLFQQFIVDASSTIEETRLRYIKDNQKSMRAEMFREVRDSAAAGDAHAITIALRPIRGQRPEDCPDIVSRVFRIKLKHLLNDLTKKQIFGKTKAGEDIDKIVSIELSDKDIDPIGYAAVAKHMMHSPRGSAFPNAKCMKDEVWGARTLLYTDFLTKWTWKCKEKIWVPRKNRNSIGRIIYIHPPCGEVYYMRLMLNKIRGATCYEDLRTVDGVLCDTFEEACYAIRLLGNDAAWESAIDEAAVVATGLELRSLFFLFSSVLKLDEAPLVHRNCIEALSKTLQGIMSDEDSNALSKTFGGKTVVFGGDFRQIRPIIPSGTKSEIIDAIIISSPLWADCKLLTLKTNMKLSNEGLAEEEREQMGSFAKWLLDIGNSVVPSIQPPEDQEGEIINVPPDLLLTTPSDPISSIISAVYPNFEDNFTGQKYLSERAIVTPYNEIVDSITETVSCRILGVEKRYISSDYISNESTSVLDRAALYSVEYLNTLKFPSIANHELPLKVNSVVMLLRNISPTEGLCNGTRLLIVKDDVEGDHGQMLLILKDELEADFAAQTTRSESIRQITNYSIGALYSVTSKTTVTATHSGREVQKMDCLIIEPSGGRMGITLWESCFEQTDPEELLSLRPAAIMLLHGLLPKTYNENLYLQSSSMARIVVNPDIPELIQIEKLMRLPMIIKDSTRDMEVIAFDKHAKTMKNIKIATMASKKGVLNAVIPPELTVVQGRSFIITLGLTAQAVIDSVHSFRIYEVTRIEEELPAPATHPEYHLHLTHELQNSSSELANDQLASSVGPGMIEELITTDPAQENKKK >OMO79662 pep supercontig:CCACVL1_1.0:contig10391:132:698:-1 gene:CCACVL1_13521 transcript:OMO79662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MAASTPLEKTYWFILLFFFLISHQSVLATRSKTLKQKPCKRFSLYYHDNRFSGNATSLANATSLAVINATGFGDKNFGMFVIFDDPMTKDRSYLSAPVARAQGFYFYDGKLQYNVWFAFSLVFNSSKYKGTLNIMGADLFSQKTRDMSIVGGTGDFFMAKGILTIYPDVVQDDYYFRFKMEIKLYECY >OMO79663 pep supercontig:CCACVL1_1.0:contig10391:1810:6084:1 gene:CCACVL1_13522 transcript:OMO79663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGITLRESGLVLHSLLPPCPKPPKSFNSHFLSLSKKHSSKLSSRHQRLLLSRCYKNWVQSTNEEWFGGLLEKVAERQHELEYRYLDCKNREETIALGHKSEDCCVSDHAPVINNLRRGNYVPSNLAVSNTLFNNNLLKFMVLFGLLTFQSSEPAVAVSDIATGSLSIPYIGDLGDISTGFASAFLLIFFSELGDKTFFIAALLAARNSAAVTFIGTFGALAVMTIISVVLGRTFHYVDEILPFRFGAADLPIDDIAAVCLLVYFGVSTLLDAASSDNQKAEDEQKEAELAVSEFSGNGAGILAAANTVISTFVLVFVAEWGDKSFFSTIALAAASSPLGVIAGALAGHGVATLLAVLGGSLLGTFLSEKAIAYIGGTLFLVFAAVTLVEIVN >OMO82810 pep supercontig:CCACVL1_1.0:contig09970:23162:25356:-1 gene:CCACVL1_11756 transcript:OMO82810 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 29 MSRNSNNNIKNRTQTLNYTNPILINVLAIIIVLFLTVAPHSSSALKTLPPLPILPLPSSLQLEWQLSPMALFFHFGPNTFTDSERGTGHENPSVFNPTRLNASQWVHVAKEAGFSRVILTAKHHDGFCLWPSEYTDYSVKSSSWRNGNGDVVGELAMAAKEAGIALGIYLSPWDMHESCYGKTVDYNEFYLGQMVELLTRYGDIKEVFLDSAKGETDMEYYFDTWFSLIHQLQPEAAIFSNAGPDTRWIGNEAGVSASTCWSLFNGSRVAIDGFDALYSQQGDPHGIDWIPAECDVSIRPGWFWHPSEVPKSALQLLDIYYLSAGQNCLLLLNVPPNASGLISDEDIQVLQEFKEVRKSIFATNLAKNARLNASSIRGGKDNSEFSPYNVLEEGIYTYWAPQEDQSDWILYINLEESMSFNVLQVQEPIQMGQRIIEFHLESLHGGKWKKVINGTTVGYKRLLKFPTVDSQYLKFVIDKSRADPLISYLGIHMDRFSVTSFVPNTTSQAYVNGGEVLQQVVYNHSQIVGTK >OMO82809 pep supercontig:CCACVL1_1.0:contig09970:18985:21433:-1 gene:CCACVL1_11755 transcript:OMO82809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 29 MALFLHFGPNTFTDSEWGTGHANPSVFNPTRLNASQWVHVAKEAGFSRVILTAKHHDGFCLWPSEYTDYSVKSSSWRNGNGDVVGELAMAAKEAGIALGIYLSPWDRHESCYGKTVDYNEFYMGQMVELLTRYGETKEVWLDGAKGEDEKDMEYYFDTWFSLIHQLQPGAVIFSDAGPDTRWIGDEAGAAASTCWSLFNRSNAAIGGTDPQYSQHGDPDGTDWVPAECDVSIRPGWFWHASEVPKSALKLLDIYYTSAGRNCLLLLNVPPNSSGLISDEDIQVLQEFKELRISIFDTNLAKNARLNASSIRGGNDNPQFGPYNVLEEGIYNYWAPQEDQSDWILYIDLGESMSFNVLQVQEPIHMGQRIIGFHLESLHGGKWKKVINGTTVGYKRLLRFPTVESQYLKFVIDKSRADPLICYLGIHMDRFSVTSFVSNTTSQAYVNGGQVLQQVVYNRSQIVQTK >OMP12070 pep supercontig:CCACVL1_1.0:contig00476:319:378:1 gene:CCACVL1_00147 transcript:OMP12070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRQTNVDYKYKYSCPVGIKS >OMP11390 pep supercontig:CCACVL1_1.0:contig01338:842:922:1 gene:CCACVL1_00547 transcript:OMP11390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QRNEIMQSFFVAVNNLLKNFYRSTRR >OMO65598 pep supercontig:CCACVL1_1.0:contig12638:19762:21628:-1 gene:CCACVL1_21477 transcript:OMO65598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase I, TruA METSDTPDTNIATSPHLPPPLPPPGEGPEPKKLKMSTTATSDDEEVAGATDGAKKQRYKRRKIAIFFAYCGVGYQGMQKNPGAKTIEGDLEEALFHAGAVPEQDRGNPKRYDWARSARTDKGVSAVGQVVSGRFYIDPPGLVERLNQILPAQIRIFGYKRVTASFNSKKFCDRRRYVYLIPVFALDPSCHRDRESVMASLGSGNELVKCLECSERGRKVAGVMGKRSFEAKSTVVQSDISNNGDDINVVKDENLTSEFKDATDSIVQNQSSDAKSIIVQSDISSNNGDDINLVKEDNLTSESKEVSDSSVQNEVTDVDVDVDVDRKPVEERKFCYGEEEKERFNRILKHYVGSHNFHNFTTRTKAEDPAARRYIISFNANTVVNVEGMDFVKCEVVGQSFMLHQIRKMMGMAVAVMRNCAPESLIETALRKDVHINVPTAPEVGLYLDECLFASYNQKWKDSHEELSMKAYEEEAEEFKMKFIYSHIASTEREEGVVGLWLHSLNHRNYPDLRACDGKAVEGKSPKVDDNADTTEEKTAAVEKNGNNDENAVEGKSPKVDDNADTTEEKTAAVEKNGNNDEVQSTKEEKMTE >OMO65599 pep supercontig:CCACVL1_1.0:contig12638:25137:25226:-1 gene:CCACVL1_21478 transcript:OMO65599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGWTGSQCDEPKLPATSREAISTENSN >OMO65597 pep supercontig:CCACVL1_1.0:contig12638:4261:11522:1 gene:CCACVL1_21476 transcript:OMO65597 gene_biotype:protein_coding transcript_biotype:protein_coding description:squalene monooxygenase-like protein VMRFEDHSHMIEDIGFQLRQRSPEKGPSANVIIVGAGVAGAALAHTLGKESESATSHYSLTF >OMO65600 pep supercontig:CCACVL1_1.0:contig12638:29669:31520:-1 gene:CCACVL1_21479 transcript:OMO65600 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MQPQLKPPPGFVCKKSLSTQRTPLTKFPRGSSTTALGNPARGLLAARKAIPAQTESSKGCPAGEKRKSFPLRENSELVQEYDSDSTQSPDESDDGYGSEDDHDMITNSELPDHCEKNGRGQYSGKRLDLKTQGGTVKLTELFECPTDERRFDEYCKIAMRNMYTAWRNRLHTIYKSYASAEKARENLPQGLKQHQWDSLIELVFEDEEFKKVSERNSANAKKRKQVHTTGNNSFAEFEDRLVHPETGLLPRADDIWFNEHSRKNKDGEMVWSDDYSKGIGEKMKSLIDDPSQEVPRTRDEIHIGVMGGRSGYSRGFGYGKQGLIKGTRASRSLLQQRDQEIEQLREKMADMEAKQEEKEARAQERIEELEKRHAERLENMHAEILSKLPTNWINDFPSFQMTPTQTQHK >OMO57466 pep supercontig:CCACVL1_1.0:contig14366:5582:5641:1 gene:CCACVL1_25757 transcript:OMO57466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKPQFIPASIAKIDIRNT >OMP11076 pep supercontig:CCACVL1_1.0:contig01579:411:1536:-1 gene:CCACVL1_00681 transcript:OMP11076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNPIPTRQYHLLKTNIFGFTTTPIHTIHFRDHWLLFKGRVRWLLFAATPISSIFTRRSSISDPPSPFRGFELAPPELRAIQGVANQSWETRTFGTLTPRPTALALVPVGFDDEISLD >OMO96511 pep supercontig:CCACVL1_1.0:contig07458:799:971:-1 gene:CCACVL1_04922 transcript:OMO96511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPSLWGQHALDVTTIVKARMNNAGKASALIQQEWHRRSVFTISGEVDSNLLTRAP >OMO83925 pep supercontig:CCACVL1_1.0:contig09823:5016:12000:-1 gene:CCACVL1_11084 transcript:OMO83925 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family MDALILGCSTGGPSLLNSGSSIGVQVPSCKCNLYNIAEKFYTLRFRQHIVKNHASGVHETSTGFQKSNRKFLVNATSGHPIESEPESYPKSTWNSVINALDAFYRFSRPHTVIGTALSIVSVSLLAVQNVSDVSSLFFSGVLEAVVAALMMNIYIVGLNQLSDIEIDKVNKPYLPLASGEYSTLTGIMIVASFSVMSFWVGWIVGSWPLFWALFISFVLGTAYSINLPLLRWKRFALVAAMCILAVRAVIVQLAFYLHIQTHVFGRPAIISKPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIKSYTVRLGQERVFWTCISLLQMAYGASILVGATSSYTWSKVITVLGHSVLAVILWIRAKSVDLKSKASITSCYMFIWKHSTVHAEYLPSMHDLDENDRRGGAFAPGEVHEPSALPALPILVPFWWLIHK >OMO83926 pep supercontig:CCACVL1_1.0:contig09823:40637:60919:-1 gene:CCACVL1_11085 transcript:OMO83926 gene_biotype:protein_coding transcript_biotype:protein_coding description:ubiquitin carboxyl-terminal hydrolase 34-like protein MSPPSTKPSSAASTLTVNASSKPDKTQDPSFINRNPKPETIETPKKIVQIVTPRPKVVKGVVHIAGLALINPDLTRVAHLVMMGESPNRGSCVR >OMO53774 pep supercontig:CCACVL1_1.0:contig15157:12255:15993:1 gene:CCACVL1_28355 transcript:OMO53774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWQGKFWIVLVLIIQVLGANSYTSTVQAKPHRILLDTDVDTDDFFALLYLLKLNRSEFQLEAITISTNAWTDAGHAVNQVYDLLYMMDRDDIAVGVGGEGGILENGTIEPNVGGYLPIIEQGKTTAGYCRYRQAIPVGLGGRLDINTNYGIRKQFLPQGRRKYTPLQQPTAQQVLIEKVSGGPITLFVIGAHTNVGIFLMKNPNLKKNIEHIYVMGGGVRSKNPTGCCPKNASTPCQPRQCGDPGNLFTDYNTNPYAEFNIFGDPFAAYQVFHSGIPVTLVPLDVTNTIPITEEFFKAFEERQSTYEAQYCFQSLKMARDTWFDDQFYTSYFMWDSFTSGVAVSIMSNLHKKNGENEFAEMEYMNITVVTSNKPYGISDGSNPLFDGLKVPKFNLKKGGVHSGHVQTGLRDPFCFVENGKGKCRDGYTEEITGPDAVQVLVATKAKVNKDASSKLDREFFISFLEVLNRPEHAGKFNLSTEFPYYREVHYKPDFKHKKLGKPVVFDMDMSAGDFLALFYLLKVPVEVLNLKAIIVSPTGWANAATIDIIYDLLHMMGRDDIPVGLGDMFAMNQSDKVFPPVGDCMYAKAIPHGSGGLLDSDTLYGLARDLPRSPRRYTAQNSVKFGAPRDTDHPELRQPLAIEIWNSTLKTLDPKSKITILTNGPLTSLAKIITATNSTSRIENVYIVGGHISQGRIDKGNVFTIPSNKYAELNMFLDPYAAKTVFESGLNITLIPIQTQRKVSRFTKTLERLLLTKKTPEAQFVQRLLSRLFTLQQTHHQYQHMDIFLGEIIGAMFLAGDDQSLKPNLKEMSIKVIAEGVESRDGQILIDRKQGKLVRILKNVDPIAYYDLFANRLGDKKQSAVLGSYDEQKIMWNTPPNKQTVRH >OMO53775 pep supercontig:CCACVL1_1.0:contig15157:22175:24853:-1 gene:CCACVL1_28357 transcript:OMO53775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metridin-like ShK toxin MAVASSIINPSKVKQVSWKPRAFVYEGFLTDLECDHLISLAKSELKRSAVADNVSGKSKLSEVRTSSGMFIPKAKDPIVDGIEDKISTWTFLPKENGEDIQVLRYEHGQKYDPHYDYFVDKVNIARGGHRIATVLMYLTNVTKGGETVFPQAEEPARRKTPAGDDDLSECAKKGIAVKPRKGDALLFFSLYPTAVPDPNSLHAGCPVIEGEKWSATKWIHVDSFDKNVAAGGECADVNESCERWAALGECTKNKEYMIGTAELPGYCRRSCKVC >OMO53776 pep supercontig:CCACVL1_1.0:contig15157:28590:35394:-1 gene:CCACVL1_28358 transcript:OMO53776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKESGGSTFDLPEEVLQVLPSDPFEQLDVARKITSIALSTRVSLLEDESSSLRAQLAEKDQQIADLYGQIDSLDASSSEASDKLLKVEQEKESLLKDNASLSNTVRKLQRDVAKLEVFRKTLMQSLQEDEDSSAGGPHIIAKPTPSEDEVTMPSRTSSMRSQFSDVGNSFAEDRDTDVAATRPGISHGFLLASQTSTPKLTPPGSPPSLSASVSPTRTSKPVSPRRHSVSFSTSRGMFDDRSSISSSDPGSHTGRTRVDGKEFFRQVRSRLSYEQFGAFLANVKELNSHKQTREETLRKAEEIFGPDNRDLYAIFEVKTTYQPGSPVPGLVTSEKPWRRPENIHDLASLGNEGKTDHEPPAEDFHEEEFVEFTEPRSVESMESAESEPTSSMASTSSDSESLQNSNANSVHWRGFFKLLKKGPGIHFQTIPPIAKPKLTRRKSKRIRDDMLPMSPALDVELCYLKSSWKNFSLHELQEATNNFSHDNLIGEGGYAEVYRGQLTSGKLVAIKRLTRGTPEEMTIDYLSELGIIAHVDHPNIAKLIGYGVEGGMHLVLQLSPHGSLASLLYGPKEKLTWGIRYKIAVGTAEGLGYLHEGCQRRIIHKDIKASNILLTEDFEAQISDFGLSKWLPDQWTHHTVNKVEGTFGYLPPEFFMHGIVDEKTDVYAFGVLLLELITGRQAVDSSQQSLVMWSKPLIKSNKLEQLIDPTLGDAYNFDQLERLIAAASMCINQSAANRPQMSQVVGILKGDLRSLEILKRSEKSQLQRTYSEEINDAEEYNSTKYLNDLSRQMEFLLDVEQEEEDQEEEEEQSNDVEQEQQSHDHVKKSSDDHLQQTNHV >OMO73360 pep supercontig:CCACVL1_1.0:contig11251:17867:17926:1 gene:CCACVL1_17311 transcript:OMO73360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNTSILKQNHETIGHSK >OMO91076 pep supercontig:CCACVL1_1.0:contig08385:9214:10712:1 gene:CCACVL1_07220 transcript:OMO91076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molybdopterin dehydrogenase, FAD-binding protein MGVQSQLLRELETARMVSILFRKDLLVSMLPNVAFVLPECVSLFSALVNAEKDTNRPQPRPGFSKLTVGEAEKAISGNLCRCTGYRPIADVCKSFATDVDMEDLGFNSFWRKGETDQVKLSKLPLYNPNNNNASSRFPEFLNKENKRGANLMSCQGHQWYSPASLEQLQRLLMQDQNGANYNDQTSVKIIVGNTGMGYYKELEHYIDKYIDLRYIPELSIIRKDQTGVEIGAAVTIAKAIEALKEENEVGFQFHQESKTVFRKIAAHLEKIASRFVRNSGSVGGNLMMAQRKHFPSDIATILLPVGTIMNLMTGQKLEKLPLEEFLERPPLDYKSVLLSIKIPCWESRQDISSENDTNLLYETYRAAPRPLGNALPYLNAAFLAEFLSPLIYSPAEISGDCVNGIMLKDSKIEPNSDQSGEIQLPTLLTSGKQVIQSSKEYHPVGEPVTKAGATLQAS >OMO93635 pep supercontig:CCACVL1_1.0:contig08085:40970:44213:1 gene:CCACVL1_06424 transcript:OMO93635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGKGSQEEEEYEEEEFGSKKQGPSSNQTMSVNANKDGKNSDKASAIRSKHSVTEQRRRSKINERFQILRDLIPNTDQKRDTASFLLEVIEYVQFLQEKVQKYEGSYQGWSSEPTKLMPWSMKNGSGPGSTYAGKFDENNINISPTMITNAQNPLEHDPIRDAASKTMDRQPELVNKGMPLPTRGDNVLVHPLQRPISEAQSTECLISNETLSQPEDLTIEGGTISISSVYSHGLLNSLAEALQSAGLDLSQANISVQIDLGKRANRGLTSGTSAKVGLKLT >OMO93633 pep supercontig:CCACVL1_1.0:contig08085:35246:35353:-1 gene:CCACVL1_06422 transcript:OMO93633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAKRETQESVCKNAHGKKEIFPDESTGLKARNR >OMO93630 pep supercontig:CCACVL1_1.0:contig08085:6063:9393:1 gene:CCACVL1_06419 transcript:OMO93630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKAKEEYLRADTLRQEALDALRVLQDHVGEDNLIPEVEALVRDLKKKFNHVELRKGNAGAKQIKEREKAVVPQSQWGQQDHGLNTSSFLLP >OMO93632 pep supercontig:CCACVL1_1.0:contig08085:25787:27712:-1 gene:CCACVL1_06421 transcript:OMO93632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKLDSPVQTQMAVAVFKSPLRGEYPRNNNRMEGKQPTGRRRVFVQTENGCVLGMELDRCDNAHMVKRRLQVALNFPTESSLTFGDVELKNDLSAVRNDSPLLLSRNYLHRSSSTPCLSPTGRDLQQRDKSGPIEILGQSDSFDVLKVVVKDIVKAIKMGVDPLPVHSGLGGAYYFRNARGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVVAYLLDYGHFANVPPTALVKITHSVFNVNDGVNGHKPHKKNLVSKIASCQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLDGIGRFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSEDELEYIEKLDPIKDCEMLRRELPMIREACLRVLVLCTIFLKEAAAFGLCLAEIGEMMSREFRAGEEEPSELEVVCLEARRLIAERELPSPKSDKGDAEFQFDIDCEEAESDFTPKMAAEDFMSRAPFQLGNGFMNGRFPLSKLDECFEEDEESEGEPEQEEFSAFKALERIPTISKLSMSLKDTSLGDKSQKFSKFSGTKQESGYLTSSSGHRSANEQLPASVSFVKLADMNEEEWAYFLEKFQELLYPAFEKRKSVTLGQKQIQRLGTSCQF >OMO93631 pep supercontig:CCACVL1_1.0:contig08085:17938:20561:1 gene:CCACVL1_06420 transcript:OMO93631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyladenine glycosylase MSKANARRHIMEKNRSPTKEKEKPASQNVLSKHLKKIYPIGLQRSSSSFSLSSLSLSLSQNSNDSSLTDHSTTPLEQKISLALSLISPHHVRRDFAAAPVVKSHVHHHQQQQQQQQQSQDPGNGEVRRCNWVTKNSDKLYISFHDEQWGVPVYDDNQLFELLALSGLLMDYNWTEILKRKEQYREAFSGFDPETVAKMGDKEINEISSNKAIMLPESRVRCIVDNAKCILKIVREYGSFSSFMWGYVNYKPTINKYKYPRNVPLRTPKAEAISRDLLKRGFRFVGPVIVYSFMQAAGLTIDHLVDCFRYSECVGLAERPWRHI >OMO93634 pep supercontig:CCACVL1_1.0:contig08085:38079:40033:1 gene:CCACVL1_06423 transcript:OMO93634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGQKGHRISPFLKSILASWFPVFAEDEDDVKDKKVAPPLSGPEAKMVDAAKHFSSAHKQGSSKYQAIMKEGKKGNRVSSFLRSILAYWFPVFAEDEDDVKNKKVAPPPALSGPEAKMVDAAKHFSSAHKVRLI >OMO94287 pep supercontig:CCACVL1_1.0:contig07926:12183:16693:1 gene:CCACVL1_06068 transcript:OMO94287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGLDFLSLNCTTKFDELLR >OMO65121 pep supercontig:CCACVL1_1.0:contig12691:2238:2426:1 gene:CCACVL1_21579 transcript:OMO65121 gene_biotype:protein_coding transcript_biotype:protein_coding description:quinone oxidoreductase-like protein MKENGKVVIVIGAVIVPAFVFIVTSNRADLEKLDPYLESGKVKPVIDPKGVYPFSKTLEGLA >OMP00347 pep supercontig:CCACVL1_1.0:contig06683:6036:6442:-1 gene:CCACVL1_03369 transcript:OMP00347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MADECQGKSSWPELLGANGEIAAATIESENPNVNAVIVLDSPETIITPDFLCTRVRVWVHTNGNVTRVPVIG >OMO91547 pep supercontig:CCACVL1_1.0:contig08330:11204:12478:1 gene:CCACVL1_07077 transcript:OMO91547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTGWNTLIIINEEDILCLAKANKNLRSVAKK >OMO50723 pep supercontig:CCACVL1_1.0:contig16067:61798:63880:1 gene:CCACVL1_30299 transcript:OMO50723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIKDISFCRFCSDHGLYCDIRQPPFEEKERLSAIRDSLKDVEHLLLHLQMFQKSIEFLVPRDIDVSEADKLDPNIICAFLKVWNRRIMWNQWRTMLHFEYLSVLRHRLMMEVIPDSADLSFTADFDLSSSMSP >OMO50721 pep supercontig:CCACVL1_1.0:contig16067:41559:42692:1 gene:CCACVL1_30296 transcript:OMO50721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MASKDPARFKYLSTLVAQKKTKVPIASGQHVLNIGNYVVRVELGTPGQVMFMVLDISNDVAWVPCSGCTGCSSTTFLPNTSTSYGTVGCSLPLCKQVRGLSCPATGTAACSFSKTYGGDSSFSANLVHDSLRLANDVIPNFAFGCIKSISGGSIPPQGLLGLGRGPMSLLSQSGARYSGVFSYCLPSFKSYYFSGSLKLGPLGQPRKIQYTPLLNDLHRPSLYHVNLTGVSVGQVRVPINPKFIAFNPDMGTGTIIDSGTVVTRFTQPLYEAIRDEFVKHVKGPFLTIGIFETCFDASAENQPPSVTLHFEGLSWTLPMENIYLHTSAGSTACLAIAPPPNNVNGALNIIANLQQQNHRILFDVPNSRVGIAREVCN >OMO50720 pep supercontig:CCACVL1_1.0:contig16067:36762:37856:1 gene:CCACVL1_30295 transcript:OMO50720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein A MSGNLGCVIVAVDGSEESMDALRWALDNLKLRSSTPDSTESSGHFLILHVESPPNIAAGLNPGAIPFGGPTGLEVPAITSAIEAHQKRITEVILDHALRICSERNANVKTQVVIGDPKEKICEVVENLHADLLVMGSRAFGPIKRHKNNGVEEIPVIKIV >OMO50722 pep supercontig:CCACVL1_1.0:contig16067:43580:46711:1 gene:CCACVL1_30297 transcript:OMO50722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MGKPDNSDLSVIPIYGKCSPFNPPKPESSWVNTVIDMASKDPARVKYLSTLVAQKTTAVPIASGQQVLNIGNYVVRVKLGTPGQLMFMVLDTSNDVAWVPCSGCTGCSSTTFSPNTSTSYGSLDCSLPQCTQVRGLSCPATGTAACSFNQSYGGDSSFAANLVQDSLRLANDIIPNFAFGCINSVSGKSVPPQGLLGLGRGPLSLVSQSGSLYSSVFSYCLPSFKSYYFSGSLKLGPIGQPKSIRTTPLLKNPHRPSLYYLNLTGVSVGKVRVPIDPEVIAFNPNTGAGTIIDSGTVVTRFVQPLYEAIRDEFVKQVKGPFTSIGVFDTCFDATAETQAPLLTLHLEGLSLTLPMENSFLHTSAGSRACLAIAPVPNNVNGALNIIANLQQQNHRILFDVANSRLGIAREVCN >OMO50718 pep supercontig:CCACVL1_1.0:contig16067:3546:3617:-1 gene:CCACVL1_30293 transcript:OMO50718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEKKSGVKGKKNGQIKRRQVTK >OMO50719 pep supercontig:CCACVL1_1.0:contig16067:23745:30263:-1 gene:CCACVL1_30294 transcript:OMO50719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MLLAGDLGFSSLVLVVSLVVPLIGLYIRRKWRLSVARQAEIKRLLILASEEAARAELEASLGYGTVSVSQNYHQCAVCYCPTTTRCARCKAVRYCSAKCQIIHWRQGHKEECYPPTHTTNQSHDEGSDSSQQQVEHGQSGDRYEIDEKQHAMPTEASSMMEAALSTPTSASISHEKDEDIKVLYHADGDGTNSVSESSSASFSGFSSAAGSDSSDDVSVCESISSSEPDKLDTSSTADANLDMFWSTSGANDVDQTNPSSPKFARLVDSVDKFSKLNDSNQTKPDQSGRSPCKTNSSSGLGISGLSEGSTAEHCTLSSGFWGRTLESVASKNDDDNKPFQSNPLEGSKHPSLDSGSSLHFSFNMSGDASSSNRQGPVVKDTKLDDAPQDALGHTKLSDGVTFSKNASLDAPKVINSRSLNSECPNRVERGSINVSHVPKPREAISTDVPLVSSLSSTCVEKLDSGAVIDGINNASHPRKSADTYSSSARVHAVPSVKSGKIDVVHANATLPQVTSSSNGKQGLKTSMWKVVDQIRGSKLPKHYSFGVGSEVAGKYSDKGLFPYESFVKLYSWNKVELLPCGLVNCGNSCYANAVLQCLAFTPPLTAYFLQGLHSKACAKKDWCFTCEFESLILKAKDGKSPLSPIGILSQLQNIGSQLANGKEEDAHEFLRYAIDAMQSVCLKEAGVDSSGCSEEETTLIGLTFGGYLRSKIKCTKCQGKSERHERMMDLTVEIEGDIGTLEEALRRFTATEILDGENKYQCCRCKSYEKAKKKLTILEAPNVLTIALKRFQSGKFGKLNKAIRFPEILNLAPYMSGTSDKSPIYRLYGVVVHLDIMNAAFSGHYVCYVKNFHNKWFKIDDSTVTPTEVERVLTKGAYMLLYARCSPRAPRLIRSRNKAILSRVNSMNMSKSSSLRHSSVDGFNPSSVYPDNPGNIESFYSKYNRLQRILEEDSSSDSSSLFSSNSDEGSCCTDSTRDSTSADDLLDSVFGDSMRGWNSPWRGSDSDASSSSSSSPIYSRHSPLADLDRYASSGSPETCGSQMDYSNSATED >OMO50724 pep supercontig:CCACVL1_1.0:contig16067:74837:75007:1 gene:CCACVL1_30300 transcript:OMO50724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDPENHLPPLLTTKPENTKMTPFFSFVQPNTESKPKITKNFSDSHRSKQPDQIT >OMO50726 pep supercontig:CCACVL1_1.0:contig16067:80576:80999:1 gene:CCACVL1_30302 transcript:OMO50726 gene_biotype:protein_coding transcript_biotype:protein_coding description:manganese-dependent inorganic pyrophosphatase MVKKKKGPPRTLPRVHPLQAKANMQSRGRVLQQRKRGTIPPGPPNSFFLSSIVGHDGELITHAFESTTIPGLSQSINSRFSAHHHDPPSSHTPTSQPADTSVFEPGSCSQHITCHPDLTGSHRAISEAQATGASETKHGVF >OMO50725 pep supercontig:CCACVL1_1.0:contig16067:76180:78915:1 gene:CCACVL1_30301 transcript:OMO50725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLSDKDSVNLIHARLSQLRPSLRAYVIARVARKWETILPAQTQPINGDLLLVDDMGASMEVVIPGDCMHRFSDEKVAEGKVYKILHFQVSNRKKKYKSIPGEYTIYFLSSTKLIEVTEDLHMYPRYFFRFAQMADIITRSEKDPVFTDAIGMFIVYGEPVGVPVDSGVRIFHKVDVDIRLLSDEILRLSFWVSHIQHLNLAELAAMSEKPILEVAATTVRSYSSTKYLCSSSSTKVYVNPDIKEARETPVALLTSDQASQSAASANAKDATILQLLYLDLNKVQGQKFRVEAEITEFDTTNGWYYECYSDCPFKVHPAEGGVFFCTQHKLVTPRLVDQTLKRGWLKFKVYAYTEKQARQAIPTALKGKQIIGSASERQLPATLALPQPLPLLDDSQTCPDSPSIPADLFVETSLLKKIKQEPTPDGHSRDQDQPDSDSQLHQDIPEKINQESATEEQTEKLDNSHSHTQTQHRTVPRGAKDKQV >OMP07004 pep supercontig:CCACVL1_1.0:contig04730:785:868:1 gene:CCACVL1_01400 transcript:OMP07004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPERPKITSVLNELKTSQWTNLTKRL >OMO58798 pep supercontig:CCACVL1_1.0:contig14146:4185:4607:-1 gene:CCACVL1_25350 transcript:OMO58798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKIMKCQTLPPIKYTMLKSTRVIGKLMAPSNSGIGKRILQL >OMO51087 pep supercontig:CCACVL1_1.0:contig15978:38956:39947:1 gene:CCACVL1_30014 transcript:OMO51087 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA damage response protein MNLGDLHKIWEVKALKRKPGEEEARKMLEKIAKQVQPIMKNHKWKVKLLSEFCEGFDIPGRRLGGFSRQPALSSLRQTALAAAENRKRLGSLLPTGPKRLGGDNTIKDALSPIQAAAIVAERRLQDDI >OMO51086 pep supercontig:CCACVL1_1.0:contig15978:4215:8381:-1 gene:CCACVL1_30013 transcript:OMO51086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MTLESLPGSSGYLDLFPERRMSLFSNLYVVGLTLAAGFGGLLFGYDTGVISGALLYIKDDFEAVNHSSFLQETIVSMAVFGAIVGAAFAGWINDAFGRKKATLLADAVFTAGAIVMAAAPDPYVLILGRLLVGLGVGVASVTAPVYIAEASPSEIRGGLVSTNVLMITTGQFFSYLVNLAFTEVRGTWRWMLGISAVPAVIQFLLMLCLPESPRWLFMKNEKAKAIAVLSNIYDIARLEDEIDHLSASLEEERQKKRSVSYWDVFIIKEIRLAFLAGAGLQAFQQFTGINTVMYYSPTIVQMAGFKSNQLALLLSLIVAGMNAAGTIIGIKLIDRVGRKKLALSSLSGVIASLIILAWAFFAQTYGSSNGIYGWLAVIGLAMYIAFFSPGMGPVPWTVNSEIYPEQYRGICGGMSATVNWISNLIVAQSFLSVAERFGTGPTFLFLAGIAVLAVVFVVLFVPETKGLTFAEVEEIWKERAWGSGYNTESLLEQGNDS >OMO54775 pep supercontig:CCACVL1_1.0:contig14903:16987:17358:-1 gene:CCACVL1_27581 transcript:OMO54775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHKAITLSMVFATVFIILFVPQFGAAIRPWHYKEQLLRKVVPNNWESLSRGPVPPSAGNPCSNVPHGSGKCKLDEMNVAGRRLIRSPPPPPPAFLGIDIVDQKFAAEAGSGTSMENKSYKYN >OMO54774 pep supercontig:CCACVL1_1.0:contig14903:13487:13818:-1 gene:CCACVL1_27580 transcript:OMO54774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CISCVHTQILEASHKYSGALTALIYYKGMLFSGYSDGSIKVWDVREQYFSHTCLGREGAQEG >OMO58103 pep supercontig:CCACVL1_1.0:contig14274:5433:11355:1 gene:CCACVL1_25585 transcript:OMO58103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKRQSAAHNRFSNGFSGKGAAAWRPKSTRYQHLNKVHNQIVTKAKVDWRSHFFSVFVGNLHGNTTLRMLWQFFKDFGVIVDAFIPHQHRLGAKFAFVKFRTKEEANRAIMLGNGGRILNHQIRVCHAKPGKSNLGIVQYAHKEIHENLSDTNRYRGAKVDGRLFTEVVAEKSLSEGRRSKEVSLDSVVPQDDMTWLHRSAKGRLRSVVYYKIIEASLIQQGVHVSLIPICDLDILITFMSSEEMAMFLQEYPRILKCEVTTKCKLMDADWIEVEVDNKAKISSLITGKADNIKFSIGVSIVNLKLVPVFTPVLNDESSASSEESPENSPLSVGQTTLQYSRDSFNSLGIFFGDDERIKGNFYSPLNIELASHENDHAKGDLIENKEELNGANSQLSEDVDFVQETTLESNGPKELDKDINILEASISDSDITQRNKNLRIEVEKTLEVGSKLGIDFGEDRNLLIQALIATWNIRGIGRKEKRNAVRKLVFKEKLDMIHLQETKSSSADTRQSEDLWEKLSEIKPCIKHWYFSNFGANDKLIKNLEEEIHTLEISCQNGIVNIEKHEKLLSLRGELWRRLNRIEKLDWQGNVVEDPSDIKNYVADFFEAHFNKKETFKLQQLDMQVKTLSDESRSWLERSFSEEEIFAAIQSCDGDKALGPDSLEQLLNIKRILRCFQLISGLKINFNKSSLIAVGLDLQIVEQWAATINCKVGSLPCKYLGLLLGARHAATTVWKSVVEGFKAKLESCRSTFLSLGGRITMIKKMHLVEWNVVCKPKEKGGLGIVDLHVRNRALLNKWLWRFADDRQALSRQLIVDKYGLDSRDLFPKIDCPSRYSKLWLGILKPLIGNDSFASTLKSGIQLCFGDGNNIKFWTDVWISKSSLKEAFPRIYALATNKEGRVCDYGTWGESGWEWRIELRRPLLDWEKDLWKSLMSILDGFTPSYRLKDKLIWKFTPSGRVPVKAELARRGVIDWRDAKSPLCLKEIETSVCVVRKALKLFDLIRTSLVWWMMARWPNHPQYFVDLYRFPFLASIFSTVKSKEAVQASWKKPDFGVLKFNVDGAAKGKPGLAGICGILRNHQGNTLAKFSKSIGIAESNEAELLAIKEAFLIFGASRWANAYILEIETDSYNALK >OMO58102 pep supercontig:CCACVL1_1.0:contig14274:6:140:-1 gene:CCACVL1_25584 transcript:OMO58102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAKKTFAFASLCPKEATGQMPQTIAELKGGSRGHPPPPPPKS >OMO89887 pep supercontig:CCACVL1_1.0:contig08589:5033:13249:1 gene:CCACVL1_07578 transcript:OMO89887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNKDRYGDWDESNKKIFISHIQRSDERPMARRLTKATQARHFWHMSLEPFVRPCYNPTHAWGEIVKLSFQSNIAPSNLRSTRQVDC >OMO89886 pep supercontig:CCACVL1_1.0:contig08589:2146:2934:-1 gene:CCACVL1_07576 transcript:OMO89886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLSTFFGTTKTLDSLIQDSTPLTFKILVVDFIGILAARRAY >OMO89888 pep supercontig:CCACVL1_1.0:contig08589:17116:18650:1 gene:CCACVL1_07579 transcript:OMO89888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNQDFYSRKVAICGMKPCDVPFLTRFPEGATSLWLSTELSSV >OMO89889 pep supercontig:CCACVL1_1.0:contig08589:31046:33919:1 gene:CCACVL1_07580 transcript:OMO89889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGLQQMEKRVAPPGVGALRFGLGFISRVLGLHQKGQKEKGDFEGFKSVTIYGGNSGGEAIDA >OMO88224 pep supercontig:CCACVL1_1.0:contig09077:1231:4578:1 gene:CCACVL1_08514 transcript:OMO88224 gene_biotype:protein_coding transcript_biotype:protein_coding description:potassium transporter 11-like protein MEMTSTSRVEIDEGSDNNKGSMWDLDQKLDQPMDEEAGRLRNMYKEKRDDDFEKKLFDSLFVFVRLESMMEVCSDEDEDNGDYLLNNNGDYTTSTNIDDGTTISTVDSIIVPVKSPVHANFTVRSSESDDELEFLNSSRDAGVVHILGNTVVRARRDARFCKKIAIDYIYAFLSKICRENSVILNVPHENLLNVGQIFYV >OMO88225 pep supercontig:CCACVL1_1.0:contig09077:14231:17681:-1 gene:CCACVL1_08515 transcript:OMO88225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKWLVFTLIFLVLFNGFSSVSAATPPAKIVTSVVSNVVSALVKWLWSLKSAPKTATSSRSMMKFEGGYTVETVFDGSKLGVEPFSVEVSPSGELFVLDSENSNIYKISMPLSRYSRPKLVAGSSEGYSGHVDGKLREARMNHPKGLTVDDSGNVYIADTLNMAIRKISESGVTTIAGGGKWSRGGGHVDGPSEDAKFSDDFDVVYVGSSCSLLVIDRGNQAIREIQLNEDDCSYQYDGSFHLGIAVLVAAGFFGYMLALLQRRVQAMFTSHDDTRPPPTKRGATMAPYQRPPKSVRPPLIPPEDEPEKEEEGFFSSLGRLVLNTGSSVADIFGGLFSGFKRKPRHYQFQHQYQQTSIQTRGWPMQESFVIPHEDEPPPLDTRTPTPKRSYPFMTKELERKQHIKQSRPQYNGWDANYQQHQQMQMQMQHHQQQQQHPHKYYSSNPKTYYEKSCETNEIVFGAVQEQDGRREAVVIKAVDYGDPIYNHQNLRPRLNYMGYSHGY >OMO88226 pep supercontig:CCACVL1_1.0:contig09077:32618:32734:-1 gene:CCACVL1_08516 transcript:OMO88226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVTPINLNTRKQFAICVPPTTLEQNITQICPANEFD >OMO73108 pep supercontig:CCACVL1_1.0:contig11304:28968:29773:-1 gene:CCACVL1_17470 transcript:OMO73108 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase, plant/fungal/bacterial MCLKMSCFYYILAALSCLVLLPGPVSFAQGQLSPSFYDQSCPNVTNVIRQILLNAALDDPRLPASFGCDASILLDDPETTEKTALPNVNSARGYEVVDAMKEALENAGGPSYEVPLGRPDGVTASRDLANTALPAFFESIDQIKAKFAAVGLNTTLDLVALSGN >OMO73107 pep supercontig:CCACVL1_1.0:contig11304:6670:8639:-1 gene:CCACVL1_17469 transcript:OMO73107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MTFQIKMKLLGVVNNLPNPFCPSINEVLLEGSLSNGQLSPTFYDQTCPNVTNIIRQVLVNATLSDPRIGASLIRLYFHDCFVQGCDASILLDDPVNGEKEALPNNNSARGYEVVDAMKTALEAACPNTVSCADILAIASEESVFLAGNLSWAVPLGRRDGLTANRSLANSNLPAPFHNLTPDQTLNSTYLETLRQICPQGGNASALANLDPTTPDGFDNNYFSNLQIHKGLLQSDQELFSTEGADTIEIVNRFSANQTAFFESFVESMIRMGNLSVLTGTDGEIRSNCRVVNSAASIRSDSSDADLVSSI >OMO98574 pep supercontig:CCACVL1_1.0:contig07089:12430:12513:1 gene:CCACVL1_04185 transcript:OMO98574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGVPTRSKEEKALRPKRNLAGPTTPPP >OMO98575 pep supercontig:CCACVL1_1.0:contig07089:15491:16642:1 gene:CCACVL1_04186 transcript:OMO98575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MGAGGRMPVPSNKNGEKENPLRRVPHEKPPFTLSQIKKAIPPHCFKRSLLRSFSYIVYDLCLASIFYYVATSYFHILPYSLSYIAWPIYWVFQGCVLTGVWVIAHECGHHAFSDYQWVDDTVGLILHSALLVPYFSWKYSHRRHHSNTGSMERDEVFVPKPKSRMAWYSKYLNNPPGRVLTLAVTLTLGWPLYLAFNVSGRDYDRFACHYDPYGPIYSGRERLQIYMSDAGLFAATYVLYSVAAAKGLAWLVCVYGVPLLIVNGFLVLITFLQHTHPALPHYDSSEWDWLRGALATVDRDYGVLNKVFHNITDTHVAHHLFSTMPHYNAMEATKAIKPILGKYYQFDDTPVVKAMWREAKECLYVEPDEDGGSKGVFWYRNKF >OMO98576 pep supercontig:CCACVL1_1.0:contig07089:17415:18365:-1 gene:CCACVL1_04187 transcript:OMO98576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNFNRWNPIPPVGNDSHMFHSSSSPDHSSSSLNHTIWDGNNGLINYFDSNLGNTQVLLSHRVVEVTKTFVSPLGLLLEQKIFRRSIHHVSRHNPYPAFPIITRQITVETTRFNIASTDIINRGFNPNQPIFPTQPAAVRNNQVMHAFNAPDHIETDHFDAAPFGDDVELSTSNDENDAEYPFGDDGRTHSLPNPDGTYGCPICFAAFDSCQSFGTHIQLHYRNDETKEEKSRRMAAKYRKKKLRLVETSHGVTAVPAYSNNDDQPSKRRKNNKGKEKIDVSCFEKFGLDPLNIIHQGAAAKKRSRGVVIKQEAI >OMO71291 pep supercontig:CCACVL1_1.0:contig11677:19908:20396:1 gene:CCACVL1_18311 transcript:OMO71291 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MGEAVDGQRSRVRCSFCDRVITGRITRFKEHLAAKKGNVAACEKVSSHVRKEVVENLKTSKETKVTKQKVRDELEERIRLGDDGDYEANDFDEDDDPGMSEAVYASLRSQAEWEERKHRRALNPSQHASYEVGGSSSGRSRAELRRSSSVHTTGVGSGRRWE >OMO71292 pep supercontig:CCACVL1_1.0:contig11677:32851:38866:-1 gene:CCACVL1_18312 transcript:OMO71292 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MDSAADSVPKSGKIGGQVCQICSDNVGLTADGVPFVACDVCAFPVCRPCYEYERKDGTQSCPQCKTKYKRHKGSPPVGGEEVEDASANNVGNNSNHTSGIQVEKHKKAERTLSWDTNYSRGEDMAPPNYDKEVPLNHIPYLTNGSTVSGELSAASPARISMASPDSGIRGKGNIRLVDPAREFGSGFGNVAWKERIDGWKIKPEKNAVPMSVSNAPSEGRGGGDYDASTDVVMDDSILNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILSIFLHYRITNPVPNAYALWLISVICEIWFAFSWILDQFPKWLPVNRETYLDRLALRYDREGETSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFSQKIDYLKDKVEPAFVKERRAMKREYEEFKVRINGLVAKAQKVPDEGWVMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDSDGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSRALREAMCFMMDPNLGKSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGLFSSCFGGSRKKSSKSSKKDSSKKKSGKNVNSTVPIYNLEDIEEGVEGAGFNDENALLMSQMTLEKRFGQSAVFVASTLMENGGVPQSATPESLLKEAIHVISCGYEDKSDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYGGRLKWLERFAYINTTIYPITAIPLLAYCTLPAVCLLTGKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIINLVGVVAGVSYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRMPTIVVVWAILLASIFSLLWVRIDPFTTQVTGPDVEQCGINC >OMO71289 pep supercontig:CCACVL1_1.0:contig11677:5263:5514:1 gene:CCACVL1_18309 transcript:OMO71289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEPSRYSHRSIETLIVVLAVITIIGVIAGIIARLCGGRHFGGNGENDIEGWIEKKCRSCIDGGVPAAAEESKPETAAAEEKK >OMO71288 pep supercontig:CCACVL1_1.0:contig11677:734:2703:-1 gene:CCACVL1_18308 transcript:OMO71288 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, AN1-type MGTPAFPNLGKHCSVEDCKQIDFLPFTCDRCQLVYCLEHRSYIKHHCPKADKNDVTVVICPLCAKGVRLNPDEDPNITWETHVNTECDPSNYEKVTKKKKCPVPGCREVLTFSNTIKCRDCTIDHCLKHRFGPDHKCPGPKKPDSAFPFTGLLSMSRKEPPKNNRAPATSSSSWATSFLNAASSGMTKLSSEISEKWQIARDGVGLSSTNSSTNSSTSSSSSGSAGQVEQCPQCGVKFSSVTKLVEHVQKVHERNNQSRVFKMSIDVCPRCNKGFRDPVALVQHVERDHGGSSKA >OMO71290 pep supercontig:CCACVL1_1.0:contig11677:6865:6936:1 gene:CCACVL1_18310 transcript:OMO71290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGAVNSLVFKRLPSVHHSME >OMP02469 pep supercontig:CCACVL1_1.0:contig06247:40190:44438:-1 gene:CCACVL1_02799 transcript:OMP02469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional regulator TACO1-like protein MSTLGDDELSLVLNWVHDRNDRKSVSQVCKQWLRVEGLTRISIRVLEPDLIPVFMPRFPNVLSFETPMFISDSLLEFVAKTCPKLQFLNLNLRKTREDFDEVDGISGSEDVGNDGICALANGCPNLSKVLLRKRKNVGNLAVISLVKFLLNLTTLDLGRCNLVDDQAIEAIGCSNSIRNLNLEACSLITDHGLGILATGEISKTLKKLVLAECDRITDSGVSMLNQIHCLEELNLAECGPKITDSGGMAVSSITSLRKLNLAWLINLSDATVIAIAENCVNLVAIDLTGCELVTGAGVRAFGNHEYLEYLVLASCYNICMDDLDMVLRCRSLKDIVLDKGLRMWIPMAMQVNISRFKNGVPSNSLIVSRNGIFKSSRKFLSSASSISSSSSMLYQVNSSSNEHQLRSISTFPPLCMGRRSCKIADRKGAQNAKKAKLFSRIGKEVVSAVKKGGPSPISNTVLAAVLEKARELDVPKDILERNIKRASEKGQEAYIEKIYEVYGYGGVSIVVEVSTDKITRSFAAVREVVKDYGGKIADPGSVMFKFRRVRVANIKVTDADKDQLLTIALDAGAEDVIEPPTYEDDTDEDRSESYYKIVSSGENYETILSKLRDEGIIFETDNGSELLPLTTIEVDDEAMDLNKELMSKLLELDDVDAVYTDQK >OMP02468 pep supercontig:CCACVL1_1.0:contig06247:36104:39418:1 gene:CCACVL1_02798 transcript:OMP02468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MLDFDRISNHRNNRVSFLVRKQDKQSLTITHVASPLRRQQISVPSVENDAAAGRNNQHVAWTSVRQERWEGELHVQGELPLWLKGTYLRNGPGLWHLENYNFRHLFDGYATIVKLHFENGRLVAGHRQIESEAYKAARKNKKICFREFSEVPKHENFMAYVGELANLFSGASLTDNANTGVVKLGDGRVLCLTETQKGSLIIDPNTLETLGRFEYSDNLGGLIHSAHPIVTDTEFLTLLPDLLNPGYLVVRMEPGTNERKVIGRVNCRGGPAAGWVHSFPVTEHYIIVPEMPLRYCAQNLLRAEPTPLYKFEWHPESKAFVHVMCKASGKIVASVEVPLFVTFHFINAYEEEDEDGRVTAIIADCCEHNADTTILDQLRLQNLRSFNGNDDVLPDARVGRFRIPLDGSPFGKLEAALDPDEHGRAMDMCSINPAYLGKKYRYAYACGAQRPCNFPNTLTKLDFVEKKAKNWHDEGAVPSEPFFVARPGATEEDDGVVISLVSEKSGNGYALLLDGSTFEEIARAKLPYGLPYGLHGCWVPKK >OMP00002 pep supercontig:CCACVL1_1.0:contig06750:64022:64087:1 gene:CCACVL1_03506 transcript:OMP00002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELRDRRASRRSGFCKFVAF >OMO99999 pep supercontig:CCACVL1_1.0:contig06750:8123:9891:-1 gene:CCACVL1_03504 transcript:OMO99999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MTLREHRLYAKLSKCEFWHTQVAFLGHIVSKDGICVDPAKIEAILNWESPRTVTEIRSFLGLAGYYRRFVEGFSLIAAPLTRLTRKGVPFEWDDKCEKSFQELKQRLVFAPILTLPTSGRGYVVYIDASQQGLGCVLMQDDKVIAYASRQLKKHEENYPTHDLELAAVVFALKIWRHYLYGEQCQVFTDHKSLKYLMTQKELNLRQRRWLELIKDYDLVIDYHPGKTNVVADTLSRKSSTTMARIKSYLPQLVGIRSVGANLIGGYQGTLLARFEVRPTLVVQIRDLQEVDEKLSAELEKLYLGVPSEYSLRDDGVLQKFGRVCVPDNEELKRAVLEEAHSSAYALHPGSTKMYRTIRESYWWPVGTYYYGFIAGLPRTRRGHDAIWVIVDRLTKSAQFLPVRITFSTERLTRLNVAEIVRLHGVSVSIVSDRDPIFTSRFWPKLQHALGTRLKFSTAFHPQTDGQSERTIQTLEDMLWACVLEFQGSWDDHVALAEFAYNNSYQASIGMAPYKALYGRCRNNWVKGTLPQPATTTLKSVDWESPLIFFT >OMP00001 pep supercontig:CCACVL1_1.0:contig06750:41762:55321:-1 gene:CCACVL1_03505 transcript:OMP00001 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSQQGNDGVRVAANGTVEGVRALVETLQNRLHVVEERERRREESEESSSSHTRRGSRGGGASSSSSESMHPYDVRAHLRRQHQQKRRNERNDVVGDVGDANRQVQRVRDQDDVQNTYVHAYTPKVEIPEFDGNGQPDDFLDWLHTVERIFEYQDVPGNKKVKLVAIKLRKHASLWWESLLKKRERRGKDKIRTWDKMVRELKKKFLPEDYKQDVFIKLQNLKQGAMSVSDYTADFDSLMIKANINEPEEQTIARYLAGLRVDIANGTTSKSPFAPKPKVDEKDKSKKGGDDAEKAKLRQERKCFKCHCFGHMAADCPNRRVVTLVEDNDDDNEEVTQVVEGEDWKRKNVFHTRCTCQGKVCMVIIDSGSFENLASVEMVEKLGLKTTPHPSPYKLSWLKDESDLRVTLRCVVPFSIGKHYSDEITLAPLKPNIVPTPKKEALLMTRKEVIRELRGEPIAFALVDENDVVQDSGLHPKLLPLFDEYGNVFPDEIPAGLPPLRDIQHQIDLIPGSVLPNKPTYRMSPKEYEELQRQVEELLQKGLIRESLSPCPVPALLVPKKDGSWRMCIDSRAINKITFDYRFPIPRLDDLLDQLHGAKVFSKIDLRSGYHQIRMKPLDEWKTTFKTRDSLYEWLVMPFGLSNAPNTFMRFMNHVPKSCVGECVVVYFDDILVYSPDEDSHIVDLRKVFDLLRNEKLYANVKKCHFFTHEVAFFGVYCFGRFIKGFSTIVAPITECLKSKKFCWTREAQASFDELKRRVTEAPTLKLLDFSAPFEVECDVSDDFYALVRALDHWSQYLLPQPFVLFSDHEALNFINQQHKLNRRHAKWVELLQSFSFSIKHKVGAQNVVADALSRKFTLLTSLQLQVVGFEAIRELYEHDEDFGDAWRSCHHGPSKLYSLVDDYLFYANRLCVPRCSLRLAIVEEAHGGTLSGHLGRAKTLYMVQQNYYWSRLERDVARHVLSCHDDNKEKNLRPILKRHSTLKSMEDDNNKPKPSNKKVSFDLDEDGFKKLIKKADHLPRPGSPSREGPDLIVGISTTSKELQVLPAVLDNGREALGGDVGEGHELFRSVRVLVEESLDSDKLHGYCFLNFQLLVIGGSKGWDLMRPRLIMEGMKRQGLIMDLKMAMESLIESLRPTNEEEDSVSFIDSYKSRSIEEHNGANVEHVSDKHGDVMDVQEDAMVDPGLNTSLEELRVGGIAHDRPLLGEAAQHQPLDRPSHAPSHGATGHNRPLHRASTGHNRPSHAASAHNRPMDPLAIPQGPMIRARAKRIVSRIIYVSRPYGFRIGREVTNPIGDKWYKIGSSGTMEGEQDQVNLATMLQTLMQRLDTKDTKFGALVDDVQQVKDGQNQQAQPPQQRANVAHNHERVQHQPRQVVPRMDL >OMO54174 pep supercontig:CCACVL1_1.0:contig15043:18651:18731:-1 gene:CCACVL1_28000 transcript:OMO54174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VWDADGDGIGRVTTELNENFDHSTRM >OMO54173 pep supercontig:CCACVL1_1.0:contig15043:14770:16435:-1 gene:CCACVL1_27999 transcript:OMO54173 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MAAYHAWVIVSGTLGNILSCMVYLAPLPTFLRVYRKKSTEGFQSIPYVVALLSAALWVYYSSLKSNAFLLLIVNSIGCVVETIYIIVFIVFAPKKARILTVKLLLMFSLGGLFLILLLTHFFSKGSNKNHIVGWFCVVSSAGVFAAPLSIMRLVIRTKSVEFMPFTLSFFLTLSAVMWLIYGLLLKDFFISLPNMMGVFLGMIQMVLYLVYKKYNKNIKDIEEQKQLPVPQVGVNGKNLAPIIISKASNGILQVSGDVEMGKDEDVSLESTHQAAGDAAARESAV >OMO54172 pep supercontig:CCACVL1_1.0:contig15043:10358:11968:-1 gene:CCACVL1_27998 transcript:OMO54172 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MGIFSAGNPWVLISGLLGNISSFVVFLAPLPTFIRIWKKKSTEGFQSVPYVVALFSAMLWMYYAFVKSGAFLLITINAFGCVVETIYIALYITFAPKQARMFTLRILLLLDFGGFFSIMLLSQFLAKGSKRVEVLGWICVAFATSVFAAPLSIMRQVIRTKSVEFMPFYLSFFLTLSAVTWLFYGIFLKDLYIAIPNVLGFIFGFLQMVLYAIYRNYKTVIEEVKLPEHSIDVKKNTVMDLEVQQEEALSSSSEPESVVAHDQNMNGSCALQADEHDQHQTNYNQREKILEFNSNPSQLLVKCEA >OMO81813 pep supercontig:CCACVL1_1.0:contig10099:136164:138342:1 gene:CCACVL1_12202 transcript:OMO81813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MQKREQGKLGGAAGGTTAPPPKRGRPFGSTAASAASALLADSVAPSTLLGPTLQIHNSFADQNNKRIVLALQSGLKSELTWALNTLTLLSFREKDDMRKDACLAKIPGLLDALLQIIDDWRDIAVPRELIKGTRARTLGVNSVVTGFGHEYEALGSNSLLPQSGLGSGSSTAEVSAQKNTSKLRPSEWWFEEDGLFNLDDEGRAEKQQCAVAASNIIRNFSFMPENEIVMAQHRHCLETVFQCIEDHAIEDEELVTNAVETIVNLAPLLDLRIFSSPKPSFIKITEKRAVQAIMGMLGSPVKAWHCAAAEFLGRLIINPDNEPLLLPFVPQMYKRLVDLLNLPAFDAQAAAIGALYNLVDVNMDCRLKLATERWAIDRILKVIKAPHPVPEVCRKAAMIVEHLASDPQNRTSLLAYENAFAEILFSDGRHSDSFARILYELTSKPNNKMTAARGIWGM >OMO81814 pep supercontig:CCACVL1_1.0:contig10099:138858:140378:-1 gene:CCACVL1_12203 transcript:OMO81814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFNGFIPARRPEEDQSMKDPLTYIGNIFNPPDRGEDYTIFQAIFNIDMLILFIATACGTGGALTEALKQLKALGLTRKPGQDLTCTGAQCYRSAFLIITLATLFGSVVSLILVFRTRTFYKGDIYKKFREDVVESQRDHVAPTGNGSTPLREMEDKATSA >OMO81796 pep supercontig:CCACVL1_1.0:contig10099:23717:24772:-1 gene:CCACVL1_12184 transcript:OMO81796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MMMEGVHHVHVDQEEVVVCNKDQLQIIKGKRTKRPRPMSPLTLAMVSSSTTTTSSSGGGGDGEGDKNSITDDSSRGFDHDHHQAFTASPTTSDVSTEEEDQDMANCLILLAQGGGSTTTQTRIKPVSALGAGAKTNKTAAVAVAAVAGNGIYAHQCKTCNRCFPSFQALGGHRTSHKKPNNKLNEENKGSLVFLKEDDDQHHNRFNNMNMNTTLSLQISNNNHNKGVVLCNKPKVHECSICGAEFSSGQALGGHMRRHRTLVTNNSNVPTATATATTCLSVGITTSSSPESDIVHDHDQSNKKPRTVLQLDLNLPAPEDHDHHHQRESKFSFASKEKLLVFSASSLVDCHY >OMO81797 pep supercontig:CCACVL1_1.0:contig10099:25733:31548:1 gene:CCACVL1_12186 transcript:OMO81797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGQEDREVHPYSDFLEHIPKSVIEPLIA >OMO81794 pep supercontig:CCACVL1_1.0:contig10099:13835:17026:1 gene:CCACVL1_12182 transcript:OMO81794 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MGSSSGQSSGSYDFSFKILLIGDSGVGKSSLLVSFISAAVEDLSPTIGVDFKIKLLTVGGKRLKLTIWDTAGQERFRTLTTSYYRGAQGIVLGKWVLDPDSERAVSREEGTALAKELGCMFLECSAKTRENVEQCFEELALKIMEIPSLLEEGKRNILKQKPEYQAQPGGCCSS >OMO81806 pep supercontig:CCACVL1_1.0:contig10099:87199:87303:1 gene:CCACVL1_12195 transcript:OMO81806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAHRGLATKALSLLRVPFRTVANQQGLQFEP >OMO81811 pep supercontig:CCACVL1_1.0:contig10099:118761:120617:-1 gene:CCACVL1_12200 transcript:OMO81811 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MVAENFNGGGGGWREMKSFGLNLIMGRWFMVFATMLIMSVSGGTYIFGLYSNAIKTSLGYDQTTLNLISFFKDLGGNIGILSGLINEIAPPWVTLFIGVVMNFLGYFMIWLTVTGRTAKPHVWQMCLYICLGADSQAFSNTAALVTCVKNFPESRGSVLGLLKSYVGLGGAILTQFYHAFYGDNSESLILLIAWLPALVSIVFLRTIRIMKIVRQKNELKVFYNIFYISLALAGFLMVLIILQNRLSFNRIEYGGGASIVTILLFLPLAVVVKEEAESWRRKKQAMNDASDQVKIVTKNPPSAVELAPQTEPVESPPPQPTVGDHQNHATGCFENIFKPPDRGEDYTILQALFSTDMWIIFIATACGIGGTLTAIDNLGQIGESLGYPKRSMSTFVSLVSIWNYLGRALAGFFSEYLLTKYKIPRPLLFSLVILFSCVGHVLIAFAVPNSLYLASVIIGFCFGAQWPLMYAIISEIFGLKYYSTLYNFGTMASPVGNYLLNVRIAGNLYDEEAFKQLKALGRTRIPGEDLSCTGGQCFKKAFLIIIAITFFGFLVSLILVFRTRKFYRSDIYKRFREEAMPAETETDMASTSKHVASKAATNAATATTSTTTTTGSAK >OMO81810 pep supercontig:CCACVL1_1.0:contig10099:110447:111211:-1 gene:CCACVL1_12199 transcript:OMO81810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIIFIATTCDVGGTLIAIDNLGQIGNSLGYPKRSISTFISLVSIWNYLGRVVSGFYSEYLLTKYKTPRSLVLTFVILFSCVGHALIAFAVPNSLYFASVVIGFCLRAQWSLIYAIISEIFGLKYYSTLYNFGTMASPVSVYLLNVKVAGNLYDEEAWKQLKALARSRTPGEDLTCTGGQCFRKDFIIIIATTFLGFFVSSILVFRTRKFYRSDIYKKFRGEAETDIDSTSKHVESKPTTDSAATTSTTTGSTN >OMO81804 pep supercontig:CCACVL1_1.0:contig10099:79810:83108:1 gene:CCACVL1_12193 transcript:OMO81804 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase/Amb allergen MLFMNFCIVLFFCLSSFAPLGKATFNLTFPIPHPNPDEVVHDVQRRVNASVSRRQTLQFTQEDESNCLTGNPIDDCWKCDPNWPNNRQRLADCAIGFGQYALGGKGGEYYIVTDSSDDDAVNPKPGTLRYAVIQPEPLWIVFPSNMLIKLKQELIFNSYKTLDGRGANVHITGGGCITLQYISNVIIHNIHIHHCYQSGEANVRSSTTHYGWRTKSDGDGISIFGAKDIWIDHCSLSHCKDGLIDAVMGSTGITISNNFFSHHNEVMLLGHSDDYEPDSGMQVTIAFNHFGEKLIQRMPRCRRGYIHVVNNDFTQWEMYAIGGSGNPTINSQGNRYTAPINPNAKEVTKRVDTSEGDWKDWNWRSEGDIMVNGAFFVASGQGVEVKYEKAYSVEPKSAVLIEQLVMHAGVLGVGGRDNNLGKWSSGAGGGTGFNSGDDDDGGDDDYSDYMSGSNMPLSKPALFSLFIALSSFFLLYITPQSSML >OMO81802 pep supercontig:CCACVL1_1.0:contig10099:66557:66823:1 gene:CCACVL1_12191 transcript:OMO81802 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative pectate lyase 12 protein MARNNLLIFFSLFLLLLMANEAFARNRMLMVEEGKGKESLEIGNYYADGDGGEPGMNNHHSIPRQSWDNSQQQQHGPSQSNQTPDIHT >OMO81801 pep supercontig:CCACVL1_1.0:contig10099:56844:60345:-1 gene:CCACVL1_12190 transcript:OMO81801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase MKQQTKPIFVSAFLIFAVLFFSHSASVSAQEVEDEREFDYLEKSGKGPKHWGDLKEEWAACKNGDLQSPIDMSSLSVKVIRKSGELKKRYKPCHAVVKNRGHDISLQWLDNDAGSIKINGTEYFLQQAHWHSPSEHTINGRRYALELHMVHQSKDPNLKNNLAVVGLLYKFGAPDPFISKLISNITSMTDHVQERYVGVIDPSAIKMGGKKYYRYMGSLTVPPCTEGVIWTMNNKVRTVSRDQVRALRIAVHDYAEANARPVQPLNRREVELYGPNPGDVKQRKKSSNKWTCVVCNQKQSVLKVFSQGPMAKDLRRFVQTFNMSRKFADQNQPFDPNFEADDGDPENQRKRRSDWTEYLDPEDYPDDRVALQEEERGGRDDLEPKIVTELPPKEKFKRPKKLNNYDKAMPMKQQQQQAMGDITTGTSEVETLTAKGNSKWRDYITEQGDFGITNFKDDMNKWGDAVLETESNYQMVEDDIHPDFI >OMO81812 pep supercontig:CCACVL1_1.0:contig10099:126295:128109:-1 gene:CCACVL1_12201 transcript:OMO81812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MVAANFNGRGGGWREMKSFGLNLIMGRWFMVFAAILILSVAGGTLIFGLYSNVVKTSLGYDQSTLNLLSFFKDLGYNIGILSGLINEIAPPWVVLFIGAVMNFFGYFMIWLAVTGRTAKPHVWQMCLYIYLGADSQAFANTGALVPCVKTFPESRGSVLGLLKSYVGISGAIMTQFYHAFYGDNSESLILLLAWLPALVSFVFLRTIRVMKNVRQKNELKVFYNMLYTSLALAGFLMVLIILQNRLSFNKIKYAGGASIVTILLFLPLAVVFREDLKSWRRKKQAVNDASQVTIVAVELAPQTESLPPLPPQPAGGDHEKHATGCFENIFWPPDRGEDYTILQALFSIDMLILFIATACHAGGTLTAIDNLGQMGESLGYPKRSISTFVSLVSIWNYLGRVVSGFYSEYLLTKYKTPRPLVLTFVILFSCVGYALIAFAVPNSLYFASIVIGFCFGAQWPLIYAIISEIFGLKYYSTLYNFGTMASPLGAYLLNVIVTGKLYDREALKQLKALGRTRKPGEDLTCIGGQCFKEAFIIIIATTFLGFLASCILVFRTRKFYQSDIYKKFREDAMAAETNMASTSKHVVSKAETDVAAAATMHQHE >OMO81808 pep supercontig:CCACVL1_1.0:contig10099:90894:93655:-1 gene:CCACVL1_12197 transcript:OMO81808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory B subunit, B56 MLKKIMKGGHKKPSKSDSTDPSLYGFGPPGSRNPGSGPAPNVTVNHASRAGPLASGANPGAPAIPPPMGSVEPLPLFRDVAVSERQNLFLRKLQVCCFQFNFSDTLKSVREKEIKRQTLLDLVDFIQSGSGKITEMCQEEMIKMVGINIFRCLPPAPHENTGQEATDPEEEEPYLEPSWPHLQLVYELLLRYVVSSDTDTKVAKRYIDHSFVLKLLDLFDTEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKPISMYHQQLSYCITQFVEKDYKLADTVIRGLLKYWPLTNCQKEVLFLGELEEVLEATQSAEFQRCMIPLFRTIARCLNSSHFQVAERALFLWNNEHIVSLIAQNRQVVLPIIFEALERNISSHWNQAVHGLTVNVRKMFMEMDAELFEECQRQFAEKEARARDVEEQREMTWKKLADVAAQRGGDDMVTV >OMO81799 pep supercontig:CCACVL1_1.0:contig10099:47753:48626:1 gene:CCACVL1_12188 transcript:OMO81799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MPIRNITNITVGRFEEATHPGTLKQGLAEFIATLVFVFAGQGSGIAFKQLTNFGLNTPSDLVAAALAHGFALFVAVSIAANTSGGHVNPAVTFGAFVGGNITLFRGLVYIIAQLLGSVVALILLAFATAGRIAPAFDVGSGVGVWNALVLEIVLTFGLVYTVYATAVDPNKGSLGTIAPLAIGLIVAANILAGGPFSGASMNPALAFGTAIVGWSWANHWIYWAGPLIGGGIAGVLYEFVFISRTHHERLPTADY >OMO81819 pep supercontig:CCACVL1_1.0:contig10099:176668:183595:1 gene:CCACVL1_12208 transcript:OMO81819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MANPNPNNYAVVDLESDEESEPGSEEEELESDEETEPGSEEEEEQEETEEDEEDSEEEQEQEQGEPVTESTWVFSSKEGEKGGEGGICSSAGETGENSQGNEWNRGDIDGLFCPICMEAWATSGDHHVSCLPCGHIFGFSCIHEWLQQRGSARKCPQCNRKCTLKDVRKLFASRVVAIDGESQKRIRSLEAKCIALEMENSALSKKEAKWKRREAELQEEIHQLKQCVNREFHGEDVVMYHLYSKGFTSGYWVWSSHGESFNTNPNIGESSRNIDDIGSPYQRMIIDSVGDFGFNGNEMNNETVEESPNPRAVLMKHYGFSPFKSNAKPYSCWPMIISVYNCYCKTHTRKNGNFVDPKSKAVTEKYTFALKEKHGDEGYAQVDLDPKAWTDSIDAVGKRNRSHLYDFSSLEGQRVLLKGSSSQRPTTPSTPNHQNEGLAQMIDQALTQILPQILPGMLKSMGYSPNANRSGDNVVSSSSQHDSEATESEDGNGGENGDDMNLGIFCGRRLKSTLSFQDYFMSPLGFFQAKKERREEKGEFVPVRERLAKALRVTSGIAVTSMACFAPSVWKLGLHPVTIMSVVFRVATYLVFRAFINGYNNVDLQERKCTLKDVRKLFASRVVAIDGESQKRIRSLEAKCIALEKQNSVLSKKEAEWRKREAEGKNREAGLKQEIHQCKEKVNYLEQLVEVLRRTARGAPSIGGNQIQSLGHNQDAKLNGQAPFMLQVSLSVTFPASFFLLKTRLMCGLWVQL >OMO81793 pep supercontig:CCACVL1_1.0:contig10099:4262:6331:-1 gene:CCACVL1_12181 transcript:OMO81793 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-type MDREWGSKPGSGGAASAQTEAMDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKEAPAQPQPHKRKVSVRKTVKIGRPGYRVTKQYDPETKQRSLLFQIEYPEIEDNTKPRHRVMSTYEQRVQPSDKRYQYLLFAAEPYEIIAFKVPSTEIDKSTPKFFSHWDPDSKMFTLQVYFKTKPLEVNRPPPPPANVTTAPGAPPRPLPPPPHAPPPPPPPAQGMPNPPRAPPPSVPGSLPPPPPPMGNGPRPMPPSGAPLAPPPPPGGGGPMANFAPRPPTMPPPQGFPGQGHPPPPPPNMGQ >OMO81815 pep supercontig:CCACVL1_1.0:contig10099:143294:146636:1 gene:CCACVL1_12204 transcript:OMO81815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPGKMEEGFAHDAEVVHQDKHKNDQNSIIIDKEKQEKVATTPQVEEQNLEHQPLVKQKTKRVATLDAFRGLTIVLMILVDDAGGAYPKIDHSPWNGCTLADFVMPFFLFIVGVAIALALKKIPKINDAIRKICLRTLKLLFWGILLQGGYSHAPSDLVYGVDMKKIRWCGILQRIALVYFIVALIETLTTKRRPTVLEPGHVSIFTAYRWQWIGGFVAFVIYMTTTYGLYVPDWSFVVHGDNNESTRYTVKCGMRGHLGPACNAVGYVDRQVWGVNHLYAYPVWQRLKACTASAPGSGPFRENAPVWCRGPFEPEGLLSTILAILSGTIGIHYGHVLIHFKGHSERLKQWVSMALVLLIVAIILHFTDAIPINKQLYSFSYTCFTAGAAGIVFSVFYIVIDVWGFRTPFLFLEWIGMNAMLIYVLGAQAILPAFVNGWYYKSTDNTLVYWIQNHVFINVWHSQRLGTLLHVIFAEIVFYGVLAGILHKFRIYWKL >OMO81795 pep supercontig:CCACVL1_1.0:contig10099:18796:20816:-1 gene:CCACVL1_12183 transcript:OMO81795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPGRRNGLEEDEHDDDNALFEENGLEMDLEDDTPPHLRDLAAAAQLGDLDALRVALDNLNGSIDEPVEDGDTALHLACLYGYLPCVQLLLERGANLEAKDEDGAVPLHDACAGGFTGIVQLLLDRASNIGCLRSMLDAVDAEGDTPLHHAARGEHPEVARLLLANGASPTKTNLYGKTPRELADPETEAWRVFEAAASAETSQ >OMO81803 pep supercontig:CCACVL1_1.0:contig10099:68586:70534:1 gene:CCACVL1_12192 transcript:OMO81803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MGLTPFARIISAILLLCLALDSCQGQVKPFNAKEALALARKAALGSLGADVKIFNVLEYGAKADGTTNNAFSFIKTFNEACHFNGNAMMVIPEGKFLVGSVFFKGPCMNPSPLIIQVNGYILATPTLVGYLDGDWFNFHSINGLILTGHGTFHGQGPTVWQTPGIGGRRPGSLKFNNVKNAIIRGITSIDAKGFHVFISNSENFRIFNIDIQAPDTSPNTDGIHMSKSSIVKISKTKIGTGDDCVSMIHGCTNISIKKVVCGPGHGLSIGSLGHYEGETDVKGIIVKNCSMINTDNGLRIKSYMSNFASRASNIVFQDIIMTRVQRPIIINQEYNSINKIKSSKVSISDVFFTNVRGTSATKTAVSLICSQTNPCSNIHLNNVDLKYDGKVDDKPYMSNCTNVQPIYGGIQDPPACPH >OMO81809 pep supercontig:CCACVL1_1.0:contig10099:100206:102044:-1 gene:CCACVL1_12198 transcript:OMO81809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MVAENFNGGGGGWREMRSFGLNLITGRWFMVFACLLIMSVSGATYIFSLYSNVVKTSMGYDQSTLNLLSFFKDLGGNVGILSGLINEITPPWVVLFIGVVMNFFGYFMIWLAVTGRTAKPHVWQMCLYICLGADSQAFANTGALVTCVKNFPESRGSVLGLLKSYVGLSGAILTQFYHAFYGDNSESLILLIAWLPAVVSFVFLRTIRIIKIVRQKNELKVFYNMFFISLALAGFLMFVIIVQNRLNFNRIEYAGSASIVTILLFSPLAVVFREDIKSWRRKKQAMDDGSQVKIVTENPTTAVELAPQTVPLESLPPPQPAAGDHQKHATGCFENIFKPPDRGEDYTILQALFSIDMLIIFIATTCGVGGTLTAIDNLGQIGNSLGYPKRSISTFVSLVSIWNYLGRAVAGFSSEYLLSKYKIPRPLLFTFVILLSCVGHVLIAFAVPNSLYFASVIIGFCFGAQWPLMYAIISEIFGLKYYSTLYNFGTVASPVGSYILNVKVAGNLYDEEATKQLEALGRTRKPGEDLTCTGGHCFRKAFIIIIATTFLGFLVSCILVFRTRKFYQSDIYKKFREEAMDAERDMASTSKHIESKPDGAATTSTTTTGLAN >OMO81798 pep supercontig:CCACVL1_1.0:contig10099:40466:41355:1 gene:CCACVL1_12187 transcript:OMO81798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MPIRNIAVGRFEEATHPGTLKQGLAEFISTLVFVFAGSGSGIAFNQLTSNGATTPAGLVAAAIAHAFGLFVAVSIAANISGGHVNPAVTFGLFVGGNITLFRGLVYIIAQLLGSIVACFLLEFATAGWVAPAFSVGADVGVLNALVLEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILAGGPFSGASMNPAVAFGPAVVGWSWANHWIYWAGPLVGGGIAGVLYEFIFISHTHEQLPTTEYN >OMO81817 pep supercontig:CCACVL1_1.0:contig10099:168315:172602:-1 gene:CCACVL1_12206 transcript:OMO81817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSISYITGSQLLSVKTRPNIAIVDVRDDERKYDGHIAGSLHYASDTFTDKISNLIQDVKGKDTLVFHCALSQVRGPTCARKLANYLEEMKKEIKEDSGIKSIMVLERGFNGWEASGRPSKIYRLLGWEYRIPERAPPACPYKPAAKTDKTAKVGPESEPAISESSSKPVGVADGKQD >OMO81805 pep supercontig:CCACVL1_1.0:contig10099:85296:86279:-1 gene:CCACVL1_12194 transcript:OMO81805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYETAAAMQGESLRKGPWHEEEDERLITFVKLLGSRRWDYVARVSGLKRSGKSCRLRWLNYLRPNIKHGHISAEEEKIILQLHERWGNKWSMIARKLPGRTDNEIKNYWRTHLRKKEMIQEQENFQFGRDNGRQLFLFQGECSRVQNYNAESYKPCDDILDTENRSYEAVGQSDFNLTSSPYETRLSDWISSEFPSDQSEIISQEDCNSTDSCYCYPSWVSQENDLWGCSDSLWNME >OMO81807 pep supercontig:CCACVL1_1.0:contig10099:87749:89758:-1 gene:CCACVL1_12196 transcript:OMO81807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKGGAPLHKDAPWRASAGKSIPRIHHSPVLRIAQTPHSSYALAVMKHPDPIGSGLATDAIVEAAGPECIVPGQIAPVRLLGVKVWPIDVNLQFLEPVKKELKLLGKFMDNAVNLMDKSFGDNS >OMO81816 pep supercontig:CCACVL1_1.0:contig10099:155283:158668:-1 gene:CCACVL1_12205 transcript:OMO81816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILHDDVVIIRQSENEGEPSVITVNCPDKTGLGCDLCRILLFFGLSIVRGDVSTDGKWCYIVFWVVCKPTTRWDCSRWELLKKRLIGTCPTCSSASGISYYRSELQPPKPSDVFLLKLCCYDRKGLLHDVTEVLCSLELNIKKVKVSTTPDGKVMDLFFVTDTREQLHTKMRQEETFKALEDVMQDAVISCNIEKVGPEITACSQASPFLPSAITEDIFQLEMSSELSTVSLTSNNVSVTMDNSLSPAHTLVQIVCQDHKGLLYDIMRTLKDYNIQISYGRFYIKQGRKCEIDLFIMQADGKKIVDPSKQSALSSRLQMELLQPLRVAVVSRGPDTELLVSNPVELSSKGRPLVFYDITLALKTLNTCIFSAEIARHMIGDREWEVYRVLLDEGASVSVPRRKVEERVWKLLMGWE >OMO81818 pep supercontig:CCACVL1_1.0:contig10099:173992:175919:1 gene:CCACVL1_12207 transcript:OMO81818 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MRSSAGKTGERAGKAGGNSQGNERNCGDADGLICPICMEASATYVFFLVGTLMVFRAFIKWLQQCGSSGKCPQCKRSYRLKDVRKLFASVDGESQKRIQSLEAKCISLEEKNSALIKEKTKWREREAELKKREAKLQQEIKQRKEAFTLIETATREIKMAREEISFASREIETAKREIGYTSGKIETPGWVRETNQIARLIETIRLEEVHKSLLFDALLNLLGAQIALASIMDFHLLLRLHRSDQMWGIDCLVMHQVLES >OMO81800 pep supercontig:CCACVL1_1.0:contig10099:54279:56248:1 gene:CCACVL1_12189 transcript:OMO81800 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MEKGHGDFGCSHYKRRCKIRAPCCDEIFDCRHCHNESKNSIEVDPLDRHEVPRHEIKKVICSLCETEQDVQQHCINCGVCMGKYFCSKCNFFDDDVSKNQYHCDECGICRVGGEENFFHCSKCGCCYSTTLKNSHNCVEKAMHHNCPVCFEFLFDTTKDITVLPCCHTIHLECVKEMERHFQYSCPVCSKSYCDMSRVWERLDREVASTAMPQVYLNKMVWILCNDCGENSEVNFHIVAHKCLKCNSYNTRRTRGVGASCSSEISEMIE >OMO73758 pep supercontig:CCACVL1_1.0:contig11185:103077:103622:-1 gene:CCACVL1_17164 transcript:OMO73758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SCEINPSLAYRATQSATIFALHGNREFFQKVSCKLFDNLAKLKLSFTNKR >OMO73745 pep supercontig:CCACVL1_1.0:contig11185:3751:18177:-1 gene:CCACVL1_17151 transcript:OMO73745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMIELCDIIAKNPEQFSDKLAWICGRCPQPESLQAGSTRVSRSQLNAVLAVARFLSKCPNSTDNRAKLVMLEFIRAIPSSFRRSFWPQSYNSDSIASFFVEFLKYVSESADLSPDFASEIAGLVGEVVTATVNNNGTNNNDSAISRAFLLALSQNFPPVLHTDADKLINYLFDQLAMSVPASPRELIPVNSETSSSQSSPLSVNHFQGNEVSSPANDSSRGSLMANGGSVYWKGGSDQLGNANYDGGGAIFRQQVASFEEESVESLEKQEIAFKLIAHILDKVSIDQKLLDQVRCIAKKQLQSMTAFLKIRKRDWTEQGPLLKSRINAKLSVYQAAARMQIKSLVSLDAADAKNAKRLVLETITMLIDAAESCLLSVWRKLRVCEDLFSSLLSGAAHVAVNRGGQPLRVLLIRLKPLVFATCMQADTWGSSQGAMSDSVLKTCCEVIESGWTKDRAPIDTFIMGLAASIRERNDYEEQVDKEKQAAPAVQLNIIRLLADLNVAISKPEVVDMILPLFIESLEEGDAVTPSLLRLRLIDAVSRMASLGFEKSYRETVVLMTRSYMSKLSSVGSAESKTLAPEATTERVETLPAGFLMIATGLKSTKLRSDYRHRLLSLCSDVGLVAESKSGRSGADFLGPLLPAVAEICSDFDPIVDVEPSLLKLFRNLWFYIALFGLAPPIQKTLTSTKSVSTTLNSVGSMGTIALQAVGGPYMWNSLWSSAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAAVSQRAALSAALGGRVEVGAMSTISGVKATYLLAVAFLEIIRFSSNGGILNGGTSFTASRSAFSCVFEYLKTPNLMPAVFQCLTAIVHRAFESAVSWLEDRITDTGSEAMIRESTLFAHACFLINSMSQREEHIRDIAVNLLVQLRDRFPQVLWNSSCLDSLLFSFHNDTPSTIVNDPAWEAAVHSLFQKIIREWIIISLSYAPCTTQGLLQEKLCKANTWQKAQNTTEVVSLLSEIRIGTVKSDCWAGIRTANVPAVLAAAAAASGANLKLSEAFILEVLSTGIVSATVKCNHAGEIAGMRRLYNSIGGFQSGAPQTGFGSGLQRLISGAFSQPPQTEDDSFNEMLLSKFVRLLQQFVNTAEKGGEVDKSQFRETCSQATALLLSNLSSDRKACLEGFSQLLRLLCWCPAYISTPDAMETGVFIWTWLVSAAPQLGSLVLAELVDAWLWTIDTKRGLFASDMKYSGPAAKLRPHLSPGEPEVMPDVNPVDQIIAHRLWLGFFIDRFEVVRHNSVEQLLLLGRMLQGSTQLPWKFSHHPAATGTFFTFMVLGLKFCSCQCQGNLQNFRTGLQLLEDRIYRASLGWFAYEPEWYDTNNINFAQSEAQSVSIFLHNLSSERVDSLQSDSKSRARENGLADVNDQYHPVWGQMDNYIVGREKRKQLLLVLCQHEADRLEVWAQPLSKEGVPSRPKISPDKWVECARTAFSVDPRIAFSLASRFPANTFLKAEITQLVQSHILDVRCIPEALPYFVTPKAVDENSTLLQQLPHWAACSVTQALEFLTPVYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQALRYDDGKLVEGYLLRAAQRSDIFAHILIWHLQGETCEPGNDATGKNSSFQELLPTVRQHIIDGFTPKARDVFQREFDFFDKVTSISGVLFPLPKEERRAGIRKELEKIELEGDDLYLPTAPNKLVRGIQVDSGIPLQSAAKVPIMITFNVVDRDGDKNDIKPQACIFKVGDDCRQDVLALQVIALLRDIFSAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETNDGGLYEIFQQDFGPVGSPSFEAARRNFIISSAGYAVASLLLQPKDRHNGNLLFDSSGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSEFWDQFVSLCVKGYLAARRHMNGIINTVLLMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMKNVCTDAYNKWSTAGYDLIQYLQQGIEK >OMO73753 pep supercontig:CCACVL1_1.0:contig11185:52856:57854:-1 gene:CCACVL1_17159 transcript:OMO73753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MGKKRVMVPADKLDLSTVKYEQEDIQAPHLTGLMLKLFVWILEAPVIGSLVISHLKKQNKMVEMLQNTVIPEAPMFKPEFPPQETEPSVVIVDEDGKPEERVESALKCLPHYDPATCWSGDSLPSFRYWKIRDYAYAYRSKLVTPTMVAEQIISVIEGCKFHKPPTPLLVAFDAEEVRKQAAASTQRFEEGNPLSILDGIFIAIKDDIDCYPHPSKGATTWMHEVRSVKKDAVCVSRLRSCGVILIGKANMHELGMGTTGNNPNYGTTRNPHAPERYTGGSSSGPAALVASGLCSAALGTDGGGSVRIPSSLCGVVGLKTTYGRTDIRGSLCDGGTVEIIGPIASTVEDVILVYAAILGSSPDDRICLKPSPPCFPVLSSLDNANTLGSLRLGKYTEWFNDVHSTGISDACEDVLSHLSRTYGCETIEIVVPELHEMRTAHLVAIGSETLCSLNPDCEDGKGVKLTYDTRISMALFRSFTASDYVAAQSLRRRIMHHHMEIFKKVDVIVTPTTGMTAPKIPASSLKHGETDMQVTGYLMRFVVAGNLLGLPAISVPVGYDKQGLPIGLQFIGRPWGEASILRLASAVEELLSTKSKKKPASFYDVLKTK >OMO73757 pep supercontig:CCACVL1_1.0:contig11185:83134:97559:-1 gene:CCACVL1_17163 transcript:OMO73757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg2+ transporter protein, CorA-like/Zinc transport protein ZntB MELAQEDPAGEGEIEELVRVSSANIPLVETNQHRTHFPGMVRQKAYIFDSLGNYYNKEWDLAEGSGKEFCWYHVELPKGNQKLSQSAQYLIDVLCPPLKLQDILSLVSNGPFCGHVDGALVFRVNSPGPPSSNFTFRLSARVTENSVITVSLGRVPRLGFSPVGQSLLSEIPSVETPTFRGERREGSGIVIREHVLEFLLTMNHSEEADNPVPKSVSNLVVHIIDTHVDHLQDVVTKLEMELDSVELEMDRGGFALKKQMLDDRRFPKMHLNLQRLLQLIAQGEQVFPRVKEKCSSKHWFASEDMSSIEELILRLRRLKENVGFLANRVTAIQAGLDSWQSEQINRKLYYLSFLSIIFLPLSVITGVFGMNVGGVPWTVQKDPALKDGFLNVMILCVVMLVLVLLCFIFPALYTKLIAWYKRKSLRRSWSYNNRRSFLKRSIGIQERALLRSFRRRDVASAPISAYRCITGGSKTSAGLNWTSFSRAFSSKPAGNDVIGIDLGTTNSCVAVMEGKNPKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTLFGTKRLIGRRFDDPQTQKEMKMVPFKIVRAPNGDAWVEANGQQYSPSQVGAFILTKMKETAEAYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLDFLVSEFKRTESIDLSKDRLALQRLREAAEKAKIELSSTSQTEINLPFITADASGAKHLNITLTRSKFESLVNHLIERTKNPCKNCLKDAGISTKEVDEVLLVGGMTRVPKVQEVVSAIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMASDNKLLGEFELVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKTTGKEQQITIRSSGGLSDDEIDKMVKEAELHAQKDQQRKALIDIRNNADTTIYSIEKSLNEYRDKVPSEVAKEIEDAVADLRKAMEGENADEIKAKIDVANKAVSKIGQHMSGGSGGAQGGSSEGGQGGGDQAPEAEYEEVKNKKRSNNSVEEVVEGQTDLASDNKKMKMNGKIDAEMTDGAAAPSSVPNSIKPMERKKKRKQLDKERRRTVLGTEEPQPKQMNIELKRSDAPETVASSSSSSLPEFHISVFKDLASADSTVREAAVETLVTELQEVQKAYDRLENKDLVDGGLKLEAEKNDGLDNCASSLRYALRRLIRGVSSSRECARQGFALGLSALLATISSIKVDSLLKLIVDLLEISSSMKGQEIRDCLLGRLFAYGALAQSDRLTKEWFSDRNTPHIKAFMSALISLAAKKRYLQEPTVSIILKFVEKLPAEALLDHILGAPGIPEWFQEAINVGNPDALLLALKIREKTSVDSAAFGELLPHPFSPSKLFSADYLSSIDNCLKESTFCQPRVHSLWPVLVNILLPDTILQAEDSLSISNSLKKHKKGRKSSSSEEEIAKNVQCFCEVVIEGSILLSSHDRKHLALDIVLLLLPRLPSSFIPIVLSYKLVQCLMDILSTKDSWLYKVAQHFLKELLDWVKNDDVRRVAVIVAFQKHSNGKFDCITKTKTVKDLMSEFKTETGCMLFVQNLIDLFLDEGHTSEEPSDQSQTTDENSEIGSIEDKDSNGIMGNADFLKSWIIESFPSVLKNLKLDPDAKFRVQKEILKFLAVQGLFSASLGNEVTSFELQEKFRWPKAATSSALCRMCIEQLQSLLANAQKVEEPRSLANSLEPDDLCCYFMRFFSTLRNIPSVSLFRTLTDEDEQAVKKLQEMESNLYKEERNCGLSSDANKLHAMRYLLILLLLQVLHSPVEFCDAASELVICCKKAFSSPDDLDTSEEDELENDAAPELMDVLVDTLLSLLPQSSAPMRSAIEQVFKYFCADVTDDGLLRMLRIIKKDLKPARHQEAGSEEEDDDDDLLDIEEDEDIDEAETGETAETDEQSEDSEAVVGGEGADKELPDDSEDSDDSEDSDGETDDDADKKLPEDSDDSDDDSDGGMDDDAMFRMDTYLARIFKEKKNQAETAQSQLVLFKLRVLSLLEIYLHENRGKPQVLTVYSNLAQAFVNSHTTEGNDQLGQRIWGILQRKVFKEKKLPKDESIQLSTLESLLERNLKLASKPFKRKKSASTLSKKKLSASLNRHKMIVNLAQNSTYWILKIIENRNFSDAELQGVLGILQAILVGYFDSKKSQIKSGFLKEIFRRNPRVGCQLFGFLVDKCGNAKSDFRRVEALDLVIEVLKSQVPLNPKESNRDASKKILKGHLLSLSHLIEKLVTRMPEKKSRKTEVHKFCDKIFQMISTLDLTKAFLKHLGPDAQSACESQLGPRFLKLKKP >OMO73752 pep supercontig:CCACVL1_1.0:contig11185:47315:52192:1 gene:CCACVL1_17158 transcript:OMO73752 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MSVGINYSPGLTRHQPKSLTFLSSSSSASFFSTRIPITVRSQSASSSICCSHLHSLSLSSTDMDTNAGPSLFPLHRCKTIHLVRHAQGIHNVEGDKNYKAYMSPEYFDAHITPLGWQQVDNLRKHVHECGLAKRIDLVIASPLMRTLQTAVGVFGGEGYTDRMDIVPLMVANAGNSGRGAISSLNCPPIVAVELCREHLGVHPCDKRRNISEYQFLFPAVDFSLIESDEDTWWEADVRETKEEVASRGMKFLNWLWTRKEKEIAIVTHSGFLFHTLSALGNECHPLVKKEISKHFANCELRSMVIVDRNMIGFDPATTNYPGKIPPGLDLPSDAVDEKAEKRT >OMO73756 pep supercontig:CCACVL1_1.0:contig11185:79244:81094:1 gene:CCACVL1_17162 transcript:OMO73756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVPFTATKPNPNPKFNKKRQFHHHLADDVAGHVVDDSPAVTQSAASDDASSINRKLNDFSSGAYVSFHISSYSRKDLIDLKNRLITELEQIRELKNRIESNDFHVRSSSNKKPVSKKNISGNKRPLPPNFSKELKRMNPQENGKASTAHLMKNCSQILTKLMKHKHGYIFNSPVDVVGLGLHDYHDIIKNPMDLGTVKSKLGKNLYGSPLEFAADVRLTFNNAMLYNPKGHEVYVLAEQLLGRFEELYRPLSLKLEELYRHEEPQERGVYYEEELQASSWDHGEVDRMKKDRERDAERNLDRNLDRDDSVNIVARSDKIGGVSGFVSNPSVPPPQLQMQAPARVASPVRAPPVKPLKQPKPKAKDPNKREMSMEEKQKLGLGLQSLPQEKMDSVVQIIRKRNGHLRQDGDEIELDIEAMDTETLWELDRFVTNYKKMVSKIKRQAMMANNVVSNDSNMEEAIVEKIEVPMEMKKPKKGDAGEEDVDIGDEMPMSSFPPVEIEKDNDRASSSSSSSSSSSSDSSSSSDSDSGSSSGSDSDADDARS >OMO73749 pep supercontig:CCACVL1_1.0:contig11185:31352:33899:1 gene:CCACVL1_17155 transcript:OMO73749 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-trans-poly-cis-decaprenylcistransferase-like protein MDFKKEVRIVCGWIAYFGDLLLRLLWHLVHVIISLFYFTLGIANALESYLISWGVLKRYRSLHVNKLRYLAIVVESEEAYETSKIIALLQWLADIGVKHVCLYDMEGILKKSKDFILAKVDGAVLYGETHENNKLLDQTHMTLEFASFCDGKEAVAKAANVLFMKYVKSGATDQNQKGHIFTESQMSEALKTIGSGGPEPDLLLVYGPARCHLGFPAWRIRYTEIVHMGPLKSMKYGSLIKAIHKFTRVQQNYGK >OMO73755 pep supercontig:CCACVL1_1.0:contig11185:72991:78905:1 gene:CCACVL1_17161 transcript:OMO73755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPQYYAPPPPPPKREPGFLEGFLITLDENKATALGTHTCRVASGLSRTTQLAFRPRHHPVGRTPNKNPKFTPHATQIHPKPKPKSTISINGLPATHVFQLPHPYRPCQITWPDFGSPSKSLTTLGLRAGLHLTGKILPETLSGRSHRL >OMO73747 pep supercontig:CCACVL1_1.0:contig11185:27479:27775:1 gene:CCACVL1_17153 transcript:OMO73747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVVDCLSNAYQDFFTAATNVAEAKASSNGDRSGATDAALENFKKKWDAFKLACDQANEFVDTLKQSITANTSYPVNEDMIDIFDHAVHVDEDHEEN >OMO73754 pep supercontig:CCACVL1_1.0:contig11185:65088:66527:1 gene:CCACVL1_17160 transcript:OMO73754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MENYSYNSYPESGDSSPRSREIDFENPPPWEDQPQQNYKAKFMCSYGGKIHPRPHDNQLAYIGGETKILAVERNIKFSSMIAKLSALCGGGEGDVSFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLYRASAKPARMRLFIFPAIGPASFASEGAKSDRDRFVEALNSGPTAGVEKNAAIPPNNVDFLFGLEKGMAPPPPVKIRDPVVEPVTPPPPPVPEVVGSDHGLNPAEIQRQLQELQRLQIRDQEQLAMYRKKAEEAASMPYMGEYYTQKLPEKAPPVSVPVTLQHHVPVTGGFWPDKQISAGGFAPTVTTNPGPAPPTEHQVYMIPAPGPAPTTVYHSPSPIPAPAPAPTAAPQMVRQVTGQAGQGYYANVQRMPHEVYREQQPVYNMVAQHPPTQHPPISAMPQQVVRPGGGGVTDTAYAHVASYDRQVYYTAPGGVAVPPQYQGVGVAVSGEMRAATVDGKVVNKVSQGSV >OMO73750 pep supercontig:CCACVL1_1.0:contig11185:34532:37705:-1 gene:CCACVL1_17156 transcript:OMO73750 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MVTSAISASLSLSLPSRIKPNSISSLSSRNSTRLIASALPSPYGDSSGMGSSSRISGLPLKIDEKTLHDSNLSYSPIEARSGNPPIMPAVMTPGGPLDLSTVFFRNRMIFIGQPINSQVAQRVISQLVTLATIDEDADILVYLNCPGGSIYSVFAIYDCMSWIKPKVGTVCFGVTASQATLLLAGGEKGMRYAMPNARIMIHQPQSGSGGHVEDVKIQANEAVQSRNKIDLMFAAFTGQPLEKVQQYTDRDRFLSGSEAMEFGLIDGLLETEY >OMO73744 pep supercontig:CCACVL1_1.0:contig11185:1173:2853:1 gene:CCACVL1_17150 transcript:OMO73744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MSGLGAETSAVSAQPIDNPSVADLEIEAAASMNSKPKQNKFAFVCAIYASLTTILLGYDIGVMSGAIIFIKDDLKISDVQVEIVMGILNLYCLVGSFAAGRISDWIGRRYTIVVANIIFFAGSLLMGFSTNYAFLMVSRFVAGLGVGFAIMTAPVYTAELSPASSRGFLTSFTEVSINFGILLGYVSNYAFSKLPTNLGWRLMLGVGAVPAVVLAITILAMPESPRWLVMQGRLGEAKRVLRKTLNTEEEAELRLSEIKEAAGIPEDCKDDVVQPPKQSHGEGVWRELLLHPTPSVRHVLICAIGIHFIQQSSGIDAVVLYSPRIFGKAGITSYNDKLLATVAVGFVKTTFILVATFLLDRIGRRPLLLSSVGGMVASLATLGFALTVIDHSAEKLNWAIGLCIAMVLAFVAFFSIGLGPITVVYMSEIFPLRLRAQGVSFGVAVNRVTSGVTSMTFISLYKAITIGGAFFLYAGIAAMGWVFFYIFLPETQGKTLEEMEGIFGKLVGWREEAKKMKMEKKEVKGNGT >OMO73746 pep supercontig:CCACVL1_1.0:contig11185:23386:24372:-1 gene:CCACVL1_17152 transcript:OMO73746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQHEISRKRSADEEYQEDEHQDSKRFHEEDNDFLTLSLSSYGPRRTIVTPAVSEPPLPLPPPPPPPQPQQSQLLQQELSEMVSQSWSMQSLLSQSPPKFPLQLSASHPLFNGPLGSQQPLTPLVYPQQQEPPPASPVIVPASNGPPSRPVRVRRNPTQAPRDGRSMTIEPPFPWATEHRATVHSLEHLMSKKIFTITGEVQCRRCERQYEIAYDLRERFSEVGSFLARNMNTMHDRAPSVWMNPALLKCKFCDQENSTKPLIAEKKKSINWLFLLLGQMLGCCTLEQLKYFCKHTRNHRTGAKDRVLYLTYLQLCKQLDPDGPFTR >OMO73748 pep supercontig:CCACVL1_1.0:contig11185:28225:28662:1 gene:CCACVL1_17154 transcript:OMO73748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNIVDSLNNAYQDFVAAAANVLETKESSAAQKTVATDAALENFKQKWELFRVACDQAEEFVESIKQRIGSECLVDEATGSMAGKSGQSTGLPPISAVRLEQMSKAVRWLVIELQHGSGTAGGAAAAHAHPSAPFDARFSEDTAQ >OMO73751 pep supercontig:CCACVL1_1.0:contig11185:43689:45698:1 gene:CCACVL1_17157 transcript:OMO73751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRQARIILKLCEVVTRTSSTNSIIGNDKFKHLRGLYTAGPTCTELPRKLKKTERKPSVTSINELKRIARTERKQRQRVHERTLQPPENGLLVKELVPVAHQVYAARTELFACVSKVAESIAIYSCRVCGEVHVGHPPHKIRTCNVLGSAANKEHCWERGGVEHVLPLVESFHLYDRLGRAVSHNEQLQVDRIPAILELCIQAGINFSEYPTRRRVFPVYSISGRIIDFEKRFPKEDALAIDINAFGFWQKRHKTSGENKFVDLQLSDLQGVAVNGMEAWKNMRSGVSRLMQKYAVQTCGYCSEVQVGPKGHRVRNCQAYKHQMRDGQHAWQEATIDDAIPPVYVWHVQDHKSGKPLINELKRYYGMLPAVVELFAQAGASVPNDYAGMMREDVAVPELDEEMLVI >OMO73802 pep supercontig:CCACVL1_1.0:contig11181:12832:15945:-1 gene:CCACVL1_17137 transcript:OMO73802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLNPSKSTLKLSIPKTHIFKSSLLHSTFNPQPRTLFHRRTLSFTITCKVKTSQGRTNDGKNISKKILLTDTAPELSNEEVKVKPKNGGGALGLVRRLPRRILALLSNLPLAIGEMFVVAGLMALGTAIDQGEAPDYYFQKYPEENPVLGFFTWRWVLTLGLDHMFSSPIFLGTLVLLGLSLMACTYTTQIPLVKVARRWSFLQTADSIKKQEFSDSLPRASIKDLGVILMGSGYEVFLKGPSLYAFKGLAGRFAPIGVHLAMLLIMAGGTLSATGSFKGSVTVPQGLNFVVGDVLGPTGFLSTPSETFNTEVHVNRFYMDYYDSGEVSQFHTDLSLLDMNGKEVMRKTLSVNDPLRYGGFTIYQTDWSFSALQIIKDGEGPFNLAMAPLKLNGDKKLFGTFLPVGDTNSPNVKGISMLARDLQSIILYDQQGKFFGVRRPNSKLPIEIDGTKIVIVDAIGSTGLDLKTDPGVPIVYAGFGALMLTTCISYLSHSQIWALQDGTTVFVGGKTNRAKGEFPDEMNRLLDRVPEIVESSKSKQTDSIGG >OMO73800 pep supercontig:CCACVL1_1.0:contig11181:5940:8709:1 gene:CCACVL1_17135 transcript:OMO73800 gene_biotype:protein_coding transcript_biotype:protein_coding description:LytB protein MELTTQHLSKIKCAPPLQPHRFFPTPSANLRRFPPPLSPMAASLQLCRFCILTRSDNFGGRSVALPSRKPLSVRCSGDSSSSSSVAVDPDNFDAKVFRHNLTRSKNYNKKGFGRKQETLELMNQEYTSDIIKKLKENGNEYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFPDERIWITNEIIHNPTVNKRLEEMEVKNIPVEEGQKQFDVVNKGDVVVLPAFGAAVDEMLVLNDKMCKLLIQLVLGYLSVTSLKVWNVVEKHKKGDYTSIIHGKYAHEETIATASFAGTYIIVKDMKEANYVCDYILGGQLNGSSSTKEEFLEKFKNAVSKGFDPDRDLVKVGIANQTTMLKGETEEIGKLVEKTMMQKYGVENVNNHFITFNTICDATQERQDAMYKLVEEDVDLMLVVGGWNSSNTSHLQEISEDRGIPSYWIDSEVRIGPGNRIAHKLKHGELVETENWLPEGPITIGVTSGASTPDKVVEDALIKVFDIKREEALQVA >OMO73805 pep supercontig:CCACVL1_1.0:contig11181:36286:38556:1 gene:CCACVL1_17140 transcript:OMO73805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MEVPNGSHEMQHRALTPLRVFRGLICLLVILSTAFMMVVYWGFLAAVILRLFSIHYSRKVTAFFFSAWLALWPFLFEKINKTRVIFSGDDVPAQERVLLICNHRTEVDWMYLWNFALRKDCLGYIKYVLKSSLMKLPLFGWSFQILEFIPVERKWEVDESTMRHMLSTFKDPRDPLWLVLFPEGTDFTEQKCLRSQKYAAENGLPILRNLLLPKSKGFCACLEDLRSSLDAVYDVTIAYKHRCPSFLDNVFGVEPSEVHIHVRRITLDGMPISEREVTSWLMDTFQLKDQLLSNFKSEGHFTGQRKEENLSPMKCHVKVMLVLVLTSVGVFYTFFSSILFFKLFVSLSCAYMTSATYFNIRPVPVFSFLKSRP >OMO73801 pep supercontig:CCACVL1_1.0:contig11181:9433:11576:-1 gene:CCACVL1_17136 transcript:OMO73801 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MASLEVEEVKPSEVKPFQVERQMGQEAVKQKTAKRSWKESKKMWAIAGPAILVSVSQFSIGFVTVAFAGHLGELELAAVTVTTNVIEGFVFGIMLLRQDKDISELAGKYCRMVIPQFLAYAMNFPMQKFLQSQSKVWVMTIISVLGLACHVLLNWALINKLHLGLLGAAMAGNISWWLQVIAMLIYVVSGYFPDSWTGLSLLAFKSLWGFVKLSLASAVMLCMNLQLWTSMVTLGFNAAVSVRVSNELGAGRPKAARFSIVVAVLTSLAVGIIFMAIILATKHDFPKLFTEKTKVIKEASKLGMLVGTVLQTTILLFVMLRASWRKEAVQAEERLRTWGGPTPDQTPENSLESNAAGVITRD >OMO73804 pep supercontig:CCACVL1_1.0:contig11181:23549:32112:-1 gene:CCACVL1_17139 transcript:OMO73804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMEIDFKEYQLRCQLLGHEDDVRGICVCGNEGIATSSRDKTVRFWSLDDSDKRKYVSSKILLGHSSFVGPLAWISPDEQFPEGGIVSGGMDTMVFVWDLRTGEKVQSLKGHKLQVTGVALDNGDIISSSVDSTLKRWRNGQPVESWEAHQSAIQAVIRLPSGELVSGSTDSTLKLWRGKTCVHTFVGHTDTVRGLAVMPGLGVLSASHDGSIRLWAQSGEVLMEMVGHTSIVYAVDSHVSGLIVSGSEDRFAKIWKDGVCVQSIEHPGCVWDAKFLENGDIVTACSDGVIRIWTVDVGNIADPVELEAYASLLSQYKLSRKTVGGLKLEDLPGLEALQHPGTSDGQTKIVREGDNGVAYSWNLREHKWDKIGEVVDGPDDSMTRSVLDGVQYDHVFDVDIGDGEPIRKLPYNRSDNPYDTADKWLLKENLPLSYRQQIVEFILQNTGQKDFNIDPAFRDPFTGGNAYVPGQPSYASGTSAKPTFKHIPKRGMLVFDAAQFDGILKKISEFNNTLLSDSEKKDLAMTELEVSRLGAIVKILKDTSHYHSSSFADVDISLLLKLLKSWPIAMIFPVIDILRMIALHPDGASILLRLVEVNELLGPRFLINLLASELSLMWPSGCSGLNSILYVLMEMIVKVTTDPVLPANLLTSIRAITNLFKNSSYHGWLQKHRSEILDAFSNCLASPNKNLQLAFSTMILNYTVLLTEKKDEEGQAHVLSAALEIAEQENLEVDSRFRALVAIGSLMLEGRVKKIAMDFDVQNIAKVAKGSKEAKIAEIGADIELLTKQS >OMO73803 pep supercontig:CCACVL1_1.0:contig11181:16367:17161:1 gene:CCACVL1_17138 transcript:OMO73803 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MLLPSLQLPIPKTPTPHYIKFRTSHRENIRYLKAVGIIDPTVKPRNLPSPQAADQVLATVNFLKSKGIHDQDFSRLAFLCPRLFSTNFDASEIEPVFDFFIADLHASVEESRGLIVHCPEILFSNVEYCLRPTLEYLRKLGVEKLNEPSKQKAFLLNTRVEKLRTKLRFLRSIGLNYEEAASFCARMPAIFGYNIDDNLMPKYEFLVNEMERSLEELKEFPQYFAFSLHKRIEPRHWHLKQRNVRIKLNRMLLWSDERFYSKWK >OMO68660 pep supercontig:CCACVL1_1.0:contig12198:18950:19072:1 gene:CCACVL1_19879 transcript:OMO68660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDGKMRFWADTEFEIKKGFRRSAHALFLMGGDVLGLKP >OMO68658 pep supercontig:CCACVL1_1.0:contig12198:1979:2592:-1 gene:CCACVL1_19877 transcript:OMO68658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNPEVQLFKLNSRLEFSKGNRDERSRKRGKITHIK >OMO68661 pep supercontig:CCACVL1_1.0:contig12198:20645:22357:1 gene:CCACVL1_19880 transcript:OMO68661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S19e MEAARTVKDVSPHEFVKAYAAHLKRSGKIELPPWTDIVKGGKLKELPPYDPDWYYVRAASMARKIYLRGGLGVNAFRRIYGGAKRNGSRPRHFCRSSGSIARHILQQLQNVNIVDLDVKGGRRITSNGQRDLDQVAGRIAVAH >OMO68659 pep supercontig:CCACVL1_1.0:contig12198:16314:18148:1 gene:CCACVL1_19878 transcript:OMO68659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSYQIVPLTEGMNSGTSSVSSRRRESRQSPIAVQTLPCFKGKSSKSFTRSAGIFGNEFSLGKSLLSSPKLGSAIFPQANQKYDCQNEVQPPTRSSISLNCNNENDSSFEQHTPAECTQGFQKILLLHGEKTPGSSTGCSPQTVEILVYDGKSDESRDQSIPNEFVLEQANTECVHDAELEKVETSNSHDYKRILGFDMKNTLPIPNDQCSSHPSPTRNHQNSCAEEDIKDKEKDRIPDINLECDHVPDSEKQLAATELVAESELCETYPGYRVLIDLNTCSSLDESVGMPSDLIEIDLQPPASPENKESSPPRGESDENQLEMPLQSSGQEDGDLQEELVKIAVGAIVSILSPGIQTSLESIRCEPFKASWNNSLYLFAREASSVVDNPGSEYGISMGVRDCSDDEEYLSNGIDYFEAMTLNLKEIKVDESLGKKDGKKDEEHNANFLRNQPRRGRTKGRQQRKDFQSEILPSLASLSRYEVTEDLQMIGGLMEAAGARWESGSSRNAGRNGCAKRGRWSNARASNNYGEHDKHIVEGTVYSSGRGYPSEKTRRVGEDNKKNSGANMSIKEPSTYIRPSIVRVT >OMO54199 pep supercontig:CCACVL1_1.0:contig15037:342:3152:-1 gene:CCACVL1_27977 transcript:OMO54199 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MAKELETELRLGLPGGGTEKNEKKRVFSEISEDDENGKVSNNNNEEKMQSKSQVVGWPPVCSYRKKNSFNDSKASKMYVKVSMDGAPFLRKIDLAMHKGYSDLAAALEKMFGEALRDADNCEFVPIYEDKDGDWMLAGDVPWEMFIESCKRLRIMKRADAQGFGVLRSRETLNATSKNE >OMO54202 pep supercontig:CCACVL1_1.0:contig15037:55045:55215:-1 gene:CCACVL1_27980 transcript:OMO54202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carboxylesterase protein AQACPWLGPISNDFIVINIIVVEHGPVIPRPNSLSGHGKGLLGGMLPRPKFELTNG >OMO54200 pep supercontig:CCACVL1_1.0:contig15037:5218:11396:1 gene:CCACVL1_27978 transcript:OMO54200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITYRVQRLLSRLIPVIRQASSMAMGLVLTNPDLTRVAHLVIVGPPNGPFALATPRPPRVVYYKQEATIGH >OMO54201 pep supercontig:CCACVL1_1.0:contig15037:28461:29954:-1 gene:CCACVL1_27979 transcript:OMO54201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MGTESTPLKLPVIDFTKPELKPGTVEWDSVKGQVLQALQDYGCFEALFEKVPTELREAIFEALEELFDLPLETKLRNVSKKPFHGYVGQYPQVPLFESMGFDDADIIEKVEAQTSILWPQGNTDFSKTIQSFSKQLSDLDQMVRRMILESFNLEKYMDEHMDSANYLLRVMKYEGPKTTETKLGLRSHTDKNIVTILHQNEVEGLAIQSKDGEWFDIKPSKDSFIVMIGDSLYAWLNGRLHSPYHRVMMTGDKARYSMGLFSIPKGGYMIKAPEELVDEEHPLLFKPFDHIQFMGFYFTEAGQKAQSALKVFCGVEN >OMO57680 pep supercontig:CCACVL1_1.0:contig14337:3027:3817:1 gene:CCACVL1_25700 transcript:OMO57680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAKPLKISKKKAQDAKSFTSQDKAKRKSTLKERQEKKYPFPDSDVASMLDELLKSKVIELPEMKRPDESDKVLKAQKKAHQLISKPKQTLIQRKKTPSEFHSASKQYVKRPITLNDFMPSQLKGEELIFMTCNQIDEEYEDE >OMO57683 pep supercontig:CCACVL1_1.0:contig14337:11264:11401:-1 gene:CCACVL1_25703 transcript:OMO57683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISVRFFLSTGLTFGFKAGLVTQSLLLDELIERLVRETLIIPMV >OMO57684 pep supercontig:CCACVL1_1.0:contig14337:56919:58956:1 gene:CCACVL1_25705 transcript:OMO57684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQGLDMRVSALVIKLEITLFKGEINIDFKVISKTPLAYKWYNVEEDIFEGKNEGKELMMDQLNSFLEMTAI >OMO57681 pep supercontig:CCACVL1_1.0:contig14337:4470:5150:1 gene:CCACVL1_25701 transcript:OMO57681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEITKSLGDLTLPLTSLQKDTKPSLKGFVRPSKGPLVEHDELPSHRANCFDPNAYRLLVKAGYDHEDVTKMANETRTIWQKKVKPSKQGLGFSPVKLKIHRKTTTYITVDEVDENDESLVESPRISVFDRLGRPSDRQYVFDQLSRPSALNTDRVAWFTKPRKPSFKRSTRREKRRSSLTSESNEFHSRIPSRMKMRNELVVTTGETLRAKAHTVIVTKPISALK >OMO57682 pep supercontig:CCACVL1_1.0:contig14337:9071:10278:-1 gene:CCACVL1_25702 transcript:OMO57682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKWKPAQLTQEVIVTPENHHVDSGNNTMLVDNLSDETDKERHWKRAKTTKLQSQRLTIEMFGDISPNHSEASVSNSHDFDRLADQVGNSEVHHSSRKTVLLERSTPQINMQGMGENIVAKPHPKALPLSAPEFTRFSADKVIEDGQLQLALILWECIQLKITRTPFNQVHLLVDEVRKIFAAIEGIKAVDSAALKGRVEEYFSQIAKFTDLESSFSSRMSSKDQADKLQNLATRLEESISKERQAVVCHDKLTSELTKVEKEISALQEKKAKLESSLKENDKALEVVRAHVSHIREEMASAESCPILSEADAKALKVLEDILRSSREDLKNLKWKPWSPRFLYLGP >OMO95518 pep supercontig:CCACVL1_1.0:contig07663:1364:1432:-1 gene:CCACVL1_05383 transcript:OMO95518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLTMTMLDLEKEKWKTKPL >OMO89149 pep supercontig:CCACVL1_1.0:contig08839:1265:1366:1 gene:CCACVL1_07995 transcript:OMO89149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRLGFMMASAGKRRIFAIGKKKEGRRRRREN >OMP00861 pep supercontig:CCACVL1_1.0:contig06601:2687:5122:-1 gene:CCACVL1_03275 transcript:OMP00861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIVWPLSSGSLRLASTDVRVNPIIWFNYFSNPMDVERCVNGTRRIGDIFRSQSMDYFKFNEMVWDKKFQVFPSFPKKRAQENNENSVDRRHKRMMKNRESAARSRAGKQVPVKADLPLLCCVLLSNGIRDSLKAERRMLESFIKSKVRKQLRH >OMP00860 pep supercontig:CCACVL1_1.0:contig06601:1507:1590:1 gene:CCACVL1_03274 transcript:OMP00860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILKPYTKVTQSSSKVYFTYKLFFTSHS >OMO77395 pep supercontig:CCACVL1_1.0:contig10770:8988:9343:-1 gene:CCACVL1_15025 transcript:OMO77395 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative elongator complex protein 3 MDEYPRFCVQDFINREEMRLRFVRGGQRSIRLELRNNFLLERLVDIIHQLCQLHDAVKNLLADSDVDADSHPLSDFDSFD >OMO64538 pep supercontig:CCACVL1_1.0:contig12810:612:1571:1 gene:CCACVL1_21684 transcript:OMO64538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MEVKRRTARTLVAKLSSVSERTRTEALSELRLISKHDPESRPLIAEAGAVPYLSETLYSSSPTVQENAAATLLNLSITSRASLMSTRGLLDSLSHVLSNSASPAAVQSSAATLHSLLIADESYRPIIGSKRDILYSLLSIITTANAPPRSIKDALKALFGIALYPLNRASLVGLGAVPALVSLIVRDARTGIVEDATAVLAQIAGCEESEEAMRKAGGVRVLGDLLDEGTGASGRIRENAVAALLNLARCGGERGRKEVKEMGMKIKDCIADVAENGSAKGKSKAMELLKLVLDGNENGQEVRDFSRFNDYNDFMNNSI >OMO64539 pep supercontig:CCACVL1_1.0:contig12810:16785:18516:1 gene:CCACVL1_21686 transcript:OMO64539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKETNQENPSLLSNNTTTNNIIKEDISPKKHHHPAVIGGAGGGGGGNDRLKRDEWSEGAVSSLLEAYENKWVLRNRAKLKGHDWEDVARYVSARANCTKSPKTQTQCKNKIESMKKRYRSESATADGSSWPLYPRLDLLLRGSAPPPPPPPQPQPQLHPSAVPQAPIPLASNPPLTLPEQSMVVVLQQQPTPPPPAAAPPPPPLAPHGTAQNSHGSNGVDRIPKEDGAGTKLSDHLSDKFAMETDSSTPALYSDKEKLRSKKMKMKMEKKKRRKKEEWEIAESIRWLAEVVLKSEQARMETMREIEKMRVEAEAKRGEMDLKRTEIIANTQLEIARLFAGSSKGSVDSSLRIGRS >OMO64540 pep supercontig:CCACVL1_1.0:contig12810:22132:22203:-1 gene:CCACVL1_21687 transcript:OMO64540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YEASRTANVEVIKESKRMKASRN >OMP08135 pep supercontig:CCACVL1_1.0:contig03979:883:1404:1 gene:CCACVL1_01157 transcript:OMP08135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MRKMLPTFLKPEALQGYIGMMDVIAQRHFEDSWQGKEEITVFPLAKGYTFWVACKVFLSMEDPAQISKFSQTFNDLASGIFSIPINLPGTPFRRGIKASEMVRKELMAIIKQRKIDLAQGNVAPNQDILSHMLLATNENGQFLNELNIADKVLGLLIGGYDTATASITFIVRY >OMO50624 pep supercontig:CCACVL1_1.0:contig16109:20127:25558:-1 gene:CCACVL1_30344 transcript:OMO50624 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Inorganic polyphosphate/ATP-NAD kinase MVECLYVCHVAFFDMKRFYPVTGLSSPFKFDGESRVFGFGLGFGSKRKVSVRKRLKLVVKAELSKSFSVNLGLDSQRTVQSHDVSKLHWIGPVPGDIAEVEAYCRIFRAAERLHAALMDTLCNPLTGECTVSYDFTPEEKPLVEDKIVSVLGCMLSLLNKAREDVLSGRVSIMNTFRMADVSVMDDKLPPLALFRGEMKRCCESLHVALENYLIPDDLRSLNVWRKLQRLKNACYDSGFAREDGHPCHTLFANWQPVCLSTSKDDIESKDCEIAFWRGGQVTDEGLKWLIDKGFKTVVDLRAETVKDNFYQAAMNDAISSGRVEFIKIPVEVGTAPSMEQVEKFASLVADCNKKPIYLHSKEGVWRTSAMVSRWRQYMTRFAPQIVSNQSVNPSDTSSQDKNGSGEMQESSKVFSDSDEEDQRIHGAHSDSVSSQVMISGEAADNAEGAVENIYGSTDPLKAQIPPCDIFSRKEMSSFLRSKKISPPMYINHQLKRLEPRPVSRETSIRATTESQLAEPGSSNGLFSAKNQSPEHQSSATGSMYMNGAPHATRSPNVNGFVEGEWYSKTETKFASLDGNFNEHISSTSVRKSQKSNGKASSDSSDDELGSIEGDMCASATGVVRVQSRKKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSTPKTVLLLKKLGPELMEEAKEVASFLYYQEKMNVLVEPDVHDIFARIPGFGFVQTFYSQDTSDLHERVDFVACLGGDGVILHASNLFRDAVPPVVSFNLGSLGFLTSHTFEDYRQDLRQVIHGNNTAEGVYITLRMRLRCEIFRNGKAVPGKVFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPDDARSNAWVSFDGKRRQQLSRGHSVRIFMSQHPLPTVNKSDQTGDWFHSLIRCLNWNERLDQKAL >OMO50623 pep supercontig:CCACVL1_1.0:contig16109:17430:18625:1 gene:CCACVL1_30343 transcript:OMO50623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MAIPVIDFSKLNGEERANTLAQIANACEEWGFFQLVNHGISEELLERVKKVASEFYKLEREENFNKSKLVSNLLDESGEKLENVDWEDVITLLDDNEWPSKTAGFQETLKEYRFELKKLAEKVMEVMDENLGLPKGYIKEAFNNGENGAFFGTKVSHYPPCPHPERVNGLRAHTDAGGVILLFQDDKVGGLQVLKDGQWIDVQPLPNTIVINTGDQIEVLSNGRYKSAWHRVMSSTSGNRRSIASFYNPSLTATIAPAPQLVEKTNQEEAVEQTKYPKFVFGDYMSVYVQQKFLPKEPRFQAVSAM >OMO60574 pep supercontig:CCACVL1_1.0:contig13709:4170:11234:1 gene:CCACVL1_24036 transcript:OMO60574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MGIPDSSLLDLIEKVRSWISWGASDLSCYPGEEELGMLKTSVCKKMCCCECDTKFVDGLSHRYRCQSCGRWLCSKCVESYESSMVVVVDDQSDNVKCNDFSRMMSVKSCKFCCDGVNMRRESGGRKYCEKVHPSESPRESPEPPSPCSVNSDSMKSDRLAHYLEARDCGFSLQPVTSKSMTSFGAHPPPVSTRRSPCRSDEDDADDSGQHFLSPSTEYCQDVSDIESSSVSARHEFYSYKSVGSSPSDSPSRNHFTPYRVGRTVQRRQEGSPMARYVGPFDQENMAILRKPETRSEELENTDDNSDEMPVSHNQYAKSQKPLDFENNGLIWYPPPPEDANDEAESNFFTYDDEDDDIGDSGAMFTSSSSLSSIFPAREKQNEGNKEPLRAVIQGHFRALVSQLLQAEGIQFGQEDNAGDWLDIVTAMAWQAANFVKPDTSRGGSMDPGDYVKVKCIASGTPSESTLVKGVVCTKNIKHKRMTSQYKNPRLLLLGGALEFLKVPNQLASFSTLLQQENDHLKMIIAKIEALRPNVLLVEKSVSSYAQEYLLAKEISLVLNVKRPLLERIARCTGASICPSIDNLSTTRLGHCELFRLEKVSEEHEMANQFNKKPSKTLMFFEGCTRRLGCTVLLRGRSREELKKVKHVVQYAVFAAYHLSLETSFLADEGATLPKLKIKHSIAEPEKMQLESVISALPGSCSPPRVDPIVDASAQDDASLNPNPEQGGLDSLSEQYDQSRFFPSSGGSILGASNDDLAPHVDLDMSSLEQFKDLKMSTLLPYDNRDFPRSELQEPRTEEEGHLGEIHELATFEQIYEDEASSEYFSATDTHQSILVSFSSRCVLKGTVCERSRLFRIKFYGSFDKPLGRYLRDDLFDQASCCHSCNEPAEAHVICYTHQQGNLTINVRRLSSLKLPGERDGKIWMWHRCLRCAHIDGVPPATHRVVMSDAAWGLSFGKFLELSFSNHATANRVATCGHSLQRDCLRFYGFGNMVAFFRYSPIDILSVQLPPSMLEFSGDIQQEWIRKEAAELMVKMDMFYAEVSDLLNSIEQKCNSAGCQSSNDCELLNHIMELRDQLQKERNEYNGLLQPVVMETPQLGPAAVDILELNRLRRSLLIGSHVWDRQLHSLDSLHKKGSSVKAEVDSPKDQKFDSHEENTCRSSDSQEPPKNEIGLEQNSSPTLESVVPEENDLALCHQKREEEVHPDESIPSPASTLSERIDSAWTGSDLLTLKAQPPEASQGDVLQEGSIRPPSKIDNLHLRKVALPMRVHSFDSAMRFQERIQKGLYPSASHLSTLRSFHASGDYRSMVRDPVSSVMRTYSHTLPLEAQKSNLLLSTTPTLISSASHLAEGARLLLQHRGHSDIVIAVYDNDPASIISYALSSKEYDEWVADKSSENGGGWSVSDRSREDSATASFSPWQSFGSLDLDYIHYGSFGSEDVSSSVGTKFADTKRSPHLTVSFGDDSSAVGGKVKFSVTCYFAKQFDALRRKCCSSEVDFLRSLSRCQKWSAQGGKSNVYFAKSLDERFIIKQVQKTELESFDEFAPEYFKYLTDSLSSGSPTCLAKILGIYQVSVKHLKGGREIKMDLMVMENLFFRRSISRVYDLKGSARSRYNPDTSGTNKVLLDMNLLEALRTEPIFLGSKAKRSLERAIWNDTSFLASVDVMDYSLLVGVDEERKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTIISPKQYKKRFRKAMTTYFLTVPDQWTS >OMO60576 pep supercontig:CCACVL1_1.0:contig13709:17800:20522:-1 gene:CCACVL1_24038 transcript:OMO60576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAGGLASSQQGGDASPAFLLRLILDVVSGNVGGSGNARVNGMFKRDCTDLVRRIALFTHLLEEIRDFGPSDFDHGDASSSSSSSASSSSWSADLAVALQAAKRLLSLAAAYNSNNSPDGAAKRISFQFQCVTWKLEKALGKIPYDQFQISEEVQEQVSLVRAQLRRATERYGSFNSRKFSNALSQPLEKECGSANHEISAKLDSISVNCGPQRNDAYQETKILERVKSSSTSSEVCQSNEIDSKVQENVAIKGTEEIKKPDPLVIPDDFLCPISLELMRDPVIVATGQTYERSYIQRWIDCGNLTCPKTQQKLENFTLTPNYVLRSLISQWCTKHNIEQPSGLTNGRLKKSDGSFRDVSGDMAAIQALVSKLSSRCLEERRAAVAEIRSLSKRSTDNRILIADAGAIPVLVNLLTTDDGSLQEHAVTSILNLSIYENNKSLIMLAGAIPSIVQVLRAGSMEARENAAATLFSLSLADENKIIIGASGAIPALVDLLQHGTVRGKKDAATALFNLCIYQGNKGRAVRAGIISALLKMLTDSRNCMVDEALTILSVLANNHDAKAAIVKASTIPVLIDLLRTGLPRNKENAAAILLSLCKRDPENLGCISRLGAAIPLTELTKSGTERAKRKATSLLEHLRKLQQH >OMO60577 pep supercontig:CCACVL1_1.0:contig13709:22726:27146:1 gene:CCACVL1_24039 transcript:OMO60577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLKAERQTSKTDGFLQAPAVSVCLILRRKQPISGPFGLPDIAPTDGPSPLLLWIVSGSDPPKFHEQHQKKLEKRLGNKTLETEFLQPSSPQVSRPFKLRKPGALYINPKKFGALTKPCMQEMLSFLNCLALNKTSEEKCVRQKDLLSACMDAQTNQNRKSWGSINYHLQRLNRGRK >OMO60575 pep supercontig:CCACVL1_1.0:contig13709:12494:14657:-1 gene:CCACVL1_24037 transcript:OMO60575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTLGGKKFTKIMKINGETIKMKTPVKAEEVVKDYPGHVLLESESVKHYGIRAKPLLGHQNLEPKRLYFLVELPEAPKEIKVPRRVRSGINMSAKDRLESLMLSRRSVSDLTLMKPKSCVIPEETAEEVERSENGATRVKLRLPKAEVERLMKESANEAEAAEKIMQLCIANANATSNDNSPRGGVDEMHWKSSHGRVGQGFKAREKRVSFLPISEGGSQIAVTS >OMO70083 pep supercontig:CCACVL1_1.0:contig11876:48515:48595:-1 gene:CCACVL1_19113 transcript:OMO70083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNVFETAQFEAFSDGARALIYTRR >OMO70082 pep supercontig:CCACVL1_1.0:contig11876:47893:48258:-1 gene:CCACVL1_19112 transcript:OMO70082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKEQKNPKLVRLLKMQHLLLLLLGFRSIYPAGDGATQTNNTDAVELEKRHDVVRERRKRKEFEVIVGGLDKDATEDDIRKVFSQIGEVVEVRLMMTLFVVARLAGIYPAADSSDLVALLA >OMO70084 pep supercontig:CCACVL1_1.0:contig11876:50714:51746:1 gene:CCACVL1_19114 transcript:OMO70084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYALLELEENLARIKAKAAHIEMQRSKMEAMINFVREQSIVLPEDIYKSMAMMKEQYAQFMAEESQYEAMLNFARQNQSFLEESDANMTKASSPPLNTPEPVQKDEKSDEEDEVESEDNGVSHEYEDDSSSEARVQEEHNMEIIEESPPLECENAKMVEEEVDSQAMIKNLDCGEADFLGIEALLQPRCHGIPNDKWKLNDYFVKATTSYKGEKEMSTKLAWANTATLSLKRIGHQPITSTTMALATLTIHGIDQDEFGELFPSFFTFQCPYNFLFNSYLIFALKWQDPP >OMO70081 pep supercontig:CCACVL1_1.0:contig11876:38563:47321:1 gene:CCACVL1_19111 transcript:OMO70081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISKAKSKAAPSAMANESPILTSSNQGSKIEDFFGETHDSSSIKHRS >OMO68194 pep supercontig:CCACVL1_1.0:contig12248:29286:29924:1 gene:CCACVL1_20031 transcript:OMO68194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVPIPSLFKKKEEAWQWGSCKHPKTLSFRAGNDDVFKTVNSIFLDEITTTTSCSSDEVDPLEMVVKGARSERLFFEPGGHTSSILAAEAELEPKGLGFRESVVLSMDSVDPYLDFRRSMEEMVETHGLKDWECLEELLAWYLKVNGKTNHGFIITAFIDLLLDLSYSSSSHNSSTSYSSAISSFPPSPLCSSQGDHGNNEIQEQERIVLP >OMO82394 pep supercontig:CCACVL1_1.0:contig10043:10793:19386:-1 gene:CCACVL1_11962 transcript:OMO82394 gene_biotype:protein_coding transcript_biotype:protein_coding description:LETM1-like protein MASLDYLLTNWGNLRKKCLMRQTMSDSHRLLGYRKNISAFRKSRRMGFRFPLASAEDGVTVNGGPQTSTSTDVDDIRVKLNQSLQGEDYSNGLVQSLHDAARVFELAIKEQGSLSKLSWFSTAWLGVDRNAWVKTFSYQAAVYSLLVAASEISSRGDSRDRDINVFVQRSLLRQSAPLESLIREELSAKQPGAYDWFWNEQIPAVMISFVNYFEGDIRFSAATDVRGKGKSLTAGSASDKALLMLALSCVAAITKLGPAKISCSNFFSMIPDITGRLMDMLVDYVPIHQAYHSIKDIGLRREFLAHFGPRAAACRVENDQDSEEVIFWVDLVQNQLQRAIDREKIWSRLTTSESIEVLERDLTIFGFFIALGRNTQAFLSANGFDVIGDPLQGFIRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYPGYVGISKQSHGHKTKREDPPNYKAIPQVLDVCSHWIQSFIKYSRWLESPSNVKAAKFLSRGHNKLMECMEELGIPKEMAKTSSGRTGLATEKESDSFDKALESVEEALKRLENLLQELHVSSSSSGKEQLQAACSDLERIRKLKKEAEFLEASFRAKEAFLRQEDGDGSSQSSANEQPLYPKTKTRQSDTLTNDRSIRVVNKSRGLWSFLKNPSTRKPNAESSAPEKSQNASSGIADSEPNEIRRFEQLRNELIELEKRVKKSADQSEYEEDIKVADEPPSFMNDAGQAQLAEVQKKESIIEKSLDKIKETSTDVLQGTQLLAIDVAAAMELLRRAFIGDELAEKEKKALRRTLTDLASVVPIGVLMLLPVTAVGHAAMLAAIQRYVPALIPSTYGSDRLDLLRQLEKVKELETSEADSEENVEEVA >OMO82393 pep supercontig:CCACVL1_1.0:contig10043:9002:9955:1 gene:CCACVL1_11961 transcript:OMO82393 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MDQVPTQTRHQLLLPSTPFVIFKKQLCCSLSFVFILISSVVVFNLGGSFSQPLSLFRFDGFEIIIIIIRFSFTTKTALFLILDFNVVTMEGKTSSILNGDGNPMAFQDYNLTVEYYRTPFLVVINRPPENSPAEVKVTVRVDEMHWFSQRWKGANVLVLNTGHWWNKEKTVKMYYCLSFHGLSFAA >OMO82392 pep supercontig:CCACVL1_1.0:contig10043:1154:6711:-1 gene:CCACVL1_11960 transcript:OMO82392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRVVCRKVYDYIRYDLKEIAFPSSLPDPPHIKKRRKLTWHERFLVLKEASRLYAASWVRDIGPDLRPNDYKKDDGTEHRTSGDKSKNKETEPSALEDLAVAAKGGMETLRPALQRLYMTRASAYRDALKSFIEGYQEDCGDPGARQTFLLKDKPLYRRSPFNFLIGHHPERPMITISGDPPTCRATKTLEAIYSGGQWVVRLPISVCQLGPFCHPTPE >OMO74509 pep supercontig:CCACVL1_1.0:contig11119:22475:25379:-1 gene:CCACVL1_16656 transcript:OMO74509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEKKNVLVGIRIDGQSRDLLSWALVKVAEPGDSVVAVHVSRSSDRSLGEKLLLEGYLEIYEGLCSVKQIDLKGKIFKGRSTRKVLIREAKNHAAVALVLGVGKHSALGGWTSTARYCAKRLPTTTNVLAINNGKIVFRRPNNNQLPGLKGDPRPSFYKMEQHPAAGREWQSEYGDSEVMSEISIFEGVQSTRDGSRTSNEDSKSEILSEGRTSSSRSLCLFAGDCMDYKPGWPLLLRASSATPQAKHARSLSVVKWVMNLPIRSPYHTPTCSTIKEDLFETDELSGSEDDDNNSSNSSIENELQKCLELLLKTNSSDCQWFSYRVLKSATAHFSSENLIGKGGSNRVYKGILPDGKAVAVKILKSSQEACKDFANEIEIITSLKHNRIMPVIGVCIKDNDLISIYDFSSKGSLEEILHGKNNEKHPLSWEVRYNVAVGIAEGLNYLHNEHPRPVIHRDVKSSNILLSDGFEPKLSDFGLAIWGPTDSSFLTQADVVGTFGYLAPEYFMYGKLSDKIDVYAFGVILLELLSGKRPISFETAKGQQSLVMWAKPIIESGDMKGIVDPNLNGNINETQMQRMVLAARLCITRSARLRPRMNKILELLRGEEEVEKWEEAENERTESQDQNDDEVYPNSSAELHLSLAMLDVDDDSTSFSSSMERSSHISMEEYLKGRWSRSSSFN >OMO74513 pep supercontig:CCACVL1_1.0:contig11119:41756:44672:-1 gene:CCACVL1_16660 transcript:OMO74513 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Mcm10/DnaG-type MTSHEDDLDLLLSLQDRVLETPPASPSNPRSPSRGYLSDDGSPRQRGKADLSVFKNVVKDCLDYEPKTIEKPAKSKPKSSRDIQVEKFSGLHIRNQLVSPAELNERLSDIRFVRLSTIQNLLVGDSLYGCWATIGVLTEKATPKTSSIGQNYSIWKIGCLDENTVSLFLFGDAYQQHCSEQAGTVFALFSCNVRKDNKGSGFSLSVNAPNQILKIGSSADYGVCKGKRKDGMACTLVINKRQGAYCQFHRSKASETYSTKRTELMGGNLRTAFRTPLRSQGIYTVDPLSDKTNSKKPTQPVKLLSVEALKKALRNGDKVTTNRHSQGIRFLNAVTGKLCPKVANGASGKSVGSEKRKPSEVKQDTSVERNKQLDSKRMKVERERTWEDNWN >OMO74512 pep supercontig:CCACVL1_1.0:contig11119:34448:37283:-1 gene:CCACVL1_16659 transcript:OMO74512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFIDHPGAVPITTAQGEELRKLIGAPVYIECSSRTQQNVKAVFDAAIKVVLQPPKQKKKKKRKSQKACSIL >OMO74504 pep supercontig:CCACVL1_1.0:contig11119:12632:15074:1 gene:CCACVL1_16651 transcript:OMO74504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDSEMNPEQVEEEFAVWKKNTPFLYDLVISHPLEWPSLTVHWVPSSPSPYGPDPSFNVHKLLLGTHTSGGASDFLMIADAVLPTLAAESDFAAKNDDPVMPKVDITQRMRVDGEVNRARCMPQKPVIIGAKTSGCEVLVFDCTKQAEKEQGGECDPDLRLRGHDKEGYGLSWSPLKEGYLVSGSQDHKICLWDLSAWPQDKVLGAMHIYEAHENVVEDVSWHLKNENIFGSSGDDCQLMIWDLRTNKTQHSVKAHEREINYLSFNPYNEWVLATASSDSTVGLFDMRKLTVPLHVLSSHTGEVFQVEWDPNHETVLASSGDDRRLMVWDLNRIGEEQLEIELDADDGPPELLFSHGGHKAKISDFSWNKNEPWVISSVAEDNTLQVWQLAESIYRDDDENPTAED >OMO74505 pep supercontig:CCACVL1_1.0:contig11119:16123:16272:1 gene:CCACVL1_16652 transcript:OMO74505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKTGIGIAEAMQASFPCESQATTAGSETCNSPTKGSQYKDIPPSLLL >OMO74511 pep supercontig:CCACVL1_1.0:contig11119:32305:33081:-1 gene:CCACVL1_16658 transcript:OMO74511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MATKMIVKELKDLQRDPPTSCSAGPVAEDMFHWQATIIGPNDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNIYSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKIDKVKYESTARSWTQKYAMG >OMO74506 pep supercontig:CCACVL1_1.0:contig11119:17445:18233:-1 gene:CCACVL1_16653 transcript:OMO74506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGELDFSNQEVFTGNNMGDVPSSCSMDSFFDELLNDSHACTHTHTCNPPGPDNSHTHTCFHVHTKIVPAATEDKATTDDTAGSREKKSKKRPLGNREAVRKYREKVKARAASLEDEVVRLRALNQQLLKRLQGQAALEAEVARLKCLLVDIRGRIEGEIGAFPYQKSTTNVNMMNMPVAYVVNSCNVPCNDQMYCLHPGVEEKAGEDAALNGQEFSGCEFDNLPCLGNQNSGVKELATCGVGSAASNGNSSGTKRRKGEI >OMO74510 pep supercontig:CCACVL1_1.0:contig11119:31479:31686:1 gene:CCACVL1_16657 transcript:OMO74510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQRGRRNVNEDQIRHFFSAKSELQEFE >OMO74508 pep supercontig:CCACVL1_1.0:contig11119:21531:22092:1 gene:CCACVL1_16655 transcript:OMO74508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSLTDELEQPVSDSLYESSDNSQSIQRRRNCRCCFEGQNHASHVQELVPTSLREACNSPQRTRINMDKKSEMALTESLYKELVVNWIPTPLQKHLDVGDQEWLFQTKQPRSDTRDTSTANYGVLHQGNFTQYPHAQYLPEVNICALPYTIPY >OMO74503 pep supercontig:CCACVL1_1.0:contig11119:8922:10031:1 gene:CCACVL1_16650 transcript:OMO74503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALDHVSDLFDCSRGSSKLKKRKQLQTVEIKVKMDCEGCERKVKRSVEGMKGVTQVDVERKANKLTVVGYVDPAKVVARVAHRTGKKVELWPYVPYDVVAHPYAPGVYDKKAPAGYVRNAQDPQISHLARASSTEVRYTTAFSDENPTACSIM >OMO74507 pep supercontig:CCACVL1_1.0:contig11119:20163:20273:-1 gene:CCACVL1_16654 transcript:OMO74507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREWCERNPFESSKYGGAMAGCVLARDSFPVVPDS >OMO77324 pep supercontig:CCACVL1_1.0:contig10781:1883:2803:-1 gene:CCACVL1_15078 transcript:OMO77324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MLGNNLPPPNEVIALLKSRQITQIRLYNPNPDALQALQGSGIYVILGTLNLDLPNLGSDISFARTWVQKNVIPYSKDVNFRCIFAGNEVIPSDFAIHVLPAMRNLKTALGDANLGEIPVSTTVSMAVLGNSFPPSKGEFANEVNSIMKSITEFLAATNSPLLVNVYPYFAYINDQQDISLDYALFNSSEVVVKDGKFEYKNLFDAMTDSVYSALEKVGGNSVVIVVSESGWPSKENGEIATIENAETYNNNLIAHVYGTSGTPKRPGRSIETYVFAIFNENLKPPGTEQNFGLYYPNMTEVYHVDF >OMO77325 pep supercontig:CCACVL1_1.0:contig10781:3865:5160:1 gene:CCACVL1_15079 transcript:OMO77325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENREQARQQQQMEENPVQEDKSSQQIIIEKTDCRGEDKQEETSKKPKQGSKQSLKAAKPTIPQPFTLSTEKRMSRERRGSVDFSADISKKTKPRQRHGSMDFNNSYSQPRLSRSASLSNKDRLSPNAGDTSDINRANSLHGTSKIISRPQVKAAEHVESKIGSAQKMKNRTPLIGAESKQLKGNDKKQRVEGMESSNLQKSSTFKALPLPKFYQKKDSPPKSETKKMPETNSKSPTLIRSIKKAESSKIEDKGKGPSKTVTNGAKETISKLLRSTRKVLNTSKVTTKGIVSSA >OMO77327 pep supercontig:CCACVL1_1.0:contig10781:9945:10805:1 gene:CCACVL1_15081 transcript:OMO77327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPKLDIAMVDLVSGSTFRLPGVTTLPPVPQALAISKTHVYYSMSSVNKAIISSSPASSSSSNCFVSVIYTRHRALAYCKLGGKIWRPIKFAGSIDKTCDGFTDAIYHKGRLYALHDSGKIYTSDLTALYPVMTEFIASPPPRHFNKQLSTEVCYLVESGGELLLVYRMKDNTQTRIGYRTRMFEVYSLDFSNKKWIPVTSLGEYALFIGHSQSFSLSELNVGGIKRNHIYFMDDCIYADYYYKKKTGYDMGDFNFGSSTIDFYPTSFRLPYLPGFWVTPALGYS >OMO77328 pep supercontig:CCACVL1_1.0:contig10781:11278:12452:-1 gene:CCACVL1_15082 transcript:OMO77328 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, vacuolar ER assembly factor, Vma12 MSLDDPQKQPGLVVTVTEPIRLFLDSASNDPRLSEDLRKLAPTLSSRTNAPYKHINSIWVGSDVHTRPSLKSLFSGSGFVFDSPKPREKSEELKERLRKLQELAERKEYQELVKDITPKQEYEPFSTYKDQLGFGLHVALTMFTGYLVGYLAFRALFNHSPAMNAAGGILGLVLAMLVETLLFIIRTSDPGLKSPSSKSSRLKKDQ >OMO77326 pep supercontig:CCACVL1_1.0:contig10781:6452:7493:-1 gene:CCACVL1_15080 transcript:OMO77326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MKAVLLRAGSVPVSSQAVCSSPKVSSISRQSSFGGVCSGERAGGSSPCSPIISLHFRTDKRKPKESHSHIRRTASDTDIMRSDSRIPGGSRCFPAGIPEEEYLSDAEVDSEFRTLVKGKGSDRVSFPPIWPEFGISMEEIGFSGDGFGNGGKSGGDHGDDSFGDKNKIGDYYREMLKLNPGDSLLLRNYGKFLHEVERDMERAEEYYGRAILANPGDGEVLSLYGKLIWEKHRDESRAKSYFDRAVNASPDDCMVLGSYANFMWEAEEDEEEEEMGADKVGASPALVAAF >OMO83940 pep supercontig:CCACVL1_1.0:contig09821:9803:9922:1 gene:CCACVL1_11078 transcript:OMO83940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSSMNSSLPRTVAAVSLLPTSGPTPLSLDSMALALI >OMO83939 pep supercontig:CCACVL1_1.0:contig09821:9303:9482:1 gene:CCACVL1_11077 transcript:OMO83939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVQGSASLSILTTSLIVGRKFGSLAVQRILNHVFLDNLSCNFFVKNLDHTLTVIEMS >OMO83941 pep supercontig:CCACVL1_1.0:contig09821:27633:30294:1 gene:CCACVL1_11079 transcript:OMO83941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKQTKKRAPSPDPQGIFSGMVVFLIEAGVQSLRLQIWKKKLVQMGAKIETQLSNNVTHIFAISSDALLQHVDTDQLVRFKGHVLVYQWLEDSLAAEEKVSEDLYILKTDPERVGTPDKNLKSEPANRICSIDNEQSYRKRFKSSLEDTKQINEDMRRGLETTRASNLEANSPSSITSSPEIPSTPVEDVGTPTSSLPYIPPDLNKDITEIFGKLINIYRALGDDRRSFSYYKAITVVEKLPFKIESADQVKNLPGIGKSMQDHIQEIVTTGKLSKLEHFETDEKVRTISLFGEVWGIGPATALKLYEKGYHTLDDLKSEDSLTHAQKLGLKYFDDIKTRIPRQEVQEMESLLQKVAESIVPGVSCHTQILD >OMO64675 pep supercontig:CCACVL1_1.0:contig12749:25001:26548:1 gene:CCACVL1_21616 transcript:OMO64675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSVPILAIVISLHLIAFVLAVGAERRRSSAKVEPDQYDERTYCVYTTDASTVYGLSAFGLLLLSQIVLNGVTRCLCFGKGLLTGTSSTTCAIVFFVFSWITFLGAEACLLAGSARNAYHTKYRGIFGGEELSCATLRKGVFAAGAALTLLSLLGSIFYYWAHSRADTGGWEKHQNEGLGMTSSSYAQQPPEFGKA >OMO64676 pep supercontig:CCACVL1_1.0:contig12749:28921:29061:-1 gene:CCACVL1_21617 transcript:OMO64676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSKITGLPITRIGAPVVATPPGERPPCSAPLYHHHHPPGWVSSL >OMP11715 pep supercontig:CCACVL1_1.0:contig00987:1367:1760:1 gene:CCACVL1_00317 transcript:OMP11715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVEEIRRAQRADGPATILAIGTSTPPYCVEQSTYPDYYFRITKSEHKTELKDKFKRIWKRSKATYVKVKRR >OMO62735 pep supercontig:CCACVL1_1.0:contig13182:1822:9687:1 gene:CCACVL1_22661 transcript:OMO62735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex protein Exo70 MGIAVLGMDSLSDRAAMMREALQNSQTITDNVVSILGSFDSRLSSLETAMRPTQIRTHAIRKAHENIDKTLKSAEVILAQFDLSRQAENTILKGPHEDVEGYLAAIDQLRNNIRFFSSNKNFRNSDGVLNQANTLLDKAISKLEDEFKQRLNSYSKAIEPDRLFDGLPNALRPSGSPGNQGDAGKTTHNHADHHHNSDLEGAVYTPPTLIPPRIMPLLHDLAQQMVRAGHQQQLLRIYKETRSLVLEESLRKLGVEKLSKDDVQKMQWEVLEAKIGNWIHFMRIAVKLLFAGERKVCDQMFEGFDSLSDQCFTEVTASSVSVLLSFGEAIARSKRSPEKLFVLLDMYEIMRELHSEIETIFKGKACTEIRESALGLTKRLAQTAQETFGDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFENADGTNSQLASVTMRIMQALQTNLDGKSKQYKDQALTHLFLMNNIHYMVRSVRRSEAKDLLGDDWVQRHRRIVQQHANQYKRNAWQKILQCLSVQGLTSSGGGSGAGGDGGNSSGVSRAMVKDRFKIFNVQFEELHQRQSQWTVPDTELRESLRLAVAEVLLPAYRSFLKRFGPLVENGKNPQKYIKYTAEDLERMLGEFFEGKNLSEAKR >OMO62736 pep supercontig:CCACVL1_1.0:contig13182:12075:15068:1 gene:CCACVL1_22662 transcript:OMO62736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVPHHVTLKYIVKMLLLKTHSCVPMDTEVLSPIYGMKLP >OMO92688 pep supercontig:CCACVL1_1.0:contig08184:25301:25408:1 gene:CCACVL1_06783 transcript:OMO92688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVIHMPLNLSWLNLKQRKNKEKKIAAYLKFKFPL >OMO77103 pep supercontig:CCACVL1_1.0:contig10821:3772:9404:-1 gene:CCACVL1_15201 transcript:OMO77103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15, bacterial-type MAAMLSLSQNPLITSSICLHSDFKGNSKNLGSSQCHVIPIRTKQQQQQKQQWTRSLVILNQAANTQVAVTGSNVRLRLDNLGPQPGSRKKAKRKGRGHSAGQGGSCGFGMRGQKSRSGPGVRPGFEGGQMPLYRRIPKLRGIAGGMHAGLPKYIPVNLKDIETAGFQEGEEVSLETLKKKGLINPSGRERKLPLKIIIDSIFFSVNKVNSAIARGCKRNTKPKSWPKVPSMRLTVLNMTKKILGDGELKVKLNFKARAFSASAKEKLEAAGCALTVLPGRKKWVKPSVAKNLARADEYFSKKRAAAAESTSA >OMP06477 pep supercontig:CCACVL1_1.0:contig04921:2211:8326:-1 gene:CCACVL1_01552 transcript:OMP06477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSLVSFSVSSLPLGLSSGAADLYVNSDSYLDFQFEFLKVVQDKIYPGYHKSDFPIRNLEEDEKDKIVIDGDWVEAPVGQQGGGYLIGKLLLHKPATVEGLRAVFQQIWKLRRDLLVREVGERLFVFQYANVLERDRVSVSQLWLFHKALLVLREFDGVQQSESIEFDTCPFWVKAFGIPFQMVNERVGTVVGESMRRVLDVDANSGRYLRIRIDMDLQRPFKTVSTLTYQDGEAEIKFDYEKRPDYCWVCGLVDHQESDYAVAVAMKIENGFVIQKYKPDKSKSSFVMGRASVSLVQRQRRGGAINRQGSQSVPAKSNTSITSPFRRHVDSMLLHGRRVARALAYNDVFCEIISKMDARAVETGQDFQGGYNNEDPRRVVDKSALTNVMVVTYRGAEKGGRQSQNGKEKECRAGNAGNSFIPHGENSSGESSSSSSISPNANWVVPIIGGPSQNCVIPGVGPREVGSNLGLNYMVNSSLDGVARGLEIEKGDGVGAIQDAVENSTTEGYDPTSPFVFGTGSSGTRKVRKWKKAARVSEQYSFDTLCHEQPFKVGSKRSAGICAKGGSAYGVDCNGRSVGLALLWMKDECISLLSYSFWHIDVSIGSSDKWQFTGFYGQLDTNRRYESWSLLRSLFHEDFDMAIKKAWPDGDVDIVKKIKACGTTLEDWNQTMFGNLQFNIAKKQKEFGSLFARGASGNHAELDKCNRDLDKLLHQEELLWRQRPKTHWLKVRDRNTRFFHAVASSRKQKKQILSIKEDARNTHTEQTGIMSTFTNYFKGVFTTSNPTQAAIHEVLQHMECRVTEQMQIQLEQPFTAREIQHAAFQMGGSKAPGPDGMSPLFFQKCWSVVGKDVVNYALKFLNNNESLPDVNHTNVVLIPKIDDPKLAKDFRPISLCNVIFRIVSKALANRSCEEVISLLDMFEAASGQKININKSAVLFSANTTSGVKDELMNFLGVQRVLDNDKYLGLPIMIGRSKCREFRFLKDRLQKRINAWNSKLFSKAGKAVMIQAVAQATPVYLMSVFLFPKSFLQELNAMIARFCLVVPRQNEEDRLIWNGTMLGEFTVCSAYHVARRVIGRQELPLQLRSPIWRYIWSAGIMPKIQYFMWRLVWNILPTKSNLNKRGMEIAGTCEVCGGEESADAHVFFNCHLSKLVWEDACPWVLSCIEQWDLNGNFWEFFLEKAKAIGQFDRVCTILWLLWGNSNRALYEAFCSMPNAIVRAATRILDQVCAATSRIGEILMGQSRQIAWMPPPLGVMKINTDASFSTENGEAGLGVVIRDDVGNVIASGSRRLYFIADSLYAEVHAILFGFEMALELGLDRCIVESDSLLAIREINKLDTVFWEGGCLIHEIRELASLFEFCSFQFVNREANMLAHSLVGLRLDNVWCGTLPLDVL >OMP12241 pep supercontig:CCACVL1_1.0:contig00247:11:358:1 gene:CCACVL1_00067 transcript:OMP12241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLGFERNGLMCKEKWDSISSYLMKTKKRKESSNSRGCFYQNNEQSLFNTQGRTYCEITDQQVQPNDGSSPSNSHVGGNAVNVNDSCFRFLMADGEHNLWENYGLKLSNGDQNQ >OMP11387 pep supercontig:CCACVL1_1.0:contig01340:5018:7602:-1 gene:CCACVL1_00550 transcript:OMP11387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTGLSLNERHDAGEAKNMSTDFPFERVFPVYAMSLAKPDIDSVAKSGHDPIWVAVRDEAKLEAEKEPILSSFLYASIVAHDCLEQALGFVLANRLQNPTLLATQLMDIFSDVMMHDRGIQRSIRLDLQAFVDRDPACLSYSSALLYLKGYHSLQSYRVSHALWKQGRKVLALALQSRISEVFGIDIHPAAKIGDGILLDHGTGVVIGETAVVGNRVSLMHGVTLGGTGKEIGDRHPKVGDGALLGACVTVLGNIKIGEGAMVAASSLVLKHVPPHSMVAGTPAQVIGSMDEQDPSLTMNHGRSCA >OMP11386 pep supercontig:CCACVL1_1.0:contig01340:108:2392:-1 gene:CCACVL1_00549 transcript:OMP11386 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MSGDGGEVTQLPETQVDESLNPEKQLPESNGNLSKENGVIDANFSSDDNHNQLLQTVTELKIENEFLKSQLESFKSFQLEHDAPSQQTRANGEETGVSADVKDLHERIESLTRELNEEKQTRLAAEQALQHLREVYSEADAKAQELSGKLAEAQQKLDQEIKEREEKYSDLDSKFNRLHKRAKQRIQEVQKEKDDLEARLREVNMTAERASSQQSELQQELERTRQQANEALKAMDTERQQLRSANNKLRDNIEELRRSIQPKEDELEALQQSLLEKEQMLEDLRGLLQLADEKKQAALAELAAKYQKNIENFEAQLADAVSDRTKATETISSLRVRF >OMO86394 pep supercontig:CCACVL1_1.0:contig09492:2893:3240:-1 gene:CCACVL1_09606 transcript:OMO86394 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyl-CoA synthetase YngI-like protein MAGAVLCTLNIRHDSTMVAVLLKHSEAKVLIVDYQFLHIAQGALKILSETSSKLPHLILIPDYNQEVPTSSKTSSTFANLDYDGILQMGSLDFEIRRPEDEWEPIYMLSSSFAST >OMO86395 pep supercontig:CCACVL1_1.0:contig09492:5424:13875:1 gene:CCACVL1_09607 transcript:OMO86395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIRNQTEPLDGNGKPMRFIYRDDNGKLKMNPEAVDALKRIKGPIGVVYVCGPACQGKSFILNQILGKSNGFKLASNGRHCTKGLWIWNAPLKRTAPDGTEYSLLMMDTEGIDAYDQTEKSSTQIFSLGVLLSSIFIYNQMGSINEASLDHLSLITEMTKLIQTRATCGKDKASELGHFSPIFVWLLRDFYLDLTENNAKVTPKDYLEKALRPVLCKGEEETVKNQIRDSLRALFPVRECFTLVRPLNNESDLQHLDLISLEKFHPEFQYSLDALRKFVFERTRPKQVGGTTMTGTILAGLAKSFLDALNNGAAPAILSSWQSVEELECRRAYDIATEVYLSAFDHTKPAEEVSLREAHDEAVQRSVIAFNESAVGASSARQKYEGLLHNFFTKAFEDYKRNAFIEADLKCWNAIQSMEKKLIAACRVPDENTDHVVRIFDGLLSEYEASIHGPTKWKMLSSFLRKSLQDVLLNQTKKQIDHVLTEKTTLMLNCRSIEDKVELLEKRLEASEKLKSEYQKHYEGAIDDMKKLSDHYKNRITSLESKCSMLEERCSCLNQTVESLRQESLDWKRKYEGLLAKKNAENGIVNAELAVVRSRSKIAEAKIGAAHEKFLSAQKEATEWKDKYDVAVKEAEAAMQKAAIAEESLNALRIEATEWKDKYDGAVKEAEAAMQKAAIAEESLNALRIEFSSSLEEKVVHPSLFVRPCAYMRASDMRRLLLADIFNHCLLISNLDNLPWFFWGQEEEIKIKVAKLVSAEQSLTNLSLELKAAESKIESCHCESSDLKVKIKELSEMNGLLKREAHLLVQERNKLEQQYISEKKRFEEVNERCEVAENEVKIAQAEVLAAQKEKNDFEELAVGRLVQIESAQKYSDSLERQKMDMVNEIEQYRVVRDDAISRVAFLEATVKERENEIKSSLVEETTQLQQQYLSEQKQFQEVYRRCEVAEQEVNMLQAEILAAQNEKNESQQLALQREAQIESVQKHTENLERQNMNMASEIEMYLGKVALLEARLKESEEKAQQFVKINNEPTVSGLRGIAVESEYAAHAEANIVFKTSSVKAELIHRDVNLLQQDVTSNIFNDTEFNFQPETFSYRKHSRLETTSEGFDRVQDVDIDEELASGKRAKYAMTPRKCTKTEVKDSLLTVNEDESENHKAATGDYTKLTVVKLRQELIKQGFGAKLLQLKSPKKKDILALYKNLVLER >OMP10338 pep supercontig:CCACVL1_1.0:contig02607:631:696:-1 gene:CCACVL1_00988 transcript:OMP10338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQMLVTIWVSTKRVWSLVKQQ >OMO59022 pep supercontig:CCACVL1_1.0:contig14065:13468:16403:-1 gene:CCACVL1_25154 transcript:OMO59022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARCTENSKSLEVLMEEKDYLLQAYNVTKSAREDFQRILNGHEKLKSHLESYKNDLELRELELEKREAVNENKRKMLAEELEQVEEVIDADEERLLRYNIGDDVFNAVTSAIKKLNENYQRGKYKFSELWNAKEERKATVAEGIGWLLEEWNREMQATNDLASSNAQEKTSTSGTSQETDKLD >OMO59021 pep supercontig:CCACVL1_1.0:contig14065:10362:12278:-1 gene:CCACVL1_25153 transcript:OMO59021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQFIIQELCRAKNLAVTLAKEIDVKNEKLMEMELKLDEVSEAFTKMKAEMKAENRRLYQDYVVERKRRRLATESSEELLQKYKALNKELEMKQKRLDKREAQGDIKRENLPVEKEQGLQDFWNSQTNIGIKRMGEVDPEPFKEACKKKCSGDWEMKSTELCSLWQDIINNPEWYPFKRELTDGKYQVVFFA >OMO59023 pep supercontig:CCACVL1_1.0:contig14065:21732:22599:-1 gene:CCACVL1_25155 transcript:OMO59023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPARLPAAKENEREKKRKAQEASGAQSKRVAATSSTHDAPSITQRPTLRDREDISSPVGGALGVTPIVPASVASPEPPPSLFDDSAPPASLFDDDSAPIRGFPPGYFRGSFGQWHTEFCAQGAEPSITETVCALLQYDLKPGSVDDANALDQLSPAEIVVFLMISAYQDLRSTAERYMKERDDAVAQASLIQQQLNELTWKHKELEGELKIAKSDLKATKEAT >OMO76082 pep supercontig:CCACVL1_1.0:contig10926:5290:15901:-1 gene:CCACVL1_15932 transcript:OMO76082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVYGARLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLTVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDILWEFQPKKIGEGDLLTGGDLYATVSENSLIEHRVSLPPDAMGKITYIAPPGQYSLKDTVLELEFQGVKKQFTMLQTWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDAVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALESFYEKFDPDFISIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFNTLANQAVEKAAGMDGQKITYTLIKHRLGDLFYRLVSQKFEDPAEGEEALVAKFQKLHDDLTAGFRALEDEMSKQEAKESIVYSYTKSFNAFAAKLSKNEAETLMEMDEVVSVIPNQYRKLHTTKSWDFIGLPLTAKRNLNLERDIIVGLLDTGAKYFKLDGFTDPADILSPIDVDGHGTHTSSTLAGNQVRNASLYGLAKGTARGAVPSARVAMYKVCWASSGCADMDILAAFDDAVSDGVDIISISIGGATQDFVTDSISVGAFHALKKGILTVASAGNEGPSLTSISNYAPWLLTVAATGIDRQFRSTVKLGNGKTISGIGINTFDPKQSSYPIVSGADVALNSENKENARFCFDNSLDPGKVKGRLVFCQLGQWGADSVVKGIGGVGTIVESDQYLDTAQIFMAPATMVNDTVGETVQDYIHSTRSPSAVIYQSQELKTSAPFVASFSSRGPSPSSHLLKPDIAAPGVDILAAYTLRKTLTGLKGDTQHSKFTLLSGTSMACPHVAGVAAYVKSFHPTWSAAAIKSAIMTTANPMSQRVNKDAEFAYGAGQLNPSRALNPGLVYEMDEMSYIQFLCHEGFSGSSIAHLIGVKSLNCSSLLPGFGYDALNYPSMQLYLKNTQQQTIGVFHRRVTNVGPPSVYNVTIKAPKGVEIAVRPTSLLFTRPLQKRSFKVVVKAKPMAGTTFKVLSASLVWKSIHHIVRSPIVVYTLQD >OMO76083 pep supercontig:CCACVL1_1.0:contig10926:18368:20074:1 gene:CCACVL1_15933 transcript:OMO76083 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase archaeal subunit P/eukaryotic subunit RPC10 MDPQPEPVTYICGDCGQENTLKHGDVIQCRECGYRILYKKRTRRIVQYEAR >OMO76080 pep supercontig:CCACVL1_1.0:contig10926:1303:2230:1 gene:CCACVL1_15930 transcript:OMO76080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYENVVGGKLKLKGKALDVKAGGIKKKKKKSKKHQDEVSQVTLNDLDASADENTEVPVDPNEEDINDADKSSGEQKGPHHDDFLTPAERRYIEQRRQLDVHRLAKEANKSHRDRIQDFNQYLANMSEHYDIPKVGPG >OMO76081 pep supercontig:CCACVL1_1.0:contig10926:3479:4970:1 gene:CCACVL1_15931 transcript:OMO76081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNPRMSKAKSLLSTAASIAGTAMLIRTIANEFLPQEILNDFSLSFQNLSTHFSSQLTIVIEEFRGLSLNELFEAAELYLGTKAAPSTKRLKVGKSEKENKLGISMDRGDVLVDVYENVEMKWKLLSMQVNEAHFQRQNRGNNDLSVPVRAEFRQYELSFLKKNKEMVFNSYLPYILQKAKMIKEENQSINLHAVIYRNWDTNDNKLNHPMTFKTLAMDSELKRAVLEDLDNFRNGKEYYKRVGKAWKRGYLLYGPPGTGKSSLIAAMANHLNFNIYDLDLTDVQTNSDLRFLLLSMPSRSIMVIEDIDCSIKLENRVTDENDPGYSNHGNNKVTLSGVLNFIDGLWSCCGEERIIVFTTNHKERLDPALLRPGRMDMHIHLSYCNASVFKQLALNYLGVTDHYLFQEIEKLLEEVNVTPAEVARELMKTSIEEAAFQGLLKFMYQKINGSDMKKKD >OMO93885 pep supercontig:CCACVL1_1.0:contig08037:61:10330:-1 gene:CCACVL1_06291 transcript:OMO93885 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAUS augmin-like complex subunit 3 MSGARLCALLGELGYEGAEKLDPDSFEWPFQYDDARSILDWICSSLRPSNVLSLSELSQYEQFVQEGKLLEGEDLDFAYDSISAFSSRRDNQEAVFGAEEGLKDIRDATVAYKAEALELQKQLRNLQSKFDMLTGQASALIQGRRARVAATSTVNGHLTTIDDSLSGRNLQMNAVLGRIASTAQELAHYHSGEEEGIYLAYSDFHHYLVGDSSCIKELNQWFAKQLDTVPFRLVAEEGKSKCSWVSLDDVSNILVRDLEKSHHQRLSELQRLRSIFGTSERQWVEAQVENAKQQAILMALKSQISSDEAHIHLDLHSLRRKHAELVGELSNLYHKEEKLLSETIPELCWELAQLQDTYILQGDYDLKVMRQEFYISRQKAFINHLINQLARHQLLKIACQLEKKNMLGAFSLLKVIESELQGYLSATKGRVGCCLALIQAASDIQEQGAVDDRDIFLHGVRDLLSIHSNAQAGLSTYVSAPGIVQQISGLQSDLMALQSDLENSLPEDRNRCINELCNLIQSLQQLLFASSTTAQPILTPRPLMKELDEMEKINAKLSAAVEEVTLEHCKKNEIVKHHSQGVGLQRRVFVDFFCNPERLRSQVRELTARVRALQVS >OMO93887 pep supercontig:CCACVL1_1.0:contig08037:32373:32441:-1 gene:CCACVL1_06293 transcript:OMO93887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVACPTGSTIKEELASNNGG >OMO93886 pep supercontig:CCACVL1_1.0:contig08037:22165:22260:1 gene:CCACVL1_06292 transcript:OMO93886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGNFPVTCGSGKGSDDQWSTVERAVVETG >OMP02215 pep supercontig:CCACVL1_1.0:contig06296:876:4893:1 gene:CCACVL1_02867 transcript:OMP02215 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 32 MADTNPFLPVSTSDESFPTQRRHIKQQLLIIFGLLSVGLFAAFLLGSNGSDYLHENEDGSLDTSTSASGKPEILRPLTPAAAAGVSEKKNIRFAKLGAESVAFPWNNSMLSWQRTAFHFQPEKNWMNGPLFYKGWYHFFYQYNPNAAVWGDIVWGHAVSRDMIHWLHLPLAMVADQWYDENGVWTGSATILPDGKIVMLYTGSTKEAVQVQNLAYPADHNDPLLIKWVKYSGNPVLVPPPGIDDKDFRDPTTAWLTSEGKWRITIGSKINKTGIALVYDTTDFINYEKIDGLLHAVPNTGMWECVDFFPVSKTEGNGLDTSVNGPGVKHVVKASMDDDRHDYYAIGTYYEKNATWVPDHPEIDVGIGLRYDYGIYYAAKTFYDQNKSRRVLWGWIGESDSEAADVQKGWASVQSIPRTIAYDYKTGTHLLQWPVKEIESLRLNSNEFNQVTVLPGSVVPLEVGSATQLDIMAEFEVDKDALEKATGSNDTFSCQTSNGASEHGALGPFGLLVLADKRLREQTPVYFYIAKGSDGNLNTFFCNDITRSSVAYDVEKQIFGSSVPVLKDEKLSVRILVDHSIVESFAQGGRTVITSRVYPTEAIYGAAKLFLFNNATDVSVTASLKIWEMNSAFIRPFPTDEM >OMP02216 pep supercontig:CCACVL1_1.0:contig06296:12608:15540:1 gene:CCACVL1_02868 transcript:OMP02216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multicopper oxidase, type 1 MALSRFLTLFLIHIALLLGFCFAEDPFVFYNFEVSYITASPLGVPQQVIAINKQFPGPTINATTNYNVVVNVRNKLDESLLMHWSGIQQRRSSWQDGLPGTNCPIPPKWNWTYQFQVKDQIGSFFYFPSLHFQRAAGGFGGFIINNRNVIPIPFDTPDGDITILIGDWYTRNHSALRKELDAGKDLGMPDGVLINGKGPYRYNDTLVPDGIDYETINVQPGKTYRLRVHNVGISTTLNFRIQSHNLLLAETEGSYTVQQNYTSLDIHVGQSYSFLLTTDQNASSDYYIVASARLVNETRWKRVTGVAILHYSNSKGKASGPLPDAPQDEFDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTEIYVLKNKPPVTINGKKRTTLNGISFVNPATPIRLADQFKVKGVYKLDFPKRPLTGPPKMETSIINGTYRGFMEVILQNNDTKVQTYHMSGYAFFVVGMDYGEWSENSRGTYNKWDGIARSTTQVYPGAWTAILISLDNVGVWNLRTENLDSWYLGQETYVRIVNPEATNKTELPVPDNALYCGALSKLQKPQDISLATSITGGSKLFFTMLMIASALLFLSR >OMP11964 pep supercontig:CCACVL1_1.0:contig00636:4062:5621:-1 gene:CCACVL1_00194 transcript:OMP11964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDGEEYGISKLPDEIVISILRRIPMKEAARTSVLSRRWKSLWTLCPRLELDGSKRMFNFLTKVKGMDYCESDYDTERGGFINWVNHVLESCHAAHAIDEFKLRFEIHDKFRHEIDKCVRFAFEKKVKRFELDFSWSLGDVTCDPKSYHLSLQALKIPSSTCITTSLTSLILKQVNTGRSITLLEIRSSIGGDYLPYFARNLPLLSPSNFSHLHKLVLDTSSWSPIAREIEWSEHPILSQLKQLELNVAAFDNDDINLHCCPLIEACTSLNSLALKLMMCVESSTRREVRKPNKRLHQSLRVVEVTGFVGQTADTELCIYLIENAIMLDKIIIDPCYLHFTGTPNEDDYNIELVKQGRECAEQLRSKYCLADKLVIL >OMO54820 pep supercontig:CCACVL1_1.0:contig14893:2179:2885:1 gene:CCACVL1_27539 transcript:OMO54820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEAVPQHLLVAVASVHASGGVRSPIQLMDIFIAVD >OMO54825 pep supercontig:CCACVL1_1.0:contig14893:33778:36232:-1 gene:CCACVL1_27544 transcript:OMO54825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEPVRVLVTGAAGQIGYALVPMIARGVMLGPDQPVILHMLDIPPAAEALNGVKMELVDAASPLLKGVVATTDVVEACTGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEQHAAPNCKVLVVANPANTNALILKEFAPSIPAKNITALTRLDHNRALGQISERLNVQVCDVKNVIIWGNHSSTQYPDVNHATVKTPSGEKPVRELVKDDAWLNGEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPAGVIYSFPVTCKNGEWTIVQGLAIDDLSRKKLDITGAELCEEKELAYSCLS >OMO54821 pep supercontig:CCACVL1_1.0:contig14893:3826:7578:-1 gene:CCACVL1_27540 transcript:OMO54821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome/DNA photolyase, class 1 MSSNKTIVWFRRDLRIDDNPALAAAVKDGSIFPVYIWCPKEEGQFYPGRVSRWWLKQSLAHLEQSLKSLGAELTLIKTESTLSALLDCINATGATKVVFNHLYDPVSLVRDHSIKEKLAEVGISVQSYNGDLLYEPWEIYDEKGQAFTTFNAYWDRCLNMKTEPISLLPPWRLVPAAGTVERCSVEDLGLENESEKSSNALLGRGWSPGWSNADKILTEFVEKQLINYSKNRLKVGGNSTSLLSPYLHFGELSVRKVFQCARMKQILWKREQNSQGEESVTLFLKAIGLREYSRYLCFNFPFTHERSLLSNLRYFPWHADTNHFKAWRQGRTGYPLVDAGMRELWATGWMHNRIRVIVSCFAVKFLLLPWKWGMKYFWDTLLDADLECDILGWQYISGSLPDGHELERLDSPEIQGSKFDPEGEYVRQWLPELARMPTEWIHHPWDAPLTVLKAAGVELGLNYPKPIIDIDTARERLTQAIFKMWETEAAAKAATSDGMNEEVFDNSDGMETSAIPKIVLKEKSSCPTYSSNDQKVPSFHNGNNNGSLNRKRAKFVEEERLPADKLKNHDKEAGTSRGEEDLCSTAESSAKRQSTSTSRMSFSVPQSCSSSEGRPLQEYDSSELKRSWQEKIDLEQTSSKNGAMR >OMO54824 pep supercontig:CCACVL1_1.0:contig14893:28523:28918:1 gene:CCACVL1_27543 transcript:OMO54824 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb-related protein Myb4 MSPQPSSSEVSSITNASTTTTTETMDDIIKTENMDSWEYFPEIDESFWSDAMSSDNSVTEPPLSFEGVFSDINEFQSQQQQFPSASSTSSIMDAMDQPDYGYDQNLDDGMEFWYDLFIKAGGEQELITNQF >OMO54827 pep supercontig:CCACVL1_1.0:contig14893:42250:42894:-1 gene:CCACVL1_27546 transcript:OMO54827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFARKLKPARKAWKSFTEKFRSKLHNFHVPSSIKAATNRFLEFCSHRLFTPFKKRFLSKYSSGGGRYGGRYSYDYDHLYHYQQHYQTQFQKNRKVIYIDQLYAEPPPPPPLPMQQAKRVESQAAETSRRNEAAAADGKVLRRKGKEKAKEEEEESKIDSIEDAWKAIVARSPHLRGVDERADEFIYKFREDRKLEKEISDLDFQEMLARSTA >OMO54823 pep supercontig:CCACVL1_1.0:contig14893:13657:16048:1 gene:CCACVL1_27542 transcript:OMO54823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 acetyltransferase, NuA4 complex, Eaf6 MLASLMTKREKLQDELRNIEKQVYELETNYLQDSSQFGHVLKGFEGFLSSSKNTANLKRSRKFQPEDRLFSLSSVTSPAAEELGVRPDDGRSEFGPGRSKGGAFAANGQGKPKKGRTASAARDGKRNRPSSEADFDDEDDPDMSLR >OMO54822 pep supercontig:CCACVL1_1.0:contig14893:9909:11910:-1 gene:CCACVL1_27541 transcript:OMO54822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MSFRGGNASSGMGVAEHSKSTYQELQRKKVFRYVIFKIDEKKKEVVVEKTGGPAESYDDFTASLPDNDCRYAVYDFDFVTSENCQKSKIFFIAWSPSVSRIRSKMLYATSKDRFRRELQGIHYEIQATDPTEMDMEVIRERAH >OMO54826 pep supercontig:CCACVL1_1.0:contig14893:38009:41321:1 gene:CCACVL1_27545 transcript:OMO54826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA splicing Prp18-interacting factor MATASVAFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIFQADKYRKGACENCGAMTHDSKSCMERPRKKGAKWTSMHIAPDEKIETFELDYDGKRDRWNGFDPSTYARVMERYEAKEAARKKYVKEQQLKKLEEKNGNGNGDEEVSDEEDDDDALKIDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNEKFYGGDNQYRMSGQALEFKQLNVHAWEAFDKGQDIHMQAAPSQAELLFKNFKVNKEKLRTKTKDTIMEKYGNAATEEEIPMELLLGQSERQVEYDRAGRVIKGMETSLPKSKYEEDVFINNHTTVWGSWWNDHQWGYKCCKQTIRNSYCTGAAGIEAAEAATDLMKTNIARKAAAEDAPAPAEEKKLATWGTDVPDDLVLDEKLLTEALKKEDERKRVEKDERKRKYNVRWNDEVTAEEMEAYRMKKIHHDDPMKDFLN >OMP12347 pep supercontig:CCACVL1_1.0:contig00117:444:608:-1 gene:CCACVL1_00031 transcript:OMP12347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPTTIYSPQKSPPLFLSSIHWFPSLPFSCCLCSALYIEKLCGSGLDPTHIVFML >OMP10568 pep supercontig:CCACVL1_1.0:contig02184:256:327:-1 gene:CCACVL1_00871 transcript:OMP10568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRGVSTALWSVPVPKASPTLPKP >OMO50517 pep supercontig:CCACVL1_1.0:contig16147:17711:18734:1 gene:CCACVL1_30394 transcript:OMO50517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVVAILRKPVAEALELMETVASNNEEFYGERDVSKRGFHHSYECPQVEQAQFVANTGRQQNNPYSNTYNPGMRNHPNFSWRDQGASSSTFMGQLGLQQQPCNNNYHNLKHHHLIRA >OMO50516 pep supercontig:CCACVL1_1.0:contig16147:3787:6899:1 gene:CCACVL1_30393 transcript:OMO50516 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter G family member 36 MGFCYLPAEEEKRREHVISTVRRKHYFDCEFARMEKSAGIYPEAEVDLFMKTEFAKKKKKEKKRENSMKVLQTRLLPCGLVASGIGESPLLEKKRVWLLWELMSELCLGNASATKIFVNLDIPETQEFKIRQADDEGPVELLAEMESSQFQLNSTGDHQFGRAR >OMO95827 pep supercontig:CCACVL1_1.0:contig07597:65589:65764:1 gene:CCACVL1_05229 transcript:OMO95827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPALPRGLHTAPPRMGRRGGAVLVNISICPAPPRI >OMO95826 pep supercontig:CCACVL1_1.0:contig07597:54398:62319:-1 gene:CCACVL1_05228 transcript:OMO95826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTPFGYTRKDVLLIGLGVTVAGVGLKSGLEFAGVDPLQAGNVVQLVLVLGLTVGWISTYIFRVSNKEMTYAQQLRDYEVKVMEKRLEGLTEAELVALMEQVEEEKRRQTSGEQVN >OMO95829 pep supercontig:CCACVL1_1.0:contig07597:80588:81082:1 gene:CCACVL1_05231 transcript:OMO95829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNATSCAPSIISSGVVKVVFPDGNMQIFTKPVKAADLMVENPGQFLCDSNALKVGYRIHGLIADELLEKRQLYFLLPMELLYSVLTHEEMTCLTRKATKALKHASFGKIFPVFSEFCIFPFEANKNSSPENHGNKTADSVERFSKQRSWKPALETIVETPCRQ >OMO95825 pep supercontig:CCACVL1_1.0:contig07597:35623:44386:1 gene:CCACVL1_05227 transcript:OMO95825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat 4 MAIVTGDRYLEKLVKFVDEQAGALIEGTKVLKLNPVGLHYVQSRLEALQELERLLAGAPVDYLRAYVSDLGDHRALEQLRRILRLLTALKVVSTLPPPARDPTPLSLLPFGRLKVLELRGCDLSTSAAKGLLELRHTLEKIICHNSTDALRHVFASRIAEIKGSPQWNRLSFVSCACNRLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCAKLKHLDLGFNQLRTISSFSEVSCHIVKLVLRNNALTTLRGVENLKSLEGLDVSYNIISNFSELELLEGLPSLQNLWLEGNPLCCARWYRAHVFSYFSHPENLKLDDKEISTREYWKRKIIVASRQKRPSSFGFYSPAKDGSEGEGGNNKKRVSVSRLVCIESEQDSTHICSDLDSVSCENEIRSREENVISEDEAEVVDLMNRVEQLKKERSVLWLREIKDWMDHPPENFADDGHHSGTMLHNWKETYKKTGKNERHLSESSRYVSDSLQASGDESSMNVLESDNSFADTSTGVHGLKHFDHIVSVGVTGGFALPGLRTVDFKQEYQKSYLHDVTSCGSVQAESSLHNSFTVQGSNRMVENVSVSQLNTINDMTESNSSSVYPGSPPHYQEDILHRRHNLVEEILQLSAESYSVASSDSDTSCSEEDHCDGGLPVLECLNSSVEGHTPIDLFQDNCYIKVDKASHGRENGICLYSCAEQIFSTSKIVNANQTLHLPNDLDMGSNDLEISSSVNQDTDCLEKRKSRRKQKRRVVSVLDENDMVGRQPVLEESNGKSILNGSDHHKNLDNSQMRKNAISTPLLNDAVRYSDPKCSSQVKNDFIEDYFNKYVADLRVDETCRLYLRCNCIVDKSVCTEREVALLLSSEEKLYVLLIGVAADGSDTILDVLGSHRVADIREVLVGLGLHVVRVYVEGIVAYLFITRSIEKSTQLLHTLKVFDSCALNNACSLRSLEQVQAELFEKQICGGSKLSIFQYSMVLFQQGGKEEESWLSRSLFVIQGHVLVCVEDIIRFSSLLNDASCPAYFSVDSCCNIKDVSEMVIEGKDSCCVTLSLESTTSKGCFSTEMKKEVATNKKEKNVGRSQMWKLKWFSEESLFQFVALMKAIHLGMTLSPLLVRCVS >OMO95828 pep supercontig:CCACVL1_1.0:contig07597:67101:67196:-1 gene:CCACVL1_05230 transcript:OMO95828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGGGDRLLRLRPTHIILCPIPAPSRHGN >OMO95823 pep supercontig:CCACVL1_1.0:contig07597:3038:6571:-1 gene:CCACVL1_05225 transcript:OMO95823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Surfeit locus 1/Shy1 MASISKIHTRLSPAVPGAFNSFSNQLLSPNHWVSSASFSTSASAAAAAATASSSSSSSQYDKKEGSTWSRWFLFLPGAITFGLGTWQIFRRQDKIKTLEYRQKRLQMEPLKLNDRALSSENWETLEFRRVLCKGVFDDGKSIYVGPRSRSISGVTENGYYVITPLMSIPNNAESVQSPVLVNRGWVPRSWRDKSFEVSLDIEKSSSIVTDPAKQSEQRLWWRFWSKKPKVVETVEEQAPAITSIEVIGVVRGNEKPSIFVPTNDPNSGQWFYVDVPAIAVASGLPEDTLLIEDINENVNPSNPYPVPKDVNTLIRSSVMPQDHLNYTLTWYSLSAAVTFMAFKRLKQKRSRR >OMO95824 pep supercontig:CCACVL1_1.0:contig07597:24160:24255:1 gene:CCACVL1_05226 transcript:OMO95824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGFKSDATGGDITGKHSPADTTMPHVHTS >OMO64329 pep supercontig:CCACVL1_1.0:contig12839:26871:27281:1 gene:CCACVL1_21849 transcript:OMO64329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLSETNEKQDEEATAFAIWDCGSPLYDSYELASLSHLIERHLMKLPPSLGGSKRLTARFSHHPFDVTPATATISVSTSKTRAKESSSSSLISSFGEFLGSKFWKRRRFGTSKDKPKRLKTRLCCFCNKVGYGKK >OMO64334 pep supercontig:CCACVL1_1.0:contig12839:57456:58793:1 gene:CCACVL1_21854 transcript:OMO64334 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MEKGKCKVCVTGAAGFVASSLVKKLLEKGYTVHATLRNLNDSSKVELLKSLPEEDTRLVLFQADLYHPEEFEQAIQGCAFVFHVATPLLHTGDNSQLKNTTEVSVAAMKSIAMSCLKSGSVRRLIYTASVNAASPLKDDGSGFKDSMDEACWTPLNLKYPYYHEFIKDYVESKTITEKEFLSYCSDAKTSGILEVVSLPCGLIAGDTILPYTPSTVGILLSQLTEISQLPQISPLTQHLKFLEQVLGKVPVVHINDVCEAHIFCMENPSITSGRFLCASSFVSVAEIALCYQLNYPEFHVQQEYLDGPKRDIKWGSTRLTEKGFEYKYDLKMIIDDSIKCARRTGLLQH >OMO64335 pep supercontig:CCACVL1_1.0:contig12839:68895:70256:1 gene:CCACVL1_21855 transcript:OMO64335 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MEKANCKVCVTGAAGFVASSLVKKLLEKGYTVHATLRNLNDSSKVELLKSLPEADTRLVLFQADMYNPEEFEQAIQGCTFVFHVATPLFHTGGNSQFKNTTEVSVGAMKSIAMSCLKSRSGVRRLIYTASVMSASPMKDDGSGFKDSMDETCWTPPNISFPYDDDFIKDYVESKTVTEKEILRYCSDAKTSGILEVVSLPLGLVGGDTVLPYTPASMGILLSPLTENSQLTENLKLYEGLRCLEERMGKVPVVHINDVCEAHIFCMENPSITSGRCLCASSYVSTAEIALCYQLYYPEFQLKQQYLDGPKRDIKWGSTRLTEKGFEYKYDLKMIIDDSIKCARRTGLLQQ >OMO64331 pep supercontig:CCACVL1_1.0:contig12839:40931:43461:1 gene:CCACVL1_21851 transcript:OMO64331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEMRMDLNPSSLHKRHHIFVKFAVSFLLLGLAFRLFASDSFRISSSVIEAPPLADTNTLTDSLPLSSSSSSLPAEAPSSSDSVANESQTFPNGAAECDLFTGDWVADPSAPAYTNATCPEIEDHQNCMRNGRPDSGFLYWRWNPRDCELPRFDPDKFLDFMRNKSLAFIGDSISRNHVQSLLCILSQVERAVEVYHDEQYKSKTWQFPSHNLTLSVIWTPFLLKADIFEDINGVSSSEIQLHLDKLDQTWTEQYKNFDYAVIAGGKWFLKTAIYHENDTVTGCHYCPGKNSTELGFDYAYRRALKTVLNFMTDSGHKAFVFLRTTTPDHFENGEWFSGGMCNRTAPFKEGEVEMRDVDAAMRDIELEEFEKAASVGAENGVILKLLDTTRLSLLRPDGHPGPYRQFQPFAKDKNAKVQNDCLHWCLPGPIDSWNDLLMEIINRGSRQ >OMO64326 pep supercontig:CCACVL1_1.0:contig12839:20:177:-1 gene:CCACVL1_21846 transcript:OMO64326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEDVKTSESAVSTIVNLAEEAKLAREGVKAPSYAILSICKSLVAGGVAGG >OMO64328 pep supercontig:CCACVL1_1.0:contig12839:19791:23329:1 gene:CCACVL1_21848 transcript:OMO64328 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3 auxin-responsive promoter MLEKMEILDVDKVIEEFEAMTKDAENVQRQTLKKILEENGCAEYLQNVGLNGRTDPESFKACVPLVNHSDLEPYIQKIVDGPPSPILTGTPLTTISLSSGTTQGKPKFVPFNDELMETTLQIYRTSYAFRNREYPIKNGKALQFIYSSKQSITKGGLIAGTATTNVFRNCQFKNAMKSMQSQCCSPDEVIFGPDFHQSLYCHLLCGLLFREEIQLVSSTFAHSIVHAFRTFEEVWEELCADIREGALSDRITFPSVREAMARLLKPNPQLADLIHAKCSALSNWYGLIPELFPNVKYIYGIMTGSMEPYLKKLRHYAGDVPLVTADYGSSEGWVGANINPNLPPETATYAVLPHIGYFEFIPLNGDVEEPELVGLTEVKVGEEYEIIVTNVAGLYRYRLGDVVKVMGFHNKTPELKFVCRRNLMLTINIDKNTEKDLQLAVEEAAKLIAGEKLEVIDFSSHVDVSTDPGHYVIFWEINGEVSDEVLQECCNSLDRAFVDAGYLSSRKVNSIGPLELRVVWRGTFQKILDHYLGLGAALSQFKTPRCVGPTNNKVLQILCGNVAKSYFSTAF >OMO64332 pep supercontig:CCACVL1_1.0:contig12839:44211:44953:-1 gene:CCACVL1_21852 transcript:OMO64332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHRKWSLLTGPVVIIGGVVGAAIAAHLLFVDDPYLKPRVKKPDTQPLTK >OMO64330 pep supercontig:CCACVL1_1.0:contig12839:33448:37423:1 gene:CCACVL1_21850 transcript:OMO64330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESTKVRLVRCPKCENLLPELADYSVYQCGGCGAVLRAKIKNREADTFSEKSEEDRLGGVSTKSQISSEKGLVDSSDASDADVKSSAGSLRCDLRDPEKDDIECADRSRNEAKVVGDKWPLENGNDPRRNKDEAVNAIRRQPEDLDSNFEYTGGSQRLELMSDLKAGKQEEVEGFQRIPRAGVESVRFSTSNHPDEGPSNHHLDSSYGYGETLRNRTDQDGSSRIHLEQDRAELLRKLDELKEQLSRSCDVADKPKEKVPLDGRVVPPESYVNADAWFPNSSSGSRKPPVPFYGPDKHAAGAAPSYFSHFPEPYGYPVGHDMTRHGLYPPIHNPNHIPPYGDPFGSQMLGRPPHQLPGEYQQQPPHPFYSGQYIDNNHDPFMPYPQSSVLHQASCSCFHCYEKHRRVPASIQPTAFGNKRFPDVPSNPMYHIENPRPFGSHFHNSRTTMPPPLNVRGSQIHARWPSDINSEMGNYARYRPQRVVLASGGRHLRPIAGGAPFITCYNCFELLQMPRKVQLKMKNEHKLRCGACSTVINFSVANKKIIYRDHAETKGISVEVVDSSNGVVHNDPSHFRGRMNRIAANFSSDDYDHSGYDFQSMDREPVALPTGQTLNSVRPQEMQSYHSSSPSTSEDENSPDVLVASREEVNSVQQPIKPTLSPPPAGSPLQEHFDYSSNNHAANRFGKGNRSSRSDQEKVVANKAAVRQNSLKETALPTEMEVSFNEFSHTGISQDSGDATRDDDPPKMTKGGESFFANIIKKSFKDFSRSNQTEERSKSNISVNGHHLPERVVKKAEKVAGPIQPGQYWYDYRAGFWGVLGGPCLGIVPPFIEEFNYPMPENCAGGTTGVFVNGRELHQKDLDLLVNRGLPTDRDRSYIIEISGRVLDEDTGEELDCLGKLAPTVEKAKHGFGMKVPKAAA >OMO64333 pep supercontig:CCACVL1_1.0:contig12839:51515:52960:1 gene:CCACVL1_21853 transcript:OMO64333 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAKTQTPHIAILPSPGMGHLIPLVEFAKRLVQQHSDFTVTFVIPTDGPPSNAQKSTVDSLPSSMDSVFLPPVDLTDVPEDAKIETVISLTVARSLPFLRDTLKSLVTKKNVVGLVVDLFGTDAFDVAREFNASPYIFFPSTAMALSLFLYLPKLDQMVSCEYRELPEPVRIPGCIPIHGGELLDPAQDRKNDAYKWLVHHTKRYRLADGIMANSFMELEGGAIKALQEKEPGKPPVYPVGPLVNVGSSGSSNKAENSDCLKWLDDQPHGSVLFVSFGSGGTLSYNQINELAMGLEMSEQRFLWVVRSPNDKVANATFFTVQSQKDPFDFLPKGFLERTKGRGLIVPSWAPQAQVLSHGSTGGFLTHCGWNSILESVVNGVPLIAWPLYAEQKMNAVMLTEDIKVALRPKPSENGLVCRDEIARVVKGLMEGEEGKGVRNRMKDLKDAAAKVLSEEGSSTKALSEVAQKWRTQAQAQVQPSI >OMO64327 pep supercontig:CCACVL1_1.0:contig12839:1703:14424:1 gene:CCACVL1_21847 transcript:OMO64327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSMAHQATTTILARHIITPPCRHAHDA >OMO81239 pep supercontig:CCACVL1_1.0:contig10224:37499:42376:1 gene:CCACVL1_12526 transcript:OMO81239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSPFGSSGFGTSPALFGATGSGLFGSSSSSSASTSSFSGLFSSSSASNAATSSPFLASTGFSFMGGSAPAAATTGVSLTSASSSSSSGFSFSQSSQPSFGFGNAPSSSSSTATPLFGSSPSPLFGGTGGSPLFSSLPAPAVTNTTSSFGSSASATTATTPSFPTFGSSSSASNSATTLPFSASTGFAFSGGSLFPASSANFAPTTTTTAPSITAASSSSSSSGFSFASASQPSFGFGSTASSSSSPATNLFGASSSPFGAITGSPLLSSSSAPATTTTTSATPLFPSLLSSSSAANSTPASPFSASTGFSLAGSSTFSKSTASGVTPTATPSSTAASSSSSSSAFSFAPPSSASQSTFAFGNAASSSSPATTLFGGAATASKPTSLSIGSSSAPLFSTVTTTTSASTPAASTTTAASTPAFPAFNITSSSLATTASSSAAPASLAAPSAGASSFTGFGVTSAAATSGSTTGFSLSTKTSTPASQAQSTTAAPAFSLTSSSSAASTTSTSSTTTAQTSSGFAVSSSTGTTSSATAAVATTPKLPSEITGKTVEEIIKEWNAELQERTGKFRKQASAIAEWDRRILQNRDVLLRLEIEVAKVVETQASLERQLELIETHQQEVDKALQSMEEEAERIYKDERGLLLDDEAASTRDAMYEQAEIVERELEQMTEQIKSIIETVNNSQGGELEAIDGMTPLDVVVRILNNQLTSLMWIDEKAEEFSSRIQKIATQGSAADRELMAPKYWMS >OMO81240 pep supercontig:CCACVL1_1.0:contig10224:47470:50221:1 gene:CCACVL1_12527 transcript:OMO81240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRLYEAAVEGNVTSLLNLLQEDRLLLDRFITGHHSETPLHIASMLGHLEFVESILARKPQLANELDSGKSSPLHLATAKGYLEIVKRLLNVNPDMCLVCDLDGRNPLHIAAIKGHLEILREMVEARPWAARLLMDEGETILHGCVRHKQLEAMELLVEKVADHEFVNWKNYDGNTILHLAIADMEIEAINFLISSTTVEVNSQNADGFTALDLLSCNPRNLKAKEIFESLQRIGAVNGINKPLSSRQLKAARTNILSSNNQTNLAASKPKKIKAQKKKIRIMNRNADWVERKRNTLMMVATLLATMAFQAGVNPPSGVWQDTADPVLSSNLNHTAGDGRNPIHIAAIKGHINVLKELVQANPQAARIRMERDETSLHACVRYNQFEAMKFLVN >OMO81237 pep supercontig:CCACVL1_1.0:contig10224:24437:27290:1 gene:CCACVL1_12524 transcript:OMO81237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLLKSLQFDDPDSSTLSPDDDHSPTNRNGGVKEDLSVLGETIGRQLRGVAAFLAPPPSSPPSVTAAEREQKQEEEEVEEPSNKLLGIRNDLAEIGGSFKSGLSMLSSNKAVTEISKFASSFLQFPNEDDHGDHDDEDDDEDDEVPGITEDVVDFVKEISNRPEFWTDFPLSPDNDFKMSEAQREHAENIEHLVPSVEALKVNLQHHMGEERFWMIYFILLLPRLNERDFELLSTPEARVVETRDALLQKLQNNKKAPVENSSSLTTSEESSEISETKQENVSSQVSEIVNAAEGLKIAGEDNAEQWFEEEEDNSSGSSVNVRKNLEQEEDVSFSDLEDEDTYISNRDSASKSTQDVKVSSPSGSSDWVQLNKGGSQKPGQSSSRDKDSEGGESNGWITDDDFVDITSGN >OMO81242 pep supercontig:CCACVL1_1.0:contig10224:51614:52156:-1 gene:CCACVL1_12529 transcript:OMO81242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRLVRISFRRRKLLKCAAQKALSKAITEDHDQLVYLRAQFRLLEPNARDGSVSLENFKMALSRNATDLMDETSWVPDTLSAMESLANRNMDFEEFCAAAIDILHLEGIEGWEQIVSTAFEHFEQDGNRVISDQEFCRVFNISGPEALSFAQRCIRNSDGKLNLIGFTQLLHARPPKLPT >OMO81234 pep supercontig:CCACVL1_1.0:contig10224:5866:9090:1 gene:CCACVL1_12521 transcript:OMO81234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase MGSRGNGVVGSSNGAVDKGVDFANYFCTYAFLYHQKEMLCDRVRMDAYFNSIFQNKNHFQGKTVLDVGTGSGILAIWSAQAGARKVYAVEATKMSEHARSLVKANNLQDIVEVLEGSMEDVVLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPTGVMYPSHARMWVAPIRSGLVDQKKNDYDGAMDDWYGFLDDTKNSYGVDMSILTTPFAEEQEKYYMQTSLWSNLHPNQLIGTAAIVKEIDCLTATVNDIREVKSTFSSTISMEQTRFCGLAGWFDVHFRGRIEDPAQQEIELTTAPNTNNGTHWGQQVFLLHPPVHVDQGSNLDISFSMIRSKENHRLMEVDFDVKISQTSGKILPSIKKKFYIE >OMO81245 pep supercontig:CCACVL1_1.0:contig10224:62065:63229:-1 gene:CCACVL1_12532 transcript:OMO81245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptobrevin MGIFYGMVARGQVVLAEFSVTQTNASTIARQILENMKDGKSDSSSSFSHDRYIFHVKRTDGLTVLCMADDASGRGIPFAFLEDILQKFVKTYGRAILSASAYAMNDEFSRILSQQMEYFSNDPNADRLNRLKGEMSQVRSVMIDNIEKVLERGDRLALLVEKTSSMQGKALRFKRQSRRYKNAMWWRDCKFTAVSCDSVNACNDFGL >OMO81243 pep supercontig:CCACVL1_1.0:contig10224:56968:58752:1 gene:CCACVL1_12530 transcript:OMO81243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLSEAAIEGNLISFRNLLQEDALLLDRFITGCYPETPLHIVSMLGHMDLVEEILARKPELTKQLNIQKSSPLHLATAKGYLDIVKRLVEINPDMCVVCDQDGRSPLYIAATKGHTVILEKLFQARPRAAWFLMDGVADKQIEAVNFLIANTTVEVNSLNSDGLTALDLLSERDVKDKQIAESLKSIGAVNARNTPLSMHELRAIRTKILASPISNQIHPLLNTVKKKKVHKKFIGRRHNDWLERKRSSLMVVASLLATMAFQAGMNPPGGVWQDTNKDHTAGFSIMADNFPTEYG >OMO81236 pep supercontig:CCACVL1_1.0:contig10224:17655:22187:1 gene:CCACVL1_12523 transcript:OMO81236 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein MSSGGTQNSLRKALGALKDTTTVSLAKVNSDYKELDIAIVKATNHYERPAKEKHIRAIFAAISATRPRADVAYCIHALARRLSRTHNWAVALKTLIVIHRALREVDSTFHEEVINYGRSRSHMLNMSHFKDDSSPNAWDYSAWVRTYALYLEERLECFRVLKYDIETDRPRTKDLDTAELLEQLPALQQLLFRVLGCQPQGAAIHNFVIQLALSMVASESVKVYQAISDGTVNLVDKFFEMQRTDAMKALDIYKRAGQQAERLSEFYEVCKSLDLGRGERFIKIEQPPASFLQAMEEFVREAPRGSTFRKDQVDKPKEVLAIEDKKTPEVQEERPPSPPLPEPEKVEKVEEPLVEPPDLLGLNDPNPVASELDEKNALALAIVPIEQTTSAAAPPTANGATGWELALVTAPSSNDSAAAASKLAGGLDKLTLDSLYDDAIRRSNQNVSYNPWEPAPMSSGPMMQQPVHDPFYASNMVAAPPSVQMAAMANQQQAYMLQQQQQQQMMMMGPQQQPSNPFGNPFGASVHPYSSGMPVQAYNPYNTGLL >OMO81241 pep supercontig:CCACVL1_1.0:contig10224:50726:50908:-1 gene:CCACVL1_12528 transcript:OMO81241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNGLTIVHQRPRRPWSDLNQLLQHINMQRIPAINVTSKAHIRVNLKQSFDNFQKPFCCC >OMO81235 pep supercontig:CCACVL1_1.0:contig10224:11259:11585:1 gene:CCACVL1_12522 transcript:OMO81235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSASTSGINGRTADEFFVNMSPASNMVSPPHKAAAAASDDLPDHDDHQYNYNDAIIPQTTKKEIGLFHQHNISPGENAIHFIPMVLLLCFLTLWIFSRPVAPNSAP >OMO81238 pep supercontig:CCACVL1_1.0:contig10224:27917:35662:-1 gene:CCACVL1_12525 transcript:OMO81238 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein MQTTNPKTSSKPKTPKKTQSKKEPAMSSTPTPSWPDQFPQLQPEESTVTVDRRTSHSCLSKWAVPYDSKLKPKKTLYSPYFELGGFDFRFMLYPRGDSLAPPGYLSLYVQIQDPRCCSKFDCFASYKLSILNHEDGSKSLTRESYYRFSVSFNAIKECNISVSAGLSAGLRNSIVNKKGDTYIGRYTWKIGNFTRLKDILKKKKMKGVFLKSRKFQIANHEFRLVVYPRGQSQKPFHLSMFLEVLGPQNATTEWSSFVSYQLYIVDQKKGGISISKESQERCSKDTKELGWSEFVTLTSFFDKDSGYLVQDTFVLGAEVLILKETFKMQDIPESTNNPAYKEADKKRWLITWKLENFFSLKKILATQKIYSKYFQVDQLELRIGVHVLSDTVYAYLECNPSVVNDPDKDFWVSYRMTMVNQKNPAKNFRKESSLHTKTCTNCDLQFMKLSDVVDANDGFVMGEMVTFVCEILDCCPWFDFSELEVLGDVTATELHETTSSGTCKVSGCNVDISSEIVATGRGHFNVEDNASPVLALLKEEFKGDLRSWAVMLVATRLYLDNPVKLHNFLHQLTGIDTGQIVNEDKFLFKEAIRDADFMRQKIDNALLDVMVECCQRINRKSGRDPYDASSKPYLDNNETSSQSKFHWKSRLANFFRSPVQERFRGIHDCMENGGNMSEKANYAHHDSSSESESFEGILEFIVNSLKALDDAVTQDPLVSSQGCQYLEKILVLLDEVPKHLLPDLVFLLPKLAYQCKHKVVATALLDQLQKPGVESSMRLPVLEAMCQLHFGIDVWERTYFHASEVVHDLNGEALGSAIRLLFKAASECQHIPQAACIVRQRLERLGAEVSHYVLDLLRKMLNSSADLAGTMLQEIDSVFSSDQKHWTNCIRPLSSSNNGLATGSLHAGDHHFSNIFMLLVMLAIPSIAIETTHTFEKGIANGFIMDRLVEMVLEKCASILGVNVVSSEKHQFGDTETARKGSMDSLYSEEVFTLVFGLADKLFLSRHSQVHGFLKKFYSILFRLFADENHQKKMLRQLVDHSTSDTDNFSKTSIDVLVFLVHEECEVARLVLSMIIGDIQIANSDRSALQIQLRAREDENIRTEDELQTELAKIRTEKLALLERLDKSEADNLHYKSEIRLERDRFAQEKKELSEQEQAAKREFQHTCSKQQGKLVTLSNEKKFYQDTANDLKVQLSKLKAKNKQELKKLCKEKNVLAEKLRNAAEADRKRFDEERRRLTFQVAAQQEAQQSLLDEIQQLKQNLEQVRQEKQEKEDEVARYKTCNDELNMQLNNSQQCVQFLKLSLEKEMLLHAPLYGVGLQDLSMNELEILSGIHEDGLRNIRAIQHSVRSETKSPPPYSTADTLENFDGDVPSSPTSSCFSQQ >OMO81244 pep supercontig:CCACVL1_1.0:contig10224:59946:61620:1 gene:CCACVL1_12531 transcript:OMO81244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLCEAAIEGNLISFRNLLQEDALLLDRFIIGCYPETPLHIVSMLGHIDIVEEILARKPELTKQLNIQKMSPLHLATAKGYPNIVKKLVEVNPDMCLVCDQDGRSPLYIAATEGHTIILKELFQARPRAAWFLMDGGETILHACVRSNHFQAMKFLVQEKVADHEFVNSKNCDGNTVLHLAVADKQIEAVNFLIANTTVEINSLNSDGFTALDLLSERNVKDKEMADSIRSIGGVNARNTPLSMHELRAIRTKILTSPSSNQIHPLNTGKKKKGGRKFGRRHEDWLEEIRSSLMVVASLLATMAFQAGTNPPGGHYSVAHKWTTIEAKVFYVDFDGHHVGCCYCYGNHISRGDSTSHPGPSSRLNAYQCRRDWIRGLVGPDAAAAS >OMO80342 pep supercontig:CCACVL1_1.0:contig10332:25409:29200:-1 gene:CCACVL1_13017 transcript:OMO80342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKCLKAWVYSRKKAVEAFAEGDKARAGKLMEP >OMO80341 pep supercontig:CCACVL1_1.0:contig10332:8926:10568:-1 gene:CCACVL1_13016 transcript:OMO80341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVDAFQAVFACTAGEKWRKTAKCKGLIKQVQSRLTIQRNRRESIIRQSRADIAKLLQNGQLQRALERVVQLYKDQCLLCAYDQIEQFCGCIISNVSHITMQSSWHTLPIDACEAVSSLIFAASRCGELPELHLLRSLFKKRYGCQFELANVELRPGNIVNFQIKQNLCVNSVPDNMKQKLINEIAKDFDLPLVFQDPKLQGSEQKAEVLDLEIHDANSEVSSTRTSWDNSETQRKDIRYCKPLAAIPSQSHVHLNGYYCSSQIKKSATFVDKQQEVSSTRTSLDSSTPQIYETSIDYLDDLGLKKDGRYEHYAIRHSMMKSVTPEPRYNNSSMEDHVRRKNYKALADSRLSLDGSLDKWKAKEGNSSSSSHVHPNLPEYDDFVARLKDLKTELENRQQKNSFSFFKIF >OMO80343 pep supercontig:CCACVL1_1.0:contig10332:29824:31591:1 gene:CCACVL1_13018 transcript:OMO80343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLLLIVVFILDLIAFGLAVAAEQRRSTAKIVQDSDVNYNYCVYDSDISTGYGVGALLFLMASQVLVMVASKCFCCGKALNPSGSRAWAVILFIVCWLFFLIAEICLLAGSVRNAYHTKYRTIFSEQPPSCETLRKGVFGAGAAFIFLNAIVNKFYYICYSSARENSFQAYGGEAGVGMASYK >OMO80340 pep supercontig:CCACVL1_1.0:contig10332:3561:8511:1 gene:CCACVL1_13015 transcript:OMO80340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRTRLDYALFQLTPTRTRCDLVIFAGKNNEKLASGLFQPFILHLNAAKDQISKGGYSITLRPAGPTPSWFTKGTLQRFVRFVSSPEVLERFVTVEREIEQIENSIQSNEANAAGVTLTETDGNESVLSGNFQKSFLSSKNGADDAAQEENSKVRLQRVLETRKKVLSKEQAMAYARALVAGYDPDNIDDLISFADAFGASRLREACINFMDLCKRKNEDRLWIAELAAMQACPRPDLPYLGTSGIILAGEENDPSQNLTINIPSGKQNGSADASDAGSGDNNPDGKAQVQMPWPPHFPQYMQNFQGPMYQQMPPYQGYMFPGMPAASPYMHWPPNLEDPSLGRAWEPDDRSHKSSSRRKKKSSRGKGEDNSKQDESNESSESSSESEPEEQVHKKKHGKKSSRKVVIRNINYISSKRNGEKGSDSEETSDEDEFIDGDALKQQVEEAVGSLGRQHKSTSRHHKKRDGSKHRNTASYDGDEQEANAATEKNSKGEKGNNPWGTFQNLLLQDRDLDSPEVNQKPVNLQEEYLASKGSQERVTKQRAMSNDPFLAAQMERGHEGDTRGGNFRPNEFGGPVKRREYTNEELLTLQGNDSGIGSRANISDYATESTMIRGRKEGEWFINNQSSNQDEMMGLKMFDGENASSLADKNKKDVFVDDSFMIQGPSVGEDQSDSQLRIGIGMVPEIEATQYENDNSENAQKAASASYEPDDLYLMLGRDRAEENAMTSWTPEIDYEMNVLSVEANGKHSDVETTAAGDKGVNGSDGKLSNKAVRSRVPNGSLAKSKSDIASKTRKPPAGSRTTVRKSKVDQEEENRKKLEELRIQRQKRIAERSASGGSNPVTSRRSSTENKTSTTSIKSQPQDTKKSTKPVIRTSTIERLATARNTTPKVSSVEPKSSQPKKSTLKENGPSKPMSQKTARVEDKKTSSNKVKVSDKNKDPNKVNTATDLDVQGKEDSKEVMVALPTEPAATTATQPSEVVQEFKDIQELKSTSIDKTEGTAISETNTSEDKTANGNMITEDKPVQIDHVKGDEEVTRASTVVSEDKSAPEVFNEDIPETTVHPLPPAPVKTVKFANVNIEGNDAKNEKYVTSRVSEIEISTPPPNDGMNMEPVHSRKKWSNEETSPKAAKGFRKLLFFGRKSRTIYTA >OMO83488 pep supercontig:CCACVL1_1.0:contig09881:7232:11569:1 gene:CCACVL1_11383 transcript:OMO83488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIVKRKKKGRPSKADLAKRGSSPAAKSEPEPRRSHRRRNVRYNIDYDDYLDEDFEDEDEEEERRREKKLKLVLKLNQGQEDEPPSPPLPPSRGRGVSSAPARGRHVKEEVEEEEEDDDKEEEEEEDESERRRKKIKKRRINSGDEVDHEDDDEEVDDDKDDDHGDAEGRGRKGDSKGQDSVPGTPSDPPSGNPLPDKKTLELILDKLQKKDTYGVYAEPVDPEELPDYHDVIEHPMDFATVRKKLGNGSYSTLEQFESDVFLICSNAMQYNAPDTIYYKQARSIEELAKKKFEKLRIGVEQSEKESRIDQKTKSNIVAKKQTKKPFYRATKEPVGSDFSSGATLASAVDVLNSSITIQANACERPSHNDVLVEGNSSRADYNLEKTEELSSGKGLYSKFGRKPSAVDENRRATYNISNQPVIRSESIFTTFETEIKQLIAVGLQAEFSYARSMARFAATLGPVAWKVASRRIEQALPTGCKFGRGWVGEYEPLPTPVLNFAPKESALFTKLQRAADASYKAPVPTSNLRKDGGSYKTPVPPTAVQRDDVTYKTPAPTKSLSINASGSEGKLSSFRPATVPMSEGRPSFFAAAGSKPSKPVNAIHQQQNLPPRTSAESANKVSKQVELNLPPTRNQNNADLNAEKKSSNKSETAVSKSREMVTRNMTPAQAISSKQMENNVVVNEGLPNGKISSNGFNSRTINLSSDRIPTQMAKAATYNSNGQEQGLSDPVQLMKILAEKAQKQQNSSNQSPSNTPRAMPSVPPIQRDDSNSAAATAARAWMSIGAGDFRQATENSGTLKSQISAESLYNPAGQFHPQVSRIRGEFPFSAGMQFQVQPDKNNFPLHAFAPQYVSLVNGAQFQNRPMFFPQLVGTDLSRFQVQSHWQGLSPQMQPRQKQDTLPPDLNIGFQAPGSPVKQSSGHLVDSQQPDLALQL >OMO92953 pep supercontig:CCACVL1_1.0:contig08152:15681:22248:-1 gene:CCACVL1_06708 transcript:OMO92953 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAATSAFPLLQLHSTKPKSAAFPLKSSGISKFGIVKCGSSSNGREPESADNGIKSVERLLEEKRRAELSARIASGEFTVKNSSFPSQLKNGLSKLGVPNEVLEILFKWADDDGDYPKIPEAKGAIKAIRSEPFFLPLYKLYLTYGGIFRLTFGPKSFLIVSDPAMAKHILKDNSKSYSKGILAEILEFVMGKGLIPADGEIWRVRRRAIVPALHLKYVAAMVNLFGQATDRLCKKLDGAATDGEDVEMESLFSHLTLDIIGKAVFNYDFDSLRNDTGIVEAVYTVLREAEDRSVSPIPTWEIPIWKDISPRQRKVAEALKLINDVLDDLIATCKRMVEEEELQFHEEYMNEQDPSILRFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKEPSVVAKLQDEVDSVLGDRYPTIDDMKKLKYTTRVINESLRLYPQPPVLIRRSLENDVLGKYPIKRGEDIFISVWNLHRSPSLWEDADKFNPERWPLDGPNPNETNQNFCYLPFGGGPRKCVGDMFASFENLKRSSSDLQTVVAVAMLVRRFNFQMALGAPPVEMTTGATIHTTAGLKMTVTRRMKPPIIPELDTQGLKMEASVRISEEVIQLGEKGEVSQAHS >OMO92954 pep supercontig:CCACVL1_1.0:contig08152:27834:31140:-1 gene:CCACVL1_06709 transcript:OMO92954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEEDVAEAFAKEALRLDTDKQEEDVEEIPNSGQSSPLSSSDESEESGETQSHYKQQDLHQSPTSQAQQGNWMSGSSSSSGKKGDGSSAKGVLSPFQFDVLPTNPNKRKKSWQDESSPTPTELPLLKWDSPLLQSNKDHHDTTAPIASSSRPKIIKNKVYDPSYADMGLPVDPHLRLFLARREKEEENQDKESKRSENKDSEKQLGEDHTKPKG >OMO92955 pep supercontig:CCACVL1_1.0:contig08152:41129:41783:-1 gene:CCACVL1_06710 transcript:OMO92955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFNIVNNIINAGYLGARISRNRVVSGALIRPRFIQASSKQEAEAACQKAKEAVKQGANEARKTGENIKDKVASSANQMGQKSKEMAGKATDAAEGIGSKAKQSAQNAWDSAKETAQKAKDTVLEKADETKEAIKENAEKIKQSINTKN >OMP03374 pep supercontig:CCACVL1_1.0:contig06087:14402:14509:-1 gene:CCACVL1_02449 transcript:OMP03374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVVVGFCKPSSSARARACGSKTRLGRARTELRA >OMP03376 pep supercontig:CCACVL1_1.0:contig06087:30960:40773:-1 gene:CCACVL1_02451 transcript:OMP03376 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type ITPVLGGSYCMQGPMDEIDQSIEKNMVYPKTLRKLVRRYMSQKVLTKSESGTCNVCSAPCSSCMHLSLVKMELKNDEFSDETDRVAVASQYSISEDTAGDNLQHTPSEASNLLSVNSSHDSYSENVESKAILRPSDASDALEDVETQKTFSSKDGSKGVEGHDDNISCASRASDANAAFSSCNKDLDSKNSSRSTASDCSLGSAKVLSSQKLDLSELPSIKEVDASSSSQRLQSPYSHSGRGKSTVGGSSEISSKIHPKSDADIDNSSGDPPDKADKRSNDDEQDKSNQLDELPDKQESLSQAVSGDESDESDATEHDVKVCDICGDAGREDLLAICSRCTDGAEHTYCMREMLQKVPEGYWLCEECKLAEETENQKQGSDAEGKRANKLGSIAQNLGKRHAENQEGASALKRQAVETNMASPKSLSPSRVASLSREGSFKNLDRGKARPSPQISLGNHSGNDMPEAARSPTSGPRLQTPKGTLLKSNSFNTFNSKPKVKLVDEVVIQKQKSSREHTSLDTKEESARMMGKSMSFKSNLPGRLNTGESKFKMVPSKYHHVQDIKGLKPVKERVSVERKNFSKLDRSSSTVSTPKVDQRMTPRSDSIAHSSASNHRESKGQTDGKLSTLSRSNSNLARKGVENAVTSAIGSSSINGRGSSEQKLNQVNPKEEPSSSSSWAAERQHNNVNGVMLDGQSRSLDSTNQGEKSRESSVGRSKSLMCLKCKEMGHTAESCPVSLASGADISAPRTSREDINKDDKLKAAIEAAIRMRPGICERTQDPSSVSNKAKNMITVEGTHEGQTNIHNQMSIGNMKQLNSHSTDAVAVVSSVGNLSARDTSTPATLKMSAIPDHEFIWQGAFEVHKSGKLPDLFGGIQAHLSTLASPKVLEVVSTFPHKVSLNEVPRLSTWPTQFHDNGPKEDNIALYFFAKDLESFEKNYKVLLDNMVKNDLALKGNFEGVELLIFPSNQLPQNCQRWNTLFFLWGVFRGRRAKFSNSSATASIPDASMVHLERQISSDMPQPADDKPAACDNSCNVAPVSSIAEKTCIRTERDSENKSSLEQTYVEKKAKLEQQDGIVDSNFLSRIATSSTQVHPEMKCTSSSPDESKVPVCRSDTELKPCIEATETKSSSVKVEKEEMHVRRDYPPLPKVPIGKQEAVQSVAGKIDGDCVKIRDLKDDGYADKRTSLRGDLDCRQLNHRKRTYLDLTETVSEVSTDTCQAMPWSEVKRVSVEEGSDSKKLKTGFSGIYQYSSPIDRGPFSDSLASDRHNMGSCSSIEEKRCDIGCEEKVIPEDLGSSERFFFPVGSHHSREFRLGDNSRPWKELLVKEEDKVEDVSPNLELALGAETRPPNPPNKGILPFLAGTVDNQDRPLDKASGKEEEDDVSASLSLSLSFPFPEKEHSVKSVSKTEQLLPERHPVNTSLLLFGGFPEK >OMP03373 pep supercontig:CCACVL1_1.0:contig06087:2578:5326:-1 gene:CCACVL1_02448 transcript:OMP03373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCFAPVSISGGSHLKTHEVLLTKSSTFGKTPSLAVRRKPARSNSSFSVSAEYRDGSRGGGSDFVAGFLLGGAVCGTLAYIFAPQIRRSLLNEDEHGFRRAKRPIYYDEGFYNDEGLEKTRQTLNAKISQLNSAIDNVSSRLRINSAIDNVSSRLRGGKKAPAAPVEADPEVEATM >OMP03377 pep supercontig:CCACVL1_1.0:contig06087:41029:41151:-1 gene:CCACVL1_02452 transcript:OMP03377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAAAILVRRKERHVEELYNATEIICVPEACNLISTLY >OMP03375 pep supercontig:CCACVL1_1.0:contig06087:25718:26999:1 gene:CCACVL1_02450 transcript:OMP03375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYRGKGVAQDSGIMREVEEITRKAYGYLAFKVVCSKFRNFGKNPAIAAPFKVPSESRDRVSNLITEGFKIDRIVETINSGDNPDPPAIIVESIDLVKVRVKAGSFPLDSWWHWSDLVGRSSLNQQLSIIFDKVFVSNLVRTILQFRDYRGFIVRAYKTFIFAAHFNFITHPKHLIVTQRIQL >OMP03378 pep supercontig:CCACVL1_1.0:contig06087:52708:54093:1 gene:CCACVL1_02453 transcript:OMP03378 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MVFRDSLKEPKMKADGAEYEPPPAMSGLQGHVPVKPTMSFNHDRSVPQDDWHLLAYILLANKTNMKGVDQSLLDKEVCALSFGYIGLNGKKPFIVSRSGENHDGQGSDNSLLPGLNDDMALDILARSSRSDYPNLACLNRKFRSLISSGYLYKLRRQLGVIEHWVYLACNMMPWEAFDPVRQKWMRLPRIPCDDCFTCADKESLAVGTELLVFGRELSGFAIWMYSLINHDWSRCPLMNLPRCLFGSSSLGEIAIVAGGSDKNGNVLKSAELYNSELGTWQTLPDMNFPRKLCSGFFMDGKFYVIGGMSSHTDCLTCGEEYNIETRTWRRIENMYPGINAGTFHPAMRSPPLVAVVNNQLYSADQATNQVKKYDKINNSWNVVKGLPVRADSSNGWGLAFKACGNSLLVIGAGGHGGRDDGVIVLHSWDPEDGNRDGPEWNVLAVKERAGAFVYNCAVMGC >OMP02105 pep supercontig:CCACVL1_1.0:contig06325:4239:4994:1 gene:CCACVL1_02924 transcript:OMP02105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQPQNSETESSSNSLSSPPLSPSSPNSTTATLNPKPETDSKKNKRMRDSSKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGNSAILNFPELANSLPRPVSLAPRDVQAAAAKAAQMEKFDSPSPSPSTTSSSTLSSSSSLSSLVSQMDLSSSGSDELSEIVELPSLGTSYDSVELKNEFVFDSSVDQWFYPPPWLQSMEDCGYVVDQLTVPESILQNGFEEGLLWDY >OMP07118 pep supercontig:CCACVL1_1.0:contig04657:822:890:-1 gene:CCACVL1_01373 transcript:OMP07118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYSKSTTSPFPDPGKSLFRK >OMO98898 pep supercontig:CCACVL1_1.0:contig06993:43997:51310:-1 gene:CCACVL1_04008 transcript:OMO98898 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 35 MWPSLIAKAKDGGLDVIQTYVFWNLHEPQKGQYDFSGRADLVRFIKEIQAQGLYASLRIGPFIESEWNYGGFPFWLHDVPGIVYRSDNEPFKAHMQDFTTKIVNMMKSEKLYASQGGPIILSQIENEYQLVEHAFHEKGPPYAKWAAQMAVGLQTGVPWMMCKQYDAPDPVINTCNGMKCGESFPGPNSYNKPWLWTENWTTRYQAYGEEPNTRSPQDIAFQVALFVARNGTFVNYYMYHGGTNFGRTASAYVTTSYYDEAPLDEYGLIRQPKWGHLKELHAAIKSCSSPILFGTQSVHSLGEKQEGYIYQRKSGECAAFLVNKDDTKTTVVPFKKSSYELAPNSISILPDCRNVAFNTAKINVGNNTRVITTGKKFSGSKMWEEFRDNIPTFAETSIRSNTLLEHMSTTKDSSDYLWYTFSYQHESSNSEAVLKVNSAGHTLHAFVNGVLVGSAHGNHTHSHFVLENTIPLNKGNNNISLLSVMIGLPDAGAYLERRVAGLRRVRIHDIQNLKDFTNYFWGYQVGLLGEKLQIYLEQTSNKVQWKPYTSSRNPLTWYKTSFDAPMGDYPLGLNLGSMGKGEAWVNGQSIGRYWVSFLTSQGSPYQTWYHIPRSFLKPKDNLLVILEEENGSPLGISLDFISISTTSASQD >OMO98896 pep supercontig:CCACVL1_1.0:contig06993:17247:19406:1 gene:CCACVL1_04006 transcript:OMO98896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKTISLLCQERKGKCIKLLKKLNKNFVRIHGGKRPSNPRKTKIALSVLIDKDLILPMARVFYQNKTGDPLKKGRISRKGIICDCCLTTFSLTAFEAHARGTNHRPAANIMLDDGSGRKGISYC >OMO98897 pep supercontig:CCACVL1_1.0:contig06993:21217:24929:-1 gene:CCACVL1_04007 transcript:OMO98897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQNSRMPSKYHLLLLSLLFFSCLFPPSLSLTVETQALLDFKKKLKDPLNVFESWKEDSESPCKFYGVSCDPVSGKVIEISLTNKSLFGEISPSISMLEKLTTLSLPQNNISGKLPAQLNNCSNLRILNLTWNEMVGTIPDLSGLQNLEYLELSFNFFSGSFPGWVGNLTRLTYLGLARNHFDEGEIPESIGNLKNLVTLFLAMCNLRGQIPESIFELKGLQTFDMSRNKLSGDFPQSITKLRNLTKIELFFNKLTGELPSGLGDLTLLQEIDISANQISGTLPEGMGNLKNLKVFQCYSNNLSGEIPAGFGDMRHLIGVSLYKNNFSGEFPANFGRFSPLDSFDISENQFSGAFPRFLCGGGVLTMLLVIENNFSGEFPDSYVHCKSLVRLRVSKNHLSGKIPDGVWALPHAAMIDFGDNDFTGVISPSIGFSISLNQLVLQNNRFSGNLPSELGKLINLERLHLSNNSFSGNLPAEIGALKQLSSLHLEQNSLTGSIPAELGDCVRLVDLNLADNDLSGNIPQTVTLMSSLNSLNLSGNRLSGPIPKNLENLKLSSIDLSENQLSGNVPYDLLKMGGDEAFVENEGLCIDQNSKTLMNDTVLSVCKQEQSQKRVLGGKLVLFIITAIALLVVLAGLLFVSYKNFKLSEADIENSLEGEKGVDPKWKLSSFHNMDFEPHEISNLEEENLIGSGSTGRVYRVDLKKKGTLVAVKQLWRGDGLKVLAAEMEILGKIRHRNILKLYASLTRGGSSFLVFEYMVNGNVFQALRREKKGGQPELDWHQRYKIALGAAKGISYLHHDCCPPIIHRDIKSSNILLDVEYEPKVADFGVARIAEKSLKGFEYSCFAGTHGYIAPELAYTLKVTEKSDVYSFGVVLLELVTGRGAVEEEYGEGKDIVYWVLTHLNDRESVIKVLDKEVATETVQEDMIKVLKIGILCTAKLPNLRPTMREVVKMLSDAEPCTNAMSPDNQSEKIGKGFL >OMO98895 pep supercontig:CCACVL1_1.0:contig06993:8413:10446:-1 gene:CCACVL1_04005 transcript:OMO98895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCWRFSSNGSTIDFKRIYNGSALNQLEAGNSQICGLNVTNGLDCWQWRGFNPTQNPNFSTIAVGEGFVCGLSSETGKISCLGNAPQVPQEGNYSVIAAGFGHVCAITLGNDLQCWGNTVGVNNPEGKFMGMALGLNRSCALRTNETVVCWGHQNNFSLPMELEGSQFITIKAKRNVFCGVLKFNYTLSCWGDTSFNSSQMVFPEVMPGPCKNLCPCGSYDGSGSFCNNGETICQPCPSQTTSAPAPAPPPTPTPQPQKTSTGNDLNGKLIAFLVVGCVGCSCLLLVVGFFVFRYCKGKGCRVHDSGRLDDETGPAAPQNGNSNQPQISQSPAAAVLEKRLSQLTSIGNTGRLEEFSLEELLQATENFSEDQKIGTGSFGSVYHGTLEDGREVAIKRAEITSTSSYATIYYTRRQEDKDNAFVNELEYLSRLHHKNLVRLLGFCEDFNERVLVYEYMDNGTLHDHLHKLQNPPFMSWSVRLKIALDAARGIEYLHEYAVPTIIHRDIKSSNILLDSNWNAKVSDFGLSLLGPGEDESHISLRAAGTVGYMDPEYYRLQQLTTKSDVYSFGVVLLELLSGRKAIHMNENGMPRNVVDFVVPYIMQDEIHRVLDPRVPPPTPFEIEAVAYVGYLAADCVVTEGRDRPSMTEVVNSLDKALAACLLPPQPFSRSNTDSST >OMO72445 pep supercontig:CCACVL1_1.0:contig11443:65088:67192:1 gene:CCACVL1_17795 transcript:OMO72445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translin MKSAFRNAYHFTLSSRYSLNPKPTIFHLVPAIITFPSQSPPSTVSRSSTFRSPLTTTFCSISMAGGDSDALQTSHSLEKQFEKFRVQLEDSGSLRERIRAVVLEIEAATRIMQASLLLVHQSKPIPEVLEKAKSQINVLKDLYNKLAEVVRECPDQYYRYHGDWRSETQMVVSLLAFMHWLESGALLMHTEAEEKLGLNNSDFSLDVEDYLVGICFMSNEMPRYVVNQVTAGDYDCPRKVLKFLTDLHAAFRMLNLRNDFLRKKFDSMKYDLKRVEEVYYDVKIRGLVPSGDSVGDQGIQEQS >OMO72449 pep supercontig:CCACVL1_1.0:contig11443:81110:81373:-1 gene:CCACVL1_17799 transcript:OMO72449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMTTSFMAAAAGARRAMNIVGIYGEEQRHHVARGRAVEGRRNTVEEEEVSSTDKEIDNHHNIPRQKYDDWGGNSPDNGDSNDDGSG >OMO72441 pep supercontig:CCACVL1_1.0:contig11443:42857:42985:1 gene:CCACVL1_17791 transcript:OMO72441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDSPDLYSKYKHTPSHARAQSHWLWPRLSSHASIHPPSMI >OMO72450 pep supercontig:CCACVL1_1.0:contig11443:91466:92803:-1 gene:CCACVL1_17800 transcript:OMO72450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHHHRFGQLRSTSQILRKSAVHFTARPFTFLFLSFLLLSFRSLVESGSLLLTSFIDKDPSFKSLLSRLDLHPSQPHARLHPTRRHTRRPFLHLTRVGTLDDDFFSSDDDHKDRSPFGSFPNRPLNGTPVILSNFDTKLGFSHFVEDNGILLPEVVRYGVKFKTTSFEYENNEGEQQEERIAEFPFVYKGLELGRRDAAALFFLVSFLSAAYGWVILGFTAIYSLVLGVLFVTIVNDLIGRFVSFFGAFWDGSKIGLKRLTGFVLMRWAVRDAVTQLLGLWYFGEIEDHYSFFKLFVRLKLMPFSVMSPWIRGYEKEISGFLFTWFLVDTLVSFAFSLAAWIAIVDSRRTGREIIKEGGYLMSTMLNQAIQIKCYEAISGGSLARWVLTHIGGEFFATVVQAALEVYFMVAWLIFYFVVRHREANAEGRRYGRRELEALIDGLR >OMO72451 pep supercontig:CCACVL1_1.0:contig11443:94253:97209:-1 gene:CCACVL1_17801 transcript:OMO72451 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosine kinase MAYEGILLGMGNPLLDISAVVDEDFLKKYDVKLNNAILAEEKHIPMYEEMANKPNVEYIAGGATQNSIKVAQWMLQIPGATSYMGCIGKDKFGEEMKKNSKAAGLNVQYLEDESAPTGTCAVCVVGGERSLIANLSAANCYKSDHLKKPENWALVEKAKYYYIAGFFLTVSPDSIQLVAEHAAAKNKVFTMNLSAPFICEFFKDAQEKVLPYMDFVFGNETEARTFAKVHGWETENVPEIALKISQWPKASGTYKRITVITQGADPVVVAEDGKVTQFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQGKPIDACVKAGCYASNVIIQRSGCTYPEKPDFN >OMO72438 pep supercontig:CCACVL1_1.0:contig11443:14190:14363:-1 gene:CCACVL1_17786 transcript:OMO72438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKENDTRFSSKNHACEPCRSFGQKCSHLVKRQRAKFYILRRCIAMLVCWRDRGDP >OMO72442 pep supercontig:CCACVL1_1.0:contig11443:44408:45040:1 gene:CCACVL1_17792 transcript:OMO72442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, TCP MALHKFSSATNGHQNSNVNSLVVVPTESTPKNQLGLPARKPVSSLSKDRHTKVNGRGRRVRMPALCAARIFQLTRELGHRSDGETIEWLLRQAEPSIIAATGSGTVLAAHEISCAAGPISSSASSASVSCQVQQVAVGSGGGVMAGMYAPPPSCRLDLCQPMGFHYPALGRNGYQHMPFTALLLQPTTAEEVEERQHIEEEEEDIKHHHE >OMO72443 pep supercontig:CCACVL1_1.0:contig11443:46034:54349:-1 gene:CCACVL1_17793 transcript:OMO72443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFIFPIWDKKDEPKTSKSISTRSINSIFTDRELGRSGSELNSQNVSAISNESLGRSPIPSLSQRPSNLRVFTVSELKSATKNFSRSVMLGEGGFGCVYKGFIKDPDDSSQKIEGHKEWVTEVNVLGVVEHQNLVKLVGYCAEDDERGIQRLLIYEYMPNRSVESHLSVRSETTLTWAMRLKIAQDAARGLAYLHEGMDFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPAEGLTHVSTAVVGTMGYAAPEYIQTGRLTSKIDVWSYGVFLYELITGRRPLDKNRPKNEQKLLDWVKPYLSDSKKFQLILDPRLKGKYQFKAAQKLAIVANRCLVKNPKSRPKMSEVLEMVNRIVEASVVPGSPEPPLKSLQSMETSREAERKHKRRIIDFRGGEKFIRAWTPKLISVVHRGDPFYQTAYNINSDMVQHNASGVYYHGHYYRSDTDPQSSQIENDEVIARALQEEFSNLALAEASQFSHDGEQQFQASSEPHDWHSPPTRSYYYSGYAYGQDESDDLVPTSSCSSPSDSEDCSCSLELTDGYLLDDDEVGKRLNQMIPIPHVPRINGEIPSIDEAMSDHERLLNRLQTYGFVEHKVPGDGNCQFRALSDQLYQTPDNHKVVRRQVVNQLKSHRETYEGYGPMDYDDYLKKMSKSGEWGDHVTLQAAADSYGKRIFVITSFKDTCYIEILPNFQKSKGVIFLSFWAEVHYNSIYFEEDQPSGEVRRKKKWWNFGN >OMO72446 pep supercontig:CCACVL1_1.0:contig11443:69745:72950:1 gene:CCACVL1_17796 transcript:OMO72446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLADMKRQRVDKSVVPGLEDEATEVEHLLVEPKSDHVSVDRVLCFGLENLEKHLKMEDFSYAFDYGWKINCGGLDSVHGQGGDDLKLEVLDGLLDEVDEVDDIHAAQDLSGVCEDFLLDIEFPNKLSQLDCRPHEGSNLHNSSSESHSPGFSGSSAGVGGILESSIATVLESNSENGVLGKMVVCELHQTFRSKCGCQAPVVDTICPTTEPAQDLDDPDDYDKPLVSFIMSNKKLKGSIKVTKGGTLLRQKRLHKHTRKYIEEHSTKSTASGKNKHLKVGSQEEPPQVPSDTRPRRGHPKKIAPKLDLGSDYELSASESEDERKRTKRSKKASDRRKHQKMWTLAEVIKLVDGIAEYGVGRWTDIKRHLFASSAYRTPVDLRDKWRNLLRSSSGHKHNRKEVENNTKHAARLLPKSVVRRVRELATIHPYPRVRGKKASSVDSCVPSSKQLTTTKGDPVPPRAKSLRRKKE >OMO72447 pep supercontig:CCACVL1_1.0:contig11443:73500:77536:1 gene:CCACVL1_17797 transcript:OMO72447 gene_biotype:protein_coding transcript_biotype:protein_coding description:late embryogenesis abundant hydroxyproline-rich glycoprotein MEEKKSSYVIGIPASFPTVRGHNVAVQVQAPAADSAAGRAPAARAMTSAEKCKFSDFSISGSEITANWDAEFLIRNNRGPTLYQYLLLSVYYKDQPISKAVLGQQFHLLPKSMGSNYVAHTVALSKRIENQVVADAIARDYWSQGAVAFTVRLLHDNDRPVRFLNVTCADVKSNNTGQKEDDEPAAASANGVRDGYPNPKQYQRRKFVT >OMO72440 pep supercontig:CCACVL1_1.0:contig11443:37218:41153:-1 gene:CCACVL1_17790 transcript:OMO72440 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma-70 MEAARNLLSSPPTGFPRTQLKSSSFPSSSSSVSMFHEQAGPAATSIPITSVARNFPASVLLQEQRDDYRPQPVLQFFKEDKAYSGANDKQQIQNGASLHEGNASNNVGHLELEQQLLQSPDLKQLLSILELGEYPSSPLNIQSVAADTEEVVGVEPNNVIALAKKALSASKQAASLAENLELDLDHSVSNSLGSANSSTLPVEEEEGVIVRSTRRLERRSKRRRQPKVMDPESYSSRRTDVRRKSSEGFDPSDPLRLFLWGPETKQLLTAKEESELISQVQDLIRLKKVKSKLQSQFGREPTLVEWAEAMGLSCSALQAELHYGNSSRDRLINANLRMVVYIAKQYQGHGLSLQDLLQEGSMGLMKSLEKFKPQVGCRFATYAYWWIRQSIKKSIFHHSRTVRLPENVYYRLNKVFEAKKSCIQEGNHSPSKEEVARRVGITVDKLDRLLLTTRMPLSMQQPIWSDQDTTFQEVTPDTGIEIPDVTVAKQLMRQHVRNLLNVLNPKERRIIKLRFGIGGSKQTTLSEIGDMLCQRGKIKRAFALFDELNDKGLVPNAHVYGALIDGLCKTGQMEAADMLVNHMQNQGIHVSVVIFNTLLNGYCKKGMIDVALRQLAIMEKKGLQPDVFTYNIIASWMSKLKRHEEAKKWLFMKRELLLIHSASLL >OMO72452 pep supercontig:CCACVL1_1.0:contig11443:100673:106051:1 gene:CCACVL1_17802 transcript:OMO72452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNSSKSGSSTKVDGASSSKGVSTTAKGSTSLSSAKADSNCSRSSAKEIGINKVASPSHSSSGKKTSIKGSDTNDRFVSCFGKVSSSFRYEKVMLVSPQGDHGSCSIKKKQVAVHEDKTSDRTSRDARSYKEWLKSRISKRKMSETNGKRSVTSAEACKENKEEVIEGHFSCSKRQRVDFDSKQESYSCNAEPGNISPVQFAFLTELVSKKELHVHVLGNSKESSADEKELISELNADQAEEYFSDTLDKGFQLEKKIGRKQDTCVTCLLGGKLLCCVGKGCKRSFHLSCLVPALSDNPPGLWHCIWCVKKKKELGVHSVSEVESIWDARDVVSDDKALKHEKQYLVKYRGLAHVHNRWIPETKLLSEAPALVTKYNSKNQVTSWKKEWTVPHRLLQKRKLLFPTNSDENNIDCSSEWLVKWIGLGYEQATWELENSSFLMSPEAMKLIRDFEIRHQKSERLTSHSEEEEKERCNVSDLSQLSFGGSPGEYDCYQNYVNKLLAYWHKCLNAVVYDDQADQFASRVRKFFVM >OMO72439 pep supercontig:CCACVL1_1.0:contig11443:17244:19484:-1 gene:CCACVL1_17787 transcript:OMO72439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MTETENEPGSSMHGVSAKEQAFAFAVTSPTIPTDPTAKFALPVDSEHKAKVFNLLSFAKPHMVTFHLSWLSFFTCFISTFAAAPLVPIIRDNLNLTKSDIGNAGIASVSGSIFSRLVMGAVCDLIGPRYGCAFLIMLSSPTVFCMSFVSSAGGYIAVRFMIGFSLATFVSCQYWMSTMFNGKIIGLVNGTAAGWGNMGGGATQLIMPLIFELIKHAGATPFTAWRIAFFVPGWLHIIMGILVLTLGQDLPDGNLGTLQKKGDVPKDKFSKASYHFNSVLWYAITNYRTWIFVLLYGMSLGVELTTDNVIAEYFFDRFSLKLHTAGIIAATFGMANIFARPMGGFLSDIAARHFGMRGRLWNLYILQTLGGIFCICLGRANSLSLSIFFMILFSIGAQAACGATFGIIPFISRRSLGVISGLTGAGGNFGSGLTQLLFFTSSHYSTATGIFYMGIMIVACTLPVSVVHFPQWGSMFFPASKHSEKCSEEYYYGSEWDKEEKEKGLHHGSLKFAENSKSERGRRITSAPSPPAGDV >OMO72444 pep supercontig:CCACVL1_1.0:contig11443:56283:64169:1 gene:CCACVL1_17794 transcript:OMO72444 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MRGVRPHALFFVPVVLSLLPFACSIDGDDYFQTKNPTYLPLVTNLIYSRLSNISAVLSNDINQNLQFCIKNLKTDWNGAFDFAHNLDFLTNCVKKTKGDLTLRLCTAAEIKFYFGNLFVKGGDTASYVKPNKNCNLTTWVPGCEPGWGCFADSNQRFDLKNGTYMPSRTQNCQPCCEGFFCPRGLACMIPCPLGSYCPLAKLNTSTGVCDPYNYQIPPGQPNHTCGAADSWADVASSSEVFCTSGSYCPSTVTKVTCSSGHYCRTGTTAQLPCFKLTTCNPNTSNQNIRGYGVMLFVAMFLVLLIIRNCSDQVLSTRERRQAKSREAAARHARETAQARERWQAAKNIAKKGGWQQQLSRTFSRAKSKRQEQRSFFGLGRSVKVDSQLPPAPKESEKSSTDSKAKKKEPSNLTKMLHSLEDNPKSNEGFNLNIGDKNIKKQAPRGKQLHTQSQIFKYAYGQIEKEKAQQNKNMTFSGIISMATDNDIRTRPVIEISFKDLTLTLRGKHKHLLRCVTGKLMPGRVSAVMGPSGAGKTTFLSALTGKAVGCHVTGSILVNGKNDSIQCYKKIIGFVPQDDIVHGNLTVEENLRFSARCRLSADLAKADKVLVIERVIESLGLQAVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTTGLDSSSSQLLLRALRREALEGVNIAMVLHQPSYTLFKMFDDLILLAKGGLTAYLGPVKKVEEYFAGMGINVPERVNPPDHLIDILEGIVQPPGMTREQLPIRWMLHNGYPIPADMLDLADGLSTGNTNTSTSSDPEVQQSFAGELWQDVKFNVEVKRDMIQQDYSKSKDLSNRRTPGIGRQFRYYLGRVCKQRLREGELLAVDLMILLLAGACLGTLAKVNDETFGSLGYTYTVIAMSLLCKITALRSFSLDKLEYRRESSAGISSLAYFLSKDTVDHFNTIIKPVVYLSMFYFFNNPRSTFQDNYIVLLCLVYCVTGMAYILAIFLAPSLAQLWAVLLPVVLTLIANQDKDSAIVKYFGNFCYTKWALEAFLIANAERYSGVWLITRCDSLLESGYNLHDWNLCLIILVVNGIVARIVAYFLLITFKK >OMO72448 pep supercontig:CCACVL1_1.0:contig11443:79021:79719:1 gene:CCACVL1_17798 transcript:OMO72448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKNSSYAIGIPASYAAVRGYNVTVQDDQAPDSAADPAPAPAPAPAPSLADFFMFMFFISFVTLCGFGLIFFTSPNPKFGLKSLSVSDFKISGSEITANWDAEFLVRNSRAGTCYEHLLVCVFYKDKLISDVVLAPQLDLLPKSMGSNYVAKTVALSKRIENRFVADAIARDYWSQGAVAFTVRLLHDVRGQYVNVICSDIKVGFSNHSSHATLLITNQQAFTLCSTHLTH >OMP11161 pep supercontig:CCACVL1_1.0:contig01514:1386:1526:-1 gene:CCACVL1_00649 transcript:OMP11161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGHLGQKNNNKNKVNMLALRRECFIYELRCVVVQILCRTVLKIFRSK >OMO89057 pep supercontig:CCACVL1_1.0:contig08855:38378:38935:-1 gene:CCACVL1_08040 transcript:OMO89057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMETDDSPHSITIPLNNGGDDTIAEEDHDTIAVAEEDDSIAEEEDSPLLLPLRPKDTFYYTQTPEIVCNLVAFTFFVFFDDPNYQVDSLSISSFNIDVYESQITASWNITFSARNTDGVSFNLLDYGDVHVSVFYKDEILSLACIGPLHHGPWHRTKLFSANPVALTTRIDEKVAKAIANDWER >OMO89058 pep supercontig:CCACVL1_1.0:contig08855:39439:40061:-1 gene:CCACVL1_08041 transcript:OMO89058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGAAPVSKWLRRRFLIDVPESNFDSDQKTVAYSVLKVKAVALDETMDGWMAQVMAMGRAQGVLAFNLKLEAVGSVGGGWRQDYFSRLL >OMO89055 pep supercontig:CCACVL1_1.0:contig08855:30203:30331:-1 gene:CCACVL1_08038 transcript:OMO89055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIAEMKATTVVTKKGRWETKTSNSGPNQDPKEAQKMNNPQ >OMO89052 pep supercontig:CCACVL1_1.0:contig08855:9281:15290:-1 gene:CCACVL1_08035 transcript:OMO89052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MSKDCGEHDDKKLAKRVSAAVLGLLIVIAIVVFIVWAVLRPSKPRFILQDVTIYGFNVTEPNFLTSNMQVTLASRNPNDKIGIYYQKLDILATYRNQQITLPTLLPRTYQGHQGVTIWSPFLYGNAVPVAPFLEEGLSQDMSAGMLLLDFHVFGQLKWKVGTWMSVEKQITLHHMALGQTKSMVSVVRTEVSPGNGVSKSIEKMLIEGINSWAPKPPVKRAIVAILSPNIAKEMQVRHLRSTIIGDTLARALEFSSVEVLRQNHVGDEKIHTRTVELRNKYERDLGLHLLQFAEVVEAACTNLSPNCNYLHKLLEAYLRCYSIATMSVSIGVQTRDLSPPLLTLFLVTSGLLRNPFHCLPPDDLVPVTIGADCGDHKTKTSRHVVLWNTRRTTAGKGTSDEIPPASQNQTPPPPGSTPQMFSKCRATSQPASSRRFPLMRYNRCSATCRTTAQRHSISKGPHPPLLLRNTCLSLRTAKRPKKRERRDEARMAALERALLQPNPSNQPNGGNLGVRRLERRTCLGDSTRDPPPSIDDPALPSNSDYYEDDDLSYHTSLDPTYHPPPTPTNDRIYEINGVPTTSSQVQRALRAPTRDRVEQNQLLNANAQVPAPIQQAIPQIPAPAGSSLEELREQLRREIDERLSRQRTTTAEETIQMMSGVTTPLSFVIMQAEVPPGTKLPKIKYDGTGDPQEHLSQFKELMLLQNLSEDVMCRIFPITLTGAARAWFYRIRPVDSIVNFTMLCQAFLSNFHSRTRIGKTLDDLFCIKQEVHENLETFTKRFTDACLETEDVTDKEAIRAYMEGVRHKKLFYDLHRHKPKRLKQLFDEAHIYIQAEKQMNLKNAPFKAGGQVTNGERSRERQRSRSPNRRPTFNQRRDRGKGLYREGNSFQERSYAKPMYNNYTPQNTTPTAVLHAISSSRTADKVQWPRPILNHKKYRSSGKKCEFH >OMO89053 pep supercontig:CCACVL1_1.0:contig08855:16096:26284:1 gene:CCACVL1_08036 transcript:OMO89053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNIYDYILTNRRVEGLSTLPPSTRFPTQTSANYQSKYPILESGTSRPSTKPLRSLYGNVVLVAS >OMO89056 pep supercontig:CCACVL1_1.0:contig08855:32930:36613:1 gene:CCACVL1_08039 transcript:OMO89056 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bystin MAKNKKRERNQNPQPFLADNDSVASTKKRSKAPKHHQKQDKMISSGISSKILKEALLQQKEVEEEVSGGNVAIAFGSVEEQPNKHEEEEDVDTFAGFSETQSQFGNYEEEIDEEDVKLLDAFLSKDAGPERTLADLIIQKIKENDANVASETRPLPKLDDSLIDLYKGVGKFLNKYTDGKMPKAFKHIPSMQLWEDILYLTEPENWSPNAMYQATRIFASNLGTKKAERFYRLVLLPRVRDDIRKNKRLHFALYQSLKKAVYKPAAFNKGILFPLCKSGTCNLREAVIIGSILQKVSFPMLHSSVALLKLAEMEYCGTTSYFIKLLFEKKYGLPYKVVDAVGAHFMRFLEETRVMPVIWHQSLLAFVQRYKHELRKEDKDNLRTLVDKQKHALVTPEILRELDNSRNRGEKEDDLMLIYILFSCV >OMO89054 pep supercontig:CCACVL1_1.0:contig08855:26852:27565:-1 gene:CCACVL1_08037 transcript:OMO89054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSSRPVTGYPVQNANGCAAPPPPVASGTAYPYVNPNPYPYYPAAPQPQNPRPTFLRRLFVAFALFLIIFGTVLLIFWLVLRPHFPDFAIQSLSLSNYNGTNQRVTATWNAQFQVSNPNKKLTIYYGDIASSVFYKDYFLAETRIGPFVQGTRNLTMVDASYSLVDAYVDGKVVDAINGDRRSSQVKFNVKVVADVGFRYGGWRGRRRLLRVWCNDVSLSGSSGKMTGGPKTCTVG >OMO56771 pep supercontig:CCACVL1_1.0:contig14480:125579:128986:-1 gene:CCACVL1_26282 transcript:OMO56771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTMRSETQTVEASPISSDRQFHVEPNDENRTQTPKISLYELSREERIKENLQRMQQLGLKDLSNSLLNSTSQSRGRGRPIRGSKSTATPSPPILPPSGPVRRSSRLQNTTPVSYSEVVLTKKDELLVDVDLELKGTEVYTEEHEKLLGNTERSWTLFVDGCGSDGKRIYDPVKGKTCHQCRQKTLGHRTHCSKCNMVQGQFCGDCLYMRYGEHVLEANENPDWVCPVCRGICNCSLCRQAKGWPPTGTLYRKISQMGYKSVAHYLIETRRVQASIEKNPDSIDQVSAKRSLSFPALEQPSEESSDVDDSKPIISKSQSGEDGLSIDKNENKEYLEPNSTARKSLLFSTSGTEFEKGESMEINLDVNGQLGFSELDSGKGSDDGFKCDHEKESHFTEKEPNGSSLTSFCCTKPEENHAFIIEPSTESVAPRLRQGLGEDHDSNDWIMGVNDRVLDVKQTVNHEVSGTTLVQEKEMHEANDNKGEDCIASESSPKPKKQPASATYQSPNSIAARMKQRRRQSKDQEEHELSVKESEVKKEMHEANDNKGKGYIASESSPKLKKQAVSATDQSPDSVAARMKQRRRQSKDQEEHELSVANESKSDSKVAENASSGKEPEVKKEMHNANDNIGEGYIASETSPKLKKRPASAMEHSPDSIAARMKQRRRQAKDH >OMO56764 pep supercontig:CCACVL1_1.0:contig14480:28436:35258:1 gene:CCACVL1_26273 transcript:OMO56764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQKEDVKDLDKSQKNHMQNKKLKKERGNPSSKAVPSRKKQPQVGNSGAATKQEILVSDESEEDSMEASEDSEDRHKESVSLKGKSKMKEDVCFKKKMPRRLDQKSTRESMEETAVDSKMEEATEPPGKPDSDLFEPSLPLF >OMO56769 pep supercontig:CCACVL1_1.0:contig14480:109081:114052:1 gene:CCACVL1_26278 transcript:OMO56769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHLLTTVRHRTIHPHRCYRSASYKHPKNPTPPAPPKPPKAPQKPQSFTFHDVTWEDPYSWMSSLQDKVAMRHMDIYMEQEEKYTEAVMSDTERLQTKLQSEMASRLNFDLSTPPLRWGPWLYYRRVEEGKQYPVLCRRLVSLNEEFISNKSPGAGFDFNSGKRIEQKLIDYNQEAERFGGYAYEELSEISPDHKFLAYTMYDKDNDFFKLSVRNLNSGALCSKPHASHVSNLAWVKDGHALLYVITNENKRPYRIYCSMIGSTDEDVLLHEEQDENVYVNIRHTKDFHFVTVNTFSPTSSKVFLINAADPFSGLKLVWESEGIVHCILEHHQGYLYLFTDAAKDGQMVDGHYLLRCPVNSPFNPKTWENVFLDDQDLIIEDVDFSNSHLVLITRKGRDFGICSVALPLPVGKRAVHLRELQPNFLPLPKHVCKISPGPNYDYYSTIMRFTISSPVMPDAVVDYDLSNGKWNIIQQQNMLHERTRILYGTALSSSIAEKSTNVKLSAMNDVKSEDDNLWNDLSEFYACEHYDVSSHDGAMVPLTIVYSRKNRKVNQSPGVLHGHGAYGEVLDKRWQSELKSLLDRGWVVGYADVRGGGGGGRKWHHDGRRTKKENSIRDYISCAKYLVEKEIVQENKLAGWGYSAGGLLVASAINFCPELFRAAVLKVPFLDATNTLLYPILPLTAVDYEDFGYPGDIEDFHAIRKYSPYDNIQKDVLYPAVLVSSSFNTRFGVWEAAKWVARVREQTIYDPKHPILLNLMTDIVEENRYLQCKESALETAFLVKAMES >OMO56767 pep supercontig:CCACVL1_1.0:contig14480:67269:67454:-1 gene:CCACVL1_26276 transcript:OMO56767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKVWKTAVVKFNVDRVPTGHCGYALGLSSGNKVSVPLKSEYLLLKLLIRRFLVADDNNK >OMO56784 pep supercontig:CCACVL1_1.0:contig14480:168876:170007:-1 gene:CCACVL1_26299 transcript:OMO56784 gene_biotype:protein_coding transcript_biotype:protein_coding description:polyneuridine-aldehyde esterase MSELKNQKHFILVHGACHGAWSWYKIKPRLESAGHRVTAINLTASGIDMRAIESVHTMYDYTKPLLDILASLPSGEKAILVGHSLGGLNLAVAMDKFPDKISVGVFLTAFMPDTAHQPSFVLEQYWKRTPAENWLDTRFEPYGNPEQSLLSMFFGPNFLTYKLYQLSPVEDLELGKRLIRPGSLFVSDLSKADKFSNDSYGRVPRVYVVCNEDEGIPEKFQRWMIENFEVNDVMEIKDADHMAMFSKPQELCDCLLEIAQKY >OMO56761 pep supercontig:CCACVL1_1.0:contig14480:6955:8119:1 gene:CCACVL1_26270 transcript:OMO56761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVFGRRTPRLYSCMDSSVTIAAVAFRLISL >OMO56783 pep supercontig:CCACVL1_1.0:contig14480:167363:168360:-1 gene:CCACVL1_26298 transcript:OMO56783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKKQKHFVLVHGLCHGAWCWYKLKPRLESAGHRVTVLNLAASGINMKIIGQDVHTFHEYTKPLLAFLASLNEQAIIVGHSFGGMGLALAMELFPHKISVAIFLTAYMPDTIHQPSYVIDKSLEASSEEDWQDSQIVTMGRPSEPLIVTTFGPKLLASNFYQLSPVEDLELAKTLVRPGSIFQHDLSKAKNFSEEGYGSVTRVFVVCDEEMAMNLEFQRWMIQNNPPKDVMEIKGADHMAMLCKTKQVCDSILIRDCK >OMO56763 pep supercontig:CCACVL1_1.0:contig14480:23812:26767:-1 gene:CCACVL1_26272 transcript:OMO56763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTLYYTPSNSYQFPLPWKSLRNPVSRAPKFPSKILTLTDSLRTQITYELEGGTNDGAAPSKPAQLPVLIQRHGKVSRYFWDGNRIQLLGVGGGGGAATSFGFNFDKAVEASILAVRNFFIPKQVSGNYIGYVKWKLLHRVFSSALQVLATQAMFRAIGIGYSRAFPSAAALNWVLKDGLGRLSRCIYTASLASAFDTNLKRVRFTTSLLFTLSIGVELLTPVFPQHFLLLASLANIAKQMSLACYIATSSAIHRSFAIADNLAEVSAKSQIQSVCFDNLGLMFAAALNMLLKNNQRLQACLPFIVYPFFSAIDLFGIYQGLKHVHLQTLSKERLEIILDTWIANRYVLSPEAVSKQEEINFMWSKGKEPWRIRIGCLNPKGQLPKLSMMAMQSVGHEDYYFICMEIFCRRLTRTREQGILLCLREGAQTADVTMGLLQACYVRKALNSTRWESMMSSDSSDSILEEWLKLIEDSKRYVKQEFDPLNEQLAAHGWTVKNILLNTEEQARYSYIND >OMO56774 pep supercontig:CCACVL1_1.0:contig14480:142093:142839:1 gene:CCACVL1_26287 transcript:OMO56774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MPSDSGDSNRRLTKALNSGAPPPEQEQLPCPRCDSTNTKFCYYNNYNFSQPRHFCKSCRRYWTHGGTLRDIPVGGGTRKNAKRSRTATSIATSHATIMSATNAATTTTTSHHHNYPLPATPVLLPLSANQGSFGIGGESKGNGGGNLCGSFTSLLNTQGPAGFLALGGFGLGIGPGLEDVGFGLGRGMWPFSGMGDGAAATVGGGHGGAATGMGNSWQFESGETGFVGGGDCFSWPDLAISTPGNGLK >OMO56777 pep supercontig:CCACVL1_1.0:contig14480:152592:155710:1 gene:CCACVL1_26292 transcript:OMO56777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPSVSDSGLSRLCCLINDSLHPFTESEKVSLSKEEEKTLLLILSQVSNEIHRLIETTSTSSPLNPFLEDNQILSKAISHLMGLLTLESRFVQHLAGNVVVNLSEYIASSGKSWELLVHSLCICFEFSISNISSCSFEPSIPKFGGSDSDLSSPVVLFKPRLQNASLFTVAGITRILRNILKFLKEECDDDLVQVFFNSIHFCILNLPWDSMDDIFGGNGGEEDEMRILFLGNFLQFLCSLVEQFSFVEGLDDCLDKHVILSKIVNLVPKLLLWCLGKKGECVNTCISRYFRHKLLVLMIRLSFQTLDYSVLISWLQLLHDYFQELLCQPLTEVQGEDDCLEDSPFVITISDEDMLSMHSCHLQRQAIFLFLRCTFSLINLRKDNGMDCATLKSGLGFDTISDLSCYGRKKGLLELYTWLSKHLPLDMLVDHETYMKKCINFSISFLKLYVNEDDVLFKLLLQLLSVQALEEQQFPEERLASQDVTKDVLIHVSNIFNPIHLFHLFLAELHYDHQVLLDYLISKDTGMSFAEYLLRCLRIVCDSWHIFTEFSVYEEVENQSSEKRRKLLSGSSKIRPSLGSEEIVPLLLEKKLTGDVEYRTGKQAYKLAKECLLSLKSSVENLHLKNLFPYNPGVLLKRLTRFEEVCIKR >OMO56776 pep supercontig:CCACVL1_1.0:contig14480:150129:152084:-1 gene:CCACVL1_26291 transcript:OMO56776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSRCNSLSDAKKVFDKTPQRNVVSYNALISAYSRASDHASLALSLISQMGFECLRPNGATFTSLLQAASLLEDRFIGSLVHALVVKSGFLDNVCVQTSLLGMYSNCGDLQSADEVFGYIDGKDVVAWNSIIFGKLKNCKIKEGMALFLDMVKSGVNPTPFTYSMVLNACGKVKDYGCGKVIHARVVVSDIILDLPLQNALLDMYCTCGDVEMGLKVFNMIQDPDLVSWNSMIAGLAEHGDGKKAIELFVELKGMSFAKPDEYTFAAVISATSALLATDYGQPLHAQIVRTGLESSVYVGTALVSMYFRNGDCDSAQMVFSLISNKDVVLWTEMIMGYSRMADGEAAITLFVEMCQVGHKIDSFVLSGALSACADLAMLKQGEMIHSLAIRTGYDVEMSVCGSLIDMYAKNGNLQAAESIFSSVSNPDLKCWNAMLGAYSLHGMAEEALKLFEGILEHELKPDQVTFLSLLSACSHSGLVERGKFLWNFMKENDCTAGSKHYSCMVSLLCRAGLMEEAEKIIIESPYTEEHPELWRTLLSSCVTYRNLEKGVHAAEQVLLLNPRDSGTHVLLSNLYAATERWEGVAKMRRKIRELTLEKDPGLSWIEDKSSIHVFSSGDQMHPQIDNTQVELHRLQGNMIRSVADEFDPSI >OMO56782 pep supercontig:CCACVL1_1.0:contig14480:166818:166907:-1 gene:CCACVL1_26297 transcript:OMO56782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADWLAQQAKEVMDSDEWAERPTSLGMS >OMO56779 pep supercontig:CCACVL1_1.0:contig14480:158470:159474:1 gene:CCACVL1_26294 transcript:OMO56779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKDEIQSHFVLVHGAFHGAWCWFKVATLLKEAGHKVTALDLAASGVHPKQLHEIHSTSDYVEPLMEFMASLPDNQRVILVGHSMGGLSIAEAMERFPHKISVATFATAIMPGPDSTPLEELKGLSAKMDGQYTFSNGPDNPPTSFLPSRHYLSSYLYHLSPPEDLMLATFLIRPVALNAIGMSSQKLSKEKYG >OMO56775 pep supercontig:CCACVL1_1.0:contig14480:146290:149378:1 gene:CCACVL1_26290 transcript:OMO56775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFSTPQPQQPQPLFQPQQQQQPFQQSSPFFQTQQQPQQPQLQFPQQQQPFQQQQQPFQQQQQLQQQQQQQLFLFTNDQTPANYSTKWADLHPDSQKILLQIEERILEYRDESQRLDQCSRLYDSSVSNEGFELDASHIVQELGGISTAMERQKALLQELMANVKDMLRNTEFAVRSFMMLRPRFLHSNTGGASNATAPSQAPGATTAPGSSGQPAASSIVPVFDFYHGLPKKPSPFLQHTIARFEKYLGECRQWIEELEQLLLLNSDRNSINHASALLQSLPKVMSNVHDFFVHVAAKVESIHQYIESMKTAYLADQRRRGDINDPFLEADRRETAKQEAAAKRVHPTLHLPANSQPSTQVAGLFASSATPAAASAPQLTAATSSASSGGGLFSTPFSTPASSMSSSLFATPTSGSSIQTSLFSSSSGSLLGSASTPSLFSSTTPAFGSTASAGASLFSTPFASGPATGSGASFGAPSKSSRPKSRTARR >OMO56765 pep supercontig:CCACVL1_1.0:contig14480:35960:42442:-1 gene:CCACVL1_26274 transcript:OMO56765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREESLEIDTESNLPMNEGETLDDFWLAEEIQEDLNVQQIKLRKEREESLEIDAESNPVHKFPVPMKEGEILDDFWMCEKIQAIPLTTSADAGVSDSYSPSPF >OMO56781 pep supercontig:CCACVL1_1.0:contig14480:163851:164892:-1 gene:CCACVL1_26296 transcript:OMO56781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKKNQTKHFVLVHGLCHGAWCWYKLKPQLESAGHKVTVLDLAASGINMKIFGHDVPTFHEYTRPLLGFLASLNEPAILVGHSFGGMSLALAMDLFPHKISVAVFLTAFMPDTIHPPSYVLDKHLAEAKEEYWQDSQTVNIGNSEESVTLTTFGPKFLASNLYQLSPVEDLELAKTLVRPGSLFHQELSKAKNFSDEGYGSVTRVYVVCDEDKAIKLEVQRWMIRNNPPKDVLEIKGADHMAMLSKTKQVCDSLLEIANKYAN >OMO56778 pep supercontig:CCACVL1_1.0:contig14480:156776:157731:1 gene:CCACVL1_26293 transcript:OMO56778 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Polyneuridine-aldehyde esterase precursor MVKKERHFVLVHGACHGAWCWYKVAARLKSIGHKVTAIDMAGSGIHPKQVHEILSMSDYLEPLMEFMASLPSEERVILVGHSRGGLCISAAMERFPDKVSVGVFATAFMFGPNLTSETVIQQFNGGLDSEGYMDTQYGFHNGLDKPTSSFLFGPNLLASKLYQLSPPEDLTLALSLIRHVGVFKDEESNKAMGVTKEKYGSVGRVYIVCNEDKILTEEFQRWMIANNPPDEVKLIPDSDHMPMFSKPYELCSCLEEIAEKYH >OMO56772 pep supercontig:CCACVL1_1.0:contig14480:131054:134647:-1 gene:CCACVL1_26283 transcript:OMO56772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTMISETQAAEASPISSDHQFHVQPNNENITQTPKMSLYELSREERIKENLQRMQQLGLKDLSNSLLKSSSQTQRCGSGRPKGSKSTATPSPPISPPSGAVRRSSRLFRLQNATPVSYSEVVFTKKDELLVDVDLELKGTKVYKRGGRIYDPVNGKTCHQCRQKTLEDHTHCSKCNKVQGQFCRACLYTRYGEHVPEANENPNWVCPVCRGICNCSLCRKAKGWAPTGYLYKKISQMGFKSVAHYLIQTQRVQTNIEKNPDSINQVSAKRSLSFPALELPSQGSSDVDDSQPVISKSLSGEDGLNIEKKENDYLEPNPTARKSLLFSTSGAEFEKGESMKINLDVHGQLGFSDLDSGKERDDGLKCDHEKESHFTEKESNGNSLGLACCTKPEENHAFIIEPNTESIAARLRQGHGEDQNSNDWMEGVNDKVLDVKQTVNHEVSGTTLVQEKEMHGANDNKGEGYIASESRPKLKKQPASAMDQSPDSIAARMKQRRRQSKDQEEHGLSVANESKSDSKVAENASSGIESEVKKEMHEANDNTGEGYIASKSSPKLKKQAVSATDQSPDSVAARMKQRRRQSKDQEEHELSVKESEVKKEMHEANDNKGEGYIASESSPKLKKQDASAMDQTPDSVAARMKQRRRQSKDREEHELSVAYESKSDSKVAENALSGKEPEVKKEMHNANDNKGEGYIASETSPKLKKRLASAMEHSPDSIAARMKQRRRQAKDN >OMO56780 pep supercontig:CCACVL1_1.0:contig14480:160478:163302:-1 gene:CCACVL1_26295 transcript:OMO56780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARRMFTALLRPQVPRSYASTVIPRTTLIPPLSSAAILIPRPSAAIPRPFPHHFLSLSRFSTSAPPSQDEEHSDQLPPLHTSQQDGRASVNGETCYGL >OMO56786 pep supercontig:CCACVL1_1.0:contig14480:174511:175445:-1 gene:CCACVL1_26301 transcript:OMO56786 gene_biotype:protein_coding transcript_biotype:protein_coding description:polyneuridine-aldehyde esterase-like protein MKHFVLVHGMCHGAWCWYKVVTLLKSAGHRVTAVNLGASGINPKKLDELNSIADYAQPLMELMESLPQDEKVILVGHSFGGISISLAMESYPNKVIAGVYLTAFMPNHDSPPVTLLEEFFKSIQEESIMDSELSFDDGPQSPPTTILFGPNHSAAKIYQLSPKSDLELAITLLRKGKWFMKDLGKESLLTKEKFGCVKRVYIVCNDDLIIKESLQKWFIENSPTEDVKVIEGADHMAMFCKPKELCQCLQEVAEKYN >OMO56785 pep supercontig:CCACVL1_1.0:contig14480:172711:172854:-1 gene:CCACVL1_26300 transcript:OMO56785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPNDWAQFYHQQQNLSGGQEVSNRSILFGDQGSADATPLAQARST >OMO56768 pep supercontig:CCACVL1_1.0:contig14480:88805:88933:-1 gene:CCACVL1_26277 transcript:OMO56768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTLGTSEFVTILGSSVHKDPQIQRDESVFRTGLFAWPGTV >OMO56787 pep supercontig:CCACVL1_1.0:contig14480:188890:190520:-1 gene:CCACVL1_26302 transcript:OMO56787 gene_biotype:protein_coding transcript_biotype:protein_coding description:polyneuridine-aldehyde esterase-like protein MCHGAWCWYKVVTLLKLAGHRVTPVDLGASGINPKKLDELNSMADYAQPLMEIMASLPQNVGHSFGGISISLAMESYPNKVLAGVYLTAFMPNHDSPPGTRVREFFKGVQAEPSMDFQQTFDDGPESPPTTALFGPNYSAAKVYQLSPKEDLELAMTLLRKGKWFMKDLAKESLLTKEKFGCVKRVYIVCKDDLLIKESLQKWYIENSPTEDIMVIEGADHMPMFSKPKELCQCLQEVAEKYN >OMO56766 pep supercontig:CCACVL1_1.0:contig14480:45632:46846:-1 gene:CCACVL1_26275 transcript:OMO56766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINSSTRNDRAHLDAMIDATSRELEALIAERNSKAKAREVEAPKE >OMO56762 pep supercontig:CCACVL1_1.0:contig14480:18754:23016:1 gene:CCACVL1_26271 transcript:OMO56762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanine nucleotide binding protein (G-protein), alpha subunit MPPEEGTTFPSLEDEGHQQYSFAVEYTGPPLPYDLPRAVPINVEKIPVAAVVSKVPLANTELHIPVVPPILAPDRNKFSKELLIQQTVSPTSVIAFEERVSEDTNNCLLSGELSSYESGELAELINNSNNNGSSRRLGACSVSNGHSSTLDYCDSFDKSRGSSSRVRGSNDDDLNQPDWGSSESVLSLDYPSSRVSSLKTGDCNNESNGDVRRPQVVTFLDIESDDGIDEEFSQEEVQPQVVRVKREPQTKGKKGSCYRCFKGTRFTEKEVCIVCDAKYCSNCVLRAMGSMPEGRKCVTCIGFPIDESKRGNLGKCSRMLKRLLNDLEVRQIMKAEKLCEANQLPPEYICVNGQPLCHEELAILQSCPNPPKKLKPGNYWYDKVSGLWGEEGQKPSKVISPHLNVGGPIRPDASNGNTQVFINGREITKVELRMLQLAGVQVAGNPHFWVNEDGSYQEEGQKNTKGYIWGKAGTKLVCAVLSLPVPSKSSNPSGEQLNSMSSRSVPDYFEQRTLQKILLVGSTGSGSSTIFKQAKILYKDVPFSEDERENIKLTIQTNVYGYLGILLEGRERFEEESLAEMRRKCSKESDSKGSSDDSDGKTIYSIGPRLKAFSDWLLKTMVSGNLEAIFPAATREYAPLVEELWRDAAIQATYNRRSELEMLPSVASYYLERAVEILRMDYEPSDLDILYAEGVTSSNGLACVDFSFPLSSHDENIDAADQHDSLLRYQLIRVQARGLGENCKWLEMFEDVGMVIFSVALSDYDQFSADGTNKMLLSRKFFESIVTHPTFDQMDFLLILNKYDLFEEKIERVPLSQCEWFDDFHPMVSHHRSNTNSNSINHNPTLGQLGFHYIAVKYKRLYSSLTGRKLYVTNVKGLEPNSVDAALKFAREILKWDDERANFSLSEHSFYSTEASSFSH >OMO56770 pep supercontig:CCACVL1_1.0:contig14480:115883:118681:-1 gene:CCACVL1_26279 transcript:OMO56770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSLWKPISHCAALILDKKSRRRDGSEAAAEIKKNPSMLRKIHENKLREALEEASEDGSLFKSQDMEPEANQDESLGRSRSLARLHAQREFLRATALAAERIFESEDAIPDVHEAFNKFLTMYPKYQSSEKVDQLRSDEYAHLSPKVCLDYCGFGLFSYVQTLHYWESSTFSLSEITANLSNHALYGGAEKGTVEYDIKSRIMDYLNIPEHEYGLVFTVSRGSAFKLLADSYPFHTNKKLLTMFDYESQSVTWMSQSAREKGAKVYSAWFKWPTLKLCSTDLRKQISNKKKRKKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMGCLQNQSGSTGSGMVKITPEYPLYLSDSVDGLDGLGGIEDNEVGTNGDKPSENRPGTQLPAFSGAFTSAQVRDVFESELDQDNSSDRDGASTIFEETESISVGEVMKSPVFSEDESSDNSLWIDLGQSPLGSDSAGQLNKHKIASPLPPIWFSGRKNNKRSPKPTSKIYGSPIYDDKDVNLGHDDHHVLSFDAAVLSVSQDLDRVREIPEEEQFAGGNITSWNHKKASDHSHVHEIQEEQGTSKQLSSVSSSAMNGARLNNGLANGSTSEMSSEIKESAIRRETEGEFRLLGRRDGSRYNGGRFFGVEDEHPSRGRRVSFSMEDVRKERLSHTLEPGEVSAISPDDEDYTSDGEYGDGQDWDRREPEIILRHLDHVNMLGLNKTTLRLRFLINWLVTSLLQLKLPSSDGDGRVNLVQIYGPKIKYERGAAVAFNVRDRNRGLITPEMVQKLAEREGISLGLGYLSHIRILDSPRQQRGASNLEDTTLCRPMENGRHDGKSGYIRVEVVTASLGFLTNFEDVYKLWAFVAKFLNPSFIREGTLPTVAEEESET >OMO56773 pep supercontig:CCACVL1_1.0:contig14480:135920:140875:1 gene:CCACVL1_26285 transcript:OMO56773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRVKYWSVALVNRLGLDIQIDYYNITRKQFDKLLGPSKAKDYISKRSIFSVTIGANDFLNNYLLPVLSIGARISESPDAFIEDMISHLRNQLTKCKQWSEKSGKRLEEEEGRGRGGTEEESDADMTRPKSEGRPNNCTPRCPTLMILSLLSHLHQSLSISILFFPAIYLLL >OMO82175 pep supercontig:CCACVL1_1.0:contig10065:310:369:1 gene:CCACVL1_12043 transcript:OMO82175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEQIQPKQYQAAAQMVSKG >OMO82176 pep supercontig:CCACVL1_1.0:contig10065:727:1116:-1 gene:CCACVL1_12044 transcript:OMO82176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSAASIKDETSSKHVEISNKKKTQSSVAEMEEEEEEEEDLFEINLEAVNSIPPPHYWEGFYTATSSALLANCLLPISDLSTAIPSTVCGTSSREGMAASVFMVSESFPGKIFGIPFWGTTQGNERA >OMO82179 pep supercontig:CCACVL1_1.0:contig10065:11646:14325:1 gene:CCACVL1_12047 transcript:OMO82179 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protease U48 caax prenyl protease rce1 MEESGGSLTKPVAVIYCIAMALFYVAILYAPTLILRLPPPQSFNSFMIRRFICAAVSSVASVIFIALILPIRSMEASYLFGVYGIRSDHLWQAVVFPLFLTSLMYAGSMMFKSMSLVNRWKEHRNQAHLNHMREVYSRHNYSLLKASMVIGLQLGYTVIFGSYASFLFIRTGNIVAPLVAHAFCNYMGLPVLFVQRKEQIIADVGMGIVYGVKLEINGRNVVRVD >OMO82177 pep supercontig:CCACVL1_1.0:contig10065:4495:5764:-1 gene:CCACVL1_12045 transcript:OMO82177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKEFKVPPVIFSSGGGVNPTAGNLNQRHVPTAPFQPSRPQSSSSAIPFMSFDIGSAASSSGAFGGTISSSGVSGSASFDDEEPLLDELGIHPDQIWHKTKSILNPFRVNSTVHKDSDLSGPIFLYLALCLFQLLAGKIQFGVILGWIVVSSIFLYIVFNMLAGRNGNLDLHTCTSVIGYCMLPVVILSAASLFIPQGTNIIRYAMAGGFVLWATRACTNLMVALADGVSLDTYVLFVRGGVAYETFVVIIP >OMO82178 pep supercontig:CCACVL1_1.0:contig10065:10744:10857:-1 gene:CCACVL1_12046 transcript:OMO82178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGTVLYGTIGSCEEADKMVTCLATSGHSNMEDSAS >OMO82180 pep supercontig:CCACVL1_1.0:contig10065:18295:19957:-1 gene:CCACVL1_12048 transcript:OMO82180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPRPKLTKKGALQRVWKSIIFCFIMERLNSNLYLQNCYIMKENERLRKKAQLLNQENQALLSELKQKLSKANSKGKGGNSGNAIPDLNLTSTSNPNPSNSSKP >OMO79392 pep supercontig:CCACVL1_1.0:contig10426:13311:15089:-1 gene:CCACVL1_13699 transcript:OMO79392 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing protein MNAAMEELGRNMVKRCAGLPLAIVVLGGILATKQSIREWEMVHANVESYLSRGAGRGVADVLAFSYDNLPPHLRLCFLCLSNFPEDYVIPADKLIHFWVGEGIFSSVQRDDGQSPEEAAQICLRELVERYMIQVKDRDANMEIKTCYMNRFMRELCISKAKREKFILIIDGSNTNQDGEIISTSFGVSGAPRLAIHEYVVMQRIKNPHQVRSLLFFNVIFPEHLITTSLRQSAEIYLKGDESCIAVLLLVCRVMRKYQRILRYLFNNFKKLRLLDFEGADIYIEGDLLSGIGKLTYLRYLSLKGITCVSNLPSSLGKLRLLQILDLRVNEEFYVHVPNVLHLLDQLRHLYLPKRCSKRTKLKLGTLEDLQRLVNFNTKNCYVKDLHSMKELRELSISRHLILHEDASISEHLKSLSIWSPEPIDPKHLTQLLLDCVNVSELRVTVEIRKLPEHFSESLSLLYLTGSELDEDPMPTLEKLPNLKLLELKKAFTRREIWCSAQGFPQLESLMLIANYNLEEWNMDEGAMQKLHHLEIVDCRRMKTLPVGLIYIPTLQEVKIEKMPKAFKDGLVEEGEDFYKVKHISSVIFQNCS >OMO79396 pep supercontig:CCACVL1_1.0:contig10426:76873:77586:-1 gene:CCACVL1_13703 transcript:OMO79396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEELLGCDALPSSLVLEILSNLPVKALLRFRCVCKSWKDLINFENFINWHLDYSCRRNKLGILMNRYYRYPVRRRRARKKTKLTSFSIISNNRFFSSGLSDLNYVFDKLGDISTSRSQCLAHCDGIFFASDSEDNNALFNLATRETIILPKSNKSHGPSGFGYDLKSHKYKALRIGTPYQCNNINYPSSVQVYTMGAYCWRHVNHLQSNVMERFFRGVASMRVYTSMEQSLARSE >OMO79393 pep supercontig:CCACVL1_1.0:contig10426:16560:18363:1 gene:CCACVL1_13700 transcript:OMO79393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDRSRVDGERSQARVDRDRDSFSCQSSRQPTITDRADMVGGVRFQ >OMO79395 pep supercontig:CCACVL1_1.0:contig10426:36763:52255:1 gene:CCACVL1_13702 transcript:OMO79395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGSGTVRVIDIRRLARSRDQISADYVVDPNGASTSTANATQGQQIQLQNQSTTIADGGQDLADLNGREIMVETISGRLLNVNDTVGYYDPLQYPLLFPCGTYGWDINSHDEYGNKLQCWQFYSYMLQIRPENPSLFLLVGRLMQQYVVDNFVKIEASRLRWYVNHQEEIRADCYQGLADSFIAGENSTTRLLPGQSPQDRPDLLTRIFHSKFEEFKVDIVTKGVLGRVVAYVYVIEFQKRGLPHAHMLVVVDEDSKLNTPDEYDRVVRAEIPNVEEEPQLFRAVTKHMIHGPCRSLNQHAQCMKDGKCNKNFPKPFAPVTIQGNDCYPIYRRRDVGAVQIGQRGNTYLDNSWVVPYNPWLLLKYDCHINLEIYSSVKCVKYLYKYVYKGPDRVAMDLRSASEHDEVQQFIDARWVCAPEALWRIFKFVLNRMYPSVERLQIHLPNMHQVRFNQNSTIADVLEDERNSKTMLIEYFHMNRVDPVARQYLYREFPEHYRWISSQRKWTKKEIVSEGYQKDLRTVNGIVYPTFKQAAERRGLLENDDSIRQCLLEAATFRMPSALRRLFVTLLVYCQASGERRLWEEFYPYMVEDYPSSSNNRNVHLKNRLLQDLNSLFIYHGKRLTNYDLPRISGNISYRNDMPKIIEDELSVHTPPEDLVAVQKLNTDQQHAYDKIISVVNQGKGGFFFIDGPGGTGKTFLYRTILATIRNEGLIVLATATSGIAATLLPGGRTTHSRFKLPLTPEASSVCFIDKQSDLAELIKRAAVIIWDEASMAHRRAFETLDRSLKDIMGNDTPFGGKAIVFGGDFRQTLPVGDGKEPVINNDMIQVPSLMAMPWEGDQSVDHLIESVFPNLNSHSHDRDYMVQRAILTLRNDEVDRLNEKIIKKFDGMEQIYYSIDSVEDDPHNLYQQEFLNGLSPNGLPPHVLTLKVGAPIMLLRNIDPKVGLCNGTRLICRGFLENVIDAQVMTDHFAGTRVFLHRIPMQPTINANLPFTMTRKQFPIRLSFALTINKSQGQTIPNVGIYLPNHVFSHGQLYVALSRGTSQSTTKVFFRVDAYFTSQKDQVNSQIHSVIDMQEDGIGIQTTLQPDNSVKQFRIMSFGWSKIVWADKTQLWNMVKREILAQKAEWNANVAKFEYDVTAEGRNYRRNQKLMPWFHKIPPYERYKNHIKEEIYIWKIELVDMCAQCITCKVTYSGKKFFLSAVYGANFENERKDLWNHLTHTAGIIGHEAWLVAGDFNIISNVEESSDYNVVENSWTQPISGRDPIIKLFCKLKRFKIVLRRFNKEKFGELSAKVCMKREEVAQLQVSILQSSSPQQSYILKTKELELKDFLAAEESFFKQKSRVQWVKEGDQNTKFFHKIVVVKKKSNTIKMLKSAADNTLNTFEQISDEAISFFKSLLGKKDNDVNGCSIEILAEILQDTVPEELKQALIDPVMPAEIQKTIFSMSGDKAPGPDGFNAHFFQTAWSLVKHDVIEAILYFFQTEIIYSSLVITQRSFGGKFFKLVDYIGLLAVGRLRGDLDALYSLIQADADVLGRIDQMKFVDTPLHIAAGAGNTDFAMEMMKLNPSFARILNPGGFSPIHLALQNQQNELVIDLLSVDKDLVRVKGREGYTPLHVVARDGNIPPLSQFLNGCPDSIFDLTIRKETALHIAAQNNRLEAFQAILKRIKKSLKYNLFQRKRILNSQDKDGNTVLHIAAMNDQPEVTFHFTFINLPK >OMO79397 pep supercontig:CCACVL1_1.0:contig10426:80696:82360:-1 gene:CCACVL1_13704 transcript:OMO79397 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing protein MEEIGKEMLEYCEGIPLVIGLLGGVLAKKRSVAGWKMVKEKLISYLESEKYKYDLPSKVLGFCYEDLPYYLKPCFLYLGKFPEGYEISAVKLIQLWITEGFVHNEEPEVVIEDVAESYLIELAERRMIQVGGYDARFKIKTCYAISMISWENCACPKPTKQISSAVSLMTCMGTEEPPYMTTLISDALEHLVSGPCLFFSEMFPEELIEEFLRQSAESYLRQNKAWVSTLWLLSRVMREIQRRLRYAFKNFKLLRVLEFEEANLYIQGRFLKDIGNLIHLRFLSLKNATCVTKLPSSLGNLGWLQTLDLRMNEEFPVHIPDVIWRLERLRHLYLPQRCSSKTKLKLTSLTKLHLLVNFNTRNCYAADLRSLTNLRELTISRYFEFEVQEDDQVVNLRLDQLRSLFFVGGSERIMDPKHITRLLSHCENIIELGLTVEIGKLPDFFPPSITNLYLRDSKLNADPMPALEKLPDLKVLEIQNAFHGNRMSCSAQGFSQLESLKLIALPNLQKLSKEEGAMPKLRSLEFVNCTSLNTDIPIAAAAFSIASRLSQLPI >OMO79391 pep supercontig:CCACVL1_1.0:contig10426:4616:6775:-1 gene:CCACVL1_13698 transcript:OMO79391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVEKGMKVFNEWEVRALTLISFSLQVILIAFGSKRKHTRSLWIMSLVWSAYMLADWVATLALGTLARKQHNNLLESFWVPFLLLHLGGPDTITAYSLEDNELWLRHFLSLVFQVGVAIYVFFRSLGTTGLSLIAVPVFVAATLKCGERTWVLWSSSSTQFRKHSKGEHLMETKNDDSENTLLQVDFFFTRLKVLFAGMKLHIDEGVRCYSQMMNKIERPEELAFRLVELELGLLYDVLYTKATMIYTFFGVFVRCFSMLASVSALVVFPNMMKRHSIAEHCSLNNVVVTYTLLCGAVFLELYALAMLVTSDWTKLWMVRHRRQKLHSNTIIKGKRWSGFIARYNFMSSCLKQGVRTRIQELLPCINEIFDDENVTWHQGIDEQLQRLIFQQVKEKVIRHMDNLLDAKLYKKLLNHRGDYVLEGISCLENLKWSTIKVEFDHSLILWHIATDLCYYDDIKKLGASNDCNALNRYSEISRQLSDYMLYLLIKCPNILPKGNGDIIYTEACQQAIGFFKSKKVGDIEIACEELLKLESSTRPAAEATMDIPIDEESAPKSILFDACRLAAQLQKLEALGEENWGWQKKWETINKVWVELLTYAAARCDWKQHAQQLTSGGELLTHVCVLMVNFGLSEQYQHRLPVSLFDRWIPIV >OMO79394 pep supercontig:CCACVL1_1.0:contig10426:28798:36516:-1 gene:CCACVL1_13701 transcript:OMO79394 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box protein MQLAFLQDRPLVVKTSLILFFFAVESNSCVRKSWKDLINSENFIKWHLDYSRRRNKLGILMKRYYGYGSYGHCSFSIISNNRFFSTGIDDVNHVFDNLDISSERLAHCDGLIILGILKLLEAVILEVEGVEDEGMDKGLGREEKSEGEGGEGVGGFEIERESSKWDLALRIKEWIRALGPKAKRG >OMP00021 pep supercontig:CCACVL1_1.0:contig06741:2884:10892:-1 gene:CCACVL1_03496 transcript:OMP00021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSIKRKQGTKKRREDNEDFGKKGFGPRCTAGMGGQGFTISKEAAANYEASEDLEFNKSIRSHDVSN >OMO74415 pep supercontig:CCACVL1_1.0:contig11131:90789:91262:-1 gene:CCACVL1_16740 transcript:OMO74415 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MSQATGKCQKIRHIVRIRQMLKQWRRKARITASNSYNKHAPSDVPAGHVAVCVGSSLRRYIVRATYLNHPIFKKLLVQTEEEYGFNNVGPLTIPCDESLFEEILRVVSSSSNSVRFSTFEDLQRCCHVGMKNKLGFISESRPLLHGVGVGAENSSVY >OMO74410 pep supercontig:CCACVL1_1.0:contig11131:33717:33830:1 gene:CCACVL1_16735 transcript:OMO74410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSSLLPRITAPRQWWNGTSAAGHGRHSTFMLKEGL >OMO74413 pep supercontig:CCACVL1_1.0:contig11131:68878:71752:1 gene:CCACVL1_16738 transcript:OMO74413 gene_biotype:protein_coding transcript_biotype:protein_coding description:YEATS family protein MLNSSKRGPDQPEISGPAAKTQRTKMGKPEDSEKKKKLKDVEISVPIVYGNAAFWLGKKASEYQSHKWTVYVRGATNEDLGVVVKRAVFQLHSSFNNPTRVVESAPFELSESGWGEFEIAITLYFHSDVCEKPLNLYHHLKLYPEDESGPMSTKKPVVVELYDEIVLTEPSESFLARVQNHPAVSFPRLPAGFTLPPPGPFEDENKSKRGDTKDHPLSQWFLNFSEADELLQLAAARQQVQAHIAKLRRQINVIDGQNQQFKPSSDQ >OMO74424 pep supercontig:CCACVL1_1.0:contig11131:133468:135254:-1 gene:CCACVL1_16749 transcript:OMO74424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MAAITLNNGFKMPKMGLGVWRIDRKDVRDIIINSIKLGYRHFDCAGDGGNPLDEDGVLDIDTTISLETTWHAMEDLVSKGLVRSIGISNYDVFLTRDCLAYSKVKPAVNQIETHPYFQRDSLVKSCQKHGICVTAHTPLGGAAANTELFGTVSCLDDPLLQGIAEKYKKTAAQIALRWGIQRDTVVIPKTSRLERLQENFEVFNFELTKEDMDLIRTIDRKYRTNQTCQVLGH >OMO74407 pep supercontig:CCACVL1_1.0:contig11131:2703:7966:-1 gene:CCACVL1_16732 transcript:OMO74407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTKQSFYYAFLNANMSNNAGRRSFPKDMTLKVAASEYSRMVRPDQYLSDNKRKGVSVDLRNNERPESYVKYYGTSFRANAFKFASISIPSSELSWENGTRKMKEEKEVAKVRFFPMSILVESQGIQASADSKLISSTASNKTEVSINGLLGGTFLYDNRDTGHMDPNVTRVDQVNGNRIASTEETYVVTPEEDMRRQLVKIYDQVFVVDNVSDARKVVKMLTTRYSHLVHACDTEVSKIDVKKETPVDHGEIACFSIYSGEEADFGNGKSCIWVDVLDGGGMELMKEFEPFFKDQSIKKVWHNYSFNNHVIENYGLEVSGFHADTMHMARLWDSSRRTAGGYSLEALTNDKNVMSWTRWRKEENELIGKISMKTIFGKRNVKKDGSEGKMINIAPVEELQREERMLWISYSAFDAISTLKLYESLKSKLSSMAWVFDGKPVSGKCMYDFYGEYWQPFGELLVNMEREGMLVDRMYLAQLEKVAKAEQEGAVNRFRTWASRYCEDAKYMNVGSDSQLRQLLYGGIANSKDRNESLPCEKIFKVLNVDKVIEEGEKVPTKFRSIKLQSIGVQLPAEIYTATGWPSVSGDALKTLAGKVSAEYDFTDDTNGDDIDDSPEIMTDTDTSAYGTAFAAFWDEEKGKEACHAIASLCEVCSIDSLISNFVLPLQGSNLSGKSGRVHCSLNINTETGRLSARRPNLRNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLADCKSMLDAFKAGGDFHSTTAMNMYSHIREAVEKRQVLLEWHPQPGEEKPPVPLLKDAFASERRKAKMLNFSIAYGRTPVGLAKDWKVSVEEAKNTVNLWYKGRREVLEWQKQRTPFTLTHSCRCMLQGADVAMCAMLQISKNERLKELGWRLLLQVHDEVILEGPSESAEVAKAIVVDCMSKPFEGGKNFLKVDLSLLTPSVLKTGTPPNR >OMO74420 pep supercontig:CCACVL1_1.0:contig11131:110670:114614:-1 gene:CCACVL1_16745 transcript:OMO74420 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-dihydrouridine synthase MAESPKDIQVVTEAEIEAVPPPKQPSNSNGATHQTPEELVARAIAPVKREFLRPPPPTRTNQNDGASDADSKANAKQSESSLVKEKKSKRQLKRERRQEQKSALHLCAEIAKTGDVNACPYKDKCRFSHDLQAFMDQKPADLEGECPFISADAPCPYGLACRFAGTHKDVASATTGNMLKQSSEVNGLSKDVQKLLWKNKMRFTKADAVLKSLGLMGPNKKKMLVDKEEGEVVLNDSHAAAGETESKNAANDSVDCSECPLTAEDNLEEASATEELRPLKKAKSVVDENCCSDEVENGATVLEKDIGKDSAETELLHTADDGLAEPDRSLKIHPREKKLIDFREKLYLAPLTTVGNLPFRRVCKILGADVTCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAYPDTVARTVELIDKECTVDFIDINMGCPIDIVVNKGAGSCLLTKPLRMKGIIEAASGTVDKPITVKVRTAYFEGKNRVDSLIGDIGSWGATAVTIHGRSRQQRYSKLADWDYINQCARKAPSTLQVLGNGDIFSYVDWNNHISDCPELSSCMIARGALTKPWLFTEIKEQRHWDICSGERLNILKDYVRFGLEHWGSDRKGVETTRHFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYYGRDDLETLLASDSAADWIRISEMLLGKVPDGFTFAPKHKSNAYDRAENG >OMO74416 pep supercontig:CCACVL1_1.0:contig11131:96542:99265:-1 gene:CCACVL1_16741 transcript:OMO74416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPERSMVEVREELMVSPTDESQNPNPCSRIAHFLKPVLASDDNQLPKLPSQCLSSLVQSTPEPKNLPLSISFHGWRCRAKNWSTWIDKMAALHEATWKKAGIYEAIMNSTYQIKRNNDLVFGLAEKWCCETKSFVFSWGEASVTLEDVMILGGFSVLGSPVFNPLETDELKEVEEGLKNARLEIVRSKAKKACPRLWMQKFMDSGNEFEHEAFLAFWLSRYVFTNAHETIREHVFSVAIHLARGTKLALAPAVLASIYRDLCLLKDAITASTKLGKEEVFTLTLWSPFQLVQVWAWERFLGLRPQPNPIAKGEPRLVQWHDVSCKVDNVRLALESAGGSFEWRPYAMQVDNWKQPKFYRENEVCISITARLDKELESFARCLKASELVGLDCVEQYLPHRVAMQFGIDQDIPACVPPRSSETPELAWLNYCESLSGVKLYIPSRLYKAGVTAKYLKWWKQSVLECKSAAKGLKKSSKNPKGKKQGGKSASGCPGLHQKIDNFHGKTEVNDPSVSPNCSVKGSKKQGDSVKKNNIANGSRSCFPLKKSKSVSQILEEKQVGNNDSTSSGSNKRNSKKPAENLKGDKKGKEEESSPALALGITKESVQTLKRKEKDEKESASPGLSKKRLKRSAENTAEKDATPVSASSRFYLRSSKSSAKVKDKEEGSSDSASHPLTSVDTKKPPRNLKRKKGISSRVPASAPNFDGKEAEDSPEDNNPTLAEMMRSCKKRANTGTKDCEDDGNRSGHSQSLSSTIADDEVVKYLEPLAMLAEKAMQDESMLRGAGDNFEGTNKDQREQQKRLCRMNMQDESMLRGAGDDFEGTYKDQREQQTVDEKVVMEEPEKTVKDANESNLECPERKMISINGVAGECSCYAVEIPGLSLEERISRLEKLVEELKAMRSASK >OMO74417 pep supercontig:CCACVL1_1.0:contig11131:100460:101752:1 gene:CCACVL1_16742 transcript:OMO74417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-binding factor A MPQVLLHHHFPITTVNLRPSSFLTLKPTPQIHLRSEPTRAATIKCMANPRRVAMVAKQIRRELSDMLLTDKVLQYAVLPEAALGADRYLSSVTTISDVEVSADLQVVKVYVSVFGDERGKEIALAGLKSKAKYIRSMLGKRMKLRLTPQIRFIEDESLERGSRVIAILDKIKAEKSIAADEDEEESEFSDSPQDDRDWEDDDPDEDIIYVK >OMO74425 pep supercontig:CCACVL1_1.0:contig11131:137792:139876:-1 gene:CCACVL1_16750 transcript:OMO74425 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MAAITLNNGYKMPIIGLGVWRMEGKDIRDLIINSIKLGYRHFDCAADYRNEAEVGEALSEAFQTGLVKREDLFITTKLWNTDHGHVLEACQDSLKKLQLDYLDLYLVHFPVAIRHTGVGETGSPLDEDGVLDIDTTISLETTWHAMEDLVSKGLVRSIGISNYDVFLTRDCLAYSKVKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGAAANTEWFGTVSCLDDPVLKGIAEKYKKTAAQIALRWGIQRNTVVIPKTSKLERLKENIEVFDFELTKEDMDLIKTIEKKYRTNQPARFWGIDLYA >OMO74412 pep supercontig:CCACVL1_1.0:contig11131:55716:56363:-1 gene:CCACVL1_16737 transcript:OMO74412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MTDDGKDGNKEGAASGKRTKGRQRIEIKQLEKKSNLHVTFSKRRKGLFQKASELCLLSGAKIGIIVLSPTPRQKPFCFGHPNIDKILDQYVSETQNPTDAAAVDDDDHDQDGETPIADLSGLEEIDKKFEGSVEMLEMEKKRGKDQMENNGGTFWWEEDIEGMGLEELEMYVNALEKLKKNVIVRANALTMANVMETSSALPGFAQNLSGFRGLQ >OMO74426 pep supercontig:CCACVL1_1.0:contig11131:141101:142977:-1 gene:CCACVL1_16751 transcript:OMO74426 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldo/keto reductase MAITLSSGFKMPIIGLGVWRMQRADIRDLIINSIKLGYRHFDCAADYKNEFEVGQALSEAIDTGLVKREELFITTKLWNTDHGHVLEACKNSLKKLQLDYLDLYLVHFPVATKHTGIGVNGSPMDEDGVLDIDTTISLETTWRAMEDLVSKGLVRSIGISNYDVFLTRDCLAYAKVKPAVNQIETHPYFQRESLVKFCHKHGICVTAHTPLGGAEANKQRFGSVSCLDDPILQGIADKYDRSVAQIVLRWGIERNTAVIPKTSKFERLKENFQVLEFELDKEDMDLIKTVDRKYRTNQVSAKSWGIDLYA >OMO74414 pep supercontig:CCACVL1_1.0:contig11131:76500:86959:1 gene:CCACVL1_16739 transcript:OMO74414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRKSSKLPQTAVLKQILKRCSSLDHGSFSIPEPSERTSKR >OMO74421 pep supercontig:CCACVL1_1.0:contig11131:115878:118793:-1 gene:CCACVL1_16746 transcript:OMO74421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEEGNSNTDVMQRIQSSFGTSSSSIPKQPLSMNHLEIPQLNPNQMRAARHFSHFGQNFNGGEANKRVGIPPSHPNQIPPISPYSQIPVSRQTNQQMGSQSFSPGPTHSRSLSQPSSFFSLDSLPPLSPSPFRDSPSVAVPDQICTDVSMEDRDATSHSLLPPSPFSRGNSMRVGESLPPRKSHRRSNSDIPFGFNTILQSSPPLLPLRGGGGLERSLSGKENSEVPKPIQLVKKETSWERSGDANAEGVGERKSEGEVVDDLFSAYMNLDTIDALNSSGTDDKNNGNDNHEDLDSRASGTKTNGGDSSDNEAESSVNESGNSGHRGRMNSTEKREGIKRSAGGDITPASRHYRSISMDSFMEKLNFGDESPKLPPSPGNRPGQLSPTNSIDGNSAAFSLEFGNGEFSGAELKKIMANEKLAEIAMADPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTNQNNELKFRLQAMEQQAQLRDALNEALTAEVRRLKIVTQELGGDSDPSKGMVSQQLSVNHQMFQLHQQQSSQLNIPHQFQQQQQQQPPQPQQQNGNTTTKSESNQ >OMO74423 pep supercontig:CCACVL1_1.0:contig11131:130795:133022:1 gene:CCACVL1_16748 transcript:OMO74423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLVTLLRAAWVAVILPIVIASIPSAKLSWFHQLLSGYAKRGKTMQPSSYKFTVPQRFFSHFYMMAVAWTTLLLLTTWLYACKEAPMTSESFHFSHLASYLTGGSHVFSFHKSHLTPVEYRYRVWRSVLLLLLMEAQVLRRLFETFYVFNYSPSARMHIFGYLTGFFFYIAAPLSLCCTYAPEVFKFTLNLVSEFIVRGKNQMPAIEIDWLEAINPLLKLGWGQWIGCAIFLWGWIHQRRCHAILASLRAHSEQVDDYVIPTGDWFELVSSPHYLAEIVLYAGLVIASGGDLTVWLLFLFVVANLGFAAAETHRWYLRKFENYPTNRFAIIPFVY >OMO74408 pep supercontig:CCACVL1_1.0:contig11131:11538:29231:1 gene:CCACVL1_16733 transcript:OMO74408 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-S seed storage protein, plant MEEIREKLKNARKEIWYNLIAAGHRQQLGQKAISRVRMRVGVAGIVLPESEEKVIAIRKGDAIALPFGVITWWYNKEYTDLVVLFLGDTSKGHKAGQFTDFFLTGTNAIFTGFTTEFVKRAWDVDDETVKALVGNQTGNGIVKLDATAKMPEPKPEHRLGMALNCEEAPLDTNIKDAGNVVVLNTRNLPLVGQVGLGADLVRLEGNAMCSPGFSCDSALQVTYIVKGSGRLQVVGLDGKRVLETIVKAGNLLIVPRFFVVSKIADPDGLSWFSIITTPNPVFTHLAGSIGAWKALSPEVLQASLNVNSETEKVFRSKRTNDAIFFPPPK >OMO74409 pep supercontig:CCACVL1_1.0:contig11131:30303:30548:1 gene:CCACVL1_16734 transcript:OMO74409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSSLLPRITAPNHFDNGGMEHQHQVMVERSTFIFQIPWENLHLEIRKTKESLPSLNSMWLKHFVERSRLRLNEISAPGK >OMO74411 pep supercontig:CCACVL1_1.0:contig11131:47637:48281:-1 gene:CCACVL1_16736 transcript:OMO74411 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MTDDGKNGKDGNKEPAAGGKRTKGRQRIEIKQLEKKSNLHVTFSKCRTGLFQKASELCLLSGARIGIIVLSSTPRQKPFCFGHPNIDKILDQYVSETQNPTDVAVDDDDDDQDVETPIADLSGLEEIDKKFEGSVEMLEMEKKRGKDQMENNGGTFWWEEDIEGMGLEELEVYVNALEKLKKNVIVRANALTMASVTETSSALPGFAQGFRGLQ >OMO74419 pep supercontig:CCACVL1_1.0:contig11131:107892:109685:1 gene:CCACVL1_16744 transcript:OMO74419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATANLSTLSSSSTLTKPISKNPSFSLNPSQKSAFPLPKTLPKPSSFPCNHVLHTQKPLFTTCAKNPISDFFSANKSDPEDGFLSFFSDDDDKPREECGVVGIFGDPEASRLCYLALHALQHRGQEGAGIVAVNNDVLQSVTGVGLVSEVFNESKLDQLPGEMAIGHVRYSTAGASMLKNVQPFVAGYRFGSVGVAHNGNLVNYKELREMLEDNGSIFNTSSDTEVVLHLIAISKARPFFMRIVDACEKLEGAYSMVFVTKDKLVAVRDPYGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVYPGEVLVVDKKDGVQSLCLMRHPEPKQCIFEHIYFSLPNSVVFGRSVYESRHRFGEILATEAPVECDVVIAVPDSGVVAALGYADKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRGVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIASPPIIGSCYYGVDTPSSEELISNRMSVEEIREFIGCDSLAFLPFDSLKKMLGSDNPNFCYACFSGNYPVKPREKKAKVKRVGDFLDDGLNGPIDAIDGGWVKGPQNLDFEKENEALVPESAEQH >OMO74422 pep supercontig:CCACVL1_1.0:contig11131:127571:128573:-1 gene:CCACVL1_16747 transcript:OMO74422 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAGA binding-like protein MDGTRQQENGRYKPDYYKGVHPPWNMMPQHHMKEQNNALSMNKKIMSIFAERDAAIQELNIAISEKKEALAARDEALLERDKALAERDGALMERDNALAVLQYRENAMNFPMQRGVKRMHPTYHSTDVGEDGEHVTDALPVTTIASEEGKRCSVNGIKDNKVVSSKPPRKVKKVAEDLNRQAVTEVKRCKSEWNSQDVGLNLINFDETRMPVPVCSCTGVPRQCYKWGNGGWQSSCCTTTLSSYPLPQMPNKRHARVGGRKMSGSVFTKLISRLAAEGQDLSIPLDLKNYWARHGTNRYITIK >OMO74418 pep supercontig:CCACVL1_1.0:contig11131:103561:104866:-1 gene:CCACVL1_16743 transcript:OMO74418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPGPYSGTSTLALVARASAFSFGIVYGSIKLKYLKAKAKSQKKAEAKAHH >OMO74427 pep supercontig:CCACVL1_1.0:contig11131:145511:147070:1 gene:CCACVL1_16752 transcript:OMO74427 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-ATPase proteolipid subunit MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >OMO68989 pep supercontig:CCACVL1_1.0:contig12144:20691:24005:1 gene:CCACVL1_19724 transcript:OMO68989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEVALCLSLLFHLGEGIRDFWYSSDSRAFFSCKPESAFEFYSGGEPVTVPGNRKIFPPNPSGEQQQTGSRTYPLLRGQFTAELWGRRM >OMO68988 pep supercontig:CCACVL1_1.0:contig12144:8149:19802:-1 gene:CCACVL1_19723 transcript:OMO68988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILNKGKRVLFFLHTFLTTYEFFRVSLGAIRIHTSETITINRKHDQSLVCYCPRSRDSVQSVRVIIFGRASCDGNFGFSDECAGVGSVQFDYSLDFESLFIPLVNQTNLPGDCGRMGMDEVAMIDQCNYDEEFIESKLRNLFFVSLLSVIEPIDDSEPLGLRKIPRLDSFHQFEYSAAAVDPDHATSFQAAIDDVNSDPSIVNGAELKLIVRNTNCSGFVGTVEEKAKKEKQS >OMO68987 pep supercontig:CCACVL1_1.0:contig12144:1159:5180:1 gene:CCACVL1_19722 transcript:OMO68987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPTRGGVRGGRDQFSWDDVKVDKHRENYLGHSIKAPVGRWQKGKDLHWYTRDKRSGGSDDALKEEIRRIKEEEEQAMREALGLAPKRSSQPQGNRLDKHELSELVKRGSTAEDLGEGHAEAAHVHGLGFSRMPRPWEDPSTLPSTQKEASPVPVKMDESLPSTANTVEDESGDESSRKRRKHEERRHEKHEKRERHEKRERHEKRERHEKRERHEKHYSNDSDEKRRRRKDKVKRRHDSDSD >OMO97000 pep supercontig:CCACVL1_1.0:contig07331:45273:48027:-1 gene:CCACVL1_04717 transcript:OMO97000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQSESSLLSAVRSSREEKILEVDGTVTTQPVLEHVGISTWPGRLILTDHALYFEALRVVSFDKPKRYDLSDDLKQIVKPELTGPWGTRLFDKAVSYKSISLSEPVVIEFPELKGHTRRDYWLAIIREILYVHRFINKFQISGIEKDDALSKAVLGIIRVQAIQEISSSNSIRFESLLMFNLCDQLPGGDLILETLSNISSSRDLDRGNNSATGGGMYSISALAMVSNLGFVFGSSSNNPSEAGLLVGEVAVGEMSLLEKAVKESRDNYKKVVLAQETVNGVKVDGIDTNVAVMKELLFPVMEVGKWLLVLVYWDDPLKSLVFCLLFTYIIFRGWLGYAFAMMLLFFAIFMVITRFCNQGRPVDEIKIIAPPPMSTMEQLLAVQNAISQVEQLIQDGNIFLLKFRALLMSIFPQASQKFAVTLLFMALVLAVVPSKYLILMVFLETFTRYSPPRKASTERWMRRLREWWFSIPAAPVILEREKEDKKRK >OMO96998 pep supercontig:CCACVL1_1.0:contig07331:2215:9998:1 gene:CCACVL1_04715 transcript:OMO96998 gene_biotype:protein_coding transcript_biotype:protein_coding description:pleiotropic regulator 1 VKGLCNAADKFIAEEIPETAARDITTGVTASQETTVTESTTEEPVVSSAVPISGQSRVEVRRSARERTQPKRFDGFDVQLPPSTVPAQPALPSADSSCAMFLLDGAKTKEKTKNYVHTYSLRSQSKFLVFL >OMO96999 pep supercontig:CCACVL1_1.0:contig07331:38680:39411:1 gene:CCACVL1_04716 transcript:OMO96999 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MSPTQFHDQHHHAKKEITSNGNAGGGACPPPLKVSKDSHGIKKSASSSSSSSAASSLGLTGTAKPSQQQRHPVIIYTHSPKVIHTHPKDFMGLVQKLTGLSRNDHQEDLHHHHHKNHNNHHQAPQQPKAENGCGSGGGGAASAVAEEDSKRIVTNDDNESSSVITDENCEGQVNSCFVPPLFDPPPAPFLTNIPVFTPNSADFLCVNQPYYNYTDSLFFTPNMRSSISSSSSGLDGINEFRDY >OMO51251 pep supercontig:CCACVL1_1.0:contig15919:45272:45355:1 gene:CCACVL1_29905 transcript:OMO51251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWINISPDRDNFSAICRRKNLRQPL >OMO97130 pep supercontig:CCACVL1_1.0:contig07275:28944:30007:-1 gene:CCACVL1_04639 transcript:OMO97130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELRPRLKPGRCIALELCKSIPSIPDFINECTQPRKL >OMO97599 pep supercontig:CCACVL1_1.0:contig07225:31201:33196:-1 gene:CCACVL1_04508 transcript:OMO97599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase FMO MGTDKRIAIIGAGISGLLACKYALEKGFSPMVFEARSGIGGVWSQTLESTKLQTPKDFYQFSDFPWPRAVRESFPDHKQVLDYLQAYTAHFNILPWIKFNTKVTCIDYVTSSTEDMLSWDLWGGTGQPFSPSGKWNVTVKCDGSPSDSTQVYQVDFVIVCIGKHSDLPNIPDFPLNKGPQVFDGKVLHSMDYAAMDDDLAAELIKEKRVAVIGFQKSAVDLAAEVANKNGARHPCTLVFRTVHWTVPENYFNLSLELWIFSTVIECYLKCIYPLKKYNMVPNHSFFKQISSCMFTVLPANFYDRVKDGSLFLKKSQTFSFCKSGLMIEGESRPLLSDIVIFATGYRGDEKLKTIFKSTFFQKCIAESSVPFYRYYFFVSSSFQLGIYFLVEKNDRFTYRFRECIHPRIPQLAILGYADSPAILHSTEMRSKWIANFLSGNFKLPAISKMEEDVKEWEICKRNEVKESYRRSCVSPSLQIYCNDQLCKDMGCNSTRKNWFLAEVFVAYGPKDYKSVS >OMO97598 pep supercontig:CCACVL1_1.0:contig07225:28538:29854:-1 gene:CCACVL1_04507 transcript:OMO97598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MEVTILSTQVIKPSSPTPQHLKIFKLSLLDQLMPCPYAPIILFYDPIVPSSLRLEVLKSSLSNTLTRFYPLAGKIKDDLSIECNDQGAYFVEARVNCSLHEFLNHPDLLLLTKFFPCDFFPKESNAGTNVTNIQVSRFECGGIAIGICISHKILDGAALSTFLKAWTSTARGCEDAIYPNFIASSLFPTDDLWLRDSSLVMYGSLFKAGKSITRRIIFGASSVAALKDQASSRLKCPTRVEVISAFLWKCTMAASKVNSGFQKPSLLTHFVNLRRRMAQPMENSTGNLLWIATARSKGHNNKSHLPDLVGQLREAVSRVDGEFIKKLGDHEQGKSVMSETLREIGDLVSKDGLDHFGFSSWCKLGFYEADFGWGKPVWVSSFGMENSVYMNLIILNDTSSGDGIEAWVTLDEQHMAILERNEELQKLAMFDPSPFINY >OMO97600 pep supercontig:CCACVL1_1.0:contig07225:34527:37210:-1 gene:CCACVL1_04509 transcript:OMO97600 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MEGMKKCSANYVPLTPISFLERSAIVYRDRVAVVHGDVSFTWRQTLERCVRLASGLAQLGVSRRDVVAVIAPNIPEMYELHFGVPMAGAVLCTLNIRHDSAMVAVLLKHSEAKVLIVDYQFLHIAQGALKILSETSSNLPHLVLIPEYNQEVPTSSKTSSTSANLDYDGLLQMGTLDFEIRRPEDEWEPISVNYTSGTTSSPKGVIYSHRGAYLNSLAAVLLNEMTSMPVYLWTVPMFHCNGWCLAWAVAAQGGTNVCLRNVTAGGIFHNIAKHKVSHMGGAPTVLNMIINAPASDRRHLPGKVVVMTGAAPPPPHVLYKSEELGFNVVHAYGLTETYGPGTVCTWKPEWDNLPRDVQAKIKARQGLNHLGIEEVDVKDPVTMKSVPPDAKSIGEVMFRGNTVMNGYLKNVEATEDAFKGGWFRSGDLGVRHPDGYIELKDRSKDIIISGGENISSIEVESLLFSHPAVLEAAVVARPDDYWGETPCAFVKLKDGSDVSAEEIIKYCRDHLPHYMAPRTVVFQDLPKTSTGKTQKYLLREKAKAMGSIPKNKSKL >OMO97601 pep supercontig:CCACVL1_1.0:contig07225:38869:40217:1 gene:CCACVL1_04510 transcript:OMO97601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPESGHPGLTRRPSRSAATTTFSMEVFDHEDVVPSSLSFIVPILRIAKEIEHERPRVAYLCRFCALEKAQRLDPSSRGFGVRQFKTGLMLRLERDNASSLASRVKQTDAQEIESYYQHYYEHYVRSLDQLGDQANSAHQLGKAYQTAGALFEVVICFSRR >OMO97603 pep supercontig:CCACVL1_1.0:contig07225:53035:55595:-1 gene:CCACVL1_04512 transcript:OMO97603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 2 MEEQKNKYSVIVPTYNERLNIALIVYLIFKHLRDVDFEVIVVDDGSPDGTQEVVKQLQKLYGEDRILLRPRLKKLGLGTAYIHGLKHASGNFVVIMDADLSHHPKYLPSFIKKQLETGASIVTGTRYVKGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYRKSVLEDVISSCVSKGYVFQMEMIVRASRKGYRIEEVPITFVDRVFGSSKLGGSEIVEYLKGLAYLLVTT >OMO97604 pep supercontig:CCACVL1_1.0:contig07225:56528:62527:-1 gene:CCACVL1_04513 transcript:OMO97604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASLGLLATPSSFGSLSTKNRTLSSKIRHELRLGGSQKLLPRHVFPCNSVFGRVSYGSPISAVLIEDSSTMTTSEEDTENIGILGIDPALQPFKDHFQYRIKRVVEQKTLFNRHEGGLEEFAKGYLKFGFNREEDGIVYSEWAPAAQEAQVIGDFNGWDGSNHKMEKNQFGVWSIKIPDSGGNPAIPHNSRVKFRFKHGDGVWVDRIPAWIKYATVDPTRFGAPYDGVHWDPPPSERYEFQYPRPPKPAAPRIYEAHVGMSSSEPRINSYREFADNVLPRIRENKYNTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLIDKAHSLGLRVLMDVVHSHASNNVTDGLNGFDVGQGSQDSYFHCGERGYHKLWDSRLFNYGNWEVLRFLLSNLRWWLEEFQFDGFRFDGVTSMLYHHHGINMAFTGNYNEYFSEATDVDAVVYLMLANSLIHRILPDATVIAEDVSGMPGLGRPVSEGGIGFDYRLAMAIPDKWIDYVKNKNDEEWSMNDLSWSLTNRRYTEKCISYAESHDQAIVGDKTIAFFLMDKEMYTGMSCLMDASPTVERGIALHKMIHFITMALGGEGYLNFMGNEFGHPEWIDFPREGNGWSYEKCRRQWNLVDTDHLRYKFMNAFDQAMNALDDKFLFLSSTKQIVSSTNDEDKVIVFERGDLVFVFNFHPENTYDGYKVGCDLPGKYRVALDSDALEFGGHGRVGHDVDHFTSPEGIPGVPETNFNNRPNSFKVLSPARTCVVYYRVEEKLEEINNGNLACANETSKLDPVLHNSVEELASAVDHGVEESPGGTIDNDLAGVNEILPVEEAEPEDIEEPTLVADKKIVSKLDNPEMEELEDGTSND >OMO97602 pep supercontig:CCACVL1_1.0:contig07225:51536:52257:1 gene:CCACVL1_04511 transcript:OMO97602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDRKPPLAKSPIRLRPRRVLRSNSSSLQTPPGSLTKSQKPSRAWGSMEDSEIRPEYRSISCEFQALARMVKDELGNEEKENAGFCGTSLSANSTPVFERGRFYDEYSARRNERLKRKKGETGDDSNTPHRRLGVTVESSKKRESKKLESLRKSMSAAYSVEIQTPRYQLRSMSKENKKPPLAVNTIKSSSAIGTERKTVATRTRRI >OMP02035 pep supercontig:CCACVL1_1.0:contig06336:1016:1339:1 gene:CCACVL1_02936 transcript:OMP02035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKLPKQALLKEDPSEFDTSLEEDPYEHDDSDPTYHPEFDDDSPTMSINKAFKDHIMDDKNEASTSSVKESNYDPMMLKFMCICEAIKAAQHNLNRLTKFMDELFK >OMO75896 pep supercontig:CCACVL1_1.0:contig10953:4553:7093:-1 gene:CCACVL1_16000 transcript:OMO75896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTINSTIGFSFPVFQNVPETELLSAKTQSRFCFMFPKNQSKMGNTRIFSKLSESGIDDKPSENKMSVKSKDRMEEYNTAMKRMMRNPYEYHHDLGMNYTMITDNLIVGSQPQKPEDIDHLKQEEKVAYILNLQQDKDIEYWGIDLEAIIKRCREVGIRHMRRPAKDFDPDSLRNELPRAVSSLEWAISEGKGKVYVHCTAGLGRAPAVAIAYMFWFCGMNLNTAYEALTSKRPCGPNKRAIRGATYDLAKNDPWKEPFESLPEHAFEGIAEWERKLIQDRVRSLRGT >OMO75897 pep supercontig:CCACVL1_1.0:contig10953:7627:11274:-1 gene:CCACVL1_16001 transcript:OMO75897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Seven-in-absentia protein, sina MSLTDPEVVDCSVFPICQDPNEGGELITSLNVEENVGSEEGKRKNRKKKKQVSTPRPACSWVYFSREFIKEYRASHPESSGLKAATKAASDAWKVMSAEDKEKYTQRSREVWDNYLSTIPARIPKPRKQTKLVTRCSPGRLFNVLQRLTSEQKDAVKSMGFGSLLGLRCRTLRRSLCLWLLERFNPARRSLEICGERIPLSPTDVEHVMGLAACGKDVVNSGPDDLIADLRHSYNATNRGISVRLLEERLAAPEAGEDFKRSFILYALGTLLSPTARLDVSPSFLHFLINMDVVHQYNWGKFLLDRLVREVSRFRQGKQRAVGGCLLFLQLFYYESISIEGAGSSAPMVVPCLSSWGEEEITEREKREREFGGYGRGEMTRKDRFLGSELAEYRVQVEGLSGDKISSSSVHNPMFEREGTQATEDQMNGDFSMEEENVARFSKSKGMICGDMELIVEAGRTACRNREYGCCETVDYTRNNDHEENCIFAPCACPLTNCNFVGSSEQLSLHFSSKHWDSGRRFRYNIPLHVTLGMNGHFLVLQAEEDGILFILNKAGVESIGNMVTVTCIAPSSSKEKFLYDLISVSAKGISSLRLKSLTENFPGRVEGFPPLDFLLIPYRFLGPSGELNLEVCIWNSTELVSDCP >OMO55322 pep supercontig:CCACVL1_1.0:contig14726:3119:4329:1 gene:CCACVL1_27309 transcript:OMO55322 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLGDDKTAKEYNIEGGSVLHLVLALRGGGL >OMO55490 pep supercontig:CCACVL1_1.0:contig14680:7070:8920:-1 gene:CCACVL1_27219 transcript:OMO55490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MKRDHQEICGATSYGSGSGSKSESSTISIPNKGKLWEEEQDAGGMDELLAVLGYKVRASDMADVAQKLEQLEMVMGTVQEDGISHLSCDTIHYNPSDLSGWVQSMLSELNNPATENLDPVLANSDSNSSILSQVNPSDPSKSHHQQQIVSSNHSQVYNDDSEYDLRAIPGVAAYPPAKSSESDSESTRKRMKTSIGTSSGSSSSAAAAATYGSSSSSSPQGIGVSGAVTESTRPVVLVDSQETGVRLVHTLMACAEAVQQENLKLADALVKHIGLLATSQAGAMRKVATYFAEALARRIYRIYPQDSLDPSYTDMLQMHFYETCPYLKFAHFTANQAILEAFATANRVHVIDFGLKQGMQWPALMQALALRPGGPPAFRLTGIGPPQPDNTDALQQVGWKLAQLAETIGVEFEFRGFVANSLADLEPEMLEIRPPEVEAVAVNSVFELHRLLARTGGIEKVLSSIKAMKPKILTVVEQEANHNGPVFVDRFTEALHYYSSLFDSLEGSGVAPPSQDLVMSELYLGRQICNVVACEGMDRVERHETLTQWRTRMEASGFSPVHLGSNAYKQASMLLALFAGGDGYRVEENNGCLMLGWHTRPLIATSAWQLATTESG >OMO99015 pep supercontig:CCACVL1_1.0:contig06972:34547:35599:-1 gene:CCACVL1_03966 transcript:OMO99015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQMPYEGGGWRRGLRLRLGVAGWGGDAIQQSV >OMO99013 pep supercontig:CCACVL1_1.0:contig06972:5495:5590:-1 gene:CCACVL1_03964 transcript:OMO99013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNEAWKDAWRTANDGLSNSSLKLKGLPNT >OMO99014 pep supercontig:CCACVL1_1.0:contig06972:27548:29465:1 gene:CCACVL1_03965 transcript:OMO99014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ergosterol biosynthesis ERG4/ERG24 MELGFLLHSLIPSWNSVGILAIYMVYLAIASIVLPAKVVPGIIMQDGTRLHYRCNGLATLLSLIGLLALGAIMNLISPTLISDRGLELLSASFIFSLFVTSALYIGGCGCRSQTSSLKPHITGNLILDWYLGVQLNPHFLGIDLKFLFLRAGTIGWIMVNLSNLAKSVEIGNLSLSMILYQIFCLIHVIDFLCHEEQLTT >OMO96985 pep supercontig:CCACVL1_1.0:contig07336:17547:18198:-1 gene:CCACVL1_04726 transcript:OMO96985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEIRLVINDSGTLDMINVWEKYGDLNLYVKHDVNYHEFAPLYLAYGENAENSPVDGEGPEIVENAEDRETAEVLDGNENADGTSGVGENDINAADVEELIADGLELEDEVSRRKRAAEFSESSSTDSDDYPLDVQGDQMSTHGAREFRGRNDDDEYDSDDHGNVEEDNDGKVYLRNCGNVQYNSKE >OMO62931 pep supercontig:CCACVL1_1.0:contig13102:738:815:1 gene:CCACVL1_22572 transcript:OMO62931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPRVCFTRPQQGYYDDLLQLHIHYY >OMO62932 pep supercontig:CCACVL1_1.0:contig13102:5413:5802:-1 gene:CCACVL1_22573 transcript:OMO62932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMASKAGSSIFQSLRRYIKKPWEITGPCASPEYKNAVPKATEYRIRCPATTLQKPIVPTSDPETVFDIKYYTRDQRRNRPPIRRTILKKADVEKMMKEKTFDVNDFPRVYLTAKVEEDQNAIGGGYQK >OMO62933 pep supercontig:CCACVL1_1.0:contig13102:12055:16208:-1 gene:CCACVL1_22574 transcript:OMO62933 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat-containing protein 93 IIFPRSTKEKSFMPPCFSEVVSLSPHLFSALEAIGLNLFNFLETGKKGLALIGHRSTETATATVEMKAGENWFNLNLKLTLGIFMKMQPMEYKLPQSNWFKNRDHLKLPTRTKETTEETKEVDNLAESVAKEEIDTDSLRGKVIMQHQL >OMP08795 pep supercontig:CCACVL1_1.0:contig03597:944:1063:-1 gene:CCACVL1_01091 transcript:OMP08795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKEEPAPTFEVEAHSGAGQLTAIKLNLFIANSNAARSNR >OMO56559 pep supercontig:CCACVL1_1.0:contig14503:7641:9551:1 gene:CCACVL1_26456 transcript:OMO56559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MKATAMAGQNTSLVTDYVLKILGLDICSDIMVGDEMRRGISGGQKKRVTTGETLSFLYFQVIAESLSEEEIAGLREMFTAMDTDNSGAITFDELKAGLRRYGSTLKDTKIRDLMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLVAAFRYFDKDGSGYITVDELQQARAEHNMTGVLLEDIIREVDQDNVIYHHHTIDLEHLLALFLQCLSLIKGSKNVKM >OMO82355 pep supercontig:CCACVL1_1.0:contig10048:5771:7203:-1 gene:CCACVL1_11975 transcript:OMO82355 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MEDMPPGFRFYPTEEELVSFYLHNKLEGNRNDLNHLMDRVIPVVDIYDFNPWDLPQFSVLLCHKDPEQWFFFIPRQESEARGGRPKRLTSSGYWKATGSPGYVYSSNSRPIGVKRTMVFYNGRAPSGKKTEWKMNEYKAIEGEAPSSINGAPPSLRQEFSLCRVYKKSKCLRSFDRRPPPGVVLMTGDVLVPHHDHGRRFGGEMSRQIGAGGAEGILATATASSPESSSSGYHNNQHQPNQPTAQTGAGETSNTSRMVVDEECFWDVDGFWDPLN >OMO63968 pep supercontig:CCACVL1_1.0:contig12876:11393:12485:1 gene:CCACVL1_22147 transcript:OMO63968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWITRFLTAVAFLAVGVILSPETFGSDGPNSPKLSTFIKLAHLLSFSTAWGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGVCCAIAVAAFGYLHPWKSATTAEKYQLGFLVSGFAFNLTNLFVFTPMTIEMMKQRHKVEREQNIGDEIGWTKNQEVAKKNPKLAAMNKKFGMIHGLSSLANILAFGSLALHSWYLAAKLNL >OMO63971 pep supercontig:CCACVL1_1.0:contig12876:22992:26259:-1 gene:CCACVL1_22151 transcript:OMO63971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRTKLLFLLFVLSYTLDAVAGKSYYEILQVPKGASDEQIKRAYRKLALKYHPDKNPGNEEANKRFAEINNAYEVLSDSEKRGIYDRYGEEGLKQHAASGGRGGGMGVNIQDIFSSFFGGGPAEEEERIIKGDDVIVELEATLEDLYMGGTLKVWREKNVIKPAPGKRRCNCRNEVYHKQIGPGMFQQMTEQVCEQCQNVKYEREGYFVTVDIEKGMQDGQEVVFYEDGEPIIDGEPGDLKFRIRTAPHDRFKREGKDLHTTVTITLVQALVGFEKTIKHLDDHLVNIGSTGITKPKEVRKFKGEGMPLHFSNKKGDLYVTYEVLFPSSLTEDQKAKIKAVLG >OMO63967 pep supercontig:CCACVL1_1.0:contig12876:4546:9713:-1 gene:CCACVL1_22146 transcript:OMO63967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESDTPSKTFLGVRFCLFGFDSIDERQVRVKLIGGGGVGDSQNCTHVIVDKIVYDDPICVAARNDGKTVVTGLWVDHSFDIGMAVDATSVMYQPLRDLNGIPGAENLIICLTGYQRQDRDDIMTMVSLMGAQFSKPLVANKVTHLICYKFEGEKYELAKKIRKIKLVNHRWLEDCLREWELLPEANYSKSGYELEMMEAEAKDSEEEAEETTSKQSGQKSLHRSPHNLKSGILSSNELPKSAVKPTSSMPRHSPNTKETSLMPGKSHEATSFNNFDVPQLHAFQDSGVLRNATPAMLADRQQRSPKTMEVLNNLASTSKSPSLSDEKFTAISYTRKKSPVKSTLPNLSGEKSGNSSGSPQATVLEDVPAISSSKMQQPEERISSFIESPWKGSDLRHGGEDSAGILPQKRTLKLSSSSPKLQKMSHDAKASIKGSALETEWELQTNNGTVYGTGSQNIVQNSSATDATKSVSNDPSSLTLSAEDRQNNTGKKSPDMSCRGYRESTLTSKPDMQNQNEKSPQTSIKGLREPTSASRPSIGDSGLGRCVEEVGDPQHQQQDVEFPSFDDRKLEMENSHNPATLDMLEGGSDKLVGKPLSKKTLGKKTLGSRPKQSNIANRKGSIYSNKTASEIDSTACLTVDKGKANYKSAGETSPSAINIEAAKDVVEEVVTKTQDATVSKTQFMDDETQAPDEEDEKDTENTLGKEKSELVEMTGKADTVGEMEHVRQDFKVDVHGNVNATDGTDPERAAGSQNSELAESSLKHDGLKTKASKRKKQVSGKAKMRTVPSESENELVREDNSVGKNAEEKDDEKENSVPHPVAKTNRTKASSKVENSLAAEKTSPIGCKEIACESVVKPKKKTVKTKNISQKVKSSTQPAQKVVNRLNSDPVCFILSGHKLQRKEFQQVIRRLKGKFCRDSHQWSYQATHFIAPDPIRRTEKFFAAAASGRWILKTDYLSACNQAGKFLAEESYEWHKNGLSEDGAINLEAPRKWRELRERTGHGAFYGLRIIVYGECIAPPLDTLKRVVKAGDGTILATSPPYTKFLNSGVDYAVVSPGMPRVDIWVQEFLKHEIPCVVADYLVEYVCKPGYSLERHVLYNTHEWAEISFNNLRSRAEEVVLDSITPTPISPENSPTGSDGVACQVCGSTDRGEVMLICGDESGSVGCGVGTHIDCCDPPFQDIPEDDWLCPNCSKTSKTRKSATSPKKRKKGTSQSKGK >OMO63970 pep supercontig:CCACVL1_1.0:contig12876:19386:22570:-1 gene:CCACVL1_22149 transcript:OMO63970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEPIPGPFFFFFEDILPTNFENRVRLLGPHKKPPPQRKANKSEPARAKPTMGLRPRLPWHVPTRKIRTNAPLKRSRFRVFLH >OMO63969 pep supercontig:CCACVL1_1.0:contig12876:15408:18961:-1 gene:CCACVL1_22148 transcript:OMO63969 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MDGLCLKTGIHGMAPAISVTGALETRTNPAQVSAVARSSVDNKSTSSAAAAVPPQKTAFPRFSFRYPLRSLWAGNNKRYNGMAVDDAVLLENNNNNNKNSNNGEERNVHEENVDGRETNETSEGQNENWVLKILHVKSLWREEEEARKGVVEGRETEEEDNGNGVVNEEEGICEFCRVEDYDDDENEEKEIEIDKHTFSKMLRKVSLAEAKLYAQMSYLGSLAYAIPKIKPENLLKYRGLRMVTSSIEKREMAMKVEKNHEKTGLSSENQEAERNGKDGTQDHEQKNIGHRISASAAYQIAASAASYLHSHTRSILPFKSPKPEGCKDSSEDGCGSDNSADMVNSDVASLMATTDSVTAVVAAKEEVKQAVADDLNSTHSSPCEWFICDDDQSATRFFVIQGSESLASWQANLLFEPIQFEGLDVLVHRGIYEAAKGMYEQMLPEVRSHLKSHGKRATFRFTGHSLGGSLSLLLNLMLLIRGEVPASSLLPVIMFGSPSVMCGGDRLLRKLGLPKSHVQAITMHRDIVPRAFSCNYPNHVAELLKALNGNFRHLPCLSNQKLLYAPMGQLLILQPDEKFSPHHHLLPSGAGLYFLSCPLSNDDSEEKLLRAAQRIFFNSPHPLEILSDRSAYGSEGTIQRDHDMNSYLKSVRVVIRQELSRIRKAKREHRRKVWWPLVLPRGINAGIIIGRPTSTINVDQEQFNFAGVLQTGRESLKRFSKLVASQHMHLLVILLFPAKLLLLGAYSVISFR >OMO63972 pep supercontig:CCACVL1_1.0:contig12876:26616:26678:-1 gene:CCACVL1_22152 transcript:OMO63972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETRSATRGRRYIYTCRYPIG >OMO74977 pep supercontig:CCACVL1_1.0:contig11070:19657:19869:-1 gene:CCACVL1_16383 transcript:OMO74977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGPLKLSPIYWSKSTCHHTCFPFRYDVKSRKSIRTRASMTNLSFHQPTDVHSRAGPTTPGRTRTMTAP >OMO74976 pep supercontig:CCACVL1_1.0:contig11070:11968:18117:-1 gene:CCACVL1_16382 transcript:OMO74976 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MERNLGKGVMDQRNNYEQVRYNNVELEARNETLGSANQRFFHDPSSNINTNIRPPDYNMSVGARPVLNYSIQTGEEFALEFMRERVNPRQHFIQNVYGDPNTGPVYMDLKGILGISHTGSESGSDISVLNTVEKPRAPEFERKTPAVQEDKSYYESMRSVPRSSSRNDSSRGHQSYLSSSSSLSSSNKVKFLCSFGGKILPRPSDGKLRYVGGETRIIRLSRDITWQELVQKTLAIYNQAHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVLGDGGSQKPRIFLCSSSDLEDSQYGLGSVEGDSEIQYVVAVNGMDLGSRKNSIAASTSGNNLDELLGLNVQREADRTVTETAAISTTALTANAPASTVQSSHAPASTVQSSHVPASTAHSSHAPSSTVQSSQTVVVSSSSTHESSSQPYLEQKVHHAEVSQQLSTTPQVDGKSNIPFSAPLQYGYGSQPSNYVMPGEGLVPMPFHGHVTPQAGLAEDKMYVGFQVQDPEASVKEVKLKRDSSAPKLNEPEKVRSLDKAPTTKEPNMKRDTSLPKINETEKIRVSEKEYNVPSHAYDSSVPNHISKEEGSATISVPDISSPLLSTKNFKKPQEVVRNLVAFEVVTDGRKNNEDEHFKSGGPFTSGAGGSEADPNDFSHSESSVISQRVFHSERIPREQAEMNRLSKSDDSFGSQFLMTQVRSDSSQPISESVDKILGGILAPQADQSVTSSNPLPTNRQTVIDGLAQFEKYKDLADKINSNIPEEGPESTKKKSESNQITVKNVSDEEAAGLNHSAASQGASGEQLEDPSLKPSDFERIEKDDNKNTGNPAKGHEQPLVSGENSNRATSNVQPAAPVSTSEQGDILIDINDRFPRDLLSDIFSKVKTSNDPYDGSQFPGDGAGLSLNMENHEPKRWSYFRNLAQDEFVRKDVSLMDQDHLGFSSPLTNVEGGAPIDYSYPPLKSTGTVPLGHLKPQINFEDIRQESTGVAAANNLDLGSDYNKAPLKGDESSRLDGPNHNVPESEYEDGKFDIQNTGIHLVDLSLGEIDISTLQIIKNEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTVEFWREADILSKLHHPNVVAFYGVVQDGPGGTLATVTEYMVNGSLRHVLLSKDRHLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPVRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVSNTLRPPVPAYCDPEWKLLMEQCWAPDPVVRPSFTEIARRLRIMSTACQTKPHGNQLPNQVSK >OMO74979 pep supercontig:CCACVL1_1.0:contig11070:39720:40133:-1 gene:CCACVL1_16385 transcript:OMO74979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMWLLWCPKTTKSGGDTVVASASTATPKVTNINGGRSPSSLGKNGCCCGCLASFVRKVKKQSKEMVRVRGAGASRQTSFQCRYDPLSYSLNFDKSGCGSLEDDEDYLHAFSSRFVANPRRPSSTQPASHSNHSTS >OMO74978 pep supercontig:CCACVL1_1.0:contig11070:26491:38152:1 gene:CCACVL1_16384 transcript:OMO74978 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MKDTGSSSSKMINRNWVLKRKRRKLPCGPSLANGKEENLVSSESPRSTSAKRRLKGEINSEQLSKKKGNDGYYYECVICDLGGNLLCCDSCPRTYHLQCLDPPLKRIPMGKWQCPKCCKKTDPLKPITHLDSISKRARSKIIKTKAQTGIKSPATEKVSRIFGTSIIAKKRSSSGKVKSDLAQGVDTLKKEPESSSQIDVSCVPKPSLESLVGAEEGDSSCVNIEDGKKPDASPTDTSAERKLTPPADEVPSPKGTKTEQNDETPEEKQELSCNDESPGNNIVLAIGVAPRKDRKRKQKVSSDTSQKKLKRDKGRHSNSNSKKRRSKANNNDPGSSKTHQKQKPMSHGVSASLSKDDDGCKTSDNQKKDEKLPEDAIYLSVELDKGAMDASVIPEVSVPAEVQQVDRVLGCRVQGGNASVSNHASVADSEDMHSEDLLIAENQNRLSEDNSVCDIDSDRAAAENLAEGCPNTLKSSDKEESIQNDERVDKIHVYRRSVSKKCKEGNLMDLSSKDAKDSECAVINDKDPDESAATVEVSGEKIEKMVVEEVDPDISLVSHGTGEVLKVCETPEKTKETDVEMKISSSAENKVQEPPVTESACNGETVSYEFFVKWVGKSHIHNSWISESQLKVLAKRKLENYKAKYGMAVINICEEKWKKPQRIIALRVANDGMREAFVKWTGLPYDECTWERLDEPVIRQSSHLIDLFDQFERQTLEKDAANNESKGKGERQHDIVTLAEQPKELKGGSLFPHQLEALNWLRKCWHKSKNVILADEMGLGKTVSAAAFISSLYFEFNATLPCLVLVPLSTMPNWLAEFSLWAPHLNVVEYHGCAKARATIRQYEWHASDPNESNKRTASYKFNVLLTTYEMILADSSHLRGVPWEVLVVDEGHRLKNSGSKLFSLLNSFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKRDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQILRNIGKGVAQQSMLNIVMQLRKVCNHPYLIPGTEPESGSVEFLHEMRIKASAKLTLLHSMLKVLYKEGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVADRQTAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFNDSSSGKDAGEGNSNKEEVLMDMEHKHRKRGGGLGDVYKDKCTDGGTKIVWDENAILKLLDRSDLQSGSTDVTEGELENDMLGSVKSVEWNDETADKPGGESPPAVADDTSVQNSEKKEDNVLNGAEENEWDKLLRVRWEKYQSEEEAVLGRGKRQRKAVSYREAYAPHPNETMSESGGEEEREPEPEPEREYTPAGRALKAKYTKLRARQKERLARRNTVEEFRPSEGYAGLESVPQGPSTNDRDGDRVNHSVKQSDKEKCAVIDLEDIKLAQSSDEPKSKADSILRLGRLSKHKSCQLDLSVNPRHQSSPDTILPNNNHQGTSISNSLPTNNLLPVLGLCAPNANQLDSYHKSFSRSNGRQSRPGSGPEFPFSLGPSTGPSTEKEAKSQEPSLDKFKFQDASLEVLQQRLKNSSQDSWLPFSLFPPAIPQGKGSDRLDGSGTSFADFQEKMSLPNLPFDEKLLPRVPLHTKTMNTLNHDLLPSLSLGSRFDAVNESMQDLPAMPLLPKLKFPPQDVPRYNQQEREMPPTLGLGQLPSISSFPENHRRVLENIMMRTGSGSGNLYKKKSKVEGWSEDELDFLWVGVRRHGRGNWDAMLRDPRLKFSKYKSSEDLASRWEEEQLKIFDGPAFTAPKFNKPTKTTKSSSLFPSIPDGMMTRALQGSRFVAPSKFQSHLTDMKLGFGDLGSSLPHFDPSEQLGLQNDQFLPIPTWNPDKFRTNLSGDSIAAPSDRPGPSANVPSEKPFLLNSFGASNLGSSLNCPSSFDLHRKEDDYGSMKYGKLPSLLDRSLHLLRDSHYNGSSESASSGLFPDANKGVNLPHSKGKEVAGNISSNNRLPHWLREAVSTPAKPPDPDLPPTVSAIAQSVRVLYGEDKPTIPPFVVPGPPPSQPKDPRRGLKKKKKRKSHMFMQVLPDAAGSSSLPPTCSIPLAPPFQLLSQSTTGSAGLPWIESDLNLPLNLNVTNPSSSSMYLVPPKKSTMGLSPSPEVLQLVASCVAPGPQLSSSSGMTNSSLLDSKLPLPKSINEIGHPDSQGASDKGKAKQNSPIDVEDQPPEERPNEPDSGDSSKTQSDPSQPERPVVEEISSEGTVSDHPVSDHES >OMO74975 pep supercontig:CCACVL1_1.0:contig11070:6723:7188:1 gene:CCACVL1_16381 transcript:OMO74975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSRLEIDEDSDNNKGSMWDLDQKLDQPMDEEAGRLRNMYKEKDNESGIHFKWFL >OMO96410 pep supercontig:CCACVL1_1.0:contig07477:479:1322:-1 gene:CCACVL1_04968 transcript:OMO96410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLGFNKSVVYHGDVCLGELDTISVMDTNFQFPNNEIRIHHISPTSERCIPLSILHTISSFPVRCKLESSSPVEQPHLIHLHASCFYEFKTAVVLLGDEEVHLVAMPSKQKKFPCFWCFSVPTGLYNSCLGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEVLRGWIARETDPIRASGMSAEYKRYMDDRLLLKQYAESDCVMDNGKMLKVQMEEVPPLSDGHEKVVRPVIRLQDKNIVLTRINPE >OMO92560 pep supercontig:CCACVL1_1.0:contig08199:1152:1682:-1 gene:CCACVL1_06822 transcript:OMO92560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase-like protein MHMVEDVDRFLDELVNISKAHQAVALQSAPPSLSISSAAPGVQAPDVNLREENKKADASHELVNSDKMNHSDDSTEQVGPYFVHSYAATILRIIFNQYGDIAQDCPLSIRTPSRLLEGLCFELEKVLSIGLENLQHRHLESLDSVIGDAESEQLNVKWLRHWHPKLKRIVALHQTL >OMO92561 pep supercontig:CCACVL1_1.0:contig08199:4888:5757:1 gene:CCACVL1_06823 transcript:OMO92561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MYCSMPPKKKNVAVTGRSDDIVESSESGDSRPENEPLRAWTNRRGNTENNQPDVMNLMQQLVGIVDRQQRFQENRAGYRSGLTDFVKLAPPFVGSSIDPLDAEKWIKDIEKAFAAQAVSDEQKIPFASYQLKGAASDWWNSVENLLEKPISWDGGRTVSEYEMEFSRLMRFAPNSFKNDDEAKAQRFLFGLNPKLQHEVKTFELTAYSDMVSKAKLLEEGHEMIANSENKKRSWEGNSVKVGNHNKKQHIMQTGGDIQEKC >OMO92562 pep supercontig:CCACVL1_1.0:contig08199:8221:9382:-1 gene:CCACVL1_06824 transcript:OMO92562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKKRPLSGDNHEEKLSPERKRELIEYHGNTLREEVKYLKFKDSQLQDGSPSRILQDYSDSLSKHSNDPIWRGCFNICNSSINIVDGLVAYLSSKACSRVSDMARFEKAYASLLDDLVSKDYALKFVIGNTELLVFSSLELQLESQRTQGKSYIWGLFRRKKVDSSLVDGCFPHEQGNLRGIQHSDSGAAVDAGKERNYTEGFYLPHPTSPSSESASVTPRAKARNITTPHTHEANPKSM >OMO92563 pep supercontig:CCACVL1_1.0:contig08199:14746:20359:-1 gene:CCACVL1_06825 transcript:OMO92563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MNNILRAYHGLEEHNGAIGDHVSDKHEDVLEIQEDATIDPRLNTSLAEEGVEAIIHERPPSHGAIGHDRPSHGTSHGATGHNRPSHGPSGHNRPFDPLAIPQGPMTRARAKRTRSRLFPCSFLYVYAALMALGLGRGAMGDRQEEQNLEVNNNAMLQQIIKQLGTMCFSLGDRRTLRAYVKGDVHRENLFHTRMYANGKPSSVIIDGKSCKNIVSDYLVKELQLPTSNHPKPYSLGCFNDKEEISVNKQVLVSLSLSRYCFMCGKKPISLIPLSLQEALKDEIKVRDDFAKLDAEFSAKEKSKSEPKIDNCFDDKTTLVAKSVLDVACNDTKPNLNVVCNDTKSVLSVVCDETKFVLDANCDENNSVLVDHSIANNSVLVDYSIENNSVLGEKKEISKEVVKECILATKSEIKEALNDYSVLILLLLKNTLVSTNHLDKELPSKIAFPMSNIVGIGVVLMQGGILLLTFVRKFLEDCHPLERLNSLHMVYVIFNMSSLSLFLMLCDTNKMRHDVGKYVASCIVCLQAKSTSKPHGLYTPLPIPHEPWTHISMDFVLGLPRSRRGKDSIFVVGDRFSKMAHFIACTKTDDAINVANLFFKEIVRLHRMPRTIVIDRDAKFLSHFWRTLWAKLALYGFNPLTPLDLLSLTLSVQVDMDGQRKADYVRELHAKVRTQIEKKTQHYMKVANKGRKEIIFEPGDWVWLHLRKESDVDLRTNPFQGRGDDALRAYHGLEEHNRAIGGHVSDKHEDVLEIQEDATVDPGLNTSLAEQGVEAFIHDWPPSHGAIDHRMEHRMQHRMGHPATTGHRMGHQATTGHLIP >OMO60822 pep supercontig:CCACVL1_1.0:contig13659:56312:60383:-1 gene:CCACVL1_23852 transcript:OMO60822 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate synthase/para-aminobenzoate synthase, glutamine amidotransferase MAANVIMQACLVQSKPSLSAKTLQNPSLSRLSKLSPPSRVGFLAKKGTGIVGKASFSSAVADSSSLVLENKKNNRNPIIVIDNYDSFTYNLCQYMGELGCHFEVYRNDELTVEELKRKNPRGVLISPGPGTPQDSGISLQTVLELGPIVPLFGVCMGLQCIGEAFGGKIVRSPYGVMHGKSSLVYYDEKGEDGLFTGLPNPFEAGRYHSLVIEKDSFPEEALEITAWTEDGLVMAARHKVYKNLQGVQFHPESIITSEGKTIVRNFIKLIERKEAAESQN >OMO60831 pep supercontig:CCACVL1_1.0:contig13659:122379:123100:1 gene:CCACVL1_23861 transcript:OMO60831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNQFLQEVLNFEIGTSNVAFISLEELFIQFKEVAKVGELVNFEACMDVMDLLYEKEETSLLFSAPRSLAASILVTSYVITVPKQQWEFPVLPWVSSL >OMO60823 pep supercontig:CCACVL1_1.0:contig13659:67982:73176:1 gene:CCACVL1_23853 transcript:OMO60823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPAPAAGAGGIPAPGSVGGGGGGGGEIHQHHPRQWLPDERDGFIYWLRGEFAAANAIIDSLCHHLREIGEVGEYEAAIASIQQRRCNWNPVLHMQQYFSVAEVSYALQQVAWRRRQRHYDPGKVGGKEFRRSGMGFKGHRMENTKEVQNSGVDSDGNSTVTAFSERNEKRDEVKSGGEVDKGSAVTEDKKDAGTKPHADSSFKKSGSWKGTTPGSTESGTEDVNGGCTSSYKENDLHSIQNQNEKQNLVAVPKTFVGNEMFDGKMVNVVDGLKLYEELFDEKEISNFVSLVNDLRAAGKRGQFQGHTFVSTKRPIKGHGREMIQLGLPIADAPLDDDNAAGTSKDRKIEAIPALLQDTIERLVDLQVMSAKPDSCIIDVYLEGDHSTPRMWPPWFGKPVCVMFLTECDITFGRVIGADHPGDFRGSLRLSLSPGSLLMMQGKSADFAKYALPSSRKQRILITFAKYQQPKKSTTDNQRLPSPAVSQQWGPPPSRSPNHIRHSGGPKHYTPIPTSGVLPAPPIRSQIPPPNGVQTLFVPTPVAPAIPFPAPVPIPPGSTGWPAPPPRHPPPRLPVPGTGVFLPPPGSGNSSSQQSSTTAPEVNIPVETTSPPEKENGSGKPNHQTTSPKGKSDGKSSKQDCNGSVDGTGNGNGRAMMKEEQQSPDNSVKQTC >OMO60824 pep supercontig:CCACVL1_1.0:contig13659:74048:76717:1 gene:CCACVL1_23854 transcript:OMO60824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MEHPRLILHNFLTEEECKELEFIHKSCSTVGYRPNVFSTTLSHLIATNSAHLIIPFLPIRDRLKEKVEEVFGCEYELVTEFTGLISWCKGASIGWHSDDNRSYLKQRDFTAVCYLNTYQKDFEGGLFHFQDGEPKTIAPLAGDAVMYTADSRNTHSVDEVTDGERLTLALWFSRDSSHDEDAKLIPLLSESLLHKSNNMLCSFLPLPASDNMYWYSPNQDSHHDFGFDIRLGRLHALGFDVYYSQGTRTSDLSELQMEPMQLARGDYFLDHKFVNILHALQVVQFYFWKVCNSGTIQSGEVVQISQAQLEKIIEVKSVFMKDPKLAKTIFRSLEQHSFGSATFSAAITAWDDYSCWPLDSWLLRSSQDNWKSGTK >OMO60817 pep supercontig:CCACVL1_1.0:contig13659:14848:15854:1 gene:CCACVL1_23845 transcript:OMO60817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSISWLVVDKNWPKTK >OMO60816 pep supercontig:CCACVL1_1.0:contig13659:12544:13299:1 gene:CCACVL1_23844 transcript:OMO60816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPNPGLESGNDSEASSQVASNISAHEPSPDPSKDSTTTTSCLTNPVKAQPDSGSLSLDLTLNFSPSDVEMKGTGEPRSDIATNNSSSTIPRVFSCNYCRRKFYSSQALGGHQNAHKRERTMAKRAMRMGIFSDRYTSLASLPLHGSAFRSLGIKAHSAMHQGIIPSQRSIDTRAVARFDQGYYGMPMFMEDDDVDLFWPGSFRQVNEGVSGTPPLQFAQNSTQTSNSGFVAAASSPRTDSSSPDLTLKL >OMO60820 pep supercontig:CCACVL1_1.0:contig13659:35543:44206:1 gene:CCACVL1_23850 transcript:OMO60820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESAIKGDSIIDPMINGVNVVGV >OMO60821 pep supercontig:CCACVL1_1.0:contig13659:49518:51786:1 gene:CCACVL1_23851 transcript:OMO60821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 16 MMMKLSYLGSALFLVLSLVSVFVSGSEKRLPILSFDEGYNQLFGDDNLVLHRDGKAVHLTLNERTGSGFVSQDLYLHGYFSASIKLPADYTAGVVVAFYMSNGDIFEKNHDEIDFEFLGNIRGKDWRIQTNVYGNGSTSVGREERYNLWFDPSDNFHQYTILWTDSQIIFYVDNIPIREFKRTASMGGDFPAKPMSLYATIWDGSDWATNGGKYRVNYKYAPYVAEFSDLILHGCAVDPIEFSSKKCDTTQSLEELSTTITPSQRMKMDSFRRKHMTYSYCYDQTRYKVPPPECVVNPQEAERLKKFDPVTFGGGRRHHGKRHHRTRASRAETDSI >OMO60818 pep supercontig:CCACVL1_1.0:contig13659:20768:24908:1 gene:CCACVL1_23846 transcript:OMO60818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGEKDLSTDLPCVRDESSQSKLSRMFQNKDSSAEKMSFLQKGIDFLRESKVGLDGGYPLPRPSKPGNSGSQGQELTLSYLCENPKLGFCPEKEFPGKTLLEKVASYKGKEVVDSENSNQDEKWVERDFLNLSESKGIASKREVEEDFQERENHNREKRPKLETLNLSLALPDVSLSLTASNALQNVDPPQHRSRPSRSVQSLAPSNNNTQTTCSNDFTAASLSYSYSLPFSHNPSCSLTRNSTENYEYSVGKDDQIWCGGEGTNGSVHSRFRPIGDGVALANHGGGGGGGGFSMMQVNRQVNKESCNSLHRTTSSDNISFFPSELPARPRVDNHSGDSRRRDSENLRVLESMDGGRSRKISRPERILREIVSESIPAMAQIMQELPEETLEMTKEYLRNLIATPERKEELVGLQNRLERRSDLTKETLSKCQKDQLEILVAVRMGLKSFLSAKMRLPMTELLEIFSYVRCRNLNCKSLLPVDDCDCKICSGNKGFCSSCMCPVCLNFDCASNTCSWVGCDVCSHWCHAACGIQRNLIKPGPSLKGPSGTTEMQFHCIGCGHASEMIGFVKDVFLCCAKDWGPETLTKELDCVRKIFRGSDDPKGKDLHLRADELCTQLQNKIMSGSDACGIIMQFFNNSDSMPDFPASGARSKDLITTQVDHRKDESSVTPATSLRPKLVFHNTGSSSGRDLHSNDHRQKDLKTALMNDLKSEDEYRFGRLSNSDGFDSLESMVRIKEAEAIMFQSKADEARREADSYLRMIRAQSEKLEEEYAEKLSKLCLGETEERRRKKMEELKVLEHSHCDYYKMKLRMQAEIASLLERMEATKQQKSAAAKTIVHWQVHLRHGSLLADKNIRGFEISGSKHIQTIYFAKSPENDDSHAFSLSISVGWRRS >OMO60829 pep supercontig:CCACVL1_1.0:contig13659:110938:113849:1 gene:CCACVL1_23859 transcript:OMO60829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate-related phosphatase MGQWSIISMNVSQVLRKSFHLPYCFGNLQRVFVSTWNVGGIAPDEDLDMEDLLDTGNTSCDIYVLGFQEIVPLSASNVLGSENSKISMKWNSLIREALNKKGDKNQKNSISNNNNEDGKSSMEYNNIPGQEFRCIISKQMVGIMISVWVRSYLRPYVRYPSVSCIGCGIMGCLGNKGSVSVRFRLHETSFCFVCSHLASGGREGDEKLRNSNVSEIFSRTSFPKGPSLDLPRKILEHDRVILLGDLNYRISLPESSVRLLVETRDWTALLENDQLRMELMNGQFEGWNEGPITFAPTYKYRPNSDVYYGCFHQTKKGEKKRAPAWCDRIIWHGKGLKQHEYKRGEEKFSDHRPVKAIFTAEVEVLHPLKQFQSFFLSDRFDRIASQLEIPSSKDDFPCKGRSSFQI >OMO60830 pep supercontig:CCACVL1_1.0:contig13659:115006:117941:-1 gene:CCACVL1_23860 transcript:OMO60830 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor eIF3 subunit MRSFSDEDHEDGKEEKRVKQCWEDPTDEEDASNEVRISNEVEVADDEEVANQAKNNPMRSFSDKDREDRKEEKRVKQYWEDPMDEEDAEDRSLPHVVSKGQHSSCWEAEDVENNKVKESWEDEDDNILVPMSMSSKKVASNASKKKGKAAAGEKEAKLKSMEEKLCQLSLVGKANSGSNGDQSAEKSINNFIPKTESDFLKYAALISDKLLAYEHSYYYLTLLKAVMKFSIKGLKAADAKEISSTVAAISNERLKAEREDANRKWKTTAAAKKKSIYVEKKIATSLDAYSALDSDADYDYE >OMO60819 pep supercontig:CCACVL1_1.0:contig13659:26313:29944:-1 gene:CCACVL1_23847 transcript:OMO60819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561, eukaryote MHSSHPVVHSAHRGSASRLTIFAHLFGILAVILMLIWLLHFRGGIEYDSYDPNRVFNVHPFLMFCGFIFLAGEAMMAYKTVQASHMVQKVVHMILQLMAFVLGVVGLCAVFKFHDMINAEDVYSLHSWIGIGTISLFALQWLGGLSSFMFSKSEHTRASMLPWHICGGRALLYMSIATALTGLMEKSSFLQLRHGREAHLVNFMGLSILLFGIFVDLSVALARYFREGLAFEAANKFKLFNLHPFLMVIGFILVAGEVFKFQNETGTSHMYTLHSWLGMIAICLFGFQWLLAFFSFIFPRAESSSRAGYRPWHVFGGLVIFFLAIGTAEMGLLFRFLWLELFRSQEALIINFIGLLLFLFAVAVGLTVVLPGSY >OMO60833 pep supercontig:CCACVL1_1.0:contig13659:141710:142582:-1 gene:CCACVL1_23863 transcript:OMO60833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIB MKASNNFCLQCRQATAIILDHEAADKICSECGYSFLDFTQIDSNSVYNTFSASTDEDFDQNPVSEIGDSCEIPKPTDQIVRKPKKGFRLIGTMAEKLGGASPEIKNRAREIYSQVDVLKTCRGRSLNSIMAACLYIASREHQKPKTLQELSKAAIEAPKRINRAIECIKKQLELQIWSVMPQELVERYCKTLGLENSAIEAAKQALHNVEEIDIRRGPKSNLAVIIYMITQLSRDHQVPVREIAKVTEITELTLRKSFHDISPHASYIIPHWYAKEEEIMKIPIPSMSNN >OMO60826 pep supercontig:CCACVL1_1.0:contig13659:82969:86803:-1 gene:CCACVL1_23856 transcript:OMO60826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLCQKTMTLTLVSTFLVALFGVLYCEAELERFEHGAKPDGSLSFLVIGDWGRRGDYNQSDVALQMGIIGEKLDIDFIISTGDNFYDKGLTGVDDPAFHESFSDVYTAPSLQKQWYIVLGNHDYRGNVEAQLSPILTEKDSRWLCLRNFILNAGPEMAEFIFVDTTPFVDKYFTDPEDQVYDWRGISPRKHYLKNVLEEVESALRESTAKWKIVVGHHTIKSASTHGNTYELNVHLLPILEAYGVDLYINGHDHCLEHISSNSSPIQFLTSGGGSKAWRGDINWWNPQEMKFYYDGQGFMSVQMTQTELDVIFYDVFGNVLHKWSTSKQLFSVM >OMO60825 pep supercontig:CCACVL1_1.0:contig13659:77169:78383:-1 gene:CCACVL1_23855 transcript:OMO60825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVGQKLDIDFVISTGDNFYENGLTGVHDPAFNESFSSIYTSPSLQKQWYHVLGNHDYRGDVKAQLSHILREKDKRWLCLRSFILESEFVEFFFVDTTPFVDKYFTHPGKHTYDWRGVYPREVYLSNLLKDVDAALKKSTATWKIVVGHHTIKSAGHHGVTEELVKQLVPILEDNSVDMYINGHDHCLEHIIDSKSQIHYFTSGGGSKAWNSDVHGWDPEELKLWYDGQGFMSMQMTQKKAHIRFYDVFGKVLHAWNMTKEMHSAV >OMO60828 pep supercontig:CCACVL1_1.0:contig13659:90744:100293:-1 gene:CCACVL1_23858 transcript:OMO60828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin MSTVKVPAIAPSPRDDAMQIYRAFKGMGCDGAAIINVIAHRDASQRSFIQQEYETMYSEELRKRFSSELNGHFKKAVMLWMQEPGVREAYIIKNALKGAVKDQKAITEIICSRTSSQIGQLKRHYFTNVGSSLEEDIESEVSGDYKKLMLAFITTSRYEGPEYDEALVENDAKALHKAAKKFGLEEKTFIQIFSERSRAHLAAVINAYQQMFKKPLDKAIRNDTHKSFEYALKTIARCAESVPKFYAKALRKAMKGLGTADTALIRIVVTRAEIDLHYIKAEYRKKFGKTLNDAVHSETSGHYRTFLLALLVDACLYNYVAVRRPGSYDEALVENDAKALHKAAKKFGLEEKTFIQIFSERGRAHLAAVINAYQQMFKKPLDKAIRNDTHKSFEYALKTIARCAESVPKFYAKMGRIGDKLDIDFIISTGDNFYDKGLKGVNDPAFKESFTNIYTAESLQKQWYSILGNHDYRGDAKAQLSPILRKIDSKWLCLRSFILNTEIAEFFFVDTTPFLDKYFTEPDHNYDWRGVEPRGTYLANLLKDLELALRNSTAKWKFVVGHHAIRSVGHHGDTVELIQYLLPILKANNVDLYINGHDHCLQHVASRDSPIQYLTSGGGSKAWRGDIKSSENNDDTLKFFYDGQGFMSMKISDKGAVFAFYDIFGNVLHKWKISKDQQLHSAI >OMO60832 pep supercontig:CCACVL1_1.0:contig13659:136027:140330:1 gene:CCACVL1_23862 transcript:OMO60832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein, MAP65/Ase1/PRC1 MLAIGSPLATSLRTSSSCNVLLRELQQIWSDIGESEADKDRMLLELERECLEVYRRKVEEAANAKARLHQSVAAKEAEVATLMAALGELNIHSPIKTEKKMASLKEKLASVTPLLEDLRMKKEERMKQFADITSQIEKISGEISGYNYPSDTMISSLTLEEQDLSLRRLTEFQTHLQTLQKEKSDRLHKVLEYTNEVHLLCGVLGLDFSQTVSDVHPSLQRTNQEQSTNISNGTFEGLEKTISKLKTERKIRIQKLRDTVSALFELWNLMDTPQADKNVFSRAISVLRLSEAEVTEPGVLSIEMIEQASAEVERLTKLKASRMKELVMKRRLELEEICRMIHIEPDASTAAEKSNALIDSGLVDPSELLANIEAQITKAKDEALSRKEIMDRIDRWLSACEEENWLEDYNQDNNRYNAGRGAHINLKRAEKARITVTKIPAMVDNLINRTLAWEEEKKMLFLYDGVRLVSILEDYKLTRKQREEEKRRCRDQKKIQDLLLTEREAIYGSKPSPRKTNSFRKPNGYRANGNGSMTPTPRRSSVGGGTPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEDTVSTYTSVCGSELGSPPHS >OMO60815 pep supercontig:CCACVL1_1.0:contig13659:342:524:-1 gene:CCACVL1_23843 transcript:OMO60815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIANMQIQISLVSQARPGQVQLRPRDLTSPETPGEQCYGKRSKHLPHVRKEMVIATEQT >OMO60827 pep supercontig:CCACVL1_1.0:contig13659:88103:88171:1 gene:CCACVL1_23857 transcript:OMO60827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSMSLVGWGRLTWLEAFDKA >OMO60834 pep supercontig:CCACVL1_1.0:contig13659:144425:145485:1 gene:CCACVL1_23864 transcript:OMO60834 gene_biotype:protein_coding transcript_biotype:protein_coding description:IAA-alanine resistance protein 1 MEFEKGRIFLAVVLVLVSSDLCFGSELGMSPAHGDHGHHHHCSHGHDHHHHHHHDHAHHQHRHVEEKVVEKKKMMLPEELAEEEDMKLYGFGPYGVDHDHDYDNQELSGLGLWVHAMGCSLLVSLASLICLIILPVIFIQGKPSKAVVDSLALFGVRFFKPLYFSYPNLICNFYADMYVDCLNHMKTECNQRFL >OMO68756 pep supercontig:CCACVL1_1.0:contig12179:17918:18142:-1 gene:CCACVL1_19848 transcript:OMO68756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIEPSFRLPNLNTSPMDRLGFQVCYSSFLPPPNASNQPLNRASDRRKLCYTRGLNLHFSPSSINRASDRRKG >OMO68757 pep supercontig:CCACVL1_1.0:contig12179:20992:21697:1 gene:CCACVL1_19849 transcript:OMO68757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPVVIENKGKASDQPALEKRVQILGLRTPEKRTFASDSETYQTSQIPKTLTLETRPPNTDLQTPAISGPNEGNGEAVTGASTAKKSKQSDASKKLNL >OMO68759 pep supercontig:CCACVL1_1.0:contig12179:28462:29580:1 gene:CCACVL1_19851 transcript:OMO68759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLMCKHSVMNTVLPTLAMDTGNPVPTPVRLNEPFNTGRIQATTKTVYLVSVNQVVQVQVTINIEVDSLIGSLYYDMLWALGKAHCPSTANNVAPPYQRPVDLRCLIYNARGASLPGFEFHLKLLVHRETPMIIIVTETRDGMEGAKQLANELDYQDMIALPPMWASGGVWLLSNCIHLALQEVESPITDTAVGSTYATDTPGHGVRHPQTRQKKIQWWTRAEHGLDTVGHGETRLGEGEKNSSLEGKKKSAWNGRRREGRRRYQRVRARVFERKNKLKAKKEATVSTLDKKLDLTNPDPTRVAHLVMVGESPNRGSCVRKVSNLRPCLSWNKPLIT >OMO68755 pep supercontig:CCACVL1_1.0:contig12179:3073:14784:1 gene:CCACVL1_19847 transcript:OMO68755 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase PIF1, ATP-dependent MSIRPFRINSKQSDELVIDWTFLGLLQYFQHLRSSSRLLTTLLESHFLNAVVQICITDLAIEDFQPWFVLFTYLKPLMARVNRLMRVEINRQKRSKHCLHSFVRQELSGPQEIASPEQPVPANKQSFSVQGQTCQVLMSRGFLMSPACETKSEPLTINFPRPSCGDREKDIREQLSKNSKIEISVGCCNVEQSVSVCNLGSKPSDNAFMPYLDFSVLGVHTLELSSSTRDPRDLVLTASDISPDDLTPGLIPPPAEANGAPPIYVSNEHLLPEADSNASFTYTDVTDRNNGPIASTLDGNEVNVVAHSIGTGSIHKRRHFEPLYLGGANWICPFCGANMWYGERINLHRETENPVFTLFCRQGTIRLPPTRLTPQFLRELMDPAGPRRSRLFRENIRVYNSLFQFTSFGGKMDQEINQKPGPFTFSLNGLCYHRMGCILPGEGRMLVFAQLYIFDTDREIENRINALFGGQEAEKINRQNVEDLCQAYRDIIIEHRNEGPKKISTVHPFYMAFQYPLLFPYGEDGSLMWTLLSRRHLSDNQLQCVNFMLTSFNSELLSLIRSYGTTIYSISLLLMPSHPLIIYDRDHQTDFRCDMLDNVKDAVSRGDGNNVGKRIILPASYTGGPRYMFPNYQDAMAICSYFGYPSLFITFTCNPKLTEIQEALDQIPEEQSRWTTAVEIDEIISAKLPNFDGEPISYAVVSSCMMHGPCGTTCFNAPCMKEGRCSKFFPKQFNSSTVVGDSGFVNYRRMDSGIIAKKSGIELDNSQPLNDLLARPNVNKIMFTEWMETNRRLPEARTFLYADFPTKWVWLKSQKVWKPRKQRRTYGRIIAVHPTSGPLFYLWLLLNVVPGATNYDNLCMVNVILYPTFQAACYVCGLLGDDCEGNHALAEAAETANSGQLRLLMVMMLQRCQVVNPAELFEGNWRLLGDDIQYRFNRLWASSNFSIPDDDLRSYVLVELEKLLNSNVASSGIASLLLPGGRTAHSRFRFPIDINERSVCDIIKVNKSLSDILFDPQTDRSRRLFGGKTLLLGGDFRQIFPIIPHGCKTDIVSASISNSELWPFFEVFTLRTNMKLTHKGLNPVEKENLQQFANWLLDVGDGNVSSGSCPSEIDARIVTIPKPLLIRCRGDPIQAVFNCVYEDFQLNFMKTDYLKERAIVMPYKDTVDKINRYALNLIPSEMRTYFSCETLVRDFENLRNENLLHSPELLNSLVLPGFPDHQINLKVGCVVMLFRNVNQAAGLCNGTRLLITQLATNVVEGRILANSGIGEKIMSLKQVRLSQLRRGRMADYVILKVMQRGNTIFPTTKKFPSIDFLFADNEGNAIHGLMEPILDSEFENLLSEGGVYKIENFQVKCQKPTHNAVSFNHTILLTLSTVVNEFQGDVSAFPRNYFIFATMQDITDRKDSYRFMTDVVGMLVAITKPNKIKVRKQDEEVEKQTLHLKLLSGDEIKVSVWALFLNHVEFDSLVAQQPKPVLAVVGTTVKKVDEFLTLTITTGTKIYVDLDIPQDDHTPVFVLAEDKPLLSREEMYKDVSERHQKFRVNVVVKGIDTSMLWYYVTCGVCLQALLDGFDGFFCTQHQIQTPQFTNLDDAIKTNVPIMLDVLGLLPIPLGFLQGLDYQGNRRRDSNDLCLMILDDELNSHTETLQPFGNGSDRKRKGKA >OMO68758 pep supercontig:CCACVL1_1.0:contig12179:25350:26294:1 gene:CCACVL1_19850 transcript:OMO68758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein INDDGAMYKPIKDLISALEEQLSMSFPEGDITSSPDVRFNPLVRDLVRLLIGFTKYTTDANPVHINRVATWLRIPYNKIPDYVETITILQRHDIFGELLVRGLIHCSAMTSLPSPTSPEIQELTLDCDSKTFTIEGDKTKILILTTKAAMRTILLSAFEVDPITGKTTHRVGLTVSQQPSHTCSHGCFICEAMSYNRRPCATVPFKIARYNAAGAGNSIHSCRSLAIKDNQAPDFMIVTETRLHGIRAIDMRSCFGYDQAQSIEPISCRGGQWVLWHSQNIHFEIFRKDELLIRGAISHVRKGPVLKDVSSSPA >OMO71261 pep supercontig:CCACVL1_1.0:contig11681:9777:9926:-1 gene:CCACVL1_18330 transcript:OMO71261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKQKEWVERILLVLLVESYHVGEMPLDVQMSFQPKHLVVRQLKFPAD >OMO71260 pep supercontig:CCACVL1_1.0:contig11681:7005:7088:-1 gene:CCACVL1_18329 transcript:OMO71260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDSSGRGGAPLGGGSDVGGSAADGG >OMO59509 pep supercontig:CCACVL1_1.0:contig13932:2802:2987:-1 gene:CCACVL1_24779 transcript:OMO59509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTARTMTTPTQIPAELHRDAADLHRRTSFQKADHLLRWSENPQSTYKHTKTSFSSSQASI >OMO59508 pep supercontig:CCACVL1_1.0:contig13932:761:1699:1 gene:CCACVL1_24778 transcript:OMO59508 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter MEISNVSAKDKHLIGTQYSSNGHNRINIINNRVDLSTWLYQFSILLQRSLKERKHESFNTLRVFQVIAGAILAGLMWWHSDYRDIQDRLGLLFFISIFWGVLPSFNAVFAFPQERAIFMKERASGMYTLSSYFMARIIGDLPMELILPTVFLVVAYWMAGLNPDLVSFLLTLLVLLGYVLVSQGLGLALGAAIMDAKQASTIATVTMLAFVLTGGYYVHKVPSCMAWIKYISTTFYSYKLLINVQYGRGEKISSILGCSHHATSSFSNRVSCNFIDQDIAGQIRPQLSIGILLFMFLGYRLLAYLALRRIKG >OMO75416 pep supercontig:CCACVL1_1.0:contig11045:752:931:-1 gene:CCACVL1_16180 transcript:OMO75416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCKEHHEYSVRLQRKPSNFSGENNGVNSAWYLILEAIDEASGGNGLRALEDSTSEEKK >OMO89614 pep supercontig:CCACVL1_1.0:contig08659:1833:3287:-1 gene:CCACVL1_07735 transcript:OMO89614 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MATQIDQPHFVLFPFMAQGHMTPMIDIARLLAQQGVIITIVTTPRNAARFKTILDRAVESGLSIRLVEFPFPSVESGLPEGCENFDMLPSLSLALNFFQAANMLEKPVQKLFEELTPRPNCIISDVILHYTLNIANQFQIPRIVFAGCCCFLYVCLHNFVNSKILEQITSDSEYYTLPNMPDKVEFTKSQLGAAMYGNLDDFSLKMKQTEEASYGVIINTFEEMEPEYVKEYRKIRGNRVWCVGPASLCNKDDLDKAERGNKASVEGYQCLRWLDSQKPGSVIYACLGSQSHVIPSQSIELGLGLEASNRPFIWVIRGSDSSNQVEKWITEDGFEERTKGRGFLIRGWAPQVLILSHPAIGGFLTHCGWNSTLEGISAGLPLITWPLFADQFANEKLAVQILKIGVKVGVEEPVRWGEEEQIGVLVKKKDVQEAIRSLMDGGEEGEERRKRTKKLGEMAKKAVEMGGSSYLNITQLIQDLMQRA >OMO89615 pep supercontig:CCACVL1_1.0:contig08659:3666:5147:-1 gene:CCACVL1_07736 transcript:OMO89615 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MANQEERLHFVLFPFMAQGHMIPMVDMARLLAQQGMIITIVTTPLNAARFKTILDRAVKSGLSIRLLELQFPCLDSGLPEGCENFDMLPSLGQALNFFRAANKLQNPVEKLFEELRPRPSCIISDILLTYTLNIANQFQIPRVVFNGVGCFCLVCIYNLYLSKILDRIPSESQYFALPNMPHRVEFTKPQLPAILDDGEMKAFCKHTVETDLASYGVVVNTFEELEPEYVKQYSNARGNKVWCIGPVSLCNKDALDKAERGSSKALVDEHRCLRWLDSQETASVIYACLGSLSNVIPSQLIELGLGLEASNQPFLWVMRGNGASEEVEKWILETGFEERTKGRGLVVRGWAPQVVILSHSAIGGFLTHCGWNSTIEAIAAGVPLITWPLFGDQFCNEKLVIQILQIGVRIGVEQPLSWGKEGKVGVLVNKEDVKRAIETLMEAGEEGEERRKRVKKLEEMAKMALEVGGSSHLNLIQLIQDIKQRNYDRKSGM >OMO89616 pep supercontig:CCACVL1_1.0:contig08659:15191:15274:1 gene:CCACVL1_07737 transcript:OMO89616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKGAGVGEEFVGEEVETRSLSRNVKK >OMO58708 pep supercontig:CCACVL1_1.0:contig14181:7941:10554:-1 gene:CCACVL1_25409 transcript:OMO58708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRGNKSSGDNFHAAPNIDHSQEFVRKDLKEWLCWLREEIGYDGWRLDFVRGFWGGYVKDYMDASEPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIIDWINATNGTAGAFDVTTKGILHSALERCEYWRLSDQKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPNGKEMQGYAYILTHPGTPAVFYDHIFSHHRSGIASLISLRNRKKIHCRSTVKIVKAERDVYAAIIDEKLAMKIGPGHYEPPRESQRWSVALEGGDYKVWEAS >OMO62721 pep supercontig:CCACVL1_1.0:contig13195:5592:5678:-1 gene:CCACVL1_22675 transcript:OMO62721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCVGTWDAIKGRLWSQLAALMARILPLV >OMO61365 pep supercontig:CCACVL1_1.0:contig13510:3705:4076:1 gene:CCACVL1_23575 transcript:OMO61365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDPKTKDYKAFTEVVPLFLVIAIFVILFLPFNILYRSSILPSHMFVTLYLCPLCKVTPSDFSLVIN >OMO61366 pep supercontig:CCACVL1_1.0:contig13510:26847:34510:-1 gene:CCACVL1_23576 transcript:OMO61366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAINFHNSSLKAPLINPNPKLPLPKQVFGLKLSPLSSFNSKTTSLKFRSGNLTKPVKCSVSTQPATEKKSQLMRRSDVRNIAIIAHVDHGKTTLVDAMLKQSKVFRDNQFVQERIMDSNDLERERGITILSKNTSITYKDTKINIIDTPGHSDFGGEVERILNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGLAVVVVVNKIDRPSARPDFVINSTFELFIELNATDEQCDFQAIYASGIKGKAGLAPDDLADDLGPLFESIIRCIPGPRIDKDGALQMLATNIEYDEHKGRIAIGRLHAGVLQKGMEVRVCTSEDACRYGRVSELFVYEKFSRTPVESVEAGDICAVCGIEDIQIGETIADKADGKPLPAIKVEEPTVKMAFSINISPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFIVSGRGTLHITILIENMRREGYEFMVGPPKVINKKVNDKLLEPYEIATVEVPEEHMGAVVELLGRRRGQMIDMEGVGSEGTTLVKYKIPTRGLLGLRNSILTASRGTAILNTIFDSYGPWAGEIVTRDLGSLVAFEDGTSTSYALASSQERGQMFIGPGVEVYKGQIVGIHQRPGDLSLNICKKKAATNVRSNKEQTVVLDTPLDYSLDDCIEYIQEDELVEVTPSSIRMCKNPKMSAKKNR >OMO61367 pep supercontig:CCACVL1_1.0:contig13510:34843:37104:1 gene:CCACVL1_23577 transcript:OMO61367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALFGATALLPLFKIQSPLLCSKVKPPDKAKFPILKTVQSCSISTSKELILTEDWPRLLKLSIGSGSFLLGQSIHAFLLKSNASYDVFQGNNLVNFYAKFNELDDAQKMFDGIPVRNTITWTTLLKGYLDNEDFDSVFRIARQMYLCGEKFNEHTCSVILQACATVTDRIRGEQIHSFAIKSGIEENVFVGTSLIAMYSTNGFLNEAGKAFDDIGFRDVQCFNYMIFEYGKAGYLEKVFQVFVDMLNCGLEPTDYTFTNIISTCCKSVSVEEGRQLHGLAVKHGVMNITSLGNAMITMYGKLGMVEEAERMFGLLSERNLISWTALMSGYIRNGYGTKAVDMFLKLLDQGFRCDSGCLVTVLDGCSECKNLDFGVQLHGYVIKAGYLPDVNIVTALVDMYAKCENLKSAKIVYDGFSGKDNAVFNAILVGFMETNSDDEDAMVLFRQLRLGGMKPDLITFSRLLSLSAKQTCLVKGKSLHAYAIKTGFEANLTVQNVLITMYAKCGDIGDACQLFNGMNGHDSISWNAIVSAYSIHGQGKEALLLFEEMKREGFAPDEITILALLQGCSYTGLWEDGLCLFNEMESIYGLRPEIEHFACMVDLLGRAGRLPEAMNFINNSPFPDSPLLWRTLVNVSNLQGDLDFSMLASRKLLDLSPEEAGSYILVSNIYAESGMLDEAAKVRTAMNDLKLSKEAGCSWIEIDNKVHCFVASGKDHPQSREIYAKLDLLMDEMKWKNQNTTNANLNGEIVLA >OMO76811 pep supercontig:CCACVL1_1.0:contig10866:67647:68000:-1 gene:CCACVL1_15417 transcript:OMO76811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPGGTHAPATATPRNTRRQRRRWCGLGGGRGRGSAPSTPLLHWTFYNANKKHHHHSSSSSRAAAGRNRPVELSARKLAAGLWHLRRSTEMIRCRNGGFGSKYKSPDRSRSGVTHV >OMO76804 pep supercontig:CCACVL1_1.0:contig10866:37848:39193:1 gene:CCACVL1_15410 transcript:OMO76804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQAKERIELTETEKKVFDRLLSTVRHFNLQTQPRVAGGWVRDKLIGKECHDIDIALDNMLGSEFVAKVKEYLSFVGEEEDAKGIGVIVIQSNPKQSKHLETATMRLFGLSIDFVNLRAIRFGARFDFILDEELKKAAADDDVKKDLVNKISRERIGTEIDLMISGNQPVKAIEYICDLTLFWDVFKFNINLPLNVDPRLCAAYLDATWRLINGGCCFEFDDDEPRRLALYSALFLPLRNATYKDRKGRNIPAVNYILRDSLKRKACDADTVINIHKSLEKFLSLIPSLLSIEGRDQVVDTSKLRVLTGLLLREIKDFWRVALLMSTLLYDPTDDDITNRHFLQLDKRKDLFVSVENAVVKLGLDNVWD >OMO76806 pep supercontig:CCACVL1_1.0:contig10866:45054:48080:-1 gene:CCACVL1_15412 transcript:OMO76806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCGSKHKLSEPDYNGYGSGMPPPRPIQPVYQQQQQQQQVQTQKVSVSQPSPPPPQTRPPPPPQPIPAPLKPPPASTRPVERPGTILGKPLDDIKQFYTLGKELGRGQFGITYLCTENSTSNTYACKSILKRKLKNKQDREDMKREVQIMQHLSGQPNIVEFRGAYEDRQSVHLVMELCAGGELFDKIIAQGHYSERAAAAICRAVVNVVHVCHFMGVMHRDLKPENFLLSSKDEGAMMKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRSYGKEIDIWSAGVMLYILLSGVPPFWAETEKGIFDAIIEGELDFQSQPWPSISESAKDLVRKMLTMDPKKRLTSAQVLEHPWLREGGDALDKPIDSAVLSRLKQFRAMNKMKKLALKVIAENLSEEEIKGLKATFTNMDTDKSGTITYEELKTGLARLGSKLSEAEVKQLMEAADVDGNGTIDYIEFISATMHRYRLERDEHLYKAFQYFDKDNSGYITKDELESAMKEYGMGDEASIREVISEVDTDNDGRINYEEFCTMMRSGTQQTGKGF >OMO76805 pep supercontig:CCACVL1_1.0:contig10866:39672:42564:-1 gene:CCACVL1_15411 transcript:OMO76805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELQSCASLVNAPALCAIEPEMKGESVNVIAKIAAELQREREKNAELLERISSLEAQLQERDNKDSVQTNEQVSCIDNTERSFKRQKIELSNHRSEDENSTKTEMASKAENDTHDMHPIDRNQEGHLVNWMSIDETVFSHFDKLKDSDLNADREDTDDSDDDDESYEEDDPNSGYKDREIEENPRSSCEEQPREDDIGPGMHISCLHSCSLDLSKPTLKNTSQLIKDNTERDYSLQDIQVAFDRSNLKYDTKETEKKGGHKFPSDIPTMVSGNTSLNKKPQKVPFCPKEIKKMLETDALLLKNAQSHTLRKIIVFASLGIRHGCEDMYELDFNHFSILRKGDPYVSPKNPGEHVLYENPGVQKKVFYPNRQNPTLCPVQILEEEKAMRPSDPSCPSCLFLCIKYGGRTRNLPQNEYVRQRMGRNKLKSFGPLMCQMAMLVHVRSGSFFFKALGITLLFMAGFPDNFVQRETKYRNLDLLQKYYRTDEDAEGEELFHSHAIAFNPANPSFQKLRGKTPSTKSKGKKRTNFSTKSQNSPNASVEQSTPSGSRNATQFGLMGYTSIQTHAMAAVQSKKPLQTPADTAKLSNAMVKSVGNNNVSYHGQLQYHLFPPQPANAFMPMVYWPPPPNVFPPGPYPTTYGYRSFPTNSNYMSIHPQPYYNHYSSSSFITKVIEGNGKDVSADSDSDSSSSNMEEPK >OMO76797 pep supercontig:CCACVL1_1.0:contig10866:11468:13058:-1 gene:CCACVL1_15403 transcript:OMO76797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPHVYHRFSDLPEDIVLHVFSFLDYGDIVRLSSISRKFQKICSSGHNLLFKLDFDDKSCTATCKKFKKFLKDSVAKKKCKKFKGFESLDLHNAPEIQRLGLHWFCHCCRYDSKKSSFMNWLQYALRNKVQELDIAVPVTADHKPFKFPAAGRDQFTSLKVLKLKLQRGESNLLALEMPSLEALSLTSVSVDSLKFGEWVSSNSCKSLKVLNLEEFRGIYDLNISSSSLKAFTLVSCARHQDGNFNIISCSSLEKLSITKCAFGNFKLMNSPSLKGLQISNCKFFNNFDINIISAEQLQTFTLTMNLSFWTGNGSINLHNSLSLRDAVISVEPNRNFTHNDLFRLMNDIRYAKSLQLSFQTIQALSHHDQWPKFEYLEHLEVTGAELNLYKIATIAAFLVELCSLNTLTLRFNENATQLSEIEVANKICSEVEKHGVESNYLKIICTAKKTKRNKF >OMO76809 pep supercontig:CCACVL1_1.0:contig10866:56955:57617:-1 gene:CCACVL1_15415 transcript:OMO76809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MAKGRRLTTSRSERFLGSYGYGHNQGDSATDEPELGEEDVWSMVDNVAERDDQNFHNSQSEWSPRAEAESNGNGNSDMRGGRRRVPRGDRHVGGLSLAFEDSSSSTKPRIVHQFRNHDGLAAAAANSPRGHHMATSAPVSVPDWSKIYRVDSVESIHDSDDGLDDRDLEMVPPHEYLAREYARSKKAGGASVVEGVGRTLKGRDMRRVRDAVWSQTGFYG >OMO76810 pep supercontig:CCACVL1_1.0:contig10866:66067:66708:-1 gene:CCACVL1_15416 transcript:OMO76810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRQEMEQERKMLQMAEVLREERVQMKLSDAKLALEKAHEEWSKLKTSSNGGVLTLAGTLSSPWTIFPIRKSIGRIGHQRYSMGQWGVKDLVNPHIARGIKGCIESSRGNFQKNTVKAKLWEAMIDSEKAELQTD >OMO76800 pep supercontig:CCACVL1_1.0:contig10866:23824:28326:1 gene:CCACVL1_15406 transcript:OMO76800 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA transferase Trm5/Tyw2 MEFEKRKASTLALLTSNEADKSPKGSLDTPIIPLINAINNHPSYFTTSSCSGRISILSQPKPSPTVNNLTKKKARGGTWLFITHDTADPDSVISLLFADSSELTPLSELVFRFEPLIIAVECRELNSAQNLVSLAISCGFRESGITSVSKRVIVGIRCSIRMEVPLGDTQKLMVSKDYVRFLVEVANEKMEANRKRTEGFLRAFLKGQEDGAIENGNGSMCGESVDCNEGQDGLERRSFEDAQVGIPICSLSITQMANVAGEPIEKLFLWGHSACTLDNTDKAMVLVFGGFGGIGRHERRNDSFLLDPLHGTLREIKVADCPSPRLGHTSSLVGDCMFVIGGRADPLNILNDVWILNTIKKEWKLVECTGSQFPPRHRHAAAVVGSKIYVFGGLNNEAISSSLYVLDTNTMRWEEIVVHGEWPCARHSHSMVAYGSKLFMFGGYNGEKALGDLYSFDIQTCSWNLEKVGGRFPHARFSHSMFVYKKYIGVIGGCPVRQHHQELALLDMQSLVWNHVILNSIDKELFVRCTANVVHNDLVMVGGGAACYAFGTKFSEPMIIDLLPLLALDDHSSVPKMGENQVNNQEDGLTGYCNGLTQAPQVGNVLGSTQSSESQSSNLGNQTVASTWVVQLKRNYAKLGKDILKKFGWLDLQRKVHAGGDGLHISFPVTEKFCAIFQEDKFEGLSEHHPSKPFKADSVFLNEVSSSEALDILKECGATKLSDEAVEARKASKSPLKVMTEAVSSLIRHKGLSVELLEQLPNRWDRLGDIVVLPVSSFKDPVWDSIGEELWPIIARSLNTSRLARQGRVAPNGTRDSSLEILVGDSGWVDHRENGILYSFDATKCMFSWGNLSEKIRMANLDCADEVIVDLFAGIGYFVLPFLVRAKAKLVYACEWNPHAMEALKRNLQLNSVSDRCIVLEGDNRITAPKGVADRVCLGLLPSSEGSWVTAVRALRSEGGILHVHGNVKDTEEESWTKHVSKSIYEIARSEGHRWEVSVDHVERVKWYAPHIRHLVADVRCKLIQD >OMO76808 pep supercontig:CCACVL1_1.0:contig10866:50660:54756:1 gene:CCACVL1_15414 transcript:OMO76808 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MLSFEFPLHYLNQILREGQHRWLRPAEVCEILINHAKFPVLDKPRLMPPARSMFLFDRHALRYFRRDGHNWKKKSDGKTIKEAHEKLKVVSVEVLHCYYAHGEDDEKFQRRCYWMLDEDFHKYVFVHYRQVEEGYKYNRSGCSRLPFNVVNGSLPSLVHENSPAPKVQTYHASTSTTEWNGQTLDYQSTFSPVHPIYGSIPHTASMKHEVAGIHYNMTNSAISMQNQNLYVEQPIAGELIAIIEAGVTLDELLSDVDVQPVAESPENVIQNESKLKKLDSFGRWMEAEMGGDCHDSLIASDHSVNYWNPLDIESDVSEVSSLYPLDPSLVEQLFTIVDFAPNWAYSGVETQVLIIGDFLQKSKELSNATNWCCMFGEIEVPAEVVGKNVVRCQVPSDHSPGHVPFYITCCNRLACSEIKSFEFREKPPGFLFDRNVKTTAEEEMLLKVRLAILLDIGPRRKWSGCSVKECDKCRLTNDIYSMGIACPNDWMQPKDGLIQNLLKERLYEWLLYKFHHVGENLRILDEEGQGVIHLAASLGYNWAIGPIIAAGISINFRDRRGRTGLHWASYFGREGTVITLIQLGANPGAVDDPTSCFPGGRTAADLASSKGHKGIAGFLAENALLAHFASLTVVNRNAIETTATTQVATSNGSLDNKHCSLKMSLAAVRNSAHAAALIQEHFRTRSFRDKQLTKGNDDMSNVSLNRNQKTSDQFGDYLHLHTAAAKIQQKYRGWKGRKEFLNIRNRIVKIQAHVRGHQVRKQYKKLLWSISIIEKLIRWKRKGAALRLQGFRVQESIDTPVKTGDEYEHLKLGRQQKINGVEKALARVQSMARDQEARDQYMRLTTKFGESEVSGEGSNDSTYVDSGQEENNLLFYSLGDQMIPDPN >OMO76801 pep supercontig:CCACVL1_1.0:contig10866:30278:31603:1 gene:CCACVL1_15407 transcript:OMO76801 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase, plant/fungal/bacterial MGAKVVFFFALLCFAAVSAFQDEEDQGLVMNFYKDSCPQAEEIIREQVRLLYKRHKNTAFSWLRNIFHDCGVQLGGPYIPLKTGRRDGRKSRADVLEEYLPDHNESMSVVLDRFAAIGIDTPGVVALLGSHSVGRTHCVKLVHRLYPEIDPALNPDHVPHMLHKCPDQIPDPKAVQYVRNDRGTPMILDNNYYRNILDNKGLLIVDHQLAYDKRTRPYVKKMAKSQDYFFKEFSRAITKLSENNPLTGNKGEIRRRCNVANKLH >OMO76807 pep supercontig:CCACVL1_1.0:contig10866:50351:50455:-1 gene:CCACVL1_15413 transcript:OMO76807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTERLILFEIRVKKKRKDKGRSEEELKGRNII >OMO76799 pep supercontig:CCACVL1_1.0:contig10866:14778:20563:-1 gene:CCACVL1_15405 transcript:OMO76799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCDNVRSTELGNQCHQNAPSIMSDCHSKPDECVEDEVEEEVGEEEDEDEDVDFNPFLKETPSPEASSSLSSDIEGVDGDVVDSRASARVTADVNSLKNNTRERNCDVGDSEHGEEEIVMQSTALPELQNTVNRKHNKRKLGCISQAEREKESQLISVKDSTVGNTGNFAHSQKLVIHLGDAEDDAICRRTRARYSLASFTLDELEAFLQETDDEDDVQNVDDEEEYRKFLAAVLQGGDGDHQSTQENENVDDEDEENDADFEIELEEALESDDDATLEKTQAEEYQRAGRRPETRQNRRQKASAQYERKLLEQTKRPLRPLLPIFPNGQIAPMPTLSGKTWSPEIYPSCLPSGAVDGFINGFTPYQLGQLHCLIHEHVQLLIQIFSLSVLDPSRQDIASQIQGLIFEMLQKRDEALACNSKPYPDACFKPPYLSSSVPNEVSLSCPTQSTSKTPLVDANEVCFSPNSQMLDAQTVSSPRGRTDNGQMCASWVPFSSGPVLSILDVAPLHLVGRYMQEVYSAVQESRQRQLENSCGTQYEKEPLFPFPCFLSEVEANNETLKESASDTVPSSVCQPPPKKTLAATLVEKTKKQSVAVVPKEIAKLAQRFLPLFNPALFPHKPPPASVASRVLFTDAEDELLALGIMEYNSDWKAIQQRFLPCKSKHQIFVRQKNRCSSKAPENPIKAVRRMKTSPLTVEEIQGIQEGLKAFKLDWMSVWKFIVPHRDPSLLPRQWRIALGTQRSYKKDEAQKERRRLYESERRKRKAVDLRNWQHASDKEDYQAEYTGGENCSGDDEIDNAAESYVHEGFLADWRPGTAKHISTGFLYSNTRDKNLPNGTSKEDGGLVREQSNSYVLGVIRPLASPMQGSPHSLNHTQHPYTFFPCASNALQPRYPVPNMTLPTPKSQIMLLPNRTRKVNNPHVVKLAPDLPPVNLPPSVRVISESALKANQCGVYTKVSATRDYVADAGIRNVASPFPHSAKSLTKKRDKSNLMRENVTNSPLEESGVVKDKGITAEKSIHTDLQMHPLLFQALEDGQVPYYPMNAGTSASSSFSFFSGNQPQLNLSLFYNPQQANHAADSSTKSSKMKESVSASCGIDFHPLLQRTDDANSELVTTCSAASLSVGLDGKAAAPCNPSNTIQMKSVVHCHPFATRSRPSSPSEKANELDLEIHLSSSSIKENAVSSRDATPLSTNSSVSLLNSQNATERQDTLHSSGNKFVSSVSASNVPSKTIGRFMDDTGEQSHPEIVMEQEELSDSDEEVEEHVEFECEEMDDSEGEGSDCEQASEMQEKEAQGSMTRKSAVDEDWSDQQRNLSTCGKSQGSICHPESGSPPSLRLGLTSPKNDANSSWLSLDSSASGRTSRSKSKNGGSKLSKGPPTKAMASSCPKRPLKLAAAPSTRKVAVQECAIDMAKQLSLGTQSVPTLKKPRKRTSRANKTTNAGSSLGDAKSDAKDSG >OMO76798 pep supercontig:CCACVL1_1.0:contig10866:13804:13896:1 gene:CCACVL1_15404 transcript:OMO76798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSENWAINVQQAEVHTNCNEPAREFNEDP >OMO76802 pep supercontig:CCACVL1_1.0:contig10866:32986:34814:1 gene:CCACVL1_15408 transcript:OMO76802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHVLPITISEFPSFLGCIGVGIVYIVEEYRGESESLSNKNGEIHESESRSGMASVVPARVPAEHKLALLKQEPDYILQSAVELVVEVKLRYKVVKVDVKDARKQSASPPPPPRADEYEQTRRYSPPPPPDVDPPADEYERIRPPPPNPRADEYERIRRHGAQVATLNIIAVQSMSEEKKMWA >OMO76803 pep supercontig:CCACVL1_1.0:contig10866:35780:37388:-1 gene:CCACVL1_15409 transcript:OMO76803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWFPPVRLPAAPPLPPPPRSPSDSRSKSGVPLPFGPGILSSIIVRFAGITSWTSASSAKPTKPAPPVRNALLLGECATMHFTSTASADGSRLVKCVHWIIVSGSSKSMVTKCIWRLSNSTFLPLSSWWKKAAYFFSIRLLI >OMP11227 pep supercontig:CCACVL1_1.0:contig01470:526:1065:-1 gene:CCACVL1_00617 transcript:OMP11227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAVIDRQKNHGMHFRVLAKALRLSGGDHIHAGTVVGKLEGERDITLGFVDLLRDDFIEKIEAAVFISLKIGFLIPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNEVIREASKWSPELAAACEVWKEIKFEFQAMDTL >OMO52913 pep supercontig:CCACVL1_1.0:contig15397:54916:56966:-1 gene:CCACVL1_29015 transcript:OMO52913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFTEGETMAVPCEGDSIIEPYEGMEFESEDAAKIFYDEYARRVGFVMRVMSCRRSERDGRILARRLGCNKEGYCVSIRGKFGPVRKPRPSTREGCKAMIHIKFDKSGKWVITRFVKDHNHPLVVAPREARQTMDEKDKKIQELTAELRNKKRLCAAYQEQLTAFMTIVEEHSSQLSKKVQNVVNNLKEYESIEVELLQHR >OMO52911 pep supercontig:CCACVL1_1.0:contig15397:40235:49298:-1 gene:CCACVL1_29013 transcript:OMO52911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEEVDDVDGRLEASTGDESNMHEYDSIQEPYLDMIFESAEAASRFYDNYARQVGFTTRVLSSHKSECDGLLISRGLGCKGYCGDQTKDQLKKQDKQRENCPAMIHLRRYKTGRWMIKKFVRDHNHPLVIELEENHSRLDEEKDKKIQELSAELRVKKRLSASYLEKENVMEGEVVRTESCIEGESDVCEAETSKEEKVLMTEHGIEGDSDACEANTSKEERVLMTESCVEGESDACEADTNKGEKVLNTESCTGDESGLCELDLNQEPHEGMLFESVEAAKAFYDEYARRVGFSTRIISSRKSELDGSIIHRRLACNKEGFNLNRQKSGRVRVRKRESKREGCMARLIVRKDKSGKWVISKFEKEHSHPLFVTSLNDQSNTDDKDKKIQELSSELDRANEEFAMASSSGQGLNSSSNYRQWLAETFDGHEMLDDELSDSNVDGNGNLINPSDQSFPMTLEPGEPYIGMEFESAEDAREFYEMYGRRLGFTIRNNRTRRSLKDNSIIGREFVCSKEGFRAEKCTKREDRVLPSRPATREGCNAMLRIAAKDGGKWVIYSFIKEHNHELNPNKIPPRRSHRIAFCEDKKDLKIRELATELHREKRKSAAYQEQLQMVLKYIEEHTQQLSLKVDVVSKNLRELESED >OMO52914 pep supercontig:CCACVL1_1.0:contig15397:58921:61046:1 gene:CCACVL1_29016 transcript:OMO52914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTAGQLDLSESDRSSEDETAVNIAEYEGIEPELLQGHSDVMNNEFISLEQSGGAFDLGNLEPYLGMTFQALDDARDFYFEYAKRTGFTIRTNRIRHSLKNMAIIGRDFVCSREGFRAAKHTHRKDRVLPPRPITREGCKAMIRLAAREEGKWVVTKFVRDHNHKLMTHCKFPGELPTINMLSELNCLSRKGLPCSNTFSSAKEAQLKVSLELMPLEVDLKVDLLQEEKDKKIQDLYNELQREKERTAAYRQQLQMILKDLEEHAEFMSIRVEDIVDSLKKVELQDV >OMO52915 pep supercontig:CCACVL1_1.0:contig15397:62453:63118:-1 gene:CCACVL1_29017 transcript:OMO52915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MPTVLLRIFLLYNLVLDYLVPKKLKTFLPSSWIPPPTHTFVSTVTESKSSSSPEPAAAPPASPSCRRQSQRMDAAELKRVFQLFDKNGDGRISKQELNDSLENLGIFIPDGELTQMIEKIDVNGDNCVDIDEFGELYQSIMDGKDEEEDMKDAFNVFDQNGDGFISVDELRSVLISLGLKQGKTIEDCKRMIMKVDADGDGRVNFKEFKQMMKGGGFSALT >OMO52910 pep supercontig:CCACVL1_1.0:contig15397:37472:38818:1 gene:CCACVL1_29012 transcript:OMO52910 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MPSNGNRGHILMIPFPASGHLLPHMDLTHQLLLGGLTVTIMVTPKNLHYLNPLLSLHSSSNNLQTLVLPFPSHSSIPIGVENLQQLFISFAPDFVAALSKLHDPLFQWFQTHPSPPVAIISDMLLCSWTNRLASQLNIPNISFIVINAKAVASWWVNLQLLPECAIESPLTCMRSWGVIFNSFAELDSKSLKVLGEAFIKHDRIWSVGPLLPIKAISNGPNERGGQSSIPQDQVVAWLDSCPVENSVVYVGFGTQITLTKQQMKAVASALEESGVRFIWVVKDPMKGTLHHEADDQSVVPMGFEDRVAGRGLVINGWAPQLAILGHRAVGSYLTHCGWNSTLEAILAGVLLLAWPMQVDHFENTRLLVDELGVAIRVCEGLETVPDAMKLAWIFSESVGMARPERDRAKKLRESAFDAIKEGGSSFEALERLVEQLSSLSNKINKEHQ >OMO52912 pep supercontig:CCACVL1_1.0:contig15397:51078:52412:-1 gene:CCACVL1_29014 transcript:OMO52912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MASFRKAITFKYGLLATHFFSTIPPKVSQCRNQISLANLLQRYGFPRSQLNTFLARNTFLLNNTNLHDIQSSLNILLSFKIPQNSLVSLISDCPAVLDINFLKKWQIGISKVANLGISPLVICNILALSKRVHIYPDSFLKTVGVLKGLGFNGGVLTSVLEGFPRVTMMKESEIFEKIEFLGRIGIPRYGIERVFYVFPEVLGLNVENRLKPLLEEFLELGFSENEVREEIVRDPRVFGMELGEMSRCLGLLRTLKCRVPIKERIFGEGEFRAGLKVKLRVDILCKYGLIRREAFKVLWKEPRLILYEIEEIEKKIEFLVNRMKYSVGCLVEVPEYFGVDFDKQIVPRYNVIEYLRLKGALGFEVGLKSLIKPSRLKFYNFYVKPYPECEKMFGRFAQDAGLQRRHPVGMWKLFKPQQYTESKDDVKSIKSFMEPLVAPEATDG >OMO96368 pep supercontig:CCACVL1_1.0:contig07484:3851:9479:1 gene:CCACVL1_04982 transcript:OMO96368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTERQGGTYEMAKLGTCVGNCSMRTILGVDELEKRRQLLEIHELKTENPDSSVV >OMO96369 pep supercontig:CCACVL1_1.0:contig07484:16671:18424:1 gene:CCACVL1_04983 transcript:OMO96369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MSENALRDLNTLPTAEKKNDDSSKGNFTKPCNGNTVEKVEEQQKKSPASVHINGGETVNAGVEVAEVEYIDSENLNDIEDVDTCLKNLLPGLDSKDWVLVVEALNNVRRLSIFHKEAMQSMLVDVIPLLVKSLKNPRSAVCKTAIMTSADIFGAYNDDLIDCLDPLLVQLLLKSSQDKRFVCEAAVRALQAMTTSVSPLSLLPKLQPYLKNRNPRIRAKASMCFCRSVPRLGVEGIKEYGIDKLIQVAASQLSDQLPESREAARTLLLELQTVYEKSHDLSTAISEHPETGSWENFCQSKLSPLSAQAVLRVTNVAREGLVIGS >OMO96370 pep supercontig:CCACVL1_1.0:contig07484:20319:20918:1 gene:CCACVL1_04984 transcript:OMO96370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSSEIAKDAIHIIVMAIVIAVILLFLSVGMLVILHVCVVGRAFRRNGFGGFNLNLNPAGGTIDRSNNSSNNISMSLDDLQKLPCYDYNAKDKGSNNKNSPVDCAVCLENFKMGEKCRLLPLCRHSFHAECVDSWLLKNPICPICRTIAVGLVFGEEITSASDFGASENVVELRESQSRETSEVDEVRIEVRDSQIVEGR >OMO52076 pep supercontig:CCACVL1_1.0:contig15623:3715:4071:-1 gene:CCACVL1_29371 transcript:OMO52076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHSVMICHLNPVSKSKDKTKGNQKLRTSNMILNPRLITWRVVDERPGLNFCEVYFTGILEHFYSSIEDDHVNYDVDDNEDGGPCALVDVPPSDDFMANFWSLHEKENERGSIEIED >OMO98722 pep supercontig:CCACVL1_1.0:contig07045:21865:23591:1 gene:CCACVL1_04087 transcript:OMO98722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNLSRCGLFRENIKTFLKKCKSVKVGRSAVKIWKSVVTTYRVPLYTVVDQHGISCGAYKSAGQ >OMO98723 pep supercontig:CCACVL1_1.0:contig07045:36757:37171:-1 gene:CCACVL1_04088 transcript:OMO98723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase VSLHALFGTISYNTLKLSGVLRGQNLTVLVDTGSTYNLIQPRLAKHLNLSIEPAPPFSVSVGNGEQLFCLGRIPSIQLGLQGHEFNLDLYVLDIRGADIILGIQWLSQLGPVIPDYKAIYMSFYHLGSFITLQGDKPN >OMO66704 pep supercontig:CCACVL1_1.0:contig12525:25749:28067:-1 gene:CCACVL1_21006 transcript:OMO66704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKFQLLFVFLFLHKFAAADLNSDRQALLAFAAAVPHARKLNWNQTVPVCTSWVGITCNSNGTNVIKLRLPGIGLTGSIPANTIGKLGALRVLSLRSNNLIGNLPSDIPSIPSLRCLFLQHNNFSSVFPASFSPKLNVLDLSDNSFTGTIPSTIQNLSRLITLNLENNFISGTIPYLNLPSLKVLNFSNNNLTGSIPDSLKRFPSSSFIGNPLLCGSPLNPCSAVSSSSSPSSSPSYFPNPSSVSHSTSKHKLSAGSIIAIAIGGSAILFLLLVVIFVRCLKKRDSGGGGVLKRKIAGSGKGQKPNDFGSGVQEAEKNKLFFFEGCAYNFDLEDLLKASAEVLGKGSYGTTYKASLEEGTQVVVKRLKEVAVGKKEFEQQMEILDKVGRHPNVVPLRAYYFSKDEKLLVYNYKPAGSLFSLLHGNRSAGRTPLDWDARMKIALDTARGIAHIHTEGGGKCTHGNIKSSNVLLTNELEGCVSDVGLASLMTAPLTMSRIAGYRAPEVIETRKVTQKSDVYSFGVLLLELLTAKAPLQPSGHDEVVDLPRWVRSVVREEWTAEVFDVELLRFQSFQEEMVQMLQIALACVARTPDMRPNMEETVRMIEDIRQSDSKNRTSSEAESNIQTP >OMO66717 pep supercontig:CCACVL1_1.0:contig12525:137394:140226:1 gene:CCACVL1_21022 transcript:OMO66717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epoxide hydrolase-like protein MSGGGGGGGLLFQSQYRLQFQPIIKDDGIAIGSNNQSCPRYAFSSKRLIATHAFSGAAGGQYSAGAGELIDTSSSKTRKQQKIAGIDQDELLDPKLLADPDSCFCEFQGVEIHHKLYDPHSHSQDNSSLTHTQDQTVNIPSLNLGLPMILLHGFGASVFSWNKVMSRLAHLTGSKVLAFDRPAFGLTSRLDSLGTNVTDAKPLNPYSMAFSVLATLYFIDFLAAEKAILVGHSAGSLVAVDAYFEAPERVAALILVAPAILAPRGIPKVSEGNRSERSNQTKGDSSDSNNLGKPLFRLLEILFKFTKYVTEAIMRMIKGMAGVIDSLYKRALSSVLRSAFGVMLVRMIIDKFGVAAVRTAWYDSKQVTEHIVDGYTKPLRARGWDRALVEFTAAMLLNEQSENKPPLAKRLHEISCPVLIVTGDTDRIVPAWNAEKLSRAIPHSKLEVIKNCGHLPHEEKVEEFVRVVEKFLQRALGGSEEPSLISVA >OMO66720 pep supercontig:CCACVL1_1.0:contig12525:163908:167994:1 gene:CCACVL1_21025 transcript:OMO66720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRRIAVSPRPCSGRRIVASKKRGRADGFVNSVKKLQRREICSKRHRAFSITDAQERFRNIRLQEEYDTHDPKGHCTMVLPFLRKRSKIIEIVAARDIVFALAQSGVCAAFSRETNQRICFLNVTADEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFTKVGGNVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSELTEVSKNEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNGSINISNILTGKCLAKIRASNSFPAENECSCSSVKCSCSLKKHCSSSRIRSTVAEALEDITALFYDEERNEIYTGNRHGLVHVWSN >OMO66703 pep supercontig:CCACVL1_1.0:contig12525:22958:24558:-1 gene:CCACVL1_21005 transcript:OMO66703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MGHCWTKFVQYFNYHNKDGKDHHAQREGLVSKNVHHITTLESWEAKQTEATRDGKILVANFSTPWSAPCRSIEKTYCELADSYPSLMFVTVDIDELAEFSTSWDISATPTFFFLKDGRQVDKFVGADKLELPKKIAAIANGSRS >OMO66706 pep supercontig:CCACVL1_1.0:contig12525:38448:40296:-1 gene:CCACVL1_21008 transcript:OMO66706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10e MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKYSRADYLKWKSENRIVPDGVNAKLLGCHGPLANRQPGRAFLSTSVPA >OMO66707 pep supercontig:CCACVL1_1.0:contig12525:58874:60845:-1 gene:CCACVL1_21009 transcript:OMO66707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQPELPPFKIKTNPDPQSFSFRVSSPVFISRDRLIRVGKYHRAIKLFRRALLEKFPLDVVSYSVAILGLLKSGRTEEVSNLYSQMKEAGIIPNARTYNLVIAAFCKQKDVKMVKQLLQEIRDAQVELYHNTLNSVTKLLFESYSYPLALNQLIELWKSGLISDKAMYEQCSKRPVYGEKESDAHQLFLKAYLRDKVLIDSSSSDDLPDMAASVG >OMO66702 pep supercontig:CCACVL1_1.0:contig12525:18719:20329:-1 gene:CCACVL1_21004 transcript:OMO66702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate permease MAEGSQAIFSALDKAKTQSYHFKAIIIAGMGFFTDAYDLFCITAVTKLIGRLYYYDPHGPKPGAFPKDIKNAVTGVALFGTLAGQLFFGWLGDKLGRKKVYGITLITMVGCAIASGLSFGSTAKSVVGTLCFFRFWLGFGIGGDYPLSATIMSEYANQKTRGAFIGAVFAMQGMGLLVAGLVTMAVSKAFLAAFPADPFSKNRVLSTQPEADYVWRIVLMFGAVPAAMTYYWRLKMPETARYTALVMGDEKKAAADMARVLEADLTVAEASARLEPKVSYGFFSSEFMKRHGKHLIGTSTTWFLLDIAFYCLQFTQNDIYPASGLLAKAASMNAIEETYEFSKAMFLIALAATVPGYWFTVFLIDRIGRFVIQLLGFFMMSICMAILGFRYTELRGHKCNSDTKDFCGGNTTLFTVLYALTFFFANFGPNSTTFVVPAEIFPARFRSTCHGISAASGKAGAIIGAFVFQIYTQEDNNVKKVFIALCAVNMLGFAFTFLVPETKGRSLEEISGEDRDFGDRKVKPNGTATVSETEMV >OMO66712 pep supercontig:CCACVL1_1.0:contig12525:102698:105656:1 gene:CCACVL1_21017 transcript:OMO66712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTLSTSPPLLQTPTIDPPISTSSSADPSSFTHPDIDQTELLSVSWNQDYGCFAAGTSQGFRIYNCQPFKETFRRDLKTGGFKIVEMLFRCNILALVGGGSNSHYPPNKVIIWDDHQSRCIGEFAFRSDVRAVKLRRDRIVVVLEHKIYVYSFMDLKLLHQIETLANPRGLCCLSHHSNTSVLACPGLQRGQVRIEHFGLNMMKLFNAHDSHLACLTLTLDGLLLATASTRGTLIRIFNTMDGTRLQEVRRGVDRADIYSIALSPNVQWLAVSSDKGTVHIFNLRVRVFGEDPYSQQSSAHSPTMFHQNSSTSHDELLSPSTGANPSSSLSFMRGVLPKYFSSEWSFAQFHLPEDTQFIAAFGSQSTVIVVGMDGSFYRCSFDPVRGGEMSQQEYVRFLKTDSRPR >OMO66719 pep supercontig:CCACVL1_1.0:contig12525:144854:150774:-1 gene:CCACVL1_21024 transcript:OMO66719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIGEYRRSPRIIELDARKAQQARNRNKGNEICELMDGEELDKGQGTLKQKCGRKKVKVRTVQDLIVNSVEYKVQKTDTGIDEEQLVNAAIVSSGATIPEKRKLELLLGVLQRRDTRKIFAEPVNPEEVEYYYDVIKEPMDFGTIAKKLNEGSYQTLQEFEHDVFLVSNNAMLFNASNTVYYKQARALKELATRLFHALKTDPENFKAEAEASMQRMNLSRRRKAEVYSDNNTSIGIAERGCKAERQSDDSEVEKRQTYKPSSYFLNGNGSSVSAVDNSQMQLKLDEKVGVGYVESIKQFAENLGATAQMVSMKKVESYIGEALKVWNMTTNRQPWVPEMVIRNSAFGPKGIKVAPSIKVPSARLGCQNMSGDKMDIHRRLSDGGRAIIHDTMNITNAVNGGISQPSRRVESLGDFRGKMTQSTSGGFAPSLHLFGNSNGSKLFAGETVNGSSSFGNGGKVFTVNNMDINDAFNGGKGKFGNGMDVRGKVVQPMRGGLDIGAAFKDNAAHQSNGVHLYASFPNNHSGKRKLDFPASWNIKSGQRQLSTMVDAINVHDTVQTTAGQFSLGSKKEVFIPTRFSGASSSSCRPLSQVLTGSNSSQAIDYMSGIGSRNLDTGLCQKEATADDKVSRHRINLEQGGQVSYPFKPVEMGLQLSTGYSFQEQNVSPEMASLLQQKKELDSLCEAPPLEEWLASSPHEHVMGASSKSFPFEFERFQTQSKGESSSRAAAADRCQKQPMLGKELPQGLGIFGANMSQKQPMLGKELPQGLGIFGANMSHKQPMLGKELPQGLGIYGADRRHKQPMLGKELPQGLGIYGADRRHKQPMLGKELPQGLGIYGADMSQKQLMLGKELPQELGIYAADRCQKEPMLGKELPQGLGIYGADMSQKQPMSGKELPGLGIFGADRCQKQSMLGKELPQGSWLYAANKRQKQRLFGQELPQWSWL >OMO66718 pep supercontig:CCACVL1_1.0:contig12525:140676:144405:-1 gene:CCACVL1_21023 transcript:OMO66718 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MVAVADAAISSSSSCIQIMMLLGGGGGGGGGGGYPSKSMELRWISRKKRLNPNPNSTEFGSLKSKAPPLMMPIYISTERSHVNIQELGKLYSSCNYSCNRFPELEPNTGVVREAMDMGKLRIALSNSCVIVSVFCKPQQIEIEKQGKGFVGDLMESVTPVSPSNGQLVGFGRAVSDLGLTASIYDVMVNPSLQGMGIGTIIVKRIVRMLTSSDIYDIAALCSKKERFFFKACGFKDDIMGSTTMMYTRTVSASFEDDQIVKRAGRKLLLVPPLREPYGTSKTKVSLISARGLRRSSSFWKLQWFAVGWIDPNNKYCTKIDASGNSNPVWKTKFAALVDDSNFQDMMLHVEVYSREPIFLREKLQGTATVALKEFLAKYSNHSGSSRTGTEEVGSYQLRKRNSNKPQGFVDVSIRVSEEREEPSLYSGNGEGIILMDPSKNTALATEAGSGSGHTFPTMATTQQPLAPHPRPVNQSQANNTPYSNSLPHPTNYYNSSQGEASYPPASGPSYRPPRTPPPPPPPSNVGYIPTFLPRTDNLSENYFNMPSSSAAPGRGPRPGFAMGLGAGALAAGAVIFGDDFMSGFDVPAGLPDASLTISTDPPF >OMO66705 pep supercontig:CCACVL1_1.0:contig12525:31153:33901:-1 gene:CCACVL1_21007 transcript:OMO66705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKSSSSSSSRLASAFLKLHCNRHQVNPFASGTPSSSSSSSVSSYASNAFNRLSSTPASSNAGLSQFMLRSAHQTAPLRNFYFASKPYSFSPSGFRFFSTKPSNLGQKLKLNVSLPKTVLNNPAKAVTSTLSRYREAIGLHFDAFFKRNYLFVFGAGGVVLCILVWRIMFGIANTFVGLSEGMAKYGFLALSAAMVSFAGLYVRSRFTINPDKVYRMAMRKLNTAAGILEVMGAPLTGTDLRAYVMSGGGLTLKDFKLKLRSKRCFLIFPIQGSERKGLVSVLVKKNKGQHDIKLLAVDIPMASGPDQRLFLIGDEEEYKVGGGLISELRDPVVKAMAATKEFDDLDQIEEEEDAERELQEAERKHREEIEKLEKGGN >OMO66716 pep supercontig:CCACVL1_1.0:contig12525:134827:137036:-1 gene:CCACVL1_21021 transcript:OMO66716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MEALLCKKLGDPAVDSESSPIVVSRNHPIPELDSPTAVRVKVKATSLNYANYLQILGKYQEKPPLPFIPGSDYAGTVDAVGPAVTKFKVGDPVCSFAPLGSFATFIVQDQSYLFGVPKGCDLMAAAALPVAFGTSHVALVHRANLTSSQVLLVLGAAGGVGVAAVQIGKVCGAIVIAVARGAEKVQFLKSLGVDHVVDLSTQNVTASVKEFLKSRKLKGVDVLYDPVGGKLTRETMKLLNWGAQILVIGFASGEIPVIPANIALVKNWTVHGLYWGSYKIHRPVVLEDSIRELLSWVEKGLINIHISYTYSLSEANLAFAAIRDRKAIGKVMIALDDVGSLRSKL >OMO66711 pep supercontig:CCACVL1_1.0:contig12525:81511:85400:1 gene:CCACVL1_21013 transcript:OMO66711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type METSTPISDPPSASPLSPPPASSSDSVTSTSSGDGGDDSSRAFAIQVPALNLLPSPLSLLLEYSGIFSNPNLNQSRGILLNTDLQPPSPPDPNATTSGSGEVSIQIIGPATSRPEEDNAQPPLPINEDGVEEEDPVTGNSSNNGERSSSSTYQMQSYDVQRIARWLEHVLPFSLLLLLVFIRQHLQGFIVMIWVTIVMYKSNLILQKQTALKGERKNAVLITYSIIFMLHVIAVYWWYQNNDLLYPLVMLPPKTIPPFWHAIFIILVNDTMVRQAVMAFKCLLLIYYKNGRGHNFRRQGQVLTLVEYTLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVIEKVQSFYAALKALSKKEVHYGAYATLEQVNEAGDLCAICQEKMQAPILLSCKHIFCEDCVSECESQVIG >OMO66700 pep supercontig:CCACVL1_1.0:contig12525:4930:7305:1 gene:CCACVL1_21002 transcript:OMO66700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEVNMSETEVAMNNPNPHFVLVHGINNGAWCWYKIRCLMENSGYKVSCIDLKGSGIDRSDANSILSFDDYNKPLLDFMSALPDTEQVILVGHSAGGLSVTQATHKFPNKIRLAVYVAATMLKSGFLTPQDAKDGVPDLTQFGDVYELGFGLGPEKPPTSAIVKKEFQRKIIYQLSPQEDSTLASMLLRPGPIQALQSAQFTEETGNVVDNVPRIYIKTMHDNVIKPDQQEAMINKWPPSQVHVLDCDHSPFFSAPFLLFGLLVKLATQLDISNH >OMO66708 pep supercontig:CCACVL1_1.0:contig12525:63662:63835:-1 gene:CCACVL1_21010 transcript:OMO66708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit 8 MGKQPVRMKAVVYALSPFQQKVMSGLWNDFTGKISHKVSENWISATLLLVPLVGTYT >OMO66713 pep supercontig:CCACVL1_1.0:contig12525:107559:109307:1 gene:CCACVL1_21018 transcript:OMO66713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLQAYANNSDDEDQNHQPSNLSPDSSPPRLIIPSKSAAPKVDDIMLALTAAKAHQSHSKPIDPTQHVVSSNPTYDQLFARIYGPDHPYAKDGIAQGMRNHKLGFVEDASIDSFVFDEQYNTFHKHGYAADPSGSNYIGDLDALQKDDAISVYNIPQHEQKKRKIEKKQEMEEDEAAGGEGEVDPTEVDNPASDVWLMKNKKSPWAGKKQALHTELTEEQKKYAEEYAKKKEEKGHPGEKGEHVVDKTTFHGKEERDYQGRSWIAPPKDAKATNDHCYIPKRLVHTWSGHTEGVSAIRFFPKYGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGTKFLTAGYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDEDKQNVLLAGMSDKKIVQWDINTGQITQEYDQHLGAVNTITFVDNNRRFVSSSDDKSLRVWEFGIPVVINTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLECHERACIGSEWHPLEQSKVATCGWDGLIKYW >OMO66715 pep supercontig:CCACVL1_1.0:contig12525:115302:122622:-1 gene:CCACVL1_21020 transcript:OMO66715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNPIGIKSVQNMEQCPQGRQYVEEEGDDKDSAPFARKDKSGVPLVVAEKFSEPRQVEDWYLWKLSARQGN >OMO66710 pep supercontig:CCACVL1_1.0:contig12525:75031:79136:1 gene:CCACVL1_21012 transcript:OMO66710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycyl-tRNA synthetase / glycine--tRNA ligase MAAAEESLRKALAEKQSAVEAQGNAVRALKAAKAAKSEIDAAIEALNKLKLEKSTIEKQLQAAVSGTDSREAFRQAAVNTLERRLFYIPSFKIYGGVTGLYDYGPPGCAIKSNVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCFRADHLLKDFCNDKLPNDLSISAEKAAELKHVLAMLDDFSAEELGAKIKEYGITAPVTKNPLSDPYPFNLMFQTSIGPSGVSPGYMRPETAQGIFVNFKDLYYYNGNKLPFSAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKYSEVANLEFLMFPREEQMSGQSAKRICLGDAVSKGIVNNETLGYFIGRVYLFLTRLGIDKERLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGFPLVAAEKFSEPREVEKLVIAPVKKELGLAFKGNQKNVVEALEAMNEKEALEMKAALESKGEVEFFVCTLDKNVVIKKNMVSISKEKKKEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKDGDEQLNVFRFPPLVAPIKCTVFPLVQNQQYEDVAKVISKSLTAAGISHKIDITGTSIGKRYARTDELGVPFAITVDSTKDVTIRERDSKLQIRVDVEEAASVIKSVTDGHRTWEDVWANFPHHTSGSSDD >OMO66714 pep supercontig:CCACVL1_1.0:contig12525:110308:111045:-1 gene:CCACVL1_21019 transcript:OMO66714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFISVMDYSVSQINPPCEDLVDVNGGMMHIDGFGNYNLSVHGAPGSPLFKEFQHMDGLRNLNFIGFAAFHRDLRS >OMO66701 pep supercontig:CCACVL1_1.0:contig12525:14214:17133:1 gene:CCACVL1_21003 transcript:OMO66701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSHLSSLNGPRVHKAFQNQLLLPMGQLDTLTSEHVEFDFSDVFGPAPVQASTEISTENPKNLVVAIETNELLYDDPAVICSRSHSLVGPSSYVSQSLKLSKLTLRETGDSLELVEGIREESQKEVRKPTIDDVILENPVVTVENHHSEHRSVGLEDFEVLKVVGQGAFGKVYQVMRSDTSEIYAMKVMRKDKIVEKNHAEYMKSERDILTKVDHPFIVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYRQGLFREDLARIYTAEIVSAVSHLHANGIMHRDLKPENILLDADGHVMLTDFGLAKEFDETTRSNSMCGTLEYMSPEIVLGKGHDKAADWWSVGILLYEMLTGKPPFTGGNRQKIQDKIIKDKIKLPAFLSSEAHSILKGLLQKDASKRLGSGQGGSEEIKRHKWFKTINWKKLEAREIRPSFLPEVSGNQCIANFEECWTKMPLHDSPVASPTFGENPFKGYSYVKPAASFLQRNA >OMO66709 pep supercontig:CCACVL1_1.0:contig12525:64154:66728:1 gene:CCACVL1_21011 transcript:OMO66709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein MKIVEVPCLEDNYSYLIIDESTKEAAAVDPAEPQKVIEAANQQGVKLSLVLTTHHHWDHAGGNDKIKELVPGIKVYGGSLDNVRGCTHELQNGDTLQLGSHIKILSLHTPCHTKGHISYYVTGKEEEDPAVFTGDTLFIAGCGKFFEGTAEQMYQSLCVTLGSLPKPTRVYCGHEYTVKNLQFALTVEPKNARIQEKLAWANSQRKAGLPTIPSTIAEELETNPFIRVDLPELQASIGCQSPVETLREIRRMKDNWRG >OMP10654 pep supercontig:CCACVL1_1.0:contig02073:770:838:1 gene:CCACVL1_00833 transcript:OMP10654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HLGRENQLQAEPKVETIEQNNS >OMO58188 pep supercontig:CCACVL1_1.0:contig14263:1041:9943:1 gene:CCACVL1_25544 transcript:OMO58188 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MEFPRAERLESQSLLPQAEERSQGTSFLGTCFNGLNALTVEIAAKNVVADLNKGEKSDGDNYDIWRRKIQYLLDEQEVLETLDQKDRCARFTMLSSMHNDLIGEFEQYQTAHEMWQALRNKYGVTTLSKLRELNLKFNTYKKKPNHSMKQHLRTMSTLIRELSAAGVALTNEQQVQAVISSLPDSWEHMKANMTHNERVRTFEDIARHLELEDERLKAAKPATDGVANVAESNSRKASGPKRKWTGKFVGKRDADEPAPKRARTTKRHRGKRGGKKDKAKMACYNCGKQGHFARDCTEPKKKVFSKSMSCSDCFVTYDNSVAHPFPMWTVDSGATDHLARTRVGFVEFRRIPAGSRSMKMGNDSSVNVLGIDTYKLEMRGGRTLLLHDVLYAPEIRRNLFSVITMLGLGFRFAFEGNKVDIFLGTTYYGCGFVQNGLMVLDLDYSSYNKVDDSISLVVTHNDVCNDSVEWHARLGHIGQDRMNRLAREGLLGPLAKVSLPTCEHCLAGKATRKPFGKAKRASIPLQLIHSDVCGPMNVRARHGANYFITFIDDFTRFGHVYLISHKSEALNCFRRYVALVENQLGQSIKALRTNRGRESMMAQANLPISYWGDALLTAAYVLNRVQSKSVSSTLYELWTGEKPDLGEHGDGTVTEIESRDVDFLEKDFPRRREIEKEIDLYEKEDQDMEAPSSPIENEGAIPQTLGDNGGDLPSNSSMPVDEDSQAQLRRSKRGGVPRRRFEVEGEAFMIAPQDEAEPKTFKEALSSPVVQEWTNAMNDEMESMKTNHVWDLVDLPPGRKTIGNKWVLKVKRMADGSIERYKARLVAKGYTQQEGVDYEETFSPVVRFASIRLILAIVANFDLELYQMDVKTAFLNGELDEEIYMDQPEGFVAKGQERKVCKLKRSIYGLKQSSRQWYLRFHQAIIQNGFEMIEEDHCVYVKRSKGSFVILSLYVDDILLAGNDMEMIVTTKKWLSSNFEMKDMGEANYVLGVKILRDRSKRLLGETLSLQLTPKTSDEQKQMARVPYSSSVGSLMYAMMCTRPDISFAVGLVSRFQSNPGLAHWKAVNRILRYLKGTADYMLCYRGSNLNLIGYTDADWGGDLDERKSTSGYAFLLNGGAITWSSKKQSCIALSTMEAEFIACSAAVQEAVWLKRFLGSLGVPSAVDPMTIHCDSMAAIAYTKDPKYHGRTKHIDMRNNYIRDLIAQKEVILKHISTSHMVVDPLTKPIPRDAYVTHEVEEWIPASLPCESYEGLEKPYIVPLVTFDYVIRRGMDVCYFGILSTSYALAQGGWLGLLLLFLVALVCWYTGLLLRRCMDIHPLIKTYPDIAQHAFGYKGRVIISVLIFDELYLVAVEFLILEGDNLKKMFPNMGYITIAGLKIGARQAFVVLTSLVVLPTTWLKSLGLLAYVSAGGVLASLILVGCIFWVGAVDGVGFHENGVLHNWTRMPTAISIFTFCYCSHTVFPTLCGSMKDKRQFSKVIRK >OMO53295 pep supercontig:CCACVL1_1.0:contig15245:21663:22205:-1 gene:CCACVL1_28741 transcript:OMO53295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRKEQSTMKQTEPTLKVKQKSTANKGKLDQKRKIEKKRVNANGKEKPRYIPNPRQPHVQNIVFEYQKAKRRKGYQKQKEAPPIIEEVDLMDGEEQEVEGTFEEEMSETQANKGSAVEKYTANSGNQLPIYRNAAHSDIAKSLAKSKIVCGCYINWDDFAGCDFVNELKGWIKDMKMD >OMO53296 pep supercontig:CCACVL1_1.0:contig15245:29030:31398:1 gene:CCACVL1_28742 transcript:OMO53296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVHFNGANGFEIKQREEQYTVHLNEKRCSCRSWYLQETYELAYGFPLEPMPGMHDWNVGEENVGPQPQPPPYRGQASTSAAAAAAAASTATSSHSMGVNPQNQELNQQSKQKEPVKRKSGAANASMMRQGLIMVLNNTIESLEIMERMSICGKVARTSLKMLGIWGWNCIASFAADALQKSVEMPLPMQTEFLDSCCICFRESCTSKITTIQAPSSTDPVFRTSPISRVKFLGKSTGSLTSAPASSSSNHGLGRSSARDVENLGRPAARDQQTSATSNPKRKADIAGT >OMO72910 pep supercontig:CCACVL1_1.0:contig11336:7663:8210:1 gene:CCACVL1_17526 transcript:OMO72910 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein 5, chloroplastic-like protein MALLSFNPLPSLLSSPISSCSTSLPLAISPISWLRLKAIDNSRSKCLIGTRFIPNKKKSLVMTVKATASGEGEAQPPSEALPVEQLPLESDTKKQQLTEQRLRMKLAKKIRLRRKRLVRKRKMRKKGRWPPSKMKKLKNV >OMO72911 pep supercontig:CCACVL1_1.0:contig11336:8720:17335:-1 gene:CCACVL1_17527 transcript:OMO72911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYYKVPRSVLFLCLFISFCLPCQFLAYEVEADQPVQLLIDASEGSGRRIPDTLFGIFFEEINHAGTGGLWAELVSNRGFEAGGANIPSNIDPWSIIGDESSLIVSTDRSSCFERNKVALRMEVLCDSEGAHICPSGGVGIYNPGFWGMNIEQGKSYKVVFYVRSTGAIDMSVSFTDSNGFSTLASANIIASASDVSNWTKMEVILEAKSTNHNSRLQLTTTKKGVVWFDQVSAMPLDTYKGHGFRKDLVDMLTRIKPRFLRFPGGCFVEGEWLRNAFRWKESIGPWEERPGHFGDVWMYWTDDGLGYFEFLQLADDLDALPIWVFNNGISHNDQVDTSSILPFVQEALDGLEFARGDLNSKWGAVRAAMGHPEPFDLRYVAIGNEDCGKKNYRGNYLKFYDAIRRAYPDIKMITNCDGSSRPLDHPADMYDFHIYTSASNLFSMTHQFDHTSRNGPKAFVSEYAVTGKDAGTGSLLAALAEAGFLIGLEKNSDIVQMASYAPLFVNTNDRRWNPDAIVFNSSQHYGTPSYWVQHFFAESSGATLLNAALETNSSSLVASAITWKNSEGQSYIRIKIVNFGSTSVNLKISVSGLDPNSVELSGSTKTILTSANVMDENSFKEPEKIAPIQTLLESADKEMAVQVLPHSFTSFDLLKKSVSLRIKEDDSSSISSI >OMO72912 pep supercontig:CCACVL1_1.0:contig11336:18268:20382:1 gene:CCACVL1_17528 transcript:OMO72912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVANGLVQLLNKTTINEKPEDPGEYKRSPMQ >OMO72913 pep supercontig:CCACVL1_1.0:contig11336:49725:49868:-1 gene:CCACVL1_17529 transcript:OMO72913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DTGNRADGAISAAEQRHAIAMAVAKAAAAEAAVARVGLEREKGAALF >OMO96820 pep supercontig:CCACVL1_1.0:contig07371:20056:21245:1 gene:CCACVL1_04765 transcript:OMO96820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGSQTLKQQQTTKNGSGLDLSLPLKDAIGDSNSPTGSPFLAIFVDPRFRISVREGLCEKKVKGRFKPLFEQAYMKEAYWVVEEKKRTGFLREEGCRRKMVKGNKRDGGGGVD >OMO96819 pep supercontig:CCACVL1_1.0:contig07371:582:913:-1 gene:CCACVL1_04764 transcript:OMO96819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein subunit of of Het-ACCase (BCCP1) MLQSLPLQVQLSRFHRDAPDGFPDNSSLFSLSFPFSPTNQNPFLFRSLSLGRKEVKLNNLMASFLSTTASASFSSVAKTAATLPHSTNLPLSYRFSRTPNLRYFSKVARL >OMP11714 pep supercontig:CCACVL1_1.0:contig00990:3507:6090:1 gene:CCACVL1_00318 transcript:OMP11714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRAPCCDKDKVKRGPWSPAEDLRLITFIQKHGHDNWRALPRLADESKESSLTSSSSSSSITSSCGKRNLETEVEDQWDNIGTVTKKPCREEFVPATNQDFKPELSKQFSSSSISSDNSNMTNSSQVDVPNPENHQAGSLFDFVESLYDGRNISEEVNKPEMSDHTAFDIPFESDLEFWNMLDSLGSLIQADGTQLQNVEGNQYGSNVGEDQISKELAAENNKWLHYLENEVLGVEVTKDIENHNNLSKDAAEPLVSEMYDLLLKPEAEMGMGHYLNQIQSSDS >OMP10509 pep supercontig:CCACVL1_1.0:contig02354:12:1043:-1 gene:CCACVL1_00916 transcript:OMP10509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RASEISPASTPFTLTVQANESSASTRLSSAARYRASVPVAMKASIEANIAVGTMSAEWRSMKMDVSKTDASHTTAATALFSLWKRITSSRACWNFIGYLLRSGGTVAEMRSCCSGARLSHSSRMDRALHGPGSMMWISLTWPAWMRALAASITACIEAGEAAANSVKPSPAACATSAALLPFRNSAKAGASSASSSAARGQQQNGSSSQREGKRVLLERVQQDGLHEIRRPEAAVATDQRCVWPRQGHAGEREDAQREHEGSGSKQVSHELHISALS >OMO62948 pep supercontig:CCACVL1_1.0:contig13098:24747:29511:1 gene:CCACVL1_22562 transcript:OMO62948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFSGGHFGGSERAPLLDRGGRRKGNEASENGNLNDLEHGDAIPAANVSFTRVLALAKPDAGKLIVATIALLIAATSSILIPKFGGKIIDIVSGDISTPERKAEALTAVRNTVLEIFLIVIVGSICASIRAWLFSSASERVVARLRKNLFSHLINQEIAFFDITRTGELLSRLSEDTQIIKNAATTNLSEALRNLSTAVIGLCFMFGTSWKLTLLSLVVVPPISIAVRKFGRFLRELSHKTQAAAAAAASIAEESFGAIRTVRSFAQEDYEISRYSEKVDETLNLGLKQAKVVGLFVGGLNAASTLSVIIVVIYGANLTINGSMSPGDLTSFILYSLTVGSSVSGLSGLYTTVMKAAGASRRVFQLLDRSSSMPKSGNKCPLGDQDGEVELDDVWFAYPSRPNHMVLKGITLTLQPGSKVALVGPSGGGKSTIASLIERFYDPLKGKILLNGVSLVDISHEHLHRKISIVSQEPVLFNCSIEENIAYGCQGKADINDIENAAKMANAHEFISKFPDKYQTHVGERGVRLSGGQKQRVAIARALLMDPKILLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVQSADTVAVISDGQIVEKGTHEELLGKDGVYTALVRRQLQAPKTNL >OMO62950 pep supercontig:CCACVL1_1.0:contig13098:42808:43104:-1 gene:CCACVL1_22564 transcript:OMO62950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSGEAPKPAASGTGTAASEVPPTKNEVAKETPQKSASSSPPAAAAGDATKQIPAGIPGNKANNYYRAEGQNCGNFLTVEP >OMO62946 pep supercontig:CCACVL1_1.0:contig13098:14047:18332:1 gene:CCACVL1_22560 transcript:OMO62946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNNLEQTLQEGNLYRQLNTLIVAHLRQHNLTQAARAVASATMTPLDVEAPPNKLLELVAKGLAVEKDETLRGVPSSTLIDLGGALGYGSTPPPRVPSVDFSSVQDTKGSSKSFAKHETRHLSEHKNVARCARFSPDGRFVATGSADTSIKLFEISKIKQMMLPDSKDGPVRPVIRTFYDHVQPINDLDFHPQNTVLISGGKDQAIKFFDFSKATAKRAFRVIQDTHNVRSVSFHPSGDFLIAGTDHPVPHLYDVNTFQCFLSANPPEIGVNGAINQVRYSSTGGMYVTASKDGAIRLWDGVSASCVRSIVGAHGTSEATSACFTKDQRFVLSCGKDSTVKLWEIGTGRLIKQYLGATHTQLRCQAVFNDTEEFVLSIDEPSNEIVIWDALTSEKVAKWPSNHIGAPRWMEHSPTEAAFITCGTDRSVRFWKEIV >OMO62949 pep supercontig:CCACVL1_1.0:contig13098:34628:40262:1 gene:CCACVL1_22563 transcript:OMO62949 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 2 MRWEKVLLYQQELGAPTCTGPGKRWGHTCNSIKGGRFLYVFGGYGKDNCQTNQVHVFDTAKQTWSQPVMKGTPPTPRDSHSCTTVGDNLFVLGGTDGTNPLKDLHILDTATHTWICPSVRGEGPEAREGHSAALVGKRLFIFGGCGKSSDNNDEIYYNDLYILNTETFVWKRATTSGDPPSARDSHTCSSWKNKIIVIGGEDGHDYYLNDVHILDADTLVWKEVNATGQVLPPRAGHSTVAFGKNLFVFGGFTDAQNLYDDLYMLDVDTGMWTRVITMGDGPSARFSVAGDCLDPLKSGVLVFIGGCNKTLEALDDMYYLYTGLVVRDERKLEKLSLRKQLKLKCQEQNLSNLDKALVRIEVNNDVHQPVPLSSYGQPRKDNFPLNQVMLEGKKTFHAKVTESFPHGYTIETVIDGKPLRGILFANKPSTGHLASHNSSRKRSSVEVGGTELNGDCNIKSKSSRGMGLYFGDHKQADLHEKASSLHKTEAPAPSSKDLAASDLPTHKDPVNQGPLAKHLNLNDDKPGDSPNSGFEFPKGIGSTMAGHSATQSPKQEERRTDTLEHNNPEKAQ >OMO62951 pep supercontig:CCACVL1_1.0:contig13098:45223:45861:1 gene:CCACVL1_22565 transcript:OMO62951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNQGSHNPQLGQGPQKPLSSLYQQRPPVPLPPLPHIQQGPSSFTSYQHDPAISNQIPPGGLVNAGQSYLHPPVQVHGGARLPNMNLTAQQKSLDHSHLGTQDAHNMPQLPPPVSASHPEVSKTHPPLRTLPPPPPPPPQAQGQTFYTSSPQCLPPLTASTNFSSSKPVQNASNLPSNLDIDKQLEDSSLRPSEIEPSEQPYHLVTTAASHSP >OMO62947 pep supercontig:CCACVL1_1.0:contig13098:20004:21512:-1 gene:CCACVL1_22561 transcript:OMO62947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSLTSPQLRRLLQHCLSSRSTFQPQTLLSPKPHFPFLFSKPFSTQNPNPQIPDPVAQSLSTELVKDPSSDPLPITQRLQLNFSHIKLTPLLISQTLNLSSEAGRSVLGFNDWLLSGPNFNHTDETLSFFIDYFGRRKDFKAAHDLLVNNKSVAGPKTLESSIDRLVRAGRPTQVLTFFERMEEDYGFKRDKESLRLIVEKLCENGHASHAEKLVKNSANEIFPDEIICDLLIKGWCVDGKLDEAKRLAGEMYRGGFELGTMAYNAMLDCVCKLCRKKDPFRLHSEAEKVLIDMDYYGVPRNGETFNVLMNNLCKIRKTEDALQLFYRMGEWGCFPDSESYLILIRSLYQAARVGEGDEMIDRMKSAGFGDKLGTKEYYGFLKILCGIERIEHAMHVFDKMNADGCKPGIKTYDLLMGKWCAHNRLDKANGLYKNAVQNGVPVEPKPYRVDPRYMKKTKEVKKEKKRETLPEKMARKRRRLKQIRLSFVKKPKKMGMRMG >OMO89579 pep supercontig:CCACVL1_1.0:contig08669:986:2913:1 gene:CCACVL1_07757 transcript:OMO89579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCCSLSTANSVSLFSKKSKIFSHGCFIRASSSSSSSSSSSADVPDFLSADWLESRRKRPFGPRLNFSAEEAVQHQLDALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSTYRVLLGHRERKVLSSLFVKENRFKQRVWILGSRPEEEETFEFTMVQRIGGSWDGYWLTESLHHDGDAFSGGLAY >OMO89580 pep supercontig:CCACVL1_1.0:contig08669:4986:7505:-1 gene:CCACVL1_07758 transcript:OMO89580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGREVAISLDGVRDKNVMQLKKLNTALFPIRYNDKYYADALASGEFTKLAYYSDICVGSIACRLEKKEGGAVRVYIMTLGVLAPYRGLGIGTKLLNHVLDLCSKQHIPEIYLHVQTNNEDAINFYKKFGFEITETIKNYYTNIDPPDCFVLTKFITPSQVKK >OMO89581 pep supercontig:CCACVL1_1.0:contig08669:15212:20742:-1 gene:CCACVL1_07759 transcript:OMO89581 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSDPKLVSVCENIGDAANRPCWSTQPANIPKVGEDKFVLEESRSSLLGFASLGSAFLGSSSSGPIDGDVSPGPGSSNDDRAHTILSNARSNMALIEYPNEPGAPESSSDEYANEPGVPELDDVEYATEFEPRVPEIAEQDQRSSSLGIIEGSSPKKVINLSPVKMIKTVMSLSNVFRNLNLKRSVELEGDWAVSKKKQKVVDVDQLKQQENEVMCLDFNSCMPHGRRKYKKRVRTRSRKRTTQTSVVIREVEVLHDVPIEFEAGGSDDCPATNKVRKLEYRRKKMGFEYGDYVEPDGLTGGLALWAKRKNSVVIRAKGKNFIDSWFCDDKGVSLFRVIWVYAPVDYYDRQMLWRRIEDMVNELEVPCICLGDFNDILDQAEILGGKRKAHRNIASFRDFISCCNFIDMPSQGQQFTWSCIRGGEVIQEKLDCVLGNSDWIAQNPRCKVINLPAIGSDHSPIVCFSDFKSIHSKRIFKFEAMWVEHQDYGNVVKEGWKKEIEGSKTFQGEWVDEEKDIRRCFTGFFENLYTSCGPRDWKEVLSYVPKMITDEMNMELTRDFSIEEIKEATFQLGKHKSPGPDGFNGLFFQEYWSDVGPSIVDAVKSFFHSGRILKELNKTFIVLIPKIKAPEEVSQFRPISLCNFVYKIISKLMVNRLKKWLEVLITQNQNAFLEKRQIQDNIIVAHEAFHYLKLRKNRKRWDVAIKLDMQKAYDRIEWDFLEAVLQKMGFDEKWINWTMECVSTVRYSVVINGEPEGNINPTRGLRQGDPLSPYLFLFIVDVLSRMIIQETEGKQLSGMKLCRAGPEITHLIFVDDSLFFLKGSEKNCDKLKELIMKYCAASGQQVNLSKSSLIFSSNTPEDVKTTISNRVGIPIAANPGTYLGNPAATWGKTRKEALHFVKERVLSRIQGWKQKMLSQGGREILLKAVASAIPTYFMSCLKFPISLCKEMSAGMARFWWGQQNDEGRIHWYWRITQNPDALWVKVLKGIYFPNCDVTNARRGGRASWMWSSILNGRDFMEKELRWRVANGENISVTDDRWIPKLESGKIDLQGGEEHCRALKSKILLMQMLDVGTSVQLNNGSLRNNEVETIEHLLLKCDWTQVVWFGTMGYRCDNADLQSARCDLIFNGKKLDPLGTTIAVQKNGELKINMDGAWDKETGKGGYGVIARMQDGSVIDGITRDGQFSSALHTEAVAIREAATIANKHGNLKVVFESDSQELVKAILSDKNGRWEIDSILEDFRQITAQLKSFSIRWISRKANAATDWLASYARQGMCTGQRGAVAEGERGAVVEEAALLVLDQHQGVANDSDDPAPLMRTGWLGSQCLVALQSVT >OMO98458 pep supercontig:CCACVL1_1.0:contig07105:3423:3506:1 gene:CCACVL1_04216 transcript:OMO98458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKKIDREWRREVMWVAEKKEEGDVSGGE >OMO99894 pep supercontig:CCACVL1_1.0:contig06781:4424:9372:-1 gene:CCACVL1_03559 transcript:OMO99894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGWLKQNASSKKYGTSNNDWKWETEMKGNSG >OMO77077 pep supercontig:CCACVL1_1.0:contig10828:2:13761:1 gene:CCACVL1_15212 transcript:OMO77077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 47 MCDESKTIEIFHGGSFVRIPKKVWAGGKVSWINNYPLEKLSFCMLKEWVVKLGYDSSSEIFYCDPELSFDDGLRKICCDSHIKDMVLSHKDNLTILVYVVNGTAATHPIAGHVTQNEAGMVNKHVNGMVAGSVDENAEDCGGSDKDDSDYNGDIGEDSDSDLSSNPNWFDEGLEESHDIFEDEDNDVVDEDDDLHSVHGSDEEGGNDCPVFNEEVDMKNPQLKVEMKFPNAAVFRKALKEYCVSRGYDYKFNRNDGDKISARCVKECGWRIYASRLRDERTFQIKTFYGKHNCSREYKIHQVTAPYLAEKYFEDLKDNPNWETEKIVRKMSRELKVDVSISQVYRAKRKAREMMEGNIKLQYPKLWDYCEMIKETNPGSIILMKLESQYYGTEVTVPRDFVPRFQRILIMFDAQKKGFINGCRKLIGMDGCHLKGHFGGHVLSAIARDGNDNFFPICYAVVEQENKETWSWFLNLLHENLGSFTELGIEFLSDQQKGLDSTFQEEYPEVEHRFCLRHLYTNFKVHFKGEEYKEALYRAASVGSIKEWEKAMLHLKSLDKDGAAHAWLSRLKPSTWARSHFSSKTKTNLLVNNISESFNAYILKARDLPILSMLEWIRRKLMKRFYAKSVGMKSYNGLICPDIQEKLEKLKQDSFSCFSTPAGRMKYEVECGTTSHVVNLAEKTCTCRRWDITGIPCKHAISAIYMNRERPENYVDSCYSKETYMDTYDAIINPIPGQHEWVTSNKPLAPPIIYKPPGRPKKVRRRAVDEPQNPYKLSRKNKESRCGNCGRVGHNVRRCNASVIGETPWQRRMRLKGFRNVAQVSQDENSASFSKQSAVRGRGESSKGKSTGRARGRPIGAVGIELQALTGGQKTTFIAARGRGRTTNSIGRGIATGNRGRDRNFGSGNVGQVAVQITGRARGNVKAKVPPNRGRGRTIATTSIEVKELKSKLRDSNAERMILGIEHSKEGDISLKKKEIDDPINTERRQKVKDAMLHAWNSYEKYAWGQDELQCRTNELNLRQPQTKNGVDSFGGLGATVVDSLDTLFIMGLQEQFQRAREWVANSLDFDKDYNASVFETTIRVLGGLLSAFDLSGDDIFLQKARGIADRLLPAWDTASGIPYNIINLAVGRAHNPGWTGGNSILADSGTEQLEFIALSQRIKDPKYQQKVENVITEVHKIFPSDGLLPIFINPQSGTASHSKITFGAMGDRSVLMVLCGCDRASQTSDHDETSITDTGDRDMWETSMKGLESLIRRSTLSSFTYICEKTGNLLSDKMDELACFVPGMLALGSFGYDPGEAEKFLALAEELAWTCYNFYESTPTKLAGESYSFHTGKDMTLNMSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFERNSRIETGYVGLKDVNTGIKDNMMQSFFLAETLKYMYLLFSPPSVIPLDEWVFNTEAHPLRIVTRSVD >OMO83139 pep supercontig:CCACVL1_1.0:contig09932:19939:29493:1 gene:CCACVL1_11545 transcript:OMO83139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDDCVHFLDTFRARYSSELPAFLYSESLGRAITVWDGLILNGATVYASGVEGGTYLASREL >OMO99539 pep supercontig:CCACVL1_1.0:contig06868:7656:8647:-1 gene:CCACVL1_03744 transcript:OMO99539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFFFEILLEFLRWLAESIALPAVREEKEKKKVGEERREKRNP >OMO49591 pep supercontig:CCACVL1_1.0:contig16458:1075:1716:1 gene:CCACVL1_30910 transcript:OMO49591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8e MRSGSDAAPFKQWYLQHYGVDIGRKKKTAAKKDATDQEGEGEAAAEETKKSNHVLRKIVNSILTLNNNLVLIHIGG >OMO49592 pep supercontig:CCACVL1_1.0:contig16458:30285:30681:-1 gene:CCACVL1_30911 transcript:OMO49592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNLRIVVERNPSESKLSELNIKGWPKWGCSPGKYQLKFDAEETCYLLKGKVRAYPKGSSEFVEFGAGDLVIIPKGLSCTWDVSVAVDKHYKFESSSSSSQ >OMO77420 pep supercontig:CCACVL1_1.0:contig10762:900:965:1 gene:CCACVL1_15018 transcript:OMO77420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGRPCPPVLPFQMLTTFEADT >OMP11675 pep supercontig:CCACVL1_1.0:contig01038:1318:1380:1 gene:CCACVL1_00352 transcript:OMP11675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPRRRLRWLLATLTCIIFAV >OMO73452 pep supercontig:CCACVL1_1.0:contig11228:4468:5463:1 gene:CCACVL1_17250 transcript:OMO73452 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like, alliinase MAQITSFNCSIFLSLSVIINLLFGSLYLHGGWDQQSWTKSAAEEVEAVASVSCSGHGRVSLERSILDGKPVCECNACYGGPDCSEFSPECVADADSGDPMFLEPFWVKHAASSTIVVPGWHRMSYEYNDGSLILKELDTQIRKLHSVIGNAVTEGRFIIFGVGSTQLLHAAVHALSTTTSDSSSPSRVVASAPYYPVYREQTEFFNSEDFKFNGDTSLYKINNGGYSQENVIEIVTSPNNPDGQLKKALLQGPSVNTINDYAYYWPHYTPIPAPADEDLMLFTLSKLTGHGGSRF >OMO69162 pep supercontig:CCACVL1_1.0:contig12100:1924:3154:-1 gene:CCACVL1_19635 transcript:OMO69162 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein NDR1 MGALVTLAYLVLHLSMKLDTWSFAPFIDLQSGSIPKLNISSSTNLTTTIGLNLTFGSKSCNYETIFYKGINATISYKDDRALANVSMEPFGLGNNE >OMO69163 pep supercontig:CCACVL1_1.0:contig12100:15626:15691:-1 gene:CCACVL1_19636 transcript:OMO69163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TDVTIQTGLGATSRSQERPNY >OMO76702 pep supercontig:CCACVL1_1.0:contig10876:20558:26007:-1 gene:CCACVL1_15482 transcript:OMO76702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVDDRPPHGTTCLCPNAPNKWAVAVNIFFITVQKNWACELLPNLQLAQICSDKGNFTTNSTYGNNRDRILASLPTNVSANGGFFTATIGQDSDKSYALGLCKGDSSSDECYSCVNSTTHSLKASCPNQKEAISWGTGAKYDPCIVRYSDALIFGILELDPTVRLYNTGDIESNMTEFDLVWESLIDRVVRNASMGSSRLKYATGEAYATPFQKIYSQMQCTPDLSQQECDMCLRKCVSDYQSCCHGKQGGVVQKPSCLFRWDLYPFYVSNASTTAPSVSPPSPPPLPPPPATPSQPPVNNTNSSKDGGISTKTLIVIVVPIVIFVIVVPIAILAVAVVLLPPKRRTRTEQDAEKYSEMEIPFMDDGIERRRD >OMO76704 pep supercontig:CCACVL1_1.0:contig10876:37256:38098:1 gene:CCACVL1_15485 transcript:OMO76704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRLPILLSCCSLLLSFATLTLSAEDPYFECRYAEENKGNFTDKSTYQSNLNDIISQLSNLTDFNYGFHNLSAGGNPDKVFATALCAGDKTPNECRTCFNYTITKLIDRCPWGKEVVAWSQFCMVRYANRDIFAQLDSDPRTCAYNPTNASNPDVFNLALSNLLTNLSDEASTGGALRKYAADNTTDDPQQMIFAAVQCTPDLNQENCSLCLNFAMSELSKCCAGRLGCRVLRPSCVLRFETGSFFNLTAVVPLPSPPPSSTPSPPPPPPSTSATIGG >OMO76701 pep supercontig:CCACVL1_1.0:contig10876:6812:19046:1 gene:CCACVL1_15481 transcript:OMO76701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSYTANSDYESNLNRTFSRLTSRIKDFYSGFYHLTVGESPNKVNAIALCRGDKNQDDCNSCLNDMVTDQLKQRCPFSKEVVAWSESCMLRYANRDIFRKMELFPHDMHYNTQSVIMATTTNQFNEILRHEYNKLMISAVIGGSMRKYAAESTVVGDLQTVYLMVQCTPDLSAQECGYCLDVAKAKLSVNCSGLVGCRVSTPSCSFRIESSPFYGTPYPLPLSTIPSTGAGNFTANSTYEANLNRISSQLTSTNSTFGFYNLSAGEGLKSWQIVVAVLAPVAGSVLLLFLVCCLLKRRAKKKYDAIQGDNVGIKTDPDNIAYGLFLCRGDAPKEICQDCVGTAVKDIVQHCPNDRAAIITYEECMLRYSNENFFGTVELKPALQQTNVLNVSQQGEFRKLLEKTTRNITAKIKNDRSGRRFATEESPSDFSSNATLTIYALGQCTQDLSVAACNECLEDAFAYFLPGCCGVREGARIIFPSCNFRKQVIRENSWRYCCDCGLYGAFDCSVLFPKVEKKTEK >OMO76703 pep supercontig:CCACVL1_1.0:contig10876:26998:28560:1 gene:CCACVL1_15483 transcript:OMO76703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGCSKLLLFTYLGLQFLVPFTLAADPFFQSNCRSEPGNFTANSTYKANLDTIFSQLNRTSLTDFNFGFYNLSVGESTDDQINAVALCRGDRTQEQCNTCLNEAITDLKQRCPLNKEAIGWHEFCTLRYANRVIYGEMQSTPGSCLISGQKASNPDQFNLALDNLLNNLSGQAAAAGSLRKYAANNSELAAGLFQNVYAMMQCTPELSEEDCRACLTAAKGGISSCCFEETGCRVLRPSCFLRFETNLFYQNAVALPSPAPPTSTDESKQNRTGETEKTT >OMO76705 pep supercontig:CCACVL1_1.0:contig10876:41789:49258:1 gene:CCACVL1_15486 transcript:OMO76705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVGTSILPLFLYSVLLFHLPLFLSLDVFLFENCTQGTNFTATSTYQANLNRIVSQLSSLTEFNNGFYNLSVGTSPDNVNAIALCTADRTREQCISCVNYTITELRGACPWNKEAIAWSEFCMVKYANRNLFGNLENDPRKCAPSPAFAMNPDQFNAAVSQLMNKLNFNATAGDPRKYASGQTKSGFDTVYGSVQCTPDLDDASCGSCLNFSTTELLNCCSGKIGCRVLSPNCMLRFESNPFENEAPEPPGPPPPPTHSPTGKASDKFFHNDSLNGIHSLFLCRNDVNTSTCQECVKNSTQTLTERCPTTTGGIIWYDECMLRYSNINFLGQMELNPAYLMWNLANLSNPEEASVNIQGLYSDLVEGAPAEPTKFLTKERPVFNGNESRYGLAQCSRDLNETSCYNCLNYLHTWIEVCCKDKKGWRVGTPSCNIRIEEYQFFNLSTPAAPPPEIQDERLSEEIWLRSSATHVTEAPDTAGIELLTSDCSTT >OMO85525 pep supercontig:CCACVL1_1.0:contig09608:2880:4409:-1 gene:CCACVL1_10127 transcript:OMO85525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCSAPKSRYDESYHTTAASTSANLSSAPSSSQGHRPPTYSLAQIRHATNNFYPGYEIGEGGSCRVYRGTLQNMDVAIKRFTDTSDESFNSELEILTEIYSSNLRSSRLVGFLGSCSEANERILVFEFMANGTLYNMLHNRSDDFSLSYRQRLVIAQNIANGVSDLHKKRTLHCDIKSMNVLLDQNYGAKICDFGFAIKLPQGSDFVATGNMLRYTEGYIDPEFFNDGLLSEKTDVYSFGKLLIELFTGKKATSVMPDWYFGGSMQKNKEIITTKIRKICDRRLKYTAALQRTFVEAIFLAIDCISADPDARPSMTQASNTLARILERYDELSE >OMO85526 pep supercontig:CCACVL1_1.0:contig09608:8295:8558:-1 gene:CCACVL1_10128 transcript:OMO85526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRPMKAGYALDQLESDLFIGLTCLVGYHYECFRVASVAAFSGEQ >OMO70879 pep supercontig:CCACVL1_1.0:contig11777:13837:13947:1 gene:CCACVL1_18602 transcript:OMO70879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPGTGGAAYISRDSFESNPQGYFAGLHGSGQGNK >OMO70878 pep supercontig:CCACVL1_1.0:contig11777:8312:12753:1 gene:CCACVL1_18601 transcript:OMO70878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin MGTIKPSALSLGLRSLAAPAAVLQALRLLRCKHFMSWKLILVFDERTEFADLMSGEPGLKSKRKRGDFNMITGSARLRRKKIRVDLKSMEQKVASVDYISQLPEHIIHHIIGLLRCEKDAARTSILSKKWRDTWASFSSIVFDQRKFNGQLTDLGIQSKVQIPKAKNVELFGDYVDNTLQRHVEQKDSILKFVLHITDYRVILASRMDQWIDVAIKNNIKELDLHVPGSKHRRYSLPQCVFDANRITALRVYGCKLEPVNDLNLSNLQKLCLGELYVKEEMIEKLIHGCPHLEDFRLVYCSGLRSFAVSDLPKLKRVDLHSCKGLKKVKVQAANLETFWYHAKKSRPCTIDLSTCNSLKILTLEDAKLDDELFQNHLSCFPVLEKLVLSKCNALKNITISSFRLKTLILRECKKLEEVDIDTPNLVSFEYKGDKMPFSSLNPASLKEAKLYFKPSKQAGSRFLNGDVHTPWFARLQEFLEKFDYSKGLKLVARSNKDIVIYETPKEIFLPLVDGLKLDVVKPSITLEDLLEDILRTWHPQTLSSLSIARSKLPKDGGKDQPSSKKKMSVVVGPWGGNGGAAWDDGTYHGVREIGLVHDRCIDSIRVTYDKNGKPVTAEKHGGVGGTKMAEIKLKFPEEFLISVSGHFCPVVHGGSPVIRSLTFNSNQRTFGPYGVEEGTPFSFSVEGARIVGFNGRSGWYLDSIGFRLCRVQSPKLFQKVQKGFRRLTSSISISKPSADA >OMO70882 pep supercontig:CCACVL1_1.0:contig11777:28681:30303:-1 gene:CCACVL1_18605 transcript:OMO70882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MGNTEKLLNQIMDLKFTSKSLQRQARKCEKEEKAEKLKVKKAIEKGNMDGARIYAENAIRKRTEQMNYLRLASRLDAVVARLDTQAKMTTINKSMANIVKSLESSLNTGNLQKMSETMDQFEKQFVNMEVQAEFMESSMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAAHAIPTKTQEKVDEDDLSRRLAELKSRG >OMO70881 pep supercontig:CCACVL1_1.0:contig11777:27137:27644:1 gene:CCACVL1_18604 transcript:OMO70881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-binding lectin MTEVVGPWGGNGGTAWDDGKYYNGVREITLVYGNCINSIRLIYDKNGKPVKAEKHGGTGGNNTVVETKSLASMGQADGYLIPLEFDYPKVPKVNSGKTKND >OMO70885 pep supercontig:CCACVL1_1.0:contig11777:40820:40942:-1 gene:CCACVL1_18608 transcript:OMO70885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDKKAKDDHRKVPIIFEILGVGKGKGKGKRARSGWFCF >OMO70883 pep supercontig:CCACVL1_1.0:contig11777:33158:37927:1 gene:CCACVL1_18606 transcript:OMO70883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anoctamin/TMEM 16 MNAVKEEEEIVYEVAVVVPKRNLKEENGEYDCVEVLVNEFRNVGLVVDRVLGLSDEFIKLGAPLETLGRAAAKLGMKKPTHIGIELQFECEETEAFLRQPDGSLFSWCERFQCYRHLIYETVNKKDSDISLKFDSKEIQWEAGESLLQRLESEGIVKQVFPLHDETKRKKLLKSWALNCWDLTKQPIDEIYAYFGTKIALYFAFIGMYTRWMIFPASFGLVVQLVDFGSLQLLVLPAFFVGIVLWAVLFFQFWKRKNAALAARWQLNFSVSTGQGYKVLGREWSCIQSPMEVIKNLGNDKAKEKEAFQRYEWFGYLKRFRNDALVILSIICLQLPFELAYAHLYEVIKSDIVKFGLTAVYLFAIQYFTQIGGKISIRLIKHENNEYTEYRADSLVYKVFGLYFMQSYIGVFYHALLHRNFKTLRQVLIQRLIISEVFQNLLENSIPYLKYNYKKYRAVRNKKKREKGSTGKIQFTSRVEKEYLKPTYSASICEELEDGLFDDFLELALQFGMIMMFACAFPLAFAFCALNNIAEIKTDALKLLAMLKRPVPRAAATIGAWLNIFQFLILMSICTNSALLVWLYDQEGKWKIEPGLAAILIMEHVLLLIKFGFSRFVPDEPAWVRANRMKNATQAQYMCSKQLLRSISGGERSLNPRKTPDELKKTE >OMO70888 pep supercontig:CCACVL1_1.0:contig11777:57449:57532:-1 gene:CCACVL1_18611 transcript:OMO70888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPSPRRSSRVVRMLAKLDGFVVGKN >OMO70884 pep supercontig:CCACVL1_1.0:contig11777:38688:39002:-1 gene:CCACVL1_18607 transcript:OMO70884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKKTVAPAGGESAVVNKNNVEITRANTKKSRRFNRCFSFVEVSMEPVSSLSELDSNKFKAEIKRWAKAVVAYARQVSGRLGSSRKSDRYGSSRTPSSSHNHS >OMO70877 pep supercontig:CCACVL1_1.0:contig11777:161:6034:-1 gene:CCACVL1_18600 transcript:OMO70877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20 MSFSKFVSFLLICHLLNPTVVLASPSSKGWSEIPKKFLDFAKREELFDWMVGIRRKIHENPELGYEEFETSKLIRQELDKLGIPYKYPVSVTGVVGYVGTGKPPFVALRADMDALPVQELVEWEHKSKTPGKMHACGHDAHVTMLLGAAKILKEHIDELKGTVVLVFQPAEEGGGGAKKMLDEGVLENVDAIFGLHVASDKPFGIVASRPGPLLAGSGFFEAVISGKGGHAALPQHTIDPILAASNIIVSLQHLVSREADPLDSQVVTVARFQGGGAFNVIPDSVTIGGTFRAFSKESLLQLKQRIEEVIKGQAAVQRCSATVNFNENEKPLFPPTVNNKDLHEHFRNVAGDMLGSDKIKDMQPLMGSEDFAFYQEIIPGYFSFLGMQDETGPNLKSAHSPHFTVNENVLPYGAALHASLATRGDFIAPNKISGLEKLQAMELHEKVEQVIIGSKEKVDVENLHLWLEGTVCETYDWFTRVEDLIKRFENRIRSIESQIHIKFVDIADDKLLKDVEDFCQTGRTDLPKLGIIQWKQLVEEAIPRKSLDDVAGFDLVLQCKYSFDGMSIVIFSIPTAKADCELKETKISVYFHDHFSGDPNATDVPVVGFAGKSWAWNQFGTVFVMDDLVTEGPEPTSPTVGRLQGTYITASLDGLRVSTSFSLVFTNKAYNGSTIQIVGNVNQLDTVEEYGVASGTGKFRYAKGYAIFEIISFRTKVYTVLRLNASILHY >OMO70880 pep supercontig:CCACVL1_1.0:contig11777:14556:25510:-1 gene:CCACVL1_18603 transcript:OMO70880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRARLFLGAGNLQKIINGSI >OMO70886 pep supercontig:CCACVL1_1.0:contig11777:46054:46818:-1 gene:CCACVL1_18609 transcript:OMO70886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEEYRLLMKAALQGCTNLQPSGGFNNPKNAYCIELKCKNCKQNQVIEVKLGVIIAAPSISKENRSWKSPAHFDQKCPNIHCEQQILVKMIPGFDSAYPRNSKLEYKEIMKFIFDGAEPQRFIFGGVWEYEYLNPLRDCKGYTLLGADEGKNTNEEDELSSSLIEDWRMEPRILYADATFLKCSNPL >OMO70887 pep supercontig:CCACVL1_1.0:contig11777:48158:56329:1 gene:CCACVL1_18610 transcript:OMO70887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTEGFHGVVAYCSREEFCVDGYVKKRPNRLTYQVRTEAKANIRYPDTFEGFLDFMRNFLVHLDQSCYTDEDNEQFNKALSRTQAKANIRYPDTFEGFLDFMRNFLVHLDQSCYTDEDNEQFNKALSRLFLTKKKTKVSWSCGKVGSGGLL >OMO50248 pep supercontig:CCACVL1_1.0:contig16243:12917:18511:1 gene:CCACVL1_30549 transcript:OMO50248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSRSPDDSVSHTNGETGSELGTKEPESPLPVQNKPPEQVTMPKPETKPETKPETQPEKPKKPKSPHMKRVSSAGLRTESVLQTKTGNFKEYYSLGRKLGQGQFGTTFLCVEKSTGKEYACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVAVHVVMELCAGGELFDRIIQRGHYTERKAAALTRTIVGVVEACHSLGVMHRDLKPENFLFVNQEEDSPLKTIDFGLSIFFKPGERFTDVVGSPYYVAPEVLRKRYGPEADVWSAGVILYILLSGVPPFWAESEQGIFEQVLHGDLDFESDPWPSISESAKDLVRRMLIRDPKRRVTAHAVLCHPWIQIDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMIDTDNSGQITFEELKAGLKRAGANLKESEIYDLMQAADVDNSGTIDYGEFVAATLHLNKIEREDHLFAAFSYFDKDGSGYITPDELQQACEEFGIEDVRLEEMIREVDQDNDGRIDYNEFVAMMQKGHVTTNIPGAGKKGLQHSFSIGFREALKL >OMO83824 pep supercontig:CCACVL1_1.0:contig09848:861:2051:-1 gene:CCACVL1_11154 transcript:OMO83824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKGFDIAGEKYHKVCGVIAQESLCGSAIAYVITSATSINVPQEPETRPSIDDKHL >OMP08836 pep supercontig:CCACVL1_1.0:contig03581:416:475:1 gene:CCACVL1_01088 transcript:OMP08836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVDSGGLAVDYLEEMAH >OMO77467 pep supercontig:CCACVL1_1.0:contig10751:4080:21388:-1 gene:CCACVL1_15004 transcript:OMO77467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTPLSQWPNLVITRLALPQMVEAGQLASSREEVDLNSAHQLYKLICSRADANIQRTDEVKGCRQTSES >OMO61331 pep supercontig:CCACVL1_1.0:contig13521:19838:22703:1 gene:CCACVL1_23599 transcript:OMO61331 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Disease resistance protein RPM1 MAEVAVSIVMDKLIPLFNEKLEQLRALHREVEDIKVEFEFIASFLKEADARVYRADSNNNSGLKTWVKHVREAAFQIEDVVDEYMLHLVEHRDQHGCRAFLRRIARPLKNLRKRHEMVSRTSYLVKFVLNTAEKLYNVDMESKASANFASELGRLKQLRKLGIINLKPEDGEALCLAIEQMTYLQSLRISSINEDEYLQLESLSSPPPFLQSLRLHGRLSTLHDWISKLKNLVRVGLQWTRIPDDSFKILGVLPKLLYLYLYKGYDGDELQLEEGHFQQLKYLGLFALEGLNRLLIDKGALPLLEKFRIGPCPQLEEVPLGICYLKCLTTLEFWEMPREFSRKMLPDEGPDHWKVKHIPNVEFYYRSKGASYDHYKLSDSRLSDVLL >OMO61330 pep supercontig:CCACVL1_1.0:contig13521:2575:5345:1 gene:CCACVL1_23598 transcript:OMO61330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol acyltransferase MGAEEMEEKRASGYREFHGRNEFPSNTMHALLAIGIWLGAIHFNAFLILFSLLFLHLSKFLVVLGLLLVFMFIPINPYSKFGRKLSRYICKHACSYFPITLHVEDFDAFHPDRAYVFGYEPHSVLPIGVVALADLTGFMPLPKIKVLASSAIFYTPFLRHIWTWLGLTPATKKNFSSLLDKGYSCIIVPGGVQETFLMERGSEIAYLKARTGFVRLAMEKGCPLVPVFCFGQSNVYKWWKPGGKLYLNFSRAIKFTPIFFWGIFGSPLPYQHPMHVVVGKPIEFKINPQPTVEEVTEVHDQFVQALHDLFERYKARVGCADLPLRIL >OMO61332 pep supercontig:CCACVL1_1.0:contig13521:26575:27471:-1 gene:CCACVL1_23600 transcript:OMO61332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQNLLQLTTQKCTTGCPILDGLLRGGIPCNSITEIVAESGSGKTQICLQLSLHAQLPVSHGGLAASSLYLHTEFPFPFRRLHRLSLSFVSSNAPHLPTNGNPCDRIFVQSVHTADQLLEIMSKIEPFIENSRGQPPVRLIVIDSVAALFRSEFDNTPIELKRRSCLFFKISSELKALAKRFNLAVLVTNQVVDVVAPNVGINGLKIGNLGCLYTSGRRVCPALGLAWANCVNSRLFLSRREEVIADKNGKFNGESGECNQTRRQLHVVFAPHLPESSCDFVITRDGIFGVEKQLLD >OMO72161 pep supercontig:CCACVL1_1.0:contig11496:14586:15540:1 gene:CCACVL1_17910 transcript:OMO72161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDFGDSDSDEHTGQKARRAYAPGQARRPVEPSVLG >OMO72160 pep supercontig:CCACVL1_1.0:contig11496:5827:10054:1 gene:CCACVL1_17909 transcript:OMO72160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSTLDNHHVVFITTEPLMMSNPKLLGV >OMO81124 pep supercontig:CCACVL1_1.0:contig10236:10973:11814:-1 gene:CCACVL1_12593 transcript:OMO81124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MALVIFVVLGAAAMLQMQSTYAETTYIVGDSTGWTRPTATLFPNINFYNDWAANKFFQVGDELVFNFATGQDDVAQVTETDYQSCTTTNPIRTYNDGPVKFTLKDAGDYYFISTFSDHCSAGQKLHVSVNRDINSASSLVPTLSLVLFVSVSLLFLL >OMO81123 pep supercontig:CCACVL1_1.0:contig10236:8605:9294:1 gene:CCACVL1_12592 transcript:OMO81123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MARKFSMVAAVFVAVLGVAAMFQGTSAVTYTVGDATGWRIPTNTDFYDDWNDNKVFHVGDILIFNFTTGAHDVAEVKTENAYDTCATAAANLVSTITTGPASITLNRTGDHYFICTFGTHCASGQKVQIEVEMGTSPPSTTPPTSPTPGSSPTPTTPTTPSGTNTTTSTTPSTTTPSSASSLVSTLSLVFVSIALVLFF >OMO81122 pep supercontig:CCACVL1_1.0:contig10236:2663:3304:1 gene:CCACVL1_12591 transcript:OMO81122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MARNLVAAVFVAILGVAAMFQGTSAATYTVGDATGWRVPSNVNFYDDWTDNKTFRVGDVLVFNFATGAHDVVEVGTENAFDTCAGANPVRTITTGPASITLNRTGEYYFICTIGTHCTSGQKVKIDVEMSTTPGTSPTPGASPTTPSGTNTTTSTTTPAPSSASSLVSTLSLVFVSIAFVLFF >OMP12183 pep supercontig:CCACVL1_1.0:contig00314:221:307:-1 gene:CCACVL1_00088 transcript:OMP12183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGIRWLLEEWNREMQATMNDLASSNAQ >OMP04989 pep supercontig:CCACVL1_1.0:contig05551:5463:7811:1 gene:CCACVL1_02078 transcript:OMP04989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERSSVSQNGADSAMAVGTRHPVGR >OMO70249 pep supercontig:CCACVL1_1.0:contig11850:39182:39397:1 gene:CCACVL1_19046 transcript:OMO70249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLGPTGEFFRRRDEWRKHPMLGNQLRHATPGLGIALVAFGIYLVGEQVYNRVIAPSSSHHEKAPSHSH >OMO70251 pep supercontig:CCACVL1_1.0:contig11850:54767:56511:-1 gene:CCACVL1_19048 transcript:OMO70251 gene_biotype:protein_coding transcript_biotype:protein_coding description:splicing factor 3B subunit 2-like protein MTAEVLSHPNGAVVSNGDLISKMNSNTNVNPSAASRESERRRIRRRRKQKKNKYSSIQQQQNDAANGTVPDAGEDEKKENSDQQQVAEQEVIIVEYVAELDDGIDEEFKKIFEKSSFAGSDQETDKKEYESADSKKKADSHSEEDNQEKEKLGRSNKKKKKRMKIVSLQPEELQVMDNVLPAKYEEAREEEKLRSQHEDFSDMVAENEKKRRRKIQEKEGKSKKKKKDFKF >OMO70243 pep supercontig:CCACVL1_1.0:contig11850:7510:9207:1 gene:CCACVL1_19039 transcript:OMO70243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPAACSQSWSISEDSLRRYVQFASESCIQELLLSASDSESKKFGINGNDGWKVVTLENEVEISKRRSGSLHIFRSRWLLRSVSPEQFITVANAIDAAKQWDSDLVEARYIKDLEDNLSIIRLRFGENSKPLFRKREFIVYERRETMEDGTLVVAVASLPKEIAAGLHPKQNDAIRGLLLQSGWVVEKLEDINSCIVTYVVQLDPAGWLPKCFVNRLNTKLVMIIENLRKLVQPTPPKI >OMO70244 pep supercontig:CCACVL1_1.0:contig11850:12009:12200:-1 gene:CCACVL1_19040 transcript:OMO70244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLLGTVDYSGQLRGMTMSSGTAMLLETVDSGQSRGMTMAASGTVILSATASSFRPSTPGCN >OMO70250 pep supercontig:CCACVL1_1.0:contig11850:47250:54297:1 gene:CCACVL1_19047 transcript:OMO70250 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MEEFLKQCQQSGDAAYGALRSLLEKLEDPKTRRDARVFLSELHSRVGSSDDCLSKYHFQIQDILLDQYQGYRGRKKLTMMVIPSIFMPEDWSFTFYEGLNRHTDSIFKDKTVAELGCGNGWITIAIAEKWSPAKVYGLDINPRAVKVSWINLYMNAFDEQGQPIYDEEKKTLLDRVEFHESDLLAYFRERDIQLERIVGCIPQILNPNPEAMSKMITENASEEFLYDLSNYCALQGFVEDQFGLGLIARAVEEGIAVIKPAGIMIFNMGGRPGQAVCKRLFERRGFRVNRLWQTKILQAGDTDISALVEIEKNSPHRFEFFMGLSGDQPICARTAWAYGKAGGRISHALSVYSCQLRQPNQVKMIFEFLKSGFEEISSSLDLSFEDDSVADEKIPFLAYLARVLKEKSYFPYEPPAGCKRFRNLIAGFFKAYHHIPLTSDNVVVFPSRTVAIENALRLFSPRLAIVDEHLTRNLPRQWLTSLAIETAENGLSGDVLTVIEAPRQSDLMIELINKLKPQVVITGISHFEAVTSSAFVQLLDATGEIGSRLFLDISDHFELSSLPGSSGVLKYLSGTPLPSHAAIVCGLVKNQVYSDLEVAFVISEEKAILRALSKTVEVLEGNTSLISQNYYGCLFHELLAFQLTDRHPLPERRSEKPKSVEMIGFATSAVSVIDNAELSIADDQNTLIHMDVDQWFLPVPPAVKAAIFESFARQNMAETEIDVTPCIKDFVKRNYGFQTDSSTEFIYSDCSQALFSKLVLCCVQEGGTMCFPAGSNGNYVSVSKFLKANILNIPTKSEDSFKLTEEILNKALETVKKPWVYISGPTINPTGLLYSNKEIGNILTACARVGARVVIDTSFSGLEYDFEGWGGWNLEACLSKLSSSGNPSFCVSLLGGLSLKLLSGALRFGFLALNQPLLIDAFYSFPGLSKPHCTDKYAIKKLLALRAQEGGILDVVKEQTRNLESRAKRLKEALEKCGWEVLRPSAGISMVAKPSFLNKAVKLSNSLKDTGEGKKNGTYEVKLDDSTIREAIVKTTGLCINGGSWTGIPGYCRFTIALEDSEFEQALDCLVKFKSIVGN >OMO70245 pep supercontig:CCACVL1_1.0:contig11850:16963:19882:1 gene:CCACVL1_19041 transcript:OMO70245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MYGRDPWGGPLEINAADSATDDDRSRNLQDLDRAALSRPLDETQQSWLLGPGEQKKKKYVDLGCIIVSRKIFVWTVGTILVAGVLAGFITLIVKTVPRHHHRHPPPDNYTLALHKALMFFNAQRSGKLPKHNNVSWRGNSCMQDGKSDPSVLMKDLVGGFYDAGDAIKFNFPAAYSMTLLSWSVIEYSAKFEAAGELNHIKEIIKWGTDYFLKTFNHTADTIDRVAAQVGVGDTSGGSTSPNDHYCWMRPEDIDYPRPVYECHSCSDLAAEMAAALASASIVFKDNKAYSQKLVHGAATLFKFARDQRGRYSAGGSDASIFYNSSSYWDEFVWGGAWMYYATGNQSYLQLATHPKLAKHAGAFWGGPDYGVFSWDNKLPGAQVLLSRLRLFLSPGYPYEEILRTFHNQTSIVMCSFLPVFTSFNRTRGGMIQLNHGRPQPLQYVVNAAFLATLFSDYLDAADTPGWYCGPNFFSTDVLRDFAKTQIDYILGKNPRKMSYVVGFGNHYPKHVHHRGASIPKNKIKYNCKGGWKWRDTSKPNPNTLVGAMVAGPDKHDGFHDVRTNYNYTEPTLAGNAGLVAALVALSGDKSTGIDKNTIFSAVPPMFPTPPPPPAPWKP >OMO70248 pep supercontig:CCACVL1_1.0:contig11850:34314:36579:1 gene:CCACVL1_19045 transcript:OMO70248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAATTTKISQLFCYSSINRKAQLYPRSTFRPITRAKSFVVMSVDGRGTEATSSELKTTLSYAAEESKPFIEEATKSYPHAEGHVPDSSSEPVGEHGIVQPKRAAKIHDFCFGIPYGGLVLGGGVVGFVFSKNPATLLFGGALLALSTFSLKIWRQGKSSLPFILGQAALAAVIFWKNFQTYSLTKKLFPNAFYAAISAAMFCFYTYVVVSGGNPPPKKLKPAASHQS >OMO70252 pep supercontig:CCACVL1_1.0:contig11850:58777:60988:1 gene:CCACVL1_19049 transcript:OMO70252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIPTWTSSAPPNLDEQKHDQ >OMO70247 pep supercontig:CCACVL1_1.0:contig11850:29514:33368:1 gene:CCACVL1_19044 transcript:OMO70247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISLSSLTVNTVSRSSRFFSLLPSFAQVARRDAIACTGASTCQFSSIADVSEYEFDEVRDDDKEIMKDSALRQALTELAGEFGKESMLSLQRFFSSRRAPVISTGSLKLDLALGIGGLPKGRMVEIYGREASGKTTLALHIIKEAQKLGGYCAYLDVENAMDLSLVESIGVNTENLLIAHPDSAENLMCVVDTLTKSGSVDVIVVDSVAALVPQCEIDGSIGDSKRDVQARIMTQALRKIHSSLCRSQTLIIFLNQIRYHPKSGQAFGHEEVTSGGNALKFYAAVRLRMMRTGLLRSEEKITGLGVCVQVTKNKLAPAMKKAELGIQFGRGFSFESEVLELACEYGIISKEGSNYIYEGEVFSDKEEAEEYLAENDDVREQIVTNLRMKLFKRKI >OMO70242 pep supercontig:CCACVL1_1.0:contig11850:4168:6403:1 gene:CCACVL1_19038 transcript:OMO70242 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MAAGPNPKEAVCVTGANGFIGSWLVRTLLENGYTNIHASIYPGSDPTHLFSLPGANSPSVNLQIYEADILDSSAVAKAVDGCQGVFHVASPCSLEDPKDPDKELVRPAVQGTLNVLEAARAAKVRRVVITSSISAIVPNPNWNPETNGAFDETSWTDLEYCKSRQKWYPVSKTMAEKTAWEFAEKHGMDVVAINPATCLGPLLQPNLNASCAVLLQLLQGSKGTQEYHWLGAVHVKDVAKAQILLLESPAASGRYLCTNGIYQFGNFAETVSQLFPQYPVHRFTGETQPGLVSCKDAAKRLIELGLIFTPVEEAVRETVESLQAKGFLKQQQQPSES >OMO70246 pep supercontig:CCACVL1_1.0:contig11850:24310:28172:-1 gene:CCACVL1_19043 transcript:OMO70246 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family MNNELHPLDDQKRRQISDQPPDSPSGEQELVCFSSDAAVTANFLAVKNINTSTKTGEMPNSNSSEDLEKDGTNSGHLVRQNSEYVRLVTSDHEHTAVEIETLQPQTTTTRAKAFLWWIKVFVFSIFTVILLLVFVKWGVPFLFEKVLLPIMQWEATAFGRPVLAIILVASLALFPVFLIPSGPSMWLAGMIFGYGLGFVIIMVGTTIGMVLPYLIGLLFRDRIHQWLKKWPKKAAMIRLAAEGSWFHQFRMVALFRVSPFPYTIFNYAIVVTSMRFWPYLCGSIAGMIPEAFIYIYSGRLIRTLADVRYNNHKLTPVEIIYNVISLIVTIVTTIAFTVYAKRALKLEDGEVKGEDDSASSQPGFAMEKLPIERPKMSFS >OMO65875 pep supercontig:CCACVL1_1.0:contig12610:577:4015:-1 gene:CCACVL1_21352 transcript:OMO65875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMNAISNMVDCRDAMPCSDGVNENMVNVVDETAHSRDGGIVDSSKRAVVGFDGDTEFEPRNGIEFESHEAAYAFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGVTPESDVGSSRRSSVKKTDCKASMHVKRRPDGKWIIHEFIKEHNHELLPALAYHFRIYRNVKLAEKNNIDILNAVSERTRKMYVEMSRQTGGYQNLSFLQNDIKNQFDKGRHLIVDEGDAQIMLEYFKRIKKENPDFFYAIDLNEEQRLRNLFWVDAKSRNDYSSFNDVVSFDTTYMKFNEKLPFAPFVGVNHHSQAMLLGCALLADETKPTFVWLLKTWLRAMGGQAPKVIITDQDKALKAAVEEVFPTARHCFALWHILEKVPKSLAHVIGPHENFLPKFNKCIFKSWTDEEFDMRWWKMITRFELQDDEWVQSLYEDRKKWVPTFMDDVFLAGMSTSQRSESMNVFFDKYIHKKITLKEFVKQYGAILQNRYEEETVADFDTWQKQPALKSPSPWEKQMSIVYTHAIFKKFQVEVLGVVGCHPKKESEDDGTITFRVQDCEKDENFMLIWNEEKSEVSCSCRSFEYRGFLCRHALIVLQMCGRTSIPAHYILKRWTKDAKSGQSMAEGTERVQTRVRRYNDLCKQAIELSEEGSLSEESYNIAFRALVEALKNCVNVNNSCITAVESMGHAHGLREAEEENHGNLASKSTKKKNTNKKRKGQSEPALMFVDTQDSLQQMDNLSSDGIALNGYYGAQQNVQGLVQLNLMEPPHEGYYVNQQSMQGLGQLNSMATGHDSFFGTQQSMHGLGQLDYRPSASFSYALQDDPQLRSTQLHGDVSRHP >OMO65878 pep supercontig:CCACVL1_1.0:contig12610:32362:38741:1 gene:CCACVL1_21356 transcript:OMO65878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase class-III MVPGNLLRSTLKTQLRSYIRNAAASRSFADNPLKGPLLARLYSTQPSLQKEDSDVKGGFKGHDMLAPFTAGWQTTDLNPLIIEKSEGSYVYDANGKKYLDALAGLWCTALGGNEPRLVAAATDQLNKLPFYHSFWNRTTRPSLDLAKELLETFTASKMAKVFFTNSGSEANDTQVKLVWYYNNALGRPDKKKFIARAKSYHGSTLISASLSGLPALHQKFDLPAPFVLHTDCPHYWRYHLPGETEEEFSTRLANNLENLILKEGPETIAAFIAEPVMGAGGVIPPPATYFEKIQAVVKKYDILFIADEVICAFGRLGTMYGCDKYNIKPDLVSLAKALSSAYMPIGAVMVSPEVSDVIYSQSNKLGTFSHGFTYSGHPVSCAVAIEALKIYKERNIVEKVKSISPQFQDGLKSFSDSPIVGEIRGTGLILGTEFTDNKSPNDPFPPEWGVGAYFGAQCEKHGMLVRVAGDNIMMSPPFIMTPEEIDTLISIYGKALKATEERVKELKSQQKKQ >OMO65882 pep supercontig:CCACVL1_1.0:contig12610:56080:58941:-1 gene:CCACVL1_21360 transcript:OMO65882 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MELNLTPVSINKHKQDPAWNHCEAFKNGDRVQIKCMYCGKMFKGGGIHRFKEHLAGKKGQCPSCEQVPQSVRAFMLESLNGRSKKQDNKQKTLPDLFDSGNCSPYVGEIDLSAFHDDVNNGIKPNQVLNTLAPSSDLVATEKEEVSQGSRDSRKRGRSKSLLVNSESCAKSSDLALVSKGVENPVQFAIGRFLFDIGVNFEAVNSVYFQPMIDAIASAGSGTVPPSCQELRGSILKSVIEEVKHDIDRYKATWKKTGCSILVEQWSLKNGRTFLNFLVYCPQATVFLKSVDASHVFYSVDHLYELLKQVVEEVGVENVLQVITNCEQQYFLTGKMLMESFPSLYWAPSMAHCVDMMLEDFGNIEWINETIAQAKSVTRFVYNHSVILNMMRRFTFGNDIVEPAVTRSATNFVTLQRMADLKLNLQTMINSQDWLECQYAKKPGGQVMVDIVRNRSFWNSCVLIVRLTYPLLKVLEIAGSKKSSAMGYVYAGIYRAKETIKKELVKKDDYMVYWNIIDHRWEQQRHLPLYAAGFFLNPKFFYSSEGNIQSDILSSMFDCIERLVPDINIQDRIIKEIHLYKNASGDLGRPIAVRARDNLLPGKDSYTVQLVLRNQEKDSVDPLSVDSKDVLEDWIADNVVGLEDYGSSDWTTLDPPVGNRMVSGSSGDEIEDFLGTGFADLDIFSGLKGVKQDI >OMO65881 pep supercontig:CCACVL1_1.0:contig12610:50215:55264:1 gene:CCACVL1_21359 transcript:OMO65881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MEGESLVSAEIPVKEAVEDTENVAHPVKASNGELPQVEKEGKKDEEETSLDGEFIKVEKDNEPTVVERSISNSREVLEAQEKVKELELELERLTGALKHSESENCRLKDEVLLAKEKLDEGEKKYDELDLSHKKLQEQINDAEQRCSSQLTQLQEALQAQEAKQKELTEVKEAFDGLNIEIEISRKKVQELEQDLQSSVEQARKYEELLKEGGASLMIETQKASQFESMFESAKLYAKEMEDQMVSLKEELKGLHEKVAENEKVDAALQSTTAELAASQEELARSKSLVLDLEQKLTSKEALINELAQELDLGKASESKVKEEISALEKIFAATKEDLQAKVSELEDIKLKMEEEVKARELVEAGLKNQEEQVSAVQEELSKVLKEKEALQTAIADLNNNAAQMKELCCELEEKLKISNENFSKSDSLLSQALASNEELQQKLKSLEELHNESGAAAATATQKNLELEDIVRASNEAAEDAKLKLRDLEARFIAAEQKNVELEQQLNLVELKGFEAEKELKQFSEKITELTTKLGEVEEEKKLLNDQMQEYQGKVADLELALNQSAARNSELVEELKIAVDKSTEHEDRANMSHQRSLELEDLFQASHSKLEGADKKVNELELLLEAEKYRIQELEEQISKLEKKCENAEAESTRHSGKVSELAAELEAFQTRSSSLEIALQEANEKERELTESLNLATDEKKKLEELSHGSTEKLAEAENLVELLRSDLNMTQQKLESIENDLKAAGLRESDIMEKLKSAEEQLEQHVRVIEQTSARNSELESLHESLTRDSEIKHRELMESFTSKDSEAKTLVDKLKTLEDQVKVYEEQVAEAATKSTSLKEELDQTLMKLASLESTNEQLRKEILEAENKSLQSSSDNELLVQTNIQLKSRVDELQELLNSALSEKEATAQEVASHMCTIRELSDQHTKASELRAEAEARIAEAEAQLHEAIEKHGKKESEANELIEKLNALEAQVKTYEEQAHEASTIAVSRQVEVEETVFKLKNLENFVEELQTKLAHFEKESGGLAETNVKLTQELAMYESKLSDLEGKLSAVDKEKEETAEQLQTSKKAIEDLTQQITSEGQRLESQISSLMEENNLLNETHQNTKKELQSVILQLEEQLKEEKANEESLKLEISNLKAEIAESSVLHTRVKELEGQLVTVETQLKEEKEADSKKELEREAALKCSLEDLEAKSKEASLLEKQVKELQEKLQLADASSGELKDGVEVKSRDIDGLTFSTPTKRKSKKKSEASSSSVQAASSSVTHAETSPLTTLKFILGVAFVSVIVGVILGKRY >OMO65880 pep supercontig:CCACVL1_1.0:contig12610:41288:41365:-1 gene:CCACVL1_21358 transcript:OMO65880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTPDSVMAGRTPAQSTDFLTTSF >OMO65876 pep supercontig:CCACVL1_1.0:contig12610:4629:4790:1 gene:CCACVL1_21353 transcript:OMO65876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGELAVMRLKRESAERNETGEEFRGVLGKLLGILKFGNSIKIKQHRCSRL >OMO65877 pep supercontig:CCACVL1_1.0:contig12610:23350:29015:1 gene:CCACVL1_21355 transcript:OMO65877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MVRKHGWQLPAHTFQDKDLVKHVPMHSGCLPGKPSTDHTAECWCNPQGCIACIFSMIVEVVAITVFCLLVVAFYAFFAPFLGGRIWEYVLAGTYSLVALLVFILYVRCTAINPADPGIMSKFNGGTNKLDTKHGLSVKDLPRKFDEFGSGIHSSPSTISRSSIAPPNSSKKGSIGDSATIDVPTQSATRKSCCIGGILCAVFVHEDCRKQEGAAEQGSEDALFCTLCNTEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGHKNYVTFISLMAISIVWLVMEAGVGIAVLVRCFVNKKGMETEIIDRLGNGFSRAPFATVVAVCTAVSILACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASVDEELPNVMYSPTGSATTGLSGGSSLGLQYKGAWCTPPRVFVDYQDEVVPHLEPGMVPSTVDPDAAGFAERANKGPKRAVRISAWKLAKLDSNDAMRAAARARASSSVLRPVDNRHLADPELSSSGNMSIRSSLSTDTGANKELKIDQRLSPLGNSFAPSQGSRDEYETGTQSVSSFSSPSHIHESITLSPLPQAQGLGRLNTATSIPGIPDRTLMSKAAFPAISNPITHAASGSDEKIVHKGGIGDPLLLSAPAASLLRDVKRTSVVWDQEAGRYVSVPVSATEGRNRSSMQIGLPNSSGETSMQGRRVVFPPQESSIAAKAPAQHAEKLLYTGDSIFFGGPLLSVPVRDSLRNDRGLGSREAQERIALNLPRESRFKRDSVSNQLPVFVPGGFEQNSASGSGLK >OMO65883 pep supercontig:CCACVL1_1.0:contig12610:66505:67830:-1 gene:CCACVL1_21361 transcript:OMO65883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MLQSLVPQSPINSNPSSNPNSSMKSKRADRDVSAATGDDSSADDPSTKRPNFSSGDKTVADEQEETVIEGESTGLRLLGLLLQCAECVAMDNLDDATDLLPEISELSSPFGSSPERVGAYFAHALQARVVSSCLRTYSPLDTKSLTLTQSQKIFNALQSYNSISPLVKFSHFTANQAIFQALDGQDRVHVIDLDIMQGLQWPGLFHILASRSKKIRSMRITGFGSSSELLESTGRRLADFATSLGLPFEFHPLEGKIGNVTDLSQLGVQSSEAVVVHWMHHCLYDITGSDLGTLRLLTLLKPKLITIVEQDLSHGGSFLGRFVEALHYYSALFDALGDGLGVDSLERHMVEQQLFGSEIRNIVAVGGPKRTGEVKVERWGEELRRVGFRPVSLGGNPAAQASLLLGMFPWKGYTLVEENGCLKLGWKDLSLLTASAWQPSD >OMO65879 pep supercontig:CCACVL1_1.0:contig12610:39498:39789:-1 gene:CCACVL1_21357 transcript:OMO65879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVTQLALPVLGIAAAAAVTFYAVSFSEIREKSFRELEDSEYENGGFDSSLSSRKRRARRKAGKDSKT >OMO59486 pep supercontig:CCACVL1_1.0:contig13944:7475:13149:1 gene:CCACVL1_24789 transcript:OMO59486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYQGNSKREDIQQNQTKVEQTSKIHTIQFAELDVLASIKAAFGMTHGDFAVRNAFLELLLHSGCQARKLLKTSETQATRNHTTPNPGGPTPTKLPSTTKASIFLRPWFKTKGNRPQKRQLSKEMKPKANSDSTGNDRQGKATWDSTENLQ >OMO59487 pep supercontig:CCACVL1_1.0:contig13944:13546:21378:1 gene:CCACVL1_24790 transcript:OMO59487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKVAAFHHSGREEEENKSRDFVGFRLCFLESYFSYSLSCRPVFFPFRQVVWPASGHSVLSQLYFNRCPVSDTPHTEGDLSGCEGTSCNTP >OMO59488 pep supercontig:CCACVL1_1.0:contig13944:60994:61080:-1 gene:CCACVL1_24791 transcript:OMO59488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWANARAKDSYQIHTNKVKSTNPTAGK >OMO66026 pep supercontig:CCACVL1_1.0:contig12593:24607:27649:1 gene:CCACVL1_21314 transcript:OMO66026 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MAENLMHALQYSSYGGGAAGLKHVEVPIPTPKQGELLVKLEATSLNPIDWKMQKGMLRPFLPRKFPYIPATDVAGEVIKVGPGVKDYKAGDKVVAMLSHLTGGGLAEFAVAKENLTVARPLEVSAAEGAGLPVAGLTAHQALTQSAGVKLDGSGQQVNLLITAASGGVGQYAVQLAKLGNTHVTATCGARNIDLVKSLGADEVLDYKTPDGAALKSPSGRKYDAVIHCAIGIPWSTFEPNLSANGKVIDITPSPSALMTSALKKLTFSKKQLVPLLLIPKKENLEYLVNLVKEGKLKTVIDSKHPLSKAEDAWAKSIDGHATGKIIVEP >OMO66025 pep supercontig:CCACVL1_1.0:contig12593:286:735:1 gene:CCACVL1_21313 transcript:OMO66025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMLLKIIFYVVSTLSNLVTRLIFSATAYVVVLLIHAFKVPGEALQGALEQLAEAIKTCFEYLVELIIELTASLISSGFNLIIEAVTSSASVSGTVFGTLVEKTKSSLEELLTGLPEIADGFSEMISTVITDLWNNYKYALGYVTENA >OMO66027 pep supercontig:CCACVL1_1.0:contig12593:28766:30403:1 gene:CCACVL1_21315 transcript:OMO66027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MAENMMHAVQYSSYGKGVAGLKHVEVPIPRAKKGEVLLKVEATSLNPLDWKIQKGVIRPFLPRKFPYIPGTDVAGEVVKVGAGVKDFKAGDKVVAALTLGSAGGLGEFVVAEESLTVARPPQVSAAEGSGLPIAGITAYQALTAAGVKLDGSGPQLNLLITAASGGVGHYAVQLAKLGNTHVTATCGARNLHLVKSLGADEVLDYNTPDGAALKSPSGCKYDSVIQCTLGVIPWSTFEPNLSTNGKVIQLTPNATAFMTYLVKKLTLSKKQLIPLYADVNKKDLDYLVQLVKEGKLKTVIDSKHPLSKAEDAWAKCLDGHATGKIIAEP >OMO66028 pep supercontig:CCACVL1_1.0:contig12593:33065:34883:1 gene:CCACVL1_21316 transcript:OMO66028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MAENMMHAVQYSSYGGGAAALKYVEVPIPSPKKGEVLLKLEATSLNPLDLKIQKGMMRPFFPRKFPHIPGTDVAGEVVKVGAGVKDFKTGDKVVAALGMASGGGLGEFVVAEQSLIVARPPQVSAVEGSGLPIAGISAYQALTSAGVKLDGSGPQLNLLITAASGGVGHYAVQLAKLGNTHVTATCGARNLDLVKSLGADEVLDYNTPDGAALKSPSGCKYDAVIQCTSGFIPWSTLEPNLSTNGKVIQLAPSATVYMTYAVKKLTFSKKKLIPLHAEPNKKDLDYLIKLIKEGKLKTIIDSKHPLSKAEDAWAKCLDGHATGKIIVEP >OMP11240 pep supercontig:CCACVL1_1.0:contig01451:1389:4976:-1 gene:CCACVL1_00607 transcript:OMP11240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESKAKANTATNKGKKRRQYLPYNKAVKKKGAYPLRPGIQGFFITCDGGRERQAAHEAINVIDSFFEELVHGKDLGEKPAGLPEKPQNKKITFSYSDDEDDNDDGEGDDEGEEERQEEVAKSDTITDNDASKESPTNKDLDHHNSDDVCHGKTTEETNDSKDGGESIENQGDQTKEPPAKKQCLETDASKCTTNKKEKSVDELIEAELKELGDKSKRPFLNLDSGCNGVVIVQMRKRDGDPGPKEIVQHMMTSAASTRKHMSRFILRVLPVELACYASEEEITRAMKPLIEQYFPVETENPRKFAVLYEARANSGIDRMKIINSVAKSIPAPHKVDLSNPDMTIVVEIVKTVCLIGVVEKYKELSKYNLRQLTSPK >OMP11239 pep supercontig:CCACVL1_1.0:contig01451:97:773:1 gene:CCACVL1_00606 transcript:OMP11239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MSGDPGISAFPEEDNIFCWKGTITGSKDTVFEGTEYRLSLSFSNDYPFKPPKVKFETGCFHPNVDNYGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNTQAAQLWSNQEEYRKWVEKLYKSPNA >OMO83749 pep supercontig:CCACVL1_1.0:contig09859:60834:60962:1 gene:CCACVL1_11236 transcript:OMO83749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPQALPGETGSEIWPVPLQINQGSKSHKYNAVFERKRFK >OMO83748 pep supercontig:CCACVL1_1.0:contig09859:52391:57323:-1 gene:CCACVL1_11235 transcript:OMO83748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEETKTEAPEPVANGTSLPEKCGEAGAEKTEEENNGVTEMEEDKKDDEKVETEKMDEDEKVKEDEESKEEADKEEMEEPKTEAMEEETDSKENKEDEKEENNDEVEEKGDALKEEEEEEEEEEDEEKEEEEKEEEEEKAEESKGSKGSKKRGKVQNAGEKVKGKAKKAEEKKEPEQRTPLTNRPVRERKSVERLVATIDKDASKELQIEKGRGTPLKDIPNVAFKLSRRKTEDTFRLLHTILFGRRGKAFQIKSHISRFSGFVWHENEEKQKNKIKEKFDKCNKEKLLEICDLLDIPIAKATTRKEDIVSKLIDFLVAPHATTTVLLAEKEKEKSSKSNKRKRVTKSGTTSKRSAKSRRKTEDTPKSGKKGKPDSEDESEEGEKEVDENEEEENQNGVTEKSEDEMAEGSESEEKIDSEEEDSEEEVEKKKSTKASSGKKESAGKAKPKKVTVPKKSSAPQKRTPKASSKSTKADDDSDKSPKVSSRKKTEKVTKEKSSTPAKSASKEKAGKKVAKGKDKAKEEKLKPTDHELRDAICEILKEVDFNTATFTDILKQLARQFDTDLTPRKASIKLMIQEELTKLADDADDEDGEGDAEKDDTQSAGQEVEA >OMO83738 pep supercontig:CCACVL1_1.0:contig09859:2121:10064:-1 gene:CCACVL1_11224 transcript:OMO83738 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sirtuin family MVAMVKAADFPYPWQRCKIIHLVRHGQAMHNIEGDNREALLSPGLFDAELSSLGLQQVAELRKDFHDSGLIKRIDLVITSPLSRAMQTAVGVFGSEGHEYSPKVIAVELCRDRLGVRPCDMRRKVRECQSRFPSIDFSMIDEEDDNLWKPDLREQVEELAARVTKFLKWNDGSKSKFEKARLTNEKLSFIEDVGQVGMTEFFDSAHALQDKIEQLAKLIQKSRHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKPLPEASLPFHRAMPSMTHMALVQLEKAGILKFVISQNVDGLHLRSGIPREKLAELHGNSFMETCPSCGAEYLRDFEVETIGLKETSRRCSVEKCGAKLRDTVLDWEDALPPKEMNLAEKHCKMGDVVLCLGTSLQITPACNLPLKCLRGGGKIVIVNLQKTPKDKKANLVIHGFVDKVIAGVMEFLNMQIPPYIRIDLFQTILTQSLSSDKKFVNWTIRIASIHGLNAPLPFIKSVEVSFSDNQNYKEAILNTQPFLLKRRTVRALTFEMFLKLNFSDGCGCLSTQIKIPFNFLASSEDFKLDKNAAIEQMKDAAIQDSSCGQNAVIERRTVLVPKSEITVHAIVTNVKAFDPHLSNGDVKPRTEVLKRCETTRKRSQGRKRKMRS >OMO83741 pep supercontig:CCACVL1_1.0:contig09859:31770:31940:1 gene:CCACVL1_11227 transcript:OMO83741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKVLASQQLQQQLATLATARTGKKMNHRSNKPKGTRTQCYPRSSPNLNPTHLWD >OMO83746 pep supercontig:CCACVL1_1.0:contig09859:49035:49592:-1 gene:CCACVL1_11232 transcript:OMO83746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, CBFA/NFYB, DNA topoisomerase MADSDTESGGAQKNTTGNNSDLSSPREQDRFLPIANVSRIMKKALPANAKISKEAKETVQECVSEFISFITGEASDKCQKEKRKTINGDDLLWAMTTLGFEDYVEPLKGYLQRFRELEGEKTAVARDKDVPLGSASVGVGGGAGGMYGMMVPQHHQGHVYGSSGFHQIGSGLGKGGSGNNLGGPR >OMO83745 pep supercontig:CCACVL1_1.0:contig09859:44271:46297:1 gene:CCACVL1_11231 transcript:OMO83745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVKDAELESELCKYGPVKEVKFFDEKASGKSKGYCQVEFYDPAAAAACKEGMNGHAFNGRPCVVAFASPFTVKKMGEAQLNRNQQMAQAAVPQTKRGPNDAAGKTGANNIQTGGNYQGGDNRGYGRGNWGRGNAQGMGNRGPVGPMRNRAGGMGGRGIMGNGGNGFGQGIGATPPLMHPQSMMGQGFDPAFGGPMGRMGSYGGFPGAPTPPFSGILSSFPPVGGVGLPAVAPHVNPAFFGRGMPPMNGMGMMPTGGVDGPNMGMWSDPSMGGWGGEEHGGGRAGESSYGEEAASDHQYGEVSHDRGGWQNPMKEKDRASERDWSGSSERRYRDEREPGYERDMPREKDVGPDHDWPERRHRDDRDIGRERERERDRERERSRDRDRDRDRERDRDRDRDRYREERDRYADHHRYRDREPEHDEEWDRGRSSRTHSKSRLSQDEEHRSRSRDADYGKRRRLTSE >OMO83739 pep supercontig:CCACVL1_1.0:contig09859:13167:16996:1 gene:CCACVL1_11225 transcript:OMO83739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSGDLLEVNGSRPCENGGCHVELLPAVNDDHGGVIVEMKEHIAADMFVNLLRASILQWKQQGKKGVWIKLPIELVHLVETAVKEGFGYHHAEPSYLMLVSWIPDTPNTIPANATHRVGVGAIILNDKREILVVQEKSGRFQGTGIWKIPTGVVEEGEDISIAATREVKEETGIDAEFVEVLGFRQSHKTFFEKSDLFFICMMHPLTFDIQKQELEIEAAQWIPFEEYAAQPFVQKHDLFRYINELCLAKIERGYAGFSPRPTISMFSDHPSHLYLNNHDLDKSRKKISGMNMNIFPPIFLLVAALWLSASNLDYMVLGEDSIGIMPSICQQPCHPLPPPSGYPSYGAPAPPRPPSFSGYPSYGAPPPPSLPGYPSYGGAAPPPPPRPVQANCPPAAPVQCCGQYPATNSNPYPYQPLVDDHSPNLDIPLSTTSIVTLLFSFVVLF >OMO83742 pep supercontig:CCACVL1_1.0:contig09859:33715:35333:1 gene:CCACVL1_11228 transcript:OMO83742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase/Amb allergen MPKLYFFTLIMLLHAASTFSRHPPQQVSEAAGGYSTRRQLDTDQCRTGNPIDDCWRCDPEWDTNRKRLADCAVGFGKDAIGGRDGELYVVTDSGNDDPLNPIPGTLRHAAVQEEPIWIIFDHDMVITLNEQLLVNSHKTIDGRGHNIQIAHGPCITLRNVSNIIIHNIYIHDCLPSGYSRVWGLSPQFAISGGSDGDGISIFGSRDVWVDHCTLANCYDGLIDATYGSTSITISNNYMLHHNEVMLMGHSDEFLDDKNMQVTIAFNFFGEGLVQRMPRCRHGYFHILNNVYSSWEMYAIGGSANPTINSQGNVFIASDNNYAKEASFNQVTKRENSLSADWKSWNWRSEGDMMLNGAFFTPSGEEGAESYIRASSMVAKPVSLLTKTSQYAGTLGCKVGHEC >OMO83750 pep supercontig:CCACVL1_1.0:contig09859:61319:66217:-1 gene:CCACVL1_11237 transcript:OMO83750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEETKTEAPEPVANGTSLPEKCGEAGAEKTEEENNGVKEMEKDKKDDEKVESEKMDEDEKVKEDEESKEEADKEEKEEPKTEAMEEETDSKENNKEDEKEENKDEVEEKGDELKEEEEEEEEEEDGEKEEEEEKAAEGKGSKGSKKRGKVQNAREKVKGMAKKAEEKKEPEQRTPLTNRPVRERKSVERLVATIDKDASKELQIEKGRGTPLKDIPNVAFKLSRRKTEDTFRLLHTILFGRRGKAFQIKSNISQFSGFVWHENEEKQKNKIKEKFDKCNKEKLLEICDVLDIPIAKSTARKEDIVSKLIDFLVAPHATTTVLLAEKEKEKSSKSKKRKRVTKSGTTSKHSAKSRRKTEDTPKSGKKGKPDSEDESEEEEKEDDENEEEGNQNGVTEKSEDEMAEGSESEEKNDSEEEVEKKKKSTKASSGKKESARNAKPKKVTVPKKSSAPQKRTPKASSKSTKADDDSDKSPKVSSRKKTEKVTMEKSSTPAKSASKEKAGKKVAKGKDKAKEEKLKPTDHELRDAICEILKEVDFNTATFTDILKQLARQFDTDLTPRRASIKLMIQEELAKLADESDDEDGEGDAEKDDTQSAGQEVEA >OMO83744 pep supercontig:CCACVL1_1.0:contig09859:41678:42349:-1 gene:CCACVL1_11230 transcript:OMO83744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFIILSVLVLSVSFSGKLVQADNNAPSPSPKPSPKAAPLSPAKPPTTSSTPPAASPTQSPPPASPPTTTSSPPPATTPTATPTPVQSPVATPPASSSPPVQSPASTPPMAAPPALTPTSSPPALTPTTSPPTMAPVGSPPIAESPVGTPESSANIPSSSATPAEGPAIFPSTSSPPNPTPAASSPESASGPAVNDESGSRSSHEVGFLLSAGLVIGAALAF >OMO83747 pep supercontig:CCACVL1_1.0:contig09859:51884:52024:1 gene:CCACVL1_11234 transcript:OMO83747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPQALLPCQVKLGLKSGQWLFKSTWATFYKNFINIMGSSKGNG >OMO83740 pep supercontig:CCACVL1_1.0:contig09859:24766:27047:-1 gene:CCACVL1_11226 transcript:OMO83740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRQHLALLIFSVFVLFQTSNGHNITRLLAKHPSLSTFNHYLTLTHLAPEINRRTTITVLALDNAAMSALLDKNPSIYTLKNILSLHVLLDYFGAKKLHQITNGTALAATMFQATGVAPGVSGFVNITDFKGGKVGFGAEDNGGNLESFFVKSVEELPYNISVIQITKALPSAVAEAPTPGPSQLNLTGIMSAHGCKVFADTLLANPEAMGTYEDNVNGGLTVFCPLDDPFKAFLPKYKNLTAAGKASFLEFCGVPIYLSMSMMRSNNGLMNTLATDGAKKFDLTVQNDGQEVTLKTKINTVKIVGTLLDEQPVAIYTTDKVLMPRELFKAAPTPAPAPAPEEPADAPKSSKSKSKSKSKSAPASDESPAYSPDEDPADQTADDNAAVSFVGGRFAALGLSFLLLFLLL >OMO83743 pep supercontig:CCACVL1_1.0:contig09859:36419:39397:-1 gene:CCACVL1_11229 transcript:OMO83743 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 14 MACKCGGRGGFYYSMNAEASFDRDSRKTRNSLLRNVSAIRIFRSGFFRSKWRSVAGNHRILSMDAREKSRSTILKSSKDKRVPIFVMMPVDTIAVDASGSPRIRKIKALTVSLKALKLAGVHGIGVEVWWGIVERFSPFDYNWSLYEELFKLISDSGLKLHVALSFHSNIHSSNGKGGVSLPLWILEIGDVNKDIYYRDRHGFSNNDYLTLGVDQVPLLSGRTALQCYEDFMLSFINKFESLIGSVIEEISIGLGPSGELRYPAHPFGDGRWKFPGIGEFQCYDKYMMEDLKMAACRIGKPQWGDKGPQNAGCYNSLPSGVPFFEEGQESFISDYGRFFLEWYSGRLICHADAILAKAAKILKRYQENDQTSVMLVAKIGGIYWWYQTVSHPAELTAGYYNTALRDGYDPVLSVLSRHGAALHIP >OMO81905 pep supercontig:CCACVL1_1.0:contig10087:8255:8347:1 gene:CCACVL1_12149 transcript:OMO81905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIKAAQVDYDELCAEVRENYNPKAQNEL >OMO81904 pep supercontig:CCACVL1_1.0:contig10087:1432:5507:-1 gene:CCACVL1_12148 transcript:OMO81904 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MKSCVTLSSLSFFSLPPQRATINIPLQSFSIKSSIEKTPSTKPKPASPSNSTSWVSPNWLTSLTKSLTIGSNDDSGIPIASAQLEDVSELLGGALFLPLFKWMNEYGPIYRLAAGPRNFVVVSDPAIAKHVLRNYGKYAKGLVSEVSEFLFGSGFAIAEGIVFRIETPCRLIAGPISFVKLKVRRRAVVPSLHKKYLSVMVDRVFCKCAERLVQNLQPFALDGMPVNMEAKFSQLTLDVIGLSVFNYNFDSLTSDSPVIDAVYTALKEAELRSTDILPYWKISALCKIVPRQIKAEKAVAVIRKAVEELIIKCKEIVEKEGERIDEEEYVNEADPSILRFLLASREEVSSMQLRDDLLSMLVAGHETTGSVLTWTLYLLSKDPSSLLKAQEEVDRVLQGRRPAYEDIKDLKFLTRCITESLRLYPHPPVLIRRAQVDDVLPGDYKVKAGQDIMISVYNIHHSSQVWDRAEEFVPERFDLASAIPNETNTDYRFIPFSGGPRKCVGDQFALLEAIVALAVFLQHLNFELVPNQNISMTTGATIHTTNGLYMKLSERKSNFDNSSPTPSK >OMP03650 pep supercontig:CCACVL1_1.0:contig06018:7831:9018:1 gene:CCACVL1_02324 transcript:OMP03650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRWRSRIELPEPVLMDILSKLPVKSLKRFRCVCKSWSSSFQTSYFITKHHQNNLANNNFNLFIKFLRNTYGSYFLDLSSEKVENFLVVENIHFPSLSNSGYFPIVPCSCNGILCLYNAVNVALWNPSTREFKTIPPSTIKRPADVHSTEFDCLGFGFDSITDDYKVVRFVSNYFEDMDAEIFSKPVCQVELYSLKSDSWTEIPSIPGVLPCGIPWSRHYYVNGFYYWQAAKGNSECFILSFDMANEKFSTLPLPNVGRSLAQYPMQLLDFNGWLGAMYYPWEGAEKSFELWVMMNGSWSRKLTIEPIPQVERPLGFWKNGELFLLSLSGELVLFDPANRQLKKLGNHANRNLLFLIKYFESLVPIGGSLEQDEQIIRSPMELTDVEDEEKRGNI >OMP11000 pep supercontig:CCACVL1_1.0:contig01726:3100:3246:-1 gene:CCACVL1_00735 transcript:OMP11000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RIDWTQHCSELADYPASVAVAQNSYKTVSYVLIKTIKNPTTKIVNCLT >OMO59327 pep supercontig:CCACVL1_1.0:contig14008:118731:130991:-1 gene:CCACVL1_24925 transcript:OMO59327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNGFFSTEEFNLDAKWLIEPQQLFVGPKIGEGAHAKVYEGKYKNQTVAIKVVRRGETPEEIARREGRFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLLNLRPKSLDMRVAIGFALDIARAMECLHSHGIIHRDLKPENLILTEDHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSADDLPEDLAAIVTSCWQEDPNARPNFTQIIQMLLHSLSSISPPEPVMPPKRTISENAVLPPESPGVAVMENINVNKVHPNAFAPFSFSSLKLARMTWLVDCRALAKKIKNASSSSSSTPNIDYGACRECPNCGYRIDNSDVSPPWPGFPVGVKFDPSDAAILEHLAAKCGVGNSKEHAFLDVFIPTLEENHGICYTHPENLPGARMDGSSIYFFDRTMNAYATGQRKRRKIQHNSNEEHVRWHKTGKSKSIIENGVHKGWKKIMVLYKCSKRNSKPEKTNWVMHQYHLGAYEEEQDGDYVVSKITYQLQKQADKNDGSPLIEEPENLTLQTTPRTPKTTPPNPPRPCKSMTDDDESMHQEAEDSAEASLALPHAVDDSNWLAGESQAAENPSLDCLDYSLLCNDIIHISPPQKNEYNGCVDIKNEVTFNNDASYGIPELENLDFDTPPDFQIADLQFGSQDSMRSWMDRNVGSFKDATPTLVSTNLIQLDACFEDNVGSLPSLANPFNGWCRMMSTSRGRSMRSKVERRMQKESGKTAREIRRAKKIKKKLMTDEERLIYNLKRAKKKVALLLQKLKKYELPELPPSVHDPELFTLEQLQAYKKIGFRNKNYVPVGVRGVFGGVVQNMHLHWKFHETVQVCCDNFPKEKIKEMATMLARLSGGIVINIHNVKTIIMFRGRNYRQPKNLIPINTLTKRKALFKARFEQALEAQKLNIKKIEQELRRKGINPEDPVAMASIQRVASTFFNAIDEKEGSPYVFRGDQSSVAEPRKTSAEAEAPAESDEEELDKFIAEIEDAADREWAEGEEQEKEEIGRIRYFNRQEFGGRTGRLDDFRNNYSYHEVRGSRGWKDMRGSKRTADSEDEYEDDIVRGAELDSPYAGGLSESEDDDDSEEAFEFKRSRVENRKQDKIGRWNNSNNSLGFRQNAGGKDIHGSKRTADSEDEYEDDDIVGGAELDFHNAGGLSETGSDNDNDDSEEAFEFKSSRVENRKQDKAGRWNNTVGFRRSAGGSNKQKKAEEDSDVEDLLSDLDNAMRESDAEEEYDFRGHSIASENFRSSSDEEDGFYSTKGSEKNKSHCYESDDSYDIPKDGLSRNTTKKAEDVFSD >OMO59315 pep supercontig:CCACVL1_1.0:contig14008:40582:42096:1 gene:CCACVL1_24912 transcript:OMO59315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MEILKLSLVVVLFFIVLSVQSGEVKEIPPCSFKAVYVFGDSNSDTGGGSAAFYPAGPPSGETFFGRPVGRGSDGRLIIDFIAEHLGLPHLSPYLDSVGTSFRHGANFAIGGSTIRNQNESMSLNGVSPFSLDIQMIQFNNFKGRTGYLYNQVKGHSQRRNLPRPQDFSNALYILDIGQNDIAAGFRMKNDSAFHAAIPDIVEQLAKAVYNLHDQGARVFWIHNTGPIGCLPVTLHYHLKPEELDSHGCLKSQNDFANEFNKQLKERVIKLRAEFPDAILTYVDMYAAKYELIGNAKQEGFFDAADICCGFHEDDIHVYCGNKLKFNGTEIYAGTCEDPSKYISWDGVHYTEAANHWVANHIISGSFSDPPMPIKHACHKP >OMO59322 pep supercontig:CCACVL1_1.0:contig14008:86036:89296:1 gene:CCACVL1_24920 transcript:OMO59322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPLRSGNVRKPNEKMRLIVTTFAGVIFGFFLGVSFPTLSLTKMNLPSSLFPSIDLTYIEDKYSGLSTQAIFNALNSLKANKDVSVPPDKHNETKIWVPTNPRGAERLPPGIIASESDFYLRRLWGQPSEDLTIKQKYLVTFTVGYNQKATIDAAVKKFSENFTIMLFHYDGQTTEWDEFEWSKRAIHVSARKQTKWWYAKRFLHPDIVAPYEYIFMWDEDLGVEHFDAEEYIKLVKKHGLEISQPGLEPNSGLTWAMTRKRDDTEVHKEAEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWYMIQNDLIHGWGLDFALRKCVDPPHEKIGVVDAQWIVHQGVPSLGNQGQAENGKAPWEGVRERCRREWNMFQDRMTNAEKAYYEAMGLHPNLTMKHWD >OMO59320 pep supercontig:CCACVL1_1.0:contig14008:71212:71672:-1 gene:CCACVL1_24917 transcript:OMO59320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMNERCFIFNGKKVFIGLEDVLRITGLPIDGNPVIVDTRQSKVAQADELLALPAGFKLKDRGVTLKDLRLAFMNSEDKDSHLYLRALLLCVWFSKHDPNRTEAALKVVFERPEIKENPYSLTAAG >OMO59324 pep supercontig:CCACVL1_1.0:contig14008:93869:96858:-1 gene:CCACVL1_24922 transcript:OMO59324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSEAKGVIEHKSYARVGFLGNPSDVYFGKTISFSLGNFWASVKLEPSDHLVIKPHPTHDLVQFNSLDHLVHTLSLSLSLSNASKFWFFMFNALFACLCSSDLIISSSLSCFSLDANVVDNDDKYDYDVTRYLINACINSIFSAVVMVNNLHVALGTGFMAYMNVNRLQSEGYYGGVRLLMAICKVFYKHCKDNEINLQPGNFTLSYDTNIPRQTGLSGSSAIVCAALNCLLDFYNVRHLIKVEVRPNIILGAEKELGIVAGLQDRVAQVYGGLVHMVHSTVRQRWLDGDEFIMSSMEEVANIAAEGQSVILEKNYQRLAELMNCNFDLRRRMFGDECLGALNIEMVEVARRVGAASKFTGSGGAVVAFCPDGPSQVKLLEDACHKAGFIIQPIQLVPSFLNDVDLKTLSKLN >OMO59325 pep supercontig:CCACVL1_1.0:contig14008:97580:103942:-1 gene:CCACVL1_24923 transcript:OMO59325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLASRYKGVVGFVFGNENSGSSEDSYVERLLDRISNGKLAEDRRSAIVELQSVVAESRGAQLAFGAVGFPVIMGVLKEERDDVEMVRGALETLVGALTPIDHAKGPANEVQPALMNTDLLSRESESISLLLSLLSEEDFYVRYYTLQILTALLTNSQNRLQEAILSIPRGITRLMDMLMDREVIRNEALLLLTYLTREAEEIQKIVVFEGAFEKIFSIIKEEGGSDGGVVVQDCLELLNNILRNNASNQVLLRETMGFDPLISILKLRGSTYSFTQQKTINLLSALETINLLMMGGSEADPQKDSNKITNKSVLVQKKLLDHLLMLGIESQWAPIAVRCSALRCIGDLIAGHPKNLDALSSKVLGEEPQMEPALNSILRIILRTSTMQEFIAADHVFKNFCEKNTDGQAMLASTLIPQPNSMTHAPLEEDVNMSFGSMLLHGLALSESDGDLETCCRAASVLTHILKDNSQCKERVLRIELEAPMGSLGAPEPLLHRIVRYLAVASSMKNKDGKPGYSYVQPIILKLLVTWLADCPSAVQCFLDSRPHLTYLLELVSNSSTTVCVRGLAAVLLGECVIYNKSTESGKDGFTIADAISQKVGLTSYFLKFDEMQRSFVFTSVKPAESRKPLTRSNAASMAEIVDVEENDSSDQKNEDHPILSSIFDAQFVNFVKSLEVNIRENIVDVYSRPKSDVAVVPAEMEQRGGESDKDYVKRLKAFVEKQCSEIQKLLGRNATLAEELARTGGSGQSQTDARVSSGSDRVQAETLRRDLQEASQRIEMLKAEKAKIESEASMYQNLAGKLESDLQSLSDAYNSLEQNNLHLEKEVKALKSGGASTPPDIEAIKAEAREEAQKESEAELSDLLVCLGQEQSKVERLSTRLAELGEDVDTLLEGIGDDMGLPEDDDEEEE >OMO59323 pep supercontig:CCACVL1_1.0:contig14008:90017:93325:-1 gene:CCACVL1_24921 transcript:OMO59323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase II MSLLLLRPKIFSILTATQSHRLLSSKSNIFSKPLSSVFFSTTSTPYPLQYDMIINTPAQSQPTPTRRRLSRPDSSNSSEEENPEKELGFDSWVEKKLTLDDGMDKSKRKYYRKKRKRMYGSDTEDDEKGKNEDGFVELKPKVVEFDRLHEREEELYFYDTFAYPWEKDKHYKMVYQMEKKYFPDQCFDKAFLEPGESNEKPKAKGKSKKPADADNKEVKEVEDKGLVFFEEEENSDNVKKEVTEKKVEQFFKCLKKVPNKDTEVSSGEPYLVTRSTELPPRWDGPHGTVVLINKPKGWTSFTVCGKLRRLVKVKKVGHAGTLDPMATGLLIVCVGKATKLVERYQGMIKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDEDIKKAAASFCGEIWQVPPMFSAIKVGGEKMYEKARRGESVELSPRRISIFNFDIERSLEDRQNLIFRVTCSKGTYIRSLCADLGKALGSCAHLTALRRDSIGEYSADDAWEFKELEEAITKSYF >OMO59326 pep supercontig:CCACVL1_1.0:contig14008:113788:115653:1 gene:CCACVL1_24924 transcript:OMO59326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 17 MSSDGYYNNSKKTDDICEEVCGQDSRAAMSLSRLRCMLRGFDIKALIILFLFVPVFIFGIYLHGQKITYFLRPIWESPPKPFHEIPHYYHENVTMENLCSLHGWKIRESPRRVFDAVLFSNEVDILTLRWNELYPYVTQFVLLESNSTFTSLPKPLIFASNREQFKFIEPRLTYGMIGGRFRKGENPFIEEAYQRVALDQLLRIAGIEDDDLLIMSDVDEIPSAHTINLLRWCDDIPPVIHLQLRNYLYSFEFHVDNKSWRASLHRYKTGKTRYAHFRQADVILSDSGWHCSFCFRHIEEFIFKMKAYSHTDRVRFSHYLNPKRIQDVICKGADLFDMLPEEYTFKQIIGKMGPIPHSYSAVHLPAYLLNNAEKYKYLLPGNCKRESG >OMO59321 pep supercontig:CCACVL1_1.0:contig14008:76169:80886:-1 gene:CCACVL1_24919 transcript:OMO59321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPDIASILENSRELDRLRKDQEEVLIEINKLHKKLQATPEVVEKPGDSSLSRLKSLYIQAKDLSEREVAISNQLLTQLDSFLPSGPPGQQRRKMDGNDQKRKRMKSDSDISRLSPSMRSHIEACNSLKDEQVAARVTSDAEKDEWFVVKVINFDEKTKEFEVLDEEPGDDEEGGGQRKYKLPASCIIPFPKRNDPSSTQEFPAGRHVLAVYPGTTALYKATVISTPRKRKSDEYLLEFDDDEEDGALPQRTVPFHKVVPLPEGHRQ >OMO59316 pep supercontig:CCACVL1_1.0:contig14008:42902:44441:1 gene:CCACVL1_24913 transcript:OMO59316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MGFARVIISGILILLILNVDAVKVKDLPPCKFKAIYNFGDSNSDTGAISAAIFPRQWPNGETFFHKPVGRLGDGRLMIDFIADRFGLPFLSSYLDSIGSSFRQGANFAAGGSTIVPQNKTIAESGLSPFALNVQVFQYNQFKARTADLLKDKKYSCKNHLPRPKDFPEALYVIDIGQNDIAYGLRSVTDAQLLASLPYIISQFAIQVQNLYAQGARTFWIHNTGPIGCLASTLLSIKNPPPGFLDEYGCVKSQNDIAKEFNRQLKNIVIKLRADLPQAAITYVDIYAAKYGLISNAKQQGFVEVQKICCGYHKNGIDVGCGGILPLPNGTQVYGAACEDPSLYISWDGVHYTEAANHWVANLLINGSLSDPPIPITHACYRT >OMO59319 pep supercontig:CCACVL1_1.0:contig14008:69711:70480:-1 gene:CCACVL1_24916 transcript:OMO59319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQPMHEEVTPQVTAQETPIQERGESMEQQATYEEVAAQGTLIIRERVEQRESMEWQPMHEEVITQGTLVIRERTEQRESMQEQGASKRRKIVRTMLIQQTL >OMO59317 pep supercontig:CCACVL1_1.0:contig14008:45372:47637:-1 gene:CCACVL1_24914 transcript:OMO59317 gene_biotype:protein_coding transcript_biotype:protein_coding description:titin-like protein MVGWHKRMKEHVINGSGGLSVAFFRTLFDNLQQNDIDLAPYDRLPKEFLPGRYAQQIQMELAFTSLLCMEKVILHDPGVSTGRQLSFSNSKSKLQLSKAHAKHDAKYKNKYRGGRKDWPKVHATFVKAWDNRLQNLYIDMNDIGEESTSSDDRHDEGIPTGTASQGTSLFQDNVQGRSEHSPLNSSSSSSQGIRTEQSHFDSTISPSVQGDTYMLDIRTEIMDEEVIPQVNAQETPIQEQGESMEQQPTYEEVTAHGTQMIQERAEQNESMEWQPMHEEVIARGTLLTRERAEQSESMQEQSLDSMQEQGVTLVRASKRRKIVSNNVDTTNMISHESMQEQGLTLMRAKNRKLVSSESMQVERVVKRPRFPRISNWLKGTALLLFVMIKATE >OMO59318 pep supercontig:CCACVL1_1.0:contig14008:48341:53746:-1 gene:CCACVL1_24915 transcript:OMO59318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYPNTREWIRVHFPRFDWKELFDTYIFSDPLIRQVCETVYNTKPDKPGVDGDERYGDDILSFARVTYRFVESAENIKTWGIIKPAAKQRLEGTGFDNLLKLTSPAKRRLNPLTALVDTYD >OMP03104 pep supercontig:CCACVL1_1.0:contig06149:2968:4507:1 gene:CCACVL1_02559 transcript:OMP03104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MERLISSWYKDGSLPESYIFPPDIRPGKFDVPTCNKIPVIDLGNADNNLVEQLLKASQDFGMFQVVNHGVSEELLNDTRSVSKEFFEMPAEDRGSLISDDQDERKCKVVTGSLQYNTEKVHQWRDNLKHPCHPLEECIKSWPQNPTRYREVMAAYSIEVISNKKLKSAEHRVVTNSSEARTSVVFFIFPSSDSNIEPATSLVDETNPPLCRAFQSKEFMRNFYMQGEADLALQPFQLET >OMP03106 pep supercontig:CCACVL1_1.0:contig06149:14306:16144:-1 gene:CCACVL1_02561 transcript:OMP03106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLPNIKFEFLTVRTEKKQRRKKPLTLKKRKKLWREAAAEEK >OMP03105 pep supercontig:CCACVL1_1.0:contig06149:10392:11162:1 gene:CCACVL1_02560 transcript:OMP03105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-trans-poly-cis-decaprenylcistransferase-like protein MEKISGRVIQIYGNLVSFLRKCIFCVLSAGSVPNHIAFIMDGNRRFAKRLNLMDGAGHKVGYSALMSMLRYCYEFGVRYVTIYAFSIDNFKRSPEEVQSLMDLMLEKIEGLIKEESIVNHYGVRVYFSGNLKLLSEPVRLAAERAMLATAKNSKAVLSICVAYTSTNEIVHAVQESCEEKWDEITVLNSSGAGYGLISLGGNGQDEGDHLIKLTDIEKHMYMAVAPDPDIIIRTSGETRLSNFLLWQSAHCYLYSPQ >OMP06093 pep supercontig:CCACVL1_1.0:contig05069:4523:5047:1 gene:CCACVL1_01708 transcript:OMP06093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAEKQFDHHGNSNNYNAAEQDDEEAFSYAIQIADTLVLPMSMQAAIQLEVFDIIDKAGPDAKLSAKEIAAQLPTHKNPEAPSMLDRILRVLASHSIVGCSLGDDEEGGNPERVYSLTPVSKYYVRNEDGVSLAPLLNLIQDNVFLASWFVSFSPVSVSVCDFFLLSSLDGD >OMP06094 pep supercontig:CCACVL1_1.0:contig05069:9879:12839:-1 gene:CCACVL1_01709 transcript:OMP06094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDKLWAICIAYEKLSSSLLAVLHMKDLVEYWKVGNGGDPCMEST >OMO75929 pep supercontig:CCACVL1_1.0:contig10951:10018:12706:-1 gene:CCACVL1_15984 transcript:OMO75929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVFTATLGSVSFLSQLFNHPDASSFSSLLNQSKLSKLCCKQPFIKRASSYSSSIYTLKVSASSASSPNATTDDSKTAEVSATALLDNSVPADDDKQERRSADWKAARAYWHSGFIYGGRVEGFNGGGLLIRFYSLVGFLPYPQLSPSHSCKEPSKTIHEIAKSLVGTILPVKVIQAEEESRKLIFSEKEAVWSKFSAKIKVGDIFEGRVGSVEDYGAFVHLRFPDGEYYLTGLVHISEVSWDLVQDVRDILTEGDDVKVKIIKIDRGKSRITLSIKQLEEDPLLETLDKVIPQDDSAGSDSLSTSETSTIEPLPGLDAIFKELLQEDGIDDVRISRQGFEKRVVSQDLQLWLSNAPPSDNMFTLLARAGRQVQEIQLKTSLDQDGIKKALQRVLERVP >OMO54932 pep supercontig:CCACVL1_1.0:contig14852:42243:42593:-1 gene:CCACVL1_27467 transcript:OMO54932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYFMILVLALAVIQSRIAARNEPAAGTGLDDQKNFVAFGGIGGIAGAGGAGIGGGVGGIGGFGGLGGGIDGLGGDGGIGGLGGDVGGLGGGIGSGVGGGSGDCADGGAGSLLHP >OMO54931 pep supercontig:CCACVL1_1.0:contig14852:28472:28534:-1 gene:CCACVL1_27466 transcript:OMO54931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHFTQWTASYESLSAIRP >OMO54930 pep supercontig:CCACVL1_1.0:contig14852:27688:27906:1 gene:CCACVL1_27465 transcript:OMO54930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRGVTKLILGQKADGAIDSEGSASDNDIRRRNVALLKEAEATFNVSTMLGIKFGEAKDAIVSRLIGLDAV >OMP11759 pep supercontig:CCACVL1_1.0:contig00912:4019:7996:1 gene:CCACVL1_00286 transcript:OMP11759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic oxidoreductase MESFLKEFRGGESVLDLSPRATVGGGVEDVYGEDCATENQLVTPWTYSVASGYSLLRDPHHNKGLAFTEAERDSHYLRGLLPPVVFSQELQEKKLMASIRKYQVPLQKYMAMMELEERNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKVLDVLKNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPVTIDVGTNNETLLNDEFYIGLRQKRATGQEYDDLLHEFMTAVKQNYGEKVLIQFEDFANHNAFKLLAKYGTTHLVFNDDIQGTASVVLAGVVAALKLIGGTLADHKFLFLGAGEAGTGIAELIALEMSKQTKVPLEETRKKIWLVDSKGLIVSSRKESLQHFKQPWAHEHEPVKDLLGAVKAIKPTVLIGSSGVGRTFTKEIIEIVSSFNEKPLIMALSNPTSQSECTAEEAYTWSEGRAIFASGSPFDPFEYNGKLFVPGQANNAYIFPGFGLGLVISGAIRVHDDMLLAASEALAKLVSDENYDKGLIYPPFSSIRKISANIAANVAAKAYELGVATRLPRPANLVKYAESCMYSPVYRTYR >OMP11760 pep supercontig:CCACVL1_1.0:contig00912:9415:9483:1 gene:CCACVL1_00287 transcript:OMP11760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLRERIRIEKITEEIEKRGG >OMO63690 pep supercontig:CCACVL1_1.0:contig12918:20280:21789:1 gene:CCACVL1_22353 transcript:OMO63690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIKGSNYRPSVYKTDRSTTEL >OMO63688 pep supercontig:CCACVL1_1.0:contig12918:4082:7614:1 gene:CCACVL1_22351 transcript:OMO63688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDPPSLNPNPDPPIPSNASSSTGQTPPSFPNPTPFRGSYHRRAQSEVQFRIPDDLDLVSDPFEGLGSEDDLFCSYMDIEKLGGSSKGGADEGGGAAGSSSGGSGQNPKGDEMSGGSGMGEKNNGAGKGRHRYSNSVDGCSLMESIEAKKAMAPDKLAELWTIDPKRAKRIIANRQSAARSKERKARYISELERKVQTLQTEATTLSAQLTLFQRDTTGLTTENTELKLRLQAMEQQAQLRDALNEALKKEVERLKVATGEMSTPTDNFNLGMHHIPYTQSSFFPPQPQHMQVDSQNIQMPQFHPFQSNMLAPNQSVMAASNSHTFADMMQQDPLGRLQGLDIGGRGSHLVKSEGPSISAGESGGT >OMO63689 pep supercontig:CCACVL1_1.0:contig12918:9167:9630:-1 gene:CCACVL1_22352 transcript:OMO63689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MSRIRSLTDTKLIMICTLFVVIGLMDAAVTCPQVSNALVPCVTYMATRSSFGHCCTGIRQLNAGARTTSDRQNICRCLRSLAGQFVRRHRLDFNLAKKLPAMCNVNIRYSITTLTPDCSKVR >OMO71627 pep supercontig:CCACVL1_1.0:contig11588:10664:13467:1 gene:CCACVL1_18121 transcript:OMO71627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYTAIDNFYLTDEQLQNSPSRKDGIDEATETTLRIYGCDLIQESGILLKLPQAVMATGQVLFHRFYCKKSFARFDAKIVAASSLWLASKLEESPRRARQVIIVFHRMECRRENLPLEHLDLYSKKFSDLKAELSRTERHILKEMGFVCHVEHPHKFISNYLATLEILTPELRQKAWNLANDSLRTTLCVRFKSEVVACGVVYAAARMFQVPLPENPPWWKAFDAEKSGIDEVCRVLAHLYSLPKAQYSPVCKDGKPFTFSTRSADAQSQQIPKEVSLSPAANNNANVSNTTTAADLETSGSKETKIKLALDKLKEAKQSDDEKSMPTEGDARDEARHKSKSEHRTESGGEKSKERDRERERERERDRDRERDRDRERAKVRDRDRGRDSDRERERDEAERDRVKDRGHRSKERAKDSGGYLEKSRHHSSRDRDYRGSSYSSREKDRHRHHSYS >OMO71626 pep supercontig:CCACVL1_1.0:contig11588:9953:10474:-1 gene:CCACVL1_18120 transcript:OMO71626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIETLRAICREETALAVAWLAAKVMRRFAEEECENGKPKPCPKKEK >OMO71625 pep supercontig:CCACVL1_1.0:contig11588:5564:6136:1 gene:CCACVL1_18119 transcript:OMO71625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHEALQEALPGENVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPSKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKSAAKKK >OMO71633 pep supercontig:CCACVL1_1.0:contig11588:59879:61669:1 gene:CCACVL1_18127 transcript:OMO71633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVYTHKKPGDESFDESNASLL >OMO71634 pep supercontig:CCACVL1_1.0:contig11588:63112:65424:-1 gene:CCACVL1_18128 transcript:OMO71634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIPPEENMTKTESELLKTLTQILISSKTPIESLNPYTHLLTPTLLQSLISSPSLSSQPSTLLSLHKLSISLFPSLSSSPSFLISVLRRLLSHHKFSQSKSLLLSFLSSDPQNTLFSSLIRLPSLPKPLLEISVSGYVQAGKPHLGFELFNRMKRLKKKPNLLTCNTLINGLVKCPSLHSIRLGKQVFHDSIRLGVIPQTSTFNILILGCCLEGKFNEAVSFIEKMKDFGCFPDNVTYNTILGHLCKKGRLNEARDLLQDMREKGVTPNRNTFNILVSGYCRTGRLTEASKVIELMVKNDVLPDVWTYNMFIDGLCDGGRIDDAIKLRDEMENSKVLPDVVTYNTLINGCFAVGSSDQGFRLVEEMKEKGVVPNAVTHNILVKWYCKEGRMDEASERVRMIEESGVSPDKVTYNTLINGYCKAGNFGEAFRMMDLMGRKGFKLDTITLNTLLHTLCEEKKLNKAFELLTSACKRGYLLDEVSYGTLIMGCFKDGNADKALYIWNEMKEKEIIPSIITYNTAIAGLCQLAKTEQAIDKFNELLESGLVPEETTYNTIIHGFCREGKVEKAFEFYNKMVENSFKPDVITCNILLSGLCSEGMLEKALNLFNTWISKGKAVDGVTYNTMIAALCKEGRFEAAFNLVSEMKDNNLGPDQYTYNAILSALTSADRMNDAEEFLSKIVEVGNFQGQSLQLAEKNVQSSESPKEFDPDSNACSKEIIELCNSRRYKDAMRIFEESNQKGVALSKSAYIVLMEGLIKRRKSISKAIK >OMO71628 pep supercontig:CCACVL1_1.0:contig11588:17413:20039:1 gene:CCACVL1_18122 transcript:OMO71628 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGKKGGWFSAVKKALSPDPKKDQKNPKSKKKWFGKSKDLGPVSLPEESEVTAPLALPPATEDVKLAEAENQQSKHAYSVALATAMAAEAAVAAAQAAAEVVRLTSVPRHPGKSKEELAAIKIQTAFRGYLARRALRALRGLVRLKSLIQGQSVKRQATTTLRCMQTLARVQSQIRARRIRMSEENQALQRQLQQKCEQELEKLRMGEDWNDSTQSKEQIEARQQQRQEAAMRRERALAYAFSHQQSWKNSSKSENQTFMDPNNPHWGWSWLERWMAARPWESRSIIENNDRGSVKSLGTRSMSTIGEISRAYSRRDLSNDNKPSPTPTKSSRPASRQSPSTPQSKAPSISSVSSRIKPPSPRGSQWGGDEDSRSMLSAQSDRYRRYSIAGSSVRDDESLASSPSSVPSYMAPTQSTKARSRLPSPLGLDKNGTPERLAGSAKKRLSFPASPAGSRRHSGPPKVDFTPVKDINMLKEEKLSNGGGR >OMO71623 pep supercontig:CCACVL1_1.0:contig11588:18:125:1 gene:CCACVL1_18117 transcript:OMO71623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKFCNKTMVPGKLLVVMIMKKLMKKHFLFVILS >OMO71635 pep supercontig:CCACVL1_1.0:contig11588:65756:67391:1 gene:CCACVL1_18129 transcript:OMO71635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKAKASLKQLKPIEATKESFKEYGQVIEASPDGDEFGPDDAQLDLSKGIPRFYIMHLENRPLKFSTITHHASVTQCLGSIGGHVWYLGVVKPSITDSEEIKSEDGKIPVQSHCGHFYVPPAVDDVCVFRISGPKFLKLNRGTWHAGPLFKADAMDFYNLELSNTNTGVLSVLQEQLKVETVMSLLNWLD >OMO71629 pep supercontig:CCACVL1_1.0:contig11588:21115:23649:1 gene:CCACVL1_18123 transcript:OMO71629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPFFAGLAVAAAALAGRYGVQAWQAFKARPPQARMRKFYEGGFQPKMTRREAALILGVREGATPDKVKEAHRKVMVANHPDAGGSHYLASKINEAKDVMLGKSKGGGSAF >OMO71632 pep supercontig:CCACVL1_1.0:contig11588:46389:47672:-1 gene:CCACVL1_18126 transcript:OMO71632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKEKIAVSPISVSTPFSYSPSSSSSPPPQYSPGFSSPNNSQSSDNSITKSHHQAPVLLPPPTPASPPSPPVVLSPCAACKILRRRCVEKCVLAPYFPPTEPYKFTIAHRVFGASNIIKSLQELPESQRADAVSSMVYEASARIRDPVYGCAGAICQLQKQVSDLQAQLAKTQAELVTMQCQQANLLALICMEMTQSKELPNSSHQQQPYNMDTTCFIDDTNLASAWEPLWT >OMO71630 pep supercontig:CCACVL1_1.0:contig11588:27646:32745:1 gene:CCACVL1_18124 transcript:OMO71630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MVSGNDPIESTISNSIQFIKGAFVPLELGIKKAAKDLESCWGVSNDKENNVKLIDQLNGRDTNGKVQVFGVKKRTSGSFGSVINDGHCCVGSEERKKEKGLSIKVPIKAFMGMFSPANGQNNEKVEVVRKGLKDKDADRDEGSCVNCLQFAVTWSVLVNGFVQAIPGSFKSGRKRLHKIGNEDKGCPNAYNHDMKSKASLNFKRSESKAQFIAKNEDLEHSDGKHASVEFLIGFIFDQLTQNLQKFDQLLQETNQKHCDCPPTTSPPSQFDHLKAVNSIWEGRKADVNGFLGNLKFARVGGVPSGIVGVASSVNEEGDDGVSAGGREETVGNSPQKLASGILSIPLSNVERLRSTLSTVSLTELIELLPPLGRASQDHPDKKKLFSVQDFFRYTESEGRRFFEELDRDGDGQVTLEDLEVAMRKRKLPRRYAREFMGRTRSHLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLSKSGTLQKSEILASLKNAGLPANEDNAVAMMRFLNADTEESISYGHFRNFMLLLPSDRLLQDDPRNIWFESATVVAVAPPVEIPAGSVLKSALAGGLSCALSTSLLHPVDTIKTRVQASTLTFPEIISKLPQIGVRGLYRGSIPAILGQFSSHGLRTGIFEASKLLLINVAPNLPELQVQSIASFCSTFLGTAVRIPCEVLKQRLQAGLFDNVGEALVATWHQDGLKGFFRGTGATLCREVPFYVAGMGLYAESKKLAQHLLRRELEPWETIVVGALSGGLAAVVTTPFDVMKTRMMTAPGGRPISMSMVAFSILRHEGPLGLFKGAVPRFFWIAPLGAMNFAGYELARKAMVKNEEMAMDPASQKK >OMO71624 pep supercontig:CCACVL1_1.0:contig11588:680:853:1 gene:CCACVL1_18118 transcript:OMO71624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIELKDMKMRQSRKNKAIKVQQNAAAGEPENLKSNKEQRRSAKGLWRLLMVLGCKK >OMO71631 pep supercontig:CCACVL1_1.0:contig11588:33668:36161:-1 gene:CCACVL1_18125 transcript:OMO71631 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase D chain, mitochondrial MSGQGKKVVDVAFKAGKNIDWDGMAKLLVSEEARKEFATLRRAFDEVNTTLQTKFSQEPEPINWDYYRKNIGSRLVDMYKEAYESIEVPKFVDTVTPKYKPKFDELLVEFKEAEEKSFKESERLEKEIAEVQELKKKISTMTADEYFEKHPELKKKFDDEIRNDYWGY >OMO88143 pep supercontig:CCACVL1_1.0:contig09100:75300:79702:1 gene:CCACVL1_08548 transcript:OMO88143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl hydroperoxide reductase subunit C/ Thiol specific antioxidant MAVAVGGAVTVTTRGARLLSVPRRLAAIKTSSFVTRTSSSSKLGFCPVRLRNNEVARKKLFIIRAARTESQGVSLGFRAPDFEPQAYPKENVIRVGDIGSVFRVMFICNHCPFVKLLKKDIVKLSNFYMKKGLAVVAISSNSVVTHPQDGPQFMAEEAKLYKYPFPYLYDETQDVARDFGAVCTPEFFLFKKDGRRPFELVYHGQFDDSRPSNDVPVTGRDLSLAIDSVLSGQPVSSIQKPRRFHRPTGSLLWMPECTWDMRRFKV >OMO88144 pep supercontig:CCACVL1_1.0:contig09100:82273:85801:-1 gene:CCACVL1_08549 transcript:OMO88144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNAITIILLFLNVSLLPAISGLNEEGLSLLSWLSTFNSSSPSASLFSSWNPSHQNPCRWDYIKCSDGFVSEIRICSINLNTSFPSEITSFQHLKTLVISHGNLTGEIPTSIGNLSSLVTLNLSFNAFTGIIPPEIGKLVELQSLSLNTNFLHGGIPRQIGNCSKLQQLELFDNHLSGKIPAEIGELVALEIFRAGGNSGIEGEIPMQISNCKQLSFLGLADTGISGQLPSSLGELKNLKTLSIYTSNLKGDIPPEIGNSSALEDLFLYGNQLSGRIPEQVGLLKNLKRVLLWQNQLSGRIPESLGNCLGLVVIDFSLNYLSGEIPESLGSLVALEELLLSGNNISGKIPPFIGKFSSLKQLELDNNSFTGEIPPSIGQLKELSQFFAWQNQLHGSIPAELANCENLQHLDLSHNSLTGPIPSPLFNLNNLTELLLLSNSLSQQLPQNIGNCTSLTRLRLGSNNFSGHIPTGIGLLHSLSFLELSENQFTGEIPPEIGNCTHLEMVDLHQNRLQGRIPESFEFLSRLNVLDLSMNNIGGTIPQNLGKLKSLNKMVISENNISGLIPRSLGNCKDLQLLDISRNKLAGCIPEEIGRLQGLDILLNLSWNALTGPIPESFANLSKLANLDLSHNMLMGSLTVLGGLENLVSLDVSYNNFSGILPDTKLFHDLPSAAFSGNQELCINKNQCQLSGNPHGKRSTRNLIMRTLFSVAVTILVVIVAVFLFIRVHGAKNEDDFLDWHFTPFQKLNFSVNDILTSLSDSNIVGKGCSGMVYRVETPTKQVVAVKKLWPIKHGEFPERDLFSAEVQTLGSIRHKNIVRLLGCCDNGRTRLLLFDYISNGSLAGLLHENKLFLDWDARYKIILGAAQGLAYLHHDCIPPIVHRDIKANNILVGPQFEAFLADFGLAKLVDVLESPRASNIVAGSYGYIAPEYGYSMRITEKSDVYSFGVVLLEVLTGKEPTDNRLPDGVHIITWINTELREKKRDFTTILDQLLLIRSSTQIQEMLQVLGVALLCVNPYPEERPTMKDLTAMLKEIRHENEDYEKSNLLGKGIANNPKAAVHCSSFSRSSEPLITSPS >OMP10489 pep supercontig:CCACVL1_1.0:contig02410:44:883:1 gene:CCACVL1_00941 transcript:OMP10489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSLKTLALVGLLALSGEPAVAASPRSAPARVCALGAQVLAEKARSGWRVFDGGGGRFDAIFAMSRGNLPRSLRAIAASRPPMGLFEACPDLLDHLPAGTRRATPEDLAAQKAGSRLWISVLSAPLIDARGRTAAIYESTVCGALCGHGGVFVYRREAGRWRRVGPAVTMVSRPPPPGPGPAARRAPPGRVETPSSRPPGPATPCVPLEPDPLTLKRSRSLRGSLRIRWG >OMO99342 pep supercontig:CCACVL1_1.0:contig06911:11978:13875:1 gene:CCACVL1_03842 transcript:OMO99342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MTKKRPLTRVNTSVPGADVAVETAAAMASASLVFKTSDSTYSSTLLKHAKQLFTFADKYIGCYISSYEDKFTRAASWLYHAIGDQSYLKYVAGVTEYELVNWGSPTWLSLGERLAITQHPVASAFLASVYSDYMLTSQTGKITCGNDYFKPLDLRKFAKSQADYVLGSNPLKMSFLVGCGDKYQIHASQRGFKIPIDATTGCKDGFKWLYSTDPNPNVAIGVLVAGSFFNETYIDSRNNSMQAANHI >OMO53203 pep supercontig:CCACVL1_1.0:contig15293:2377:5041:1 gene:CCACVL1_28812 transcript:OMO53203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MEQEDSTCSFESRPIKFADSAVSYSNINNEYCCNRSGIMEEVKKQMKLAGPLIVVSLLQYGFLMISVMFVGHVGELSLASASMATSFAGMTGFSVMLGMGSALETFCGQAYGAKQYNMVGVHMQRAMLVLALIGLPMSLIWAFTGQIFIILKQDKEISKHAGEYARWMIPSILPYGLLQCQQTFLQAQNNVLPLMISAALSTAISYWINVFILALYIKFSPTCTQTWTGFSIDGRKKLLSFLKLGLPSAFMYCLEFWAYEFLVLMSGLLPNPMLETSMMSISLTISAMVYRILYGFGSAVSIRVSNELGAGKPQAARLAVNVVMFLAVTQGVLLSLIAVAFRGKWGYLFTNEKQLIRYLASIMPILAASNFIDGIQGVLSGTVRGCGWQNLGAYINLGSYYLVGLPSAILLTFVFKIGGKGLWIGIMCGSSLQAFLLTVITMRTNWELQADKARERVYMPGQNFQEEENLLFNKNQKIKGFSPWSNSTEMELDVITI >OMP03242 pep supercontig:CCACVL1_1.0:contig06110:399:1436:1 gene:CCACVL1_02490 transcript:OMP03242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGVYMELGYTRSLARYAANLGPVAWKIASKKIEKCLSAGVNFGPGWVGENDVPAQRPLLLPSMSLPSGRQTLSQPCSVRQNLCSASPSSTLETREDEQAEKPEADNLSEKHVPSAHPISGSQSSKSVAASGTSSSTLLAANKSPESRNEKKEANEGLPSPTGFNTNSSAGAVRPRPPFQIHQGPGIHPGMNGYNGAYGFNLPAHMAKIIGASRPSGLSFQSSQMHDTVSRASTNFVQPATANSNSDDPKFSENSCTKNPNTLLPNSGCEAVAASRPGLHPQPSWQGSSPRQKSDSRLPTQPKPDSRLSQQKPDSVPPDLNIRFQSPGSPSSNRVDSAEPDLALQL >OMP03244 pep supercontig:CCACVL1_1.0:contig06110:15433:15654:-1 gene:CCACVL1_02492 transcript:OMP03244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKKNPWPIFSIQTSRVEPESNLGKSYQKQISAKHLASQARSCRKLMQLRIRSESNGHEALASRTSMLPNRH >OMP03243 pep supercontig:CCACVL1_1.0:contig06110:8703:11932:1 gene:CCACVL1_02491 transcript:OMP03243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICWGSPAKADNLTPSTTGHLSSVISNTTSNTASSSASRGSNISRNSGFSASSGDENFPNGQILPTPNLRIFSFAELKVATKNFRPDMVLGEGGFGKVFKGWLDEKTTGKSGSGNLIAVKKLNSESLQGFEEWQSEVQFLGRLSHPHLVRLLGYCWEDKELLLVYEFMQKGSLENHLFGRGSTVQSLDWDIRLKISIGAAKGLSFLHTSEKQVIYRDFKASNILLDGSYTAKISDFGLAKLGPSASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDPNRPSGQHTLVDWIKPYLSDRRKLKNIMDHRLEGRYPSKAAFRIAQLALKCLAPEPKHRPSMKEVVQTLEQIESANEKPTEPRNRSSHHRTRRHGQQPVHHRSPLAPMHEAGRAYQNPPPLSDREFGEACLRSGMKDGVE >OMP11529 pep supercontig:CCACVL1_1.0:contig01199:5089:5969:1 gene:CCACVL1_00464 transcript:OMP11529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylethanolamine-binding protein PEBP MSRSVHEPLSIGRVIGEVVDYFTPSVKLIVTYNSNKQVANGHELMPAVISARPRVEIGGDDMRAAYTLIMTDPDAPSPSDPYLREHLHWMVTDIPGTTDASFGREVVGYETPKPIVGIHRYVFVLFKQRGRQTVRPPSSRDYFNTRSFSEENGLGLPVAAVYFNAQRETAARRR >OMP11530 pep supercontig:CCACVL1_1.0:contig01199:7336:10980:-1 gene:CCACVL1_00465 transcript:OMP11530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSFGCSASGERLVSAARDGDLAEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLSGRTALHFAAVNGHVRCIRLVVADFVPSAPFEAINSQIEGERGDASGVRNKNEQSALSKFVNKAADGGITALHMAALNGYFDCVQLLLDLNANVSAVTFHYGTSMDLIGAGSTPLHYSACGGNLKCCQILLARGASRLTLNCNGWLPLDVARMWGRHWLEPLLAPNSDTIIPRFPSSNYLSLPLLSVLNIARECGLQSSTTSSDDADTCAVCLERVCSVAAEGCGHELCVRCALYLCSTSHIPSNMVAPPGSIPCPLCRHGILSFTKLPSSPAKEIKLHLSLGLCTPCMLHPRDADCPSPTSEIRKNRVASVSSEIFCPVTCSPFPSVAIPLCTCNDGPCPSFEPRETETQDESPRRSQSTSVDQDKLEGPRLERTTCSSMFWGRRSCSREHQCNSEINA >OMO87054 pep supercontig:CCACVL1_1.0:contig09344:4:597:1 gene:CCACVL1_09287 transcript:OMO87054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAGLKLDQFTFKALIHGFYKVHEMDSAKEYLFNMLDAGFSPSYSTYSWLVDGYCKQGNEELVMKLPDELLEKGLRNVSVYRALIRRFCKLERVDCAQRMFTLMQGKGIAGDSVIYTSLAYAYWKMGKVNAASDVLNEMYKRRLMITLKIYRCFSASYAGDNSILGFFWNHVVERGLMSKSILKDIEQGKLQSNIN >OMO87055 pep supercontig:CCACVL1_1.0:contig09344:15584:20201:1 gene:CCACVL1_09288 transcript:OMO87055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLHRSSSAPLKNGLPPQELLDDLCSRFVLNVPKEDQQSFERILFLVEYAHWFYEDNTVEKNPSLKSFNLKEFTSLLFNSCDVLRPYVAHIDDIFKDFTDYKVRVPVTGAIILDETYERCILVKGWKGTSWSFPRGKKNKDEEDHACAIREVLEETGFDVSGLLNKDEYIEVIFGQQRVRLYIIAGVKDDTAFAPLTKKEISEIAWHRIDDLHPATNEVISRGITGLKLYMVAPFLASLKSWIAKHPPPLPPRPDLPLKGICMWKAKNSSIGNNSVIVESQSIKPASDANPPDNGPGKSFRNFRFDTGAILRAMESSFSA >OMO63823 pep supercontig:CCACVL1_1.0:contig12889:31318:34115:1 gene:CCACVL1_22245 transcript:OMO63823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 1 MLHLTIAVLSADKYSRYDFPTGFVFGSGTTAYQVEGAAKEDGRTPSVWDTFAHSGYTNGANGDVAVDQYHKYKVYYETSLMRKSTSYDMKMQEKQNGSIGISIYTFGALPNTNSTQDEMAAQRMNDFYIGCLELKQRDFNMDVAATITNMEAIFSDPELPISPWGLQAVLEYIKQAYGNPPVYIVENGQKNKRNSTLEDTPRVEYLQAYIGTVLDAVRNGSDIRGYFSWSLIDVLELLDGFQSGYGFYYVDLDDPELKRQPKLSSYWYSHFLKGGSLSPDHFIQLKNNFSATSNRHFRQ >OMO63827 pep supercontig:CCACVL1_1.0:contig12889:50103:51123:-1 gene:CCACVL1_22249 transcript:OMO63827 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis protein Nop16 MGRSRRKYKQSRAKVKVGLPRKNPHIFKPCFTVPPKLRSLVEVDDARSKWDDKASVIHNYKSFGFVSNPNLLGVRSRTSHIVESDSLQVPNPPPSDEPAYEFEPIDSGSDLEEDDLKTALGKKRRDGKSTHLQPLTTMQRLHIGRLIEKYGNDYQAMFMDTKLNKMQHSVATLEKLCKRYRMFQGKNPLILPS >OMO63824 pep supercontig:CCACVL1_1.0:contig12889:34558:41468:-1 gene:CCACVL1_22246 transcript:OMO63824 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MATSEKEVMIVGIDDSEHSVYALEWTLNHFFTPSAPNPPFKLVIVHAKPTATSAIGVAGPGAAEVLPYVDADLRKIAARVVEKAKELCITKSVNDAVVEVVEGDARNVLCEAVEKHHASVLVVGSHGYGAIKRKGMVGSKASHLDRDPDQLMDGHDADAAASSDVSCSICLDVISDTGGRSRAKLHCGHEFHLDCIGSAFNMKGAMQCPNCRKVEKGQWLYANGATRSLPELSMEEWNLEDDYYDPAYEMPFRVQWCPIDEFTRIGSSSEEGESPSTTYHEIHGHHAIFAEHAAASSVAHSYVAYVGPIPPTTLRSSDNGDDPNFNRHWNSLSGHNEIFIPHALPTISIQYHSWGRHTPNFSVSDRHTDPASVPVAALRSSNGELDALTRPRSFPHPFPFEHGSSSRGGSSFVSSVFPRHPGSSAHAIAHDRFQASLAFYRQHHRFNQQRFNRPAVPAPVVPVMRRGLAPVAPVAPAVPQPDQGGGFYIYPPSSSSGQNLHEAENMFPSNYNPMERERVSHFPTATRESVWGPYHQPTSSSDPGNRPRSFLHRHFA >OMO63830 pep supercontig:CCACVL1_1.0:contig12889:77728:80524:1 gene:CCACVL1_22252 transcript:OMO63830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASEMEVEDQQHPVQNNQTSAKRFGLKNSIQTNFGDDYVFQIVPKDDWASMAVSLSTNAVKLYSPTTGQYLGECKGHSSTINQISFSGPSTPHILHSCSSDGTVRAWDTRTFHQVSCITAGSSQEVFSFSFGGSDDNLLAAGCQSQIFFWDWRNKKQVACLEESHMEDVTQVQFIPGHQNKLVSASVDGLICLIDTVGDINDDDHLESVINVGTSIAKVGVFGDSWEKLWCLTNIETLSVWNWKDGSNEAKFEDARSLASDSWTLDHVDYFVDCHSSGGDDLWVIGGTNAGSIGYFPVKYKGAAAIGPPEAVLGGGHMGVVRSVLPMSSMRSGPAQSRGIFGWTGAEDGRLCCWMTDDSTGVNRSWISGELVVKSPRTHKKSRHHPY >OMO63820 pep supercontig:CCACVL1_1.0:contig12889:4619:11837:-1 gene:CCACVL1_22242 transcript:OMO63820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MPSPPSPTASCSSAQSSSFPSPKFSSLPIMALKERIIEKIFENRVTLIVGETGCGKSSQVPQFLLEENMAPVLCTQPRRFAVVAVAKMVAKARNSELGQEVGYHIGHSKLLSSRSKIVFKTAGVLLDEMRDKGFQALKYKVIILDEVHERSIESDLVLVCLKQFLLKNKDLRLVLMSATADIGRYRDYFKELGRGERVEVLGIPSSNQKEIFQRQVSYLEQVTEFLGINSELIASKYCSGPCPAMADAEIKPEVHKLIHELVLYIHENEPDIEKSILVFLPTYYALEQQWYLLKPFSSSFKVHILHRSVDTEQALMAMKIWKSHRKVILATNIAESSVTIPKVAFVIDSCRSLQVYWDTARKKDSTELVWVSKSQAEQRRGRTGRTCDGHIYRLVTQSFFSNLEDYECPSILKLSLRQQVLQMCCAESRVINDPKALLQKAMDPPDPEVVEDALNLLVHVKALEKTSSRVRYEPTFYGRLLAGFSLSFDASVLVVKFGEVGMLREGIVLGILMDTQPLPILHPFGEDHLFTEYINCYFSGDSDKIVLTGRKEVEFLGNLCAFQFWQRVFKDKHRLEHLKQLLKFDELKAVTLLLPKLEEEWCSFHNLVQSSLHHVSELYEDILNAIHRFRPKFLAASNGLPTYYSPYEFGHTCLIQCQLQGGIDALSSSDEPLEPSFETRKCVAVPFVASGHFQTSDVAERMANAIKEIRVQYAEDISGNHQAIIGDYDSYINGGTPLCVYFLNGRCNRGSLCRFSHSLQAKKPACKFVFSLQGCRNGDLCSFSHDSDHSVSSYSSNVCLQEDDHADASSLLQLLPTSSDGCVLLLDDTNMHFTSNLANHYDPSRIICTTSLTETVITDPSLTGVRILWDLHHPYQTIISIRGDNLIPWKEVKLVLWFPYLDGYSENSEMQKSLVKNFFEYLAIRMMSDALFETRVILAMNNIIFSQLQVERLARESFFFLTQSFPFDEASFGELLDTVTVNKPMVASRPISYVFDLHAPSDIQFSDYASEIVVGRGVIISANGFSGISLPSDTCTQK >OMO63825 pep supercontig:CCACVL1_1.0:contig12889:42441:43527:-1 gene:CCACVL1_22247 transcript:OMO63825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein A MAMSEKEVMIVAIDDSEHSAYALEWTLDRFFTPFASNPPFKLFIVHAKPTASSSIGLIGPGAAEVLPHVEADLRKIAARVVEKAKELCITKSVNDAVVEVIEGDARNVLCEAVEKHHASMLVVGSHGYGAIKRAVLGSVSDYCAHHAHCTVMIVKKPKIKH >OMO63829 pep supercontig:CCACVL1_1.0:contig12889:73653:75567:1 gene:CCACVL1_22251 transcript:OMO63829 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperone MSRHPEVKWAQRTDKVFITVLLPDSKNAKVNLDPEGVFTFSANAGADNNLYELKLDLHDKVNVEESKINYGVRSIFCILEKAEKVWWKKLLRGDVKPPHYVKVDWDKWVDEDEESGTGDLDLGGMDFSNFANMGGMDGMGGMDMGGMGMGGMGMGGMGMGGMGMGGMGMGMGGMGMGDGGLGEFEDSDDEEQEVTKPDTKAEGDAKPEQAAGTSEK >OMO63822 pep supercontig:CCACVL1_1.0:contig12889:22602:28745:1 gene:CCACVL1_22244 transcript:OMO63822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSRTLYVGNLPGDIREREVEDLFYKYGPIAHIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFGGHRLRVELAHGARGRSSLDRHSSHSGGRGRGPSRRSEYRVLVSGLPSSASWQDLKDHMRRAGDVCFSQVFRDNNGTTGIVDYTNYDDMKYAIRKLNDSEFRNAFSRSYVRVREYVSKRDSSRSPSRGRSLSRSRSRSRSRSRSRSHGRSYSRSKSRSKSPKENPSRKSPAKSRSRSASHSRSPSRSRSLSRSRSRSRSPLPPRQKGSKSPKRRSVSRSLSRSRSRSRSKSLSSVVELKRKLALARIIIRGRNRVDVGGQMEKEMTETPFFKLPDDVVLNIFWKLEDEPKCWACLASVCTKFSSLIRNICWRQKCCKTIPAVCADLIANSSAPPGGWASLNKLSVCCPGLLHAGLLLENSDFGLERELGPDENYIRTSTPSTEPCSSSTVGNPDRNPDVDVTMSDCSWSLYDDLYFDTVYNPSDSMEEYPEETKDNGTIKVGRVSVCKRRKICRSSKSHLASGVWNLSREQGNKLLASRFRSDCLYICDWPGCVHTEEKRNYMLFRGIFKDFKKSRVWRTINDGNRSKTDLNCAFCPCKETWDLHSSFCLRRVFGYHDDGEPVVRAYVCENGHVSGAWTELPLYT >OMO63821 pep supercontig:CCACVL1_1.0:contig12889:19534:21290:1 gene:CCACVL1_22243 transcript:OMO63821 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, iron-sulfur subunit 5 MASGWGINGNKGRCYDFWVDFSECMSRCREPKDCALLREDYLECLHHSKEFQRRNRIYKEEQRKLRAAAQKGKEGEVDGHHHA >OMO63828 pep supercontig:CCACVL1_1.0:contig12889:53199:59064:-1 gene:CCACVL1_22250 transcript:OMO63828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSAWITSVSCSSSVIDSSGETSISVVFQWLRFIFLSPCPQRALFSAVDLLFLFTLLCFAVSKFYSRLSSSNSHENPDINKPLIKVNRSRPTTTVWFKLSLIVTVVLAFCYTIICILAFTRSSQEPWKKFDGIFWLVQAITHAVIAILIIHEKRFEAVNHPLTLRIYWVANFIIVSLFTVSAIIRMLAVENSQDQTLRLDDIVSLVSFPLSVVLLLVAIKGSTGVTVSRVAEPGMDDEEEKLYEQLINKSNVSGFATASFVSKAFWIWMNPILRKGYEAPLKMEDVPFLSPEHRAERMSRLFETKWPKPEEKCSHPVRTTLLRCFWKELAFTACLAIVRLCVMYVGPLLIQSFVDYTAGKRSSPNEGYYLILTLLCAKFVEVLSTHQFNFNTQKLGMLIRCTLITSLYKKGLRLTCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLTPLQVTVALVLLYTYLGAAMVTSVLGLLGVLIFVIFGTKRNNRFQFNVMRNRDLRMKATNEMLNYMRIIKFQAWEEHFNKRIQAFRETEFGWVSKFLYSISGNVIVMWSTPLLISTLTFATALLLGIQLDAGLVFTATSIFKILQEPIRNFPQSMISLSQAMISLERLDTFMMSKELVNASVERQEGCDGRIAVEVKNGVFSWDDVNGEETLKNINLEVNKGELTAIVGTVGSGKSSLLASILGEMHKISGKECVRGALKGKTILLVTHQVDFLHNVDLIMVMRDGMIVESGKYNTLVDSGMDFGALVAAHVTAMELVEAGNNTSEEKSPRKSKSFKSVSNVGEANGENSSSQDQPKSDKGDAKLIKEEERETGKVSLQVYKTYCTEAFGWWGVAAVLLLSFSWQGSQMAADYWLSYETAADHATSFDPTLFISVYAGIAVLSFVLIVFRAIFVTLVGLKTAQIFFGNILRSILHAPMSFFDTTPSGRILSRVRLQRANYGTFSSQEIYSVFLDPQASNDQTNVDIFIPFIMGITISMYITLLGIFIITCQYSWPTVFLIIPLLFLNYWYRGYYLSTSRELTRLDSITKAPVIHHFSESIAGVMTIRAFRKQDAFCHENVDRVNSNLRMDFHNNGSNEWLGFRLELIGSLFLCLSTMFMILLPSSIVKPENVGLSLSYGLSLNGTLFWAIYLSCFVENKMVSVERIKQYSNLEPEASWHIEDRVPPTNWPAHGNVELKDLQSLERCQLKDAVASKPEKLDSPGRAKEFDTPSRLLERPTLFSALVQEYANRSAGL >OMO63826 pep supercontig:CCACVL1_1.0:contig12889:47558:49443:1 gene:CCACVL1_22248 transcript:OMO63826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MKFCKKYRAYMQGQEKKLPGVGFKKLKKILKRCRRDVQSKKDVNGVLDNHTCPQHCPVCDGTFFPSLLKEMSDVVGCFNERAQKLLDLHLASGFRKYFIWIRGKLQGSHVALIQEGKDLVNYALINAIAIRKILKKYDKVHYSKQGQAFRSQAQKMHIEILQSPWLCELMAFHLNLRETKVASGRSPAFFEDCYLTFNDGKPSLSCELFDSVKLDIDLTCSICLDTVFDPVSLTCGHIFCYMCACSSASVSIVDGLKAAEPKEKCPLCREARVYEGAVRLDELSILVRRSCPEYWEQRYQTERVERVRLAKEHWESQCRAFVGV >OMO63831 pep supercontig:CCACVL1_1.0:contig12889:81156:82843:-1 gene:CCACVL1_22253 transcript:OMO63831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRADRKPPGVGRGRGRGREDGPGRQPKGVGRGLDDGAKGAGGGRGRGGPGGKTSGNRGGGRGRG >OMO63819 pep supercontig:CCACVL1_1.0:contig12889:2012:3947:-1 gene:CCACVL1_22241 transcript:OMO63819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKALESRHSVDSCMFQLHSWRPFQLQQALDSSDPQQTPPKRSTANGLYSKRPCLSDRTTSFSIDLSKLTLIDDDTTNPIAANSKRSSFRLLARKRRRRGSRSVSGRSSDRSGTRRCCSVGASAAHGTCSDFPVAVATDSSGELFGNGDAYWASDVSEARNSRRERGDGGSGEKESLGGVGGQFGGFDAQGNESGYGSEPGYRGDAEFGYGDEVDEEEEDARLLFWGHHFGADTDSKMEIVGENSFSDQKAHHRCRRKKHDYRMVDSL >OMP11641 pep supercontig:CCACVL1_1.0:contig01066:1140:1271:-1 gene:CCACVL1_00370 transcript:OMP11641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPDLGELAYGIGEFEVLSKEVALVAAEGDAADLPISWAPEA >OMO72633 pep supercontig:CCACVL1_1.0:contig11426:2809:2934:-1 gene:CCACVL1_17676 transcript:OMO72633 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anticodon-binding protein MAVVGPKELEMDSVTVRSRFGVQLGTIKIDEFRYNIKQASH >OMO71531 pep supercontig:CCACVL1_1.0:contig11606:1358:3690:-1 gene:CCACVL1_18179 transcript:OMO71531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIASISNISHPNLVKLHGGCIDGPYRVLVYEYLQNNSLAQILLGGEKNRAILSWKSRKEIALGIARALAYIHEEIKPHIVHRDIKLSNILLDQNFTPKLSDFGLSRLFHEDITHLSTGVAGTLGYVAPEYAVSGHLTRKSDVYSFGVLLLEIVSGRTAIDFDVEQGAEFFLVQKAWEMYISKDLMQLMDPMLKGSIISSEEEEEVLRFLKLGLLCVQQKSGLRPYMSKVMKMMLQCDEIENNIHELQISKPGLITNIMDVKIGNRRSSSQSFVRMLSPPFQT >OMO55984 pep supercontig:CCACVL1_1.0:contig14567:1158:1220:1 gene:CCACVL1_26841 transcript:OMO55984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGSWCGVVVSRNAGFSDQ >OMO94250 pep supercontig:CCACVL1_1.0:contig07933:1845:3979:-1 gene:CCACVL1_06089 transcript:OMO94250 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein AKNNGVLSRHDSNPKAPIAAEKPPKFYTVDDVKKLLLNKRKLTSTKHRLFLSLNFQSFFAWTNESHIRADVVVFGICDGHGGVEAAKSARKHPF >OMP05079 pep supercontig:CCACVL1_1.0:contig05535:12869:13693:1 gene:CCACVL1_02065 transcript:OMP05079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MTKGIISHQVIPFPDLESISSSFPPLDLQVPEMDWTGTNEGVSRRIVFDSAKISMLKVKAASKEVPQPTRVEAVTALLWKSAMAAAASSRGGLKKQSALLQWVNLRKRMNPPLPESSTGNLISCFAAKTSLDWETELQGLVKQLRKAMREFSGDFLTKLQESNINAVSAMHDYVNEVESLSKDDEVDVYNCSSWCRMELYESADFGWGKPGWVSGLYKLITRPKSFLLMESTDGTEGIEAWVYLSEAEMYFFECNRDLLAFASMNPSVNGFIYS >OMP07724 pep supercontig:CCACVL1_1.0:contig04241:663:779:-1 gene:CCACVL1_01237 transcript:OMP07724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKSRGTELTHSEHPLKDYVVRLDRINPYRIKVQPPVNLQ >OMO86011 pep supercontig:CCACVL1_1.0:contig09548:35254:36680:1 gene:CCACVL1_09874 transcript:OMO86011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMKTKVTGPSPLRNGGSTFGGGGGEPAAHDWELRPGGMLVQKRTPDSDRTAIPPPTIRVRVKYGSIYHEISINSQATFGELKKMLTGPTGLHHQDQKLLYKDKERDSNAFLDMAGVKDKSKIVLVEDPISQEKRLLEMRKTAKMEKASKSISEISLEVDRLAGQVSALETIITKGGKVAEKDVLNLIELLMNQLLKLDGIMADGDVKLQRKMQVKRVQKYVETLDMLKVKNAMPSSNGAQTAIQNQHRQTNGHKLAPIQEQSSRQFNGQRLVPIEEQQQSRNSVAHLPVHQQYHQQQQQQQQSRNPPPGAAAVVVTTQWETFDSSPALVPVTTSTSTSTANNHIHNHNNNSVPPKFPWEFFD >OMO86010 pep supercontig:CCACVL1_1.0:contig09548:22104:24480:-1 gene:CCACVL1_09873 transcript:OMO86010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSSQFYCAIRQLPHNARTRPSFTCSAQPSQSNIKVVINGAAKEIGRAAVIAVTKARGMEVAGAVDSYFVGEDIGKVCDMAEPLEIPIMNDLTMVLGSISQAKETGVVVDFTEPSAVYDNVKQTTAFGLKSVVYVPRIKHETISALSAFCEKASMGCLVAPTLSIGSILLQQAAISASFHYNNVEIVESKANAKDLPSVDAVQIANNLSNLGQLYNREDLSTDVLARGQVLGEDGVRVHSMVLPGLPSSTTVYFSGPGEVYTIKHDITNVQCLMPGLLLAIRKVVRLKHLVYGLEKFM >OMO86007 pep supercontig:CCACVL1_1.0:contig09548:7709:9864:1 gene:CCACVL1_09870 transcript:OMO86007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNCGDFIQLLGPDLSMKILMHLDNPADLIRVCLVSSSWRQFVITNGVCKQLCLKLLPEMSSVAHTIEVNNLIDSVKVNQHDYFELECLKRNHRVYAILARGLSPLTRKDCISEAISASSTDNYPEESILNTLEPRDRIERRASYWSSKGQSDPAVPETLIYKLMAKMCLVTEIHVQPFQAYFQYGFPIYSSKAVRFRMGHQKLAEELQSDTISDNKFIWTYVSPEFPMAQENCLQKFKLPEPVLCIGGFLQVELLGRVQRQEMDGLYYICISHVQIVGRPLLSSFDIDILDATGRCTLKYLPETENGMISSGSPKEEDGHAASSRFRTFTARLIERGTRGWEQMLLNALLRTRAVGGNDADDEEPPASN >OMO86008 pep supercontig:CCACVL1_1.0:contig09548:10524:16257:-1 gene:CCACVL1_09871 transcript:OMO86008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRVAGGLTQSSSSSGIFFQGDGQSQAVVNSRLSSPYENSSNSIPGTGRPNLGPVSGDMNSAVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTDSYMRLPASPMSFSSNNISMSGSSVVDGSSVGQQGSHQDPSVQQMQQSQQLQQGASSATSLPTSQTGQVSLPMGPRVQGSFMQDPNNLSQVQKKPRLDIKQEDILQQQVLQQLLQRQDSMQLQGRNPQLQALLQQQRLRQQQQILQSMPQLQRAHLQQQQQQMQLRQQLQQQGMQQIAMKRPYDGGVCARRLMQYLYHQRQRPPDNTIAYWRKFVAEYYSPRAKKRWCLSMYDNVGSHALGVFPQAAMDAWQCDICSSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDMPRECRFPSGIMMLEYGKAVQESVYEQLRVVREGQLRIIFTQDLKILSWEFCARRHEELFPRRLVAPQVNQLLQVAQKCQSTISEGGSEGASQQDLQTNSNMVLTAGRQLVKSLELQSLNDLGFSKRYVRCLQIAEVVNSMKDLIDFCREHKVGPIDGLKNYPRHASAAKLQMQKMQEMEQLATVQGLPTDRNTLNKLMALHPGINNAMANNHHMVGRGNLSGSAQAALALTNYQNMFMRQNSMNSNPNSLHQEASSSLNNSNQSPSSNFQGPAALLPGSMQTMPNVNGSVARNGVGFASNTTAPAPTTSNVSGGVAGPAPSRSNSFKAAPSNSDSSAAGGNNGFNQRGPDLPQNLHLQDDIVPDIAHEFSDNGFFNNDLDDSMGYGWKA >OMO86009 pep supercontig:CCACVL1_1.0:contig09548:19339:20941:1 gene:CCACVL1_09872 transcript:OMO86009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITITAKSLRLNPLIQLKTVPKFRPRFASISARLDDSQQQLNLSVLRFTFGIPGFDESYLPRWIGYGFGSLIVLNHLLGSDSVTVAQLRSEALGISLAAFSVSLPYLGKFLKGSTPIDQTTLPEGAEQIFVMSQNVSVSQKEDLAWATYVLLRNTNSTSVLILAQGQLCVRGYWDVPDVVSKDDLLDWFKSKVEETGLSDLTDTLYFPQVGDAEFWRMLPQGTRSVLVQLVQIDPKSTNNETRSTEGFVLLASSIRYAYGDKDRAWIRAISNKLRSKD >OMO86006 pep supercontig:CCACVL1_1.0:contig09548:3794:5449:1 gene:CCACVL1_09869 transcript:OMO86006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phloem protein 2-like protein MGAGVSSLADDQQRGFPGLGDVPESCISSIYMYLDPPEICKLAGLNRAFHGASLADFVWETKLPSNYRFLIMKVLGQNPESLNKKEIYARLCCPNRFDGGTKEVWLDKSSGKLCLSISAKALKITGIDDRRYWNHIPTEESRFQTVAYLQQIWWFEVVGELDFEFPAGSYSLFFRLQLGKSSKRFGRRICNLDQVHGWNIKPVRFQISTSNGQQASSECYLYEPGNWVHYHVGDFVIDEQNSNSPTKIKFSMMQIDCTHTKGGLCVDSVFIYPSEFRQRLMKV >OMP06178 pep supercontig:CCACVL1_1.0:contig05040:4034:4627:-1 gene:CCACVL1_01690 transcript:OMP06178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MDRFLGVTSGSSATASDGEELTEDDIFWTTTDFTEQDHLQIPSTVAKTNSHNRRPSFSQSSGILAALREPNHYQILYRKPIISSSSSDVQVGQTIPRPMLPEREYSRKFQQQQSAPVNVPLLSMAVARERNKKFLEVDYYDDDGEQEIMPPHEIVARGSGVAPQTTFSVLEGVGRTLKGRDLRQVRNAIWRKTGFLD >OMO86675 pep supercontig:CCACVL1_1.0:contig09442:28307:28489:1 gene:CCACVL1_09534 transcript:OMO86675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKSYLPQLVGIRSVGANLIGDYQGTLLARFEVRPTLVDQIRDSQEVDEKLSAELEKL >OMO86676 pep supercontig:CCACVL1_1.0:contig09442:33770:41949:-1 gene:CCACVL1_09535 transcript:OMO86676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIGLVKQRLRNDQHYFEDASSKMIRGTTKNLKIDRIADIVQKAFKSMVI >OMO86678 pep supercontig:CCACVL1_1.0:contig09442:53287:59639:1 gene:CCACVL1_09537 transcript:OMO86678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYGSLGGDSSRKKNNVAAAKGRCHCGNGHEKDGMFSSPKTFTPQRGLSTQELYLEYGMNFNETKRALPRGKIERRFSMPALHPYPNFGPVTRGRRIESVLGEVASGSGERKTRRRFLEVLVLIYGGFHLRHDGDRRETWCSLGRIRITVCSDTLRQCSRWEEGDVKWHLIASLPNDWDTFKVALYEKAISQTFLAIAVDIFVEDKRRRDISPTRGNIMEGPELRPAVIRDVTASSIRRDFATFMQSWVQSSEGDPIQVDSDLEDDVDPDDGVDPDDDFDPNDDLDEDFDLDEDSDEEFDPQPPLPDPQPSHPPAGPRLFGFSGPRIRQTARKSTARMSTARMSTARMSVIEPSDYMRFDMSHERASTDGDSSGH >OMO86677 pep supercontig:CCACVL1_1.0:contig09442:51747:52690:1 gene:CCACVL1_09536 transcript:OMO86677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYNCERPVSKEFGTAIGSYTMVTRFQ >OMO88626 pep supercontig:CCACVL1_1.0:contig08982:12704:12805:-1 gene:CCACVL1_08293 transcript:OMO88626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELGPLRAKQNLQEHGKAKRKRERRKENKLCPQA >OMO63133 pep supercontig:CCACVL1_1.0:contig13030:3804:5863:-1 gene:CCACVL1_22467 transcript:OMO63133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYPYSNLFSGWFKFNPLQHYPSLNQPPPPQQQQQQPSSYTQTFYGSFINNNYNNNNTNIETNNIINPFFHYQNSSSPPSPPVREALPLLSLSPTRHNNKDQEQVLQDEENHHQDYQDQDLQDHSCTAMDVVAGKESEGGGGGGGGEEEDETVTVALHIGLPSPSASELASVLSSSSEITDKDNNGEGDDSGYPISRLNKGQYWIPTPSQILIGPTQFSCPVCCKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGNGHAAYGIDGLDEDDEPASEVEQDNESMQ >OMO63132 pep supercontig:CCACVL1_1.0:contig13030:1240:2363:1 gene:CCACVL1_22466 transcript:OMO63132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSNGRKNGSKRKSAAEAATFLISPQQKG >OMO96520 pep supercontig:CCACVL1_1.0:contig07449:40:3894:-1 gene:CCACVL1_04915 transcript:OMO96520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2/DRF autoregulatory MPTTTASFLLLLLSTITTTASFHHHRHLLHQPFFPQTSLPPTYAPSSSSPFPSPQPLPHHNQQQQQPKYPFSTTPPATPQNPFFPSFPTPPPPPPPPSTLPTFPANISSLLIPHSPSPASHRHHLLLIILSSSLLAAAIILSLAALTLFLRHRNHQNTSSDDKASRSDSLRLFPPNISPSDASLKQPPPQPPSQPPRYVSTNRSSEFLYLGTLVNSRVDPEKATLSSNGGIRLGVSSSPYQKLGSPELKPLPPLPKVQTFQSGEQFLQNEQMGSFENNVEDEEEEFFSPRGSSDRRESSPPVRIGSSSRREFQGDNFGSRSFNSRTASYPYSNSCSPTNSFLNSSPLSQRSKSPDTVVPIYTVRIKTPSSTSASSSRLSSSSSERDSPDRGSSFSGQNKESPSRIALKKLPPPPPPLPPPRFWEVPVAVKGATEINAGGPPVLVAPSRPVVSQNVAVNEPLNKNEGLERTEETPKPKLKPLHWDKVRASSDRAMVWDQIKASSFQLNEEMIETLFMVNNSNLGTKDNVRRQILPSMNQENRVLDPKKSQNIAILLRALNVTIDEVCEALMEGNSDTLGTELLESLLKMAPTKEEERKLKEFKDESPFKLGPAEKFLKAVLDIPFAFKRVDAMLYIANFDSEIEYLKRSFETLEAACGELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGKTTLLHFVVQEIIRAEGSRLSVANQNLKAEKIQRSDFQDDVEFRKLGLQVVSGLSGELTNVKKAAAMDSDVLSIEVAKLATGIPKIREVIKLNEEIALKDSSRKFSESMNEFLKKAEEQIVRIQAQERAALSMVKEITEYFHGNSAKEEAHPFRIFMVVRDFLSILDQVCKEVAK >OMO79172 pep supercontig:CCACVL1_1.0:contig10474:6132:6194:1 gene:CCACVL1_13866 transcript:OMO79172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEQNRKKFGHTFFKISQR >OMO54406 pep supercontig:CCACVL1_1.0:contig15002:3819:13612:1 gene:CCACVL1_27812 transcript:OMO54406 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor Mcm2 MTSTSQLGVGVDDSLEDERDLDQIMQDRRAAELELDTGDARLSNRKLPQLLHDQASRSKGVVLG >OMO54407 pep supercontig:CCACVL1_1.0:contig15002:21482:25787:-1 gene:CCACVL1_27813 transcript:OMO54407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYLLVLSFIVLVYIKGCNGCVDEERKALLELKKVFVDDSDDSLLPSSWNIHDPESDCCSWERVTCSSTTGHVIKLALHDLKLGEPTSGNWNQISLFQSFEQLRILNLSSNYLPDWNTTADMKALAKLRKLEYVDFSQNRFNKGIMKALRALPSLAYVDLSSNNLEGTLPAHDLDQLTNLEVLNLSNNSLNGTFPLKGLCELKSLEVLDLSYNWHGGILPPCLNNLTSLKILDLSGNQFNGTISCDLLSSSLQVLILSNNLLQGNLAPCLNNLTSLKLLDLSDNQFDGTISSSLLPTLTSLEYIDVSQNNFQGMLSFNPTAYSSKLKVVILGRETYYGVQETNNLHVDMENSALSELEILSLSNCNLESIPTPFYSLHQLKAVDLSHNKIEGKFPTWLLARNAQLGVLNIRNNCFSGPMYIDLPPGFVTNVVILDISSNQFDGEFPDPEYIGKIFPNLRYVNFSRNSFDGHLPSSIAGLKDMWLLDLSFNNLIGEVPKELIADCTNLTVLILSDNNFNGQIFSAHLNSSNLQHLKLNNNHFTGSLTNVNFKLFKRLELLDVSNNNLTGIIPRSFANLHSSLRLLNMKHNYFEGHFPCGLNLWSSLYVVDLSDNFFSGPLPSCFGSDKLMALNLERNRFTGSIPAALLNSTELIMLNLRNNRLSGSILVELVGIPNIRILMLANNGFSGSIPEHLCDLKYISMMDLSHNSFSGSIPSCFNNITFGSMFPGDVPRHLNQGLVLTGFIFFSFAYVSDNYLRKEYYVGFGSSSTLNLQVEIDFNTKYLLMPYKGGILDFMSGLDLSGNNLTGTIPWNIGDLSSIRALNLSHNHLTGPIPISLSNLSEIESLDYNNLSREIPFDLTGLYSLGAFSVAHNNLSGKIPDVPQLSTFDESSYEGNPFLCGKPLPKSCTSSVDDGDEPGQSVNSAGSEGKWYEVDRISFFGSLAATYIMVMLGFLTILYINPYWRGRWFNFIQDFIDSSYYYVCCFFYYH >OMP08318 pep supercontig:CCACVL1_1.0:contig03864:911:3900:-1 gene:CCACVL1_01124 transcript:OMP08318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLCCNAKLQPCFRYEQQFHFINGRNLRFYRSNEVSISCKRKSQSFAPLKSSSINGFSVNKNLEHFEEEQSVENVEFSEKFRKWIDFLRSVLPGGSWWSFTDDVEINLTAKPVTVWRALSRMWQLIAKDRLVIFAAFSTLIVAAVSEISIPHYLTASIFSAQSREIAVFHQNVRLLITICVVAGICSGLRGCCFGIANMILVKRMRETLYSALLLQDISFFDGETVGDLTSRLGSDCQQVSRVIGNDLNLIMRNVLQGTGALIYLLILSWPLGVCTLVICSTLAAVMLVYGLYQKKAAKLIQEFTASANEVAQETFSLMRTVRVYGTEKQELKRYNHWLDKLADISLRQSAAYGVWNLSFNTLYHSTQVIAVLVGGMYILAGHITAEKLTKFILYSEWLIYSTWWVGDNVSSLMQSVGASEKVFQLMDLMPSDQFISKGKTFINFDRTSFITSFSEMSFVYFSVIHWTLRILQNFKQ >OMO80703 pep supercontig:CCACVL1_1.0:contig10283:22330:22653:1 gene:CCACVL1_12808 transcript:OMO80703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNEDPDEDLIVELEVDRENTREATKFVLIGKVISDRVLNKKGVTNVLKSIWPEKVMLKVCDLGPNLYGFTFADRKKYGACTLQWPMDSYGSLSVSQKMGYRLGSE >OMO80701 pep supercontig:CCACVL1_1.0:contig10283:6471:8812:1 gene:CCACVL1_12806 transcript:OMO80701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDKPRQHLQQQQVNQTHHHQEEEEQKQVQQHQKHQEEEKQNQQQQQNQEHEEEENHHQLEEEEEEAENKQNHKASQFPLSKRTPPRSPIPKALSPASDDNSHIDSPLSSDSSLSHGFSPPPQATSQSQPSQPNSKPPPPAVAVSTAEFSSRDQSIPTTATTVEVEVEEQRQKLGSGSGKRLRPDLSILRRAKRDKMVRKALLGFRISGFVFCLISFSVLAADRDKGWALDSFYRYKEFRFCMAVNVIGFVYSGIQAYDLAYQLTSGKQKPRTRLRCYLDFVLDQVLAYLLISASSSAAVRVDDWQSNWGKDKFPEMARASMALSLVAFIALALSSLVSGYTLSTYR >OMO80705 pep supercontig:CCACVL1_1.0:contig10283:35140:37596:-1 gene:CCACVL1_12810 transcript:OMO80705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPNPSPTQPTMDTVDWRSQLPFDLRNKIITHFLIIERKYARTEKMLMIEKKFKKTAQDTGNNSEHPGAGCQSMQQQNQIHSQGQTIRIPRPQGSNQRQQLLAQNVKNNMASSGFQSGMPPVPNVGGQNMSGISQNSMGQGIVPSHIYSIQQRQIQMQQGVQQQQQQQLIYCNNMNRPMKVQQGQVPRPDMQQPQQYQLHLQGCVPKMQSINAGQYCSMQHVAPTPLHQQANISSLPSHSQSHSHSHNGVNYVGKQNMNPLQSIENTSSLSSQSGLSVGQQNMNLLHSNPNMLQQQHLKQQQMLQSQQPGMGVKPHQQFRPGSQFPISSPQVASPKHSSPKVDQQSLLTSNIIEQPPLERLIKAVQSMPPVAIALGAAVSDIASVVIMSDTIADSAPGKTSEAAIGADLVSMTKCHLRARKFITKHANRMTRYINAMPLE >OMO80702 pep supercontig:CCACVL1_1.0:contig10283:11997:13588:1 gene:CCACVL1_12807 transcript:OMO80702 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MASSTANLPSKMKAWAYSEYGKSSDVLKLNPDFDVPKLNDDQVLVKVAAAGINPVDFKRMLGYFKATDSPLPTIPGYDVAGVVVKVGSKVKKFKEGDEVYGDINEKALDKPKQFGSIAEYTAVEEKVLALKPKKLSFIEAAGLPLAIETAHEGLELSEFSTGKSILVLGGAGGVGSLIIQLAKQMYGASKVAATSSTGKLELLKSLGADLPIDYTKQNFEDLPEKFDVVYDTVGHGEKALKAMKEGGKTVTIVPPGIPPAILFILTSNGAVLEELNPYLECGKIKPIIDPKGVFPFSQTPQAFAYLETGRVIGKVVISLIP >OMO80704 pep supercontig:CCACVL1_1.0:contig10283:22972:23103:1 gene:CCACVL1_12809 transcript:OMO80704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGDSVDPDKAKYGAWMSAIPIRRGKREQANGNKEIPVLKQV >OMO80700 pep supercontig:CCACVL1_1.0:contig10283:1114:5013:1 gene:CCACVL1_12805 transcript:OMO80700 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase/squalene oxidase MESFGSLTLTQRDQIMVEQQVFSILKTFYEMPPSSQSVMMELQREDHVEYLTKGLKHLGPNFCVLDANRPWICYWILHSIALMDEFLDPELEDNIIDFLSRCQDPDGGYGGGPGQMPHLATTYAAVNSLVTLGGDKALSSINREKLYTFLRRRKEPSGAFRMHDGGEIDVRACYTAISVASLLNILDDDLVQGLGDYILSCQTYEGGIAGEPGSEAHGGYTFCGLATMILINEVDRLDLSSLIDWVVFRQGVEGGFQGRTNKLVDGCYSFWQGGVFALLKRVHSTKVEQSVLLPGEEDSGAESLQTTTSSDEGEEDLNEDSSQAVSHFDRRHARVEPLFNSLALQQYIILCAQEPGGGLRDKPGKSRDHYHTCYCLSGLSVCQHSWSADEDSPPLPNAVLGPYSNLLEPINPLYNVVLDRYQEAHEFFTRS >OMO66862 pep supercontig:CCACVL1_1.0:contig12497:2073:3494:1 gene:CCACVL1_20959 transcript:OMO66862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCRDEEAKRREETMNAQLEQMKRMLLQLMKEKNLVEDTQKVEDPTRGIFGINLGLTGANRVVTENVSPFPTSNGTQCIFRPLPGITDNQEKNTKTDRAPTIEVIGNMVQTDLSLTSSTSLPGLLRVLESEEIHKRPAKTKVENDSSGIVEEIIEGVIRTRQLDNLRGQNKENPMGQKEKKGNVHSRLGPRVLRCYRCSSRNFRYPYPYGPYGYFAPPPTCYYQYPGNFNSIGRAPFHSKTYHLQPPQKFQATNEGHIKEKAPISPIPNTYTELLPQLLEQGLVQRLPYSPPFQAPYPAWYNTKAHCDYHSGTEGHATEDCFRLKQAVQALVIGGRLNFHTTDQAVLTQKQVDNQVSVIGSGEVLKRKLNEVETPFGFIYKVLTNADMLELGEYGDGDHEEVCPYHGLVHGIRQCREFRVKVQKLMEERRVEFYSKAKEGEIDTSPKQEHPPVMPDAPMGHSFPPMPYVMKQF >OMO88886 pep supercontig:CCACVL1_1.0:contig08897:27390:34659:1 gene:CCACVL1_08140 transcript:OMO88886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEKASSEKESTLKLDHTKDNCDGSFDPKNGSAGVGIVVRNGEGKLVNGSGLRIEAASALEAEAKALLEAGRLAQASGGTNAIFETDSEELFYALATVKRRRGENRACIVGCQKPFAKSGRG >OMO88885 pep supercontig:CCACVL1_1.0:contig08897:12905:13162:1 gene:CCACVL1_08139 transcript:OMO88885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHEGSYLSIKHHLQGDTSGFGVNIGFFVGWHVSFDSSMHLFPKWTTSLLDMGSL >OMO67292 pep supercontig:CCACVL1_1.0:contig12452:37681:38568:1 gene:CCACVL1_20634 transcript:OMO67292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLIASWLTPTSLFVLLNIMIGTIFLISRLSPPKSSHHGHQVGVQYNDYNSAPPPSPLQRAPSLLDRVRSIKFSTYNFSSPNYNQDIDHHDHQPDLAAHPLERAPSILERVKSINFSLYKYSPQNPDTGHYIQPSSTEHEYTSPPPQQPLSRAPSLLERVKSIDFKSFYRSDSINKTNPLKESFASDDETDSETDMSPVHHDSPVKRCKSESKVKQRKMPEKMKKSTSERRMDQEEEEEVERRRPATTRIEKTVSFGDEGVDAKADDFINKFKQQLKLQRLDSLLRYRDMLKGK >OMO67295 pep supercontig:CCACVL1_1.0:contig12452:51508:53566:-1 gene:CCACVL1_20637 transcript:OMO67295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQPTHTRSRGPKQTPSSFINTTPLITHQPFLFFAPPKSMSLQRFRADKVEDTSYNIYHPFNPIAPLTIDKGNGIYLRR >OMO67293 pep supercontig:CCACVL1_1.0:contig12452:42825:45267:1 gene:CCACVL1_20635 transcript:OMO67293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor SUI1 MAEKPQPVVVQYCKVCGLPAEYCEFGPEFDKCKPWLIQNAPDLYPDLLKAENATEADKAADQLQSTSISSAAAGGSSEPKKEEVKRLPGGKIKKKERQEVIIEKVVRNKRKCITTVKGLDFFGVKLSDASKKLGKKFATGASVVKGPTDKEQIDVQGDISFDIVEFITDTWPDVPETAIFFIEDGKKVPAA >OMO67296 pep supercontig:CCACVL1_1.0:contig12452:56245:58830:1 gene:CCACVL1_20638 transcript:OMO67296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFPIPAYFTALFIISRLMSIIGLSKPWNNVSPKILTLDIADKISVDPLVIESTSQDFGHIEKSVPEAVLYPSSVQDIATLIKFSYNNNSVPFSIAAKGHGHSVRGQAMANNGVVVDMGSLRKHRNGTGAGIEISNDGVYADVGGEQLWIDVLNATLERGLAPVSWTDYLYLTVGKADFLTCSPKKNSELFYAVLGGLGQFGIITRARIPLEPAPKRVKWVRMLYNDFSGFTKDQELLISKNGRKDKNALDYLEGSLLMDQGSPDNWRSSFFPPSDHPRITSLITKHRIIYCLEVVKHYYDHDQTKTTVDKELEQVLKGLNYMPGFMFEKDVEYAEFLNRVRSGELKLKSQGLWDVPHPWLNLFIPKSKIAEFNDGVFKGIVLKRNITTGPVLLYPMNRNKWDDRMSAVIPEEETFYTVGFLHSSGFDDWEAFDDQNKEIMKFCDEVGLGVKKYLPHYTTKEEWTHHFGSKWNTFQQRKLQFDPKMILSPGHRIFNDN >OMO67291 pep supercontig:CCACVL1_1.0:contig12452:24845:28897:-1 gene:CCACVL1_20633 transcript:OMO67291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MAPPRILLCGDVLGRLSQLFKRVNSVNKSAGPFDALFCVGQFFPDETDRLDELMDYVEGRAQIPLPTYFIGDYGVGAPKVLSVASKNSANQGFKMDGFKICDNLFWLKGSGKFTLHGLFVAYLSGRQSSSGQQFGTYGQDDIDALRAFAEEPGIVDLFLTYPLLFFTNEWPSGVTNRANESDIPAGILDSTGSDSTVAQLVAEIKPRYHIAGTKGAFYAREPYSNVDAMHVTRFLGLASVGNKDKQKFLHALSPTPSSAMSAAEISAKPPNTTLSPYTLADQADHPKESTKRAGDSLPDSQYWRYDVSNKRQKHGSGDGNKVCFKFISSGNCPRGEKCNFQHDVDAREQFLRGVCLDFIIKGKCEKGPDCNFKHSLQDEGESYTSRRPGSGNINRSKECWFCLSSPNVDSHLVISIGENFYCALAKGPLVQDHALIIPVEHLPNTLCLPEDSEIELGKYRNSLKMYYKNQGKDAVFFEWISVRSSHANLQAIPVPSSKTAALKDIFNLAAKKLGFEFVTKKFNNNSEGRKWLRTQFDKNLSCFYVELPDGSILLHVIEENEKFPAQFGREVLAGLLNMADRADWRNCTPGKEEETMLAENFKKRFQVFDPSQ >OMO67289 pep supercontig:CCACVL1_1.0:contig12452:2152:8733:-1 gene:CCACVL1_20631 transcript:OMO67289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPHPQQQEQQQQPQQQQQPPQQGPKKRGRKPKPKDEKEQQQQQQQSAGKMKEGKKAQQPSVDEKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQASVLPFYGRTSAIFILLTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKFKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVEAQPNRHAVLGATSSRPDLILTGHQDNAEFALAMCPTEPYVLSGGKDKSVVLWSIQDHITATASDPTKSPGSGGSIIKQNDKAADGPSVGPRGIFLGHEDTVEDVAFCPSSAQEFCSVGDDSCLILWDARVGTSPAVKVEKAHNADLHCVDWNPHDNNLILTGSADNTVRLFDRRNLTSNGVGSPIYKFEGHKAAVLCVQWSPDKASVFGSSAEDGLLNIWDYDKVGKKVERASKSPTPAGLFFQHAGHRDKVVDFHWNSHDPWTVVSVSDDCDTTGGGGTLQARGGGFGRAREIQVPRGFMRIKIKPQPANVIALLQDRTHFGSHRRGDILNVKVSDLTSPKTELSYDFYSLGYCKPSKIINTLKQFLQGKSTHHNSVYTFRMGEDQPCTVACRLKLTAQDANNFKQKIRDDYRVNM >OMO67290 pep supercontig:CCACVL1_1.0:contig12452:9646:17847:-1 gene:CCACVL1_20632 transcript:OMO67290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPSEGIPDTFKQRGNPPTTPSLTNGTVHFPVSSVHVLETFNLSFWLDNPGSGLHSSPALAPLMSAPVPESTEGHGHSLSPSDVTEPPAQNTAPPPFTIEERGPSLPPSTSVVSPPVGGAPPPLPVQAHAPSKSPTAPHEKAPIIPSITPSQNPPESSPVIHHTPVAPSLNNSPQNPPDINSSSPSAFPPIVNQRNSSNDKAPVLEPTAPAPVGPPLRNSPQNSPSINSTRPSASPPSVNQRNSSNSRAPIMEPIAPVTTPSRNSPQNHTAIHSRGPTASPPSAPIPEPIAPVASPPLKFERAPPPDRSSMPPSVLPVISPSGDSPQNSPTVHPNMPGGTPSQLPAPGISPVASPPSSINWKNDGIPAASPINEIHKPVPPVNHTLKNGSSSAVSPAPKAPRHPASSPVPSLAPSNKGKNYPALSPTSSLNKHQHKRNQSTTPAPEPIHSGIKIENAELILSEQSLRSELTWFDFLHLSNIAGSSASPPHSTVPSSVNTVAPVPSPSPVPASRQTKLPLISPKVSPSKPPLRGSKVPPPPLVMSFPPPPPNDDCSTTICTEPYTNTPPGSPCDCVLPMQVGLRLSVALYTFFPLVSELAAEIAAGVFMKQSQVRIIGANAASEQPEKTLVLTDLVPLGKRFDNTTAFLTFQRFWHKQVAIKSSLFGDYEVLYVRYLGLPPSPPLPPSDVGLIDGGPYPGNGNNARAIKPLGVDVHGKRHRSVLSGGVIAIIVLSVLVALVLCSAIAWALLYKCRGQASQQAPTPQPLLPSLAKPSGSAGSMVGSGLSSTSLSFSSSIAAYTGSAKTFSASDIEKATGNFDDARILGEGGFGRVYSGVLEDDTKVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERIRCLVYELIPNGSVESHLHGVDKEAAPLEWDTRIKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTAMDEEGRHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMTQPPGQENLVAWARPLLTTKEGLEAIIDPSLGSDVPFDSFAKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECDEAKEVGSGDFSQDDMSVDLDARVSTHSGQLTDPLQSHYLNPNYDSGLDTERGLSVSDLFSSSARYGRQSSESFRRHFSSGPLRTERGARFWQKMQRMSRGSISEHGVMNKFCFNVDFTAVKPDRARPEITKYFMDHGVPNPKFHNKRRLKTSRRTQKLSLTTSRPFFFHSLRSINLKTPKRRDKDRCYEATSSKRNRSLAKELVTGGVASVFLGFGSLFLLLSAGVYV >OMO67294 pep supercontig:CCACVL1_1.0:contig12452:49608:50057:-1 gene:CCACVL1_20636 transcript:OMO67294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVDKKINKTARTRRKEMGNCHPGMLAEKGFT >OMO59872 pep supercontig:CCACVL1_1.0:contig13856:10438:11217:1 gene:CCACVL1_24559 transcript:OMO59872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDLKRESTFAAEDAIADQTEHVQRAQWLRAAILGASDGLLSTTSLMLGVGAAKDDRRSMILAGLAGAVAGACSMAVGEFVSVSTQRDIEQAGAANLDIIIPSNPITVKTEKMSSPVIIIDPNLPQVSSPAPIRSSPMMKVITRDILSTKAVQDHMEKALPNPYKAAAASGFAFLCGSVVPLASAAFIADKVIRIVVIAVVTSIALAAFGCLGAHLGGSPVRVSAARVVVGGWIAMGITYGLLKPFDTDHKSRDTD >OMO97678 pep supercontig:CCACVL1_1.0:contig07216:3171:5818:1 gene:CCACVL1_04489 transcript:OMO97678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate synthase MWCITEIQAAGSTYGNYFRVTTFGESHGGGVGCIVDGCPPRIPLSEADLQVDLDRRRPGQSRITTYRNETDTCKIYSGVSEGVTTGTPIHVFVPNTDQKRHFRHYKEMSIAYRPSHADATYDMKYGVRAVQGGGRSSARETIGRVAPGAIAKKILKQFSGTEVLAYVSQVHQVVLPEGSVDHDTLTLDQIESNIVRCPDPEYAEKMIAAIDAVWARGDSIGGVVTCIVRNAPCGLGSPVFDKLEAELAKALMSLPATKGFECGSGFAGTFLTGSEHNDEFFSDEHGRIRTRTNRSGGIQGGISNGEIITMRVAFKPTATIGKKQNTVTREKRETELMALSRHDPCVLPRAVPTVEAMVALVLVDQLMAQHAQCNLFPINPELQEPLSFNFPNLEPANI >OMO97679 pep supercontig:CCACVL1_1.0:contig07216:7794:12741:1 gene:CCACVL1_04490 transcript:OMO97679 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate synthase MASSSITSKPFLGATKPNSSLSSDLQRLSFSSVHISVKPRTQKKLQILAAGSTFGNYFRVTTFGESHGGGVGCIVDGCPPRIPLSEADLQVDLDRRRPGQSRITTPRKETDTCKIQSGVSEGLTTGTPIHIFVPNTDQRGHDYKEMSIAYRPSHADATYDMKYGVRAVKGGGRSSARETIGRVAAGAIAKKNLKLFSETEVLAYVSQVHQVVLPDGSVDHDTLTLDQIESNIVRCPDPEYAEKMIAAIDAVRTRGDSIGGVVTCIVRNAPRGLGSPVFDKLEAEFAKAVMSLPATKGFEFGSGFAGTFLTGSEHNDEFFTDEHGRIRTRTNRSGGIQGGISNGEIINMRVAFKPTATIGV >OMO97680 pep supercontig:CCACVL1_1.0:contig07216:14163:22551:1 gene:CCACVL1_04491 transcript:OMO97680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANPPQNNGLTFKAATSGPKIGPKAKNASSSSFVNKTQQKGRVVNIVGSSSSPVVNGKIKIKSTSTHLVEGQLRMIII >OMO97681 pep supercontig:CCACVL1_1.0:contig07216:26250:29345:-1 gene:CCACVL1_04492 transcript:OMO97681 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MSLYTDYGGPEEILVGDGSGLKIAHTGSVTLTTAPKPLILSDVLHIPKMQRYLISVIKFCRTNDVSVHFFSYHLLVKDLSTGAPLVKGHNRNDLYELPKTTSLATAKKTFAFITKKSFIDVWHRRLRHPASRILNFMRNSFGLSFDLSNKITDYNGGEYESLSSLLASHGIKHLQTPPHTPQHNVQQVSAAKTLPVVSDDSLVSFIPCSSVPTSPVCSVVPSSSSVLTSSQGNDTLLSSLNSITTQTKPTQTLPHITQTHSMVTRSQNNIFKPKQGYIVSKHTLPELTEPSCVSQAIKKPEWREAMTAEFNSLVNNGIWTLVPPCTSQNVVGNKWVYRIKRKPDGSIDRFKARLVVNGFHQRPGLDFTDTFSPMIKPTTIRTVLCIALSSGWSLKQLDDDNAFLQGTLTDEVYMTQPPGFEDKDNPSHVCKLQKAIYGLKQAQRAWDQELSTFLLQYGFTNSTADASLFVYKSGSDLIYFLVYVVDLIVTGNNQHFVEQFLAQLSHRFSLKDLGSLNFLGVEYLSLTRPDVAYAVNKMSQYLHSPTKLHWTTLKRVLRYLKGHHGLFLRKNSPLNITAFSDADLADNLDDRTSTTVYILYLGNNPVSWKSVKQKTVARSSTEVEYRAVANTATKILWLKNLLSKLHVTSTHQPQLLCDNVGAAYLSANPVFHSRMKHLALDYHFVCQHVSLGAFKVSYVSKKEQLADGLTKSLGRQQFLFLRSKTGVTDGSTVLRGHVKESKQPLHKSSQL >OMO96910 pep supercontig:CCACVL1_1.0:contig07348:12489:12845:1 gene:CCACVL1_04743 transcript:OMO96910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSLPSIPEIGDAVDIENLVKTQVAGMKSALMDDIRDLFNQFAVRDDMAREKLPTETPANSKQNTETTTSPQYNTKPPFYAYTPPPWNSPMPQNPYQYQPPLASTHRASLKQPPVV >OMO96911 pep supercontig:CCACVL1_1.0:contig07348:13074:26584:1 gene:CCACVL1_04744 transcript:OMO96911 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MMGSREGGEDKEDPPSEYHVEELVKPSDSNEQFSEVTSSSEQPIISMHAIYGTTGFQTMRVCCRIKHHKLIILVDSGSTRNFIDGNATKAVGLKVDSRSKTEVTIADGTLVVTWGSCQNLTWHMPTVWDFSVLTMHFQHNGEWKLLQGLVLGHLQLSQTKQTLKQLNSMMVSNRHQPCTLMLAECSQSQLKLSAPDKVVMDLQQLLDKYSGIFEEPRGLPPHRPQDHRIPLKDESKTVKIRPYRHPNIQKNEIEKMVKEMLESGVIRHSSSSFASAIVMVKKKNGSWRMCVDYRQLNQLTIKDKFPIPLIEELLDELIGAKFFTKLDLRFGYYQVRMHTEDVHKTTFKTHEGHYEFLVMPFGLTNAPSTFQSIMNALFKPYLRRKGSSNMVADVLSRKPVPPASLMAISSAKTELWDKIQASWEKDERLQKIIQNLRGGVFSNSKYTWTRGQLHRKGKLVVGNDKELKKELLLYFHSSPFGGHAGNQATLSRISSVLYWKGMRKDVRNMVKECSICQRHKSEHAAPPGLLQPLPIPTKIWEDISMDFIEGLPKSGGKDSILVVVDRLSKYAHFIPLAHPYTAIDVAQAFMNQIFKLHDVKLETSTAYHPQTDGQTEVVNKSLESYLRCMISEKPKEWSFWLPLAEWWYNTNYHSSLNSTPYEVVYGQKPPLHQPYLAGSSFVETVDRSLSAREAALNVCKFYLSRAQNRMKQMADRRRTEREFQVGEWVYVKLQPYRQQTVANRSCLKLSAKYFGPYQITKKVGELPKAVSELPMLSGDGTLIKEPISIVYRRMVKRNNATVTEVLVNWSNSFPEDATWEVCKLEGKALNGSIPAGLIDRINKGLLQLKSLEDDFKVEIGGLRSLMVECPDDKDMLDMATEYLGQALEEKKRLQNLLLKSLLPRDDADERDCILEVRAGPPENGEHNDGDLGDNNQDVQEDNGGLGDVNADDGENVENANEVRDLGDLGGAEFVDINIDVGLGSAEEALGLQDEDQGEVDDKNGDVEEPDSDYDSDVSSYHDSSGFGKIESDPEEVVVEETRRKRKYPLYDENVAYPFIDYGMVYLNIAQFKRVVSLLAIKTRRAIKFTKNKPMAVQAATSSTVFSTPITATTTNNVSTTSKSVSKKKTKPVSRGREPGYNVADDENIVMMFGAGLAPILGQRLLILKSAFQRLLIRFKRSSGSKVMAKIQKGRQIWKQVEKLQGEDTHGCWVMRAWHDPRLPLDAHVDGLCAQGIALSPHVASANVLAGGMSRLALGVPCGLPRDPCTPVL >OMO58744 pep supercontig:CCACVL1_1.0:contig14176:31579:32262:-1 gene:CCACVL1_25379 transcript:OMO58744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAKSPCAACKILRQKCTQECVLAPYFPPDNPQKFATVHKVFGKSNVAKILNELSVSQREEAVNSLSYEAEYRLRDPVYGCVGLISILQQRLKQLQYDLHFAKKELATFVPPHHQALLSIFTQIPPPILPQDVGIGHSISPSCSSSSGAMPLMGISSDPYRFEGTGSSFDNPSYQIQTRDHDPHVHGGRSFNQALVLPQPQQGQQAHQSQQQRSGRHDDRSFDSSC >OMO58742 pep supercontig:CCACVL1_1.0:contig14176:25931:26229:-1 gene:CCACVL1_25377 transcript:OMO58742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMNGKDKMTKTMNVKEEMKEEAYDYYKRYAYQKGFSVRKGATKKSEKDGNVIGRRLFCAKEGFR >OMO58745 pep supercontig:CCACVL1_1.0:contig14176:35977:36582:1 gene:CCACVL1_25381 transcript:OMO58745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGHITQDNLVAVPAAAVWEAYSGLQLGKLVDKLLPDVIGRVEVVEGDGGVGTLVKLTFPPGSPGSGYMIEKFIKNDDVARVKETELVEGGYRDLGFDFYRIRLEIIEKDSESSIIRSSVEYEIDDKLAELASHVNTKPLEIMAETIGKHLSEKKTAQTQ >OMO58741 pep supercontig:CCACVL1_1.0:contig14176:9516:24162:1 gene:CCACVL1_25376 transcript:OMO58741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLYALDFDGVFCERYGESSLFAVKNKEEPKWKAPEDGWINLNVDGAYDISNQNAGIGVVARNSCKNGVLAPPLEGRGSESGASFRFPSSSKSPNPLIQPRAAVAFEKFCSALASGPVHELLPELAMA >OMO58746 pep supercontig:CCACVL1_1.0:contig14176:48992:49969:1 gene:CCACVL1_25382 transcript:OMO58746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIISPLMLLVLHFSCNTFYIGTALDTITPSKSIKDPETIASSSEAFKLGFFSPANSTNRYVGIWYTKGIPKESLIWVANRDKPLKDESGVVTISKDGNLVVLNGQKELLCSSNVKNPVTNASAQLLDSGNLVLQDINSTDGVRLWESFQQPSNAFVPTMKIRTNVRTGEKVQLTSWKGSSGPSNGSFSIGLGTLSIAQIFIWNNTMPYWRSGPWNGQNFLGNVIILSFLMESIWWMIKKVVFTLPLGLQTSLLSHIFSWTHKENLLDEFGMMGKGIGTFGGLLRKLSVIFMVKCGAFGSCKPSKPSICSCLRGFVLVFHGHKRR >OMO58743 pep supercontig:CCACVL1_1.0:contig14176:31096:31182:1 gene:CCACVL1_25378 transcript:OMO58743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLESVVIPTDSSLWNRHSSYTAETLR >OMP08272 pep supercontig:CCACVL1_1.0:contig03904:437:5546:-1 gene:CCACVL1_01137 transcript:OMP08272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNDEDSCESFDSVAAAPNNLSEIMTVKRLLIANRVATELPKRKRDTKTKKWSRISQLDQENY >OMP10678 pep supercontig:CCACVL1_1.0:contig02047:441:1136:1 gene:CCACVL1_00823 transcript:OMP10678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFLNGRRIAELKERQCQAAVQDVMYMLVFYKFSEIRVPLVPKLSRCIYNGRLEIWPSKDWELESIHSVEVLQMVREHVSTVIGLRVNSSVTDNWATTEIQRLQLGRVYAASILYGYFLKSACSRHQLERCLALAHQKGLNQRNSLQFPDLLPCGFKSLVFGHASDMQSVSLGQGLGRQEKNIEELRGYVMGFDPETLQRCAKLKSKEAVNLIERHSSALFGDERTGMLDTDE >OMO84615 pep supercontig:CCACVL1_1.0:contig09732:22347:28185:-1 gene:CCACVL1_10743 transcript:OMO84615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLGKIRFFHGIVNVVDLASEKAT >OMO84614 pep supercontig:CCACVL1_1.0:contig09732:1601:2095:1 gene:CCACVL1_10742 transcript:OMO84614 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MATTSDESKKITLRTADNQEFEVEEAIAMKFVTVKTFFEENPEACEEAMPLPNVSAKCLSDIIEYCKEHLKKGDEAAETSFDEEFVKARDNETLKEMILGANYLNIKDLLDMLNQAVADRIQNKSVEYVRRFFGIDNDFPPEEEARLRAENAWAFEGVDPDDDA >OMO84616 pep supercontig:CCACVL1_1.0:contig09732:30091:31458:1 gene:CCACVL1_10744 transcript:OMO84616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSTKLLLRYGNRSQNLLCSLRNVIFTNSIQIASVSTNAVTKDDYFAAIHHISNLVRREVHPERTLNRMNISVNSELVYRVLRSCSNSPNESLRFFSWARSHYTPTSVEFEELAKILICHRKYESMWKTIQQMQKQSLSPSCETLSFIIEEYGKNGLIDQAVEVFNKSTSLGCKQTVSLYNSLLFALCEVKMFHGAYALIRRMIRKGEVPDKRTYAVLVNGWCSAGKMREAQEFLEEMSNKGFNPPVRGRDLLIEGLLNAGYLESAKKLVRKMTKEGFVPDVGTFNSLVEAICKSGEVDFCIDMYHSVCKLGLCPDINTYKILIPAASKVGRIDEAFRLLNNSIEDGYRPFPSLYAPIIKGMCRKGQFDDAFSFFGEMKVKGHPPNRPVYTMLITMCGRGGRFVEAANYLVEMTELGLAPISRCFDLVTDGLKNCGKHDLAKRIEQLEVSLRGV >OMO91211 pep supercontig:CCACVL1_1.0:contig08370:28468:32661:1 gene:CCACVL1_07192 transcript:OMO91211 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase MASTTASSAAEIVSKLNINDLDFPPNLAKNLHLFSPDQIELAKMLIEMGQSHVFQHWAEPGVDDDQKKAFFDKVAKLDSSYPGGLASYIKTARELLADSKAGKNPYDGFTPSVPSGEVLSFGDDNFIKFEEAGVKEAQNAAFVLVAGGLGERLGYNGIKVALPAETTTGTCFLQQYIESILALQEASCKLTQALYGTSQKEIPFVIMTSDDTHTRTLELLESNSYFGMKPTQVKLLKQEKVACLDDNDARLALDPHNKYKIQTKPHGHGDVHSLLYSSGILKIWRDAGLRWVLFFQDTNGLLFKAIPASLGVSAIKQYEVNSLAVQRKAKEAIGGITRLTHKDGRSMVINVEYNQLDPLLRATGHPDGDVNCETGYSPFPGNINQLILELGPYLEELTKTGGAIKEFVNPK >OMO50779 pep supercontig:CCACVL1_1.0:contig16055:275:370:1 gene:CCACVL1_30260 transcript:OMO50779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQAPLQTRQRATEVNNPHVSLAHSTPRQG >OMP11685 pep supercontig:CCACVL1_1.0:contig01031:470:535:1 gene:CCACVL1_00344 transcript:OMP11685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKVKGVGSPGKENPIFSHL >OMO79359 pep supercontig:CCACVL1_1.0:contig10431:37854:39739:1 gene:CCACVL1_13726 transcript:OMO79359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MLLQRWHRRLEERGKLPPGPRWWPVVGNIFQLCWAPPHVLFSKLARVHGPIMTLWLGSMSTVVIQSNEVAREMFKNHDMVLAGRKIYESMKGDYGHEGSLITSQYGPRWRMLKRLCTTEFFVTSRLDAMRGVRGRCIDRMVQFIEDASANGTKSIDLGRFFSLMDFNLIGNLMLSKDLFDPQSEKGANFFHHAGKVMELVGKPNIADFIPMFRWLDPQGIRRKTQFHVERAFEAAGEFIRERMESMEKGDCEGRRKDFLDVLLEFRGDGVKETRTFSSKTINAIVLEMFIAGTDTTTSTLEWAMAELLHNPRALKIVQAELRSNLSYGKKLEEEDTENLPYLKAVIKETLRLHPALPFLVPHMAMDSCQMLGYHIPKEKQILVNVWAIGRDPKTWEDPLVFKPERFLQPNTVDFKGHHFEFIPFGSGRRMCPAVPLASRVLPMALGSVLYYFDWILADGIKAEDMDMSERMGIALRKSVPLKAIPIPYKLVSMDCCI >OMO79358 pep supercontig:CCACVL1_1.0:contig10431:14036:14818:-1 gene:CCACVL1_13725 transcript:OMO79358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDSRPAAFLGHVDSQLLRGRVVGSVDSRPAAFLDHVDSQLLRGGAVANAIVSGERHGEANSRFLGVLVPTGKEAEEIVESRTGLITRRLREDSLNPEIADLVSGIGPIILGAGQGQKETSDLGLDFNPIGPLASNETGYKRCKSNSTDDFGPDIYSATEPVFQFQAAAEEKTSSPLFVLGSGSNQEAKTTRKWRKLARGSVQKPDNKQATQSATKEGKKRALMEVS >OMO70913 pep supercontig:CCACVL1_1.0:contig11773:17552:18844:-1 gene:CCACVL1_18582 transcript:OMO70913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLGWNGSEVMWNSQRNDMEDSFLIMSNSNSSIYGGKMDLPEDIFNPIQELQKVNADSFPASNTNMLQCQVLKSANWGNALTQEMWPSSSLMNRVYGSQVINGGATTAAAGSLESLDCLLSATNSNTDTSVEDDGISMIFSDCKKLWNFAASSSAVSSGESENNGSNTGSKDFNYCQVNELDETVSQSSSEYRCISNGKPVSQATKPSSSSKRGINDQSEFKVGLNSGYFNLLQTDTSATEGGFRLIPENPPKAKKARSEKRPCSSNINFQQPSSSVSSSIEEPDPEAIAQMKEMIYRAAAFRPVNLGLEIVEKPKRKNVRISTDPQTVAARQRRERISERIRVLQRLVPGGSKMDTASMLDEAANYLKFLRSQVKALENLGHNKLDPMSCPPPTTNLPNFSSLPFNHSLPMQTHFPLLNPNHIHHPQS >OMO70912 pep supercontig:CCACVL1_1.0:contig11773:7808:16304:-1 gene:CCACVL1_18581 transcript:OMO70912 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSFSLTVREDSCPCSVVIGYDGGSSSVVGDAQAMAISKAMPIFALRLDSDDESAEGSSTKVMIAKEWIGQDGEITWFSVLGKLFSKRTPHLEGFRAAMFQAWKPNMGMRVREVGEKSFLFEFEDGVDRDRVLVTQPWKFQRSLLLLTEFDGLDQPEDIVFDVSPIWVRVFGLPPGYMTDMIGLAIGESLGRVQDVDMDDGRYIRLRVDLDIYKPLQKGTTVTTPEGEEKENKFRYKKTPDLCFVCGFLTHQEPDCPMAFEQIRRHRFSVKKYTTDIKAETPIVTPRGGGRLTSQTFSVGSGSNSNAFSLIRRSIMECGGYRRGDQAFLPQTSATRRLEPLFRDHVDSRDIRGKQVAHGREEVERSCEIISRFPEKQLEQRTTRLEDGEVSSRRVEVEERGIRSLNGGVVGNRGPVVGDVEGQSLAHGKNVVNTVGVGLNVGGVENWAENTSLGVEGYSPTIPGIGSGVAIEGVGLMSGQGTLPLGHPGAVSSFPATGTSQGDIRAILANLAASPSFVFSAGVETSKEQPRKWKKLARVASKYESDGRCQNPSFQPGVKRRVPGGCEMEVDDTSMAKRSRELEEGVAESVGASVAVEDTGRELEADKHLPAAETASEAKTKCSSSEMDWIRSRLGFDSCFSVPCIGRVGGLALLWMNIDVVSLLSYSHSHIDVIIGSDSLSWHFTGFYGRPETSHRHESWRLLRTLNRQSDLPWLCAGDFNEIRSLDEKKGGSIRPISQMEDFNSVIDDCGFQELPVQGPLLSWSRRQNGEMVFERLDRCLVTEAWWQRFGYSVEKHLITRASDHLPLLILISDKPIIDITVPPFRFENMWSSHPLFASVVTQSWQASNAANINIKIARCGRDLELWNRRVFGNIRFNLTRKKREYEELYSKGDLVDLCQFERCKDELDQLYKQEEVLWRQRSKALWLKEGDRNTRYFHSVASIRKQRRTITGIRDGQGTWFTEPAAVEKVITAYYEDIFSSTKPTVESINKVLEPMDQRLNEDMRSILDVDFTPAEIKAAAFQMEGEKAPGPDGMTPRFFQSCWSTVGEDVISMSLAFLNEGKPLPDINDTNIVLIPKINSPEFVKDFRPISLCNVIFKIISKALTNRLKKILPMIIGENQSAFVPERMIFDNVMIAFEMIHFLRNKRHGRKSHMALKLDLSKAYDRVEWDFLEACMRKMGFSDMWISRVMICVRSVTYSINVNGKQCVKFSPQRDADTQGIIQGVSVARSTPRVSHLFFADDSILFLRASRGDSDGILGILKDFEVASGQQINIDKSSVLFSANTPPLVRVSIMSHLGVQRILERDKYLGLPIMIGKSKSREFLYIKDRLQQCVQRWNNRLFSIAGKAIMIQSIAQAIPVYLMSVFRFPRSFIHELNMVIANFWWGMKDRRKRIHWKSWESLCVSKADGGLGFRDFEAFNLSLPSKQCWRLINNPSSLCFRVLRAKYFSSGQFLNTRLGSNPSFLWRSLLAGRDVLVEGSRWRIGLGDLDVWRDRWLNNSPSYLPTPREGVAQAPMKVADLIDFEARQWDEDKLLNLFEDEDIVRIMCLPIPKALTRDCLIWNDSELGSFSVRSAYYVARQILGRADGTRTDRVPIWNRLWHLLVMPKRKYFVWRVAWNILPIKPVLIQRGLQIEDICDVCGGRETSLLHIFFDCLFSKQVWSFSCPWVEQSVADWDGESDFWFYFLSKSSRLGQLDRVVNTLWLIWQNRNKCLYSSTCRLPRSVDIAAINLSKQFQLATACDSHRSSISHGSKTWTAPPVGVIKLNVDASFSVETNEAGLGVVARDSGGAVLVSAARRLLFVSSPLYAEVHALLFAFEVALEYGYNSCILESDSLIAITGINSSKPCWWEEGELIYEIRELALLFDECCFIHVNREANVLAHRLASLRIDFVRCGSLPPDVCNSNLSN >OMO53563 pep supercontig:CCACVL1_1.0:contig15185:12922:13632:-1 gene:CCACVL1_28543 transcript:OMO53563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHKLALMSLNIVSPKTNNPNEVSKSTPQENINSEGVQQNKDDMTKLLQPEKQDTDDDSAKESSIRIRNVGKLHGSCMNSSATSGQESWVEKEVHQMGPNEWLDSEIKRLSTKILQQQSSHGGVELIRTTSGENLNGTIIDNDDGAVQKGAEEKVEERDGALGFDDHQEDWLHWDFASASAGEIFDHHKYFHDDHDHDESIDDDDQWKLLWDDSDKLLLCWLRDDGNGNGDEAAG >OMO53571 pep supercontig:CCACVL1_1.0:contig15185:51392:54172:-1 gene:CCACVL1_28552 transcript:OMO53571 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MVMESRDIDDLPKNAANYTALTPLGFLERAATVHPTRKAVVHGSRSYTWLQTYERCRRLASALSKRSVGVGSTVAIIAPNVPALYEAHFGIPMAGAVVNTVNIRLNASTIAFLLGHSQSAVVIVDQEFFSLAEDALKIMKENSQGNFKSPLLIVVADESCDPNTLRYALGRGAIEYEEFLKGGDPEFAWQPPQDEWQSIALGYTSGTTASPKGVVLHHRGAYLMSLSNPLIWGMQEGAIYLWTLPMFHCNGWCFTWTLAALCGTNICLRQVTAKGVYSAIAKYNVTHFCGAPVVLNTIVNAPPEDTILPLPHVVHVMTAGAAPPPAVLFAMSQKGFRVTHTYGLSETYGPSTVCAWKPEWDSEPPETQARLNARQGVRYIGLEGLDVISTQNGKPVPADGKTIGEIVMRGNVVMKGYLKNPKVNEETFANGWFHSGDLGVKHPDGYIEIKDRSKDIIISGGENISSVEVENSLYLHPAVLEASVVARADERWGETPCAFVTLKPGVDKSDERRLAEDIMKFCRSKMPAYWVPKSVVFGPLPKTATGKIQKHVLRAKAKELGPVKLSKL >OMO53559 pep supercontig:CCACVL1_1.0:contig15185:1906:2772:1 gene:CCACVL1_28539 transcript:OMO53559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICLKGHDTRAGSLCLTLQTRLSVSHECLSSVYIHSETHKQLCPVVLDMDVTLLDQIIFQFLRVFLSVCMAEFLYISLHFQTIHQQATSMSGAAENINVGDEVQGRNDKQSSSSSSSSSSTLKLFGFPVTNYEKVPEIDSVNYPAGDYKRFECQFCHRGFANSQALGGHQNAHKRERRAKQASFFSDHHHHSQRFVTSGPMITAHSARSRRLIYARGSPSMAPIRGLSAAAAPSLPMLLPAATQRASHYCPFQAQTQHVMAASTSDSSVASNVRNDQPDNIDLHLRL >OMO53566 pep supercontig:CCACVL1_1.0:contig15185:25073:28920:-1 gene:CCACVL1_28546 transcript:OMO53566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLSSLGSRSIPSLKNLSNSFQDTTVFYQSQFKYHSPAASPSPSPSPRGNSPINHRYISQILSRDDWLLLLKYELKAKRIVLSPQFIVSVLQNQENPLYPLRFYLWASSQNPLIGKNRLIKGALASSLYRKGPVLLSVELVKDIRNSGHKVTENLLCVLIGSWGRLGLAKYCAEMFGQISFLGVSPSTRLYNAVIDALIKSNSLDLAYLKFQQMSADNCKPDKFTYNILIHGVCKAGVMDEALRLVKQMEGLGYPPNVYTYTTLIDGFCNARRVDDAFRLVKTMKKRKVIPSEATVRSLIHGAFRCVAPRKAFELFIMFLEKEPMMQKLACDTLLYCLSSNSMAREAALFMKKLAERGYLPDNSTFNLTMACLVKGLDLDETCQILNRFTEKGLKIGFDTYLALIQALNDIGRYAEGDQYLDQMTKDGLLSNVFSYNMLIDSFCKSSMMDRARKTFTEMSLRGIAPTLVTFNTLISGYCKIRHIHQAREFLVMLLEYGFDPDIFTFNSLIDGLCRANMTGDAFDCFTEMFQWDLTPNDVTYNILIRSLCVRGDVSSAMKLLRKMQVSGLSADIFSFNALIQCFCKMKKIEKAEQLFVSMLTLGLNPDNHTYGPFIKALCESERLDEAIRMFHSMEAKGCIPDSYTCNLVMESLAEKGCLEEARDIAKRCNERGKAADEGLPQTGAGIGDPAQIVAKALLCFNDKYIYSSCEESYRLTATGNLDVPPDYADSYCNGPCLSETHLVLNCIENIMTHFLFYNKATIQDIRDTIQAGCGYGPQRGHFNVEEHLEAEENSAIMAAARILFGIGSIIIGFALLL >OMO53564 pep supercontig:CCACVL1_1.0:contig15185:16014:16103:1 gene:CCACVL1_28544 transcript:OMO53564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEELFGSIKLEEYGQGGAGRAQGPHNPC >OMO53570 pep supercontig:CCACVL1_1.0:contig15185:47593:47673:-1 gene:CCACVL1_28551 transcript:OMO53570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTYEKELSSSFGQGRRKTHKSTDR >OMO53572 pep supercontig:CCACVL1_1.0:contig15185:62831:64486:-1 gene:CCACVL1_28553 transcript:OMO53572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 19, catalytic MESKFLVFLVIAIMLAVAHCQDEGAVKPKVKIVKGKKLCDKGWECKGYSQYCCNLTISDYFQTYQFENLFEKRNTPVAHAVGFWDYHSFITAAALYQPHGFGTTGGKLQNMKEVAAFLGHVGSKTSCGYGVATGGPLAWGLCYNKEMSPSKLNYNYGKIGEALKVDLLNHPEHIENNATLAFQTAIFQWMTPLKKGHPSAHDVFVGNWKPTKNDTLAKRVPGFGTTMNVLYGDQVCGQGDSESMNNMISHYLHYLDLMGVGREEAGPHEILSCEEQEPLSVSPSSATSS >OMO53574 pep supercontig:CCACVL1_1.0:contig15185:76806:80262:-1 gene:CCACVL1_28555 transcript:OMO53574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, SAR1-type RLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKRELDALLSDESLANVPFLILGNKIDIPYAASEDELRYHLGLTNFTTGKGKVNLTDSSVRPLERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWQDYYAKVDAVVYMVDAYDKERFAESKRELDALLSDESLAGVPFLILGNKIDLLDAASEDLLRFHTGLSNFTTGKGKVNLDGTNVRPLEVFMCSIVRKMGYGDGFRWLSQYIK >OMO53568 pep supercontig:CCACVL1_1.0:contig15185:34508:39840:-1 gene:CCACVL1_28549 transcript:OMO53568 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endosulphine MDGTQTLLVFSTPPAGKWSPTKQLAAEGKIKMADCKQGEEFYGSQEHEGTTAQKYGGLVPKKKPLISKDHERAFFDSADWALCKQGAAGVNQKSTVAIETLRPKLQGQ >OMO53567 pep supercontig:CCACVL1_1.0:contig15185:30898:32043:1 gene:CCACVL1_28547 transcript:OMO53567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDQVSLQRPLVALIVGVTGMAGLSLAESLKSPKALGAPWKVYGSARRDKPTSWFPSSLLDGYISFDAADADDTRDKLAPLSDEVTHVFWVAIQVRETEEANITVNSAMLGNVLNVLKSSPTSRLSHVTLQTGTKHYMGPIYDPSYPTPKPHEPPFVEDSPRLPYPNFYYALEDLVASYAPSLTYSVHRSSIIIGASPRSVYNTLLTLATYASICRHEGLVFRFPGTKYTWEHFGDMSDARLLAEQQIWAAVTPGAKNQAFNCTNGDVFTWKSLWKVVCDVFHLEFVPFDEYDKFDFVKLMEEKGKVWDEIVEKHGLCKTKLEEITCPAALSSVLYFDFQHVCSMNKSREFGFLGFANTLKSLGMWVERLREMKIIPSI >OMO53561 pep supercontig:CCACVL1_1.0:contig15185:6904:10237:1 gene:CCACVL1_28541 transcript:OMO53561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDKEGSKNGGGYVGGFFQLFDWTAKSRKKLFSNKSDFPERSKQGKRSDGNLPMTRLHLADEDEIGAGTSIKGSSDYSCASSVTDDDIYGAKAPSVVARLMGLDSLPTSSEPYSTPLFDTQSLRDAHFRSRNLNYHHDQQIIYPGDLFNKMEPPARNFMESKPQRIISKPIEKFQTESLPPKAAKTIPITHHKLLSPIKSPGFVHTKNAAHIMEAAARIIEPGPQAIPRAKMPLVGSSSVPVKVRDFKEKLEAAQKMPSVGSSSVPLKVRDLKEKVEAAHKSSRLVETNRRPVESNAAKYLKGQSLNKSWNGSTDTTSSRVSDTEEISSVLQSKGKSISLAIQAKVNVQKREGLTSSSSRSLLGQKDQSEVKSSQSFKSQPIAQKSLRKKPSTQNASGVLRQNNQKQNSIVDNSKLPSKSMSSNLHTRKVHSGDSSLGRQKTSGKAVGTSKTGSRKLGLASTDGEKGGPYSGSKNPRKKRSIDRDFHFDKNQVVDSMLVDKNQKEDHVTERNFSWVEDSKKKGVDVVSFTFTAPLTRSTETSAQIALRNNSICTDNRGKRLLLDTESMKLSSLGYNVIGGDALSMLLEQKLRELSNAVESSCQKSLNSEPGSTSTLFSPDPVHTPNSVSSTVPRSYDKVDSCHSSNLSSTDLQLLRLKHKFQGVDEMDECSSSQLDARQPSPVSILEPSFSTESYNSSDSTDSCSIEESMKTVNWEVEYVKLILCNVELMFKDFALGRAREIINPHLFDQLENRRAGLGSEGVESRLERKVLFDSVSECLDLRCRRYVGGGYRTWAKGMTMLRRNEWLAEEVYKEIAGWGGMGDCMVDELVDKDMSSQHGKWLDFEDDAFALGVDIEGQILNILVDEVIADLL >OMO53569 pep supercontig:CCACVL1_1.0:contig15185:40638:40697:1 gene:CCACVL1_28550 transcript:OMO53569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCKLCSPELIVCGASNV >OMO53562 pep supercontig:CCACVL1_1.0:contig15185:10984:11088:-1 gene:CCACVL1_28542 transcript:OMO53562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELEALCSHNPQKGGKVQPLNTFCSAVGTFIAT >OMO53573 pep supercontig:CCACVL1_1.0:contig15185:70425:76095:1 gene:CCACVL1_28554 transcript:OMO53573 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MDGGRSGRLVGAEIHGFHTLEDLDVPTMMEEAKSRWLRPNEIHAVLCNHKYFPINVKPVNLPKSGTVVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGQDIPTFVRRCYWLLDKTLEHIVLVHYRETQELQGSPATPVNSNSSTISDQSTPLLVTDEFDSAAGNAFYEGPGDSTVRNHEMRLHEINTLDWDELLVTNEINDSNVSRRDKNSCFDQRSEVAVNGFSNNGGPISAYNLSTEISSLGNLTEQVAQSNNAYINYPDGVCNQISGGQVYSIAQRRDSSAIGTGNPVDLLANDGLQSQDSFGKWVNYIMTESPGSVDDPVIESSISSGQDSFTSPEQIFSITAVSPAWAYSTEKTKILVTGFFNPAYQHLVKSNLFCVCGDVCVPAEIVQVGVYRCLLSLNSPGLVNLYMSLDGHKPISQVLGFEYRAPVLHDSMLPPEESRWEDFQLQMRLAYLLFSTSKSLNILSSKVSPNALKEAKKFAMKTTNISNSWAYLMKSIEENRASFSQAKDSLFEIALKNRLKDWLLERILEGCKSTEYDAQGLGVLHLCAILDYTWAIYLFSWSGLSLDFRDKHGWTALHWAAYYGREKMVAVLLSAGAKPNLVTDPTTQNPSGCTAADLASSKGYDGLAAYLSEESLVAQFNDMSVAGNASGSLQTSRIETTNLDNLNEDEVYLKDTLAAYRTAADAAARIQTAFREHSLKIRTQAVQSFSPEDEARHIVAALKIQHAYRNYETKKKMAAAARIQYRFRTWKMRKDFLNMRRQAVKIQAAFRAFQVRRQYRKILWSVGILEKAVLRWRLKRKGFRGLQVSAVDVTAEQRQDSETEEDFYRTSRKQAEERVENAVVRVQSMFRSKKAQQEYRRMKLAHELAKLEYESLLNPPYMKA >OMO53560 pep supercontig:CCACVL1_1.0:contig15185:3409:3828:1 gene:CCACVL1_28540 transcript:OMO53560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFKAANRTFGGEWKLERKGVYSLSSSPSTSPSPSSSSPNQLSSTDRSGSDPPPPPPPPAAALPTIRVTTYEHKNRYNTKNYNHYSSHNTWSVSNSELKRQRRVMRYKSYAVETKLKYSLVHGFRWMKTKYSQFVRGY >OMO53565 pep supercontig:CCACVL1_1.0:contig15185:17939:24591:-1 gene:CCACVL1_28545 transcript:OMO53565 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 MASFKNTISTLFQLSTTAPCLFLRSRTHIFYISLLLLSIVFLSTSLTERSPTALALALASRLRLAANYFSSVSSSNTCLVSDIQSNCTLSSVTIIETTPRNSVIDGSYAIRNLSSCDIFDGNWVLDDSDPPVYRPGSCPYVDDSFNCFKNGRVNFSYVKYRWKPFGCQIPRFNGRKMLEMLRGKRMVFVGDSLNRNMWESLLCSLRESLKDKTRIFEVSSRNELKNQGFHSFRFRLARSGYSSSSIGLGGKPANSSANEREKASIYREENNIVDLYIILLRYSSLVSETIPFHRDYQAFPKEKAAYRKRLIAVLDELEMLKPKVHQKIEELNRAPAGAQLLELDGHETTPYGSEKMSTLDWPNANRGSNMSLDTKQHANMAIQSTWKYNNDPNLIDKQFQKLSLNVPLPNKETLSRHSFLGPNGLRGQWLGPRAEIKVQYPSNMDLVPAENSGLNQAGKYGPLAVKDGDSGGFSSAMESVLSLDDGRWSHPAQESCPPLITEAREDPFQFVGIRQPTPPPVLAQVQQDYTPIPPSKVADPRPGPAKPCEDGSPNSSSYQHLHVPVHMMEDFLRLARANTEKNLETCAVLAGSLKNRVFHITTLIVPKQESTSDSCSTLNEEEIFEVQDKLSLFPLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDTSSPHGIFHLSDPGGVSIIRNCQQRGFHPHEEPEDRSPIYEHCSHVFMNSKIKYDVIDLR >OMP00417 pep supercontig:CCACVL1_1.0:contig06677:4193:4447:1 gene:CCACVL1_03351 transcript:OMP00417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYQTLLNYVCVRSSLELGALRGKGGKPLTKLDLKGKREKGPSANRLSLN >OMP00416 pep supercontig:CCACVL1_1.0:contig06677:416:2386:-1 gene:CCACVL1_03350 transcript:OMP00416 gene_biotype:protein_coding transcript_biotype:protein_coding description:cobalt chelatase subunit MGGWLTTELKLETRTLQEAGEVREANVEAWSQGVTSKDKVWRPKKKVDKGPVVTEDLEEAEQVDGDATMDDQFVEDTLILDDESDKTIEEGVSNAGPVEDGLVNLGEGEQPIDSRLPKPSASVTLVKPKEGVGKSQGLHPRKTSAFKSGGPKIKDVAISKVTSASAKKGVGGVGKTLKDRGR >OMP00419 pep supercontig:CCACVL1_1.0:contig06677:9767:12825:-1 gene:CCACVL1_03354 transcript:OMP00419 gene_biotype:protein_coding transcript_biotype:protein_coding description:actin-like protein MDDFAARTRESLPYLPAISLSTEVNGTLADTALIPPEKHYLRKKEEHSNRKMSPPQEPIRFEEEGMVCSFDQLNRCWTMFNSVSHSYWYGNGRRSAQIRPLACMAERRHKTKKKTRVTRIRCLFVFLELPLIDIELVPHMGEAVPSLHSGKRVARFGQGGLSFSKQGAGMTYRATHLLSVLQDQVWLDRGGLTH >OMP00418 pep supercontig:CCACVL1_1.0:contig06677:8896:9075:-1 gene:CCACVL1_03353 transcript:OMP00418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNVRLRIDTYGRDRRSSSGGTRCVKGLTRIVAVKRKEICKSKWLIYSLGARLTPELT >OMO97107 pep supercontig:CCACVL1_1.0:contig07283:5630:6310:-1 gene:CCACVL1_04644 transcript:OMO97107 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MCLIYYCCNLTLKLYTNVMRADLDRKISGILSIIVQDEGDSFAKRAEMYYQKRPELIQMVEDLHKSYRSLAEKYDQLRSDNVEGKELMNGKSDNSHSKSTCAEEDSSNKSCDEINYNNHIEKKNGIEQRDKSDDDEDSHEAAMLENEKLCKELWLEVSELTRRNDEKRETIRDLTSKANMLHEEHEFTNGKHSPLLTHHHNSNQVDKKNLSKLSRLKRLLLGNKTN >OMO62460 pep supercontig:CCACVL1_1.0:contig13263:19125:19740:-1 gene:CCACVL1_22817 transcript:OMO62460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFCSENGSPLKKGKNKQPFKKQRFEMRDMPPETIDDLKVRYNSLSNLVKDDIYRNVEKKLIHHRPIDPKTKQPIQARNRDAIRNSFRTMAFSSKYERMAQKADLNDSYCLQELVQKIRSIADDLRLLKSGEEMYLELLESGAGEEEESSEEEEEESAGESKEESE >OMO62459 pep supercontig:CCACVL1_1.0:contig13263:1486:1599:1 gene:CCACVL1_22816 transcript:OMO62459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLVATLILPNAFAARGITHPFAKVRKDDHCLLFV >OMO62461 pep supercontig:CCACVL1_1.0:contig13263:24967:26510:1 gene:CCACVL1_22818 transcript:OMO62461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVFQQADLFGYNSKDLYSLLGGNWSYDFGLEEDENQEEKICLEYFPDNQTISSETNSTFLHHHHHGDQWTSSSSPTSNLQVPSQPHFNDATNNTTGSTSCDPSSAAAMDTSTNNRPKRRRSKTRKNKEEIENQRMTHIAVERNRRKQMNEYLSVLRSLMPESYVQRGDQASIIGGAINFVKELEHRLQLLSAQKEVKERSDGGNNGGSSSPFAEFFTFPQYSTLGDHHHQHNSISMNETVVDDQTSQSAIADIEVTMVESHANLKIRSKTRRPGQLLKMVSGLNSMRLSILHLNVTTVDQTVLYSLSVKVEDDCKLTSVDDIATAVNQLLGRIQEVC >OMO69002 pep supercontig:CCACVL1_1.0:contig12139:11669:12295:1 gene:CCACVL1_19695 transcript:OMO69002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLQDFETSNSHALAPNFPTNLTITTALIPTTNTNPSAAANSSATSSPSSSSTSPSTLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTQLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEEHGGKPEANPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPPQAPPLPPPSNGNQNQ >OMO69011 pep supercontig:CCACVL1_1.0:contig12139:60301:61497:1 gene:CCACVL1_19704 transcript:OMO69011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTDVTEAELLAEEATNSDPWGPDAKTMTKISEASCYVDDYWRIVYVLHKRLDIIDWKQWRQSYKTLVLLEFLLTHGPADFAEEFRCDIDVIEELGTFRHVDEKGFNWGVNMQKRSDRVLELLEGGETLRRARLKALKITKEIQGFGSTTSNSPSSASSSSNSSRASSFGSFSTNSTWNIDINEVLDHHKFGSPTKEPIDHDEKFSRLHLWDCPPIQEKGSLLESEGDDNNNNYDEKSDGFISGICSKLGAISPSKSSTAAAAAVGQKVAFRSFSDAAKVTTNRLGRQNSVI >OMO69013 pep supercontig:CCACVL1_1.0:contig12139:73267:87096:-1 gene:CCACVL1_19706 transcript:OMO69013 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MGKKKEAEKERELVEGAEAKAGGVFRLDEVFQYRCRCNVFYFTHAKRPAYSSRAQQPEWISPTRDLSADDPATADAAAGGSSPNVELKDKFKSLILYHGEYGDMIVPKNGNWSMRENVILLDAVEKELKKTPLVRGDTTTRDDMFKNVFKNKVVRALAHKHKTANAYSKHFKVLANAAKTGCRKGSTFKDKDNNWREGCAVEGAVEGAEGVEPAEGLGNVAVKIYVNNAGGVFRLDEVFQYRCRCNVFYFTHAKRPAEYDDYGYNLAAGQYLAILSIGKSSSRAQQPEWISPTRDLSADNPATVDAAAGGSSPNVELKDKFKSLILYPGEYGDMIVPKNGNWSMRENDILLDAVEKELTKTPLVRGGTTTRDDLFKNVFKNEVARPLAHKHKTTNAYSKHFKVLANAAKTGCRKGAVEGAEGAEGAEPTEEAAEYDDYGYNLAAGQCLAIFSVGESSSRAQLPEWISPTSDLSADDPATADTAAGGSSPNVELKDKFKSLILYPGEYGDMIVPENGNWSMRENAILLDAVDKELKKTPLVRGGTTTRDDLFKNVFKNEVARPLAHKHKTGNAYSKHFKVLANAAKTGCRKGEGEVINPREKRQRQERQRKRNARQKKRQRQRRDLSADDPATADAAAGGSSPNVELKDKFKSLILYPGEYGDMIVPKNGNWSMRENAILLDAVEKELKKTPLVRGGTTTRDDLFKNVLKNLVARPLAHKHKTANAYSKQFKLLPNAAKTGCRKGGSYKPKGEEAKARTTEKKKRKAEEKAKAKELEKKKKDEVQPLRIRVTIGGKAVEGAVEGAEGAEPAEGLGNVAVKIYVNNCLAILSVGESSSRAQQPEWISPTRDLSADDPATADAAAGGSSPNVELKDKFKSLILYPGEYSDMIVPKNGNWSMRENDILLDAVEKELKKTPLAVEGAAEGAKGAEGAVHGAEDAEPADGVEPDMQRFLLHPCQEAAEYDDYGYNLAASQCLAIFSVGESSFRAQQPEWISPTSDLSADDPATADAAAGGSSPNVELKDKFKSLILYPGEYGDMIIPKNGNWSMRENAILLDAVEKELKKTQLVRGGTTTWDDLLKNVLKNEVARPLAHKHKTANAYSKHFKVLANATKTVIYPHWWRWGDYKPKGEEAKARMAEKKKLKGEEKAKEKEVEKKKKAEVQPLRIRITIGGKAVEGAVEGAEVAEPAEGGSDESDFDSVVVQREQIDELELWFFGVFDAQIGDGVSKYIQSHFFYKKPKESQINRKSKETMRKAYLGERAKLVTAYLGGYRAVVCGDGVAHQMSSKYHGGARRHWSRRLFPVHILVCDSSNAAAMRHPKSSELVVATEKVDSETEFIIIASTGIWDVMKNQEAVNLIRHLEDPQEAAECLTKEALTRMSKSNISCIVIRFD >OMO69003 pep supercontig:CCACVL1_1.0:contig12139:24009:27031:1 gene:CCACVL1_19696 transcript:OMO69003 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MRGRSDGGQKKRLITSVCVVAIFLGFLYAYYGSLFGSSGSGAAALEYGSRSLRKLGSSYLGGDDDTDSTKFGQEDGEEDVIPKTFPVCDDRHSELIPCLDRHLIYQLRLKLDLSLMEHYERHCPPPERRYNCLIPPPPGYKIPIKWPQSRDEVWKANIPHTHLAHEKSDQNWMVVKGEKIVFPGGGTHFHYGADKYIASIANMLNFSNNNLNNEGRLRTVLDVGCGVASFGAYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWREMSALVGRMCWRIAAKKNQTVIWQKPLTNDCYMEREPGTNPPLCRSDADPDAVWGVPMEACITPYTEHDQKAKGSGLAPWPARLTSPPPRLADFGYSSDMFEKDTETWRRRVESYWNLLSPKIEADTLRNLMDMKANMGSFAAALKSKNVWVMNVVPEDGPNTLKLIYDRGLIGTTHNWCEAFSTYPRTYDLLHAWTVFSDIEKKGCSGEDLLLEMDRILRPSGFIIIRDKQSVIDFIRKYLSALHWEAVATADSSSDSDNEGDEVVFIVQKKMWLTSESLRNTE >OMO69005 pep supercontig:CCACVL1_1.0:contig12139:35919:35984:1 gene:CCACVL1_19698 transcript:OMO69005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKFRHLRHNLLFSPLPLSL >OMO69007 pep supercontig:CCACVL1_1.0:contig12139:42349:45710:-1 gene:CCACVL1_19700 transcript:OMO69007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMELVGDVASLDADLLQLPELSPLALKSNPFLAEELFSIWLSLPETGRLVKNLLNDAKMGTSSMNATGNSTSMSISTTTLLPSMFPSASTPPLSPRSSSGSPRVVKQRSGPSSLGSPLKIVSEPVREVIPQFYFKHGRPPPNELKEQCLIAIDQHFFGRFGLQIHEFKAVTKDICKLPSFFSTTLFRRIDVNSSRIVTRDQFVNYWIGGNMLTMDLATRVYTILKQPDCRYLTQDDFKPILLELLASHPGLEFLQSTPEFQERYAETVIYRIFYYINRSGTGRLTLRELKRGNLIAAMQHVDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRVFSQVPRKFRSKVEGKMGYEDFVYFILSEEDKSSEPALEYWFKCIDLDGNGMLTPNEMQFFYEEQLHRMECLAQEPVLFEDILCQIVDMIGPQNKGYVTLRDMKGCKLSGNVFNILFNLNKFIAFESRDPFLIRQERENPTLTEWDCFAHREYIRLSMEEDVEDASNGSGDIWDESFEAPF >OMO69010 pep supercontig:CCACVL1_1.0:contig12139:56997:57590:1 gene:CCACVL1_19703 transcript:OMO69010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLGNGLNPISHANIMHGLNLIKEEPFTDGPIACRVCGQVFMNNAALFDHIEIHLVIDEEDARRKVLLSHMTPSQRALFTTLVDQPTAAGNGGGDNDLQSPSATAPPSSTSGESSSGNNNNKCYMPRPIRTPYGVIQVVYVPQAPAPQQPRENLVTRPLINLLDDHLFMDGMTRIFEMQMAAEDEVEPTVDLTLRL >OMO69012 pep supercontig:CCACVL1_1.0:contig12139:66474:72832:1 gene:CCACVL1_19705 transcript:OMO69012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSTRLDSVVFQLTPTRTRCELVISANGKTEKIASGLLNPFLAHLKTAQEQMAKGGYSIILQLEPNIDAAWFTKGTVERFVRFVSTPEILERVYTVESEILQIEEAIAIQSNNSIGLTAVEDHQVKPSESIEGSRATQDSNEEKAIVLYTPGAQPPEANGSAPKEGNSKVQLLKVLETRKTVLQKEQGMAFARAVAAGFDIDHMAPLMSFAESFGASRLRDACVKFTELWKRKHETGQWVEIEVAEAMSTRSDFSPMNASGIVLSNSENNGKAGVESSTGMAFSGPPMDQQTPGRQEYYQGQFPHPMFPPWPINSPPGGMPPFQGYPMQGMPYYPNYSGGSPYFQQPYPSMEDPRFNAGQRIHKRHSMDSRDSHTGSETWELERAKSQDDEELENDNSPSPKARKRSSRSGKKRSGTVVIRNINYITSKGQNASGSDSQSHSGSETDEEDGDSEHKNSRRSSRGKGSHTKSLDVLNSFDREENGKETDGGHWQAFQNFLLRDAEEGERRTGQDMFSEKEVRGKRRPNRVGEDPLLIGGREVGQYEEGTLDMHNISASGSRMPKAFNDQSLISGRAGHSADGYGLHSREIGGGRVYRRSINDDFITDRQQNQSDYRNSPLDPQAVNGFERSPNDLERRSSNNINDDSYIVPFRSTSVNEVGADNRNAINMDSEFSLAQQKAENESNRVGSQANYEPDDLSMMPERGAEMGSIGYDPALDYEMQVHAEDASNNKKNKEGMQGTKVSDKDRKSKLTATTDKKKAVGPMRKGKPSKLSPLDEAKARAERLRNYKADLQKMKKEKDEAEMRRLEALKIERQKRIAARGSSIPAQSSAPTQGRKQLPAKLSPSSHKSSKFTDAEPGSSSPLQRSIRTLSLGSAGSQKTSKPTKLNTGTHSSGNRLTRSVSSLPETKKDISSVTPEPKASMARIRRLSEPKTSSSPHVSSVKSRNSEPSSKTKVSGGPEIKKISAIMNHDKSKIASLPELKIKTNKAPDVTPSKSGGDEMTQKVNGSISSISSATEPSRNKDKVSVDIDGDDSTVIEKTVVMLEPEKPFIPTVNSLEGTTGLKKERDGIYNIRKKNEMVPEYAAIRAPVSSLNVDALDKEQQVQQRPQAYEVQKGNVNNTEKESSKFTSSSVSEKPYQAPFARNSSLEDPCTEVSEYGRAPPTSIHTAATESENVRALVSETKNTKLEKIPEVLDKPQVKDSSKGFRRLLKFGRKNHSSAASEHNNESDSVSVNGSEADELGANTTSSTEVHTLKNLISQDETPTAGNTPEKSSRHFSLLSPFRSKTSEKKFTA >OMO69014 pep supercontig:CCACVL1_1.0:contig12139:90740:91346:1 gene:CCACVL1_19707 transcript:OMO69014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLIVQAPLLGTHTCRRMIIHQVQRQERRAADQSHFGSETDEEDDNSEHKNARRSSRGKGNHTKSLDVLNSFDREENGKETDGGHWQAFQNFLLRDAEEGERRTGQDMFSEKEVRGKRRPNRVGEDPLLIGGREVGQYEEGTLDMHNISASGSRMPKAFNDQSLISGRAGHC >OMO69004 pep supercontig:CCACVL1_1.0:contig12139:30218:33826:-1 gene:CCACVL1_19697 transcript:OMO69004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALDRAAMLDTCPLPYVLTGCRRQPTTLLLLHYNPHMAVTSSYNNPLTSHHVSFDLSLDNPIMDDRL >OMO69016 pep supercontig:CCACVL1_1.0:contig12139:98483:101658:1 gene:CCACVL1_19709 transcript:OMO69016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-binding, alpha-beta plait MAIARLLLPRPGASHFHNRGLRAAKEEPKKETGTWFKGILTNIKQKMLGSPKPQKTIAGETSDSKADITASEMTQNSPHDTKFPVSQSVIKSPEVGTGSPGGIEGVSSCSTTHTIEENAFEGVVVTATSEVGKSKSLASGATVEKSSLKMDPSGKISDIIAEKLKDDVKQVGEAMSNELVDCDKERKPCVGDLLGFTQRINEKPKTLSEDLSSIENMSHLHGKISASSVQRSHASTNSSKKCILSNSLGAKDLTSILQRAKNNAATGEVADKLHAALAPTEFSTGKKVEEKVEFNDLIKSIKGLPGGLSTIKAREITISSVTDQVSTEGFLKDVQNQAKLHNSDVKRIDTSLQQHQKSSEKKTPLDKKEESSIPLDSVSEQEEGSRLNYANSDHGKSGPNNHGALPTSKNKLKSSPHTYSEEGSKGNTVLIRFLPQNIGKPKIREAFSDCEPIVNVEEVSSTKGSIFKDALVHFETWEGYQRALKKDLYYRSCQAFVDATYSECMDDTISIPDLIGDPEAPVALVKNPTRTVKVEQLSEDISSQQLKEALAFCQSGISSFLLGSTSSVVYVEFETEDDKERALAKHSIHVSGKELPIIRIDAPRTTVARISNIKPDTSVQKICSSYGKVVLSKRRANGIVDVYFKLAEWPNMLNIINGLNGLEVNKSRWLAQPAPVFPPEVLCALWNRPEERRHVYSVMCNLLRQLGEPIITTEFSDLVTKYHGKGF >OMO69017 pep supercontig:CCACVL1_1.0:contig12139:102488:103953:-1 gene:CCACVL1_19710 transcript:OMO69017 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14 MPFKRYVEIGRVALVNYGKEYGKLVVIVDVVDQNRALVDAPDMVRGQMNFKRLTLTDIKIDIPRVPKKKTLIEAMEKADVKNKWESSSWGRKLIVQNRRASLTDFDRFKLMLAKIKKAGVVRQELAKLKKETAA >OMO69008 pep supercontig:CCACVL1_1.0:contig12139:46636:46728:-1 gene:CCACVL1_19701 transcript:OMO69008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGPFDHSVRLPPVFGEIKAAMPVKLVYD >OMO69015 pep supercontig:CCACVL1_1.0:contig12139:92356:94097:-1 gene:CCACVL1_19708 transcript:OMO69015 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MGLKDLHLKLKAFRVRRFRIGEGGSKRKSENGIKKKPSWMMPISHGYHVMEHKSFKGGSDESDFDSVVVQREQIDELELWFFGVFDAQIGDGVTKYIQSHFFDKKPKESQINRKSKETMRKAYLGARAKVREAQKEDETLQVGSASLMLINGEKLVTAYLGGYRAVVCRDGVAHQISSKYNGGARRHWSRRLFPVHILVCDSSNAAAMRHPKSSELVVATEKVDSETEFIIIASTGIWDVMKNQEAVNLIRHLEDPQEAAECLTKEALTRMSKSNISCIVIRFD >OMO69009 pep supercontig:CCACVL1_1.0:contig12139:47567:51566:-1 gene:CCACVL1_19702 transcript:OMO69009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHIIGGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLESVKTKHPQLHYESKLYVLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKFSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKGYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILRYPQVGSSSRARQPNPKPGLNPPGVSAERTERPSVGQEIRERFSGAVEAFARRNGTGHGLHGDQPRFRSSDDVPSSKDVQPDSERARSSSRNGSSSKRAVVSSGRPGSSGEPIDNRASRLVSSTGRLSTTQRVQPGFESKSSTFTRTAATRGGRDDALRSFELLTIGSGKRK >OMO69006 pep supercontig:CCACVL1_1.0:contig12139:37252:40846:1 gene:CCACVL1_19699 transcript:OMO69006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARSWFNKLKSKDKLRPSKKKEAAGNAKEGSKPPTGEEAPSNVTKQRVAAAKQYIEDHYKKQMKSLQERKERRNILEKKLADAEVSEEEQNNLLKYLEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRICREKATSHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSMATNLSGALQSDGQPAAPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFKGVEWDKLYQMKAAFIPEVNDELDTQNFEKFEEADNQIPTSAKAGPWRKMLSSKDINFVGYTYKNFEIVNDNQLPGIAELKKKSSKPKRPSIKSLFEDESAEAASQPVQGSFLNLLPPQREVPENDSRK >OMO99483 pep supercontig:CCACVL1_1.0:contig06885:13314:13568:1 gene:CCACVL1_03787 transcript:OMO99483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNSSVVVTVANISANLCQYIACNPERLSSDQVLHLLCCLPLRHLCRLLDSLWLYLCFAPPHHPLSDSDDDDYGSSHSHSHSD >OMO99484 pep supercontig:CCACVL1_1.0:contig06885:14614:16404:-1 gene:CCACVL1_03788 transcript:OMO99484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPIKEQQPSDAPVSGSAAKSKLRYPLRSSMKSREEKPLPGDISNRSSSRRGRTTASVSKSVGVLDLSKEKSGKPPRRLSIPTKSTVTPSPKLIGTITPISEARPKKSSNSHSTSDTPLSVASRKRFSRLSSVDYWVMQIKLSELASKHSVSLGFFKLALEAGCEPLEKMRDELRSYIRRHNLGENGEAVKELLESYNISQNSNQPQVSETCSQVPEEGTRSSDDEVHSVSSAVGSTKLKPKSLNTDASESAKGATPKNNPATRSRALNKNSSNSRPVLDTQNRKLQNKTQKTTKLEPAKGKDKTKKQGIKSANEEGKSVDKSHV >OMO99482 pep supercontig:CCACVL1_1.0:contig06885:5994:12603:1 gene:CCACVL1_03786 transcript:OMO99482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGDLALPEATLGAKHESIC >OMO60332 pep supercontig:CCACVL1_1.0:contig13737:310:609:1 gene:CCACVL1_24233 transcript:OMO60332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALVGLVSAADTAAAKPKDAAATAAPVAEDDAIGTIGSDAAAGAPAAGDAVEGPIGSVEEALKHAVSAPGPSGAAALATASAFVGATAAAAVAGYFVL >OMO60334 pep supercontig:CCACVL1_1.0:contig13737:9495:14626:-1 gene:CCACVL1_24237 transcript:OMO60334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MDPTSRPAVVIDNGTGYTKMGFAGNVEPCFIQPTVLAVNESFLNQSRTSSKANWLTQYSAGVMADLDFFIGDEAFARSRASNSYNLTYPIKHGQVDNWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGATHVVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGEKIPPEDSFEVARKVKEMYCYTSSDIVKEFNKHDKEPAKYIKQWKGIRPKTGAPYTCDIGYERFLGPEVFFSPEIYSSDFTTPLPAVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVLASDARHGGDIKAQPVEVNVVSHPIQRYAVWFGGSVLASTPEFFTACHTKAEYEEYGASICRTNPVFKGMDEGVIPVATARMQSKLLLAGLANTCGSDGASNFEENL >OMO60333 pep supercontig:CCACVL1_1.0:contig13737:4240:6261:-1 gene:CCACVL1_24234 transcript:OMO60333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMAEEQIEFGDEEYGGAQKMQYQGSGAIPALADEEMMGEDDEYEDLYNDVNVGEGLLQLQRSEAPPQPVGVGNTGLHVQKNEAPERTSEAGGSQGLNIPGVSVQGKYPNVGARYPQQEGHPAVNRPEMGSGTYPSGSTLSQKGSVMEATHDAQVKVMGFQGIPSASHKVGIDPSGVPQKIVNNPAQSLNSGANGPQGAPQAPPNQMGIIVNHPTMNENQVRQPIENGPTMLFVGELHWWTTDAELESVLSQYGRVKEIKFFEERASGKSKGYCQVEFFDPASAAACKEGMNGYMFNGRACVVTFASPQTLKQMGAAYMDKNQSQPQAQTQGRRANDGLGRGGNMNYQSGEAGRNFGRGNWGRGGQGGANRGGGGGPIRGRGAVGVKNMAGSSAGIGNGAGAYGQGPVGPAFGGPAGGMMHPPGMMGAGFDPPFMGRGGGYGGFPGPGFPGMLPSFPAVNAMGLAGVAPHVNPAFFGRGMAPNGMGMMGSTGMDGPHAGMWTDPNMGGWGGDEHGHRTRESSYGGEDGASEYGYGDVNHEKGRSSVASREKERVSEREWSGNSDRRHRDEEREKERVSEREWSGNSDRRHRDEKERDWDRSEREHREHRYREEKDSYRENRRRERDMEYDDDWQRGQSSSRSRGRSHAVPEEERRSRSRDVDYGKKRRLPSE >OMO60335 pep supercontig:CCACVL1_1.0:contig13737:23862:29925:1 gene:CCACVL1_24238 transcript:OMO60335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein MNPLCCIAPVSIDRDRANPVVTKSGPQCQLSIETSIKTVNYSSKPSFSSQVSSINADTDKSSPSLTNHASAAGLFDESIVGDAASVHGVAGILYKWVNYGKGWRSRWFVLEDGVLSYYKVHGPDKLVMSPTREKSVRVIGEDSVRYIRKANWSSNRVNSAATQCKPFGEIHLKVSSIRASKSDDKRLTIFTGTKTLHLRCVSREDRTAWIEALLAAKDHFPRVLSSNDFQTSEDVVISTEKLRSLLLQEGMGEAIIKECESIMLSELSVMQNKLKALQHKHILLLDTLRQLETEKIELETTVVDETKERESYCGQGRRFSDFYSVMSEGSATDSDADNESQDGADVETDEDDGIYFDTNDFLSSEALRSASYRCRDTGNGSIYDKDGFFSDRFCAPEKEIRMIQYPYVKRRDNLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDRALEWGKQENDLMRILNVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGVLTLQFEDGETFQWSKVTTSIYNIILGKIYCDHYGTMRIKGSGNYSCKLKFKEQSIIDRNPHQVHGFVQHNRTGEKVAMLVGKWDEAMYYVLGDPTTKPKGYDPMTEAVLLWERDKSVTKTRYNLTPFAISLNELTPGLLEKLPPTDSRLRPDQRHLENGEYELANAEKLRLEQLQRQARKLQERGWQPRWFQKDEGGCYRYVGGYWETREKRKWDGIPDIFGQSSSSDSPSCLVEE >OMO94520 pep supercontig:CCACVL1_1.0:contig07848:36940:43800:1 gene:CCACVL1_05950 transcript:OMO94520 gene_biotype:protein_coding transcript_biotype:protein_coding description:serine/threonine-protein phosphatase 2A regulatory subunit B'' subunit alpha MDLKRGDLVAAMQHEDDEEEEETLTKSLGFIGFPITIGNDSRATAEITLLISVVDRLMEPDTRSFACSRRPCGVVEAEDGGSWEAIVIILHLANPL >OMO94522 pep supercontig:CCACVL1_1.0:contig07848:51438:51648:1 gene:CCACVL1_05952 transcript:OMO94522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKSKISSINSPTKIGSLLWKPPKSEIWPGSEVAPLPGIVKETPFLSAKLLMSTKESSHEYYCSDLYSS >OMO94518 pep supercontig:CCACVL1_1.0:contig07848:22798:23181:-1 gene:CCACVL1_05948 transcript:OMO94518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLNKLCCGFIIYAMFISQAFYVRARILDGFFKLPVSSDKVFKTEPGLNLVVPQRNFQVRPPAAGYMSANVDQPSKLEDSRKNVGKVILRKAAKQGSGYRPLVLNLLPKGTLPPSGPSKRSNSLPN >OMO94519 pep supercontig:CCACVL1_1.0:contig07848:24118:26228:-1 gene:CCACVL1_05949 transcript:OMO94519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAVPPQVFPPRKRRPSAGAFISPKLSDLNLVQSLLSLTQEISSLKPLQFLLKRNSLSTINKSKLLAILFEELIRDPVSTFFSPSTLLCFEEMYIVLQRIKTLMEDCSHGSKMWLLMQVQPLANSFHELTLELSTLLDIFPVKEVNLSQDVEELVALVRKQCTQSKPLLDPKDDILRREVLAMLDRIKKEIVPDHSKLKQIFESLGLRDNSSCREEIESLQDEIQNQIDDKSKADIVSLIGLLRYGKCVLFESSTSQKSSHRRRPSMSDITIPADFRCPISLELMRDPVVVASGQTYDRESINQWIESGHNTCPKTGQTLAHTNLIPNRALRNLIAMWCREQRIPFETAGSNEKVNGVKATKAALEATKMTVSFLVNKLSVSQSMDAANGVIYELRALAKTDSDSRACIAEAGAIPILVRYLGSDVGSEQPNLQVNAVTTILNLSILEANKTRIMETDGALNGVIEVLRSGATWEAKGNAAATIFSLSGVHAYRKRLGRKTRVIKGLMDLAKDGPTSSKRDALVAILNLAGDRETVGRLVEGGVIEMVSGVINVLPEEAVTILEAVVKRGGVVAVAAAYTSIRKLGLILREGSDTARESAAATLVTICRKGGSEVVAELATIPGIERIIWEVMGTGTARARRKAATLLRILRRWAAGLDNNTETTVVDSSTMMTTTVRL >OMO94521 pep supercontig:CCACVL1_1.0:contig07848:44497:47209:1 gene:CCACVL1_05951 transcript:OMO94521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc knuckle CX2CX4HX4C METKRKSYCARKRSMMRDDGVHERIRFKYERIGKFCKHCGFIGHTHPNSPHTNTEVERMLREQMDDVTHNLGHGIFYEQHNFADDIRAYNRRRRRRNVEGTPLSPPEVAKDLIRGMRLPNNIKQQEMGTRRWTLRRIYHNKIKRTMMKRTYSKEGQWNLTCGQVLLKMETLCHMLSSNINNNNRKRNICRIWKQEQEQQGKIDEMFKEMNQQGGRYLDDLLQRVYGSPNVQPPDNTPRWVQLPSGNLLYTNGRITFDNSQDMLESSAMGARRMGGSTNNRGVPSFGIEATMSEEEHLELMYNFIVQANPETRLEVIPEESNEQQTGNDARAEVEEENCTLNEEDFVSTFLVDNPEEEEERRSSSDSQKEKKEEGRQ >OMO94517 pep supercontig:CCACVL1_1.0:contig07848:18215:19378:-1 gene:CCACVL1_05947 transcript:OMO94517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATTRNNSSSKKKPGSASASASSTTQSSSKLKQPHYSYPRKSYYFTRELTPNDRFYVSPTKTKSKDNHDPPRKSSKRTRKRNVKSSPKVVTSSVSAGCSCRATLWTKADSPPEYSASNSSSSSSVSDSSSDQKLISESFPQEFRSDCILTTDSFDNMVSWSRRCSCHVMNSDINNDIVIDVDDKSIVKKFDKFPELEVELPPIITKPPKFNDMVNDIKKKDQKNEDSTKYQTCSTKFEEKNSHGSLSVKVVKEERITVKENKNTSPVVRKFSVNSPGGVRLRINSPKIANRRILSHARKSVSSSSSSSSRRSLSESFAVVKSSFDPQKDFRESMVEMIMENNIRASKDLEDLLACYLSLNSDEYHDLIIKVFKQIWFDLSDVQLK >OMP02171 pep supercontig:CCACVL1_1.0:contig06305:871:1542:-1 gene:CCACVL1_02897 transcript:OMP02171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRTSFKRMIRRMSFKRMIRRMSVQRIIYDSEDELQENDSEDELQENDSEDELQRIIYDSEDELQENDSEDELQENDSEDELQENDSEFLQSGTMQYQARDISYKEQGFFLISQFIWDPADPLFFEDQPFVSVFSHREFFADEEMSKGLLTFTSQRNPSKYLSNRWFIDNTQEKHFELLIHRQRWLRTNSSLSNGFFRSNTSYESYQYLSNLFLSTEGYWIK >OMO71842 pep supercontig:CCACVL1_1.0:contig11541:5421:9610:-1 gene:CCACVL1_18043 transcript:OMO71842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERRESGHGHPLLRGGRRKTSYSHGFSCAQMNSLSAICETIIPPSLTLPLPLDALDNKEKSAALHAFYGASGADPPLPDEVAELMVKRGEPKAISFIKIVLTLLSFRLGTLLLCGWLCCDWKWPFVHNFSEIPVEKREKVLMKWSGKPHPLPLRAVFAFIKNYCLFLYFSMTDENSENPAWKAIGYEVDNRQKKAYPQGRPLEKGIIETMHEDDATFLQSLTEKGLEVMEDPDDDAYKIKCDVAIVGSGCGGGVAAAILASSGQKVVVIEKGNYFAPQDYTSLEGPSMSELYECGGMLATTDGKIMIMAGSTVGGGSAVNWSASFRTPKNVLKEWSVDHKIPWFGSSDYEFAMDAVCKRIGVTEQCREEGFQNQILRKGCENLGLKAEAVPRNTPENHYCGSCNLGCRTGDKKGTQATWLVDAVGSGAVILTSCKADKFILVNNDDSISRRKKKCLGVIATSLNKNLSKKLRIEAKATISACGALSTPPLMISSGLKNPNIGRNLHLHPVLVAWGYFPEEESSEIFKGKAYEGGIITSFHKVTSEESNVVHAIIQTPALGPASVAALLPWISGSELKERMVRYPRLCHIFTLIRDQGSGEVMEEGKIKYRFSETDKENLKLGLRQVIRILIAAGAVEVGTHRSDGQRIKCKDVTEERLQEFLDNVPVVGGLSSKDEFWTMYFSAHQMGSCRMGATEEQGAVDENGQSWEAQGLFVCDASVLPTAIGINPMVTIESTCYCISKKIAEMLNKE >OMO71843 pep supercontig:CCACVL1_1.0:contig11541:15379:15593:-1 gene:CCACVL1_18044 transcript:OMO71843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEEPTEEKKEESGANGGGGNCRKVGETGGRSGLSTMSGG >OMO71841 pep supercontig:CCACVL1_1.0:contig11541:1337:4754:1 gene:CCACVL1_18042 transcript:OMO71841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinyl-CoA synthetase, beta subunit MRGLVNKLVSRSLSVAGKWQQQQLRRLNIHEYQGAELMSKYGINVPKGVAAASVDEVKQAVKSAFPNEDELVVKSQILAGGRGLGTFQNGFKGGVHIVKADQVEEIAGKMLGQILVTKQTGPQGKVVSKVYLCQKLSLVNEMYFAITLDRKTAGPLIIACKKGGTSIEDLAEKYPDMIIKVPIDVFKGITDEDAAKVVDGLAPKVADRNDSIEQVKKLYKLFCECDCTLLEINPLAETSSSQLVAADAKLNFDDNAAFRQKHIFALRDPSQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASESQVVEAFKILTSDDKVKAILVNIFGGIMKCDVIASGIVNAAKQVALKVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKASSS >OMO56946 pep supercontig:CCACVL1_1.0:contig14459:199:717:-1 gene:CCACVL1_26137 transcript:OMO56946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKTVLKVDISCHKCKKKLLKAISALQGVDKIEVDAAKGTISVTGEADPYEIIVKTRKVGKFVELLSVGPPPPPPKQEPAKKPDEKKPEPKKDDKKPDPKPQVHDPSTCPVCHRMSVVYLDRYSEPNPTCSIM >OMO97876 pep supercontig:CCACVL1_1.0:contig07192:2457:2645:1 gene:CCACVL1_04422 transcript:OMO97876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TERNKGFCLDLLERRVRRFLTFSERERKGRDFIGRGARIKERLPPTNSPSGGSHRRSWSAPI >OMO80683 pep supercontig:CCACVL1_1.0:contig10287:7254:8482:1 gene:CCACVL1_12817 transcript:OMO80683 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MKAWVYAHHGNPVDVLKLESDVDVPQVKDDQVLIKVVAAGLNPVDFKRILGLFIEADSPLPTVPGYDVAGVVVKVGSQVTLFKEGDEVYGNINEKGLDHPKRYGTLAEYTVAEEKLLAPKPKNLSFVEAASIPLAIGTAYEGLEKTALSVSKSILVLGGAGGVGTFVIQLAKHVFGASKIAATSSSAKLELLKDLGVDLAIDYTKDNFEDLAEKFDVIYDSIGQSERAVKAIKEGGQVVTIEPLGDLTPPAFRFILTSSGAMLEKLNPFLENGKVKPVIDPRGTFPFSQTPEAFSYLQTGRVTGKLVIYPIP >OMO77434 pep supercontig:CCACVL1_1.0:contig10756:1057:8741:-1 gene:CCACVL1_15011 transcript:OMO77434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MASAKKKATLPSSCCAAPAAADSAVSAAPAAAAASSGNAVQEWTVASTDRRDDQRASKTSMASLIRPVDPLPDSSTAATPSAKGVQVLTRPQTSHPLDPLSAAEISVAIATVRAAGATPEVRDSMRFVEVVLLEPPKHVVALADAYFFPPFQPSLLPRTKGGPVIPTKLPPRRARLVVYNKKSNETSLWIVELSEVHAVTRGGHHRGKVISTQVVPDVQPPMDAMEYAECEAIVKDYPPFREAMKKRGIEDMDLVMVDPWCVGYHSDADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIYVRVDMQKMEVIEFEDRKFVPLPPADPLRNYTAGETRGGVDRSDVKPLQIIQPEGPSFRVNGHFVEWQKWNFRIGFTPKEGLVIYSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIDNCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQPGESRKYGTMIAPGLYAPVHQHFFVARMDMAVDCKPGEAFNQVVEVNAEVEKPGENNVHNNAFYAEETLLKSELQAMRDCNPLSARHWIVRNTRTVNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTRYAPDEMFPGGEFPNQNPRVGEGLATWVKKDRPLEETDIVLWYVFGITHVPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPNACELETKDSEIKENAVAKPVQNGSEQDDKSPAIEEFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNLAENTLKAIKKIDKMRSDRSSDYIKNRLKAGKVQRKREARKQLEQEIHLVKAPLALAEDSSLRLPKVKVNVTQTQTEENQRMEE >OMO53169 pep supercontig:CCACVL1_1.0:contig15310:29238:31789:-1 gene:CCACVL1_28834 transcript:OMO53169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGVWQLQKLVVSYCDWGGSSRGIRAFMESQLPTFKEKNPQLEVVTELIRGQHPHLKGFYKNRNERVICVKNLTPEDILLHATRLRNALGRKVVKLKTRHVTNHPSVQGSSKEETSIKRKTNLKQRGETYEKKTN >OMO53175 pep supercontig:CCACVL1_1.0:contig15310:55857:71501:-1 gene:CCACVL1_28840 transcript:OMO53175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYEQVELALKYEDLELFMNEAHPQFSLMKREVVKSRNKDLVKLFDSGVGVHHAGMLRADRGLTERLFSDGILKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAHYLRLLTSQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMKFNPLAYGIGWEEAIADPTLSFKQRSLVIDAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNEMLRRHMNDSEVIEMVAHSSEFENIVVREEEQNELEMLARTSCPLEVRGGPSNKHGKISILIQLYISRGSIDTFSLVSDAAYISASLARIMRALFEICLRRGWSEMSLFMLEYCKAVDRQIWPHHHPLRQFDKDLSAEILRKLEERGADLDRLHEMEEKDIDALIRYAPGGRLVKQYLGYFPWIQLSATVSPITRTVLKVDLVISPDFIWKDRFHGAAQRWWILVEDSENDHIYHSELFTLTKKMAKGEPQKLSFTVPIFEPHPPQYYIRAVSDSWLYAEAFYTISFQNLALPEARTSHTELLDLKPLPVTSLGNSTYESLYSFSHFNPIQTQIFHVLYHTDNNVLLGAPTGSGKTISAELAMLRLFNTQPDMKVIYIAPLKAIVRERMHDWRKRLVSQLGKKMVEMTGDYTPDLMALLSADIIISTPEKWDGISRNWHSRSYVTKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERAVRFVGLSTALANASDLADWLGVGETGLFNFKPSVRPVPLEVHIQGYPGKYYCPRMNSMNKPAYAAICTHSPMKPVLIFVSSRRQTRLTALDLIQFAASDENPRQFLIMPEEALQMVLSQVTDQNLRHTLQFGIGLHHAGLNDKDRSLVEELFSNNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREQLHDHINAEIVSRTICHKEDAVHYLTWTYLFRRLTVNPAYYGLESAEDETLSSYLSRLVQNTFEDLEDSGCIKITEDNVEPMMLGTTASQYYLSYMTVSMFGSNIGPDTSLEVFLHILSGASEYNELPVRHNEENYNEALSKRVRYKVDQNRLDDPHVKANLLFQAHFSQLDLPISDYVTDLKSVLDQSIRIIQAMIDVCANSGWLTSSIACMHLMQMVMQGLWFDQDSSLWMLPCMNNELASSLSRRGISTVQQVLDLSKAALQNVIGNFPASKLYQDLQHFPRIEMKVKLVKKGTESEKSLQLNIRLEKTNSRGNSSRAFAPRFPKLKDEAWWLILGNTSTAELYALKRVSFSGRLVTHMELPSDVATFQNTPLKNLVHDAWKLDQNPFKINPLPSFVLMTQGIPVTVIYREFQANLS >OMO53174 pep supercontig:CCACVL1_1.0:contig15310:52648:55176:1 gene:CCACVL1_28839 transcript:OMO53174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNNIHQIITNSSVSQPPISNINGQDGKSDRQCHGVLYDAVLVVPALLFLVYLALNAKKNVNKLRNGRSYVMISYYSLLWLASGLNLAWCCLQDSYASGLEALSRTFTVSGIIVGVDMLLKAIYIFGFGVPLFFDDVGSSDPMKWGLWIIHKILLSAVYGYILFVHFSKWREKLPPRPAFYNYVIVMFVVNAIALFSCGLAAIGIGFGIWLYNLTVICYHSLYLPFLYITFLAGFFQEEDFLLDNAYYSEMRDAGFFDADWD >OMO53170 pep supercontig:CCACVL1_1.0:contig15310:32723:33220:1 gene:CCACVL1_28835 transcript:OMO53170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLQRKPLSDISNSGKPRLPETRKSQAGVRKPLSDISNSGKPRLPETRKRNKNQSKKLPILAEAEGSPESIDFHNHDECIKAKERSLMISTSEFLDFSDASAEPTSPLMTPEYRLIKSPLKIKSKPSPSPSPEPLWDWDDEGIFHLIESPLRLKPELLDIDHFI >OMO53168 pep supercontig:CCACVL1_1.0:contig15310:25938:28301:1 gene:CCACVL1_28833 transcript:OMO53168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFKKSPLKTAKHNSVDPVRVAPRSNPFDSDDELDNKQTLKPSRRTSSEPTLNPPNFGTNPFDDDEENVKSSSSSSSSSSYWQSSASRNKYKNDFRDSGGLENQSVQELENYAVYKSEETTKTVNNCVKIAEEMRESATNTLITLHHQGEQITRTHNVAAGIDHDLSRGEKLLGSLGGMFSKTWKPKKTRPIVGPVITADYSSDRKGAHLDQKQKLGLDTAPKGHSRSRTPHPEPTDAYQKVVVEKAKQDDGLSDLSDLLGQLKDMAVDMGSEIESHNRKLNGVEDDVDTLNIRVQGANQRARRLLGK >OMO53171 pep supercontig:CCACVL1_1.0:contig15310:35517:36641:1 gene:CCACVL1_28836 transcript:OMO53171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEQTCLEAKKTEEENVEENSKMNILVKELEEGMSTTSKSIRSGLKVALSMESGAGSAEQIKSMSDQINEYKLLVKKLKEELRGQKLKAKEEAEDLAQEMAELRYQMMGLLEGERKHVLALNKHHYRE >OMO53172 pep supercontig:CCACVL1_1.0:contig15310:38966:41873:-1 gene:CCACVL1_28837 transcript:OMO53172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIQDQERIAQACGAMASLYGTSATVAKRALFQLLNLEGYKWGNIERDNYKVVLEHIEQTMKQHNKNPKAKTEKSGDHDEVDEVLDIPPKGALRRHNREGGQPSTSKKSTNFRHQIEANGINATIQKQVLIVLDSDDEEEENLIIPKKRLWKNMNMTEEPVQPCPSKRTKNGKHIESSDKQPETINQDISPGILCEFGSCAGKDTNVKVKGSMLESKPQYVRGECSNHKSETSKIDIVSSDNCAVKICLLCTSSRKVNLDIKTVAKEVENEYRKHFGITDPAFSAVKLMGDICKRLVETTSDDDKSEGMTPILLQPSNSSKLKQVIKHPTYLQDITQGKENNVAFKDASVLTFSLARISDDNCCSDCFGDCLSSEVPCACAAETRGEFAYTREGLLKESFLYEAIAMCQRPQKHQFHYCELDCPVEIAKRKRPYKCKGHLMRKFIKECWNRCGCNKKKCNNRIVQRGITATLEVFSAEEGKGWGLRTVKALRKGEFVCEYVGEIVTNQELHLRNKMRSEEEHTYPVLLEADWGSEFFLNDEEALCLDATKFGNCARFINHRTTESNLMTKGIPLKDSSVDVEVNFVVINQVVNDILLL >OMO53173 pep supercontig:CCACVL1_1.0:contig15310:46042:48823:1 gene:CCACVL1_28838 transcript:OMO53173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MASFVLYSIISAVFLYFIFVTLRKRHRLPLPPGPKPWPIIGNLPHMGPVPHHSLAALAKVHGPLMHLRLGFVDVVVAASASVAAQFLKVHDANFSSRPANAGAKYVAYNYQDLVFAPYGPRWRMLRKISSVHLFSGKALDDFRHVRQEEVRVLVRALASADTKVNLGQLLNVCTVNALGRVMLGQRVFGDGTGKSDPQAEEFKSMVVELMVLAGVFNIGDFIPALEWLDLQGVQAKMKKLHKRFDRFLGAILEEHKVKARAGGTKHSDLLSTLISLMEDADVEGGKLTDTEIKALLLNMFTAGTDTSSSTVEWAIAELIRHPKILAQVRKELDSVVGRDRLVSELDLPNLTYFQAVIKETFRLHPSTPLSLPRMASESCEINGYHIPKGATLLVNVWAIARDPNEWKEPLEFRPQRFLPGGERPNADVRGNDFEVIPFGAGRRICAGMSLGLRMVQLLTATLVHAFDWELADGLMPEKLSMEEAFGLTLQRAEPLMVHPRPRLSHHAY >OMO53167 pep supercontig:CCACVL1_1.0:contig15310:12021:15110:1 gene:CCACVL1_28832 transcript:OMO53167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSRSDGDNPIDVEELLEIETRCRELRKEKEMLKESQSQGFELIRRLEQHAKSLSEARLQDNKHIQRLERELKNCSQEIDYLQDQLSARNEEVNCLTDHVHNLEIKLADMEILHEKVGQLRGELNRSNSDRLLLMKEIEKKEEELQQSALRIEKLESLSSIALESQCEIESMKLDIMALEQTCLEAKKTEEENFQEKSKMNVFVKELEVQLQNAQEIIEGLDNENKELRGKLITSEKNARINCPNVKEFVKSKDNSQLKMHTLLGEQEGMSTTSNDIRSGSKVALSMESGAGSAEQIKSMSDQIKEYKLLVKQLKEELRDQKLKAKEEAEDLAQEMAELRYQMMGLLEDERKRRACIEQASLQRIAELEAQIQKEPEKAVAVVRHLNDL >OMO49913 pep supercontig:CCACVL1_1.0:contig16356:85821:85922:1 gene:CCACVL1_30753 transcript:OMO49913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIEAVEKQRLTMESKGVYEDKREAVKRWTFE >OMO49912 pep supercontig:CCACVL1_1.0:contig16356:15328:19299:-1 gene:CCACVL1_30752 transcript:OMO49912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase MDSHQTLKRSDTIADSMPDALKQSTFHLKRCFSRLVATGKRLLKRQHLMDEVEKSIEDKVERSKILEGLIGFILISTQEAAVVPPYVAFAVRPNPGFWEFVKVNSEDLHVDAINVSDYLKFKEVILDENWANDENALEIDFSAINYNLPHLTLPSSIGNGANYISKFIFSKLYDNCGHSSSQLLDYLLALNHQGEDLLINQTLNTVPKLQAALSLANDVMSTLPKETPYKNFELRLKELGFEKGWGQNAERVKETMMSLCEVMQTPEPAKLESLFAWLPSVFKIVILSPHGYFGQSDVLGLPDTGGQVVYILDQVRALEEALLLRIKQQGLCVKPQILVVSRLIPDAGGSKCNQEVEPIINTKHSHILRVPFRTDNGILQQWVSRFDIYPYLETFAQDALLKILQHMECKPDLIIGNYSDGNLVASLMASKLGITQATIAHALEKTKYEDSDVKWKQVEQKYHFSCQFTADLIAMNATDFIITSTYQEIAGSKEKPGQYESHAAFTMPGLCRVVSGINIFDPKFNIVAPGADQSVYFPYTEKTRRLSSFHPAIQELLYSHNDNKEHIGYLEDPRKPIIFSMARLDTVKNITGLTEWYGKSKRLRDLVNLVVVAGFLDPSQSNDREEEAEIQKMHHLMEQYQLRGQFRWIAAQTDRHRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNKGGPAEIIVDGVSGFHIDPNNGDQSSNIIADFFDKCKTDSEYWNRISTAGLRRIHECYTWEIYANKLLNMGSIYGFWRQLNKEQNAAKQRYIQLLYNLQFRNLG >OMO49915 pep supercontig:CCACVL1_1.0:contig16356:93006:108486:-1 gene:CCACVL1_30755 transcript:OMO49915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLELQNTVKEALNALYHHPDDGVRMQADRWLQDFQRTIDAWQVADNLLHDATSNLETLIFCSQTLRSKVQRDFEELPSEAFRQLRDSLNNLLKKFHKGPPIVRTQISIAVAALAVHVPAEDWGDGGIVNWLRVEMNSHPEYIPGFLELLTVLPEETFNYKIAARPERRRHFEKELTSQMETVLNILTTCLNISELKEQVLEAFASWLRLKHGIPGSVLAAHPLVLTALSSLNSEILSEASVNVVSELIHYTASGSSGGVSVQMPLIQVLVPQVMSLQAQLRDSSKDEEDVKAIARLFADMGDSYVELIATGSSEAMMIVNALLEVASVPEYDIASMTFNFWHSLQVILTKRNSNTSFGDEASIEAERNRRLQIFHQSYEALVSLVSSRVQYPQDYQELSYEDLKEFKQTRYAVADVLTDAASVLGGDATLQILYVKLVEAVSCCGHENGEWRPAEAALFCIRAISNYVSVVEENVMPQVMDLLSKLPHQPQLLQTVCLIIGAYSKWLDAAPSGFSKLPLVIEILMSGMRTSEDSAAAAALAFRHICDDCRKKLCAYCKQLFHIYYTAVNGEGSFKGSAEDSLHLVEALSMVITELPPEPAKDALEELCSSVVTPLQEVINQGPEVLEKKHARELTVHIDRFAYIFRYVNHPGAVADAIHRLWPIFKAIFDLRAWDMRTMESLCRACKYAVRTSGRLMGITIGAMLEEIQGLYQQHHQPCFLYLSSEVIKIFGSDPSCASYLKNMIEALFTRTTCLLTNIKEFTTRPDIADDCFLLASRCIRYCPQLFIPSAVFPALVDCSMIGITVQHREASNSVLTFLSDIFDLAKSSKGEQFLSIRDNVIIPRGATITRVLVAALTGALPSSRLETVTYALLALTRAYGMQALEWARESVSLIPLTAVKEVERSRFLQALSDAASGADVNALMAPVEELSDVCRRNRTVQEIVQGALKPHELNMVAVS >OMO49918 pep supercontig:CCACVL1_1.0:contig16356:122901:122969:-1 gene:CCACVL1_30758 transcript:OMO49918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAMEQRDEEDGFMSGIEFEK >OMO49914 pep supercontig:CCACVL1_1.0:contig16356:89467:92367:-1 gene:CCACVL1_30754 transcript:OMO49914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASDSHGERSALATNNLKKLTISLPTCPSEFDFSDVFGPLTPHFSGQSPPSSSSSSAAFPGGDGNPPVIHTRSHSYVGPSPRYGPSSALPFPDIHEDEIQETEGEEEDDETRKKIGPEDFEIMRVVGKGAFGKVFQVRQKGDVEGDGIFAMKVMRKDTIIKNKHVDYMKAERDILTKVVNPFVVQLRYSFQTKSKLYLILDFVNGGHLFFHLYRQGIFSEDQARFYTAEIVSAVSHLHNCGIVHRDLKPENILVDVDGHVMLTDFGLAKEIDESSRSNSMCGTTEYMAPEILQSKGHNKDADWWSIGILLYEMLTGQPPFTHPNRQKLQQRIIQEKVKLPPFLSTEAHSLLKGLLQKEPSRRLGSGPSDGDAIKKHKWFQSINWKKLEAREIQPKFRPDVNGKECIANFDKCWTTMPADDSPASTPTAGEHFQGYTYVAPNPWLSSK >OMO49917 pep supercontig:CCACVL1_1.0:contig16356:114652:114729:1 gene:CCACVL1_30757 transcript:OMO49917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRAGLGSQQKKLDPTLEVQADNS >OMO49916 pep supercontig:CCACVL1_1.0:contig16356:109375:113065:1 gene:CCACVL1_30756 transcript:OMO49916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA cleavage complex II Clp1 MASMSEPANSTVSIYISEEWSEAADSIIACDSVTSPPPVAFICGAKNSGKTTFSRHLLNVLLQRYPKVAYLDTDVGQPEFTTPGFLSLTVVDKQTPDLAIPCLKTPDRCLFFGDVSSKRDPSTYLKYIFTLCDYYRKEYCMFEKSGSPDRTELPLVVNTPGWVKGIGYEILVDMLKYISPTHVVKINISAESKNLPSGAFWLDGDYDGMVSIMEIKSAHRDSFNRSVLVQKDARLLRDLRIMAYFRQCFPSCQPITTIKELAYALASHSPYEVPLSSIKIRHLHCQVPSTETFYSLNATIVGLAVSSEESEGFPWCVGLGIVRGIDTFNGLLYVITPVPQRILEKVDLLLQGFIQIPTCLLQVKGCRSPYLSSTVLPTS >OMO89038 pep supercontig:CCACVL1_1.0:contig08862:8872:9926:-1 gene:CCACVL1_08052 transcript:OMO89038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTGRYYRYRNNHNLLRETNKYNPTCPSSSVINQGTRKFD >OMO89037 pep supercontig:CCACVL1_1.0:contig08862:998:5390:1 gene:CCACVL1_08051 transcript:OMO89037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTLAELGKEKCHLILGGLIKSLQDQVKSKGNLGAENEDNSLSSSPCAFEIHHREGEAKKRVVLTTS >OMP03084 pep supercontig:CCACVL1_1.0:contig06153:19467:23328:-1 gene:CCACVL1_02569 transcript:OMP03084 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane transporter, Tim44-related/Ribosomal protein L45 MAGRKLVRDLFIARQPLFYHLTSRQGSNARLRLLSANGYSANRRFSVFNEFSKKIKGEANSNPEFKQSVEELKGKAEELKVRTKQTTEKLYKQVDGAWTEAEATAKKVSANMKEKISAAKEEVKDSFGIGKEESSQSANTSAQHGTDAKDGGKASCGEQKPEQSGSGDSAETIFGKFKSGISSPKVSLAFQRLKEAKVVDLAKKGYGIVKDELTGNTSRRKHLEFTPPPEPTGERSTRTDIVIVPTKQSIWSKKWEALKGKMQGHPLFKRVSGISEPVVTKGQEIAEDMRERWETSDSPIVHKIQDINESIFQETDTAASYKEIRRRDPSFSLPEFVSEVQEAIRPVLNAYMKADVETLKKYCSPEIIDRCKAEHTAYQTHGFFFDNKILHISEVEVRETKMMGSSPIIIVAFQTQQIYCIRDREGKITEGGQDTIHTVYYAWAMQQVDVEELGEGAIYPIWKLREMQKMGIQALI >OMP03087 pep supercontig:CCACVL1_1.0:contig06153:29156:30866:-1 gene:CCACVL1_02572 transcript:OMP03087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKREKIKKSRESIQIHNIDDEFETEVFPHGEEPNEKVSGTIFPSQETDDEYFETPTVEEDEKKCVIEMTRRKEKALIEFRCRVEDAILGNYLLRKPKNNGNLVPLSPKESANEIEQLKEITLWGVPIMPSKRHEGTDIVLAKFLKAKDYKVHEALEMLRNTLKWRKEFKADEILDENLGPDIEKLAYINSIDKEGRPLYYQIYGAFKDREMCRKIMTSQENCEMFIRRRVQYMEKSIKQLKFESGGVNSIVQIIDLKNSQGPVTKELRSLFRKTWMLFQNHYPELIHRTIVINVPLWYYVSHVLSSRLKNQRRNSMLSSLRKLVFARPGKAPETLLKFISPENLPVEYGGLQRENDPEFSPDDKASELRVRANTSDRIQIPAPEVGVTIIWDLTVVGCEVGYKEEFVPDDEGSYKVLLQKDKEKKAGESVRNSFYISEPGKIVITIDNYMLKRKKVLYRYKTRPTVPMYVLLKK >OMP03086 pep supercontig:CCACVL1_1.0:contig06153:26397:28080:-1 gene:CCACVL1_02571 transcript:OMP03086 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-S seed storage protein, plant MAKLPLLSVSLNLLLLFHGCLARSSNFEQFQNECQINKLESIEPVTRVKSEAGFTEWWNPNSQQLMCAAVAVLRETVEPQGLVLPSFSNTNQLVHIIKGSGVLGMILPGCPATFQDSQHQGRRQDQHQKIQRFREGDVIVLPAGVPHWFYNDANQPFVTVAFLHLGNSVNQLDRNPRKFHLAGNPEEEQKKLQRLQQQKQGITESEQEEEEEKHKQCTNSLCFNPEYLAQAFNVDEDVIRKIQEQGKSGTIIRVKNLQFIEPPRVQHEEGRRGSMGGDNGVYCAMKMKENIAEPELADIFNPQAGRITTLNSFKLPLLSHLGMSAERGVLFNKGGLVPQWSDAHRIFYMVKGRARFQVVNQNGESVFDDYVEQGQLLTVPQNFAFMKRAGNEGAEWVSFYTNDNAKNTPMAGVGSYMQGVPEEVVAAAYQISREEAREVKFNNQNNFFFTSSSRPQPRAEEA >OMP03085 pep supercontig:CCACVL1_1.0:contig06153:24627:25336:-1 gene:CCACVL1_02570 transcript:OMP03085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLLLLVRLTFLLVFFTTCFAAIASASTTTVTLKRQPGFIYARTRGRCTPQFWSSKRKAWPKMVPQHSTVSNMFGSIASERYRSDMTMLESTAMSEDGSVYGRLLKQASTALINSYARKGFPYSAWEVKTLMIQGLVSEDAAARLTKRFSTANDACN >OMO72780 pep supercontig:CCACVL1_1.0:contig11377:10320:13428:-1 gene:CCACVL1_17600 transcript:OMO72780 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGKKGSWFSAIKRVFASNSKEKLNNESDKRGGREKKKKGLGKLRHGESNSFIPLFREPSSIEKILGEAEREHKLIFRPPTPPEQPRTPPFVPHRAASPRGPSQRIASPRVASPRAASPRAASPPRAASPPPPPPPRAASPTPLPRAASPRAAPRIIRPRPEPTLRNHHASATKIQAAYRGYMARRSFRALKGLVRLQGVVRGQNVKRQTMNAMKYMQLLVRVQSQIQSRRIQMLENQARSQAQYKNDKEAESTLGKWFSQSEAGNEDWDDSVLTKEEIEARMQRKVEAVIKRERAMAYAYSHQLWKATPKSAQAGASDIRPGGFPWWWNWLERQLPPANAPESQGLKSFQLTPPRPNSEMKPSPRPQSSSKQHQFTFDSMDTPTPKSTRSTIFPASRPMRTPPSSRIPLASSSGLSKYSRPIASGADSPFDLPLKDDDSLTSCPPFSVPNYMTPTVSAKAKVRANSNPKERFPVPGTPGSESKRRLSFPLTQGIGSFKWSKGSLFSGKDSSSQRGLDKNQSLQSLGNLSVDSTVSMPATVGRKPFNRFV >OMO87758 pep supercontig:CCACVL1_1.0:contig09181:10216:12581:1 gene:CCACVL1_08782 transcript:OMO87758 gene_biotype:protein_coding transcript_biotype:protein_coding description:3 beta-hydroxysteroid dehydrogenase type 7-like protein LTKSELIQADKRTADKATTAVTCAAARADIPQRLAPSSLRPENICGAKPGSHETLIAKGENGETAPDQASLISNSKGLHTLGFEPKETTPSVMFARGTYTTARTKAA >OMO94562 pep supercontig:CCACVL1_1.0:contig07838:16608:18377:-1 gene:CCACVL1_05924 transcript:OMO94562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSQARASHGKNSRSTRLGNVAQLSETTILYFSGPRLPSFCSAMIAIMAAFGVTFPKVYILAYDIGRNFGRTSSAYVITSYYDQAPLDEYALQYPMLATFRLLSTTITMRNFVMSPDDSAITIASIIRHHEIEYLASFEIGEHV >OMP05169 pep supercontig:CCACVL1_1.0:contig05502:414:536:1 gene:CCACVL1_02033 transcript:OMP05169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATQDSVAIPILKLSLPIALNVKCTQTNFASFHVKKSNGIL >OMP06563 pep supercontig:CCACVL1_1.0:contig04888:322:912:1 gene:CCACVL1_01511 transcript:OMP06563 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein VGNELGAGKPYKAKLAAMVALGCAFVIGIVNVVWTVILRERWAGLFTKDDMVKPLVATVLPIIGLCELGNCPQTTGCGILRGTARPAIGARINLGSFYFVGTPVAVGLAFLLNVGFPGLWFGLLSAQVACAMSILCVVLLHTDWEAEALKAKKLTTMEMSSCNDDDDMNGNGLLYEKDEESKGFLANGNDKIDDVL >OMP04768 pep supercontig:CCACVL1_1.0:contig05670:796:4166:1 gene:CCACVL1_02139 transcript:OMP04768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAESIAFDLASGVISKLGSVALQQIALWWNLNDDLDDLKSIVSTVKAVLLDAEDNSMSGNKLTNKVRIFFSSSNQFAYGLKIGGKIKSIKARFDSIERESRMLQLVVRDRPVEATFMAKRREQGHSFVSKGEIIGRDDDKKALLDLMLESEEDFLIILIVGIGGLGKTALAQLVYNDDMVKLKDHFELRMWVCVSDVFNVKIIVQNIIKSVTNCAPDANLEMDQLQKQLRDIIDGKKYLLVLDDVWNEERENWFALKKLLMGGARGSRIIVTTRSQKVVKITGSSCQPHVLKGLSDDDAWVLFKEIAFEQRPADLENPSIVEIGKKVLEKCGGVPLIIRTIAATLSLKETEKEWLSFKDNELGRVSQKEGEILPTLKLSYDHLPSHLKHCFAYSRLYPKDYEIDVQTLIQLWIAQGFVLERSNPNVSVEDIGFGLNVDMVQHRIHKLKHLRYLDLSYNFGLKTLPESICKIQKLEVLNLDACGLEKLPENIGKLVNLTHLRIGGCGGLTHMPRGIGKLTSLETLSQFVVDKLGSRGAAAADLSELNVLNKSRGNLEIRNLGYVKKKFRSANLKDKAHLQSLSLEWGGEEEDEEKSLEDLQPHPNLEEFRIDGWRGDARFPSWFSSLSNLTSINIEGPSKFKTLPTFHQLPRLQMLSIFELSELEYMEEHAEPEPEPEPEPEGESELFFPSLTFLRLAKCPKLKSWWRNINDDPGTTTSRTLTFPPCLSYLEIQDCPLTSMPLYPSLQDGLTLRNCSLRPLEQTIQLQTSNNSLIPLSKLKSFDVVNTEVGEGVEFRVLDKCLQNLTSLDSLSISSSHWLDSDNGKQLEALRKLSSLQLENYTSLRELTLLNVPKCRHLPEWLHNLSDLERLRLFDLPNLTSLPDEMQRLTNLKFLAIGMIPRDVERI >OMO91546 pep supercontig:CCACVL1_1.0:contig08331:2983:8578:1 gene:CCACVL1_07078 transcript:OMO91546 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate-related phosphatase MKQSSKHQHELFWARVVMRKLLNISAKDSDYSADTDDEEDIDSDSEPEEFFDCGRESRFKGNREEEPKPDLNDGLPRLRRRKSETFRAQYINTKELSLQEIVPLNAGNIFGAEDTRPVPKWENIIRETLNRIRPATTKVKCYSDPPSPSKFKPFDDLPNLEEETILESDSDIGEEIYPLDEEPDGFDEVHNSTSALTNSGVSDSNDVAKHDAPIEQDLQRQFSSPKRLDRLNCLRMEDSAENQNGKLTRMLSGTERIGLSWPEPPLNLLSQHVLERPTSFKSTKSFRTTKSFRTYRSFKSGSDMASDLALLAELDLETLMKRKRRSSYVRIVSKQMVGIFLTIWVRRSLRRHIQNVKVSTVGVGVMGYIGNKGSVSVSMSIYQTLFCFICTHLTSGEKEGDELKRNADVHEILRRTHFHSLSAIGLPKGILDHERIIWLGDLNYRINLSYEKARNLISKKDWSKLIESDQLVRELRKGRTFDGWCEGTLNFAPTYKYELNSEKYYGEDPKLGRRTPAWCDRILSYGKGMKQLSYRRTELKLSDHRPVTATYMAEVEVFCPRKLQRALTYTDAEIKNEDVAIEGIEY >OMO51741 pep supercontig:CCACVL1_1.0:contig15727:4377:4774:-1 gene:CCACVL1_29617 transcript:OMO51741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLKLKICGGSSIREILVREAKSYCATKLIVGTAAKLHTIRSLTS >OMO51742 pep supercontig:CCACVL1_1.0:contig15727:16373:20068:1 gene:CCACVL1_29618 transcript:OMO51742 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase FMO MVSDGKQSKNVCVIGAGPAGLVAARELRKEGHQVVVLEQSHDVGGQWLYEPNVESEEPLGKNKFLSVHSSIYNSLRIASPREIMGFTDFPFMAKKGRDLRRFPSHKELWLYLKDFCEHFRLKEMIRFNTIAEYVGMLNYGEFGKDLKWVVKSKGKNNGDEKVVEEVFDAVVVATGHYSHPRLPSVKGMDTWKRKQMHSHVYRIPEPFRNEVVVIVGNSQSGQDLSMEIVEVAKEVHLSSRSLNITEGLSKVISKHQNLHLHPQIESLHEDGGVQFQDGSSVIANTIIYCTGYSYSFPFLDTKGIVVVDDNRVGPLFEHTFPPSLAPSLSFIGIPRKIIGFPFFESQAKWIAQVLSEKRRLPSWEDMMQSIKEFYQSRELAGIRKSDTHDVGDFEYCDKYADYSGSPHLEEWRKKLCIASILNFFSNLEAFRDSWDDEVEELLQEALQDPYFTRFVGDDAFAM >OMO68867 pep supercontig:CCACVL1_1.0:contig12162:3300:4034:-1 gene:CCACVL1_19792 transcript:OMO68867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSESHSQAVIRNMATDAQLHHQQGYIFIQTMEALLGIQFSAFPNKPSQANRDSALLRKVVQIMVTLHQWWNPNEHASNGIIRAWLHVGENDILGEFETVYQLQQPTVLKKLYAAGLTNYSIPTFTPKAGGPKVNLSKPPQLNDTFQLDGNQEAMFLVHDEEIGHAFIGLIIVATSGSWGTEPLPFNNLKN >OMO57037 pep supercontig:CCACVL1_1.0:contig14452:26598:27738:1 gene:CCACVL1_26061 transcript:OMO57037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDAFKRGTHSRIGSSMMDIGSDVQVRVSGAFLP >OMO57032 pep supercontig:CCACVL1_1.0:contig14452:84:2649:-1 gene:CCACVL1_26056 transcript:OMO57032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASESALSGSSPSPADQITTISKRSETVDPVLEKLRSLKITSPILTTPPTEGSLTDILVRKPSSSSAQATVNPKVLLELFSMYRDWQQEKAQKISKNQEEIENKIEVADALAVKLLQRFNYSVSTMKTTSQHLSE >OMO57038 pep supercontig:CCACVL1_1.0:contig14452:28670:37548:1 gene:CCACVL1_26062 transcript:OMO57038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHETLKSVKITPTLLKLGSYIHAIVDEIYNYTVDVSEGRDERQQRAVEEAVAAAVAAEQAALANERAALETQRNILDQERGAQARQPKGGANGANGGPNVVANGEANGVGNQAIGAVNQVTVKTDKSAALLFEGPDTGTLSLRAMSLYVLNPNKRAMAMKAGHQCYKDRS >OMO57039 pep supercontig:CCACVL1_1.0:contig14452:45484:46360:-1 gene:CCACVL1_26063 transcript:OMO57039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKNNVPRTLFFNPRGQLRIITKKKKKGNFAFGWGMQ >OMO57035 pep supercontig:CCACVL1_1.0:contig14452:10497:19693:1 gene:CCACVL1_26059 transcript:OMO57035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNIPPFVTPNPEWHLSTLIYYRNCYKSTNDINRISQSLVRQKVMGQWTPAWKIDASSLSTESFENWGLALCFVPTKKGPGFLVAAAKLHKGEDTRVVWHSWGYGFWPEMMMDECWVLVEEEY >OMO57034 pep supercontig:CCACVL1_1.0:contig14452:8980:9594:1 gene:CCACVL1_26058 transcript:OMO57034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1/H5 MATAEPEVPVTEQPPAAEETKPAENPPVKDKRPRSSRQKKPAVPKEKKPVAPKEKKPKQPKAAAHPPYFQMIKEALLALNEKGGSSPYAIAKYMEEKHKAVLPANFRKILGLQLKNSAARGKLIKIKASYKLSEAGKKAKATAAKATKPKTEKKPKAAAAAAKPKKSETAKK >OMO57033 pep supercontig:CCACVL1_1.0:contig14452:3115:6881:1 gene:CCACVL1_26057 transcript:OMO57033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPARKSYVKIPSSSIDAPSAPEMTVRKTVGTKQGSETKAKQIRSNEMSPATIDGSDSNLKMSKVEEVNPLGETTKLSPETKATSGTILVSKRTPGKPRTAASAKAKAVSKKTLNSGETAREKVVESSKKVELGHKKDLECEKKAKLALGKIGESTERGVKETEMKEILNGGKSEVEEEVPCDENIEELVVKEQLQLVCGKEPKIVDLNLKAECNKDELHGEDVQMEQDRYGSIDELEEYADRVDFGDHDDEDLGDDDVEEPIEETEALEEERKELTALAKARKIKKEHEIFVGGLDRYAVEEDLRQVFEKIGKVVEVRLHRNPATNKNKGYAFVKFANKEHAKLALQEMKNPIICGKRCGIAPSEDNDTLFLGNICNTWTKEAIKQKLKEYGIEGVENLTLVPDVQHEGLSRGFAFLEFSCHPDAMSAYKRLQKPDVVFGHPERTAKVAFAEPSREPDPEVMATVKTVFLDSLPPHWGEAHVREKLKGYGEIERIVLARNMSTAKRKDFGFVHFSTHKAATACVDGVNKTQLDDRNSKTKVRARLSNPTPKSQAIKSGMCGGFLIGSGGSGTSSRFGRGFGRDGHRFFSKNSQHGQYFYQHEHGRTSRMDANEQDFDHRYSEYHGRHIVDRGERRGSFGDNYHRSHRDASVVGPSSSRYNFSRSRYDAPERAWSEHAPRNNRQSFSPERPFDRRYSGRQYDDPYYYDDRAYGMKRPFYMTDHDPEYMEPHRFRPRYDHPYPEAPFHETRHHAGSGLYSHDYYDSDMQLTGDGQRLTLRKTVEVPEFLNTPTS >OMO57036 pep supercontig:CCACVL1_1.0:contig14452:25873:26187:1 gene:CCACVL1_26060 transcript:OMO57036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETEAVYQVDVTKNIRETLFVTLCVIFAGRPSVPPAGTR >OMP00254 pep supercontig:CCACVL1_1.0:contig06706:16700:23370:1 gene:CCACVL1_03429 transcript:OMP00254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALVVADIWLLAIAMTKSCTDGSTINSFRVSDFGAFDQSVGFRLEDAVNLSGSAAFNSAKASVQPVPPDNNTIGTLAKSPTSLTASPSPAQVESRRLQLEKVQQTNLVSLSSGNTENWGESNMADGSPRTDVSTDADTDEKNQRFDRGKLSIVAASDSSDRSKSNMDQKTLRRLAQNREAARKSRLRKKCMRSILVFIGMFTSDGLFCAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQSHSMGGNGAMAFDVEYARWLEEQNRQINELRTAVNSHASDAELRIIVDGIMAHYDEIFRLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKVGLSECTINLNSNLKKALLLVNQLEPLTEQQLVGIGNLQQSSQQAEDALSQGMEALQQSLAETLSTGSLGSSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARAMLAIHDYFSRLRALSSLWLARPRE >OMP03740 pep supercontig:CCACVL1_1.0:contig05990:127:369:1 gene:CCACVL1_02278 transcript:OMP03740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPVPPSHHAHPPYRYKSPPPPRHYKSPVPPSHHAHPPYLYKSPPPPSYHAHPPYVYKSPPPPSKSPPPYYYKSPPPPKGY >OMO68537 pep supercontig:CCACVL1_1.0:contig12212:6846:9538:-1 gene:CCACVL1_19913 transcript:OMO68537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMNSNNWLSFPLSPTNASLPSHLHSSQAHQFNLGLVNDTMENPFQAQEWNLINTHDTSEVPKVADFLGVSKSESPSLDLVGFNDIHHHQPNDSDYSFQNNSTIVPVQTAIVPNNNNSYEFQENANNLQALTLSMGSGKGSTCETSGENSTNTVEAPPRRTLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKELEEMKHMTRQEFVAAIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKAILESNTLPIGGGAAKRLKEAQALESSRKREEMIALGSTFQYGNSSSTRLQPYPLIQAPFEQPPQPLLTLHNEDISQYAQDTSSFHQSYIQTQLQLHQQSGSNFLHQSAGQNSGFYNGYMQGNPALFHGLMNNMGVSSSSVVDNNGSSSGSYSTGGYLGNGIGMASNSTAGNAVGSTEELSLVKVDYDMPAGGGGGGYGGWSRDSVHQGSNPGVFTMWND >OMO68538 pep supercontig:CCACVL1_1.0:contig12212:15284:15346:1 gene:CCACVL1_19914 transcript:OMO68538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLARSLLRCRPVPPSQLVD >OMP06320 pep supercontig:CCACVL1_1.0:contig04972:517:753:-1 gene:CCACVL1_01629 transcript:OMP06320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKPMSYVFHSQNQIQRLMAKFSSISCCWSRQVESMVRQFDPKIPIEEAVTPPTSWYTDPSFYDFELDRVFYKGWQAVG >OMP10211 pep supercontig:CCACVL1_1.0:contig02703:2951:3079:-1 gene:CCACVL1_01011 transcript:OMP10211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLTIHHPRPNNEVQTIKLRISGRWDCVQTISGFAFVGIDYQ >OMO70141 pep supercontig:CCACVL1_1.0:contig11866:15460:19557:1 gene:CCACVL1_19083 transcript:OMO70141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIDIRLGDQDISRTMPGYHHFTPTTLANPTLLALPPSAAALGFAPPL >OMO70142 pep supercontig:CCACVL1_1.0:contig11866:24003:24146:1 gene:CCACVL1_19084 transcript:OMO70142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPQQEATVAQLKPERVIQRQ >OMO70143 pep supercontig:CCACVL1_1.0:contig11866:28158:29682:-1 gene:CCACVL1_19085 transcript:OMO70143 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MEKIIERYERYSYAERQLAATETEQNGSWTLEHAKLKARMEVLQRNQRHFMGEDIENLSLRELQNLEQQLDSALKHIRSRKNQLMFESISELQKKDKALQEQNNMLAKKVKEKEKATTAQQGQWEQQNNGQDSSSILLPQPMQNLSGTYEARNNGREEEGTPAHHRTANALLPPWMIRHLD >OMO70144 pep supercontig:CCACVL1_1.0:contig11866:37574:37915:-1 gene:CCACVL1_19086 transcript:OMO70144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFQQEETLIRTFYLDEIEEPDLAAPSKSIEVDDEILPSLYLSVSISRLSGELSEPRKSIRTGTPKRRFDIEGEAFLVAQLNDEPTVQQALVAPNRDEWMKAMEDEMDEISYR >OMP10895 pep supercontig:CCACVL1_1.0:contig01793:194:688:-1 gene:CCACVL1_00754 transcript:OMP10895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLSPSRDEYYMVAAGNSLALNQGIQEEQVVPARYRQEFLTIAWEQVHLRSIFPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQVALDSGVPAIADHEGKIISADTDKIKIIFSGNGDTLSIPLVMYQRSNKNTCMHQTPRVRE >OMO53029 pep supercontig:CCACVL1_1.0:contig15359:17418:18712:1 gene:CCACVL1_28936 transcript:OMO53029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRTDNASSNVEAEKLQSSTNSTMAARSEEVEPLNEHAVPDPEAVAAEVANIIDMW >OMP04941 pep supercontig:CCACVL1_1.0:contig05559:846:944:1 gene:CCACVL1_02090 transcript:OMP04941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKPNSSQVPTAAAPLGARTPVNGIDKRWQRKA >OMO73218 pep supercontig:CCACVL1_1.0:contig11283:6491:6680:-1 gene:CCACVL1_17393 transcript:OMO73218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPTQSSTIPVKTLQQVPVILNRLAAPLGSPSNSALHSFALSLTPAKHCPILPTKLARPGVE >OMO85230 pep supercontig:CCACVL1_1.0:contig09651:10224:11630:1 gene:CCACVL1_10339 transcript:OMO85230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein MDTYLFRFFTISFFMIAVSLMSTDTILVRARRLIETPCPEDDLSIPELPNIPKSNISDHPEFPKPGLSKFELPKSEQQKVSELCKPELSKVPELLEPDVPKVSELQKSKVPEELSNPERQNVPKPNIPNQPELPKPEFLKPELPKPEQHKVSELSKPELMKDPELSKPEVPKVFELQNSKVLDELSKPKRLNVPKPNIPNQLELSKPELPKLEQQKVSELSKPELVKDPELSKPEVSKVYELQKSKVPDQHHEQHKVSELSKPELVKDPELSKPEVPKVSELQKSKVPDELSKPEHPNVPKPNIPSQPQLPMPELPKAELLKPQQQKVNELSKPELVKDLELSKPEVPKYSELQKSKVPNEHSKPEHLNIPNPNIINQAELPKSFYSKVESNKPVVPGQSIVLIHPVRGKVVPNFYPKVSEWLKPELSKPPKLPKVPEFLKVPELSKLGAKGSAVGEIRGQIFRVNKA >OMO85229 pep supercontig:CCACVL1_1.0:contig09651:5468:6586:1 gene:CCACVL1_10338 transcript:OMO85229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein MATHRFPSFTLPFFLMALSLTISIDARRLLETSVPKQPELPKPELPQVPPLPKVELPKPELPNLTKPEIPKPLEFPKPELPKTPELPKVPELPKVPELPKLELPKTPELPKVPELPKSEFPKVPELPKLELPKLEAKVPELPKLELPKVPELPNPELPKVPELPKPELPKVSELPKPELPRVPELPKPELPKVPELPKTPELPKPEFPKVPELPKPQLPKLDAKVPELPKPELPKVPELSKPELPKIPELPKPELPKVPELPKPELPKTPELPKPELPKVPELPKPELPKLEAQVPELPKPEMPEVPELPKTELPKVPKLPKPELPKIPELPKPELPKIPDLPKPEEPKVPELPKPELPKPELPKLPDLPKP >OMO85232 pep supercontig:CCACVL1_1.0:contig09651:17564:28099:-1 gene:CCACVL1_10341 transcript:OMO85232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKTDETQLNRLENQVDNGGGGAWEYLCLVQIAQSQAFRQSIEAWENKAKEDALKLHGALQKKCNDLEAKFGKLEKDNSALTNQFASLKRSKDEEAGTFEVFKIQMQKEIDDLKVFNSPFLWCFWFG >OMO85233 pep supercontig:CCACVL1_1.0:contig09651:29780:30331:1 gene:CCACVL1_10342 transcript:OMO85233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNTVVAGARYLLETEKQGIPSKMPELPKMAEMEAKVAEDQKKHEMYNDQKSEEEEEEHEKEKEEYEKPEHEEHEKHHHHHEKEKHEHKKHECKHEKHEKHEEEHEEHEKHEEKEEHEEHEKHEEEHKEHEKHEEKEQPKKEEEKEHEYWKKPEEEQPKWPEFPKSEMPNFPGWPMANNNP >OMO85228 pep supercontig:CCACVL1_1.0:contig09651:1934:3043:1 gene:CCACVL1_10337 transcript:OMO85228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein MALSLMTISVDARRLLETSVPKQPELPKPELPQIPPLPKVELPKPELPNFPKPEIPKQPELPKPEFPKTPELPKVPELPKVSDLPKPELPKTPELPKPEFPKVPELPKPELPKLEAKVPELPKPELPKVPELPKPELPKVPELPKPELPKTPELPKPELPKFPELPKLELPKVPELPKPELPKVPELPKPELPKVPEFPKPEFPKVPELPKPELPKVPELPKTELPKVPELPKPELSKLETKVPESPKPELPKVPELPKVPELPKPELPKIPELPKPELPKVPELPKPEFPKVPELPKPELPKLEDKIPDFPKTNKVPKLPKPELPKVSDLPKPELPKVPELPKPELPKIPKAELPKPQLPKLPDLPKP >OMO85231 pep supercontig:CCACVL1_1.0:contig09651:15505:16902:1 gene:CCACVL1_10340 transcript:OMO85231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hydroxyproline-rich glycoprotein family protein MAVSLMSSNTILIRARRLTETPCLREDELSEPELPNVSKSNIPNRPELPKLELPKLELRKPEQQKDSELSKPELWRVLELSKPEVPKVSELQKSKVPDELSKPEHPNVLKPNIPNQPELPKPELLKPELLKPKQQNVSELTKPEFSKVPELAKPAVAFELQKSKILDELSKPEPRNVPKPSIPNQPELPILELANPELPKPKQEKDSKMSKSELSKVLELSKHEAPKFSELQKPKVSDELSKPERPNVSKPNIANRPELSKPELPKSELPISEQHKVSELSRPELSKIPELSKTEVPKVSELQKWKVPDELSKPECPIVPMPNIPNQSQLPKPELAQLELPKFEQQKVFELSKPELSKDLELSKPDEDVLKVPELQKSKVSDELLKPECPNVPKKNIPNQPELPTPELSKAELQNLNKKKFPSCQNLNCRKFQSCRSLEFLKFLSYESRKFRMNSQNKRVQIFPS >OMO85234 pep supercontig:CCACVL1_1.0:contig09651:31622:31930:1 gene:CCACVL1_10343 transcript:OMO85234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHYHSKSLILVPIFFLITIWSMSSNNAILVQARNLLEETSPEIPDELPKPELPPVELPTLPGFPSLPEIFKSIFPTFPNKEIPEPNKLTSSQSTTSTTTP >OMO73159 pep supercontig:CCACVL1_1.0:contig11291:12121:16095:-1 gene:CCACVL1_17432 transcript:OMO73159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIFSLLMFMHLSTFFASGLEVKSKICGADHIAYSNLYGHELFYLNGNLVDKVLFCKALQLHYADHCVFEGYIGTDYCGLDLSSVDLSLEGGRKLLQEPPKEDEKADQRPKGYSVPAKVGMAAAAGLFLTCCVFICPCLFRKRRADAHTVLEKDPNSMDSTSPLEMSIHSPPEKVPPSPLRVPASPSRFSMSPQLSRIGSVHLTMTQVTRATRNFSSALQIGEGGFGIVYKAQLDSGQVVAIKRAKKEHFENLRTEFSSEVELLAKIDHRNLVKLLGYVDKGNERLIITEYVPNGSLREHLDGQRGRILDFNQRLEIAIDVAHGLTYLHLYAEKQIIHRDVKSSNILLTESMRAKVADFGFARLGPMDSDQTHISTKVKGTVGYLDPEYMKTYQLTPKSDVYSFGILLIEILTGRRPVELRRPVEERVTLRWAFHKYNDGHAVQLVDPAMEEAVDAEVLVKIFALAFQCAAPVRNDRPDMKSVGEQLWAIRADYLKSSRKV >OMO73158 pep supercontig:CCACVL1_1.0:contig11291:7166:11193:1 gene:CCACVL1_17431 transcript:OMO73158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MSDNALLNRDESQDHESLTVPLLYHRRSLNSTSQVAIVGANVCPIESLDYEIAENDFFKQDWRTRGKVKIFQYIFMKWMLCFLIGAIVSLVGFCNNLAVENIAGIKFVVTSNMMLAGRYWMAFLVFSASNLVLTLFAAIITAFIAPAAAGSGIPEVKAYLNGVDAPGIFSLRTLIVKIVGSIAAVSSSLLVGKAGPMVHTGACIAALLGQGGSRKYGLTWKWLRFFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALEEMASWWRSALLWRAFFTTAIVAIILRALIDVCLSGKCGLFGKGGLIMFDAYSANVSYHLADVPAVLILGVIGGILGSFYNFLLDKVLRVYNLINEKHAAYKIILACSISIFTSCLLFGLPWLATCQPCPSDAPEACPTIGRSGNYKKFQCAPGHYNDLASLIFNTNDDAIRNLFSKNTDSEFQHSTMLVFFVTCFLLSILSYGIVAPAGLFVPVIVTGASYGRFVGMLLGPHTNLNHGLYAVLGAAAFLGGSMRMTVSLCVIILELTNNLLLLPLIMLVLFVSKTVADAFNGNIYDLIMKAKGFPYLEAHAEPYMRQLAVADVVSGPLQLFQGIEKVSNIVHILKTTTHHGFPVIDEPPHSESPILYGLILRAHVVALLKKRAFLSNPVRIGVEALRHFSADDFAKKGLGNVDKIEDIELTEEEMEMFLDLHPFTNTSPYTVVETMSLAKALFLFRQVGLRHLLVIPKIANLLATALFKLAY >OMO73157 pep supercontig:CCACVL1_1.0:contig11291:1148:2669:-1 gene:CCACVL1_17430 transcript:OMO73157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adipose-regulatory protein, Seipin MMEEDPNYNVIPKPADWFTTLISLQADLLYNCFVTFSSPFLAVLSLASESYHRASETTASVETAVQKVPSNITHGSAMLLKRLGLGLLGAAQVCMVLVLVMGLAALVGIGLVQLWLEEPVVVREKLFFDYTEVNPNAVFGLTLSATGTGTGTGGGFDAKVSYRKRQINYVPVGHTFHLHLLLLMPESDFNRHIGVFQLTAELLSTTGNVIAKSSQPVMLRFRSLPVRLARTFLMGIPLLLGISSETQKLKVEILRYKEGHPRSGSVRVTLVPRAGTSSLPQLYEAEIIMNSQLPWTKQLVHNWKWTLYVWTSLYVYIIFLVLLISCFRPLFFPVTTTA >OMO78538 pep supercontig:CCACVL1_1.0:contig10570:5945:7738:1 gene:CCACVL1_14320 transcript:OMO78538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MEDWESVLSGSPSQEQSILRLIMGDIEDPSMGLNKILQPGAGSHHQDMEFNAGFGMVDQGFGFDSITSAATNLVTNIDPPLLGSVSVSTQTNPVFTSSSPNLLPPPGVFPQHHQQQLQSLDGLDEKPQIFNPQVIINQNQAQFTQNPAMFLPLSYAQLQEHHLLSPPPPKRLNSGPINYQVPKVPFSGSGQELYLRRQQQQLQMLHQQQRPTSGSKPKIVSEELANQQLQQAIIDQLIQAAELIETGNPVLAQGILARLNHQLSPVGKPFIRAAFYFKEALLLLLRLNSTNNNTNTSALSTYNMIFKIGAYKSFSEISPVVQFANFTCNQALLEVFEGCNRIHIIDFDIGYGGQWASLMQELVLRNNGAGAPSLKITAFASPSTHDDLELGFTQDNLKHFATEINMAFEIEIMSLESLNSGSWPLPLHLPETEAIAVNLPISSFSNYPSTLPMVLRFVKQLSPKIVVSLDRGCDRTDVSFPHHIVHALQSYSGLLESLDAVNINLDALQKIEKFLVQPGIEKIVMGRQRSLERTPPWRSLFVQSGFSPLNFSNFTESQAECLVQRTPIRGFHVEKRQSSLVLCWQRRELISASAWRC >OMO63089 pep supercontig:CCACVL1_1.0:contig13055:35005:35997:-1 gene:CCACVL1_22485 transcript:OMO63089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVPLFLCLFLFISNFFSPSLSEKCNPQDKKVLLQIKKALNNPYLLASWDPKTDCCDWYCLECDLKTHRVIALTMFSDDRLTGQIPAQVGDLPYLETLLFRHLPNLNGTIQPSIAKLKNLKTLRLSWTNLSGPVPDFLSQLKNLTFLDLSFNNLSGSIPSSLSKLPNLLALHLDRNKLTGSIPESLGRFKGNVPDLYLSHNKLTGTIPASLGNLDFNTIDFSRNLLEGDASMLFGSNKTTWQIDISRNMFQFNLSKVEIPKSLSRLDLNHNKIFGSLPQALTGAELQFLNVSYNRMCGQIPVGGQLQSFDYSTYFHNRCLCGAPLESCK >OMO63090 pep supercontig:CCACVL1_1.0:contig13055:41884:44274:1 gene:CCACVL1_22486 transcript:OMO63090 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MNPAGGANQKEEFKLKDMKPQLGERWPHGGMRGGGGWISSERATSTYDLVEQMFYLYVRVVKAKDLPTNPVTGSIDPYVEVKLGNYKGKTQHFEKKTYPEWNQVFAFSKDKIQSSILEVFVRDREMVGRDDYIGKVIFDMNEVPTRVPPDSPLAPQWYRLEDRQGNTKVKGEVMLAVWMGTQADEAFPEAWHTDAASVQGEGVFNIRSKVYVSPKLWYLRVNVIEAQDVEPHDRSQLPQAFVKAQVGNQILKTKLCPQKTINPMWNEDLIFVAAEPFEEQLYLTVENKVTSAKDEVMGRLILPLHIFERRLDHRAVHSKWFNLEKFGFGALEGDKRHELKFSSRIHLRVCLEGAYHVLDESTMYISDQRPTARQLWKNPIGILEVGILSAQGLQPMKTKDGRGTTDAYCVAKYGQKWVRTRTIMESFNPKWNEQYTWEVYDPCTVITLGVFDNCHLGGCEKPASGSGAKNDSRIGKVRIRLSTLETDKIYTNSYPLLVLQPSGLKKMGELQLAVRFTCLSLANMIYLYWHPLLPKMHYLHPFTVNQLDSLRYQAMNIVAVRLGRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIVSLFSGVIAMSKWLGEVCHWKNPVTTILVHVLFFILICYPELILPTMFLYMFLIGIWNYRFRPRHPPHMDTKLSWAEVVHPDELDEEFDTFPTSKAQDVIRMRYDRLRSVAGRIQTVVGDMATQGERFLALLSWRDPRATSLFVIFCLFAAVALYVTPFKIIALVAGLFWLRHPRFRSKLPSVPSNFFRRLPSRADSML >OMO63085 pep supercontig:CCACVL1_1.0:contig13055:564:3269:-1 gene:CCACVL1_22481 transcript:OMO63085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MEVLQHFSHKHPLVFIQDGPGPETAEEEENEACYCYGCKEKVEGSRYYCITGCKFCFHKMCAELELGLEIDNPIHPHPLILLPNPPFPENKSCSCSLCRYECLGYVFHCNTCDFFLDIDCAFLPLSAFGKISLLEHFSHQHPLLLIEKPTERLLYGRCDACREQLSGPIYRCLRCLDFGSDLHKQCAEDPPLEINPPFHPHPLFLLPTPPNRHEKFSDKCNVCNRTCSGFVYHCKPCDFVLDIICGFFQLSTITKFPKLKHFSHQHPLLLIHKEFNQVFVYPCTACEQALSRGTIYRCVDCPWNHLTLLTRSPRFKIRNGVRTSICRFCHGPFTGFVYRCDSCNFDLHVNCALLQLYNAGNFHTLQHFSHEHPLIFNENYNKDVNSHCSGCRKLLSGPFYRCMDCNSFDLHGECAELPLEINHPYHRRHPLTLLPNPPIHPEKCSCYWCKIQYEGFIYYCSSCDFGLDPENVSLPRMITVASHEHPWILLSMCISFICNFCGTDGECAPFVCTTCDRLVHKNCISLPQKIKTRRHEHTISHKYFLPEMQFGKWECKICHDEVNTEYGSYCCSASGCNYIAHVKCATAGVNWEEAVEDQDERLKEPLSLITDVIEEMTVGEETIAIEIKHAYHEHSLIMTFVGDVEEDCVCNGCMRSISVPFYSCKECDFFLHRLCAELPREKEHTIHKHSLTLIKMNSYACCVACNRFHHGFRYKCKRVSCRFEIDIQCSLLSDSLMHPSHEHMLLLTDKYKGNCSQCNDKNRLAYRCKERCGFALGFECVTLPLTTQFKYDKHPLSLTYYDGSDPSQLYCDSCEEERHRKDWFYHCEKCDNSVHPICVLGDLPFIKIGNLQVFEPYPHIVKFVNKFWNSPRCHVCGKPCSGQALECTNSGRTFHFKCVTG >OMO63086 pep supercontig:CCACVL1_1.0:contig13055:5114:8572:1 gene:CCACVL1_22482 transcript:OMO63086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MASHSTSSTDITQINATNHLPLKLNSTNFPSWQLQLDSLLVGLDLNGYVDGTHPCPAKSLTTDGTATLNPAFTKWVRQDKLILHAIVVSVSEAVVPMIVSSKSSQEAMSKLTNIFAGKTRSRVMSLKKKLTLSTQGTKSVADYIQSVRSTADELALAQAPVSEDDQIIFILNGLNPEFREISTAIRARETAISLEELHDKLTDFEAVIKQDELTAAPTIMAHLTTRGKGPQNRNYVQPRGNNFRASTPVANIVQSSNYVDAQNWVVDSAATNHVTSDLNNLSLYTDYGGPEEILVGDGSDNGGEYESLSSLLASHGIKHLQTPPHTPQHNGVSERKHRHIVETVQQVSAAKALPVVSDDSLVSFIPSTSVPNSPVCSVVPSSSSVLTSSQEWREAMTVEFNALVNNGTWTLVPPCTGQNVVGNKWVYRIKRKPDGSIDRFKARLVAKGFHQRPGLDFTETFSPVIKPTTIRTVLCIALSSGWSLKQLDVNNAFLQGTLTDEEYMAQPPGFEDKDHPSHVCKLHKAIYGLKQAPRAWYQELSTFLLQYGFINSTADASLFVYKSGSDVIYFLVYVDDLIVTGNNQHVVDQFLAQLSHRFSLKDLGNLNFFLGVEVISTASGLFLSQHKYIRDLLDKFDMSNAKETVTPMAAGIQLKLQDGTSPADATLQTISRLLAVSISDKA >OMO63088 pep supercontig:CCACVL1_1.0:contig13055:31156:34612:1 gene:CCACVL1_22484 transcript:OMO63088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVVHLAGTGDHSFERRLRLGSPLLKENIATMVLESPFYGKRRPMLQRGAKLLCVSDLLLLGRATIEEARSLLHWLDSEAGFGKMGVCGLSMGGVHAAMVGSLHPTPVATLPFLSPHSAVVAFCEGILKHGTAWEALREDLAAKEAAMTLEEVRERMRNVLSLTDVTRFPIPKNPNAVIFVAATDDGYIPKHSVLELQKAWPGSEVRWVTGGHVSSFLLHNGEFRKAIIDGLDRLKWKESSS >OMO63092 pep supercontig:CCACVL1_1.0:contig13055:62940:68962:-1 gene:CCACVL1_22488 transcript:OMO63092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRGNMMNHSNIQLDQHNHHHQNHQHHQNQQHQIQEHHQNQIPYAVMQSSSSSSIPPGNFISKDTGAYDLGELDQALFLYLDGQDPSTIQEQRHNSGMRPPTLNIFPSQPMHTSTGLVSPATSGSKRPSEPSMELANARNDASASAPDQPAKAVKREGNRKGPTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLETSRIRLTQLEQELQRARTQGMFFGGGGVLGGEQGLPVGINNLSSEAAMFDMEYARWLEEHHRLMCELRAAVQEHLPENELRLFVDNCLAHYDEMMNLKGMVAKSDVFHLVSGMWKTPAERCFMWMGGFRPSELIKVILNQIEPLTEQQIMGICGLQQSTQEAEEALSQGLEALNHSLSDIITSDSLSCPPNMTNYMGQMAIAMNKLSTLEGFVRQADNLRHQTIHRLHQILTTRQAARCLLAIAEYFHRLRALSSLWLARPRQEQ >OMO63087 pep supercontig:CCACVL1_1.0:contig13055:25501:26182:-1 gene:CCACVL1_22483 transcript:OMO63087 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase II, eukaryotic-type MDRDRVLRKEAARAGDKRYGNCTLIIVEEKSATSFVSFGAEEDFDYYGVFPLQGKLKNVRGTPKPIKERQEKQAPKPIEEKPVLEELADMLGIKKDTDYDQESVKDLRYQRIIMMMDQDVDGVHIKGLLINFFNVTYLSLLKVPGFLNDCKTPICMAVQQSNVLKTLTITQEELNGRMERMKH >OMO63091 pep supercontig:CCACVL1_1.0:contig13055:44990:46447:-1 gene:CCACVL1_22487 transcript:OMO63091 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L6E MAPRKTPVKCRNPDLIRGVGKYSRSKMYHKRGLWAIKAKNGGVLPRHDPKPKAAAAAEKPPKFYPADDVKKPLLNKRKPKPTKLRASITPGTVLILLAGRFMGKRVVFLKQLASGLLLVTGPFKVNGVPLRRVNQAYVIATSTKVDISGVNVEKFDDKYFAKEAGKKKKKTEGEFFEAEKDDKKKLPEDKKEDQKAVDATLLKCIEAVPDLKAYLAARFSLKSGMKPHELVF >OMO89396 pep supercontig:CCACVL1_1.0:contig08738:3253:4766:-1 gene:CCACVL1_07863 transcript:OMO89396 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MGCSFVSCFLLLISVFSLADCGSIGVNYGRVGNNLPSASKVVELLKSQGLNRVKVYDTDPAVLHALAGSGIKVTVDLPNEQLFAAAKSTSFAKTWVERNVAAYYPHTEIEAIAVGNEVFVDPHNTTKFLVSAMKNIHEALVKANLHSNIKVSSPIALSALQNSYPSSAGSFRPELVEPVFKPLLEFLRQTGSFLMVNAYPFFAYESNTDVIPLEYALFRENPGVVDPNNGLRYFSLFDAQIDAVFAAMSALKFEDINLVVTETGWPSKGDENEIGASVENAAAYNGNLVRRILTGGGTPLRPKADLTVYLFALFNENKKFGPTSERNYGLFYPDEDKVYDIPFTVEGLKNYRDHPSPVSGNQQKPTVPVNSGGGSVSKSTTGNTWCVASGEAGKEKLQAALDFACGEGGADCRPIQPGSTCYNPNTIEAHASFAFNSYYQKKGRQMGTCYFGGAAYVVTQPPSAYSIPYPF >OMP07997 pep supercontig:CCACVL1_1.0:contig04082:88:171:1 gene:CCACVL1_01180 transcript:OMP07997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIMLLLLHLDFLTSLKIWVLDKICVVM >OMO96420 pep supercontig:CCACVL1_1.0:contig07472:22567:22844:1 gene:CCACVL1_04958 transcript:OMO96420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAAPPPISPPPPQETALHQDDTTEEDENVKQLGDCTAVYLSLQDCLINSNRDWKSCQK >OMO96419 pep supercontig:CCACVL1_1.0:contig07472:11318:14506:-1 gene:CCACVL1_04957 transcript:OMO96419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVDGHFHPTAGNVTDSAYVPFISYLQHRLIRLGRRKFKLTRNRSPCDDQESDEEEVDSPADMFRWSTILKVPHRLRKVSEDAYTPQIISIGPYHRGLPHLKEMEEYKKQCLTRFTEKIGQEKVDRYMKDMNIEEARKFYSPSLDLAGKPEAFKEMILLDGVFLVELLCAKKIWDIAPIFKIKWIQNALLHDLLLLENQVPFFVLADLYRLTVEDNPKDGKGFASKAFSVLFTLLPGPRDFMFRYHIITINDTTDNIMHLLSLVHDRWSPSVEGIQRHEKFYCGKKGKKVQGDKESGVIISTKGESSYLKYWKRWFARDDENLGEGLAEWQSIRCATELEEAGIKFVKTGVNRWNNVGSLFDISFKDATLKIPTLVVDDNTERLFRNLIAYELYAQGSTYVMDYVTFMDNLINSDKDVKLFRLSGVIVNLLGDDEAVAQMINKLRDHVTLSGDLFYYEEIFVDVKKHCGRRWNIWKAKLRRDYFNSPWALLSFMAALLIVLLTIGSFVTSLLALG >OMO96421 pep supercontig:CCACVL1_1.0:contig07472:23602:24577:-1 gene:CCACVL1_04959 transcript:OMO96421 gene_biotype:protein_coding transcript_biotype:protein_coding description:importin-5-like protein MDKASSRIIQQMEEALLPRLLLSFLSCYRVQGIGLITILPPKIPGNIMHLLSLVHDRWSPSLEGIKKKEGEEMKRQGDEESCVISDKANRRYLKYWNRCFARDNEKVN >OMP12328 pep supercontig:CCACVL1_1.0:contig00130:2140:2304:-1 gene:CCACVL1_00036 transcript:OMP12328 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative tetracycline transporter MEKLSGLSHLFMTIFLHTFATFMVIPGITDVTMAALCPGKDECSLAIYLTGFQQA >OMP12332 pep supercontig:CCACVL1_1.0:contig00130:18826:19578:-1 gene:CCACVL1_00040 transcript:OMP12332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKQVKPKNNFLKFLPKAASAVSVSFQNPPFSPGRDTAKLKSHAARGFSGPIVSIIPDEARRKSKSETFETQEPTSPKVSCMGQIKHKKSIKKARRVLPPRDLKPVSVSGSSSSSSKEVKKHASKLRRIFSMGKPPRKSDATTKKTELSDRAPSLGQMKRFASGRDAFASFDWTAQIAPVDGDNRDYYSDEEKRDSDFEDEEVIIPFSAPMTVGGGELPLQPRKEINLWKRRTMNPPRPLQLNPMVTAN >OMP12330 pep supercontig:CCACVL1_1.0:contig00130:12533:13621:1 gene:CCACVL1_00038 transcript:OMP12330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MDSLALFCTGALLAFGLYWFVWVLGPAEVKGKRAVDLSGGSISAEKVQDNYKQYWSFFRRPKEIETTEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSIPGKSHREATRLHEEMAVDLINVKPGERILDVGCGVGGPMRAIAAHSRAKVVGITINEYQVNRARLHNKKAGLDSLCEVVCGNFLEMPFPDNSFDGAYSIEATCHAPKLEEVYAEVFRVLKPGSLYVSYEWVTTDKYRAENPEHVDIIQGIERGDALPGLRSYADIAKTAQKVGFEVVKEKDLAKPPAQPWWTRLKMGRIAYWRNHILVTVLAAIGIAPKGTVDVHEMLFKTADYLTRGGDSGIFSPMHMILLRKPEVPPSKSS >OMP12331 pep supercontig:CCACVL1_1.0:contig00130:15657:16556:1 gene:CCACVL1_00039 transcript:OMP12331 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MATITNLLSKPLASDLSSIPKITSICPHASFLPSFVTRPRPFSTKLESSRSGRFITKATAAPKTKKAPSDERVKQVHSIEEFDEALKSAKNKLVVVEYAASHSKQSSKIYPFMVELSRTCNDVEFLLVMGDESEQTKELCKREKIEQVPHFSFYKSMELIHEEEGIGPDQLMGDVLYYGDNHSAVVQLHCREDVEKLIEDHKADHKLIVLDVGLKHCGPCVKVYPTVIKLSRQMDTVVFARMNGDENDSCMQFLKDMDVIEVPTFLFIRDGEICGRYVGSGKGELIGEILRYQGVRVTY >OMP12329 pep supercontig:CCACVL1_1.0:contig00130:4686:4760:-1 gene:CCACVL1_00037 transcript:OMP12329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRTMRVENNDAHGQSAMDLILI >OMO88841 pep supercontig:CCACVL1_1.0:contig08914:10374:30706:1 gene:CCACVL1_08169 transcript:OMO88841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MLVPHNDFVEGPQPMEVAPEAASTVDAQAVDDPPSGRFTWTIENFSRLNTKKLYSDVFFVGGYKWRILIFPKGNNVDHLSIYLDVADSSTLPYGWSRYAQFSLAVANQVHSKLTIRKETQHQFNARESDWGFTSFMPLGELYDPTRGFLVNDTCIVEADVAVRRVVDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCKDVYASFDKYVEVERLEGDNRYHAEQYGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPQADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDHWFKFDDERVMKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTVIKVARNEDLVEQIGKDIYFDLVDHDKVRSFRIQKQTPFNVFKEEVAKEFGIPVQYQRFWLWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKANNAELKLFLEVELGQDSRPVPPPEKTKEDILLFFKLYDPYKEELRYVGRMFVKGVGKPIDILTRINKMAGFAPDEEIELYEEIKFEPTVMCEHIDKKLTFRTSQLEDGDIICLQKLSEIGTEQCRYPDVPSFLEYVHNRQVVRFRSLEKPKEDEFTLELSKLHNYDDVVERVAHHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLTDMLLHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVLDDLKTKVELSDPNSELRLLEVFYHKIYKIFPLSDKIENINDQYWTLRAEEIPEEEKNIGPNDRVIHVYHFMKDTAQNQVQVQNFGEPFFLVIHEGETLAEVKLRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDSDVVSTRFQRRDVYGAWEQYLGLEHSDNAPKRSFAANQNRHTYEKPVKIYN >OMO67237 pep supercontig:CCACVL1_1.0:contig12457:3836:8966:-1 gene:CCACVL1_20682 transcript:OMO67237 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/VHS/GAT family protein MEVDEKRNGFEKEEEKEEYHDEDDSLSELLIDRFRLSSISIAEAEAPKWHLLIDRGIYFLQAKDVLKGIKKKLGSKNSKVQLLALTPDYHVKEKILTLIDTWQEAFGGPTARYPQYYVAYQELLHAGAVFPQRSERSEPVLTPPQTQPLSSYPPNIHNYDRQDMAESSAESEFPLSNPEFWSVALLAFCCLTEMQNVLGIMDVLAEMLNALDLGNKEGLQQEVIVDLVEQCRTYKQRVVHILLIQHSMHHFLKSLTSRMDLIYGFIQFFEFISWYAAFVYKEVAHALKINSVYSKRKLLSIHENLARLPFIPSFSDEGKLPLSLVATRCAEGLKWELLLRMGYGAPPCLLVYLCVCLRVCCVSSRVPSCLASPHCGGYVFVCVMCLLMYLRVWPRLTAEAMSSCVSCVFSCTFVSGLASLRRLCLRVCCVSSRVPSCLASPHCGGCLRVCCVSSRVPSCLASPHCGGYVFSCIFVSGLASLRLLVYLRVFACCLSC >OMO67238 pep supercontig:CCACVL1_1.0:contig12457:10927:12528:-1 gene:CCACVL1_20683 transcript:OMO67238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta subunit-like PLP-dependent enzymes superfamily MASSCSLFHSSLSSKPNLTFLHHQNYPKLNRPAPTVISCTSSTFDPSHATSSSNNNSPSPQKNRRLADENIRDEARRHRSTAKNTFSAKYVPFNAGPDSTESYSLDEIVYRSRSGGLLDVQHDMEALKKFDGAYWRELFDSRVGKTTWPYGSGVWSKKEWVLPEIDPDDIVSAFEGNSNLFWAERYGKQFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKLKRPVVGVGCASTGDTSAALSAYCAAAGIPSIVFLPANKISIAQLVQPIANGAFVLSIDTDFDGCMKLIREVTSELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFKMCQELGLVDRIPRLVCAQAANANPLYLYYKAGWKDFKAVKANTTFASAIQIGDPVSIDRAVYALQNSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALIKLRNSGVIGAGDRTVVVSTAHGLKFTQSKVEYHSKEIPDMACKFANPPHQVKADFGSVMDVLMKFLGDKAPKH >OMO82601 pep supercontig:CCACVL1_1.0:contig10006:10041:10100:1 gene:CCACVL1_11868 transcript:OMO82601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EARVQLVLASRLALMQWPA >OMP08309 pep supercontig:CCACVL1_1.0:contig03876:22698:23355:1 gene:CCACVL1_01130 transcript:OMP08309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFIISKNIILFSIAIALFMLCFSSKEAVADEGTATFYTPPYVPSACNGFENDGVMIAAASDAFWGNGAACGRNYKVTCTGATNQGVAHPCRGSDGVVVKIVDYCPSPACRGTIDLSQEAFAAIADPDAGKIEISIEQI >OMP08308 pep supercontig:CCACVL1_1.0:contig03876:5170:11003:1 gene:CCACVL1_01129 transcript:OMP08308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKFQQSHHHSVAVKVNEQMGTKRGYTVIQSKRAWLPKFLLLWVIFVAIISIRWYKSMDDENKVRREEVLSSMCDQRARMLQDQFSVSVNHVHALAILVSTFHYLKNPSAIDQETFAEYTARTSFERPLLSGVAYAERVVHSEREKFERQHGWTIKTMEREPSPIRDEYAPVIFSQETVSYIESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPPKPTVEERIEATAGYLGGAFDVESLVENLLGQLDGNQAILVNVYDITNSSDHLIMYGHENQDGDMALLHVSKLDFGDPFRKHQMTCRYHQKAPTSWSALTTAFLFFVIGLLVGYILFTAANHIVKVEDDFHKMQELKVKAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTDLSSTQRDYAQTAQVCGKALIALINEVLDRAKIEAGKLELEAVPFDLRSILDDVLSLFSEKSRNKGVELSVFVSDKVPELVKGDPGRFRQIITNLVGNSVKFTERGHIFVKVHLAESTKPAVDAKAETCLNGGSEEGVLKPGARQFKTLSGYEAADERNSWDSFKHLVADEESRYDASINMTVADETSENVTLMVSVEDTGIGIPLIAQDRVFMPFMQADSSTSRNYGGTGIGLSITKCLVELMGGHISFISRPQVGSTFSFTAVFGRCKKTSFTDTKKSKAEDLPSNFRGLKAVVVDSKPVRAAVTKYHLKRLGILVEVASSVKIAASSSGKNGSSHGSKMQPDIILVEKDSWLSGEDGGLSLWMLDWKQNGHVFKLPKLILLATNITNAELEKAKAAGFADTTIMKPMRASMVAACLQQVLGIGKKRQPGNMLNGSSVLRSLLCGKKILVVDDNMVNRRVAAGALKKFGAAVECADSGKAALKLLQLPHSFDACFMDIQMPEMDGFEATRRIRMMESQANEQMNGCVEEGSARKGEWHVPILAMTADVIHATYDECLKCGMDGYVSKPFEEENLYQAVAKFFQAKPISDS >OMP06380 pep supercontig:CCACVL1_1.0:contig04953:8950:13063:1 gene:CCACVL1_01601 transcript:OMP06380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPIGCGTYFLYPSEGIHRRWDSGYRITSTAATSNQDALILSIPKHKPGDETQVTLCASQFPSTHVKKDCIMKSVHVLGNFSWEEQRMQVCNLFFWYCYPGVGKLVNLEDLLLKQNNTVRRINVTTVGEALLKIRDLLLRLYLWVINMQVKALEKQDVDLKKGFALPTPFTCIAGVLLLDSSPFSLLCDVFLVSSETSVAENRRWTLIIGSLCIQIIAAIDACMYCISSLNVGVLRNNSFTGIITKGITELKELEVLDLGYKNCSTSSP >OMP06377 pep supercontig:CCACVL1_1.0:contig04953:397:3254:1 gene:CCACVL1_01598 transcript:OMP06377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AFDIKEKGSGYRYMVVFQYGSAVLFNIEDHESESYLEMVRRHASGLLPEMRRDDYAIKEQPLLAKDMQGGPDYIVLKNLDTDSIRVIGSVLGQSIALDHFVSQVDGMVEEFAGINRAMEKTGTFTMDRTKLIKLVGKANSNLADVILKVGLFERSEIAWREAKYAQIYEYLREEYEVTQRFGNLDFKLKFVEHNIHFLQEVIQNRRSDLLEWCIIFLLSIENVIGIYEIVRDSTGVSL >OMP06379 pep supercontig:CCACVL1_1.0:contig04953:7212:7400:-1 gene:CCACVL1_01600 transcript:OMP06379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEKKNLADLLKNGVGIGVGRRSITGARTGRESSVEETSRIRWIAEVKDKNRDDRRCGEEG >OMP06378 pep supercontig:CCACVL1_1.0:contig04953:3932:5753:-1 gene:CCACVL1_01599 transcript:OMP06378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKALTKVGSLKVGGLWISKKAKEEFSNITEDLTTFSSTVEEKAKWIFNKLKGKPLKSLPDLLRAYNLPPGLFPQNIVCYEFDETKAKLIVYLSSPCEVSFKDSSVIRYATRVKAILLREKLTGIEGMKTKVLVWVKVTSVAVESSKSDKVWFTAGVKKSKPKDAFKIPRDAVRVEEF >OMO57346 pep supercontig:CCACVL1_1.0:contig14399:38833:44879:1 gene:CCACVL1_25820 transcript:OMO57346 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fanconi anemia group I protein MAATESLQPLNDGDIVRLAQQKQSTNNQQPTVSLPPYLLSPQSHKPLLSYLRSRAASPSPSSAVSEYVISLLSLISLSPETPHVSSLLASLLSSYTQIFPSLPHDSNSLKTISLFNTLLSHVPFNDLKSIIDSVVLNLSCVVTVDDAQLFDLFPQCFELIRNAEEKGGDYVNSAVDMILDSKWSKGFLLKMVSIAKDFSFLDKTRGKEFLEKVFAGIKTVDLMDLPSLVYQLLVLASKGFNKREVIEGIVWFFGSELGSKMTSTVRQVEGTVLLHLNFAVKQDPSLGKEVMGLVKSDLRAFNHFTMAVLLSVSRVRRFSESSITILKTALLAAYRDYKFTKDCKWIPDDMKEEYLKSVKVVEKSVLRAVNESNYGREHMVPSMVHFGFILLESIGEVNFAELCSSKGLLGNEELGIQMLKTLFEVHDMARNEIIEQIKFRILSLKPEQSKPIIRLLSHLIQCYPYPMLEHIPRLKELLDYFTFMDGKVASSVVRALLPLIRFNRDLQDYTILVVRKAMFRREEPVRLAATNSVIDLILAEKQPKGDGLFGFQDSSSQASCSQQADVPCSMGGGLFQELSALLQRCLCQQAKVKEVMYQGLVKLILVDPSIGGLILDFLLPHFLQFFKEEGADVQLGVSHCIKSESGKVLILEPLDCLLSCISWILLLQPHGKADQLSDSVGACFGFSLSQENEDGRNLSSEVFSSALSKIRKFLINANLEEIIGQTQDASAAAVHEEKRNSCALILSGIVEVVVNIIAMDLEKARDQKKVELEKELTEFVDLHDSFSKVACTPRQSNATKKMNIRVTGYDTPENVESGNTKLIQEHIPFLATSSINQLLQIALELYNSESCNSEATSQSHSQSSLGKGLKSFFKIVTFTLNASLRHIKSSILVGNDNPLKKFIYGEINMLGSPLLRLTLLLKSGSNIATSQKKKESKVKKDTEERKEHLYLALLCLKELIAISLSSSRLTSLLENLLSIPELETAGLHDECVMASDIDDQDIRNKELFILKFLKPMLSELMKQPAFRNIEWRNSHGAWAIHICKTSETTNSTIAKSMVRLAISLSSPPTDLHVAQDMLREVLKFMGSNTSESSQESTYHLINQSTTTAVASCLLQITDAVIVDMDWATKKLKAASQVSQRSTHLDQNGENRSGLVFEENIYSRVKAVVELLSSFVLMSLKDSQAEHFLRLTTRFYKHLAQMSKLRIAPKGQKQLLPSLQFQKLVELTCKQLTIPIYNFVAEMQQAQQENANNKGIINKIKRENKSIPELIFQIEDYEKYLIRLSKATKVNLLKHAKRSTSRDFKILESTAVNGEEEPSNRNPDPNNPDTDGNESCSDSEDNEGNGLEKVLSPQSGSPLAEGEESECEAENRASVLTHKRVKRSRIVQDSDDESR >OMO57345 pep supercontig:CCACVL1_1.0:contig14399:30438:34106:1 gene:CCACVL1_25819 transcript:OMO57345 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MAGGGAAPPPKQEELQPHPVKDQLPNIAYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTSLVPQMGGGNEEKAKMIQTLLFVAGLNTMFQTFFGTRLPAVIGGSYTYVPTTISIILAGRYSDIVNPQEKFERIMRGIQGALIIASTIQIILGFSGLWRNVARFLSPLSAVPLVALSGFGLYELGFPVLAKCIEIGLPQIILLLIFSQYIPHMIHGERHVFDRFAVIFSVVIVWVYAHLLTVGGAYKNTGPKTQLSCRTDRAGIIGAAPWIRVPYPFQWGAPSFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPLPPSILSRGIGWQGVGILFSGIFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPPPIIAALYCLFFAYVGASGLSLLQFCNLNSFRTKFVLGFSVFMGLSIPQYFNEYTAVNGYGPVHTGARWFNDMINVPFSSEAFVAGILAMFLDVTLHRKDNTTRKDRGMHWWDRFRSFKTDTRSEEFYSLPFNLNKFFPSV >OMO57344 pep supercontig:CCACVL1_1.0:contig14399:6186:8182:1 gene:CCACVL1_25818 transcript:OMO57344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEFAVGCFLSIKTTLGDEFEGQIIAFDRLSNILVLQEGSKSGPRRNIRLLKANFIKEFTFLRQDEDPLDVKKCFLDLTSLQAREDSAIRQAEADSERIGVGVTSEAQSLFDALSKTLPVRWDKTVIVVMNEVRVSSPYLPESVSGGTPAANERVKKVLEFERKRMQARGASQ >OMO55104 pep supercontig:CCACVL1_1.0:contig14793:104:166:-1 gene:CCACVL1_27389 transcript:OMO55104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PMFCALNVPQSNKTTKEINM >OMO89090 pep supercontig:CCACVL1_1.0:contig08849:16043:16587:-1 gene:CCACVL1_08022 transcript:OMO89090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKAKVGAATRVEGFGHLIHETLVGNIGKNFDAKIFAF >OMO89094 pep supercontig:CCACVL1_1.0:contig08849:53592:54655:-1 gene:CCACVL1_08026 transcript:OMO89094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NFNFPATNPEIMENQASNDDNNAAATVSDVSVEVNPSNSSNPDSQSNNDDPNDDKSSAEALAKALSSMLTSLIKDFDSKAHDTLTSQNLLSSSIDRLTRGREANSAKP >OMO89091 pep supercontig:CCACVL1_1.0:contig08849:23100:23243:1 gene:CCACVL1_08023 transcript:OMO89091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMRWFAGQSISSAFMQPPSDRYQPHSCTPHKYEAKFKVELNMNNVE >OMO89092 pep supercontig:CCACVL1_1.0:contig08849:43338:45879:1 gene:CCACVL1_08024 transcript:OMO89092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQLLQIQYMNSLSSKLKPLFSSSSSSRAIVHFSSFSVPLTPSAPKRRVRARNSVRLSADVAAALKEEWLDSLSCPLPESQDDPTQINADINWVIGVDPDLSGALALLKPDPSSGCSAQVFDSPHLPVRVGNRVRKRLDTRSIVQLVRSLDAPLGTAAYIEQSTPFPKDGKQGWWSGGFGYGLWIGILVASGFSVIPVSSPLWKKEFELTGAGSTKDDSRRIASTLFPSLSDQLKRKKDHGRAEALLIAAYGKGLRMKVDPSLVMEKLVS >OMO89093 pep supercontig:CCACVL1_1.0:contig08849:46873:50833:-1 gene:CCACVL1_08025 transcript:OMO89093 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein-lysine methyltransferase METTL21B-like protein MARQEEEEEDIVCLDESFFRNDDYKLTTFTFGSHVLQLFCLQSACTDFDLTGQLLLRNSGRGHCKFILAYVSRAKMMDTMVIKEANQHGMLINEVAGTRSVVGNLEGVIFEITLC >OMO89317 pep supercontig:CCACVL1_1.0:contig08776:4638:7263:1 gene:CCACVL1_07917 transcript:OMO89317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDDSFLKPAGNRKQSFESYQVQVAMETEGRQFSDFYRNSSEELFLKSLMDTSVGMPVPTMEILSSEMGSLATASQQPGASLQKKKSNEVLPSQTNSIGGETSTDFNQNSMRTAVEKGLQASNLYLAKAWFQSSQPMTRSRSSELRKRYAAMQNAQTSLGMEAAVNPYGNSSLKEELSDINPNDLNQRLAGGKFMSPSSNSNSNSSSSPFNAAQTQAGNNNNNVDKVSSVVSMLKGTLERKKLGNQIEKEDTCIIIIPNHNHNHNNTQEEEEEGNLNQFHEIEIPTSFPDVVQAGSMDLDLEGFVNPTNGNAIQMGADSQSESSAAAPSGFDACDGPTNSGQTLSMCESSKKHNNNNGSKSNDFRERIIDNLKDDRKRGGLVRYGSVTSTGSVERTDPTKKRRVERSRKMAEAKERNSTPPIPSDMQAVLKRCETLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNQELTDEKERLLEEIERIIADSGNM >OMO89319 pep supercontig:CCACVL1_1.0:contig08776:11541:14824:1 gene:CCACVL1_07919 transcript:OMO89319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MAVNLTAGTVARIISREVTSEKELKPVLQVIELKEVQTTGKNPPQQQQEPKKNERFRLLLSDGLLTQQGMLATNKNELVKSSKLQVGSIVQLTQYICNVIQERMIVIIIELDVISEKSDIIGKPVSASKSSRPTQVHADQPGTMMAQTNSLGGSSFGGSMTDKLNSAGTTLQQCRMNPLHGSSFLNESDPVRNSAANSPPVYPKADHSPSFPGSSTSSGLYGVQNTGFHNPRPAGSRPPGTSNRQPISTNQHASPIFTNRGPVSRNVAAPRIIPISALNPYQGRWTIKARVTTKGELKHYHNVKGEGKVFSFDLLDSDGGEIRVTCFNAVADQFYNQIEAGRVYLISGGSIVPAKKAFNHLNNDYEILFSSTSLIQPCYEDDIKIPRQQFHFRSIGDIEGIENNSIVDIIGVVSFISPAGSIMRKNGTETQKRTLHLKDMSGRNVELTLWGNFCNAEGQKLQSLCDSGEFPVLAVKAVRVNEFNGKTVGTIATSQLFIDPDFDAALKLKEWFVSEGRNIPSISISRETSSMIRTENRKTISQIKDERLGTSEKPDWISVVATIAYIKLDNFCYTACPIMNGDRSCNKKVTNNGDGKWWCDKCNRSVDECDYRYLIQFQIQDHTGITWVTAFQESGEDMFGVSAKDLYYLRYENNDDEKFMEITRQVMFNKYVFKLKVKEETFSDEQRVKSTVVKVEKVNFSSESRHLLDLINKIKSNDSSPSAVKAEIATADHGMDHTGVTDGSRHLAPTTAGREYGYPANQGVQYGNQHVGSRLREIASSTDEFCSSCGLAGHIATNCPTVMNGPVESTGMDYSDRSLGRSADECYKCHQTGHWARDCPGSGPPSYGSANFSAGRYGGISRQHVGGF >OMO89320 pep supercontig:CCACVL1_1.0:contig08776:23911:29679:1 gene:CCACVL1_07920 transcript:OMO89320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIKAQADSAYSPTSDHEPSLISLSPLLANLFPDLTTSSSNITCTITKLSPPNSPSSTPTPSDSTSSHDSLHSSTVSSITLPPSAQATTFQFSTFRKDPYHLPCLSHPSLNPFTPIATISRSANRKRIKQLHISISALLSPPVPSSSGPLEVRNEHRRRAVCVPRRQGLDLPLEVLCGSKRCAYGEGRDC >OMO89318 pep supercontig:CCACVL1_1.0:contig08776:8406:9951:-1 gene:CCACVL1_07918 transcript:OMO89318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQEIPDNGNKGFHYVLLRIALALIFPIFAFFSLSFLVGLVAVFIGELSISNPITVPTRCKIVSSSVDIRSSKICELGLLNYNAKHVFYPFERSKFRCRYDYYWASVFQVEYRDHSLGQTRFTFTEAPNEALPLNCRPNFGAAWLTKDKFKVNETYDCWYSGMSKVKLYNDGFFSCQAKDPSTMEMIKRYLIILGFPSHSGPLPFWCNWVFGWPFLILDLSKNGVGNLFCAQLFKDIWEEKMRISECRLPMRR >OMO89321 pep supercontig:CCACVL1_1.0:contig08776:60809:64262:-1 gene:CCACVL1_07921 transcript:OMO89321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTYTKWIHHGEKSDTKFPSHENEDNGSSSNCPHSNDEQMDDGTVQERYGEGPLQMNDIAAEVIESRFVERNKKSKEDTCIENLDQDINVETESESNDDEYDSDLDPTL >OMO71129 pep supercontig:CCACVL1_1.0:contig11723:27963:28658:-1 gene:CCACVL1_18433 transcript:OMO71129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTGFKKEQIKVQVTTAGKLRISGQRPIGDNKFARFWKEIPIPSNRDQNKIRANFKGGMLHIKHPKLIVPAENQDEEEEAKQSAQVYQKPADASLPQKQSEQAPPKAAMEKETGHEKKADEDVSTNNKASATNESDEKGMEKEEKGSSDQQGKATSQKMDDSAENENIESKKVDYGVNKYYQLGMNYKQIVEGLVKELKNPRKLVNSVLAVLLVVVLAVYLRNAIRSFRL >OMO71127 pep supercontig:CCACVL1_1.0:contig11723:21421:22248:-1 gene:CCACVL1_18431 transcript:OMO71127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEPKPVYEEFELQTEWKHEESNDALLAYIPAGFKRKHMRIILISDQIVRISGQRPIGDNKFGRFNKEIPVPLNVDTSKMNASVKDGILWVKCPKPIITPAQKQEEEEEGAKPSEPAPQPQRTENGQQKQTNGPGPEQDVQDAPPKEPSHVSHKAPDITAAANDQAHKTIDGTGKNQQQLEIFKQVLNCLFLELKNPRKGINMVLVVFLVLLLVIYLLQLSFWSIFSHSLCVFENS >OMO71124 pep supercontig:CCACVL1_1.0:contig11723:1230:2949:-1 gene:CCACVL1_18428 transcript:OMO71124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMA >OMO71128 pep supercontig:CCACVL1_1.0:contig11723:27409:27492:-1 gene:CCACVL1_18432 transcript:OMO71128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLEQQRQQCLVPIKGETLKVEYPE >OMO71125 pep supercontig:CCACVL1_1.0:contig11723:14985:15217:1 gene:CCACVL1_18429 transcript:OMO71125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAGSFRLVAGDDAPLQFSPIVGRPHTLVSWLGWVRRMPTYEMGT >OMO71126 pep supercontig:CCACVL1_1.0:contig11723:19502:21068:1 gene:CCACVL1_18430 transcript:OMO71126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Double-stranded RNA-binding protein MYKSKLQELCQQQQWALPRYTCMKDGPDHNPSFKSSVFLNGMSFHSSPSCKSFKESQNDAAKSAFLHFSSSSSSAATPDAVQKVVVGLYKKLLQQLTEREGLSSNPEYKTVKFGRAHSPTFFSTVEVEGVVYHGEGGKSKKEAELNAAKVAYTNLIDEPPPDGDYLVQRMSTLKVVTAAEEKEYDEEQEDKAGQKLLLNATTSPDYSESVLVSPKQGSFSSRIPINFPVPGLQDRSSKLYLPDNITTASLEKEEPDEGEDMKAGEKVVANATTSPDNSSCSKTVPVSAEEGSPSSTLTHVDISGPSIADSTMKEDPELRSYLLNNRVRVYSSFPNIAFPQGITVLPISEDKWVAVSLEFPNERLD >OMO87264 pep supercontig:CCACVL1_1.0:contig09264:14818:18523:-1 gene:CCACVL1_09159 transcript:OMO87264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MDISSWPSDLAYEQWVALPVSGARPSARYKHAAAVVDGKLYITGGSRNGRYLSDIQLFDLRSLTWSSLKLKTEQNAENSDLQEVLPGTSDHRMIKWENKLLLLGGHSKKSSDDMIVRFVNLETHVSGVLETSGKVPVARGGHSVTLVGSKLIVFGGEDRSRKLLNDVHVLDLETMAWSMVEATQKPPAPRFDHSATVHADRYLLIFGGCSHSIFFNDLHVLDLQTMEWSQPQVQGDLVSPRAGHASISIDEMWYIVGGGDNSNGCLETLALNMSKLVWSTLTTLKERHPLASEGLTVCSTTIEGEKHLVAFGGYNGKYNNEVFVMKLKPRDSSHPKIFQSPAAAAAAASVTAAYALAKSEKLDFPQLIDMKFNGVENNVPKKDINIEIDAIKEEKKVLELSIEEVRSENARLRERIDELNSNHTELSKELQSVQGQLISERSRCFKLEAQIAELQKMLESLQTIENEVQILRRQKSALEQEMELSAAQRQNSGGVWRWIAGGT >OMO87265 pep supercontig:CCACVL1_1.0:contig09264:20990:25321:1 gene:CCACVL1_09160 transcript:OMO87265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFIMKHGKTEKDIHNLRQEIEILRKLKHENIIQMIDSFESPQEFCVVTEFAQGDLFQILEDDQRLPEDQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYTHTVDLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDDMSANFKSFLRGLLNKVPQNRLTWPALLEHPFVKETTDEVEVREMHDTNTPAKQSGFASRGEENNIQTPNGKGNSPASDACNSPGLQSDAQQHSPNTVQGNSVLHEEFPGFSNPNDVKQSGNQALDRFESNSRTVNGAQIIGQDNEALALVLLPIKRWSEGSQNACRDQDILHSSQSLQILSNLAAAGALHSGGILDEIQCELLNFTAILVGMKSSDVIELVAKSFSVIRMLLAEDSRSDIIFS >OMO87263 pep supercontig:CCACVL1_1.0:contig09264:8852:11032:1 gene:CCACVL1_09158 transcript:OMO87263 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MSVEESWGTTAPIKEESGNSQPPINRSGFDLHTGIYTSLVQLGDHLKVPTNPYLGITSFVLSQFPHPHVAESKIAYIDSSTNQQLTFAQLKRSIQSLASGLYHLGIRKDDVVFVLSPNSILYPVMCLAIFSLGAILSPANPINTPSEIAKQVIDSGAKLAISAPEELHKLKPTGVPIIVTYGQSSDEDSVSIEELIKCCDPHDDELPEPKINQTDTAAILYSSGTTGTSKGVILTHSNFITMCRLVKWHAEGTSSLNDVFLGFIPIFHIYGLGFFGIGLLCAGVTSVLMPKFDFQEMLDAIETHKVNNLPAVPPVILNLVKYCKGNSKLSSLRRIGSGAAPLSKELTDAFREQFPWIELRQGYGLTESTGATSVFVSDEDAKAHPGSCGRLLPMFCAKIVDVETGLDEESGQIPMAYVVKAAGAKLTQEEVIQFVAGQVAPYKKVRRVGFIDTIPKSAAGKILRKQLILQSQQQILSKL >OMO79274 pep supercontig:CCACVL1_1.0:contig10454:8752:9297:-1 gene:CCACVL1_13793 transcript:OMO79274 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb-related protein MAKQALSDALAPVKSSDELTEQKPSINGYISYAKPGGYASSTENIAKLLKGWMRNPSKLAPANSGATQQSFDNMGGTDSASSEGNDQSKEDKSSIEMPEAFEDSMFVFQSNFDSSNSDFSQSMSPEASLNNFQDESKPEDPTAVPQGQLSLLEKWLFDDGGANQGKDYLSDITLDENANFF >OMO79275 pep supercontig:CCACVL1_1.0:contig10454:10408:10530:1 gene:CCACVL1_13794 transcript:OMO79275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARALTLKGGNANAKVSWWQKESNASSASQNRVAFNVVL >OMP11734 pep supercontig:CCACVL1_1.0:contig00939:11773:13364:1 gene:CCACVL1_00305 transcript:OMP11734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPCWGDKNRVPFMFLCQVFDLISEKASQEIINNIACNMLRIVMETNPDDLIPMVHLSANKIVETGSDSDLGVDESLIIKLLAETYGITEKRVKDKKKTSGDLGKTAENLRSCSSQYVMYKPQPLTVAKVFDTFQIIAKLTGKDSEKKKINCIKPVIIAAGGCQLKYIIWFLLQKSKRIGFGDQTILEALGHAAVYHKNPDPKSVDSCLKLKASNIVKRAISICPVYSKLINVILNGGVWEIPKECGLTVGVPVNGSLERRCEGLIIKDFDGLYEPDIDYIEGVRDSLDLVPIAAYYGKCEGVYGSFLMACYDRANGKYESICKLGIGFTEPKLKEIHSRFQSKVITEPKPDYLYDAVAFNPDVWFETSEVWVVNVRGFTISSDYCAAIGMVKHDKGLSARFPRFIREGDKDPDQATSSEQIAEMYKEQFPKLTQ >OMP11733 pep supercontig:CCACVL1_1.0:contig00939:4479:8187:-1 gene:CCACVL1_00304 transcript:OMP11733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVHLDLSYNLNEETSPKQLLPPDSPDIFDIFGDPQISPRVGDKYQVEIPPMITGTEHFQLLMDPLDTDDIPYLAHSFLLGLPVPVTWTNEDIDFDDQGQGGPNKPDDGGTRVDESFESRKCRKGQNSRRKKISEVHAEYSDARIVDKKEPNAGNLGCGMESKTSLSRPCEGKSSYLIPGLSGDSWNNSEADGFLLGLYIFGKDFGQIKRFIENKEMGDIMSFYYGSFYRSERYRRWSDDQKRSRKKNVYGRKIFTGWRQQELLSRLLSHVLDESKNDLLEVSKSFLEGRTSLVNYVCHLRATVGISALVEAVGIGKGKIDLTVLAMEPPKITQASPEIPSGRDCSSLTPGDIIKLLTGGFRLSKARCNDIFWEAVWPRLLARGWHSEQPKNQCSVTSKHCLVFLVPGVKKFSRRKLVKGNHYFDSVSDVLNKVASEPTLLELDAEAIGIRSSNEENGCVPGESSDQDDPPDRKPCYLKPRVSVFSSNHVKFTVVDSSLVHGGKASKMRELRYAPINLRFTSKPIQKGTQDSRPVNANQMLSKGDKCVTTSQHREGLIASSTARHIKFTIVDTSLLHERKTSGVRELRSLPVNFEISSEINNSLGGSEDSSSEHEPKISSRLSSHGSGSTDIKPISEPVTADELLKGDKNHSEAKYDNDSSSPHPRNSCIADQGLLTHQDEKTNTLEDPRSKRIIKHHFSRRAKRSHPVDSPTKRRKLVARASTELSSLNENFSALSIDVPSPLKRQRLAAFAKTETSNLNENINSNAINLVSPMKRRRLNGCAKTEQSHLTEKFSAEISEQSGVCCSLKSQDEGNNDVQQVTHFQEKVSTIGCSAEGNPESMETHCGSSENLRSFLSIGSHPPPVPKDSQNVESGMAEANGNPILVVNTHDLLKTATDVCTEDQEPIMNTRRQSRRSRPLTTRVLESLESGFFNMKRTETVGDVRTQAIQFSTPYQKARSRVKATSRQGGTGRKTVEAKEGKSTDGAFICSKDSVNKTPEVAR >OMP11735 pep supercontig:CCACVL1_1.0:contig00939:15169:15388:1 gene:CCACVL1_00306 transcript:OMP11735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEKLKWVTNSSLLVDFLIRDVLAIKPGQIRIGLDYGVGTGTFAARMREQNVTIVSTALNLGAPFNEIIALR >OMP10697 pep supercontig:CCACVL1_1.0:contig02013:513:908:-1 gene:CCACVL1_00811 transcript:OMP10697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWGVLVNIGATSVLQAELIGVKEGLRLARSLNVSRLSVGVDSSLAVLLLSSDSCSTFHVLGNLLQDCRGLLREFDHVLVRHVLRGGNKCADYLASLAQSSSHGLTLLEEPPAALGPLLQADRLGIESLRL >OMO84136 pep supercontig:CCACVL1_1.0:contig09787:3562:6269:-1 gene:CCACVL1_10978 transcript:OMO84136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIAARISRRAAASAFSAHRHVISSRNFSTEAAKTITPSSDRVKWDYRGQRKIIPLGQWVPKIAVDAYVAPNVVLAGQVTVNDGASVWSGCVLRGDLNKITVGFCSNVQERCVIHAAWSSPTVARSVNYDAEKSVFLFQRDKASELPNHHVHMVLFPFSSMYEYGLPAETSIERFVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETHSILEAGSVVPPGRRIPTGELWAGNPARFVRVLSHEETLEIPKLAVAINDLSKEHFSEFLPYSTAYLEVEKFKKSLGIAI >OMO84140 pep supercontig:CCACVL1_1.0:contig09787:39572:39715:1 gene:CCACVL1_10983 transcript:OMO84140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPLVWKLPNSTVRLFPGSWKRRPGERSMKSNSPTKIGAQSNIIAD >OMO84137 pep supercontig:CCACVL1_1.0:contig09787:8861:9088:1 gene:CCACVL1_10979 transcript:OMO84137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNQSAAGSMGASTGFYSDSFMHMDHSAKQKKHSNHTGADSYGRVELYYDEGIDDKAASYISGVRKRFSLERGD >OMO84139 pep supercontig:CCACVL1_1.0:contig09787:24261:26291:-1 gene:CCACVL1_10982 transcript:OMO84139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEYSISILINTLVASVATLIVFSVLRIVYVVWWRPKSLEKYFRQQGLKGTSYKLFHGDTKEFTRSSKEAWSKPMPLSHHIVPRVLPFMHQMVQNYGKICLSWKETTPSIIVADAELMKLVLTDKNGHFIKPPLNPLVDLLQLGVAKLEGQKWAKRRKLITPAFHLDKLKEMIPAFATSCCNIVERWTSLLSPEGTCELDVFAEFSNLAGDVIARTAFGSSYEEGKMIFELQKEQAVLALDAFNSFYIPGFRFIPTRKNRRRYDIDRQIKTTLRAMIHKKEQGVKNGELMGNDLLGLLLKCKEQSHNDLTIEDVIEECKLFYFAGQETTANLLTWTLITLSVYPNWQEKARQEVLQVCGKTIPSADKINHLKVVTMILNEVLRLYPPAAFMTRHSNKKTSIGGISIPPGVDIRLPILLLHYDPKYWGDDAEEFKPERFAEGISKASKDQTAFYPFGWGPRFCLGQNFSMIEAKVALAIILQHFWFELSPSYIHAPFLVITLQPQHGAPIIMHRI >OMO84141 pep supercontig:CCACVL1_1.0:contig09787:46094:46870:1 gene:CCACVL1_10984 transcript:OMO84141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTVPTPDQSIHDLTPTAKFVLNGVVRLVDDDMFNGVVRIEPIIMKSILVNGDGVKVEVGTSPINALSSSDADEMYQKTLNCFIHLLSEMWGPTALSQEVAHFILLCRNPGSFWFYRDLIKCHHFCWSSQKLESVVVLRYYIRRNPKALTNVRKIPAFEEEFNWRDEVKNNLFTDHHFGYIYKELYTRRTKEHPKGGLEAGNARYYDGYEHIIVYQRNVFEHVYKDKIQNYATAQLFGQQFLRNTPMFRRFTVTIIS >OMO84138 pep supercontig:CCACVL1_1.0:contig09787:10866:12052:-1 gene:CCACVL1_10980 transcript:OMO84138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative MADS-box transcription factor MDRTIGKYRSELGLPDSRNPQFRTMEFWRSEIEELKRSITTLEARLKYGIGI >OMO60763 pep supercontig:CCACVL1_1.0:contig13668:15448:18396:-1 gene:CCACVL1_23888 transcript:OMO60763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFSKFLGSFKSDISGSSENSDANADTKRRKICTEEIEQNIANTVDNTEVTEAAGSHDHLSSAKNDKSEALDFVLSLVKLTRSGLLLLTFPSENSPDTIDIVSDIFWDLESGSLKSPVSWKGSAFLDLQAPQELRWCHRIFPIQATCSLNEKDLQEVVSKLVLQFVNDKRNKLAQPIKFAVGFNRRGTEESQVKIPKDASKDSDQSVLLDRNKCFSVVAAAVKGIVSDAVVDLKSPELSVLVELLPLSGVPNGSLVVGVSVLPQNLVNSKPRLCIKPLTGDKK >OMO60766 pep supercontig:CCACVL1_1.0:contig13668:29644:32146:-1 gene:CCACVL1_23891 transcript:OMO60766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLPLAFAVEQPPYVPIENITLNCGASSDSNGPDGRFWAGDDNGSKFGPNIESSSLSYKADRRGTVDTVPYMTARVSSSEFTYRFNVTQGKKFVRLYFHPASYGNFDQAKAYFSVKTGSFTLLRNFSALLVAQSLGVESFVREYCLDIEENQVLDLIFTPSASNDTYYAFINGIEIVSMPPQLYNLSSPTVETGLRFIGQRSTALETVYRLNVGGRTILPVNDSGMLFRQWSEDFSYVLTDHSYVSANLSVLIKYSKIPSYTAPEEVYRTARSMGPNHTYNKLHNLTWGLPVDSGFTYMVRLHFCEMHDFTDIIPGKRLSQESEDDYGDDDENPVSDSTIDDYHVLFTSGSGSMNIGR >OMO60762 pep supercontig:CCACVL1_1.0:contig13668:10499:12222:1 gene:CCACVL1_23887 transcript:OMO60762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHKGIKEGNGAFIWEHSQQGQSGASATPNLFLPHDHLYNLNPFKYSVEESDFLKVAKVEQVEDHKHGPENDNKHVGKPLKRRRVGDEGGGGGRDGGGCELSLSLSLLHPLSQRSNTNTNTSCTSEISSSEAFSSYSRSNYKDFSGTSSSSARRSSINLDLSIALCGN >OMO60764 pep supercontig:CCACVL1_1.0:contig13668:23143:25163:-1 gene:CCACVL1_23889 transcript:OMO60764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQFAQGGNMLQTIRKRA >OMO60767 pep supercontig:CCACVL1_1.0:contig13668:33678:42236:1 gene:CCACVL1_23892 transcript:OMO60767 gene_biotype:protein_coding transcript_biotype:protein_coding description:patatin family protein MAMEEKAMSGGLRRSSSAPLMNRRPPQELLDGLRRFSMMHSLNIRQSRSCLVSEIWSSTIVPHLRITRLNAPIPCGAGFGYHPGGWGKSPVYEIPAWTSSAPPDSDEQKYDQPKAKDSNMLIMCLCVLAKDSKSLVLVTVPFDGILFDKGTIKRSGICSITATVDVADSALLVCISSDALAMSILSRRHPERETRRETDNGAFETPEAARLECSQPHAPEELVSKLRVVVELAFVSDTFAMLNKDVLRSLKMLCVNRNPEVQRLALFAIENLAFCLENRCILVTSESFRELLMRLTTFYDAFFKYPAKPKLTDNSRGKLGIEKQPFQLTDFTATGIEKIIHGHIEKETKATRVYVGEMDFVYQERKGGWRYVDTGQVLIESVCSVDGVEALSTLLPMLPEMQYFQFNPVLFNRSIFPFIRSDLQGTTIAFLLLMGLVGAMHVQNLCSITATADLCRYCVVSPRTVSPALFHVHPALFRPDTSDLTQFEYSAGCLPIRSERILVAARIAFNLFVDISRSWKDCLHWSLNGKL >OMO60765 pep supercontig:CCACVL1_1.0:contig13668:26631:28786:1 gene:CCACVL1_23890 transcript:OMO60765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotide-diphospho-sugar transferase MSSAQQLALRFHRRRFPPTPAVASTCCAFSPPRRDSSLTSSSSSSSGDMSPEISMLIRRAAFILAVVTLSALLLYTSTDSLRFIRVSPGSISSSSFARIFFLDNSSTPNPTQQLEEVLKNASIGNKTVILTTLNYAWVSPNSVVDLFLKSFKLGDGTERLVDHLVMIALDEKAYNRCLVIHKHCFALVTEGVDFQEEAYFMTPNYLKMMWRRIDFLRTVLELGYDFVFTDADILWFRDPFPRFYPDADFQIACDNFLGSPDDMNNRPNGGFNYVKSNNRSIEFYKFWHSSRETYPGYHDQDVLNKIKLHPYISEIGLKIRFLDTAYFGGLCEPSRDLNLVCTMHANCCFGMDSKLHDLKLMLQDWRAFLSLPPDLKNESIISWRVPQNCSLDSLRHFDSQPPWMNVQPEEED >OMP06334 pep supercontig:CCACVL1_1.0:contig04967:1319:1607:-1 gene:CCACVL1_01623 transcript:OMP06334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPMTVPTTMTTPVSSGGSWCVASQSASQTALQVALDYACGYGGADCAAIQPGGGCYNPNSVRNHASYAFNSYYQKNPVPSSCNFGGTAVTTNTDP >OMP06335 pep supercontig:CCACVL1_1.0:contig04967:8712:9455:-1 gene:CCACVL1_01624 transcript:OMP06335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNQEQDKGNLHRPGIDAMLMAPKMPKAVTTVSAAERENLRRPRGRPAGSKNKPKPPIIVTRDSANALRAHAMEVSSGCDVNESLANFARRKQRGICVLSGSGCVTNVTLRQPASSGAIVTLHGRYEILSLLGSILPPPAPPGITGLTIYLAGAQGQVVGGGVVGALIASGPVVIMAASFMNATFDRLPLEDDDVAAAMQNQYHQNGRHHALDISDLYGMPQNLITNGTMPPEIYSWAPGRNMSKT >OMP02480 pep supercontig:CCACVL1_1.0:contig06245:31520:31600:-1 gene:CCACVL1_02792 transcript:OMP02480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQGDPAMAPPFEAKFPNILIITG >OMP02483 pep supercontig:CCACVL1_1.0:contig06245:52428:53691:-1 gene:CCACVL1_02795 transcript:OMP02483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRMAVRYVSRRFSSGGKILSEEEKAAENVYIKKTEQEKLQKLARKGPKPEETAAAGGSGGSVTDAKPSSSTSSAGASTEKVSTDSYKNYAVLAGVVTVAGAVGWYMFSKEKKQEVKE >OMP02478 pep supercontig:CCACVL1_1.0:contig06245:779:2239:-1 gene:CCACVL1_02790 transcript:OMP02478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S25 MAPKKDKAAPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLSEVPKYKLITPSILSDRLRINGSLARRAIKDLMARGAIRMISAHASQQIYTRATNT >OMP02482 pep supercontig:CCACVL1_1.0:contig06245:47591:51402:-1 gene:CCACVL1_02794 transcript:OMP02482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase MENGKRQVVVSALQFACTDDIPTNLATAERLVRAAHAKGANIILIQELFEGYYFCQAQREDYFQRAKPYKDHPTIQRMQKLAKELGVVIPVSFFEEANNAHYNSIAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKVFQTKFGKIGVAICWDQWFPEAARAMVLQGAEILFYPTAIGSEPQDEGLDSCDHWRRVMQGHAGANVVPLVASNRIGKEIIETEHGKSEITFYGNSFIAGPTGEIIASANDKDEAVLIAQFDLDKIKSKRSSWGVFRDRRPDLYKLAAPSAQGKNGTLGVAKQLHHNIG >OMP02484 pep supercontig:CCACVL1_1.0:contig06245:55593:60970:-1 gene:CCACVL1_02796 transcript:OMP02484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARISVFSDPNRSSDEGLVWWLVVVASRELQWDLGL >OMP02479 pep supercontig:CCACVL1_1.0:contig06245:26119:30769:1 gene:CCACVL1_02791 transcript:OMP02479 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAK-box/P21-Rho-binding protein MEISWPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASATVFGVSTESMQLSYDSRGNSVPMILLLMQRRLYAQGGLQAEGIFRINAENSQEEYVREQLNGGVVPEGIDVHCLAGLIKAWFRELPNGVLDSLSQEQVMQCQTEEQCAELARLLPPTESALLDWAINLMADVVQQEHLNKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKTLILRTLREREDSVVEPTLASRLEPFDENGDQSHSLSCIQDAENDNEEKELAALIAEKSLREHFRNYNQKIEITDGEEQCPIPSVHNVIADADDSDETPAQAEAFVSETTGIVANHLKPGTFLNAGKSNFGQSSNSSPKKVPNKIGGQQSILQITNPVEKTLTGISNLSRIDSRIERIEAWR >OMP02481 pep supercontig:CCACVL1_1.0:contig06245:33239:34147:1 gene:CCACVL1_02793 transcript:OMP02481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACQSLQHIFENPLPENPTLLETLSSSWNQIKPSIKPIEQSSFTEIFGELHFKENNSSHHHQSISSPASSFPISPFIDHLNNPQTNPFKLSKNDENNYNNNYRSSPKTDIFASTPKFINNCHKKSESFSLNSESLQLCTEGLGFESSDDVEDMKNEMKEDWQMMSKLEDKARSIRNNYKHTTENNLCGGDLRKSRTSNGGGGFPPPISCIGKSGKPWVCFKSYRQDGRFVLKEVRIPTQEFLHACREDGRLKLHFVQPNDEILEEDEEFDEEEEDEEEIITDEFDHHEDQLEQKASLITN >OMP02485 pep supercontig:CCACVL1_1.0:contig06245:62801:62952:1 gene:CCACVL1_02797 transcript:OMP02485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHRDEQTSATRKVTKAVKELTKIVAKQKIEENHTKEIPQEERKWKRPRR >OMO53275 pep supercontig:CCACVL1_1.0:contig15256:28902:29480:-1 gene:CCACVL1_28756 transcript:OMO53275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPPPAFTIHPPGYNDMTDKITPFRDYDNMGPPPPTSFSTNETYEVWTTNQIITSDQLIEYGAYYVGERSDFYAVSHFVYYVEGAYMPVFRRISAYQMFVDYWWMRIMRFRDASESRTPPPASQGQLPKTDFDIFSYVECVQLPPNPNYVPALAADGNQEVGGEEPYELPCPCHHCYQLIEDYFSNMALFQ >OMO53274 pep supercontig:CCACVL1_1.0:contig15256:22755:22850:1 gene:CCACVL1_28755 transcript:OMO53274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTWARQLIWFFVANFLVSISSKSENIGIRG >OMO73405 pep supercontig:CCACVL1_1.0:contig11237:5744:5812:1 gene:CCACVL1_17279 transcript:OMO73405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQIMRAGYYWLTLKTDALVM >OMO73404 pep supercontig:CCACVL1_1.0:contig11237:1200:2141:1 gene:CCACVL1_17278 transcript:OMO73404 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M10, metallopeptidase MAASKAQILPLFGVYFLLLVSISLPNSIQSRPVNNPFDFIQNLQGSHKGQNVKGLRGLKLYLHKFGYLNKDNNDDKNHAITNVDDNNDDEFDDSLESAIKTYQRRHRLKVTGILDVETVNQMTKPRCGFPDIIMTFNNNNNFGNPHIWPPNVTHLRYRFLTTVEPPVGTQDLKAIVARAFEKWAQVSRFTFEQVAEDIADSFELEIGFHGTQKLASDPHDIGFDGPRGVSAHADLPPRGNLHYDKDEAWSSNPGADQIDLESIALHEIGHLLGLEHSVVPESVMYGVFEYGTKTKRDLHPDDIESILELYGSA >OMO73407 pep supercontig:CCACVL1_1.0:contig11237:22530:26928:-1 gene:CCACVL1_17281 transcript:OMO73407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASSITAASSSSLWLPKPSSSPSKFSKLQSYTSLLPSPSSTSPPCHRHLVVCRSGGSPQNDSADFNFSLHDALESSGIDTSHAREARKGFVTQIQKLSDIERETSISINRCVDLGRTALYIAAEDDSLISHSSVPLPVDAFLERLDDLSMGYCSHYNSSCRTSRENFLESIEKYLYVKKGFRRSSAKNQAEPRALYLHSVLTHRSGSAVMLSLIYSEILKMLRLWGLLDFDVEIFFPHDPHGLPRAYDKQKSKESDQPHIMTVQMLLEEILRNLKDAFWPFQHDHADSLFLSAAHAANCLDKSNGIEESGYQLASAKAAQHRLGRGVWTSVRFGDMRRALSACERLILLQTDPKEMRDYSILLYHCGFYEQSLKYLKLYQDAKSSSSQNESTNSVSSLEEDAVQKLIVRLNLIVMEEGWTRPSYVRNFLGNNSEPW >OMO73406 pep supercontig:CCACVL1_1.0:contig11237:20607:21464:-1 gene:CCACVL1_17280 transcript:OMO73406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGSSVIVVLLMLLTTGLSQQTPPPLRKSKCIPRTKSIYPLTPPATPLIPPPPPPPPPPPTTTVPGLPINPPSPTPSAPPMKPPTPTPAPASPLAPPTPAPSAPPVKAPTPAVPPSKPPSLLVPAPSVPLPPAPTPIDSPSPVKPPAPNAPTPSMPCTPSPSAEPPTVATPPPAVPSRSPPNKPSPKVRTPPPPGVPDGGTEYLPWPWMPPFMKEHEECWNSLEPLGDCIEEILKSMITNMGQEGVSAVCCAAFRDISEDCFHEAFVSIHPTHSLAVRDQCLNQ >OMO77161 pep supercontig:CCACVL1_1.0:contig10807:17320:18959:1 gene:CCACVL1_15180 transcript:OMO77161 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IA, variant 3 MTAGENSVQSKKSLPDLAPLEAVLFDVDGTLCDSDPLHHQCFLEMLQEIGFNNGVPIDEEFFIKNIAGKHNDDIAAALFPDDIPRGLKFCEDKEAVFRRLASENLPPIKGLYKLTKWIEDRGLKRAAVTNAPKPNAELMIAKLGLKEFFDVVILGSDCEHAKPHPDPYLKALEVLKVSKDHAFVCEDSVSGIKAGVAAGMPVVGLTTRNPESQLMQANPTFLIKDYEDPKLWAALEELDKKGVSSKTAA >OMO77162 pep supercontig:CCACVL1_1.0:contig10807:19422:23567:-1 gene:CCACVL1_15181 transcript:OMO77162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMESLIVTVNRIQRACTVLGDHGGDTALPTLWEALPSVVVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKIEQGVQEYAEFPHLPKKKFTDFAMVRKEIQDETDRITGKSKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIETMVRSYVEKPNCIILAITPANQDIATSDAMKLSREVDPSGERTFGVLTKLDLMDKGTNAVDVLEGRAYPLQHPWVGIVNRSQADINKNVDMIAARRRERDFFATSPDYGHLASKMGSEYLAKLLSKHLESVIRARLPGITSLINKSIDELEAELSHLGRPVAVDAGAQLYTILELCRAFDKIFKEHLEGGRPGGDRIYGVFDHQLPAALRKLPFDRHLSLQNIRKVVSEADGYQPHLIAPEQGYRRLIDGALHYFRGPAEASVDAVHFLLKELVRRSIAETQELKRFPTLQAEIAAAANESLERFREESKKTTLRLVEMESSYLTVDFFRKLPQEVEKGGNPNSVSADRYVEGHFRRIGSNVSSYVGMVSETLRNTIPKAVVYCQVREAKQSLLNHFYILLGKKEAKQLAQLLDEDPALMERRQQCAKRLELYKSARDEIDSVSWAR >OMO77163 pep supercontig:CCACVL1_1.0:contig10807:24651:50005:1 gene:CCACVL1_15182 transcript:OMO77163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITGKDNVLMTLENNEDTSETNPNKPIEVICHVIAIQIKLIKHRSPLAGSRVMSPGFNPLLCNENIRDQGRGYESCRYSLEEKAHPWNIHLIKTKATDVMDIKCLNNMMSDHGIYSRERVTSDRGKRRVIDSLKRSMQVDDGTAQYYLSISDGDPRAAVSQFSSDTRWERQAGLA >OMO84256 pep supercontig:CCACVL1_1.0:contig09762:8534:14577:-1 gene:CCACVL1_10926 transcript:OMO84256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFAFSEDLPSHSIVPPAVTLGRNLVASSYSPAVWCFPSSSTSAAAASAPGTTPPALMNLPHLCSKAHGTAYGWKLLQILPTTQVSTKAIDLLNNQNRVETIRGFDVDDRERRNLEQKPQIAFEVGAEVKGAGLKVNRGPDEDSVFKAC >OMP10304 pep supercontig:CCACVL1_1.0:contig02643:1100:1330:1 gene:CCACVL1_00997 transcript:OMP10304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIREDCFAHLRFQRAIRLTERKVAHQPTVKASLSPNPYSQALPRSGKGNADDFFVYRSCESAVKESALISHSSSG >OMO79877 pep supercontig:CCACVL1_1.0:contig10369:7598:8125:1 gene:CCACVL1_13333 transcript:OMO79877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVANNAGISDERFMHLPRLESPTTLPSLPPFDDQERSFKSCYQSIDDMLTDEYNNSMNQEGCGGGGGVTGSAPPNNNNNNESKNDWVTLERLVASQLNGQVETSKQLSCFSDPNAVFSLCHDDDDDMHQLSHINLHRSNQNSDHQVYMSNENDLWSLTKSSSPSSSDPLCHLSV >OMO79881 pep supercontig:CCACVL1_1.0:contig10369:31211:32239:-1 gene:CCACVL1_13337 transcript:OMO79881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVMMMMISSSSSHCKPSNEMTTVPQEHINTSTCPSWKLYDNPFYNPQHQQQQQTQSSSKLLNQLSARKIAASFWDLTFFKPAAVMDSELDFARAEIIDLKAELEFERKARKKAESLNKRLGKELAEERRGTEALEKVCEQLAREISLFKSEIDKMKKEFEEERKMLRMAEVLREERVQMKMAEAKILFEEKLSELEETKLQKNNKEDKTLTANLSGKFSRLVFSEKLSSCDNIINSNNSNICADSRESSRIFGLSEKPSCYDNISTAVSSSMAIQRKASPEAENPHIKRGIKGFVEFPRVVRAIGSKSRHWGSKLECQKAQLRILLKQKSPIRSNSLIMS >OMO79879 pep supercontig:CCACVL1_1.0:contig10369:22454:24497:1 gene:CCACVL1_13335 transcript:OMO79879 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGKKATTSWLSIVKKAFRSPAKDNEKKSSRRREESELQEEEEKKREKKRWLFRRSSNNIKHVHVNQQCEAKTVPTEAANPALEIAEQRHAIAVAAATAAAAEAAVATAQAAVEIVRLSSRPSNYANVREHYAAIVIQTGFRGYLARRALRALKGLVKLQALVRGHNVRRQAKLALKCIQSLVRVQDRVLDQQRARLSHEGCRKSMFAESNALWESRYLQDIRSRRSMSRDMSCTTDEWDDRPQTGEEIEAMLQNKKESALKREKALAYAFSNQIWRSRRNPSAGDEKELEERTKWLDRWMATKQWESNSTRASTDRRDSIKTVEMDTTNFKTQPIRKSMHHQNHKQFPTSPMHREQLQQQHNFCLNYQFPATPSPCKTKPLQVRSASPRCLKEEKLCYYSSAANTPSLASCTNGMSRYGNNVATSTVPNYMAATESAKAKARSQSTPRQRPSTPERERGGGSLAKKRLSYPVPPHDSHVSIGCSSFSNNLRSPSFKSAFEGHYGLDKDSFYSSYYTESILGGEISPCSTTDLRWLK >OMO79880 pep supercontig:CCACVL1_1.0:contig10369:25764:27575:-1 gene:CCACVL1_13336 transcript:OMO79880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 8 MTKMGTRNNNAFEKGLSGRERDQLGVGLGLRMQQQQVDESCPNYKTTFIMPMSHHHHSSHHNQFPSLPSPSSSSSCCCGSDIGFDGGAPYGGGGPIVCNTSHQVPCRGDIYDVVGASSVSAAAAAVVLKSLHQPHPFSNADPSFPHSSSGKLSSPFFLSSPFSFYFRHNNGLNAGEMAAAPVNARVPFTAAQWQELERQTMIYKYMMASVPVPPELLLPFSRNPSNVMKGALEIGFPSNSSDPEPWRCRRTDGKKWRCSRDVAPDQKYCERHSHKSRPRSRKPVELPNQNHSSINNINNDPKSHSLINFTSDTTKQSHHHHHFINQTFTSSFDQPRCLEWFMKGESTAAAPFAGNSNPEWQQQFKTLGGGKYEGNVAEHQQHFKDRRLNLQSHQHLNEQLVSPNLASLGGAGLNLNQAHSQETRSFIDAWSDREVDGMGMGSKRRHSSNQKLPLSSLTLSMSGGTNNGNNQEEDDDNGQVGAFGFMGLATENVRALRPPHSQMANPGSWIGSPPGGPLAEALCLGIASSQSTSTSSCSKST >OMO79884 pep supercontig:CCACVL1_1.0:contig10369:42265:44465:-1 gene:CCACVL1_13340 transcript:OMO79884 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 9 MAATRFSLTMQMILCLTLFSLGLFCNALTSRDYSDALAKSILFFEGQRSGRLPSNQRLNWRGNSGLSDGASSHVDLVGGYYDAGDNVKFGLPMAFTMTMLAWSVIEFGSSMENQISDAKTALRWGTDYLLKAATATPGTLYVQVGDPNMDHKCWERPEDMDTPRNVYKVSTQNPGSDVAAETAAALAAASIVFKESDPSYSAKLLQTAQKVFEFADKYRGAYSDSLNSVVCPFYCSYSGYQDELLWGASWLHRATQDNSYLSYIQSNGHTMGSDNDDFSFSWDDKRAGTKVLLSKDFLENSVSEFQLYKSHSDNYICSLIPGSSGFQAQYTPGGLLYKASGSNLQYVTTTSFLLLTYAKYLGSNGVTCGASTVTAETLITQAKKQVDYILGDNPAKTSYMVGFGDRFPQHIHHRGSSVPSVHEHPDKITCNNGFQYLYSSSPNPNVLVGAIVGGPDSRDNFADDRNNYQQSEPATYINAPFVGALAFFAGNSNPK >OMO79887 pep supercontig:CCACVL1_1.0:contig10369:59345:62191:1 gene:CCACVL1_13343 transcript:OMO79887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MGVMCKIRSVLTLVGDVLNKAVSFIVFCFLDLLDFILCFVYKVADFFIEAEWKPCYCSSAKEAITGSGKILVSEQGESKVVCLTSSKLQLEDISDTLYSRPSLVTEVSKLTVTELKKLKLDGTIIQSCEKVKKGTVRSTFTINSTIVEMLQGKMVGQQLHPIPRWSDCDCKFCNSWTSSSKDTLFVKAQGPKDKAREDVLFIHGFISSSAFWSETLFPNFSTSAKSTYRLLAVDLLGFGRSPKPTDSLYTLREHVDMIEKSVLDAYKVKSFHIVAHSLGCILALAIAVKHPGSVKSLTLLAPPYFPVPKGEPATQYVMRKLAPRRVWPVMAFCSSIACWYEHISRTVCLLICKNHRLWEFLTKLVTRNRIRTFLLEGFFCHTHNAAWHTLHNIICGTASKLDSYLDAVRDRLKCDVTIFHGKDDEVIPLECSYDVQRRIPRARVKVVENKDHITIVVGRQKAFARELEEIWKGSPKD >OMO79878 pep supercontig:CCACVL1_1.0:contig10369:19356:20441:-1 gene:CCACVL1_13334 transcript:OMO79878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MGCVSSNLLNREDEFAQLGSSALGHHIVSLTSTTYGLLTLDPPSQSATTPPTPPPPFRLGSTFSESKSLWSEPRPIPTRPEVINSWELMSGLDADSFRFSPIPSKENLNLSPNFTPKESVTKPQFSRSSLLDKFQADSFRFPNTLPPEKENANPNLNKKGIFSKSPTFSRSSLLDKFESGDDAVSKPSPLDKFEHLCPPNGENRVVIYTTTLRGIRKTFEDCNAVRSAIESYGIAICERDISMDRGFREELRELMKGKDRESTPPRVFIKGRYIGGAEEVIRIVDDGWFGDLLHGLPKKRAGGVCDGCGDVKFLPCFRCNGSCKIAVVVKEEEGEEEGRRTVVVRCTECNENGLVHCPICS >OMO79882 pep supercontig:CCACVL1_1.0:contig10369:35474:35539:1 gene:CCACVL1_13338 transcript:OMO79882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSFVKKTILTQIRSRGVLQ >OMO79883 pep supercontig:CCACVL1_1.0:contig10369:38035:39342:-1 gene:CCACVL1_13339 transcript:OMO79883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MAISRCLLLFLLISLFASFGFAEIRYTEIRSDNRPIIPFDEFGFTHTGRLELNVSGIKLSDSNPDLDYSKIGFFLCTRDAWLHVLQELEDAEVTCALNSRLVKVVSDFKSMKGDSFNALYEEKDADQYTLVFANCLSQIKVSMNVRSAMYNLDGKKNVRDYLSAGKTILPRVYFFLSLVYVTLAGIWIYVLYKKRLTVFRIHFFMLAVVILKAFNLICEAEDKSYIKRTGSAHGWDVLFYIFSFLKGIMLFTLIVLIGTGWSFLKPYLQDKEKKVLMIVIPLQVVANIAQVVIDETGPFGQDWITWKQVFLLVDVVCCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYIVVICYIYFTRVVVYALETITSYKYLWTSVVAGELATLAFYVFTGYKFKPEAHNPYFVIDDEEEEAAAEQLKLEDEFEL >OMO79885 pep supercontig:CCACVL1_1.0:contig10369:46634:48368:-1 gene:CCACVL1_13341 transcript:OMO79885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALGFQAAHVIAPQQFSIRRQRNLSNFIRCGIAEPSGEPAPLGQKTKYNDGLFEKAFMTLFARKMEKFAAAPKSGTEAKKGFFDYDYESFVEVSKRVMQGRSRLQQQQVVREVLLSMLPPGAPAQFRKLFPPTKWAAEFNAALTVPTFNWLVGPSEVVEVEVDGVKQKSGVLIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMNPNFEDMSCEMIYGQAPPAFEEDPVSKQPCFADICSMANPSSTLCPKLQA >OMO79886 pep supercontig:CCACVL1_1.0:contig10369:52067:52598:-1 gene:CCACVL1_13342 transcript:OMO79886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKVSGPNHFHQLTCPQTLRLVKQSSVE >OMO55684 pep supercontig:CCACVL1_1.0:contig14606:1973:3153:1 gene:CCACVL1_27084 transcript:OMO55684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANICDINHLDADVLLPPRKRLLAGFKKQASNANGASDQPIVASSSSSLPSPSPSPSTSSSDVNAHLNHLSASHFNNRNLSPEEKLEASRAAAIAAAKAAEAARAAAYEKAAIAAKAIAAAKSALDLVATFSEDTVSKDRYLKKNKLKKHVPVQLLYKKHQPIENHRTDEELARRLHRAINSSPRILNSSPTSEWRGNKHKRPKSSPTLEKTKVSNGAVVLGGSPSSTCNGDTIAGEIYSDDSFQESVKAEAKGAKYEKSGQSELDNCEAESGHSKEKAREDVYSPSRKRGRVKLKKLPLSICSFRDRVNPREEMIAKSAPLMEKNMGNPAAAVKPLFSLEPSADGVISIEGGFDYRRL >OMO55687 pep supercontig:CCACVL1_1.0:contig14606:13257:18236:1 gene:CCACVL1_27087 transcript:OMO55687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQNQIPNNTDLFDAYFRKADLDGDGQISGAEAVAFSQGSNLPKHILAQIWGHADQKKLGYLSRQEFYNFLKLVTVAQSKRELTPDMVKAALYGPASAKIPAPQINLAAGPTPQSKIATPIPQSSGTPAVPSQSFGFQGTPGLGNAGVNQQYFQSQQNQVMRPPQAMPSSTSSQAQQVLTGQGMPRGGNMVAPRLPTSNSSTNWQSGSSGSLTAGVNNQVHNHVIGPSTSQDGSGFTAQGLTPSMQPRPQATTGQMSSTTSKPQDSSIPSSQLAAKDPKALVVSGNGFAPDTLFGDVFSATQSQSKQTSLVTTSSATSSTVSAASIPPSGPYSSVKPSTAESFPSTLSQQPVGGQYQSGHPTGKQNQQVTVQSNTASGSTGVPVGAGNFASGHSTQSQSPWPKMTQSDIQKYTKVFVQVDTDRDGKITGEQARNLFLSWSLPRVVLKQVWDLSDQDNDSMLSLKEFCTALYLMERYREGRPLPSVLPSSMISDENLLSTSGHRAAPYGNAAWGPAHGFQQPQVVAGSRPPLPSAKGRPPRPVSVSQIDAQVQPAQQKSKVPLLEKNLVDQLSQEEQDSLNSKFKEATEANKKVEELEKEIQDSKAKTEFFRAKMQELILYKSRCDSRLNEITERVSADKREVEVLARKYEEKFRQTGDVASRLTIEESTFRDIQERKMELYQAIVRIEQGDNKDGSLQDRANQIQSGLEELVKSVNERCKQYGLRAKPTSLVELPFGTIST >OMO55683 pep supercontig:CCACVL1_1.0:contig14606:9:284:-1 gene:CCACVL1_27083 transcript:OMO55683 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MGSIKPLPEAVRSTLRSAHIIYDLTRVVEELIFNSLDAAASKVSVFVSIGSSYVQVVDDGNQ >OMO55686 pep supercontig:CCACVL1_1.0:contig14606:8976:9487:1 gene:CCACVL1_27086 transcript:OMO55686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINAIEASSPPSQVTIPFQFQEALMDFIGVAGRVHISME >OMO55685 pep supercontig:CCACVL1_1.0:contig14606:4722:7598:-1 gene:CCACVL1_27085 transcript:OMO55685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSSSSGSEEDDEGIDSYRKGGYHAVRIGDPFAGGRYIAQRKLGWGQFSTVWLAYDTQSSNYVALKIQKSAQQFAQAALHEIEVLLSIADGDPSNSKCVVRLIDHFKHTGPNGQHLCMVLEFLGDSLLRLIRFNRYKGLQLNKVREICKCILIGLDYLHRELGIIHTDLKPENILLFSTIDSAKDPVRSGLTPILERPEVGTLNGGSTMNIIEKKLKRRARRAVANISVRRASMGGGGGGEAGKSARSLDGIDVRCKVVDFGNACWADKQFAEEIQTRQYRAPEVILRAGYSFSVDMWSFACTAFELATGDMMFAPKSGQGFSEDEDHLALMMELLGKMPRKIAIGGARSKDFFDRHGDLKRIRRLKFWPLDRLLVDKYNFSESDAREFADFLCPLLDFSPEKRPTAQQCLQHPWLNLRSSDQNEMHGQSDLDVGMSNLQM >OMO68401 pep supercontig:CCACVL1_1.0:contig12227:25907:29213:1 gene:CCACVL1_19963 transcript:OMO68401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTINDSVETVNAAASAIVSAESRVQPTAVQKKRWGSCWSLYWCFGSHKNSKRIGHAVLVPEPVVPGVAVTTTENASNSTGIILPFIAPPSSPASFLQSDPPSVTQSPAGLLSLTSLSVNAYSPRGPASIFAIGPYAHETQLVTPPVFSAVATEPSTAPFTPPPESVQMTTPSSPEVPFAQLLTSSLERARRNSGLNQKFGLSHYEFQSYHIYPGSPGGNLISPGSAISNSGTSSPFPDRRPILEFRMGEAPKLIGFEHFTTRKWGSRLGSGSLTPDGLGQGSRLGSGCVTPDGMGLGSRLGSGTLTPDGLGPASLDGFFVESQISEVALLPNPVNGPKTDESIVDHRVSFELSGEDVARCLESKSLISSRTMSEYPKELKAEGRRDRDGSKDLESSCELFSRETSNEMVEKASGEAEEEQCYQKHRSVTLGPIKEFNFDNTKGEASDKPTIRSEWWANEKVAGKEARPGNNWTFFPMLQPEVS >OMO68405 pep supercontig:CCACVL1_1.0:contig12227:64751:68275:1 gene:CCACVL1_19967 transcript:OMO68405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWWGKSSSKEVKKKASKESFIDTLHRKFKIPSEGKPNSRSGASQRRCSDTISEKGSQSRAESRSPSPSKQVSRCQSFIERPQPLPLPDLHPSIVARTDSEIGISTKPRPEKGSKSSLFLPLPRPGCIRQRANPNDLDGDLVTASVSSECSAESDDPTESHLRSPQATDYDNGTRIASGSPSRMLKDQSSTASQSNSREAKEQANISLGNNISPKSPKRRPLGNHVPNLQVPRHGAFSSAPDSSMSSPSRSPMRAFGTEQVMNSPFWAAKTYTDVTLLGSGHCSSPGSGHNSGHNSMGGDMSGQLFWQQSKGSPEYSPIPSPRMTSAGPSSRIHSGAVTPIHPRSAGTVSESQTSWLDDGKQQSHKLPLPPVTISNSSPFSHPNSAAASPSVPRSPGRAENPVNPGSRWKKGKLLGRGTFGHVYVGFNSESGEMCAMKEVTLFSDDAKSKESTKQLMQEIALLSRLWHPNIVQYYGSEKVDDRLYIYLEYVSGGSIYKLLQEYGQLGELAIRSYTHQILCGLAYLHSKSTVHRDIKGANILVDPNGRIKLADFGMAKHIAGQSCPLSFKGSPYWLAPEVIRNSSGCNLAVDIWSLGCTVLEMATTKPPFSQYEGVAAMFKIGNSKELPPIPDYLSDEGKDFVRQCLQRNPLHRPSAAKLLDHPFVKHAAPLERPLPDPEPLDPTPGVTNGVKAL >OMO68404 pep supercontig:CCACVL1_1.0:contig12227:59202:61070:1 gene:CCACVL1_19966 transcript:OMO68404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDIPHEQQIQVLPFVMAELQRSDFKEQDNATLWEQLQLSEFRWLETEAKMKSMEAMWQKQMA >OMO68403 pep supercontig:CCACVL1_1.0:contig12227:36860:41335:1 gene:CCACVL1_19965 transcript:OMO68403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASYVKVILGSIAFAIFWVLAVFPAVPFLPIGRTAGSLLGGMLISFLMGLDMSGTAITAALALMILDFKDAVPCLEKVSYSLLIFFCGMFVTVQGFNKTGLPSALWEFMEPYAEIDQATGLAVLALVILVLSNLVSNVPTVLLLGARMAASAAVISTDFEKKAWLILAWVSTVAGNLSLLGSAANLIVCASLAGKTNISKAPRKGGVSGRKPLGDLSNSLKSTPNQASRNGNSKLFSFTGKETGVSKLTHDSSKKSVSKVSEKSQAGVRKALSDISNSGKPRLQETIKKNQTIKLDILAEDPPEPKDIAEEGFLHNHNECIKAQRRSLSTSEFLHILELDDISKQYASKDPAMSNKMKPMSPPRSFEPREMPELLIEHLSPLKAKLSSTLDSAPPSPEPLHHQFDWDDPKYIPSFKLIESP >OMO68402 pep supercontig:CCACVL1_1.0:contig12227:34038:35846:1 gene:CCACVL1_19964 transcript:OMO68402 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arsenical pump membrane protein, ArsB MAMASPVKVVLGSFAFAVFWVLAVFPAVPFLPVGRTAGSLLGAMLMVLFRVITPDQAYAAIDLPILGLLFGTMVVSVYLERADMFKYLGMLISLLMGLNMSWTAITAALALVVLDFKDARPCLEKVSYSLLIFFCGMFITVEGFNRTGIPSTLWDLMEPYAKINHVSGIAVLAIVILVLSNLASNVPTVLLLGGRVAASAAAISATDEKKAWLILAWVSTVAGNLSLLGSAANLIVCEQARRAPHLGYTLSFWNHLKFGVPSTLIVTAIGLTLIR >OMO68400 pep supercontig:CCACVL1_1.0:contig12227:2169:4990:-1 gene:CCACVL1_19962 transcript:OMO68400 gene_biotype:protein_coding transcript_biotype:protein_coding description:Twin-arginine translocation protein TatA/B/E MTTITFMASPISTSTFLSSSSVSSKSVTCSFSPLTSYPKPSTLRFSILGPALFSQWSGLKHLGISISPKSLKLEKKKKCKGKVIHASLFGVGAPEALVIGVVALLVFGPKGLAEVARTLGKTLRTFQPTIRELQDVSREFKSTLEREIGLDEISSSTQSRSPYLSQTPPTPSPVTETQESGSKADPNGTPSVNNAYTSEEYLKVTEEQLKASASQEQAPTASPEESQLESQSQPEATPEETATATPPSQKPESET >OMO61272 pep supercontig:CCACVL1_1.0:contig13546:23478:29932:-1 gene:CCACVL1_23631 transcript:OMO61272 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MPVIKVLLVTGVGLFLAMDQIKILGPEAVNYLNKMVFYLFGPSLVVTNLAETVTFRSLVTLWFMPVNIFLTFIIGSLLGWILIKITKTPEHLRGIVIGCCSAGNLGNLLLIIVPAICDESNNPFEDSCSTYAEAYASLSMAIGAIFIWLYAYPFVRAYASKSIEDNSSVHNISSEVTPGTFSESCTEPLLPSRNSIDSEHYSGQSELPLTNSDGRLIKVSLEKNVQCIKTIMQKIDLKKVLPPSAIGAVIGFIIGAISPIRKLLIGDNAPLHVIETSASLIGQATIPCMTLIMGANLLRGLRKSEMRLSVIIGIIAVRNIFLPLSGIGVVKAAVYFGIGGSDSLYQFVLMLQYAVPPAMSVVMPVLKVLLVTALGLFLALDGINVLGMEARHYLNNLVFYVFSPSLVFSNLAETITFDSLKTLWFMPVNIMITFIIGSLLAWILIKITKTPQHLQGMVIGCCSAGNLGNLFLIMIPAVCHEPNNPFGDTSVCSTNAGAYASLSTSIGAIFIWSYMYAVMMSYAKKSSANGSTNTITSSGETEKVSGNCTEPLLPSTDCHRSDDYIRQDESPCATRSGERNKMPVLYNTIQCMKTIIGKIDLKKVFAPTAIAAIVGFITGTVSPIRKVVIGDSAPLHVIDASIYLVGQASIPCMTLVLGANLLRGLKRSDVSLVVIIGITVVRNIFLPLSGIGVVKAATYFGMVGSDSLFKFVLMLQYAAPPAMAVGTIAELLKQGQVESSVIMLWTYAVASFSLTLWSTLFMWLLA >OMO70501 pep supercontig:CCACVL1_1.0:contig11816:6593:9667:-1 gene:CCACVL1_18862 transcript:OMO70501 gene_biotype:protein_coding transcript_biotype:protein_coding description:TMS membrane protein/tumor differentially expressed protein MWAASCLASCCAACACDACRTVVSGISRKSARIAYCGLFALSLIVSWILREVAAPLMEKLPWINHFHQTPNREWFETDAVLRVSLGNFLFFTILSFLMIGVKTQRDPRDGLHHGGWMMKIICWFILVILMFFVPNEVIGFYETISKFGSGLFLLVQVVLLLDFVHGWNDKWVGYDEQFWYVALFVVSLVCYLATFGFSGLLFHWFTPSGQDCGLNTFFIVMTLILVILFALVALHPAVGGSVLPASVISLYCMYLCYSGLASEPRDYQCNGLHKHSKAISTGTLTLGLFTTVLSVVYSAVRAGSSTTLLSPPSSPRAGKPLLPLDKADEQEEKEKNKPVTYSYAFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIITGWATGGLYIWSLLAPILFPEREF >OMO70504 pep supercontig:CCACVL1_1.0:contig11816:30281:31361:-1 gene:CCACVL1_18865 transcript:OMO70504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVSSSSLVRVLNGHIEEQGQIHGGNSDILITQDLLGSLSKAATKEIVDLEFKPGKFQTQIGNSPKSPLSSASPSASSSSSSADGKNKNQIIPNPFQDSKLQDLNFPPNPINFFEDTSLDLKLQSNSTPTSCYQSVCTLDKVKFALERAEKLETMKKRSSSPPLSAAATSSSSSNTPGMFAAACPGCLLYVIASNTNPRCPRCNSLVPSVFAAKKPKIDLNASF >OMO70507 pep supercontig:CCACVL1_1.0:contig11816:43495:46207:1 gene:CCACVL1_18868 transcript:OMO70507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKNVGALFSNLNKNGVLRKRKSRSESDNDDLSDIPDAEIAEYINNDTEMLFKKLVWEAMYKDYTKRKPRNPAMEKKSSSAKKAVGKRLEKGKAEDKENKKRLSSKINYDALKKLTGELEEVAEKAEENDNDSNQSMQVETEQSNGTSSPDVGLASDDENYNDEFEPDDANLFSYGYDEEEEQCDYWD >OMO70506 pep supercontig:CCACVL1_1.0:contig11816:38496:38858:-1 gene:CCACVL1_18867 transcript:OMO70506 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MKKLMRKLSRVRVTHSTQYSMLRSEPSDLVEPDIKQRSDVPQGHFPVYVGIDDQTTVRRFIVSAELLRHPIFVELLNRSAQEYGYEQRGVLRIPINVSVFERVLESLRQGQEPSSLDELL >OMO70508 pep supercontig:CCACVL1_1.0:contig11816:47782:48963:1 gene:CCACVL1_18869 transcript:OMO70508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWSLTTDVSSLDCAKTLENLRHSWANLEPFSEGSLSESEHDKFRFSFSKILQSFLREICAQGWFWPLPPMLGDGKSMDLLKLFLVAREKGGYDVVSGSGLWDLVTKKSDLGLGLASSVKLVYVKYLVLLEKWLEGIVDYKQLKSESSYNNHLMELGDDLKGVLEDSVDDVKILESNDIKEKFSSLKRKRDSTWGMLNWVTEIGKDQYDPVIGSLPDRSRWKSYGSEEFWKQILLFREVAFLKKDDQKMHQTKFGYNLRERSSCSKKHRHDYSSGNHSDLDIYMVGIDDYCAVPIGPLFQAEVPTWTGVASESDAKWLGTRVWPLGKKEVRNLVEVDRIGKGRQDSCGCPLQGSIECVKFHIAERRRKVKLELGSAFNQWKFDKMEEEIATL >OMO70505 pep supercontig:CCACVL1_1.0:contig11816:34218:34529:1 gene:CCACVL1_18866 transcript:OMO70505 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin responsive SAUR protein MLRQLSRRRASYRRLNMEVKAEEEGRETTEVAVPEGYVPVMVGREMKDSVKFLIHIDIFKNEYFAGLLQMVAEEVGYGNTGVLRIPCDAECFRKLLNEISRVN >OMO70500 pep supercontig:CCACVL1_1.0:contig11816:2651:5662:1 gene:CCACVL1_18861 transcript:OMO70500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTVKTLKGSHFEIRVQPNDTVMAVKKNIEDVQGKDNYPCGQQLLIHNGKVLKDETTLADNKVSEDGFLVVMLSKSKSLGSAGASSAQPASSTPSTTAPASNPTPTPEAPAQAPASMGTTSAQDTATANLPTDTYSQAASNLVAGNNLEQTIQQLMDMGGGNWDKETVTRALRAAYNNPERAVDYLYSGIPESAEVAVPVARFSTGQATETGAAPAAPVSGAPNSSPLNMFPQETVAGAAAGGLGSLDFLRNNQQFQALRSMVQSNPQILQPMLQELGKQNPQLLRLIQEHHAEFLQLINEPLEGSEGDIFDQADQEMPHAINVTPAEQEAIERLEAMGFDRALVIEAFLACDRNEELAANYLLENAGDFED >OMO70509 pep supercontig:CCACVL1_1.0:contig11816:50680:56329:1 gene:CCACVL1_18870 transcript:OMO70509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRLKPPSSSSSRCLLFCFFSIVLFSCSKTHAQNATTDPSEVRALNSIFQQWDTHAVSSWNTTGDLCSGLALSQSDSVFEDPSNNPAIRCDCSFQGNTLCHITRLRVYALNKRGVIPEELLDLPYLEFLKIDQNFFSGPLPAFIGNMSRLGLLSIAHNTFSGPIPKELGNLKELFLLSFGNNNFSGTLPPELGNLVNLQQLYIDSSGLGGEIPETFANLENLQTVWASNIALTGKIPDFIGNKWTKLISLRFEGNSFEGPIPSSFANLTSLSSLRINGIYNGSSTSLDFVRNLKKLTDLVLRNVLLTGDLPSYITELQSLQKLDFSFNNLTGQIPSALFTMSDLKDLFLGNNSLSGTLPSQKGETLRTMNLVANNFTSSSTNIRLLQGLECLQRGFPCNKHPPRYANFSIKCGGPQMIFDGIVFEAENEALGSATFRVTTTQKWAVSNAGLFADRQDPQFVENTLVQVTSTNTPELYQTSRLSPGSLRYYGLGLENGPYTVNLYFAETGFPSRSTQTWQSLARRVFDVYVQGTRQLRDFDISKAAGDVKIAVTRNFTVNVTENYLEIHLFWAGKGTCCTPVQGYYGPSISAINVVPNFVPTVNGIPLGNPKNKKHTALIVGIAVPVAAVALILVFAVLIYVKRKKNGNYEEGKK >OMO70510 pep supercontig:CCACVL1_1.0:contig11816:57255:57520:-1 gene:CCACVL1_18871 transcript:OMO70510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFNVERTLHLDFRERNRCADALARKGSAHG >OMO70503 pep supercontig:CCACVL1_1.0:contig11816:22068:23313:-1 gene:CCACVL1_18864 transcript:OMO70503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L44e MVNVPKTKKTYCKSKECRKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >OMO70502 pep supercontig:CCACVL1_1.0:contig11816:15501:16289:1 gene:CCACVL1_18863 transcript:OMO70502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKKIMENPTPWEALLLVAQYLDPKSLAIASCVSKSWSLAMSLDHVWQALCSSYYPSLFNLKISNPSVPYHRLFALGFTASKRRLKTPSKPRLSLDSLIFAIELSTCGAPAVIITEPAVNIQGNDQVFKFDVDVNRDFFPGIFDGSQQEINITWNVVLKGWEAAFSMMDCKCKLSSCNTAAVAGWFSEELPSPGCCTGGDMGSGIVADVKVGYCRKRWESKKGLKVEKVSVGMLRCVDWRYVSIDDGLRYLQHFLLLPCSK >OMO65719 pep supercontig:CCACVL1_1.0:contig12624:11228:15838:-1 gene:CCACVL1_21427 transcript:OMO65719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGTPVAYALCPTETVNYVSAHDNETLFDIISLKTPVEISVEERCRINHFATSVIALSQGIPFFHAGDEMLRSKSLDRDSYNSGDWFNRLDFTYNSNNWGVGLPPKEKNEKNWSLIQPRLADPSFKPQRSHILAAAENFMNLLRIRYSSPLFRLRTANAIQERVRFHNTGPSWVPGIIVMSIEDGNEGVPGLSQLDRNFSYVVVIFNPCPTEASFFSPTLRGKTLQLHPIQVKSTDDVVKNSSYQPSSGCFTVPARTTSVFVEPRGYMCDE >OMO77563 pep supercontig:CCACVL1_1.0:contig10730:1069:4952:1 gene:CCACVL1_14968 transcript:OMO77563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDEKVVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQPMVHHPISACKTIPNLAQIYLVGFYEEREFAMYVSAISNELRVPVRYLREDKPHGSAGGLYNFRDLIMEDNPSHIFLLNCDVCCSFPLPEMLEAHRRYGGMGTILVIKVSAESASQFGELVADPVTNELLHYTEKPETFVSDRINCGVYVFTPDIFTAIQGVSSQRKDRANLRRLSSFEALQSATRSSPSDFVRLDQDILTPLAGKKQLYTYETMDFWEQIKTPGMSLKCSALYLAQFRLTSPNLLASGDGTKSATIIGDVYIHPSAKVHPSAKIGPNVSISANARIGAGVRLISCIILDDVEIMENAVVTHAIVGWKSSIGKWSRVQAEGDYNAKLGITILGEAVGVEDEVVVTNSIVLPNKTLNVSVQDEILL >OMO77564 pep supercontig:CCACVL1_1.0:contig10730:5537:8085:-1 gene:CCACVL1_14969 transcript:OMO77564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSSSLPDSSSRHYAYSRKQKSLGLLCSNFLSLYNNDGVDLIGLDEAAARLDNRREKSMGLLTQNFVKLFVCSNIELISLDEAAKLLLGNAHNTSIMRTKVRRLYDIANVLSSMNLIEKTHTLDTRKPAFRWLGYGENSEKRSAAAVALDESRKRAFGTDLTNICSKRNKGDTCNDVNRCMKMQKQINVENRSSLEDSQQGPKSYQFGPFAPVNLANIGNSANNVKRIHDWERLASTYRPQYHNQALRDLFSHYNEAWKSWYTEVAGKKTTIQQIS >OMO77565 pep supercontig:CCACVL1_1.0:contig10730:21443:31773:-1 gene:CCACVL1_14970 transcript:OMO77565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-N-acetylglucosaminidase MASPFSAIFLLFLFSLLSFALPLPQPQLHSSTIGVQYISKLLEIQDRERAPPSLQVAAARAVLHRLLPSHSSAFEFRLISSKKCGGESCFIIKNHPSSHTDGAPEILISGVTAVEVLAGLHWYLKYWCGSHISWQKTGGAQLSSVPKLGSLPRVQDAGILVKRPIPWNYYQNAVTSSYSFAWWNWERWEQEIDWMALQGINLPLAFTGQEAIWQKVFQKFNISTSDLDDFFGGPAFLAWSRMANLHGWGGPLPQSWFNEQLTLQKKILSRMYELGMTPVLPAFSGNVPATLKNIFPSAKITRLGNWFSVKSNPKWCCTYLLDATDPLFVEIGKAFIDEQLKEYGRTSHIYNCDTFDENTPPVDDPEYISSLGAAIFNGMQSGDDNAMWLMQGWLFSYDPFWRPPQMKALLHSVPLGKLVVLDLCMLHNFAGNHEMYGVLDSIASGPIEALTSENSTMVGIGLSMEGIEQNPIVYDLMSEMAFRHKKVDVKAWIDLYIARRYGRSFPSIRDAWSILHQTLYNCTDGEYDKNRDVIVAFPDVSPSIISLPHERYHHYGRATSRRALLREKTDEYDQPHLWYSTSEVIRALELFIASGDELSASNSYRYDLVDLTRQALAKYANELFLEIIDAYWLKDIYGVTTLSQKFSDLVEDMDSLLACHDGFLLGPWLESAKQLAQNEEEEIQFEWNARTQITMWFDNTEEEASLLRDYGNKYWSGLVRDYYGPRAAIYFKLLIESLEKGEDFEMKKWRKEWIKLTNNWQKSRTLFPVASNGDALSISRLLYDKYLSSREKEEKKSSTRSAKYKQKVGQGLDKTKAVASTGVNKVKQGTSLGIQWIKNKYHKTTQKQ >OMO69612 pep supercontig:CCACVL1_1.0:contig12009:948:2483:1 gene:CCACVL1_19378 transcript:OMO69612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MGVPHVPQRYVLPPSQRPNPTLYLTTTLPIVDLSSLHNASQRSQTLDEIQSACKELGFFQVVNHGIPLAVMNDALDAATEFFNLSLEEKMLLLSANVNDPVRYGTSLNHANDKVHFWRDFIKHYAHPISKWIHLWPSNPPSYKDKMGKYAQAVQVLQKQLTEAMLETLGLNIGHLHEEIEEGCQLMAINCYPACPEPDLTLGMPPHSDYGTLTILLQSGPGLQIMDNDRNWHSVPFVEGALIVQLGDQIEVMSNGLYKSVVHQVTLSAENKRLSIASLHSLPLNKKIQPAPELVDEQHPVSYNEFSFRDFLDFISNNNIADRRFIDSIKKTA >OMO69613 pep supercontig:CCACVL1_1.0:contig12009:5255:9198:1 gene:CCACVL1_19379 transcript:OMO69613 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MFQRVLQTGFEGKKKTILFESFESKRNRFEISVIYRRKFLLDSGGPLLRSPCLSSRYHESRIDDSYIESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKCDLTANKVVSYETAKAFADEVGIPFMETSAKDSTNVEQAFMAMAASIKDRMASQPAMNNAKPPTVQIRGQPVAQKSGCCSS >OMO69616 pep supercontig:CCACVL1_1.0:contig12009:15122:17688:1 gene:CCACVL1_19382 transcript:OMO69616 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAEEEEVKIEVEAVQSVYGEDCQVIESYPPCIHLHIKPRTADVSSQQFVEAVIGIRATSKYPEEPPLIYLIDSKGLDEQRQTHLISSIRDRACELPSCFMLVAICEEAVEKLSSMNHPDGDCPLCLFPLMSEDDQTETLPFMKLMSCFHCFHSECIIRWWNWIQTVNKDAKNTSSSTLSLSRNRGSGQGMSSEKESMGNCPVCRKVFHAKDIEHVLDLVGTHSSQQSLDKTEVKDDESVIHSESENIRRQKFEAILKLQEENSGLIGSKSKKDDIVLPVTNAQNPATLANNANTNETTEQPQNGNTNETTEQPQSDRGANAETNSSSSSTRPRTSKHWNPGMRKHRAPNTRKQQVRQWIRKEDGGAR >OMO69618 pep supercontig:CCACVL1_1.0:contig12009:44448:45791:1 gene:CCACVL1_19384 transcript:OMO69618 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MRLAAIASVTVNPCTTSSPTPKFTHFSVPNTPNSVNPLKLNSDHVQIQNPKFSWTSLKHASSLFTHYSDDSSSSGNLARNWMDFQGLNDWEGLLDPLDDTLRSEILRYGQFVEAAYRCFDFDPSSPTFATCKFSKNSLLTRTCSGDTGYKPTKNLRATCGIQLPRWIDRGPSWVSTQSSWIGYVAVCQDKEEIARLGRRDVVIAFRGTATGLEWAENLRATLTPLPGDMSSNGSCGAMVESGFLSLYTSGTDTCPSLQTMVREEIERILEIYGDEPISVTITGHSLGAALATLAAHDINCTFGNAPLVTVISFGGPRVGNQSFRYQLEKSGTKILRIVNSDDLITKVPGFVIDNNDVADRQSVNVAGLPNWFQKRAEEAQLVYADVGQELRLSSKECPQLSKGGVATCHELSTYLQLVNGFVSSNCPFRDTARRVFNEQRRKKLATL >OMO69614 pep supercontig:CCACVL1_1.0:contig12009:9727:9882:1 gene:CCACVL1_19380 transcript:OMO69614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPCSVLHDPRSPKPKSQLGNGVGYFTALVMHFVVTLSPRSSFDIQIIPQS >OMO69620 pep supercontig:CCACVL1_1.0:contig12009:59053:59445:-1 gene:CCACVL1_19386 transcript:OMO69620 gene_biotype:protein_coding transcript_biotype:protein_coding description:chromosome segregation ATPase MCKATRETASNKPREDKKKLSTDTVSCSGEISSKRSITKLPMAMLRFAPLSGNRHFRPFNWRSGGSSDSNGSGDSSGSGPAIVVEERLVEDPATKTERADESVGVPTMVEVVDDMAAVVDDMPVENATMK >OMO69619 pep supercontig:CCACVL1_1.0:contig12009:50332:55807:-1 gene:CCACVL1_19385 transcript:OMO69619 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH interacting protein 1 MAVASAAAERIDLPRPSMDRSLSKSTSEVGSESPTPTPPSDSSPAATPDRNDPSNSSPSVNYRDAEIQSQAAMRSEEYRQLFRLPSEEVLVTDFNCAFQESILLQGHMYLFVHYICFYSNIFGFETKKIIPFNEITSVKRAKTAGIFPNAIEIFAGGKKFFFASFLSRDEAFKIINDGWVQYGNGTKEITEQDSISECSSQENGFVAIERISSSKALPIDTESTDRDKDTPTSSDSKLPSNSENDAEVCPESNVNTTSSALADTFSLKPENSDAPKVPEDFTKVAETKFPIKAEEFFNLYVSDNAVNFIESFHRRCGDKEFTCSSWSPHDKFGHVRDVSFQHPIKIYFGAKFGSCQETQKFRLYRNSHLVMETSQEINDVPYGDYFRVQGLWDVERDTDGGQEGCILRVYVNVAFSKRTVWKGKIVQSTVEECREAYATWIEMAHELLKQKLDKQGDPSGSSTETDELQTEREVAIQEPSERPHNLSDPVRTLQMSDSLDVNQRLGSLLQASLTNASSIASLSSEFVRKSYSYLKSKGHISLILAVAFAVIFLMQVSIIVLLNRPQHIHVNYPVEYMGGMGGVGGERPGEAVAWLEKRMHHLKEEMVMVEARLERMWHEHAALKAQLKELGYPKKPR >OMO69617 pep supercontig:CCACVL1_1.0:contig12009:29213:30577:1 gene:CCACVL1_19383 transcript:OMO69617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MSFSMKAAALVRPCKPALTERTSTTNVSFSVRCCTMSTQTAVRPLQMKPVDSHRVKSWEHLLDPVDFDPTASLFYSNDVRVADRWEEYQGIRNWEGLLDPLDETLRAEILRYGNFVEAAYRAFDFDSSSPTYATCRYPKRTFLDRTGIPETGYRLTRNLRATSGIQLPRWAEKGPSWVATQSSWIGYVAVCQDKEEIARLGRRDVVIAFRGTATGLEWLENFRAALTPLTNVDSEPGTDGSEPMVESGFLSLYTSGSTESPSLQEMVREEVSRLLQTYSDEPLSLTITGHSLGAALATLAAYDIKTTFKHSPMVTVMSFGGPRVGNRSFRCQLEKQGAKVLRIVNSDDLITKVPGFVLEEDASDVSKNENVYVAGLPSWIQKRVEDTQWVYAEVGRELRLRSRDSPYLNSINVATCHELKTYLHLVNGFVSTTCPFRATVRRVLNNHYGEKVAV >OMO69615 pep supercontig:CCACVL1_1.0:contig12009:10923:13347:-1 gene:CCACVL1_19381 transcript:OMO69615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hyaluronan/mRNA-binding protein MATMNPFDLLGDDDTGEISGTAQSQAATQAKSAKLPSKPLPPAQAVREAKNEGARGGGRGGRGYGRGRGGSGGYRRDSANDENSFSNTAVPAGQGAPEDGEGGKPSERRGYVGGPRPYRGGRRGGFSNGDDAEGERPRRMFERRSGTGRGNELKREGSGRGNWGTPTDELAQVTEEGVNESEKNLGDEKPAGEEDGADANKENPNESEEKEPEDKEMTLEEYEKVLEEKRKALQALKTETEERKVDTKEFESMQQLSNKKSNDEIFIKLGSDKDKKKEAADKEERAKKSLSINEFLKPAEGERYYNPGGRGRGRGRGSRGFGGGNAASNVPAPSIEDPGQFPTLGGK >OMO53320 pep supercontig:CCACVL1_1.0:contig15230:175:506:-1 gene:CCACVL1_28722 transcript:OMO53320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKVAGNFSKMLPTDSTSLVFVYFML >OMO55154 pep supercontig:CCACVL1_1.0:contig14779:26033:33572:1 gene:CCACVL1_27365 transcript:OMO55154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxysterol-binding protein MRVKEMHPLCCISLESPGIGDQSPEVSLTRARSMPAGTLSGSESANAAMLTKGGSEGTVAGILYKWTNYGKGWRSRWFLLRNGVLSYSKIRRAETLNLLSPTDDVRLIGDITTNRLSRMDSCSGRRKPQKTVGIVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKGDRVAWIQALVSTRSLFPLRPLNDNLSLVPRDLSISTDRLKKRLLEEGISDNLVKDCEQIMLSEFSDIQGQLKVLCEERSNLLDTLRQLEAANIEAEASGIQDGDYQLTKHEYSGIGRGKYSECSTTESSDDIEKQELEEVSDEDECSFYDTKECFTEPTVSAVKEDREFRYPQIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLSRVCLPVYFNEPISSLQKCFEELEYSYLLDRAYKYGKEGNSLLRILNVAAFAVSGYACTEGRHCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPTLIACHCEGKGWKFWGDSNLRSKFWGRSIQLDPVGVLTLEFDDGEIFQWSKVTTTIYNLILGKLYCDHHGLMHIHGNRQYSCKLKFKEQSLLDRNPHQVHGSVEDLSGKKVATLTGKWDDSMYYVIGDASGKQKDCNSSSNASLLWKRNKPPPNLTRYNLTSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYERANAEKQRLERRQRMSRKLQENGWKPRWFQREGEDGPFRYVGGYWEAREQGKWDECPNIFGDFNEELVDSSERS >OMO55153 pep supercontig:CCACVL1_1.0:contig14779:20982:22930:-1 gene:CCACVL1_27364 transcript:OMO55153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVAAYHGATSCNCRIVGITIAVTSHQHHRLASPSEVRRHQPDSSPPHKASATAPPDKPTAVTEFLDLWVEFKKSILFE >OMO55155 pep supercontig:CCACVL1_1.0:contig14779:40967:42181:-1 gene:CCACVL1_27366 transcript:OMO55155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MASLPVTQVKTLPDAWDYKGRPAERSKSGGWASAAMILGVEACERLTTLGIAVNLVTYLTGTMHLGNATSANTVTNFLGTSFMLCLLGGFIADTFLGRYLTIGIFATVQATKRKMELPSDPSLLFNVDDIAEGLKKMKQKLPHSKQFR >OMO55152 pep supercontig:CCACVL1_1.0:contig14779:613:2748:1 gene:CCACVL1_27363 transcript:OMO55152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNLIPDLSLHISPPNSAPSSICTGNNEGDSCLDIWRSKDDDSLKSHSDSSVTELSLANNNLSSSSAFEAESPAAAGLGQQTSFAAATAAAATTEDDQTIIRHGIVIANGSNSSGQQQHQLSNQNPGISVLDVTRLKHIKGIPVYNNFPFSSSDNIVEMDPNMLRFYSSPAAAAVLHSGEAYKIANSGGAAASARFNGISMETLRPQQFHHYSGAAAMGSNSDLCNGYRMNMMRSRFLPKLQSKRNMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSGPILLSLKSTYGSGEEDYLPARNTINQNGSPNSSIQNENGFPSSNLWSNSSR >OMO61034 pep supercontig:CCACVL1_1.0:contig13612:23215:41469:-1 gene:CCACVL1_23767 transcript:OMO61034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFGSCTSPPIRNKACAERRNPFLISDSVPAQNLIFLSESIWGFEAVNGSVDFTKQSLESSTPKLMQALENKKRAGKRAIDKKKGIPANRRIRGSQGASVEEALIPYTSLMTIL >OMO61035 pep supercontig:CCACVL1_1.0:contig13612:70058:80297:-1 gene:CCACVL1_23768 transcript:OMO61035 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-fucose protein O-fucosyltransferase MHGLSRLGTGGNRSVSPSPPSSPRYRHGRYKSFGAAAGGGGGGGGGGVARGGKKTTVDKIVFVLISTVFRRKGLLLFAPLLYISGMLLFMGSLGFDVVSLKNAVVIVHRRSPPGSVYRSPQVFQKLWPFMEAESNATYNALMSAWNLKMHQVWKPCVNSIASKTELPKSNGFLIIEANGGLNQQRLSICDAVAVAWLLNATLVIPIFHLNSVWRDSSKFGDIFDEEFFIHTLRNHVNVVRELPQDVLQKFDNNISNIVNLRVKGWSSSAHYLQKVLPKLQAMGAVRIAPFSNRLAYSVSSNIQGLRCLSNFQALRFSEPIRTLADKMVERMIKNSSHSGGKYVSVHLRFEMDMVAFSCCEYDGGEEERHEMDMARERSWRGKFRRRGRVIRPGVNRMDGKCPLTPLEVGMMLRGMGFDNNTSVYVAAGNIYKAEKYMARLKQMFPRLETKDTLATPEELAPFKGHSSRLAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLYGGHAKTIKPDKRKLALLFDNPYIRWETFKRQMRDMLRHSDVKGSELKKPSGSVYTFPMPDCLCKQTEAKNVNSNTTKLI >OMO61037 pep supercontig:CCACVL1_1.0:contig13612:111290:111376:1 gene:CCACVL1_23770 transcript:OMO61037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGFKILVMHRHKEHHVDFMDWAPGLA >OMO61036 pep supercontig:CCACVL1_1.0:contig13612:108738:108895:-1 gene:CCACVL1_23769 transcript:OMO61036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREQARMKPWLPTLSNKHINDYHMIHSYGPMARTSYWVPRTTGAPATPSGQ >OMO61038 pep supercontig:CCACVL1_1.0:contig13612:114225:114287:1 gene:CCACVL1_23771 transcript:OMO61038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAEFRKHQTVVNMVELLY >OMP00633 pep supercontig:CCACVL1_1.0:contig06646:237:332:1 gene:CCACVL1_03323 transcript:OMP00633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKTIENSTNGPRKARNNKCFE >OMO67880 pep supercontig:CCACVL1_1.0:contig12358:42776:44158:-1 gene:CCACVL1_20236 transcript:OMO67880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSFSLPSKPKPTQKPPISDTSAASEDQKPKEFVTEFDPSKTLNGPGSKPSFVIPPKQNEWRPYKKMKNLDLPPLNSDGSRDLQFEIDSSSSDLPDSSISYGLNVRAAKPDSDGNQGVPESAPAPVETVLLQSFKEDLKRLPDDRGFEEFEGIAIEDFGKAMLAGYGWVEGRGIGKNAKEDVKVKQYGRRQGREGLGFDSKEILNGSKNVKEKQPEKEVRVKHEAEDDFPAGIDVRVIEGRDMGFKGTIIKKLDGNRVVLRLKNNNEEVKIHTSQIANLGSREEEKCLRKLKELKIREGKDSKHKGDERKDKRSRNSERSETKVNVERVIKSNGDRGVSWLRNHIRVRVISKDLEGGRLYLKKGQVVDVIQPYICDILMDESRKLVRGVEQELLETALPKPGGPVLVLYGRHKDVYGSLMERDRDRETGIVRDADSHELVNVKLEQIAEFVGDPSELQY >OMO67881 pep supercontig:CCACVL1_1.0:contig12358:45148:46625:-1 gene:CCACVL1_20237 transcript:OMO67881 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MVSRDADPSLGCLTREDTGVRLPRPTRIKNKTPAPIQITAEQILRESRERQESEFRPPKQKITDSTELADYRLRKRKEFEDLIRRVRCDIAVWIKYAQWEESQKDFNRALSVWERALEVDYGNHTLWLKYAEAEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQIFKRWMSWMPDQQGWLSYIKFELRYNEVERARAIYEQFVQCHPKVVAWIKYAKFEMKNGEIVRARDVYERAAAKLADEEEAEQLFAAFAEFEERCKETERARCIYKFALDRIPKGRAEDLYKKFVAFEKQYGDKEGIEEAIVGKRRLPEKVKKRKEIKSEDGIVEGYEEYIAYEFPGDQASNLQILEAAYRWKRQRTSSDED >OMO67878 pep supercontig:CCACVL1_1.0:contig12358:31998:36604:-1 gene:CCACVL1_20233 transcript:OMO67878 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MINDSDSSSLVRLNIGGNKFCTTIDTLTRREPDSMLAAMFSGRHKLCQDPEKGYVFVDRDGKHFRHILNWLRDGVVPILTDSGYSELIREAEYYQLLGLIEAISSVSTKRKEDEESVAELTRTDIIKCIQSERVKFRGVNLSGLDLSKLDLSYVDFSFATLKNVFFSRANLQCAKFRDVDAENSIFHNATLRECEFTGANLRGALIAGSNLQSANLQDACLIDCSFCGADLRSAHLQSADLTNANLEGANLEGANLKGAKLSNANLKGANLQRAYLRHVNLRDTHLEGAKLDGANLLGAIR >OMO67886 pep supercontig:CCACVL1_1.0:contig12358:75473:78158:1 gene:CCACVL1_20242 transcript:OMO67886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAGGLVGPQRNDSTTMTVRHVSNKVRYVSKTIKKTRHKRRRDHHHHVVPRVPKVLQELFVGCREIFKGPGTVPLPSDVNKLCSILDEMKPEDVGLSRDLQFFKATSAVKGTPRVTYTTIYQCDEFSLCIFFLPATAVIPLHNHPGMTVFSKLLLGNMHIKSYDWVDPVNSKDSMPPSQLRLARLKADSIFMAPCDTSVLYPTTGGNIHQFTAITPCAVLDIIGPPYSKDDGRDCSYYRDHPFSAFSNGESAVVSEEEGDQFGWLEEIEVPENSEMDRIEYLGPQPQIIEPCC >OMO67882 pep supercontig:CCACVL1_1.0:contig12358:48934:52164:-1 gene:CCACVL1_20238 transcript:OMO67882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ia MSQSQQADNKKPEAEEDFERKKKKEEKARLKEEKKQKAAEKAEKKTKQESKSSKKSAKKNANAKREAYEDFVDPETPLGEKKRLSTQMAKQYSPSAVEKSWYAWWEESGFFQAEAEAEAGSSKPKPPFVIVLPPPNVTGALHIGHALTSAIQDTIVRWRRMCGYNVLWVPGMDHAGIATQVVVEKKLMRERSLTRHDIGREEFVNEVWKWKNKYGGTILRQQRRMGASLDWSRECFTMDDKRSKAVTEAFCRLYEQGLIYRDVRLVNWDCVLRTAISDIEVDYRDIKERTLLQVPGYKEPVEFGVLTSFAYPLDLEGELELGEIVVATTRVETMLGDTAIAIHPDDTRYSHLHGKFAIHPFNGRKLPIICDAILVDPSFGTGAVKITPAHDPNDFEVGRRHNLEFINIFTDDGKINSYGGAEFSGMKRFEARKAVIEALQKKKLYRGAQNNHEMRLGLCSRSDDVVEPLIKPQWYVNCSSMAKQALDAAAIDDQNKKLEFIPKQYIAEWKRWLENIRDWCISRQLWWGHCIPAWYVTLESDEMKELGAYNDHWIVARDQEQALAEAKKKYCGKRFEMSQDPDVLDTWFSSGLFPLSVLGWPDDHADDLKAFYPTSVLETGHDILFFWVARMVMLGITLGGDVPFRKVYLHPMVRDAHGRKMSKCLGNVIDPLEVINGISLEGLHKRLEEGNLDPNEIATAKAGQKKDFPNGIAECGADALRFALVSYTAQSDRISLDIQRVVGYRHWCNKLWNAVRFAISKLPNDYTPPPTINPGTMPFSCRWILAVLNKAISKTVSSLNAYELSDAATSVYSWWQYQFCDVFIEAIKPYFAADTNPAFSSERKCAEDALWVCLENGLRLLHPFMPFVTEELWQRLPGLKSHTKKESIMICEYPSPIESWSNQRVETEMDLVESTVRSFRSLRAQHLTKQKNDHRLPAFAFCQNKQVAEIIKSCELEILTLARLSSLKVLLISGVDVVAPAGCAFENVNENLKVYLKVQGNLNAEAQLEKIRNKLDEIQKQQEKLNKIVNASGYQLKVPSHIQEDNANKLAKLLQDFDFLKKEEERMESEADHRQH >OMO67877 pep supercontig:CCACVL1_1.0:contig12358:10606:10917:-1 gene:CCACVL1_20232 transcript:OMO67877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIMHKIEETLHMGGHKKEEEKHKGEGHHAGEQCHGDQHKGEHGHGHGGEHKEGGGMMDKIKDKIHGEGGEHGHGHDGEKKKKKEKKKHDDGHESSSSSDDD >OMO67879 pep supercontig:CCACVL1_1.0:contig12358:38195:42003:1 gene:CCACVL1_20235 transcript:OMO67879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLKHLSSIANHLLQTIAEELDTSVDNLVQEFDVGWKPEVGDYSRKLVEFCSSKALMKLCENIEERISNASFSRFTYDMMLAWEKPSFVYEQLENESIAKGTEDRKIQVKLSPEQEDSSLFYSDLMPLLVNDEPSIGEDAFVWLGSVIPLVADIVNGRFTFETLTASTGNRLFFPAYDKFLKEIDNCMKHLQKQAKPKGVELADDEFILHVEGTATSQRVVRHIGGTSWPGRLTLTNYALYFEASGVITYEDALKLDLSRDINHSVKPAATGPWGAPLFDKAIIYESPELQEGVVLEFPEITSSTRRDHWLALTKEVMLMHKFLSEFKVEGPIQAWEMHARTILSIIRLHAAREMLRISPPDPKTFLIFALYDELPKGDYVLHQLSEGLKGENSGQSCAASSILRRMNLPGPIVSSSEAKAGTEVSTTIAGDENDNNTLLETAINQVIKEEREVAIAKAASDELKEEGISDNSLILMELVLKPLKSVYPWFRQSCSWERPATTLALIATIQLVVYKEWAGKAIAACLLLVVAKMIRARQEGLKDKHKEILVCTASEQTTSTRENIVSAQHGFLTVRELIKQANVTLLKLHSILVSRAYKHANLVMMALIGVAIVLAVIPFKYLIMTAIFYSAIMTSKLGKHMRSNQGDRRLKEWWESIPPTPVRIVDDLPDPPK >OMO67885 pep supercontig:CCACVL1_1.0:contig12358:66797:69072:1 gene:CCACVL1_20241 transcript:OMO67885 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrin MAAIEGGGTLSEVYQSARKLLLRARDGIERLERLESTVSGGGGLDSPELSFAVKKDISQIQAQCAEMDRLWRSIAAKSQRDLWKRKVEQVAEEADSLKDSLDKYSMRNQRRMLEAKERAELLGRANGESSHVLRIFDEEAQAMQSVRNSSRMLQESFATGTAILSKYSEQRERLKRAQRKALDVLNTVGLSNSVLRLIERRNRVDRWIKYVGMILTVVILFFFWKWTR >OMO67884 pep supercontig:CCACVL1_1.0:contig12358:59467:66283:1 gene:CCACVL1_20240 transcript:OMO67884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNNDPITMESQSQPSENSQFIHNLASGPLSSSVSSLSSSSRTLPSDQDFHFFNNFDDFKLPIDQITKTSQSLLESIGSSAKAWGANKAINFPDKIDSIADDEAYDWLVNINDEILERFDVSMDEFQRIRKKEEETGRPIGSDPDSNGFQLVYGKKKKKGDVAQVPDSVSDSVRKEGESSVSSSGVKVNKGALAVGTAAKAKVPFHIPTIPKPQQEYNILVNNSNQPFEHVWLQRSEDGQRFVHPLENLSVMDFVDKDIADIEPVKPPSLESTPFKLVEEVRDLKELAAKLRAVNEFAVDLEHNQYRSFQGMTCLMQISTRTEDFIVDTLKLRIHIGPYLREVFKDPSKKKVMHGADRDIVWLQRDFGIYLCNVFDTGQASKVLKLERNSLEYLLLHFCGITANKEYQNADWRVRPLPDEMIRYAREDTHYLLYIYDLMRIKLVSTPKESEHSDAPLVEVYKRSSEVCMQLYEKELLTETSFLHIYGLQGAGFNAEQLAIVAGLCEWRDVIARAEDESTGYVLPNKVLLEIAKQMPTTAGKLRRLLKSKHPYVERNLGSVVSIIKHSMQNAVAFEAAAQQLRIGSVLNASEEHVAVNEGAEVLPPVTPTDDRTEIIDGGRVGPDKIIAQPASLELKEESLKPGSSIAGIDRDKKQFSFEQPALNGASVSARESLAISGQTGEINATVMPPSAKIATGASIRVLKKPSRGFGALLGNAASKKKSDMDKKEEESKLEQIRSSVNLSFHSFLGTEEQSKPKPTAEEPTRVSEVSQPKEPPAPAAIDERPAAVGTESTLADIIMLENNSDKDESVDGSPETTDKPGEENSAALSSETNKEDETMSLSDLSTSFQQCFESMNQNRKAKKVKKPKEPSGPLQIKPFDYEAARQEIKFGEDAEEEESGSQPNSGRKKKSSVAGRMQIEDGSKQFPQARRRQAFPASGNRSATFR >OMO67876 pep supercontig:CCACVL1_1.0:contig12358:4254:8691:1 gene:CCACVL1_20231 transcript:OMO67876 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MADDIDNLWSKLTLCDEENSVVAVHQNTVVEANTHCLIGRSLSRKPINIDILRSVLYKIWRLNHAFQVSELGEKLYLFRFERVGEMNRILLQQPWNFNKALIVLNEFDGSSPPDAINLDWCSFWVHIYGLPFACMTAETGLSIGKQIGIVEEVNLCGDKATWGKFLRVRISMNITKPLKRGTKVQLPLQGISVVMFKYEKLPDFCFVCGCLTHIETECEIAYTMKKNTRKYIRQYGNWLRAEVPGSLTNTFEAPTISMSTHSCNLFGEQYGHHHPKIGMGGQSPIDKVADNSLMFDSTPNKGILTVPAENAIPDPQLTPTPIADLQDHEMNTNLAVISKNLADQKLISGDDDNLTQKAKQPVQLGESSTSGVDKSTFVFSSANSNPIPLSKRPSHWKRTARSNASKSAMAAVSSKGGKRISGRVDTDEGASSLTSKKLRDHGIIEKNLSDVPISARSHLSWVCGGDFNEITNLDENLGGAARPQWQMDNFNQAIGDCELHSIPVKGPRLTWSKYMDGERIFERLDRFLVTEDWLQMFNFSFEEHLVTSVSDHLPISLHILNHPDHQIRSKKQFRFENMWLEHEDIQNVVSQKGQEGGDCSLLKQCERDLNLLYKQEETLWWQRSRSLWLRDGDKNTKYFHSTATLRKRRSCINSITDDAGQVFSDEDNITRVILDYYQSIFTSDNPDLSNIQEVSNLIGTRLTNEMRDLLDQDFTEEDIKVATFQMNPSKAPGPDGMNPCFYQKFWHVIGKDVSTMALQFLNAGLPLSDINHTTIVLIPKLANPNNVKEYRPISLCNVIIKIITKAITNRLKLILPQIISETQSAFVPDRQIFDNLIIAFETIHHMANRRAGNNFHMALKLDLSKAYDRVEWQFLEEVMRGMGFSERWIFRVMTCVRTVTYSVSVNGNHSGRIIPTRGIHQGDPLSPYLFLLCMEGFTSLLQRAENNGLIQGVAVARHAPRISHLFFADDSLLFIRAQSSDCTTIIDVLHKFELASGQQINIEKSSVFFSSNTPENTRSSIMELLGVRKILDRDKYLGLPIMLGRSKKRELQYIKNRLLAKIRGWNCRFLSIAGKSILIQSTAQSIPQYLMSVFKFPQTFLHELNMAVANYWWGKGSERRSIHWKNWSSLCISKLDGGLGFRDFEAFNLALLAKQCWRLMHNQQSLCFRLLKAKYINNSNFLQANLRTNPSFVWRSLLAGRKILVEGSRWRIGSGFSVPVRNAKWINKPPTYCPQLLEGVQPNHMIMAELIHQTDRRWLVDKLQELFIEEDVYQIASIPLPLEFESDSLTWNH >OMO67883 pep supercontig:CCACVL1_1.0:contig12358:53898:57294:-1 gene:CCACVL1_20239 transcript:OMO67883 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperone MSRHPEVLWAQRSDKVYLTVSLPDAKDISVKCEPEGLFSFSAMGVQGESFDFSLELFGKISPEGCKTKVGLRNIICSIQKEEKGWWKRLLKSEEKPAPYIKVDWNKWCDEDEEEQNSDLASDDDDDAYVARDDESSDDEGLLYLPDLEKARGN >OMO87162 pep supercontig:CCACVL1_1.0:contig09301:2155:2295:-1 gene:CCACVL1_09226 transcript:OMO87162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HIFSLHIAFHSKHWPSKGPAHAFDYIPRHLTDRIQAGIEFKQATST >OMP00497 pep supercontig:CCACVL1_1.0:contig06669:836:949:1 gene:CCACVL1_03342 transcript:OMP00497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKTIQNSTNGPERPEIKSVLNELKTSQ >OMO61062 pep supercontig:CCACVL1_1.0:contig13608:50885:50989:1 gene:CCACVL1_23754 transcript:OMO61062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQYRPPNLRPQLPSAPPFGTQTVVHRATKHSL >OMO61059 pep supercontig:CCACVL1_1.0:contig13608:7043:10494:-1 gene:CCACVL1_23751 transcript:OMO61059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSKRMSLGVQKKTKPTKQNKKKFLKNVLDYLKSDSYMFAPLISPPLSGYSDTKMKEPIKGNKKRELKKDRNYMKSDTYMYAPLLPPPSGQFQSRRKITDEVSTTMLNLKESGKSTESANARAENQQRNDSCLERSDQTSRHGERVKHMVYQHCRSTPDNMAFGPMLLVDKASFVIL >OMO61061 pep supercontig:CCACVL1_1.0:contig13608:35737:40986:-1 gene:CCACVL1_23753 transcript:OMO61061 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MYQKYLLCCPLAARVLYSWIYEETLGDLWCLYILILYLLRAGLHQLWNTYVNMLFFTRNRKIKQQGYDFKQIDQEWDWDNFLILHVLISAMACLIFPSLGDLPFWNSKGLITLLLLHVTISEPLYYWGHRLFHDTYLFTHYHSLHHSSPVLHPFTGGHATFLEQLLLSIVTGIPIAGSILMGYGSASMIFGYLLVFDFLRCLGHSNVEVVPHEIFSKLPFLRYLIYTPTYHSLHHTEMGTNFCLFMPLFDAIGKTLNSKSWQLHQKISSDSAKKGRVPDFVFLAHVVDISSAMHVPFVFRSFASQPFSTRIYLVPIWPITFMFMLIMWAWSKTFLLTFYNLRGRLHQTWVVPRFGFQYFLPFATDGINKHIEEAILRADRMGIKVISLAALNKNEALNGGGTLFVNKHPELKVRVVHGNTLTAAVILHEIDNDVKEVFLTGATSKLGRAIALYLCQKRIRVLMLTLSTERFQKIQREAPADCQNYLVQVTKYQAAQNCKTWIVGKWITPREQSWAPPGTHFHQFVVPPILNFRRDCTYGDLAAMRLPEDVQGLGSCEYTMGRGVVHACHAGGVVHQLEGWTHHEVGAIDVDRIDLVWEAALKHGLRPVSSVK >OMO61060 pep supercontig:CCACVL1_1.0:contig13608:18295:19430:-1 gene:CCACVL1_23752 transcript:OMO61060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MAEVLGGSKGFSDVIWDVKDYKNDNHIRFTYNSFDGERGLCLETQGFPDSVNHPNFPSDQIVNPGQTYKHFMVFSC >OMO98825 pep supercontig:CCACVL1_1.0:contig07014:21094:23706:-1 gene:CCACVL1_04045 transcript:OMO98825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEIATSAAGGIVANELSNSIVVRVKRHASYILDRKKNVEDFKKKVEDLKDEREKVGRDVQATVRNEEEIDARVRRWLQRVDEMMNDNAEELKALEDQEKNRCFMSCPNLKSYHKLSKKALEQAAVVSELLQRALQFDRVSYPRDLMLIPRVPSNYYDFQSRSNLLGEIMYALTNPNLKLVGLHGLPGVGKTMLVNVVARKAWEARLFDEVVIAAVTSSPNIRAIQEEIADQLGLRFDNVKKESGRAKLLSQRLSGGKNILVILDDIWEQIDLDDIGISFNDDKNAALVERQGSIIQYIGGSMVENSGGSSPMNISTGRSIILLTSRNYNVLDQMNAEMKFECRVLSREEGMALFANIVGSAALNDPAYSPIANQLVEKCAGLPVAISTIANALKNSSLDVWENASTQLRRSNPTTIDKMDWVYSIIKLSYRMLKNEEAKSLFELCALGGQASNMYLPDLVRYGLGMHLFEDVLTLEQARARVRALVHKLKASSLLLSSNNDEIIKMHDLVHDVSRSIVTKEKQMIIIEDDNHMRDLLHKGKFHNCTAISLPFKDVHHLPSVLECPKLKLLLLFKVKFQLQAPEMFFEKMNDLRVLHLIGMHFPSLPSSFPFLTNLRTLCLDHCKLGEIASIANLKKLDILSFQSSEILQLPNEIGELTELRLLDLSDCSNLEVIPANILSKLSCLEELYMGNSFDRWDVEGNASITELRYLKNLTTLHVHVRDAEILPKDVFPVTLKRYRIFLGDIPWDWLNQHKYSRTLKLKVSTRINLDCGIRTLLRKAEELYVDELNGFRSLLYELDDTGFLDLKNLHVKNNSEIQCISNSIHGISGEAFPLVESLFLHDLINLKRIFQGPVYSVFQKTKSYRSEKM >OMO98826 pep supercontig:CCACVL1_1.0:contig07014:37378:38754:1 gene:CCACVL1_04047 transcript:OMO98826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDISIFETIQPSRYISFTIPNPNTSLSSPLIRIAVLDSPIHPTTSSSPTPNVAAMFVPKHRESDWVFSTESGHLQLLLTSSNIQRLILIGQQPIIAGNSDSPSVYRRPIDSESKSSLEVMLKPLVIALCPKIYFENGKLEVPILSYEDSVVSSLVLEKCVGNFVGEMLVEDVEIETTNQEREFRRRLRFKRMPNLVQTEIRIVPQTAICLDSVEIGGNNIDFKPDLGVLVHVYLVPMVASLALIGPWIDERVKVGFRPKALCLGVGGGALVGFLKTKLGFRVLGIEVDEEVLRIARKYFGLEDEDFIQLCLGDGMELMEKLAHGDGGYEGVGHIDPQFDVIMVDLDSSDLRNGVIAPPLEFIRKDVLLAARSVLSKSGIFVINVIPPTRLFYEMLINDFREVFAELYEIDVGNDENFVLIAKALPVASSISDCENNFLKILRQAISGAYMDSIKRI >OMP03170 pep supercontig:CCACVL1_1.0:contig06132:7591:11624:1 gene:CCACVL1_02519 transcript:OMP03170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHENKVNVTVIWRGNKYVVEMNSAAHLKELGDELQKLTDVKADTMRLIVPQLSGKGSRMFHPFSDEHSCLRLQESSIVEGKSVRMMGVPEDEVDRVIQNSKLDMRIAGFNEEERRLRQRTKDGPRASLKLPQGPYIFCDFRTLQIPGVELHPPASEALKRMHMLASDPGIVAIMNKHRWRVGIMTEMAPVGYVGVSPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVFSEHDSNFYALDKQLNEEAASLDWTKSRGHTLSGFRHSEDYEDVIVEDIRHFEKVGGNMSTFLESARASSVAAAYHRMANASDNMEASKTHDEPDPDDSGTIMNDIHENLDIQIPIQPQGKLAHEPDPDDQSSNQNKFEPDPDDSQHGDTLQMKLHSTFSKNNFQKTFGKPAALPEEPDPDDSEAFSSFGNVVEPTMVEVLNTKIMTSQTIDEPDPDDAEAKLKILGCVNIERDDPGHYLTIETIEDQAHVNQTHKEPDPDDKLVPQLGISDMKIDEPDPDDQELQRIQDSVTGVCSRLQKAIEMLRAEVNPAEATIVMQTLFKIIRNVIEHPDEIKFRRLRKANPIIQRNIANYKAAMEILLLIGFSEDVTLDELGKAETYLVLKRNDPGLLWLAKSSIETCIAY >OMP03174 pep supercontig:CCACVL1_1.0:contig06132:24856:25392:1 gene:CCACVL1_02523 transcript:OMP03174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MEQPKVILPPAIVDCLVLKVQLTPNPPPLLLEVTTTVPFQWLGRVGSSKGRDYVEFIDSPLYSSSFPMKILLWNVRGAGGSDLLRSIQDLVRVHKPVLVGIMETRVGSDRAEDIVRRIRFSDHRIVEGLGFSGGIWLLWDSGSVNVIVEETSFQAITVKVKRKDEQWNFTTVYGSPTP >OMP03172 pep supercontig:CCACVL1_1.0:contig06132:17187:21121:-1 gene:CCACVL1_02521 transcript:OMP03172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRERENPCGVCGHYHKYEEGEVCGICGHRAPVSSDKSSLQVSAFPSLILPDFLYLGSYDNASRSELLKTQGITRVLNTVPACQNLYKNSFTYHCLQDDRILQFDDAIQFLEQCERDKARVLVHCMSGKNRSPAIVMAYLMKSKGWRLPQSYQWVKERRPSVELTEAVTQQLQEYEQKLFGSSDTSNPSLPTFSFAGAPSFNLGFSKPNDPVPVSVPVPAFNNLGATSIFARPPLEIPPHEFTFGAGQTQKSISESLANPSGSDIPMDST >OMP03175 pep supercontig:CCACVL1_1.0:contig06132:27254:30689:1 gene:CCACVL1_02524 transcript:OMP03175 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MFPDAVVKHLPRLDKSDHCPLLLDTDGFPPPPSQIKPFRFESAWLLDPRFKEFLKTEWEKVRAKYMTDLGTWQLVSLNGIWRLLEEILWFQKAMTKWIQSRDRNTRYFHTLALVRRSKNKVRALRDESGAWITDQQELKHMAVNHYKNLYSKTLEESRTPISQLLSKLEDYEVIDLHRLVNEEEIKEAMFDIGAFKAPGPDGYQDHFFHKNWEIVRSSVIRQVQEAFVTGTFPEDLNKTLITLIPKVKHLETINQLRLISLCNVAYKVISKIIVSILEPLLPKLIAPTQNSFVPGRLIVDNIIIVQEMFHTFRKKKGKAGAIAWKIDLEKVYGKMNWEFMREILLEIGFNRPISYVFFADDLVLSLDASIKQVDTMVETFKEFNLWFGQSVSLAKSKIYVSKNVRKSEAMELSRRAEIPLTKDLGKYLGVPLIHSRVTKKTYWSIVEKVQEKFANWKINTLSLTSRTVLIKAAAAPVPVYTMQTSKLPTAVCDEIDKYCRTFLWGSTAEKGRCNKLIWSKSSNGDFTTSSAYHTIVDRGGEQQTIAKEIWKIKCQPKIQNFLWLAWKNKIMNNANRMARGLTNDACCKMCGATIESMIHILRDCHVAKDVWLQLLGVGVNSLFFTCPEEEWWKLNLVQQRKKMFGCWPWLTMFSITYWKLWKWRNDFRLSNISTSINTKMVLINKAIQETLELSTQSGSTKAKVEVQLSWDKPLEGWTKLNTDGSRNQTSDNVAIGGVIRGHCGEWVIGFSQAIGKCSIDMAELWAIQQGISLAWNRGIRELEVESNSATSISMIKNGVSLNHPLFCVVEAIREMITKDWNCRINYVPRQKNFVADWLAKNNRELWEGLLLFHIPLLALSTC >OMP03171 pep supercontig:CCACVL1_1.0:contig06132:12403:16415:1 gene:CCACVL1_02520 transcript:OMP03171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCRNLSSAISHFSYITNPSLPKSLNFKFFFSSSSHSKTHPKTSFHLRSWPQQRGHYQVATKPVTAQASSTATAEEKAPKLGKRTDLKKIMILGAGPIVIGQACEFDYSGTQACKALREEGYEVVLINSNPATIMTDPDMADRTYVTPMTPELLEQVLEKERPDALLPTMGGQTALNLAVALAESGVLAKYGVELIGAKLDAIKKAEDRELFKQAMKKIGIKTPPSGIGNTLDECIEIANEIGEFPLIIRPAFTLGGTGGGIAYNKEEFEAICKSGLAASLTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVKIIREIGVECGGSNVQFAVNPVDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYSLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSQPILTTQMKSVGESMALGRTFQESFQKAVRSLECGYSGWGCAKVKELDWDWDQLKYSLRVPSPDRIHAIYAAMKKGMKVDEIYELSFIDKWFLTQLKELVDVEQYILSRSLSELTKDEIYEIKKRGFSDKQIAFATKSSEKEVRDKRISLGVTPTYKRVDTCAAEFEANTPYMYSSYDFECESAPTEKKKVLILGGGPNRIGQGIEFDYCCCHTSFALQKAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVLNVIDLERPDGIIVQFGGQTPLKLALPIQHYLDEHQPLCASGVGHVRIWGTSPDSIDAAEDRERFNAILNELKIEQPKGGIAKSEDDALAIATDIGYPVVVRPSYVLGGRAMEIVYSDDKLVTYLENAVEVDPERPVLIDKYLSDAIEIDVDALADSHGNVVIGGIMEHIEQAGVHSGDSACSIPTQTIPSSCLNTIRSWTTKLAKRLNVCGLMNCQYAITASGDVFLLEANPRASRTVPFVSKAIGHPLAKYAALVMSGMSLNDLNFTKEVTPQHVSVKEAVLPFEKFQGCDVLLGPEMRSTGEVMGIDFEFAIAFAKAQIAAGEKLPMSGTVFLSLNDLTKPYLERIANTFLGLGFRIVSTSGTAHFLELKGIPVERVLKMHEGRPHAGDMVTNGQIQLMVITSSGDALDQIDGKQLRRMALAYKVPIITTVEGALASAEAIKSLKSCTINMIALQDFFETDLETGTSKDLLSTSSL >OMP03173 pep supercontig:CCACVL1_1.0:contig06132:24203:24493:1 gene:CCACVL1_02522 transcript:OMP03173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQRKPRRNIGTKPNHQNPKMQNNGGSRFSPLENLAGEDSGKMSESRAGPPRVQAQCQTHLTQNGRAFLGEAQVRYYQIQGPSRYHKSSTYNWST >OMP04680 pep supercontig:CCACVL1_1.0:contig05691:4071:6904:-1 gene:CCACVL1_02146 transcript:OMP04680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPPMDEEINLVDGIDQEYLCQTNLEVSNENHDEHGNEENSGNNQQILEPYNGMEFESLEEGLEYYTKYAKHKGFGIRRSRVTKSRRTQVIIGQEFVCSKEGHRAKKYVNREDRTQAAPDETRTGCKAMIYVSKKVGVDRWIISRFTRDHNHELATPKSASFLRAHRKRTRAQRNLIDVLDDSGVRPSRIMLVLATESGGIDKVGLTERDIQNYLSNKRQKQLEKGDAQLMLTYFRECQSKNPGFFYAIQMDAEGKLANCFWADSRSRIAYKYFGDAVTFDPTYLTNKYKMPFVPFTGVNHHHQSILFGCAPLWDETEETFVWLLKTWLEAMYGNHSKTIITDQDASISNAVAKVLPNSVHHYCMWHIEKKFSENLSHVYHKFDDFKSQFAKCIHNVLLPSEFESEWAAIMGKYGLQENSWLKKLYSIREKWIPAYVRSSFCAGMSTTQRSESMNKYFKDYVNASTPMSKFVIQYDKALDARYNKEREQSFKTMNSKPILKTMYPMEKEASMVYTRKIFRKFQDELIHSQEYVAEKINVVEQVYKYGVHEFDKKKLEYIVTFDVSSTTTACKCHMFEFVGIPCRHQLAVLIKKKVHSLPNHYFLDRWTRNSKKGEVDITSDHDNNAPTSSMMLFNSVMVHSLELSEKASRSQKHYDIAIGGLQRLFEELDALKIEDDKGNSPTDVVISKNVPEAVLRDEETHLLSQNSILLDPPHVTTKGRPKSIRKKGSLEQKKRCGSSAEITSDPNATKQVSSSHIDQDSNTPQNLNQGQGSMSLESQSHPTNSTDLTTTQAMQIGTSEKA >OMO69115 pep supercontig:CCACVL1_1.0:contig12112:17717:18653:-1 gene:CCACVL1_19651 transcript:OMO69115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSNNNTNSFSSAGNNPFDLSWLFQYNDSNASLNNPSSSSRSGLNLGHGQLGRVTSVTTEVRRTYVSPQSGHQSASFPVPDGTVTYEVVRSTRFFVPPSTMDHTFVSSSGVGNQGSTLPTNVGVFNQEQQAGAGQPAEQSQPVVRNQFNMLASTSNLNRNQVNLSSSAGVRILEQGQQPIMRNQPHRALNIFNNVNQRSNIAHEVIDVDAYDNEVCGNSSRASENQSHRPNIQVKNDAFSENPSMNLANHGSDDINVPINGGIHENSANASDPLLDNAGHGRGR >OMO65433 pep supercontig:CCACVL1_1.0:contig12653:11926:17634:-1 gene:CCACVL1_21525 transcript:OMO65433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MEQFQTHLQIKCETRVRDEKVSKNKDAAESSKNKVLMNNKSDKTCFHYGKKGHFIKECWSSSKTNEANIVKDEYYVVVTEVNAVVATNSDFFIDSSATIHICNNKDLFNTYVEDESEVFMGNQVVVRVVGKGNVTLNFTSGQKLTLYHVPDVIRNLVSASLLAKHGFKILLESDKVVITKNGYAQNSKAYRLLDLEWNVIVESIHVEFFENMFVQDNAIHDGSIDRLLNTFEVLSVDVDPKTLKEALASRDAAFWQEAVNDEMDSIMANGTWVLVDLPPGSKPIKNKWVFRRKYNSDGSLQTFKARLVAKGVLEGYSDASWITKIGDNNSTSEAWEILQQEFNGDTKAREVKLQALRRDLENMKMKENETLKEFSSRFLELVNQMKAYGEELLDKKIVSRILNILLQKFDPIVAVIENTKDVESLSGQDLMGSLKSFEQRLLRHFEKSIESAFQSKVVFKSKSDDKRPSTSFQAKADSSRGGRTNRGRFRNSRGRGRGDFGRRPNLRKILV >OMO80943 pep supercontig:CCACVL1_1.0:contig10256:52520:58657:1 gene:CCACVL1_12692 transcript:OMO80943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEASLKAIFFSKSKYHHFWTSTVTSAREDPRLSNHEDISFSWFANLTNFMRPRPARN >OMO80936 pep supercontig:CCACVL1_1.0:contig10256:14257:15205:-1 gene:CCACVL1_12685 transcript:OMO80936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MEFKTPTWKPSYIFLMMLLFAGLASSDVDQDKTECANQLVGLAPCLPYVGGTAKAPTIDCCSGLKTVLDKSRKCLCVLIKDKDDPSLGLKINTTLAALLPNTCHAPVNMTECVALLHLTPNSKDAKMFEDYEKLTEGGHASTPAPSGNSKSSGASSAAEKSDGGKGKTWVGVEIAFGISLLIFTLHQNFGM >OMO80937 pep supercontig:CCACVL1_1.0:contig10256:25057:27000:1 gene:CCACVL1_12686 transcript:OMO80937 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI mannosyltransferase 2-like protein MPTAAPSIPKSKLHQNRVFKSAILSRLLLLILTVIWRTLLSPYDTSAPINPSCLNDHSTSAPTPPLFPSLGSAIENGVVWDSVYFVRIAQCGYEYEQSYAFLPLLPICISILSRTVFAPLVFLIGHRAVLALSGYVVCNVAFVFAAIYLYRLSVIVLKDPNAALRASVLFCFNPASIFYSSIYSESLYALLSVGGLYYLSSGANIIAVICLALSGLARSNGVLNAGYFGFQTMHQVYDAVIVKKRAFGGGVLEILPI >OMO80939 pep supercontig:CCACVL1_1.0:contig10256:29581:33701:1 gene:CCACVL1_12688 transcript:OMO80939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKVDQTSVIEPHIVSMSAKTNTSAASPTVSKVSMFAAKTGFVIPKNKLSGSLVPIFRGKKPGGHDTGTANEDNVNQAQRKTKWGPDLTQDASVIKGRALAYQTRVDQITKQLKSGNLDVRDNEDSPLAAQNSVKRSSDTQLDIEKSELLEIERREVIGEILKLNPSYKAPADYKPLLKEASVPIPVKEYPGYNFAGLIFGPGGDTKKRLEKETGAKVQVYGIKANSGEKVEISSPDGSEAQDAYEELYVHLSADTFEKVDGAVSLIEILVSSISGNIGSTLVPTSVSGNNVNVLNQTLDTAASSVTDTALIQGVPQLSQASPQGQFQYHSWFPPVPTPLNFSGPILNTPVPAQSSPANLPSLFGPQPAPAAEYNSFLQNSSFVSSSPQPPRQVLSQPYTPQMLPLGHTGPPRNFLVSNPNPLPTQPSPLSFSGSQPQPLGPLTSPRQSMPLFPQTSSVSSRPLQDHREVSAGSSVGWSGAPASLGLSNVGQVPHAVDPQPAVSSNSAAPPNMSVNFATGQFGPQVTSVPMNHPSMSVAPGPLLGSSHAVSAVLRPTAVSVPMPVPTPIRSSSPVISMAPSPSPSMNPAMVSRPISGNMANFAPINQPAAIGPRQHGSGDFSFQRHQAQGPASSMVPGSQAANPHALPPRSAVQPSFQFGVPNPQPMQVFPEPQTRNQMGFPNPNVMSAPPRHPAFPNAGPLATPTPVSQMGLRNFGPSPQSPNMVGPFPPRPGHPSQLQQHYPAPPMRPGNFMPPNPRFDSSPFPRSNRPMSGHAMGQQVYDPFSPTSAPIVPQQQGRGKPKARKQESDPEYEDLMASVGVK >OMO80935 pep supercontig:CCACVL1_1.0:contig10256:1752:1946:-1 gene:CCACVL1_12684 transcript:OMO80935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpenoid cyclases/protein prenyltransferase alpha-alpha toroid MWKLKIAEDGPLLSTVNNHVGRQHWEFDPDAGTPEERAEIERLRLEFKKNRFNVKQSSDLLMRLQ >OMO80942 pep supercontig:CCACVL1_1.0:contig10256:52097:52192:1 gene:CCACVL1_12691 transcript:OMO80942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTIKAQLNKMLAKDLARWTASSVEHDTIL >OMO80938 pep supercontig:CCACVL1_1.0:contig10256:28074:28643:-1 gene:CCACVL1_12687 transcript:OMO80938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQGDGTTEKGPQIGPREFFGPDQPNYSGLLCQPNMARLNKLAARQKSWPPAMVCLESYPPPVKKPQQDSRFLQCLIHYCLLKPMEK >OMO80941 pep supercontig:CCACVL1_1.0:contig10256:49360:49500:-1 gene:CCACVL1_12690 transcript:OMO80941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIVFAAANIYSDFSKTFKEKPETLKAKREAVNGEKIIKRDHQRS >OMO80940 pep supercontig:CCACVL1_1.0:contig10256:34396:46010:-1 gene:CCACVL1_12689 transcript:OMO80940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MESSESDEPEKKRPHLDSPTMARNSSTSPNHANAVDAAVLQYQNQKLVQQLDVQKHELHDLETKIKELKHRQSSYDDMLISLNQLWNQLVDDLILLGVRAGGGHNALETLDRGDTSRDSIPSCPVEEMFLCRLLERDSIDCSDNDAIANYVEQVLSSRHSSTMELIKSLEDTIAAESVKTESMALALQGNISAEDNIMQLSKIDDMMKEEAKNFRDVIDALHLKHKEYTDRIQIYISSHSTDESEIKRLQGDLEEIMAELEQSRRKLVNLRMQKNVASGMHAPTQVAANGTLSPEKTADKTRGLREIKDSIEEAKTVAEDRLKELQDALQQNLIYSKQLQDLQNELKDDKFVQSSRLYTLLSDQLQHWNAELGQYKALTDTLQTDRFLVTRREKELNLKAESADAARNTIDNADTKIEDVELQLQKCIIEKNDLEIKMEEAMQDAGRNDIKAEFRVMASALSKEMGMMEAQLNRWKETAHEAISLRKETESLKALLSDKTNQQKRLADECAEQIVEIKSLKGLIEKLQKEKLELQNFLDVYGQEGYDNREVTEIRESENRAHLQAEVLKNALDEHSLELRVKAANEAEAACQERLSVAEAEIAELRAKLDASERDVLELTEAIKSKDRESETYISEIETIGQAYEDMQTQNQHLLHQMTERDDYNIKLVSESVKTKQAYNLFLSEKQTLAKQLQQVNSSIESVKMRIVHNEEQIKVCLTDAIKSTQEDRHVVISLETAKWELADAEKELKWLKSAATSSEKDHEQLQRKVDELQVKLDKERSQRKKLEEELTELNSKAAELSSETGETAIRKLQDEIKNCKNILKCGVCFDRPKEVVIVKCYHLFCNPCIQRNLEIRHRKCPGCGTAFGQNDVRYDSKHSQGSLIILRVLNQILIQVGSSGKRFVIAYHRVLSEIDGRKRVLSEIDGWKHESFISSSFHTNSNPTKSSFLLPKTLLPVFTTEPTSKSKSLIHLSPRKRNAAGIRVSLLEAPVLWAGRLCIYYALLKAGLAGSQSNPLVSELESGVGIGESGDLGFSKWLENIQGKPDKEAADKRKLVSKWHPTTKGTLKRNYRVPSKSEGRRLLKAVASLLSDDDHFTDATSHKGCQIRRESAHGESVCCNNVRALFDELPTPHLIVEITPFPAGPLTEKDYIKAEKLERVLRSGPSV >OMO70760 pep supercontig:CCACVL1_1.0:contig11786:2104:2682:1 gene:CCACVL1_18665 transcript:OMO70760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGKECEEKIGADGDHNMKPCAACKHNGWRCGADCFFAEYFPSDCETQYLNVDNRFGAWGMLNLLNELTPTRRVDVGIAMHSLIFESNCRVVSPSTGATGLNMAARSLMRDAKTAAEIVSACNEIAKYSEEIARFRQNQHKEQLNHGSQTGTVSFDDIIRGRGIHFEEDPLPSDLEDAETDDDVLNAPDY >OMO70762 pep supercontig:CCACVL1_1.0:contig11786:6632:7426:1 gene:CCACVL1_18667 transcript:OMO70762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEQDPGRKQEPGGKKQQDPGRKKQEPRRRTCAVCKHNRRRCEEDCFFAKTYPTALDGQFSLINERYGHKSIKKMLVGTEPEMTLQTSVMEAQDREHYPVLGSYGWFDHVLQQNPQADSSFIRHLLWSKLQTPTIPTAAETPTTMKEIVPGPSEEELLAAPGELMRRATEKTKVQNKETKASSSPSALTLLQEVYDHDETGEDEDNPEETKALSLQEPTGLDSLTFALPNLLQEPEPVRLGDESEETIKKPKRKWTERWSDQV >OMO70763 pep supercontig:CCACVL1_1.0:contig11786:8585:13500:-1 gene:CCACVL1_18668 transcript:OMO70763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60 MYRIASKLASSISSSTSKKIVCSSRVICSRNYVAKDINFGDGARAAMLQGVSEVAEAVKVTMGPKGRNVIIEKSPGYPKVTKDGVTVAKSIIFKDKAKNVGADLVKQVANATNKVAGDGTTCATVLTQAILLEGCKSVAAGVNVMDLRNGINMAVDAVISDLKSRAVMISTPEEIAQVATISANGEREIGELIARAMEKVGKEGVITVTDGNTLNNELEVVEGMKLGRGYISPYFITDQKTQKCEFENPLILIHDKKISDMNSLVRTLELAINKDRPLLIVAEDVESDALALLILNKHRAGFKVCAIKAPGFGDNRRANLDDLAVLTGGEVISEERGLTLDKVKVEMLGSAKKVTVSLDDTIILHGGGDKKLIEERCEQLRTAMDKSTALFDREKAQERLSKLSGGVAVFKVGGTSEAEVGERKDRVTDALNATRAAVEEGIVPGGGVALLYVTKILQNLPAQNEDQKRGIQIIQNALKAPASTIASNAGYDGSLVLGKLLEQDDDKLGFDASKGAYVDMVKAGIIDPVKVVRTALVDAASVSLLLTTTEASVLENPDEKKPPSRMPDIDNDY >OMO70761 pep supercontig:CCACVL1_1.0:contig11786:4380:4490:1 gene:CCACVL1_18666 transcript:OMO70761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKQNEQLAVMVFGIQQGNLKRNHQVSSLKTSCSR >OMO70764 pep supercontig:CCACVL1_1.0:contig11786:17791:18570:-1 gene:CCACVL1_18669 transcript:OMO70764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVYPSAKPATNGSTAPTTAGATANPSFPATKAQLYGASRPLYRPQPNRHRYRRSCCCSCCLWSTVAILVLILLAAVAGAVVYVLYRPHRPTFTVSSLKVSTLNVTASSKLITNINLSVSAKNPNKKIVYTYDPISISLITNDGVDIGDGSFGSFVHGTKNSTVLKTSITGNQDLDDTSAGKIKSALKSKNGLPLKMKLETKVKAKMGALKTPKLRIRVTCEGIKATAPTGKTATTASTSNAKCKVDFRIKIWKWTF >OMO97069 pep supercontig:CCACVL1_1.0:contig07300:38650:39080:-1 gene:CCACVL1_04666 transcript:OMO97069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 MQVEDSELADVAAVSAGNNYEIGNMIAQAMSKVGRKGIVTLEDGSSSENNMYVVEGMQFDRDYISPYFVTDNEKMTAEYENCKGN >OMO81385 pep supercontig:CCACVL1_1.0:contig10184:3529:12220:1 gene:CCACVL1_12436 transcript:OMO81385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFLKYHKYLTSWKLSTSTYILGLKTGTYYLRKHSAANAINFPVDTFVLKNENAKLVSNDVNTYITQALQLVLIRAYAQPKDQLQC >OMP10625 pep supercontig:CCACVL1_1.0:contig02098:967:1932:-1 gene:CCACVL1_00843 transcript:OMP10625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDVLHGRSAAAVAPRRFGQAGKHVLDAHVPGHGQGEPGVESAGLVARAIQARAAFLFPCGLGRTLVSGRLLDVGHGGAHEEAGMVEPHANRRAGLGRVGAQAQRDALHGHGAVSADRRGVLALAHAVEPHGFEHVRAGDGIGLHRAPSVGSVAVPEALKERERRQQRGDQAGAVAVDGQARRSRRAGQAVAQGERGQQDQHGQRVSWPASCGRVGGGGGVVHGGVPGLTLGDGRTHALQRVMRPAGGRRGDGGQFLAIHRHIAQGLGLVPGQQVGLFGGVRTCNDHGAPLHAARRMDS >OMO49702 pep supercontig:CCACVL1_1.0:contig16432:2142:2201:-1 gene:CCACVL1_30838 transcript:OMO49702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTYSYHSKPLSNTITGN >OMO88462 pep supercontig:CCACVL1_1.0:contig09005:28444:34392:1 gene:CCACVL1_08380 transcript:OMO88462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKGLLASIFSWLFCNLENMGLCFSKAQADLDDTDQHVEFVGGNVQPVLSREDWDQKLSEAKRDGKIVVANFSASWCGPCRMLAPFYCDLSEKYPSFMFLVIDVDELTEFSTTWEIKATPTFFFLKDGQQIDKLVGANKPELQKKINAIVDSQK >OMO88463 pep supercontig:CCACVL1_1.0:contig09005:34989:38798:-1 gene:CCACVL1_08381 transcript:OMO88463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEWSGVSSAVTRIGEQLIQEAKYIRGVKDQVERLHRELQWMQSFLLDADTRRSADERIHLWISQVKNLASDAEDVIDTYALKRNGGNLSRYACIFREGRRLHNVRSEIEGIITKVSDLTRQLQTYGVKGLSNGAEGSSSSADHQRRRPFPHIIEDNIVGLDDDIKKLVSVLVDDDDDKISDSNCKVVSICGMGGLGKTTLAKMLYHHSQVRNHFDHLAWIFVSQQFQRRKLWEDILSSFQIMEKEDKKKRDEELAEKLYNFLKEKKCLVILDDIWSTEAWDSIKVAFPLRETTSTKLLLTSRSKEVVSHADRRGHMHELQLLNDEQSWELLFQKIAFLDQRDYSAHGYDPRMEELGKRMVKHCAGLPLAIIVLGGILCKRKSLHDWQIVCENVKSYLRKGKDQGIVDVFKPSYDDLPTYIRPCFLYLSHFPEDYEIQTDRLIKLWIAEGLISIKHDEDGGETLEDVGECYLIELVERYMIQSEKRDVIGRIKTCRIHDVIRDGCLLKAKQENFFHIIDHSDAYPLVNNYSSALTIDHKISRVAAHQHLLVQCIKNPHLRSLLFFPKFFPDEMFERYLPQLKVEYDDEVSCNIIILPIIMLVMLFVILPAINGTWTHILNNFKLLRVLDFEGEDNFGGCKLSDDLGNLIHLRFLSLRNFSFLSSKLPSCLGNLKCLQTLDLRLHYIDYRTAEYSKVHVPNVIWKLRQLRHLYLPEYPRRKPRLRLNTLVHLQTLVNFNMKNCYVADLLRLINLRELEIVWISGAYLAFEKHLIKNPQIITSKHLRCLSFQSNCGFGFMDPTYLIHFFANCASICELRLSWVIMSKLPQHHHFPLDMAYVSLKGIQLEVDPMPTLEKLPNLRILSLDGNAFTGKKMVCSARGFPKLDSLSLKGLHNLEEWKVDEGAMLDLRHLEISYCGELKKLPDGLKFIATLQQLKIVGMPKTFQDKLVPGGEDFHIVQHVSSIISEHIQDSEYSLYKLLLAKKLKPVTSVVSSALSFFDQT >OMO88459 pep supercontig:CCACVL1_1.0:contig09005:2745:6469:1 gene:CCACVL1_08377 transcript:OMO88459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGRTNINVSTAASNSHRRGQSLTSGLFPRDSDENLDLFSKNRRSLSVASSDEASDVSVKLGRLSLGSAKVGKSGLDDLLSSTEGGKHDYDWLLTPPGTPLFPSSEGTESQSASVAPRSNPKVRSVSTTKASRLSVSQSDSNHSSRPARSNSVTRPSLSSSYSNYSSNRGGPSVLNTSSASVSSYIRPSSPISRSPSIARPSTPSARSTSSRPSTPSKVRPAPTSTYTDKSQPSPSSRPSTPSSRPQISANSNSTAIRSNSRPSTPTRRNPMPSLSSAASASPSAGRILSNGRSSAPSSRASSPSPRVRPLQQPVVPPDFPLDTPPNLRTTLPDRPVSAGRSRPGASVSMKANQDPTSSVNMPRRHSSPIVSRGRLTEPPARTRVHSNGHASDIHESRKTSNVSDLAMRKPVKSSVTTADSAGFGRNISKKSLDMAIRHMDIRNGTGSIRSLSGTTLFPQSIRSATAKTQSLRSFNASDSVNSNGSPGSLQNGDYYENGNSINRPTENGNDSHDGRYSAKLSEVDIYESSRYDAILLKEDLKNTNWLHSIDDKSDQASIFDNGFESLPEPFGLL >OMO88461 pep supercontig:CCACVL1_1.0:contig09005:15301:19330:-1 gene:CCACVL1_08379 transcript:OMO88461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEKKKKRNKKKKNKQTKTTEEDGDQNQNNHVSNGQNGDVAGNAEVDLGSHQPNGALADSVEEMIKELQKENESHVQKEATLQETIKHLQDLNESYIQKEASLEETLKQLRNEFDSHMKREVSLEESILKLQHENDSHLQKEAGLQMNIGELQGQKELWLQEKASLEEKISRILEEKAALDLKGANLEEKIKQLEEEKESWIMTENSTREAISTLNRDITRLKVQVVELEESRSSLSRENQQLTENVSGLQLHIENLERNMTSASSSDELRKQASANEELNSQIEAASALVNKLIMENAELVEKVNELYVKLERKKMAGGLSLATESVRMDEAAEMNGISDYLHGSTENGSILVPKLDSEDALAIQNGKINSEYMNGEPVAPPAFAEAEDSGEIVQIPLDDTDVRDLEPQNINGEENAVPLTDAPLIGAPFRLISFVAKYVSGADLVDNT >OMO88460 pep supercontig:CCACVL1_1.0:contig09005:12531:14704:1 gene:CCACVL1_08378 transcript:OMO88460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLASCFAAFAFLLLFCITETAADLNSDEQALLTFSAIVPQGRRLNWNPAIPVCTSWVGINCSKDGSRVVGIHLPGVGLYGPIPTNTLGKLDALMTLSLRSNHLSGNLPSDILSLPSLRYIFLQHNNFSGNIPSSLPPNLDFLDLSFNSFTGNIPTTIQNLTNLTGLNLQNNFLTGLIPNLKLPRLRFLNLSYNHLNGSVPSTLQQFPATSFVGNDLCGPPLNQCLTISPSPSPSPTSLPPPKVPESPKGGSHKKLSTGAIIAIAVGGSAIVIFILLMLVLCCIKRKDGQGTLTSKGKGGRGEKPKEDFGSGVQEAEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRLKEVVAGKREFEQQMDIVGRIGQHPNLVSLRAYYYSKDEKLLVYDYKAAGSIFSLLHGSREGGCTLPDWDTRLKISLGAAKGIAHIHSSAGGKFIHGNIKSSNVLLDQDLHGCICDFGLTSLMSFPTVPSRSAGYRAPEVIETRKFSQKSDVYSFGVLLLEMLTGKAPVQSSGHEDVVDLPRWVQSVVREEWTAEVFDVELMKYQNIEEELVQMLQIAMACVARMPDTRPTMEEVTRMIEEIRPSDSENRPSSEDNRSKGSNIQTP >OMP00582 pep supercontig:CCACVL1_1.0:contig06654:418:477:-1 gene:CCACVL1_03330 transcript:OMP00582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIQNNSNGPRKARKNKCFE >OMO49615 pep supercontig:CCACVL1_1.0:contig16450:20:582:-1 gene:CCACVL1_30895 transcript:OMO49615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MTKSGVSLLCHQNPPIRKGKCVRLLRKLNKKSDPIHADHKKPNNPRKPRTALSLLIDRNVALPMAKIYYRNKAGVSLKKGRITRDGIVCDCCLKTFALTAFETHAGSTNHRPAAFIILDDGSGRSLSDCHKQVDDGSSSLKKKVSKVQINGQYNPSCGSESPDHVCSVCGDGEELIVCQQCPAAFHL >OMO49620 pep supercontig:CCACVL1_1.0:contig16450:18788:24228:-1 gene:CCACVL1_30900 transcript:OMO49620 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, BadF/BadG/BcrA/BcrD type MKRYRNGEVWDFEHEVAVAANRPVILGLDGGTTSTVCICMPVMPSSDSLPDPLPVLARAIAGCSNHNSVGETAARETLEQVMADALSKSGSNRSAVRAVCLAVSGVNHPTDQQRILTWLREMFPSHVKLYVQNDAVAALASGTMGKLHGCVLIAGTGTIAYGFTEDGREARAAGAGPVLGDWGSGYGIAAQALTAVIRAHDGRGPHTMLKSAILQTLNLSSADELIGWTYADPSWARIAALVPVVVSCAEAGDEVANKILKEAVQELALSVKAVVQRLGLCGEDGKNSFPLVMVGGVLEANQRWDIGREVMDFISKEYPGALAIRPKVEPAVGAALLAWNEFMKEYLQEAYRR >OMO49616 pep supercontig:CCACVL1_1.0:contig16450:3549:3608:-1 gene:CCACVL1_30896 transcript:OMO49616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPATGVADKAANFAKF >OMO49618 pep supercontig:CCACVL1_1.0:contig16450:9101:15394:-1 gene:CCACVL1_30898 transcript:OMO49618 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MRLSSSGFNQQTQEGEKKCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKDVYLLPAELGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDAVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPSALPSFHAFKDGDMSINSQLMWLQGGLGDQGIQSLNFQGFGVSPWMQPRLDSSSIPGVQPDLYQAMAAAALQEMRTVESSKIGSQSLLQFQQPQSTSNGPPALIQRQILQQSQTQNAFLQNLQENQTAAQSILGSLSQNGASHLLNLNGSNPIISSSALLSKPVAVEPQLSGAASCVLPQVEQLGTAQSNVSELSNLLPPFPGREYSAYHGSADPQNNLLFGVSIDSSSLMLQHGMTNLKNIGNENDSMSLPYAASNFTSASGTDFPLNSDMTTSSCVDESGYLQSSENVDQVNPPTGTFVKVHKSGSFGRSLDISKFSSYDELRSELARMFGLEGQLEDPQRSGWQLVFVDRENDILLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGLNPASSVPNQRHTTSSNHCDDYMTRQDLRSSGNGIASLGSLEY >OMO49621 pep supercontig:CCACVL1_1.0:contig16450:32646:34343:1 gene:CCACVL1_30901 transcript:OMO49621 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase (putative), gypsy type MGEEEANSQEEEIEQAFVDDANKGNGEDTDSAVGQGRVGASAIVTLSASQCHSWIEEGNKKAESGFPTALGFISKYRSGGTKQSITHAKTRACVFGLTGSSSYQFCMPSADDRIFHIRNDAKRLFFYKSAFDMGFRFPCHPFLADVFTFYEIAPSQLMPNAWRQLMCILMVCVYYNIQPSVNVVRDLYELSHSGGWCSLQMRKGYGGTRFSGNDSNHEWKNEFFGVEVEESASWGFNGEWRKPDTHYANRQDYTLEEDEEKLKTILLRQKRSVDVLMHPTRLWLCGLAPYPTNITGTPAFILEKCGPLNVLRQKIIVNCRGERGRKFMKKEVAGQEYKIVVRSASKFVGYGVPVNPEGSAQGPGRGKGLMGLNNLRNAIEQETAVQHTLGDHNVNARQVSPSKERMDFAKLQRLRAEKRAAASAEKSSTSVIGNDHVAGVPSSVRQSTPPASEVAPTDEGDGAAQQFVSSVATPLKTFSELMDELELTLKNSKKTIQKEKDKGRRQKETALKGMTELQEELKVAKRMEEDARNALE >OMO49622 pep supercontig:CCACVL1_1.0:contig16450:39532:43813:-1 gene:CCACVL1_30902 transcript:OMO49622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin amine oxidase MESTGRSNRQLRRGLCYSNGARRQARSPSVIVIGAGMAGISAAHALHEASFQVMVLESRDRIGGRVHTDYSFGFPVDLGASWLHGVSKENPLASVIGRLGLPLYRTSGDNSVLYDHDLESYAIFDMDGRQVPQELVTKVGEAFECILKETDKVRQEQSEDMSISRAISIVFERNPELRLEGLAHKVLQWYLCRMEGWFAADADTISAKSWDKEELLPGGHGLMVRGYLPVINTLAKGLDIRLNHRVTKIVRRFNGVKITVEDGTTFVADAVIVAVPLGVLKSKRIKFEPRLPEWKEAAIDDLGVGIENKIILHFDKVFWPNVEFLGVVAETTYECSYFLNLHKATGHSVLVYMPAGQLAKDVEKMSDEAAADFAFMQLRKILPEASAPIQYLVSRWGTDINTLGSYSYDAVGKPHELYEMLRTPVDNLFFAGEATSMSYPGSIHGAYSTGQMAAEDCRMRVLERYGELDLFHPVMGEEESLSIPLLISRM >OMO49619 pep supercontig:CCACVL1_1.0:contig16450:16262:17844:-1 gene:CCACVL1_30899 transcript:OMO49619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTHIELEHLHRLRTPAKQPARRKLLSSSNYKKKRAPFGVGLVSPMGAQNPEKECPMKKIRK >OMO49623 pep supercontig:CCACVL1_1.0:contig16450:53420:54554:1 gene:CCACVL1_30903 transcript:OMO49623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRRGGPAATVNSKIGNI >OMO49617 pep supercontig:CCACVL1_1.0:contig16450:4636:6794:-1 gene:CCACVL1_30897 transcript:OMO49617 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MGSAAGEYQPLLLGLDSHARIPDLSSVAIEEFLENRPIALRWWPRLVAWESRLLWLLSGSSIIVSEFNYMLSFVTLMFTGHLSALELAGASIASVGIQGLAYGIMLGMASAVQTVCGQAYGAKQYSAMGIICQRAIILHIGAAMLLTFLYWFSGDVLQAIGQTESIAQKGQIFARGLIPQIYAFAISCPMQRFLQAQNIVNPLAFMSVGVFLVHTLLSWVVVYVLDYGLLGAALTLSFSWWLLVIINGLYIVLSPSCKETWTGLSLRAFTGIWPYFKLTLASAVMLCLEIWYNQGLVLISGLLTNPTISLDSISICMNYLNWDMQFMLGLSAAASVRVSNELGAGHPRVAKFSVFVVNGTSILISIVFSAIVLIFKVGLSKAFTSDSEVIEAVSNLTPLLAISVFLNGIQPILSGVAIGSGWQAIVAYVNLATYYIIGLPIGCVLGFKTNLGVAGIWWGMIIGVVLQTATLIILTARTNWDKEVEKAAHRLKTSANNEETEDLVTALRGV >OMO53733 pep supercontig:CCACVL1_1.0:contig15164:3550:7385:-1 gene:CCACVL1_28395 transcript:OMO53733 gene_biotype:protein_coding transcript_biotype:protein_coding description:Na+/H+ exchanger MAIGIISTLLAKSETILSSDHSSIVSMNICVALLCACIVIGHLLEESRWMNESITALAIGICTGIVILLTSGWKSSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAIGTLISFAIISAGAIHFFQKMNIGTLTIGDFLAIGSIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQNFDLSNINSAICLQFVGNFLYLFISSTLLGVLTGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFVAETFIFLYVGMDALDIEKWSVISDSPGTSVGVSSILLGLILVGRAAFVFPLSFISNLTKKNPNEKIHFKQQVTIWWAGLMRGAVSMALAYNQFTNSGHTQLRGNAMMITSTITVVLFSTVVFGLMTKPLVRILLPSPKHLSRMISSEPSTPKSFIVPLLGNGNETEGGDQSNRNVTRPTSLRMLLSTPSHTVHYYWRKFDDAFMRPVFGGRGFVPFVPGSPTDQNGHQWN >OMO53736 pep supercontig:CCACVL1_1.0:contig15164:13187:14542:1 gene:CCACVL1_28398 transcript:OMO53736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSLGSGRMAVMARLLEAGSITPNIAEDVCNQKLAAQYIYKELRGADEANLLDEEDMDVFGLKPMADPLHLVCCNACKKPIKASQYAAHAELCKSLKTTVKTILEPDGSTGHRKPPRKERKKSLAAYSSILSSHFFRLQCIWYIFGFIVEFQTPTVQFGLYF >OMO53734 pep supercontig:CCACVL1_1.0:contig15164:10441:10608:-1 gene:CCACVL1_28396 transcript:OMO53734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMMLQSTPPKRVAQQTNKPHGAGNGGRRADIKKWKNPHYLRLHVAIMWTVRFIM >OMO53732 pep supercontig:CCACVL1_1.0:contig15164:335:2496:1 gene:CCACVL1_28394 transcript:OMO53732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MASELLEIHPQELKFTFELKKQSSCLIQLTNKTDQYVAFKVKTTSPKKYCVRPNTGIVKPNSTSDFTVTMQAQRVAPPDLMCKDKFLIQSTVVPLGTAEEDITSDMFSKEGSKYIEEKKLKVFLTSPTHSPVLAPINGELKQDSGHETSPPKDGALTGVENIPPPRKVAEDVTGFETTKETVELRTTKDVQEFEASKEDTIELKTADFVQLSPTKDVEELKPAKTMVESKLVDDFEELKSKLSFLDSKLNEAEVSIMKLMEERSIANREKEKLKRELEMLKRKSDARTIQVGFPFLYVCMVALISLAVGYFSHP >OMO53735 pep supercontig:CCACVL1_1.0:contig15164:12248:12680:-1 gene:CCACVL1_28397 transcript:OMO53735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRSRCNVSEAEGGPRRIG >OMO71849 pep supercontig:CCACVL1_1.0:contig11536:27208:31702:1 gene:CCACVL1_18040 transcript:OMO71849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLIVKMRITRVPVIMKIIKVAAVMMREIVVMETTRVAVIVKRERAGVRVMMFHVTLQITIIKLPLKLVCVSVLSSNSPPAPT >OMO71850 pep supercontig:CCACVL1_1.0:contig11536:45820:49218:1 gene:CCACVL1_18041 transcript:OMO71850 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MKLLPAHFMSYVSKKLGMGGVQGKKTIDASAEWWDNVIKERPDFKPFRKRGIDPELKDMLDCMFGDTVATGDQAWAPSSGVMPGGASTEDAAPGEGFGDSDENESEGAAKENIGEDVENDSMRWKKERYNWSHGTISRHFNDMLQIYAQLGINTIKPTEGQFDKVPDHIRYDSRYWPYFKDCIGAIDGTHIKAFVPLSQQIPYIGIAHDSRIFSEALRRQDLNFPTPPRSKYYLVDSGYPQMNGFLGPYRREHYHLPHAHSSLRSVIERKFGVWKKKWKTLKDIHNYSFKKQVLIVNATMALHNYIRKHAGSNDADFHEFENMPHQPTQPTFNQGESSSHGSSRSDSEIKLLRESIANRLMTQRETNTRT >OMO76920 pep supercontig:CCACVL1_1.0:contig10860:4061:5707:1 gene:CCACVL1_15279 transcript:OMO76920 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MQTSQKHQAPASIHRLYQQPVQEIEPFCLPHIQILDNNVSSDVGSQGTSVSFQTYKDQFFTLESSTAIGGFVVYDSPSAVSISSSRSPFSPQGSQSCLSDPHHSPDNAYGSPFSGSSVADDSNDWKHKLRELEMSLLGPESDINDSCNCCFSSGAHQAASMAGFNFDQLVEMIPRLDLKEVLVSCGQALHNGDMPTVAGLMHVLEKMVSVSGEPIQRLGAYVLEGLRARLESSGSSIYKALKCEQPTSSELMSYMHILFRVCPYWKFAYTSANAVIKEVMEYEPRIHIIDFQIAQGTQWMFLIAALAKRPGGPPSIRITGIDDSQSNLARGGGLNIVGQRLAEFAKSYNVPFEFHDTGLTCCQIEREHLKVQPGEALAVNFPFVLHHMPDESVSTSNHRDRLLRLVKSLSPKVVTVVEQESNTNTSPFFARFRETLDYYTAMFESIDVACARDDKQRISAEQHCVARDIVNMIACEGPERVERHELLGKWKSRFRMAGFSQYYLSSSVTEAVREMLKEYNNNYRLVEREGALYLGWVDRAMATSSAWR >OMO76936 pep supercontig:CCACVL1_1.0:contig10860:87577:89989:-1 gene:CCACVL1_15295 transcript:OMO76936 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MVEGGIGKADKTQFTELWNTIRRNPYIAKLAFSAGIGGLLFGYDTGVISGALLYIRDDFDEVDRKTWLQEVIVSMAVAGAIFGAGVGGWINDRFGRKISILIADVLFFVGAILMAVAPGPWMIIIGRIFVGLGVGMASMTAPLYISEASPARIRGALVATNGLLITGGQFISYLINLAFTKAPGTWRWMLGIAGVPALVQFLLMLTLPESPRWLYRQADEEAIGENIIARLKGAFGNKVVRRGLYAGVTVQVAQQFSGINTVMYYSPTIVQFAGFASKQTAMALSLITSGLNAVGTVVSMGFVDKFGRRRLMLISQIGIIACLVVLSILFFQAASHAPKINQFESIHFATNATCPSYLSAVNPSSWNCMTCLKATCGFCANGASEYSPGACLAKMDDLADTCQGQHRTWFKDGCPSKFGLWAVLFLGLYIICYAPGMGTVPWIVNSEIYPLRYRGFGGGVAAVANWVSNLLVSLTFLTLTKALGSSGTFLLFAGICLIALIFIYWFVPETKGLQFEEVEKMLEVGYRPKAFRRKSKGDTDKPVPA >OMO76943 pep supercontig:CCACVL1_1.0:contig10860:122781:124875:1 gene:CCACVL1_15302 transcript:OMO76943 gene_biotype:protein_coding transcript_biotype:protein_coding description:RHO protein GDP dissociation inhibitor MGFDKDGGDDTEASESKTVAATPPNEENVEEHQPSGGMSRRASQSSLCQTEDEEDDEERVIELGPQCTLKEQFEKDKDDESLRKWKEQLLGSVDFESVGDTLEPDVKILSLAIKSPGRDDIVLTIPENGKPKGSWFTLKEGSKYSLQFTFQVTNNIVSGLKYTNTVWKTGVKVDSAKEMLGTFSPQAEPYTHELPEETTPSGMFARGTYTARSKFADDDNKSYLEINYTFDIRKDWQS >OMO76922 pep supercontig:CCACVL1_1.0:contig10860:9779:14351:1 gene:CCACVL1_15281 transcript:OMO76922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLASRSELRKEVERERRRIRDRQRRQSMSFEEREKHLARRRRNYQLRRQRAETARINPPPQIPFQQTTISSTQLPLTNAQTVISDISPQVNTGAGSAAAAVVVGSNREPQRLMLDTRSTQSLEIPAHKLAILPGKVRLNRIKHLARVINEPASDGAAKGGMMNANGASNCLLSKGLRLNRVKRLARSLNPAAQENNTMEKKEKSVYSASESQNSYNLSLSFGSLCLSNAGVENNSAFAYSADSVFGFPCNGSLRGNMNIDGRQSCSFDGNRAGETMSKDRASAARDYLFGNNSNSLSGVAAHRYSTSPLSCPKSQPVASANGGNSGGFQRSLNPTDHLLRKNTIFRSALTENGSKQLQHLLSLKEPSIINKIFEGVIKSIFELMTINQHGHSLFAQLIKSCSDDQLRIIMKRLTADPLVGNLIVTTSRTLIGSYSIMKLIEVLKRSPLIFKVITALQAGFFLMMISENGSRVIYKCLDVLDTRTNEFLYVAAKCQCLNLAVHEHGCLSLINFIDKMRGQHRFELLHVIAKHSLHLSQNPSGNYVVQKVVELDNPYLIEEICCQLKGHIAKLSLQKGGSHVVERMLNSRGMHQLAIELLESNNLLHVAQHRYGNYVVQVALNASKRAGSPIYQQLMKKLERYLHCLQYGYARNVYNLIKPGVAMK >OMO76927 pep supercontig:CCACVL1_1.0:contig10860:42768:45722:1 gene:CCACVL1_15286 transcript:OMO76927 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative amino acid binding protein MASASAANCAVYLTTNTNNLPLFDLKNHSWRPALLNRPPNNLERTRLTSSMIGIIPRATPSPTAVENDDTDTVPTPKVIIDQDSDPDATIVEITFGDRLGALLDTMNSLKSLGLNVVKANVYLDSSGKHNKFAITKASTGRKVEDPELLEAIRLTIINNLLEYHPESSSQLAMGVAFGIEPPKAKVDVDIATHIHVDDDGPDRSLLHVETADRPGLLVDLVRIITDIDIAVESGEFDTEGLLAKAKFHVSYKGKALIKPLQQVLANSLRYFLRRPSTEEASF >OMO76938 pep supercontig:CCACVL1_1.0:contig10860:103146:104123:-1 gene:CCACVL1_15297 transcript:OMO76938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVEVHPAETALPESKAPEAEVTKVEEETVPTEEEAAAPAAPEAAEAEPEATATEETTADAPAPAPAPAAADETEAPAEVETKEVVEEEAKAEEEKPAEEETAKEESAPEVAEEEAKVETTAEAPAPETEPEAAAAAAEEEKEAEKAEEGSTEVTVDKVEE >OMO76928 pep supercontig:CCACVL1_1.0:contig10860:46487:46807:-1 gene:CCACVL1_15287 transcript:OMO76928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MNVVTILVGNKSDLRDAREVSTAEGKTLAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSHELKKQDGALNGTTVVLNGEDGQEPGTEAKKGGCCSS >OMO76931 pep supercontig:CCACVL1_1.0:contig10860:63751:65537:1 gene:CCACVL1_15290 transcript:OMO76931 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561, eukaryote MATILPKLALSLSLFVSLILSSYAQQTCSNYAFSSNRVFRSCSDLPALNSFLHYNYDSSGKLEIAYRHAGITSSRWVAWAINPTSTGMVGSQALVAYQQSDGSMRVFKSPITSYQTQLQEGDLSFDVSDLSATYANNEMIIFATLELSNNGTTLNQVWQEGPLSGNTLQMHATSGSNVQSMGTLNLLSGEAGTTTGGGSSKLRKRNIHGVLNTVSWGILMPMGAIIARYLKVFKSADPAWFYLHASCQFAAYVVGVTGWATGLKLGSESPGIQYDAHRTIGIILFSLGTLQVFALLLRPKPDHKFRLYWNIYHHLVGYTVIILSVINIFKGFDILNPDKKWKHAYIGVIVALAVNAVILEAYTWFVVVKRKRSESAAKMPHGVNGANGSGINGHAARPQHA >OMO76933 pep supercontig:CCACVL1_1.0:contig10860:70374:80333:-1 gene:CCACVL1_15292 transcript:OMO76933 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/sulfate symporter MTNDHHNSDDPKSPLLLPVTDPPVEPSSSSSASCLVSLFTLKTVYVLLGPLLCAVVCLCVKFDGSVSSRNMLGVLAWVFAWWLTEAVPMPITSIAPLFLFPLFGIASADTVAHSYMDDVITLIIGSFILVLAVERYNVHRRLALNITLRFCGDPVNPPLLLLGICGTTFFVSMWMHNVACAVMMMPVATGILQRLPVGPTQQSSSTPVGNFCRAVVLGVTYAAPIGGMATLTGTGVNLILVAMWKSSFREAKPIGFNTWFFFGFPLALLIFLALWAILCLFYLSRGSSQALSAYLDKAHLKRELDLLGPMTFAEKMILAVFGMLIALWMTRSITDDIPGWGALFNGRVGDGTVSVMMATLLFIIPNMKQKGEKLMDWNECKKLQWNIILLIGAGFAIADGVQSSGLADKLSETLDFLEQVPYLAIAPAVCFMSAIITEVITSNDATATLLLPILIQMAKTMHVHPLILMVSGAIGSQFAFMLLTGTPTNIVGFTTGYIQMKDMIKTGLLLKIAGIAILSFLMPTLGKNPKAERWSRRAEALATCCATYTSAATANLFDRSPSIKMKGLFKSKPRTPVDIVRQTRDLLIYAERSPDSRESKREEKMAELCKNIRELKSILYGNSESEPVSEACAQLTQEFFRENTLKLLITCLPKLNLEARKDATQVVANLQRQQVQSRLIASDYLEANIDLMDILIAGYENTDMALHYGAMLRECIRHQTVARYVLESQHMKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSKLLESTNYITRRQAVKLLGDILLDRSNSAVMTKYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPADIVSILVANKSKLLRLFADFKTDKEDEQFEADKAQVVKEIAALETRA >OMO76941 pep supercontig:CCACVL1_1.0:contig10860:118044:118721:-1 gene:CCACVL1_15300 transcript:OMO76941 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIF, alpha subunit MGRPRPVTEDEIRAVLLQEGPLTTSDLVTKFKARLATPEEKKAFAYILRRIAKIQKTNGPSNYVVLRDH >OMO76935 pep supercontig:CCACVL1_1.0:contig10860:84130:86416:-1 gene:CCACVL1_15294 transcript:OMO76935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSSIKVVIGLLSQAVVVMLTSSRQLSRGRSTVYTRKKEGQKQASLSFKTKLQSKGEEMECGGLG >OMO76921 pep supercontig:CCACVL1_1.0:contig10860:7381:9071:-1 gene:CCACVL1_15280 transcript:OMO76921 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N1-)-methyltransferase, eukaryotic MEEATQNEENSNPQTQPLSKNAQKKLLKQQKFEAKKAEKKAQMKEQKQRDAERKRKEWEEKLAGLTEEERQKLIDSRKELRRERMEKRSEERGLKIERLTKAKDNGQNIVVDLEFSHLMTHSEIHSLVQQIMYCYAVNGRCSTPAHLWLTGCQGELETQLQRLPGFDKWIIEKENQSYIQTFSEQKDNLVYLTADSETVLDELDPSKVYIVGGLVDRNRWKGITMKKAEEQGIQTAKLPIGTYMKMSSSQVLTVNQVIEILLKFLETRDWKTSFFQVIPQRKRSEADSENCQELDGEECREENEEFERKKKRSEAESENCRELDGEECKEENEESERTKKCIEVGSHD >OMO76926 pep supercontig:CCACVL1_1.0:contig10860:40096:42039:-1 gene:CCACVL1_15285 transcript:OMO76926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Yippee-like protein MGRLFIETLSGPRIFKCKFCKVDSASYDDIVSKEFQGRFGRAYLFRNAVNISLGPREERLLTSGLHIVNDIYCSSCQQILGWKYEKAYEESQKFKEGMFILEKERMSKEVDIV >OMO76923 pep supercontig:CCACVL1_1.0:contig10860:24480:28163:-1 gene:CCACVL1_15282 transcript:OMO76923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein MANPKQVIAATPLHQAMEYETTVNGGAPVKEDHQRRRAGNEVGRSCVYMSYKGKNVLFDCGIHPAYSGCAALPYFEEIDPSTIDVLLITHFHLDHAASLPYFLEKTEFRGRVFMTHATKAIYKLLLTDYVKVSKVSKEDMLFDEQDINRSMDKIEVIDFHSLVEVNGIKFWSYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAELPELSPDICIIESTYGVQLHQPRHIREKRFTDVIHSTVSQGGRVLIPAFALGRAQELLLILDEYWSNHPEFHNIPIYYASPLARSTSTFLKELMPPNIILVHGEAKRMERLKLKLITEFTDGNTKIFTPKNCQSVEMYFNSETMAKTIGRLAEKTPEVVETVSDEESGVPTLLVHDRVTVKQDSDKHISLHWTSDPISDMVSDSIVALVLNISREIPKVVVEPEAVKTEEEYGKKAEKVIYAFLVSLFGDVQLGENGKLRISVDGKVAHLDKQSGEVESEDEDLKERVKTAFRGIQSAVKPIPLSAS >OMO76942 pep supercontig:CCACVL1_1.0:contig10860:119413:120428:1 gene:CCACVL1_15301 transcript:OMO76942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVLNLNPLASSYLTRPQLHVFQSLSAAGNLNVDRNWSSLLQNLKRNGRFSCRFSNNRREEQARKALESALGGKKDEFEKWNKEIKRREEAGGGDDAGGGGWFGWGGRFGWSNDDHFWQEAQQASLAILGIIVMYLIIAKGEVMLAVIFNPLLYALRGTRNGLTYLTTKLLGKRYADGPTEPYKMSTKEAYGLVSAKERVLKKWGSD >OMO76925 pep supercontig:CCACVL1_1.0:contig10860:37171:39371:1 gene:CCACVL1_15284 transcript:OMO76925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGKLFIGGISWDTNEDRLREYFQTFGEVVEAVIMKDRATGRARGFGFVVFADPAIAERVVMEKHMIDGRTVEAKKAVPRDDQNILNKSTVSIQGSPGPARTKKIFVGGLASTVTESDFKRYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLQRTFHELNGKMVEVKRAVPKESSPGTGRNQLGGYNFGLSRVNSFLNGYIQGYNSSSVGGFGVRMEGRYSPVTVGRSGFPTLSPGYGLGLNFESNLSPTYGGSSNLSSSLSYGRGLNTSLNGNSNRFGSSFGYGGGNGGNSSILNSAVRNMWGNGSLNYAMNSTNSSAIVGSGSGNSGVSSFGNIGALWDSSPSSGQGGGAAASAYNNGNIRFASGDFGVGSGGIGYGRNNSVTQVSSHGASNGDYDAAYADIYENGSFYGDSTWQSSPSDLERSSSFGFGLGNATSDVMTNNSAGYIGGYSVTNRQPNRGIAA >OMO76924 pep supercontig:CCACVL1_1.0:contig10860:28958:32305:-1 gene:CCACVL1_15283 transcript:OMO76924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase MPLPKPTISSVPNRKLHSETSQSSSPCGEVHVIVGPMFAGKTTTLLRRIQAESTNGSFQAKLCKNYIQLDKAIKDLCFTGRLSEAVGLLWRTRLKADAGTYALLLQECIFRKDYKSGRRIHAQMVVIGTVAVIKSNKDTRYGLDSIVTHDGMKLPCWALADLSSLRQNIGADAYDKLDVIGIDEAQFFEDLYDFCCEAADHHGKTVIVAGLDGDYLRRRFGSVLDIIPIADSVMKLTARCELCGKRAFFTLRKTDETQTEVIGGADVYMPVCRQHYVNGQVVIEAARSVLESQKLQCA >OMO76939 pep supercontig:CCACVL1_1.0:contig10860:107274:109698:-1 gene:CCACVL1_15298 transcript:OMO76939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDLVLDTAIRDWVLIPLSVVMVLIGILRYFVSKLMRSSQAPDPKMEVSQLIDFRFFPVFSRQVIVRARNLRAGANFIPPKSFRSRRVYFSNEENGLLFVPKGQAQNAQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENAMDDTQRMMQMGGFGFDPSKSLGAEKDGLDIVQHEWALPKFEHRAEAVLKKLVR >OMO76930 pep supercontig:CCACVL1_1.0:contig10860:62623:63362:1 gene:CCACVL1_15289 transcript:OMO76930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNGKTRGIWCHEEAAAVGYEILV >OMO76932 pep supercontig:CCACVL1_1.0:contig10860:66254:69873:-1 gene:CCACVL1_15291 transcript:OMO76932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol monophosphatase MTGIYHFPANKFPGWWQCVENPLCFFPITKRFSIPKGSVEHFLATAIDAAKKAGEIIRKGFYQTKHVEHKGQVDLVTETDKSCEDTVFNHLKEHYPSHKFIGEETTAACGTSELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIGKVPTVGVVYNPIMDELFTGILGKGAFLNGNPIKVSSQTELVKSLLATEVGTKRDKFTVDATTNRINGLLFKVRSLRMSGSCALNLCGIACGRLDLFYELGYGGPWDVAAGVLIVKEAGGIVYDPSGKDFDITSPRVAASNQFLKDAFVEALQLSE >OMO76937 pep supercontig:CCACVL1_1.0:contig10860:98196:102191:1 gene:CCACVL1_15296 transcript:OMO76937 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-protein ligase 7 MVVHANSEDTVKSLHERLQLMTGIPVIEQRLIYRGKQLQWEQSLADCAIQNDAGLQLVGRMRSTDHPQTWQVMDDMISVVCRLCRGELVSSSTKRIRDCLNKFFTMTAKDNGDSAPAHLQIFMSSSAPAALVMLYMSPIIGNKECAEISIKHFLNSCKNAVSKQFHSYCAPIVLEFCKLLRKVVKDDALYVQSRSTLGFLLEIVGSSKSLVMQEVKGAIVVEDIFPFVNELADKLSKDLDSSVESTTSSGPLLSDVRDFTAFLNPLRFAIREQVGFQTPISVDWRKKDYNHPPYGEEIEFLHGLFNDLLAKMEKCLTRMEESLAARETSEADFVLPGWSQYLAILKELNNISKLYQGSEEQFWMVLRNRKRSLCALVIRFAKRTDDNRWLLEHKDVSDFESRRHLAMMMFPEVKEDYEELHEMLIDRSQLLAESFEYIARAEPESLHAGLFMEFKNEEATGPGVLREWFFLVCQAIFNPENALFVPCANDRRRFFPNPASRVDPLHLDYFSFAGRVIALALMHKVQVGVVFDRVFFLQLAGMHITLEDIREADPCLYSSCKKILEMDAEFIDSDALGLTFVREVEELGSRKVVELCPGGKGIVVNSKNRQQYVNLLIEDRFVTSISEQVKYFGQGFSHILSNSRLQKFFFRSLELEDLDWMLYGSESPICVEDWKAHTEYNGYRETDPQITWFWQIVREMSAEQRKVLLFFWTSVKYLPVEGFRGLASRLYIYKSSEPHVSYRQF >OMO76940 pep supercontig:CCACVL1_1.0:contig10860:113415:115545:-1 gene:CCACVL1_15299 transcript:OMO76940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDQEIARGVETLLRQSDPNAITTLTGVVEQLEAKLGLDLSHKAGFIRDQINLLLRPPHTQTIVPPKDHFTLQHPPQFHAHPHQQFHPHFALQHHSQFPSHELNFRQRHPQPQQQQPQLQPKAEVFTQNAATVAPTEVPKESAPAKRRGGPGGLNKVCGVSPALQAIVGEPAMPRTEIVRQLWAYIRKNSLQDPSNKRKIICDNALRVVFETDCTDMFKMNKLLAKHITPLEPSKESSQNKRAKVEAESTTETVEPGPNPVIISEALAKFLGATGREMLATEAERRVWEYIKVNQLEDPLNQMVVLCDAKLRELLGCESISVMGIRDSLLRHHLFKQS >OMO76934 pep supercontig:CCACVL1_1.0:contig10860:82700:83101:-1 gene:CCACVL1_15293 transcript:OMO76934 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide repeat-containing protein MESISVKKTIRSRVYDNPLRNPISNLSEWNALKALDYENYENDDGDEIVLSKPISSLQGWRALIAEDAESKELDEGEEVVASNPLLKPISNVHEWRALIAEETEPLTKTKRFTSEELDKILGGVDVSLSSLSS >OMO76945 pep supercontig:CCACVL1_1.0:contig10860:130939:131505:1 gene:CCACVL1_15304 transcript:OMO76945 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative acyltransferase MVAALVRVFLGVELEQQFNEPYLSTSLQDFWGRRWNIMVTSILRPTVYEPVIKLSARFVPRRWAPIPAILATFVVSAIMHELIFYYLGRMRPNWEITGFFLLHGGCLMAEIAFKKALGDKWRLPRVVSTPLTVGFVMATGFWLFFPQLLRCRADERVFEEYAAVATFVKNVTGLTTKTFQFKLSTLES >OMO76929 pep supercontig:CCACVL1_1.0:contig10860:53406:55079:-1 gene:CCACVL1_15288 transcript:OMO76929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MVSLEDSHSNSNRFPLGRNFYSPASASSTKIHRHTGRSMRTIRSTLYQTDNSSCSFTSSLPERSGFVSENLTESVIDMRLGELAAKSNTKSVKSESENEEFLDISQAFSDFSACSSDISGELQRLACLPSPDNGLNSENKNSNGGEPEPEPEPCEGFLQRENFSTEIIESISPEDLQPTVKICIDGLQSPSIAVKRSAAAKLRLLAKNRADNRALIGESGAIPALIPLLRNSDPWTQEHAVTALLNLSLYEANKAPIINAGAIKSLVYVLKTGTETSKQNAACALLSLALIEENKSSIGACGAIPPLVSLLMNGSSRGKKDALTTLYKLCSARQNKERAVSAGAVRPLVGMVGEQGTGMAEKAMVVLSSLAGIEEGRDAIVEEGGIAALVEAIEDGSVKGKEFAVLTLLQLCADNIRNRGLLVREGGIPPLVALSQTGSVRAKHKAETLLGYLREPRQEASSSSP >OMO76944 pep supercontig:CCACVL1_1.0:contig10860:126049:129213:1 gene:CCACVL1_15303 transcript:OMO76944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MKTKWEDGNNVDRERNLKQQRVDNQPSAPSESIPGTRKVGRDCPYLDTVNRQVLDFDFKKICSVSHWEFDVYACLVCGKYYRGKQEKTPAITHSRQAGHHVFINLETEKVYCLTNGDRYEINDPLLDDIHHVLNPRFNQAQVEQLDKNKQWSRALDGSDYLPGMVGLNNIQKTDFGNVNIQSLMRVAPLRNFFLIPENYQYCSSPLVHQFGELTRKIWHARNFKGQVSPHEFLEAVMKASKKRFQKGVQSEPVQFMSWLLKALHADLRTSEESSSIIHECFQVPLFNILKKFDGETVTSTLRPSARMRYRVTRLPQYLILHMRRFTRNLFFREKNPTLVNFPVKNIELKDYIPLPAPIKENERLRSKYDLIANVVHDGKPDEGFYRVFVQRKSEDLWYEMQDLQVSEALPQMVALSEAYMQIYEQQQ >OMO96578 pep supercontig:CCACVL1_1.0:contig07432:13178:15093:1 gene:CCACVL1_04885 transcript:OMO96578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Soluble quinoprotein glucose/sorbosone dehydrogenase MGLPYGGAHAGQLLFGPADGYLYMTMGDGAHKDDPYNFAQNKKSLLGKILRFDVDNIPSEKEINDLGLWGNYSIPRDNPYVEDKELGPEIWALGFRNPWRCSFDSERPSYFICGDPGQDEYEEIDIVTKGGNYGWRVYEGFLLFHPQLSPGGSTLPTSINPIFPVMGYTHSEINNNIGSASIIGGYFYRSLADPCMYGRYIYMDLYGEAMWAGSEHPLNSGNFTSSRIGFRCANDSPIPCNITTGSSSSPSLGFVFSLAQDNRKDLYILTSKGIYRVTRPSRCNLKCSKEIVTSTSHSSSPMYIKHRRGSCRFNIGFLVFFVLVL >OMO96577 pep supercontig:CCACVL1_1.0:contig07432:4743:8757:1 gene:CCACVL1_04884 transcript:OMO96577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPQAIKEQIDKLETMKAEGALDKARKHKKRQLEDTLNLVIKKRKEYEDKMKEKGEAPVMFSHLGPPRRRTTAEEEERSKHPQPEDSVYYHPTLNPTGAPPPGKPPMYRSSIGPRIPLSVGASSSGAAPSSNAESEDIAVGVPPPPPPPPPLPDTCNLNSGDGSVVPASLPLPPPPPMPPKPATANLGIPLPPPPGPPPPPGPPPKEQVTLRPPLPPPPPLPQSVQPPPPGISGNERQKISVLTDDSASKEIVQVAPVLPPPPPPGMPPKSAINQAEGASSEDDANNHPAIKEMLPPPPPPPRQQPPVPGPPIVPALQPDVLPPGISRFPPPPPPDMRAPLSAGGLPGQAATPGMMVPLIPRPPFGPPPGPPMMRPPLPPGPPPAALDDDNSANRPFLSQKPSYVKSAASTVVKRPLAQHQPELTAMVPASVRVRRETAAPKAKPKPLLSTMTVTTKAVAPSVVRPESTSSSSAPKGQSIDDSYTAFLEDMKALGALDS >OMO98348 pep supercontig:CCACVL1_1.0:contig07120:512:1015:1 gene:CCACVL1_04238 transcript:OMO98348 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKP1 component MATTSDETKKITLRTADDQEFEVEEAIAMEFVTVKTFFDENPDAAQEPMPLPNVSAKCLSGIIEYTKKRLEFRKRGSSADDEARTFDDEFVKARDNESLKEMILGANYLNIKDLLDMLNQAVADRIKNKSVEYVRKYFGIENDFPPEEEARLRAENAWAFEGVDPDD >OMO98351 pep supercontig:CCACVL1_1.0:contig07120:13282:13488:1 gene:CCACVL1_04241 transcript:OMO98351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENCNFLSTRLIEQFVIGSNSSLQNKVRSNSSRASSLVFSRRAELELNYSAWLEPITHLVTLIADKV >OMO98349 pep supercontig:CCACVL1_1.0:contig07120:2955:5109:-1 gene:CCACVL1_04239 transcript:OMO98349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MASDKGKKVKIDDSEEWCFVCKDGGCLLVCDFAGCGKSYHPKCLRLDNSALQSKSRWICRKHNCADCGMPNPPLFYCLCCPCAVCDLCMAVVSDEFLHLKSDKGLCGLCLNLALTAAENAHSDSNVVSEDLEDPNKKDETMFTGYLEIQMKRECLSFNDIYSTWCNSEKHGGCNEIHLSEENVQHAHTLIISDSEENDRGNCSESEVEQNIINLSDLEENAEKKSYASIIIKNINFVYLRRGLVEELLMRPDFKNKLVGSFVRVKTRYQNCYFQLLQVTGIKRIWNGHINKLLFEVSDLTFNDIPIDLLTNEDISEEECVDLRERIKDGLMRKPTVEELQQKAESLHEDITKHWIRREQKRLQKVIEYASEKGYRKIYPSMMLS >OMO98350 pep supercontig:CCACVL1_1.0:contig07120:5583:10452:-1 gene:CCACVL1_04240 transcript:OMO98350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MGSSKGKKKVTDYEAEDWCFVCKDGGTLLLCDHKGCGKAYHPRCVGKARSVLKSEGRYICSKHKCSQCMLSTPQVYCLCCPEAVCELCVTAAEFVSVNKLEKGLCKACLNRALRGENAKFDSKEVKLDSEDLDTEDEMFTEYLEIKMKEEGLTFDDLRRARMKRENHGIGSGSDEMKGSDAVFTISDDDSHKGAAAVVDNSCGKRKKSEVYDPYFAATDNSCGKRKKSEVYYTEFAAIDNSCGKTKKSEDGEYVGWGSKPLLNFLKSVGKDVNVNGKLQLLEKEVMELGEIPDLLKGHFGNTSGQSNTNSNENENDNDNGSCSQNEVEESKSSDQLPVEEKVDYEVQKSSDKGSCSQIMLERKRQRTCSSDHPPVEEMVDHEVQKSCDKGSCSQNEVEQTKTTSECKMPVEKKVDHEVPKSSDKGNCLQNEVEQSMLEHKMQRTLSRDNLPVEEKVDHEVQKNSYASIVAENIKLVYLSKSLVEELVMQPDNLENKVVGSFVRVKRKSGKFSEKPSFQLLQVTGIKKSIISREISLEVSCMPNDITIDMLGDDDISEEECEELRQKMKDGLLKKPKVGELEQKARSVHEDVTKNRISTYK >OMP08433 pep supercontig:CCACVL1_1.0:contig03770:25:84:-1 gene:CCACVL1_01111 transcript:OMP08433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQCSMTTQLHTGPRLIQA >OMO51989 pep supercontig:CCACVL1_1.0:contig15648:1770:5648:1 gene:CCACVL1_29455 transcript:OMO51989 gene_biotype:protein_coding transcript_biotype:protein_coding description:Quinolinate synthetase A MKASSSSSSAFFSISQTQISNPKSVLFNFCKTPRTHQRSSFFKSLKCIQSPPPSKPTSFSCSTVTLSPSQTTHLPPRSLSSLVSEFQSLLEPLDRVKRLLRYASLLPPLPDSSRSDSNRVMGCTARVWLEAQMDSDGKMRFWADSDSEITKGFCACLVSVLDGAPPEQMLGLKTEDLAALNVGLPGGERSRVNTWHNVLVSMQKRTRALVAQKEGKAPFEPFPSLVITTEGVHPKGSYAEAQARYLFPDESKVKELVNVLKEKKIGVVAHFYMDPQVQGILTAAQKDWPHIYISDSLVMADTAVKMAKAGCKFITVLGVDFMSENVRAILDQAGFGEVGVYRMSNERIGCSLADAAATPDYMNYLDTASNSLPSLHVIYINTSLETKAYAHELVPTITCTSSNAVQTILQAFAQIPDLTIWYGPDSYMGANIKELFQQMTSMSDEEIAEIHPEHNRNTINSLLPHLHYYENGTCIVHHLFGHEVVEKINEMYCDSFLTAHFEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKQRVREALDRNVDDHLQFVLGTESGMVTSIVAAVRSLLNSSKSTSCAKINVEIVFPVSSESMTKTSTSSSPALNSVKKGDVILPVVPGVASGEGCSIHGGCASCPYMKMNSLTSLLKVCHHLPDERNNLKAYEAERFKLQTPQGKSIADVGCEPILHMRHFQAKKELSEKLVYQVLGTRAVSSTEKKSSSSRLQFNDNVSIQ >OMP05333 pep supercontig:CCACVL1_1.0:contig05432:2126:2209:-1 gene:CCACVL1_01947 transcript:OMP05333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAVSFDEFYSYGISNYIFGGGGGYLSL >OMO98715 pep supercontig:CCACVL1_1.0:contig07050:10506:10628:1 gene:CCACVL1_04094 transcript:OMO98715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFEKPSEKQNPVAKWIQCCTAITIKIQKEDHNSGEYNDK >OMO49575 pep supercontig:CCACVL1_1.0:contig16468:2561:5168:-1 gene:CCACVL1_30922 transcript:OMO49575 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MALWMDAGSEPKTESEIADLQAISAIKESAALELKEKGNELVKKGKKHYSEAIDCYSRAINQNVLNNQDTSLLFSNRAHVNLLLGNFRRALSDSQDAIKLYPANVKAYYRAAKACLSLNLLTEAKSYCESGIEKDPSNEELKKLAKQIDLKMLEQEEREAQVSKALLEAKDLVSAIQDRGLKLGKAMYRELTGLRKPALDKYGILHWPVLLLYAEVMSSDFIEDFCETDICPPLPWDKENNYTREAIELYYEAGSGICLSKTKIICYLLEGTVASNVEIVGEEEKEAADGSFYGVPAGGSSKWVKVNEKRTLHDVLKEANFIIPMIPVFYVVSKRSSFYKDFRTGNWAPPM >OMO49576 pep supercontig:CCACVL1_1.0:contig16468:7258:9207:1 gene:CCACVL1_30923 transcript:OMO49576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQVRRVYEFERLIVREVWECNRTAMGATVKGEEDGAGMREGG >OMO49574 pep supercontig:CCACVL1_1.0:contig16468:420:1724:-1 gene:CCACVL1_30921 transcript:OMO49574 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MATFTCSAAHLGVLLGSAANATAAADYICNGFDAVSNKLVDATYAIDNTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAATGGLFYYLFGFAFAYGSPSNGFIGKHFFGLVKFPCPSFDYGFFLYQWAFAIAAAGITSGSIAERTQFVSYLIYSSFLTGLVYPIVSHWFWSADGWASPVRSENLLFGSGVIDFAGSGVVHMVGGIAGLWGALIEGPRVGRFDSNGKPVALRGHSGTLVVLGTFLLWFGWYGFNPGSFLNILKVYGTSGSGSYYGQWSAIGRTAVTTTLAGSSAALTTLFGKRALTGHWNVIDVCNGLLGGFAAITGGCSVVDPWAAIICGFVAAWVLVGCNKLAEIFKYDDPLEAAQLHGGCGAWGIVFTALFAKEAYVNEIYPGRPGRPYGLLMGGGGRLLAAHIVQILVVLGW >OMO90786 pep supercontig:CCACVL1_1.0:contig08427:6272:6361:1 gene:CCACVL1_07291 transcript:OMO90786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPWDSRWGSNDCGPRKKVANNEDHILG >OMO90788 pep supercontig:CCACVL1_1.0:contig08427:38532:42461:-1 gene:CCACVL1_07293 transcript:OMO90788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydrolase FSH MESEKQKMKILCLHGFRTSGKFLQKQISKWDPSIFFHFDMDFPDGIFAAGGKSEIEGIFPPPYFEWFQFNKDFTEYTNLDQCISYLCEYISTNGPFHGLLGFSQGATLCALLLGYQAQGKVLKEHPPFKMMISISGSKFRDPTICEVAYKEKMKVKSVHFIGDKDWLKLPSEELATAFDNPLIIRHPQGHTVPRLDETSTQQLRTWITNILPQSNNAISNNKKDEQERPKELNIINDQKERDIAEPIRP >OMO90787 pep supercontig:CCACVL1_1.0:contig08427:16279:35384:-1 gene:CCACVL1_07292 transcript:OMO90787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNSALSGLIVACMVFDDVCAVWCAIRQERRGWKVDGRC >OMO93261 pep supercontig:CCACVL1_1.0:contig08112:4151:8764:1 gene:CCACVL1_06561 transcript:OMO93261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSTSSPVCNLGILMNFNDDTLQEICQSFSGFCAAVKSLLSDSGDFSVRQDFVTHVQTLCKHGDRLRSLARDYFLRSLEEAFEKNGASRFWRHFEDCSKIEEDLEKIDEDEIQRVLCKALEEICLVKEDQEKWLLIFVHALQSYMENVPDGKYNFEAEKDYLLSKYQLIVSSILMASLPRHFPEILRWYFKGRLEELSTIMGGELNEENECQDRDEMDLDEKSKCRIGEIDIDECYRQDKFSENNKLVKNIGKVVRDLRNLGFTSMTEDAYASAIFLLLKDKVHNLAGDDYRSSVLDSIKGWIQAVPLQFLNALLAYLGDSTSFDQHSSALKSPLASQPSSCYPGTSTPSQGLVKWKLRLEYFAYETLQDLRIAKLFEIIVDYPESSPAIEDLKQCLEYTGQHSKLVESFISALKYRLLTAGASTNDILHQYVSTIKALRTIDPAGIFLEAVGEPIRDYLRGRKDTTKCIVTMLTDGTSGNPNGSGNNGDSLLEELNRDEENQENVGLDDAFNTDDKQAWIDAQRWEPDPVEADPTKGSQNRRKVDILGMIVSIIGSKDQFVNEYRVVLAEKLLDKSDYDIDSEIRTLELLKIRFGESSMQKCEIMLNDLIDSKRTNANIKATINKASQIDAESVETGISLDNLNATIISSNFWPPIQDEALILPEPVDHLLSDYARRFHEVKTPRKLLWKKNLGTVKLELQFEDKAMQFTVGPVHAAIIMQFQEQTSWTSKNLAAATGIPVDVLNRRISFWISKGVLTESLGTDPSDHVFTLVEGMVDASKNSGNSGNSEELLAGDEEAERSVASVEDQLRKEMMVYEKFIMGMLTNFGSMALDRIHNTLKMFCIADPPYDKSLQQLQSFLSGLVSEEKLELRDGMYFLKK >OMO93260 pep supercontig:CCACVL1_1.0:contig08112:2821:3875:-1 gene:CCACVL1_06560 transcript:OMO93260 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MKATTVHGPEETRTRTRVKILLTSLSAMVAETSTFPIDLTKTRLQLHGESQPLSFSSTSARRTNAFRVASEIVREQGVLGLYKGLSPAVIRHLFYTPIRIVGYENLRNFVSNDGSLSLSSKALAGGISGAIAQVVASPADLVKVRMQADGRMVSKGLQPRYKGPYDAFTKIVAMEGFGGLWKGVFPNVQRAFLVNMGELACYDHAKRFVINNQIANDNIFAHTLASIMSGLSATALSCPADVVKTRMMNQA >OMO93264 pep supercontig:CCACVL1_1.0:contig08112:22036:23781:1 gene:CCACVL1_06564 transcript:OMO93264 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dymeclin MDSQFQRPSDPTRESHFEYTDFRHTIRSWLTPSDATAAGRSGLNGSLQFSSLHTTCLATLANMAPRVHRLNAYASQRLVNLFNVLSRKFIKLVELRDDKLQIKAISTQGTALWKISSELAHGILHSLDLVQVIGIETVYIFSLSSGSNLEKHDVKISFKAITIVITAVSIALEKNQKLMGLVTLIIRNADQKSFRARLSGKCRTFDGRTGILLNLL >OMO93262 pep supercontig:CCACVL1_1.0:contig08112:9686:11332:1 gene:CCACVL1_06562 transcript:OMO93262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEDLQQEPTMAAAAEEQMQQLRSKATELLLREEWEESIQLYSHLINLCQDQISKTHHQDSNNRDPDHLSKLHKSLCLSFSNRAEARSKLQDFTQALEDCDRALQIEATHFKTLLCKGKILLSLNRYSNALDCFKAALSDPQGNGNLEIVNGYLEKCKKLELQSRTGSFDLSDWVLNGFRGKPPELAEFIGPVLVKRSEISGRGLFATKNIDAGTLVLVTKAIAIERGILGGQDSGENAQLVMWKNFIDKVKEVVTKCQRTQLLVSMLSTGENEEELEVPEMGLFRPEIEKNGNGFLEKLDMDKILSILDVNSLVEEAVSAKVLGKNSDFYGVGLWLLASFINHSCNPNSRRLHVGDYVIVHASRDIKAGEEITFMYFDTLSLLDKRVEMSKSWGFNCRCKRCKFEDGVCSKQELREIEIGLEKGADVGGAVYRLEEGIRRWAVRGKEKGYLRASFWAAYSAVYSSDRLMKRWGRRIPAMEAVVDSVVEVVGSDERVLKMVVEGLKKNGGMVEFERVMKLGRGFYGKVVKKQAMRNLLEIGIQDQSY >OMO93263 pep supercontig:CCACVL1_1.0:contig08112:11725:21245:-1 gene:CCACVL1_06563 transcript:OMO93263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSRGTNAYGQQPYGSQSGYAQNLGTAYSGSSTAYSGSSVGGPDGGAQMSLASRHSSILGSSQEAEVGAYRALPSVSAHYGGQYSSIYGTAALTATQQVPTISSKGAGPSALEARSTYSSAMPDSPKFTSTDYITSSSHSYSHKGDQIYAEKIPDYPTVERRQYGERQGGYSGRDLPSDPTGRYADSSIFGHQHQPEIYDRLDQAVLLRQEQLLKAQSASLDGGSRQVDYLAARSAATRHSTQDLMSYGGRLDADPRSISLLSSSSSYGGQTPSILGAAPRRNVDDLMYPSNSANPGYGVSLPPGRDYGTKGLHATSLESEYPDSTFSRSGHSRIDELKDDRAGYLREFELREEERRREHLRERERERERERLRERERERERERLRILERREKEREREKERERERKRALEVTRERTPPRVSRDHRGTSLSKEARPVRRDSPRREVSHRRLSPLKEKRREYVCKVYSSNLVDVERDYLSIDKRYPRLFVSPEFSKVVINWPKENLKLSIHTPVSFEHDFVGDCLAESKELSSKLLPVEQGSTVWNAKMILMSGLSRSALEELSSEKVPDDRIPHICNILRFAVLKKEHSFRAIGGPWLPADGGNPTDDESSLIQTALRNAKDVANLDLQKCQHWNRFLEIHYDRVGKDGLFSHKEVTVLFVPDLSECLPSFDTWRAQWLAYRKAVSERERELSLKREKSKERKDGPKDTEADSSKHTERGKSEKKSQSLSSTHGVVSKQKEQNGNCIEGDAAQGKSSGSEKKVEVKDGSEKPVGGGPDKKEQEEAAGAKTVTVKPVKKKIIKRIVKQKVTNKTAAGANTAGTQSDKVDEDVGQQDAKPEIAGQLGESSAVPAAVKTFVRKKVTQKEAVGKTDQTEQNDASLEVKVDKEMESSEDKPKDNSDASSVAIVQNASVKTIVKKKIIKRVPKRKVPATPAKDEVAEIKKDAVKDEEKVVQAGTETSNENQSNAPSSSKSDIKADEENKKHVTNVGNLDDKQKANIKDNNNLKAVKLKEGEKSKDGKEDKESKDESRSNSNKDSKEKRKSEEAPTRHPGLILQTKSSKDSKLRSLSLSLDSLLDYTDKDVDESTFEISLFAEALYEMLQYQMGCRILAFLQKLRVRFMTKRKQRKRQQEETRKKEIDKNSPTKKLKTDELAEKDESTKSETVTTTQQAQQVDEMIVAKEETGADHIDEPKVEMDDEDPEEDPEECEEMDDASPQPNSCNEKNEDAKMDTDAKPEMEAQRDEAGESAKDETTTKPVGTEPERDMSEKREQQLKVDSGKKENAIDKDLLQAFRFFDRNRVGYIRVEDMRLIIHSLGKFLSHRDVKDLVQSALLESNTGRDDHILYRKLVRMTDI >OMO93178 pep supercontig:CCACVL1_1.0:contig08121:9124:10710:1 gene:CCACVL1_06601 transcript:OMO93178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MLENPPCESCLVFAQETKWTAYMLNGKRPRESTESDGCREAKLLKLSSDDSSSDDNNNNTSSPEDSRDLLLPDSRDQSDDDDSKQSDSSSDLDSSVTDQHHPIGVEKTDLNNQSDDDSLSDSVEEDSPEEDGDEEEDCDEEENGDDRFSDLPEEEEQEERDGNRRHAGDSSSDLDALIQPIGRDNSISCLIRCSRSDYGSIASLNRSFRSLIRSGEIYKLRRQNGVVEHWVYFSCALLQWEAFDPIRLRWMHLPGMPPNECFIFSDKESLAVGTELLVFGKEVTSQVIYRYSILTNSWSSGTSMNAPRCLFGSASLGEIAILAGGCDSQGNILSSAEMYNSETQRWETLPSMNKPRKMCSGVFMDNKFYVIGGIGGVGNDARVLTCGEEYDLETRRWTEIPNMSPGRSGAAGEAEMPAAAGAPPLVAVVNNELYAADHADMEVKKYDKESRLWVTIGRLPERAVSMNGWGLAFRACGDRLIVIGGPRALGEGFIELNEWVPSEGPPQWNLLARKQSGNFVYNCAVMGC >OMO49338 pep supercontig:CCACVL1_1.0:contig16531:20901:24754:1 gene:CCACVL1_31067 transcript:OMO49338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAQEETEQDPLNILDISVDIGDEEEYLLFQWIRYVHLDDRDRNPNQQIRSHPEEFGIDVDKVMSEEVGLECSADETVGPPPGFDSQPKFRGSSAHGRCDDDDDGGNEGRTTRTGTQGGDVQSGAEDASRYGDNYGSDYVPYSLFPCEADFTHATQDEDHGSCRAEHGAKNRKGRRAMRELTDEFSSMSLTTASSSFGYGGHFESNSSYGTRSGANEFESSVSSNMYPEYPLEQQTYNEHPVQQPNADAVLGIEVDEKIKAIKDWPTPTNVGQVRSFHGLAAFYMRKPVAYFSEKLNGAALNYPTYDKELYALLKALQKWQHYLWPKEFVIQTDHESFKYLKGQQKLNKRHAKWMEFNESFHYVVRYKIGKENVVANALSMRSWVRDLAYAAAHVGRLTHHNQMRYDLGKYVSSCIVCLQAKSTSKPHGLYTPLPIPHEPWTHISMDLVLGLPRSRRGGGDDASSKAYHGLEDNHGEQGKDVHGLHGGMKMQGHQDGLAPKLNKKPFDPLSLPNGPMTRSRPKKFNDKIQIPRSSLEDIWR >OMO49339 pep supercontig:CCACVL1_1.0:contig16531:36498:37172:1 gene:CCACVL1_31068 transcript:OMO49339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKVENKQPLLRNNKEDKVEEAQQIISQNACETDAIFQKQPKTKRQKVVRKTFKGTAVLSKLLPTGSALTFQLLSPVLTNSGQCKTYVSQNLTLGLVAFCATLCFFISFTDSFRDDKGKVRYGVATLRGLWVMDGGAVKLTAEEAEKYRLKFIDFVHAFISLIIFTGLALFDQNVVKCFCKTPSEETKELLTVLPIGIGVIGSILFVSFPTKRHGIGNPLSKT >OMO49337 pep supercontig:CCACVL1_1.0:contig16531:12060:12299:1 gene:CCACVL1_31066 transcript:OMO49337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLVYNFKTSKSINRKSKNNATTLSIVWKSTAGQNSKNGKIKKVNNKHGSNAKIWRNNYRPLPRPEKGGQSVDLKTPEEP >OMO49340 pep supercontig:CCACVL1_1.0:contig16531:39437:45003:1 gene:CCACVL1_31069 transcript:OMO49340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSEATRAELSVQLGLTSRQLQMWFYHRQLKDSKAPSEKRQKKDSASLAHVVGIAGEGMKAGEAGNEHGSSGSSMFSHELELRRGVPRPGMAVPRYHEVPHSMAELELRAIAFVELQLGASPAVQQKQPGQPFETKIYERLATKAVKAVHEYQFLLEQPTVKTETHERVAPSYYYGSPRDGPDARVSSSYAGHSSAHGNEEVPSGYRFPSQMPNLNLLPQQSGQEHPLPTASREYDNVSRKNSLPNVALAANIGAHPIPALEIPFLTSDRRVSLYEDVFRMERKRKAERMRQKEELRKEKEASRIKVANERAIARKLAKELMELIEDERLELMELAASSKGLPSTLSLDFEILQNLDAFRDNLCVPAQDSAIEEIFLNPTMEGF >OMP11411 pep supercontig:CCACVL1_1.0:contig01308:1797:2576:-1 gene:CCACVL1_00532 transcript:OMP11411 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyl transferase MAAPSRIMVVLFLICTASLGFSEPTPDEDPTPTPWPHQFHSILVMNYSGILQIIDLWYDWTNGRNFNIIQHQLGNVLYDLEWNNGTSFFYTLDSSKQCSSAQLEVGILRPNWLDGANYLGQKQVDGFLCNVWEKVEFIWYYEDVVTKRPVHWVFYTGREAHVMTFEVGAALEDIKWQAPVYCFDNSSAAIAVDDSISTRIPLLKGSTVL >OMP11412 pep supercontig:CCACVL1_1.0:contig01308:4202:9323:-1 gene:CCACVL1_00533 transcript:OMP11412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPELQPRSFRPYISSSVSAPSFSNYNNASSSYSSFSSEPEANPNSNFTANLSSPPPSSSRSLKNSRFSPSSFAYNARLAIALVPCAAFLLDLGGTAVVATLTLGLMIAYIIDSLNFKSGAFFGVWFSLIAAQIAFFFSTSLYYTFNSAPLSLLAAFLCAETNFLIGVWASLQFKWIQIENPSIVLALERLLFACVPFAASSIFTWATISAVGMNNASYYLMAFNCVFYWIFAIPRVSSFKTKQEVKYHGGEVPDDNLILGPLESCLHTLSLLFFPLIFHIASHYSVMFSSAASICDLLLLFFIPFLFQLYASTRGALWWVTKNTHQLRSIQLVNGAIALVVIVICLEIRVVFHSFGRYIQVPPPINYLLVTTTMLGGAAGAGACALGMISDAFSSLAFTTLAVVVSAAGAIVVGFPILFIPLPSVAGFYLARFFTKKSLPSYFAFVVLGSLMVMWFVLHNFWDLNIWLAGMSLKSFCKLIVADVVLAMAVPGLALLPSKFNFLTEVGLISHALLLCHVENRFFSYSSIYYYGLDDDVMYPSYMVILTTLVGLALVRRLSVDHRIGPKAVWILTCLYSSKLAMLFITSKSVVWVSAVLLLAISPPLLLYRDKSRTASKMKAWQGYAHAVVVALSVWFCRETIFEALQWWNGRAPSDGLLLGFCILLTGLACIPIVALHFSHVMSAKRCLVLVVATGLLFILMQPPIPLSWTYRSEVIKAARQSADDISIYGFMASKPTWPAWLLIMAILLTLAAVTSIIPIKYIVELRAVYSIAMGIALGVYISAEFFLQAAVLHALIVVTMVCASVFVVFTHFPSASSTKLLPWVFALLVALFPVTYLLEGQVRIKSFLGDNAFGDIGEEDRKLTTLLAVEGARTSLLGLYAAIFMLIALEIKYELASLIREKIVERGAVRHNQSGQTNSVGFPPRMRFMQQRRASAVQTFTIKRMAAEGAWMPAVGNVATVMCFAICLILNVNLTGGSNQAIFFLAPILLLLNQDSDFVAGFGDKQSQEYSSADSYIPQPHPVQPVCVELYEADRLDTTANIAPQSAFHRNNRFD >OMO82678 pep supercontig:CCACVL1_1.0:contig09991:14924:18099:1 gene:CCACVL1_11814 transcript:OMO82678 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MENQNQNGDEALIKVSSLNCIDLSNPDINQSVSLLKQACLDCGFFYVVNHGISQEFMDEVFAQSKTFFNLPLNVKMELLRNEKHRGYTPVLDELLDPDNQVHGDYKEGYYIGVEVPEDDPEAEKPFYGPNVWPRDDVLPRWRQTMEKFHHEALEVAKAVGRIIALALDLEVDFFDKPEMLGTPIATLRLLHYEGGQISDPSKGIYGAGAHSDYGLITLLATDDVMGLQICKDKDAKPQIWEYVAPLKGAFIVNLGDMLERWSNCIFKSTLHRVLGNGQERYSIAYFVEPSHECLVECLPTCKSEKNPPKFPPIRCATYLTQRYKDTHAELSVYTNHQT >OMO82677 pep supercontig:CCACVL1_1.0:contig09991:11459:14036:-1 gene:CCACVL1_11813 transcript:OMO82677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYLEYHKKIFHKWPPQVMLLASLWPVRPPLLRDRTLPSLRSTSLIKVDLELALTVELQCGVRCWIGLCPA >OMO82676 pep supercontig:CCACVL1_1.0:contig09991:4992:5348:1 gene:CCACVL1_11812 transcript:OMO82676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MASGSSKYHLVVVSSGSSVPLTEEIFDLDEAMTLPENPFARQQGITRLVSNMPAVHNATGNCSICMESFRQKSDDDDDGVLAARQVSCGHVYHHSCISDWLLNGNSYSCPLCRHEISG >OMO82679 pep supercontig:CCACVL1_1.0:contig09991:18561:19883:-1 gene:CCACVL1_11815 transcript:OMO82679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMDLLPYLKRAGFLPKKVEDFGDGGAFPEINIPQYPLGMGREKGKNPSSKILPLTVDAHGNLAYDAIVKQNENAKKIVYTKYKDLIPKILKNEEEKEIEETMQETKAALNPKTVSSDSKYYKFNSAAKERIIMMVENSEDPLEPPKFKHKKVPKNSGSPPVPVMHSPPRPVTVKDQQDWKIPPCVSNWKNPKGYSIPLDKRLAINGRGLEVQINDDFAKFCEALYVAEQKAREAVALRLKEQKAIMMKNKEMNELKLRDLAAKARSERRIMGADVVVPMEREKLREARRRERSLEAKEACMGNCKKRKFTREKDRDISEKMALGMACTGGEVMKYDQRLFNQEKGMDSGFAIDDQYNIYDKGLFIAQPTLSTLYRPKKDMDSDMYGSAEEQLDHRLMKTDRFKPDKASGPRDRPVEFEKEADPFGLDQFLTVVKKCKN >OMO60306 pep supercontig:CCACVL1_1.0:contig13743:45391:49719:-1 gene:CCACVL1_24264 transcript:OMO60306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLSTDSRWIVDEKGDRVKLACVNWVSHLEPMVAEGLSKQPMDMIAKRIVSTGFNCVRLTWPLFLITNDSLGSLTVRQSFQKLGLQESIAGIQTNNPSIIDISVIKAFQAVVASLGENNVMVILDNHISKPGWCCSNFDDNGFFGDKFFDPDLWITGLTKMATLFNGVTNVVGMSLRNELRGSRQNQNDWYRYMQKGAEAVHSANPNVLVILSGLNYDTELSFIKNRPLNLTFTGKLVFEVHWYGFTDGEAWLTGNPNQVCGRVAKDVLNRAGYLVDQGYPLFVSEYGVDQRGTNVNDNRYLNCFLGVAAELDLDWALWTLVGSYYLREGVIGMNEYYGILNWNWCEIRNESFIERIHALQSPFRGPGLSETKLHKVIFHPATGLCVLRKSYFEPLRLGPCTDSEAWTYSPQKNLLIKGTYFCLQADESGTAAKLGIICSESNSKWNITSDSKMHLSATLKNGKSVCLDVDSNNTIVTSSCKCLNKDNMCDPESQWFKLVDSTRSRSEEKSFLHLDSVLSLKGFLWNLVDGSI >OMO60299 pep supercontig:CCACVL1_1.0:contig13743:13475:15415:-1 gene:CCACVL1_24257 transcript:OMO60299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHTRSDDPRMFSTSGGRAIGKQIEAIHDPAAIELVVKPILDIVEDIFHRATPAVPGLLQEAHMQMEAFDEKAAHPGLHELIQYLSFTLNRISCEIAYRLSMGEDAHTTTLAVAQIIKSYSWDAKVVLALAAFAMTYGEFLLVIQLHATNPLAKGVATLKQLPQVLSRTDLLKPKFDTLSNLINSMLQVAKCIVEFKELPSRYISLDDPEMLSANSDIPSAVYWTIRSTVACASQILGLIGMGHEFISSTTDAWELSSLAHNMDSIHSDLMEKLKRCHQRIRGRKDIEAFETLVRLFDAVHIDNMKILKALIYAKNDQLPLWDGTRKQRMSLDLLRRRNVLLLISDLEMPHDELYVLEQLYNESRVQPTRVENQYDIIWLPIIDRSTPFDETKRKQFESLQAMMPWYSVGHPTMIEPAVVRYIKEVWGFNKKPLLVAPDPQGKVANPNAIHMMFIWGSMAYPFTKIREETLWKEETWRIELLADSIDPSIVNWV >OMO60303 pep supercontig:CCACVL1_1.0:contig13743:34435:34737:1 gene:CCACVL1_24261 transcript:OMO60303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYVPNVTFDGNTFARRNAANLSPNVTPMSQCVPNVIQCAPKVTFDGNTLGRRETLELPMCSECPLKGHIQSDMFKVFFKTTLKISPDVIPMISPMSSQ >OMO60301 pep supercontig:CCACVL1_1.0:contig13743:24076:24626:-1 gene:CCACVL1_24259 transcript:OMO60301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSMSSMAHHTRSDDPRMFSTSGGRAIGKQIEAIHDPAAIELIVKPILDIVEDIFHRATPAVPGLLQEAHMQMEAFDEKAAHPGLHELIQYLSFTLNRISCEVRISKTLIKGAVEKTVCQRSVENKQLQLVAPNRINESSERNEACLKFRQSMMDC >OMO60298 pep supercontig:CCACVL1_1.0:contig13743:10007:10459:1 gene:CCACVL1_24256 transcript:OMO60298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stigma-specific protein Stig1 MILVLSIAATPNNLYQQDDDDDTGNYIAAEDQNDNDATSETEQVSDLSDDIETEGRLLLQKKRTKRLTCNKFPKICRAKGSPGPQCCKKKCVNILIDRQNCGKCGKKCKFSEICCKGKCVNPSFNRKHCGGCNNKCNNGGSCVFGLCDYA >OMO60304 pep supercontig:CCACVL1_1.0:contig13743:37691:40135:1 gene:CCACVL1_24262 transcript:OMO60304 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MHSSRFIEHSFQSMQCVVHQKLLKLFENLANSGNCFDLQEVLLRLTFDNICTAALGVDPGCLALDNFRQEVLFAKAFEQATELTLLRFLIPPFIFKPLMFFRLGYEKRLKKATEIVHDFADKTVHVLVFRCACATSIAKATLLKKG >OMO60307 pep supercontig:CCACVL1_1.0:contig13743:57560:66070:1 gene:CCACVL1_24265 transcript:OMO60307 gene_biotype:protein_coding transcript_biotype:protein_coding description:GLE1-like protein MGIYMVYNAFLQKIWGAFKLEIRCPQNVNGIGIEPDPDWSFDALLSELDSLEKKLNVSSSVPLPFTKTKPREMYGEKGVKRSPNAFVMKISDEEFEDSESEAEEVNDRALVKAAQFNCDEFYLSGSDDDSDNEWCLQAQTSLMDEAGLVESALFELNHDHQLGVKEDIRNRISALETDLMNESEKSSSAHAKVEKYREARLEVERKFDVQYQRRIAEGLDNHLTAIQRDHELKSQIEERRLRSDAAHEEAKRREKALQEERLRQEKAKAEAEMQAKLKAEEAKQAALEAERRAAKEAAEREAAEALKASTSEVSQREASGGPVTTNSGVLNAQPKGSETDKTNKSLAGGNTLRAAENALNQERERLQKLKEWDERNQSLRSSSNVDFGSTERHIGRLIRQIRGTRDNVRTKATELIKIFNNPQCPQTISIAYFAKKVVSHCESPDNAAFACGYVIVLVTSQFPQAMDLLVAELQRACIYTVPKHISYSKSAFESREAYWKVIGYREEDGKVESTKDYLKRLESYMKLYGALVQTEVPGCQNFHGLQEGWAWLARFLNALPANIYTAVALNAFLQMAGFALFTKYKAQFMKLLNIVSDNFLNALRAQEDPELRPIKAEIQAYLEDKKFLKEPEGRTLQGSLLSSVFVPEADYQESNRYQQSYHQQYSRNFY >OMO60308 pep supercontig:CCACVL1_1.0:contig13743:66922:69961:-1 gene:CCACVL1_24266 transcript:OMO60308 gene_biotype:protein_coding transcript_biotype:protein_coding description:von Willebrand factor, type A MGGKSSRESGGSRYSQSRSGGADAWSSYGYPPQSSYPQESPYYTPHHRYAPPPPSYNYGSQPSRKSLDGKYSRIADNYQTVDQVTAALAQAGLESSNLIVGIDFTKSNEWTGARSFNRRSLHHIGNGQNPYEQAISIIGQSLSAFDEDNLIPCYGFGDASTHDQDVFSFYPEDRFCNGFEEVLARYKEIVPQLRLAGPTSFAPVIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTEHGHLSPQEQKTIDAIVKASEYPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNMDPMRKQAEFALSALMEIPSQYKATIELGLLGRRKGNTSERIPLPPPLYGGSSFNSPRPYSRTSSFQQNASPYSRSSSFQSNTPSYSGYDTAPSVAAAPTGPSSSSLYDNQVCPICLGNPKDMAFGCGHQTCCECGEDLELCPICRSTIQTRIRLY >OMO60297 pep supercontig:CCACVL1_1.0:contig13743:6776:6844:1 gene:CCACVL1_24255 transcript:OMO60297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQGSVTVTTVRFTITEPKRSGV >OMO60302 pep supercontig:CCACVL1_1.0:contig13743:25920:28958:-1 gene:CCACVL1_24260 transcript:OMO60302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFESGSFSQHSKLSLDDEILIKKLLLSHDPDGCRLDSEALLCAVENIMFHATASEVSDKPIDANLKSQIGNIEVTGSQEPLMHTIYKIAYEMLCKIPGKEDLHTKTMVLFDMLGNYRWDAKMALALAAFATCYGEFCLIMQMRPHSPYAESVANFRQLPSDITILKPQFKALRLLIKTMVDLTRCIVEFEGLPIEHVEADYENIAAIKYSNITIAAWEISSLNNRLSGIYSHLRQLVDAFRQQSEAKLDQKLLHLLMESHADNQEVLRMLFALNDDLPLKDCPTQVKLGISELKSKIVLLLVSKPDLLPLEQLFFLFHQTYDHPNKAEGSYAIIWVPISLSEKWTDDEKHWFNVLSSSMPFYSVRQPWSPNSAVINNLMKQVWHNEDEAIMVVLNLEGAVTNLNALDMVFIWGPEAYPYSVTREFELWIGEQWTMQLLTKDIHPILTQWVEEGRYICIYGSENLEWIREFCAKAKEIKNAGLQLEMIYVGKNNPNEHVKELVTIINREMHSTLLSFSKIQLFWLRLESMRRSKTRLEYADAAGIDHDHILAEVLALLHNNDDNGWAVFGKGSSTNMVRVQGSEILKGLNIVRQWGEDVADLEFIGALRTVLEPVPFRLRGPCNLTKFVPYPDVEGSTEGCIVCQYCKRLMKPYTIYE >OMO60305 pep supercontig:CCACVL1_1.0:contig13743:42846:44877:1 gene:CCACVL1_24263 transcript:OMO60305 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase T1A, proteasome beta-subunit MSTSDVELPPKGGFSFDLCRRNEMLSKKGANPPSFRKTGTTIVGLIFQDGVILGADTRATAGSIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVNSQLQLHRYHTGRESRVVTALTLLKSHLFKYQGHVQAALVLGGVDVTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAVFESKYREGLTKEEGINLVCEAICAGIFNDLGSGSNVDLCVITKGHKEYLRNYQVPNHRAHISSKGFTFPKKTEVLLTKITPLDEKVEVIEAGDAMEE >OMO60300 pep supercontig:CCACVL1_1.0:contig13743:19644:23542:1 gene:CCACVL1_24258 transcript:OMO60300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRKLKRAKIVACSRSKTYLPNELIIFHILSRLSVESLHGIMRRVCNTWADAIRTPQFARTHLQHAKPGLFFQDRYNPTQFATRKARFFQFKDNGELKITHLNPKKPYPGLILSSCEGLSVFSCPEDRQWSSLDRKYHTCDKLLYVANPVTMDVVVQVPNCITSPNYYNTYGISSLPNNGGFKLVCCQFDPHTIQSDFYILKLGDIDQYSWRKIGSAFGCPVASSWHKMHVIPIGQYIYWLPNGSVLFTPCGQGMIIDIANESVSVISFDKATGLQLNHPRSFRGFGNIYNHVALVQGSFSGLYVSISKDIYLRNWELYHKIDYQRPDFRPSGLVACIDQEVILYRLLCFQSHCSLAKGWRPTDAIYLHKGLRFDLCFTKWRNNRSGSSALETAISEASKKAQEALRSTSLDTEPIISAAKAMNLSNSFKHTTTPIYSTHYPPSRISRKPPMNLVLSDNTKPQSPFNADIYYSPESNPVPSAGDFQPSFSFLDENTKSIEPGGYERHWGMLEFDGNLTGMEDDRGLTPVEDVKYQM >OMO74787 pep supercontig:CCACVL1_1.0:contig11088:29338:30681:-1 gene:CCACVL1_16470 transcript:OMO74787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLYSTISILFLFFSILLNFSFNAQAAVPPKARFKLVNDGEFGEYIVEYGGNYRVLNVFNSPFQLAFYNTTPNAYTLALRMATTRSESLFRWVWEANRGNPVRDNATFSLGKDGNLVLADADGRIAWQSNTANKGVVGFKLLSNGNMVLHDSKGKFIWQSFDSPTDTLLVGQSLRRGGPTKLVSRASEQNNVNGAYSLVLERKDLVLQYKGLNSPKPLYYFKSTASNLWISTKDGTLQSVTLKSEDIGDGSRYEISLDTDVVNSSFGIGQLILTSPKYNSTLSILRLGIDGNIRIFTYDDKVDIGAWEETFTFFSRDNFWASECELPERCGEFGLCEDNQCVACPSPKGLLGWSKNCKPKKLTSCSPNDISYYKVDGVNNFISQYNEGQGPMKEGDCGKKCTSDCKCLGYFYHKESSKCWIAHELKTLAKTSNSSHVAYIKAPKK >OMO74788 pep supercontig:CCACVL1_1.0:contig11088:32273:33604:-1 gene:CCACVL1_16471 transcript:OMO74788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIPLSSTISLLSFCIFLLFSLNAQAAVPRSATFKFVNDGEFGPYIVEYDGNYRVLSIGSAPFQLAFYNTTPNAFTLALRMATTRSESLYRWVWEANRGNPVRENATFSLGTDGNLVLADADGRIAWQSNTANKGVVGFKLLPNGNMVLHDSNGKFIWQSFDSPTDTLLVGQSLRAGGPTKLVSRASEENNVDGAYSLVLEPKGLVLQYKGLNSPKPLVIFETYSWFSTQDGTLRSVTLNVEPDTSEGAYDVLLGYTVANSPVGTGNAILTRPKYNATLSILRLGIDGNLRVFTYDNRVDYRAWEETFTLFARDSIWGTECELPERCGKFGLCEDNQCIACPSPKGLLGWSKTCEPKKLTSCRPSDFSYYKIDGVNHFMSQYNEGQGPMKESDCGKICTSDCKCLGYFYHKETSKCWIANELKTLAKTSNSSHVGYIKAPKN >OMO74785 pep supercontig:CCACVL1_1.0:contig11088:5305:5752:1 gene:CCACVL1_16468 transcript:OMO74785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLISLLFFLVETPNPGGGPPPPPPEPPALYLYERSSPEKGAMVVGETRINNPKQQPRKLCYEPDLIDLVNCCSYYAELRLQGRRIEDAACQRRVVIVREGQKETLRTMLGPGPG >OMO74786 pep supercontig:CCACVL1_1.0:contig11088:22671:26161:1 gene:CCACVL1_16469 transcript:OMO74786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEQSSLLLKSSSLFPPPQGVKVSYGTAGFRADASILKSTVYRVGILAALRSLKTRSVVGLMITASHNKVTDNGVKIVDPSGGMLSQEWEPFADQLANAPTPEALLSIIREFVEKEKIPFDGVQSAEILLGRDTRPSGESLLEAAKQGISSIFGAIALDLGILTTPQLHWMVRARNKGITATEPAYFEQISSSFRCLIDLIPNGAKINKPDSTVVVDGADGVGGEKLEVLKNMLTGLVIEVRNSGKGDGLLNDGVGADYVQKEKVVPRGFGSHDIGLRCASLDGDADRLVYFSVPADSSSKIDLVDGDKILSLFALFIKEQLNILTKEGNEKSNNNFEAHLGVVQTAYANGASTNYLKQLGLEVIFTPTGVKHLHEKAAQFDIGIYFEANGHGTILFSESVLSWLEARHNELTSVSEGSEQQKAAIRLLAVSKLINQAVGDALSCLLLVEAILQHMDWSIHKWSELYQDLPSRQLKVKVVDRTAIVTTNAETVAVSPPGIQEAIDAEIAKYPKGRCFIRPSGTEDVVRVYAEAATQEAADNLANSVAKLVDRFLGFGSSGQ >OMO69444 pep supercontig:CCACVL1_1.0:contig12033:15624:16526:1 gene:CCACVL1_19502 transcript:OMO69444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSIVYVNTHNCEIYLANLFSNPTTVEIIKLPPIKTLVDIDNPVPYWYGTTTGQLSTQIVYKIVMSSSPKDENCIVMLVYGPHDGGRLAFCRIGDDSWTKLKPKAETNRPILDIMYSNKDQLFYSLDWDSSIESWDLRSDAAAGTDFTSMICEEMNEMIRKYASFPNFLICNMYLVESPITGGVLHVWRYIFRIKCKEQEISIDLTDMNLPNGGFDSIGNNALFLGFNNSFSVSIDEFPELEPDRVYFADDKRRYICCPADDMRPIMGVHNLKDESMAPLYHNWYKFKHPRPIWVLASS >OMO69450 pep supercontig:CCACVL1_1.0:contig12033:38282:41968:1 gene:CCACVL1_19508 transcript:OMO69450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MPPKQQGKSKADLAKKQKVVEDKTFGLKNKNKSKNVQKYVQNLQQAVQPKPDPSKVAAKKKKEEEKAREKELNDLFKVAVTQPKVPVGVDPKSILCEFFKAGQCAKGFKCKFSHDLNVQRKGEKIDIYSDKRDQDTMEDWDQEMLEKVVESKKTEYNQNKPTEIVCKYFLEAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEESEKIPVEEEIENERSKLSSSTPMTTELFMQWKKKKVDEREASLAAKQAERAKNDRMSGRELFLADASLFVDDAEAYEEYQREEEPEATKDKVKDDSAEAGPSNATGSAADSEDIHLDDDDDDDDDDDDLDMDELNELEASLSRTSIRIQEPGIQASS >OMO69446 pep supercontig:CCACVL1_1.0:contig12033:20199:23169:-1 gene:CCACVL1_19504 transcript:OMO69446 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase METKTYNAKQSPAFPMAVFSRYSTAATAATMQRQTATATFSYLIRTFSSPFSSSSSSSNSLNSETDLNRGDKPDVLETLKREPKGRNIQWVFLGCPGVGKGTYASRLSNLLGVPHIATGDLVRDELASAGPLSSKLKEIVNHGQLVSDEIIIDLLSKRLEAGEAKGESGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALLAKCLGRRICSECGGNYNVACIDIKAEDGRPAMYMAPLPPPPQCASKLISRADDTEEVVKERLRVYHEMTRPVEDFYRSRGKLLEFNLPGGIPESWPKLLQALNLEDHEDKESAAA >OMO69448 pep supercontig:CCACVL1_1.0:contig12033:32155:32841:-1 gene:CCACVL1_19506 transcript:OMO69448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10/acidic P0 MEATLLSFPSSKPPLSKTLTLKPTNPFLHSSKPKFSSFKTTPFFPSIKAAISRTKKEETVQTVKEQLENCYLLAGIKYTGFTVKQFQDLRRSLPESSKLVVAKNTLVLKAIEGTPWEALKPCMKGMNAWLFVHSEEIPDAIKPYRTFQKEKKIENDFVGAVFEGKFYGPGDFKQLENMPTRAEIYAKLLGSLQSPAIGLVGTLQAPARDLIITLKAYVQKLEEEGGGQ >OMO69449 pep supercontig:CCACVL1_1.0:contig12033:33520:37806:-1 gene:CCACVL1_19507 transcript:OMO69449 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IIA, CECR5 MRFLATTSFRCDFGIAFDIDGVILRGPVPIGGSPQALRRLYGNSGELKVPYLFLTNGGGIPETKRAKELSELLGVNIMPSQVVQGHSPFRNLLKKFENEFIIATGKGDPALVMSEYGFKKVLSVEEYASYFENIDPVFQYKRWKTTPISDMKTPSMPRCDVSSDRIKAAFVVSDPVDWGRDIQVLCDVLRSGNLPGDANRSQPPLYFAADDLEYQAAFPTKRLGLGAFRTALESIFNRINPKSLEYVSYGKPNPFVFKNAEAILRQLQSSSCLDHSENNGMSESHPFRTLYMIGDNPSVDIKGAQQTGHPWFSILTRTGVFRGNDNDAEFPADLVVDTVEEAVDFILKRECIS >OMO69447 pep supercontig:CCACVL1_1.0:contig12033:24457:28281:-1 gene:CCACVL1_19505 transcript:OMO69447 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FGFR1 oncogene partner MDDYTREMMDLKTLVTRTLEKKGVLAKIRARFFCGGKSDKLERVCRGFWWVIIALHFNSGNLLIFCAVAELRASVFEAIEEEDRVIEKDEGLPPALLGSCNDRAKQLHASPSGRLLTALVCEYLDWAQLNHTLKVYLPECNLQKDSWKAELKDFSSKNGYDLNRNGDSGPLLLDVLEGFLKFENLSQARGSGRRPQEMESSSSLESRNTRRPSSSTVAGGLPPLGRPVPVSQSSGKGLFYVYSKHTMYISILLLFPLADILANLDRRAGSSMSGYRKDEFNWRYDNDDLPEDVIRASAALENLQLDRKARNLTTSWRHGGDGVNEDDGRPDHM >OMO69445 pep supercontig:CCACVL1_1.0:contig12033:18225:19367:1 gene:CCACVL1_19503 transcript:OMO69445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKSGGGFSSLKYSPFLRNFTNYSTAATTTTTTTRQPLPSLRSPSDARPWPLLMLPSTDYKLDDPITAANFSFYSPIHNQILHLWNRILPEEITDPFTYCAGATSNGLLVYINSRKYEIYLANLFSQSSNPTVEIIKLPAMTSEPRVHKIVLSSSPDDENCIVLVVDSFTKSLSFCRIGDKTWNEIIQPDITKSSGVGKIVDVMYSNKDELLHCLRFDGSVESWDIRNPSNITKMSESQVISEPAEINQRLIESPTGGGIYVSNPWRKGEDIFYEGAKFYLQGIDFQRGICQTVESIGNQAMFLGCNYSFSVSIDDFPELKPDTVYFSDPMVYQRIHSSQFLWKYNVKDESVDPIAALSDYSYKAATQPTWVFAKYLCK >OMO55516 pep supercontig:CCACVL1_1.0:contig14673:9230:13012:1 gene:CCACVL1_27208 transcript:OMO55516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKSYWTGQFNWEPARSPVQIAYKYRIALEPCYVNIIITVIIVAAAVDVVVFTMIES >OMO55517 pep supercontig:CCACVL1_1.0:contig14673:17472:17582:1 gene:CCACVL1_27209 transcript:OMO55517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLRVRPTASQVIKQDEADGLPRKLRLRSKASKAI >OMO66546 pep supercontig:CCACVL1_1.0:contig12541:7728:23730:1 gene:CCACVL1_21095 transcript:OMO66546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANDSQPLKIRKLCEEGDASQSKDGISSLPDDILLDILSFLTTKEVIRTSILSKRWQNLWISISKFHFDFEEYDINSTLRASFITLVHKALLLRNDLCIQKFHLSTCMPISMFSIFVWITAALGHKVRKLDLSLQYEGGCLLPPDLFTSQSLSKFKLALCFGRLLLPSSIYFFSLKTLYLTNVKFPEDKSTEQLFCGCPVLEELVLEDCCWTNLNNVTLVLPSLRKFTICTDCSSNDDLLDCKIKICAVNLISFHWTSFMILELFLENLSSLVYAHVDAMMERSPLELRSERVVKLLSGIQNVKSLSLSNDTLEGIDLEGTLGLEILPHCIMSCLKTFSLSCFKWDAAEIRLLKYLFEKAAVLEQVTVYYLDDLFDEDVKKQAIGSDEDGLWMTKLKLTTFTIEQQDVSQSKDRISSLPDDMLLDIMSFFTTKEIHSVPTFHFDDKEIDISSTLKASLINMVRKALLLRDDITNATCFFSLKTLYLSRVQFPGDKSTEQLFLWLSTELVWTNLNNVTLVIPSLRKFTVWADHSSNADLLDCDFSRPCLSEPLMHFLQKSPNLESLDIHKGIDFEESWVLEIIPHCVKSSRLKTFSLSRFNWDAAEIHLLKYLFEKAAVLERVTVYCFEDSLDEDAKKQEIIHQLNILLNKTNKSCSEEMDSGHKVQKLDLSLPEKRDFLLPPDLFTSQSLSEFKLELSYGRLLVPSSIYLFSLKTLYLLGVKFPEDESTEQLFSGCPVLEELVLESCHWTNLNNVTLFIPSLRKFTICSNVVCSNDDLLDCKIKICAVNLVSFHWESYMIVELFLENLSSLVYAYVDAMKERRPYEPRSERVVKLLSGIRNVKSLSLSNDTVKFLLHTGTPILQVPLPTFDNLTHLTVECESSEPNICHSEPLMYFLQKSPNLESLDIDCGIKLKGDWVLEIVPHCVKSHLKTFSLSCFNWDASEIHVLKYLFEKVAVLERVTVYSLEDSLDEDVKKQDIIHQLNVLRSSSGLTERRIARELRKWTITCRILMTIHMCRSLSCGNGLAPSMEVVERQAIVPCSTIAPPAVREVSLEKKIGECEGKDAELANVVSDATEFAKELADEVRADLLKLIKDAYPELDLSAFEVVPEGDEKNTSSPVDVEEHEAVISDKGIEGEIAHTKEIGDKFFAGEIGDGTLPTAAIDQPVDSSQVQDEVPRLGFFIASCVLGVFFALGESSMLRIGKAFFVKRPSAFFTCARGAFSILMFDERPPLRVWRASSFSPSRAFFICSSGAFSIWCSTSVPRFTFGERHLFRLLGILLLLLRSILHLALNERPPIRVWRVSSFSPSWHSSLALEEHSPFGAFSICRSTRVPRFTFGERHLFRHLELCSFAIKEHSPFGARQASHALRLASVIFFAILAFFMCSQGAFSIWRSTSVLRFAFDEHHLFRHLGHSSSALKEHSPFGTRRGSHASRLASVTRLRSILHLALDERHTLCVWRASSFSPSWHSSCALKEHSPFGARRASSASRLASIISFAISGILHLLSRSILLLALDEGPTLHEGFGPLARSSSALPDHLPIGVEPFEDFVIILPMLGQELVVCMLASLPIPYEGFGPLGRSSSDLPDRSPVGVEPLEGIFISHTRSGMVHVDRHSVDSHTRLSSGLPDRSPVGVGPLEGIIISQTRSGMVLADHLPVGGHARSSTWENSGNNIPIVVRRLPPNPEGSEALFRLRSGLAFRRLTWLLALSSVPTDDANCCGRHFAGQVTVERSFQDDLKKLKEASAVTGLWSPVWTLMLKSEIKDLDLVSEI >OMP12136 pep supercontig:CCACVL1_1.0:contig00379:15:417:1 gene:CCACVL1_00107 transcript:OMP12136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MVTRSRDDACKDSKWISAMKEEYAALLQNGTWSLVPKAPNMNIVGCRWVYKIKERDDGTIERARLVAKGYTQQEGIDFDYTFSPVVKATTIRIVLSVAISRG >OMO69964 pep supercontig:CCACVL1_1.0:contig11916:2109:2258:1 gene:CCACVL1_19177 transcript:OMO69964 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative carbohydrate esterase MSGRGGVKHQHWDGVVPLECQPHPSILRLSANLDWEQANEPLHFDIDTSK >OMO70655 pep supercontig:CCACVL1_1.0:contig11800:1411:3419:-1 gene:CCACVL1_18731 transcript:OMO70655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKKYFSWKHMLPNCIKPQNSSPGPKVHAAKQSPSLRLSLSDISHPGSPLSLSDLSNSLMSLHIFTLKELELITNKFAKSNFLGEGGFGPVYKGFIDDNLRPGLKAQSVAVKVLDLDGTQGHREWLAEVIFLGQLKHPHLVNLIGYCYEDEHRLLVYEYMARGNLENQLFKRYGPPLPWLTRLKIAIGTAKGLAFLHEEQKPVIYRDFKTSNILLDLAYNAKVSDFGLATDGPEGEETHVTTCVMGTEGYAAPEYISTGHLTTMSDVFSFGVVLLELLTGRRSVDKTRPGREKNLVEWARPLLKDPYKLDGIMDPRLEGQYSTEGARKAAALAYQCLSNHPKSRPTMSSVVKTLKPLLELTDIPIGPFVYIVPTQENSEPIIQKIVPNDHQEKEFEVKIERNGGKKDEKKEKIARLPRRKGHRHRNRVQPARSRAIYSDTDLYRTLGTKLYSPKH >OMP06415 pep supercontig:CCACVL1_1.0:contig04942:1190:3480:1 gene:CCACVL1_01589 transcript:OMP06415 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase, ATP-dependent, RecQ type MEVGEIAEELQIVEAEIQDVEDQIRALLEKQERLYERQSELKTLLEACSEDVSVQATTATPVEDWSGPFEWDSRADDVKFNVFGISSYRPNQREIINAVMSGRDVLVIMAAGGGKSLCYQLPAILREGIALVVSPLLSLIQDQVMGLTASGIPAFMLTSTTSKEDEKFIYKALEKGEGDLRLLLNDRIYFIWVNLQEAHCCSQWGHDFRPDYKNLGILKIQFPNAPVVALTATATLKVQNDLMEMLHIPRCVKFVSSVKRPNLFYMVREKSSVGKVVIDEIAEYIKESCPNKESGIVYCFSRKECEQVSFLTHEWLRP >OMO49955 pep supercontig:CCACVL1_1.0:contig16349:5527:6135:1 gene:CCACVL1_30737 transcript:OMO49955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFGTAARSVLRSGSACNAAARLLRGEAIEDTERLSTIKELLFNVMKGNNDFKTPRTCTSSSGQMLFADRDFERPKSESLIFESTKQPHVTLLDCSDRDYTVVTISNNKFKFYREILNGFHVLNAARYRIGNDELPEWRPSWGLTITSGWKSGYWGTDWKFEFWNFVV >OMO49958 pep supercontig:CCACVL1_1.0:contig16349:25931:27597:-1 gene:CCACVL1_30740 transcript:OMO49958 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase/Amb allergen MKGVKSILLLGIAFVAINNPIAKAGIGEFDEVWQKRAEEAKKAAQRAYEPNPEKVTNSLNRETRKTMEGYNSTRRELHKYKGPCVATNPIDRCWRCDPHWADNRKKLASCVLGFGRRTTGGLNGRIYVVTDSSDNDMVNPKPGTLRHAVIQKEPLWIIFARHMVIRLNQELIMTSDKTIDGRGAEVNFINGAQITLQYIQNVIIHGIQIRGSVQASGGMIRDSVDHYGFRTKSDGDGISIYGSHNIWIDHVSMSRCYDGLIDVIQASTAVTISNCHFTHHNDVLLFGGSDSFQEDKIMQVTVAFNHFGQGLVQRMPRCRWGFVHVVNNDYTHWLMYAIGGSKNPTILSQGNRFIAPPNMACKEVHTYIHTYIHTYIHTLLNFLKKGHFDPKCKVTKREYSPESEWKSWNWRSEHDLLMNGAFFVESGSPIIRMNRYDVIKAKPGTFVRRLTRFSGALDCFPNQPC >OMO49954 pep supercontig:CCACVL1_1.0:contig16349:74:3355:1 gene:CCACVL1_30736 transcript:OMO49954 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative polygalacturonase MALLIGSSHLDVGEGQTTFNIVNFGAVGDGKTDDSGSGRGRRLIGRGPDNAAKAPPWAPSFSIFVLVLTLGIEPFTLENNANLIVGLCFIEAFFGGKEEFNPEYVIMMPEPRFGLHRK >OMO49957 pep supercontig:CCACVL1_1.0:contig16349:18566:25067:1 gene:CCACVL1_30739 transcript:OMO49957 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismate synthase MNGCGEAKLPIGTVETTTLAAVPSPALAMDTLNLAIAHLKTSPPPPFNSGIIRLQVPIQQKIEAIEWLHAQDPILPRCFFSGRRSRANANANGSNLLIDMTNGNGHNSFGHDLVSVAGVGSAVFFQQLHPFSYDDWRSIRRFLSSNCPLIRAYGAIRFDARANMSPEWKAFGSFYFTIPQVEFDELEGSSMLATTVAWDNALSWTWDEAIASLEATMHQVASIVVKLRKEASTEFILSNDHIPNKMHWDLAVERALQIINRSSLPLIKVVLARSSRVLTATSINPITWLACLQVEGENAYQFCLQPPDGPAFIGNTPEQLFHRKWLSISSEALAGTRARGGSRILDLQIEHELLSRVVVEPKKTVRKLRRIQHLYAHLSGNLKREDDEFEILSSLHPTPAVCGFPTETARLFISETEMFDRGMYAGPVGWFGGVESEFAVGIRSALVEKGLGALIYAGTGIVEGSNPSLEWDELELKTSQFTKLLQLEVPWRSKVENLETIN >OMO49956 pep supercontig:CCACVL1_1.0:contig16349:8349:16781:1 gene:CCACVL1_30738 transcript:OMO49956 gene_biotype:protein_coding transcript_biotype:protein_coding description:YLP motif-containing protein 1 MCQVCSIPHFPFCPPYPPYNYHNPNYPPHYDRPGFDPYQPPLPPIPPPPPPPMVPNDPPPYFDGFPDAGSWHRNPNYGYDYGAVGCGGEVDRSYKRPRVDEFGPRPGPGPVFLADERRLKLVRDHGGVSLGGFDQENKYFQNYVNNNINRANPMPPRSSEMYKIEDSLNNYNSQQQIQHKDSASTPVKNRQGYEQRQHCGNNMAQPPPPLPASPPPPLPVEPPMQHHPQVIHRISPKQLSSDKPKFVDASKLFKIPHRASRPDHIVIILRGLPGSGKSYLAKMLRDLEVEYGGDAPRIHSMDDYFMTEVEKDEETDSKSASSARSKKTMKKMVMEYCYEPEMEEAYRESMLKAFKRTLEDGSFSFVIVDDRNLRVADFAQFWAIGKRSGYEVYILEATYKDPAGCAARNVHGFTLDDVQQMAGQWEEAPALYLQLDIKSLFHGDDLKESGIQEVDMDMEDGDRDEGLSEQQEQKPEKMKLWAIGNHVSEDSSKDEKRSDAEGDHPPEVNGLSRSKWSNDLDEDETEGAEALKGNLSALSGLIQAYGKQSKSVHWSDQGGDTGFSIGAAKKAKLLSLVIGPGAGYNLKSNPLPKEESHASSSNSKQSSFQDRLRAERESFKAVFDRRKRIGGLDADDE >OMP10641 pep supercontig:CCACVL1_1.0:contig02083:260:576:1 gene:CCACVL1_00839 transcript:OMP10641 gene_biotype:protein_coding transcript_biotype:protein_coding description:epoxide hydrolase 2 MDMNWELLGAWQGAKITVPTKFIVGDKDTGFQSFGTKDYIKGEELRSLVTDLQVVVIDGHHYIQQEKAEQ >OMO52596 pep supercontig:CCACVL1_1.0:contig15502:14340:14999:-1 gene:CCACVL1_29174 transcript:OMO52596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELILDLSADPPLTEVNHRSMAIEKIIAEKTLNWGVVRAIIRNIWPEKTAPVIGEVVPNVYSLAFSSVMGFCFKFKVRPPTLSATTVDLSDVAYWVQIHNLPREMFSMANVRRIGPNIGEILEIEEPMGRSGCNRSFPMLLTILFRNLWPGAEIPIIGEIDQNLYSITHSAKLFYEAVIDNLWAVMRFCFNLNEVSLVVFIVLSEGSGDCSTTATPPP >OMO56507 pep supercontig:CCACVL1_1.0:contig14510:12240:13738:1 gene:CCACVL1_26494 transcript:OMO56507 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MASAQLRKNYYANICPNVENIVRGAVTQKFRQTFVTVPATIRLFFHDCFVQGCDASVIIASSGGNTAEKDHPDNLSLAGDGFDTVIKAKAAVDAVPGCRNKVSCADILAMATRDVIALAGGPSYAVELGRLDGLSSTAASVNGKLPQPTFNLNQLNALFAANGLSQNDMIALSAAHTVGFSHCSKFSSRIFPTVDPTLNQTYAKQLKQMCPKGVDPRIAINMDPNTPRQFDNVYYKNLQQGKGLFTSDQVLFTDARSKPIVNAWATNSAAFNQAFINAITKLGRVGVKTGKNGNIRRNCGAFN >OMO56509 pep supercontig:CCACVL1_1.0:contig14510:19338:20639:-1 gene:CCACVL1_26496 transcript:OMO56509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MNKNQIPRARPWPGFPTSKGLNSFGDANCMEQLLVHCANAIESNDATLAQQILWVLNNIAPPDGDSNQRLACAFLRGLIVRAAKVGTCKMLAAMANANCNLSINIHTFSVIELASFVDLTPWHRFGFTAANAAILEAVEGYSVIHIVDLSLTHCMQIPTLIDAIANRLEGPPLVKLTVASGATEDVPPLLDLSYEELGSKLVNFARSRNIVLEFRVVPSSYADGFSSLIEQLRMQHLVYAETGEALVINCHMMLHYIPEETFSPIPNTNSNNPYSFEPTSSLRTMFLKAVRGLDPTIVILVDEDADFTSNNLVCRLRAAFNYLWIPYDTVDTFLPQGSKQRQWYEADICWKIENVIAHEGLQRVERLEPKSRWVQRMRNANFRGVSFGEEAVSEVKTMLDEHAAGWGLKKEEDDLVLTWKGHNVVFATAWLPA >OMO56508 pep supercontig:CCACVL1_1.0:contig14510:16006:17079:-1 gene:CCACVL1_26495 transcript:OMO56508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional coactivator Hfi1/Transcriptional adapter 1 MVARSSETEMPGAKHFSRVDTLELKSQIERKIGRLKAEKYFNLLARFLSLKIGKPEFDRLCIGTIGRENIRLHNHLLRSIIRNATFSKTPPSRDNRLEGSLSVKVANGYQKGSLQSLCKDIPQSPRRGRTPNLRDRKFKDRPSPLGPHGKSHSTACEDAVAKVQEQQSATELFSLGSRPPGSVEDGEEVDQAAGSPSIHSRSPVRAPLGISLNAKGMRKVPWSESESASETCHCRGELPDSNSLRKRLEQKLAREGVTISVDCANLLNNSLDVFMKRLIKPCLELSSSRSGQNINKGHNWSMASLNGMRPMRYPQKQNGSVSASMLDFRVAMELNPLILGAEWPTQLEKICLLASEE >OMO62356 pep supercontig:CCACVL1_1.0:contig13279:20592:20663:-1 gene:CCACVL1_22873 transcript:OMO62356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNAINKRYFCNTGDGMAATEK >OMO62358 pep supercontig:CCACVL1_1.0:contig13279:31494:34467:1 gene:CCACVL1_22875 transcript:OMO62358 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing protein MALEITELLIAKLDSIHDKETRGTRFVETLERFKRRLKGAEDYEANSRFALEWKKLLPGIYSLEDYIEKFQFHTIRVNREALLNQTQSLEGQLNKFDDALDNSLGTKQIKEVNEKQATSSSCNEKRDETSNLDDNQSTYSVLDSYQQRLSVHQKWCLLYLGLFPENYEIYVRRLILLWIAEGLVTPFEEALRYIEWFHGFGMISLSISAFELSNVPKKCIVRNAVSNKTLLQTAQEVGFFHFHRSSDAGAGASQNQDLTIRRLAESDEMYIHSTEPRGDCLRHLRSYISFYQKKGKGDAPTSRVNHLLKKIIEMRGGTATMLVILDLGVVYKPVLDRETLRNLPYLKYLGLRRTFLDFTPDSVGDDLPHLQTFDIKHTCIINLPSSIWRAKKLQHLYMSEICVDSSHENASDVVLQKCMSMIKRPSATASESSHNLETLWGLMIKGNNYNIPKKKWFDRMVGLRRLKLTCREASIEVIARWIYKLKNLQSLKLRSTNNSNEPGRLRGLVMTGLINELQQLYLVEQLPKPLIKDGELPQNLEILTLSASRLKDDPMDILGKLPKLKVLRLYANSFTGQEMIFRSYGFPQLQELKSWTVEDNAMQVLKKIEIRCYKRLKEVRGLGNSSSWIDMTLTNMADEFAKDVKQKLLHHHVMIHEKSLEFDSHWVGNFKFESFQVWIWSDTDGLALLVKSDLGFSGSS >OMO62357 pep supercontig:CCACVL1_1.0:contig13279:26001:26156:1 gene:CCACVL1_22874 transcript:OMO62357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWMPIFNPTVDTKNQQFEADDFETDELRHIARNCARLLVVIATVARVNVN >OMO99721 pep supercontig:CCACVL1_1.0:contig06825:3:98:1 gene:CCACVL1_03662 transcript:OMO99721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPERPEIKTVLNELKTSQWTNLIKIIIVSP >OMO62888 pep supercontig:CCACVL1_1.0:contig13127:15350:23369:-1 gene:CCACVL1_22585 transcript:OMO62888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRDNNIMLWAYPGSFGEDFCSQTTNGTSILRLMNTYLQTGMT >OMO62887 pep supercontig:CCACVL1_1.0:contig13127:3917:11307:1 gene:CCACVL1_22584 transcript:OMO62887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEIIKPAPCHAYMCAIGGAASSLVQVPTEGSAKQYKGIFDCEFRGENENQIRKAQQNQKIKQRTWPNLRLGNHDYGGRNHRAFLAFLQTHLFKRRRDLHFFYLSPPSTVVGTAHGVPSGGDHG >OMO62889 pep supercontig:CCACVL1_1.0:contig13127:25934:32445:1 gene:CCACVL1_22586 transcript:OMO62889 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MNSNHLLLEEPIRMASILEPSKPSFFPAMTKIVGTLGPKSRTVEIISDCLKAGMSVARFDFSWGDKEFHQETLENLKIAVKSTKKLCAIMLDTGGPELQVVNRAEHPIFLEADTKVILTPDHNKQATANLLPINFQGLSKAVKKGDTIFIGQYLFTGNETTSVWLEVAELSGDDVVCLIKNSATLSGSLYTLHVSQIRIDMPTLTDKDKEVISTWGVRNKIDFLSLSYTRHAEDIRHARDFLSKLGDLNQTQIYAKIENIEGLTHFDEILQEADGVIFSRGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAIILGAETLRGLYPVETVSTVGKICAEAEKVFNQDLFFKKTVKFVGEPMTHLESIASSAVRAAIKVKASAIICFTSSGRAARLIAKYRPTMPVISVVIPRLKTNQLKWTFSGAFEARQSLIVRGLFPMLADPRHPAESKSSTNESVLKVALDHGKASGVIKPHDRVVVCQKLGDASVVKILELDD >OMO94888 pep supercontig:CCACVL1_1.0:contig07787:268:2033:-1 gene:CCACVL1_05717 transcript:OMO94888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MGSLGEFPAETNYSKPLGIRFLESIKKSKLSYKTHQAIVLIVTFFAYASYHATRKTTSIVKSALDPQSSEVGLKLFPWRITYVSQPSETKRSLSWILGDGWAPFNGSDGTALLGQLDVAFLAVYAFGMYFSGHLGDRMNLRIFLTVGMIGTGIFTALFGVGYWGGVHSYYYFLVVQMIAGLFQSTGWPSVVAVVGNWFGKKKRGLIMGIWNAHTSVGNITGSLIAAAMLSYGWGWSFVLPGLMIAILGLVVFLLLPVSPESVGADKEEEEVGSPRKIGEEVTEPLLSSDKELKDEAVGFIEAWKIPGVAPFAFCLFFAKLVAYTFLYWLPYYISHTAIEGKYLSSETAGNLSTFFDVGGVLGGILAGHISDRLDARAITAATFMYCSIPALYFYRSYGHISLVMNIFFMFICGMFVNGPYALITTAVSADLGTHSSLKGNSKALATVTAIIDGTGSVGAAVGPLLTGYISAKSWSAVFTMLMGAALVAGLLLTRLVVAEVAARIAESRSQRGQESSPQVSELDV >OMO94892 pep supercontig:CCACVL1_1.0:contig07787:26996:31951:1 gene:CCACVL1_05721 transcript:OMO94892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTVDKLVVFLAKRDGIDKLVKTFQYVSKLVHWQVETSNPEIANRFKQWEVSSGLSRKAFRTGRFLTGFNALRRNPGSTPTFKFLAVLANAGEMVYFFFDHFLWLSRIGTLDPKLAKKMSYISAFAKRSDPGKKYQLQMADQQSRYVKLTKDQAPVEDITPGELNQPIDVPQLHVRKCNECGQPLPENFEPPGVEPWTTGIFGCAEDTESCWTGLFCPCVLFGRNIENLRDDTPWTTPCICHAVCVEGGMALAAATAVFHGIDPRTSFLICESLLFAWWMCGIYTGLARQSLQKKYHLKNSPCDPCMVHCCLHWCALCQEHREMKGRLSDNFVMPMTVVNPPPVQQMNAASENQDSTPPSETRTNLEMQAL >OMO94891 pep supercontig:CCACVL1_1.0:contig07787:21968:25476:-1 gene:CCACVL1_05720 transcript:OMO94891 gene_biotype:protein_coding transcript_biotype:protein_coding description:LUC7-related protein MDAQRALLDELMGTARNLTEEERKGYRELRWDDKEVCGFYMVRFCPHDLFVNTRSDLGPCPKVHDQKLKESFENSPRHDAYVPKFEVELAQFCEKLVMDLDRRVRRGRERLAQEVEPAPPAPLSTEKSEQLSVLEEKIKNLLEQVETLGEAGKVDEAEALMRKVEALNAEKTALTQPQNDKVLMLAQEKKMALCEVCGSFLVANDAIERTQSHVTGKQHIGYGMVRDFITEHKEAKKKAREEERLAREKEAEERRKQREKEYESRRRRSDSGDGDKYRDREKDRDRYRERDSDRERSREWNGRGSREGERDSRYRNGRDGGRDRHQDRSRSRSPGRHSRRRSSRSPVRRY >OMO94890 pep supercontig:CCACVL1_1.0:contig07787:16119:20238:1 gene:CCACVL1_05719 transcript:OMO94890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligomeric Golgi complex component MMLDLGPYSDEKFDPKKWINSACKNRHPQDSLDKHMVDLEMKLQMISEEIAASLEEQSASALLRVPRATRDVLRLRDDAVSLRNSVAGILDKLKKAEGSSAESIAALAEVDTVKQRMEAAYETLQDAAGLTQLSATVEDVFASGDLPRAAETLADMRHCLSAVGEVAEFANIRKQLEVLEDRLDKMVQPRLTDALSNCKVDIAQDLRGILIRIGRFKSLELHYTKVHLKPIKQLWDDFESKQRASRLANDKSEVERISSGNEYQSSSMTVSFSSWLPSFYDELLLYLEQEWKWCMVAFPDDYKALVPKLLMETMAAIGSTFISRINLATGEVVPETKALAKGILDILSGDLQKGSKIQTRHLEALIELHNMTGIFARNIQHLFSESDLRVLMDTLKAVYFPYESFKQRYGQMERAILSSEITGVDLRGAVTRGVGAQGIELSETVRRMEESIPQVIVLLEAAVERCISFTGGSEADELILALDDIMLQYISTLQETLKSLRAVCGVDHKEMGLDKKEGAQNSRKVDLVSNEEEWSIVQGALQILTVADCLTSRSSVFEASLRATLARLSTIDDDNFTVVQSKDPRFHALPLASQRVAAFAETVNELVYDVLISKVRQRLSDVSRLPIWSALEEQSAHPLPTFSAYPQQYVLSVGEYLLTLPQQLEPLAEGIPSSDANNDEAQFFATEWMFKVAEGATALYMEQLRSIQYITDRGAQQLSVDIEYLSNVLSALSMPTPPVLATFQTCLGTPRDQLKDLLKSDSGNQLDLPTANLVCKMRRVNLDQ >OMO94889 pep supercontig:CCACVL1_1.0:contig07787:14824:15555:-1 gene:CCACVL1_05718 transcript:OMO94889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLALKRLLSSNILPSSMRVIRPMAVAPSTSRLFNTNAMREFDDGDERDLDDHRGRNSLSRRGGDDFFAGVFDPFSPTRRLSQVLNMMDQFMENPLLSASRGMSGGLRRSWDAKETEEALNLRIDMPGLGKEDVKVAVEQDTLIIKGEAPKENEDDQESGGRYTSRITLPEKIFKTDQIKAEMKNGVLKVTVPKIKEEEKKDVIQVQVN >OMO94894 pep supercontig:CCACVL1_1.0:contig07787:35962:36321:1 gene:CCACVL1_05723 transcript:OMO94894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGIPSNEGDGSSCDGGGGGGSGRHQSTTTLSFPSSSTSSYLHVDSFHFSSLDRGSIGDVFFGSDDISDTKDLDYKEDVLESYRKETAMKSLRRRAKKGSFGCLELLKFLCSCCRCIF >OMO94893 pep supercontig:CCACVL1_1.0:contig07787:33038:33361:1 gene:CCACVL1_05722 transcript:OMO94893 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative axonemal dynein light chain MERQRSYNGRRTNAAKDFSQCRLQRASSLPPVGRGAPPPPPPNELKLAAIAVDLNVRLRSADMPLSMQERAIRRARALVDANSADKNKRLSPTQLAMCLKKVGYPQN >OMO63164 pep supercontig:CCACVL1_1.0:contig13017:10037:12723:-1 gene:CCACVL1_22438 transcript:OMO63164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRYPDRFDAFLWDNSDLFKKPKTVYIGGYADEISAAKSYDMAALKLWGIYALLNFPRSYYKKEMDEMIFYTKDDYLHNLRRRSTKFSKGLSVYRGVSRNSDFKTWQAKISRGTTHKGLYLGTFDTEEEAARAYDIAAIRLRGEKYAVTNFDINEYDVATILQNPLMVPIRRKGAASKKVVLQSDGFPKKKKSSITAEIPRLNVEGDEKLGSIYRQFEKLPPSSKQNLSQSNEDYPILNSSSIPPVAFQNPTVFQGFNGIGSFKINGNPDGQSTISETDQNFAALDNQQFTNQNPVEQEIQSELNLMGEEEDYASIFLNLSLNDDEYGVSLEQLFDDQNPINFQTNPTSDRNPVVNGSYKNKSQASAGDGIFDNLPSLIEINDDDVSIGAAMVKNPVTTPNIGENFFEDIDQLLSSCFNN >OMP01233 pep supercontig:CCACVL1_1.0:contig06496:11408:13515:-1 gene:CCACVL1_03135 transcript:OMP01233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLRLLRIDSVRLSGSFENISKKLRWLHWRRCPLKVLPPDLQLHNLVALDMKFSSLKRVWKDTKLLDKLEILDLSNSIYLAETPNFSSCGTLKRLQFGGCTSLTKVHQSIGNLERLVFLNFAGCSNLKELPDNMCNLTSLGVLNLGGCTKLLESPNFSRCKSLTRLQLEGCTSLTKVHQSIGNVERLELLNLAECRNLRELPDSICNLTSLATLNLSGCSKLSSLPEHLGKLKALRDLLAAGSAITELPTSVGLLKNLKCLSLASLEEPLPSRSWLSFFSSLFSPIKSAASSSLLLPSTFSHLTSLRQLNLRGRNLCDSEIAIDFRSFQFLRGLNLGGNNFCNLPVGISDHPTLTDLKLSDCKSLQSIEELPQNLETLEAEQCTSIERYPNLAAMPHRLSKIYITSCRRTIDIQGWDFSPISRTRGPAGYWAISGYRSDDPPKELLFYSKWKYFEGCFPARKVPDWLDYNQVGSAVLFCMPSTPTGQFRAMIVCVIMHPVNEEHCEDQERTFVSLILSIKNKTKGTETFDRSYQYWPFFEGKICHDHAWVTYLTPDIFITDMNADEGDEIEVSIKPGGGILVKECGIHLPIHEYWH >OMP08106 pep supercontig:CCACVL1_1.0:contig04010:941:1027:1 gene:CCACVL1_01159 transcript:OMP08106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPSISATSRDDQFVMEFKAKSSDLHKSC >OMP07175 pep supercontig:CCACVL1_1.0:contig04615:26:1301:1 gene:CCACVL1_01359 transcript:OMP07175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIEAGWRVPVSTPYLGSRPETADRAPPPATIKLRHRLSRSGDQHQSWPCIACRAATISLPSRPQSLILIAATVSSRSPLNKKRSGRPFPLRKSCDHILSEQLSAGLCRDAFRQIRWRARTARHSEAFANVRHLAVGSLLARLRDLETDDGTFARFEAPVGDKEGIAANQLDAIFVERSIARHYSVCDQRGIKLLGKHERCLALLHAQPLNDIAAPGNNFLILLERDFDVADRALVTQPVPKHREALDRIGAAVPGDECVGVQE >OMP01205 pep supercontig:CCACVL1_1.0:contig06507:14985:15053:1 gene:CCACVL1_03146 transcript:OMP01205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCILPCLFLMNHGLILAWTLC >OMP01071 pep supercontig:CCACVL1_1.0:contig06540:15494:19644:1 gene:CCACVL1_03173 transcript:OMP01071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGWMSSIWSISSQIYFILIILQVPLFSKPSEREPMIVNSLTKVSNILNVNAQQRKSVRLRICPQVTQHRIWTGALEEILNELKSELDLLNFQSPSKGTRMGLQIVSSCLKFLAESAVTHDPDSASWMRLSPAKVVDSPSRKWEDVYEMFSDLINCLKSEKDWLYHLTKIEVMKEGLSQINEVLIDNSVGYKDAKHQESLVQKKLSRTLGHSSQCLFTLLLYYLYGQVRDIEVDLCGALYENKSENRFTLCLGRIVTSNEEKMVLNGVKQLDRALRIPCRAGMCSTPIHVTSSQLIASDIFPVPVVKALLFPGAIVNGLVRDMTVPTWDNLLNIYNLTDVKESTPVTDLQRLEVLAGSYFCVAGALVGLLKPGRMSMFGTLLVIWGLVKEGILGKPANTDPTKAVYVYPTMLIALICSLSSIKYDVKKVMRTPPARPVAKPLKSSSKSKLK >OMP01070 pep supercontig:CCACVL1_1.0:contig06540:13230:15129:-1 gene:CCACVL1_03172 transcript:OMP01070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFSVNSSMALRLLPSSSCSDRFCITQPRPFNFLLLPKQSARPSTLTFARRRNNSGGTTSSMKKKKEKKRLEDEEDFDEDPFEALFSQLEEDLKNDNSDMEDDDDEINEEDIDRLANELAEALGDFDLEEFASTQDDALKVGRRKTSIKTLAAELCLDRAVLLELLREPPPELLMMSATLPDEPVKVEPVLETKPIEIAALETVDSAKNEPKETKVKELVHVMQHRWSAQKRLKKVQVETLEKVYRRSKRPTNAMISSIVQVTNLPRKRVLKWFEDKRNEDGIPEQQHQPYR >OMP01069 pep supercontig:CCACVL1_1.0:contig06540:11416:11721:-1 gene:CCACVL1_03171 transcript:OMP01069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKRSAVSFRRQGSSGSIWDDVYVLGEDGTVHYKDQLRPCQSTRESSSSHNPMPNSCPRSRSMNPSSLIKLFGKPSLEDINPLPKVPKFLKSMSKKYKG >OMO53116 pep supercontig:CCACVL1_1.0:contig15323:2388:2462:1 gene:CCACVL1_28871 transcript:OMO53116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCQGIGEGWRWGKTSFQPTATL >OMO61448 pep supercontig:CCACVL1_1.0:contig13473:2201:2317:1 gene:CCACVL1_23512 transcript:OMO61448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPFSQSPNLPESISKAIYGESKFEFEVFCSGADRNKRD >OMO53077 pep supercontig:CCACVL1_1.0:contig15341:12867:12947:1 gene:CCACVL1_28895 transcript:OMO53077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYAAIKPDSLATDISYTLTSWGDN >OMP03362 pep supercontig:CCACVL1_1.0:contig06090:21086:23365:-1 gene:CCACVL1_02459 transcript:OMP03362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGYQMERSGMENDYEDGQRIIGDKKRKEKRTQTKDDVLYGAFAAYSDSEDDDYSSSRKRRKEFGKKADLTKPVNFVSTGTVMPNQEIDKNSKEDNDDNNNNAFDDNENYCRPELGSGVGLGFGDEDGDDSFLPSAFRRIIKEGAQNREKERERLRMEKKSSGGNGDVGVFEKHTKGIGLKLLEKMGYKGGGLGKNEQGIVAPIEAKMRPKGMGMGFNDFKEAKQPGLQQLEEKKCVSQQPIGKPKERLWSKNAKGRKKETYVTVQELLAKKEEEGVEVVQKVIDMRGPQVRVLTNLENLDAEEKARENDVPMPELQHNLKLIVDLAELDIQKIDRDLRNEKESALSLQKEKEKLEIEATRQKQQLDNMEHIVIVLDLIEEENSSGKLTLESLAKNFRDMQRNYADEYKLCNLSCIACSFALPLFIRISGINTWEPRNPEPMLGFLDSWEKLLPSSIVHTILDTVVMPKLSRAVDSWNPCKETVPIHVWVHPWVPRLGQKLESLYQTIRMKLSNVLDAWHPSDQSAYAILSPWRTVFDAVSWEQLMRQYIVPKLQSALQEFQINPADQKLDQFHWVMAWSSAIPIHLMVNLMEKIFFVKWLQVLYSWLCSKPDFDQIKDWYMGWKGLLPQELLANESIRNQLNLGLEMMVQAADNVPLVPPGLREKVTVTEQRQFEAPHQEPPLRFKEAVEEHAQEHELVFKPKPGRMHNGQQIYGFGNTSVILDSLNEKLYSQREDGWSPVALDDLLNMHYNSLARRR >OMP03363 pep supercontig:CCACVL1_1.0:contig06090:43933:46328:-1 gene:CCACVL1_02460 transcript:OMP03363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRLKLFVVKGFERRGWPETANTWEPLENLQSCSDVIDAFEESLRSGKHSRKRKRKYGGPHSQSKKKQPRSSSSTYNEVNVADKSLALVPLDNSRIVDLTASSPVTVSVHEGEIIPNSNNVRITNRVKENGSANGSKKNETNDENDYDTKLSELKGAISSNGVNADKLALHFQEGKASEGDGLTNGQPKVDHGESVQGDRRTGAKRRKSGSVKRFKQDLSSSGPDLSQNSTSNIHVGYGITDGHLGIENLGLRVDGLSNRLQIDNSVNVPVITKILKPVSFSASVSDNIQDVSVTFLAIRSDGKEVVVDNGYLKANNPLLLINFYEQHLKYNPTS >OMP03364 pep supercontig:CCACVL1_1.0:contig06090:48027:49660:-1 gene:CCACVL1_02461 transcript:OMP03364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNPQLFPNGMPVPFTNEMFVLVRDGVEFEIDKIPGSQGGHVKARGIIYLSNIRMVFVASKPVGNFFAFDMPLLYVHGEKFNQPIFHCNNISGHVEPVVPETEHRALYSTHSFKILFKEGGCGTFVPLFLNLISSVRQYNQHVNAAPQPRMDPLQAAQTPVDEMMRHAYVDPNDPTRIFLQQPTTESQLRRRTYHSQPAEGSM >OMP03360 pep supercontig:CCACVL1_1.0:contig06090:2376:7747:1 gene:CCACVL1_02457 transcript:OMP03360 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transglutaminase-like protein MVLGIQLYEDPVRQEAARKTVPIKELEEKALVSLNKDGNHKPSKIEKDHAFLLQLLFWFFKSFSWVDEESPCCDTCGKETTNQGTGTALPSEIQYGATIVEIYRCNHCSRVTRFPRYNDPVKLVEMRKGRCGEWVSCFTLYCRAFGYESRVVLDFTGHNWTECYSEVLGRWMHLDPCEAEYDKQLLYEGWDKKLNYVIAISKDGVYDVTKHYTSNWDEVLSRRTLATEDEVASVLESMTKECQRNYTSQVLSEFAEHENVEIEVSERDLHSTDDASISLPGFGTDSLSLSSCPVRLCTDDHVTRIYNAFFPILNKFVENSVPASNGVEILKIFRATVVDLERLPYKERRACLKSNSIVEESLLHQLLSSFTELLNALSLKSEVDTNGIVSVCLAGDPLEAALALPFCLHALDELISDLSSCDNFSQDSLSFPLLRLNRICCGEVLASGEELPSGIATAAFDGTHMSKWEEPNGAKGCWIIYKLSTNMQELVAYELMSANDAPERDPMDWVVEGSNDGGLSWHVLDEQTSQLFDQRFQRKTYKIKSTGFLSNNFRFRFLAVRDANSTSRFQVCSIDLYARQN >OMP03361 pep supercontig:CCACVL1_1.0:contig06090:10362:16095:1 gene:CCACVL1_02458 transcript:OMP03361 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MADPVSIIVEPIVSNIIETVASLIKEELVDQGAKEEVKKLSSNLTTIQAVLEDAEQRQLDAADNRSLRDWLAKLRDAAYDAQDILESFTTETFLWKRKQQVRKTPTPFSLISKISYKSSFAYKIKEISVKFDEISKEKNNFHLNASNQGGRPHQNFSHISSIVDTADVFGREPDRDRLIDLMISNEFDTEADISIIPIIGMAGLGKTTLAQLIYNDERVKNHFESRMWVCVTKEFNLRRILKEMIEYAYHTGLTSDLPINTLISVFLDIMAGKNFLLVLDDVWIENYQEWEQLQRLLKQVGKGSRVLVTTRSTTVCDIVGTKPPYNLEYLPEDECWSLFKKIVCKDGNSLDGTSPKELEEIGWKIVEKCQGLPLAVKAIGGLLRGKVDVNKWNQILGDSILELDKEGKSNRPEILPALRLSYYHLPSCLKQCYAFCSVFPKAFDFDRKELVKLWMAEGFIQPRGKDGAEETGIEYFNELLMRSFFQILNVDNKVRYRMHDLIHDLAVSVSSPLSCQVKDNESFIFSGNARHISILGQDVETPTLQIIEKSNKLRTFLLLGESSRSLGHMLDKMFHSLNYIRVLDLSSSLLSELPSSIEKLKLLRYLDLSRTEIKVLPNSICNLCNLQILKLLGCLWLFKLPKRLGNMVNLRYLELDEMFWFKCRMLPPRMGNLTSLQNLHAFPVSGTSGHGIEELKDMANLTGTLHISKLENAVNVADAKLKEKETLQKLVLEWSDKDFKQQDEDRATRNLEDLQPHSNLQELALHHFKGSYFPLWMTNGVLQNLVTLSLIHCTKCTTICVGQLACLRKLCIKGMLELEEWPEDECLPLGRLQISNCPKLRRVPNWMPNLRVLKIKKCDSLKALPTAPSLMFLTLVDNLVLENWQEGMCIIAQDHHGNQVGQPKSTLIDLLELKIENCPNIEALPQICAPQKLEITRCGRITALPAPEFSRRLQHLALDKCYDGTLVRAIPNTNSLYSLVISNISNLNSFPKLPHLQGLKSLYISDCKDLTSLSEEEGSLKSLSSLQLLSVRGCSMLESFPDEGLPAGLECLIIGSCPILKSLGSKDTLNSLLSLKDLYLEDCPLIQSFPEGGLPSSLIHLEIHGCPQLIEECQKDLRVTEWPKIMHVTDRVIDSIKLPSAPELPKKKRLTPLIGCNKEKGGLEYYALDRHIKGKTPLESMHKFPKLDSTEEDSIEDDGEIIPSESEALLDILDEKQVNQHGETSCNNSSWFPCIFRTPFPGLKSQEPLLVSIGPYYRGKNLPLDKYKYSSLEKFLSRTRNQDKDLYFFVRMMVKLEQHARRCYSENMSIASPGFVEMMLVDACFIMEVLRHFGRNEESEDGFLLFSALKPWQIPILVQDMLMLENQIPFFILQNLFDLTEGDEAVAKVSVPLTTMALEFFNLAFPRSVDFTSKFNHLEAPRHLLDLFLKTICPSKASTTSLSNRFLKTFHLKAGDLFNSVAYLFLNALKLGNSGIEFRSRRANGFTDMHFNLKEGVLEIPPVTINDLFIAILVNSMAFEHCSNGSGCSKDVTAYVCFMSGLIRYSADVECLSSKGIISPFSYQNEEVAQFFATSENSFVLYHHPLLCLQPDDQFGKTTWCIYAAMLSRQSSRLHCLPDEA >OMP03365 pep supercontig:CCACVL1_1.0:contig06090:52375:56395:-1 gene:CCACVL1_02462 transcript:OMP03365 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma-70 MSCLLPQFKCQPDTFSIQFRTHHSHHSKHKESICFRTQCVLSTSSPSTSTATTAVLDLEKLRLPSFEAQPNSVVADRPWYRGATGPPSEASFGASLTTENLLKSDDAVIASAAAEAVALARAAVKVAKDAALMAKSFNSSKIEMKSTVSSATDTLTSKWALFTEAERAGIVGDSPTNESDEDDSEEKSTTESDKLEPTNEELEYLEEQLSRSIAVRSRRQTERKAKRTRAAEKASSNAVSLRSGSNSRKKRGALQEVDYSDPLRYLRGTTSTSRLLTANEELELSEGIQDLLKLERLEEELRERCGGQPTFSQWAAAAGVDQKTLRRRLNYGILCKDKMIKSNIRLVISIAKNYQGAGMNLQDLVQEGCRGLVRGAEKFDASKGFKFSTYAHWWIKQAVRKSLSDQSRTIRLPFHMVEATYRVKEAKKQLYSENGRHPDNEEVAEATGLSMKRLHAVLLTPKAPRSLDQKIGINQNLKPSEVIADPEADTAEDILLKQFMKKDLEKVLDSLGQRERQVIRWRFGMEDGRMKTLQEIGELMGVSRERIRQIESCAFRKMKNKKRTKNLQQYLVSYAS >OMP11798 pep supercontig:CCACVL1_1.0:contig00853:6051:6824:1 gene:CCACVL1_00256 transcript:OMP11798 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid binding protein MEKNERETHDFMNVESFSQLPFIRPAPIKEKGIRLFGKEFGGGDSSAATPSNNDSADSAENNEDTSTKENDNNGTDNSRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSAMVHNSLSDAHIYGLVNYRLGTAAPSSVPAAMTNYPSWNNNNISSTRFYGNHHHGSFSPHQPTINGSPLGLWRIPTVQSNSSFNRDRSSSSSASHHHQLPLFAGDEFKPSSVAGGSSSQSRYVYESKPSVQDHVSLDLHL >OMP11799 pep supercontig:CCACVL1_1.0:contig00853:18033:19295:-1 gene:CCACVL1_00257 transcript:OMP11799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKAEWTITPVLHDATAVAGTGIGAMLSAVHGFNTGIPFLQNHIKGPKWLPFVTGIPMLLMFSGASAAFG >OMO96206 pep supercontig:CCACVL1_1.0:contig07507:34112:34705:-1 gene:CCACVL1_05036 transcript:OMO96206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNREKKSVSSNPKPHQSQSNGTKPRLSMEGLQRTISDISFELSKEKETNNIIDHVISTTLPPISEVEDAKCECCGMSEECTPDYISQVREKFGGKLVCGLCAEAINEETEKNGGKREEAMDVHMNACVRFNRLGRTHPVLYQAEAMREILKKSSSLRGKSVSPRDKNGPKKGGIARSSSCMPAIAKEIGDRTIVN >OMO96211 pep supercontig:CCACVL1_1.0:contig07507:48103:48624:-1 gene:CCACVL1_05041 transcript:OMO96211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MAEGTEAKWQGKATAELKRSTGEQIWPLLEEFCNLDKFFPSVDTCYRVEGNPGQPGLVRHCKGPGGWCNEKLLTIDSTNFCLSYEALENNVGLKNYVATLKVLPVLEGHEDGKPGAAAGCKIELSFVSDPVQLQGMKLEGFVSYIDDCVQFMAKKLEDAAAVKLKDSTVDGQI >OMO96202 pep supercontig:CCACVL1_1.0:contig07507:334:2289:1 gene:CCACVL1_05032 transcript:OMO96202 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MEKKQSNGMEWLNQLVGEPYYLFHFLTFFSYFIVRSSASAVLSPDITQNLFYREIQAILAFSMIITYKMLAEETWEAFIADTLLFGKIFLIVLTLFLDFHLTLWYMVVFSVIYVLTQLPPYEGLGSSNKFTPLQLETTLTEGNTSRFWLVEFRASFSPGCRRASRCFAELSITYSTKNLSFGIVDLGLFPNAAERFGIPPGGNMGQLPTYILLENATEVTRFPEFDFETKPTPPITKKLLCRHFELDRHLVQYVNGK >OMO96207 pep supercontig:CCACVL1_1.0:contig07507:40731:43022:1 gene:CCACVL1_05037 transcript:OMO96207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGEMEPLTSGASNRIIPILKTLRIPLVFIQSMILYLLLLLFPRRRHSQAAVAAGDAAAAAVQQSLPKTAAKRRSVWRREEEDTLRRRALAEGLDMGFETGDGENRCRWSSSLFFGVRRNALFCRSWFPATGELKGILIIIHGLNEHSGRYTQFAKQLTSCDFGVYAMDWIGHGGSDGLHGYVPSLDHVVADTGAFLEKIKSEHPGVPCFLFGHSTGGAVVLKAASYPHIEQMLEGIVLTSPALRVKPAHPIVGFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLMQNFKSVTVPFFVLHGTADKVTDPLASQDLYNEAASKFKDIKLYEGFLHDLLFEPEREDVGQDIIDWMERRLGTRAEKIDCFW >OMO96210 pep supercontig:CCACVL1_1.0:contig07507:46451:46531:-1 gene:CCACVL1_05040 transcript:OMO96210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQESKEQNGKETLRTAELSRLKSRR >OMO96209 pep supercontig:CCACVL1_1.0:contig07507:45483:45977:-1 gene:CCACVL1_05039 transcript:OMO96209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MAQGTEPKWQGKATAELKGTTGEQIWPFLEEFCNLDKFFPGVDKCYRIEGSPGQPGLVRHCEDGAGGWCNEKLLAIDPTNLCLSYQVVENTVGLKNYVATLKVLPVFEDGKPAAAAGCKIELSFVSAPVQGFKLEGFVSYVDGCVQFMAKKLEDAAAIKLKAQL >OMO96212 pep supercontig:CCACVL1_1.0:contig07507:50450:51001:-1 gene:CCACVL1_05042 transcript:OMO96212 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MEQNENSQPSPKWEAKVSARLKKSSADQVWPVYTDFFNFDKWFPGLATCYGDHGTNGEPGCIRYVSGFSISSQKGSGGADKGSLRWAKERLIALDHGERRLSYEIVDGNIGFNSYVATVKIVPAGAYHHDDHDDGQGQDGCVIEWSFTVDPVEGLKLDDMKNKFEVGLQGVVKRIEDANLSSN >OMO96213 pep supercontig:CCACVL1_1.0:contig07507:53005:53610:1 gene:CCACVL1_05043 transcript:OMO96213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNPPFFAKKLCNLARLGFFTIRKGFSKSKLVLDDIHFLMKRGKIMGKALKDEIMVNDHIASLTCRPRDVHLSFVSPLEYEFSCRTSPSYQPYVPKRKYRSPYTRARAQDGVVLGAMRCRDSGDFHSPLIIPRDRRRRMQKLRDNSSRQYNSPPPFVLKDEYEDPDGVDDAAEEFIQSFYKQLRLQKWLAVLESADDYHV >OMO96204 pep supercontig:CCACVL1_1.0:contig07507:20056:23392:-1 gene:CCACVL1_05034 transcript:OMO96204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKVLMFMFMFLFFNFGVSQDYHKEFELLLSFKSSIHDPSGFLSNWEYSSANFCQWHGISCNNLSHVETLDLSAKNLSGKLNSSSIFHLPYIQTLNLSSNQFYGEIPQDLFSSSSSSLVFLNLSNNNFTGQIPSGSISRLETLDLSNNVLSGKIPQEIGSFYSLKFLDLGGNVLSGKIPISISNITTLQFLTLASNQLVGPIPLEIGQMKNLKWIYFGYNNLSGEIPKEIGMLNSLNHLDLVYNNLTGQIPSSIGNLTNLQYLFLYQNKLTGSIPDSIFGLKKLVSLDLSDNSLSGEIPELIIQLQNLEILHLFSNSFSGKIPNALTSLPRLQVLQLWSNRFSGEIPKSLGRHNNLTILDLSTNNLTGRIPDGICSSGLLFKLILFSNSLQGVIPRNLSSCKSLQRVRLQNNHLSGQLPSEFTKLPLVYYLDVSNNNLSGKIGEQKWEMPSLEMLNLAGNRFSGKLPGSFGSDKIEDLDLSGNGFSGSIPPSFGSLTELMQLTLCGNKLNGEIPGELSSCKKLVSLDLSHNQLSGQIPSGFSEMPVLGQLDLSVNQLSGQIPPELGKLESLVQVNISHNHLHGTLPSTGAFLAINATAVAGNDLCGGDETSGLPPCKKVIKKNPANWWFFIACSLAALVLLSIAAFGLVFIRGRNYNLELKRVENEDGMWELQFFDSKVSKSVSISDIILSTTNEANVISRGKQGMSFKGKSSVNDLEFVVKEMKDVRSIPSSFWSEITQFGKLRHPNIVKLIGICRSDKGAYLVYEFIQGKILSDEVLHNLSWERRRKIAVGIAKALQFLHSRCLLIGDMSPKRIIIDGKDKPRLRLSLPGLVCIDNKRFISSAAYVAPEGRESKEITEKSDIYGFGLILIELLTGKSPADAEFGVHQSMVEWARYCYSDCHLDMWVDPMIKPHIASNNYQNQIVETMNLALHCTAGDPTARPCAGHVSKTLESAFRITSCVPAAALKFSSSSPV >OMO96205 pep supercontig:CCACVL1_1.0:contig07507:30813:31368:-1 gene:CCACVL1_05035 transcript:OMO96205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQRVLRKVVSDVSSEIGKYIALESSTLIIDEQLAVKQAECECCGLKEDCTTDYIKRVEGCHCGKWVCGLCSEAVKERLLRAPNIALRDAVGFHREFCQRFNSTTRLNPKLSLTFAMRDIAQKSNQNRSSKNLSASKIARSTSCVPKIELCNTN >OMO96203 pep supercontig:CCACVL1_1.0:contig07507:8939:14119:1 gene:CCACVL1_05033 transcript:OMO96203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVTSSCKDGNKIAMDNGKYVRYTPEQVEALERLYHECPKPSSMRRQQLIRECPILANIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSFFRQQTQNTTLATTDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLAEFLSKATGTAVEWVQLPGMKPGPDSIGIVAISHGCTGVAARACGLVGLDPTRVAEILKDRPSWFRDCRAVDVINVLSTGSGGTIELLYMQLYAPTTLAPARDFWLMRYTSVLEDGSLVVCERSLNNTQNGPSMPPAANFVRAEILPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRHLRQISQEISQPSVTGWGRRPAALRALSQKLSKGFNEAVNGFTDEGWSMLESDGVDDVTLLVNSSPGKMMGINLSYSNGFPSMGTAVLCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYSAAAVKAGPCSLPVVRGGSFGGQVILPLAHTIEHEEFMEVIKLENMSHYRDDMIMPGDIFLLQLCSGVDENAVGTCAELIFAPIDASFSDDAPIIPSGFRIIPLDSGMDATSPNRTLDLASTLEVGAAGNRASGDHSGRSGSTKSVMTIAFQFVYEIHLQENVATMARQYVRSIIASVQRVALALSPSRFGSNTGFQTPPGTPEAQTLARWICQSYRCYLGAELLKNEGSENILKMLWHHTDAVLCCSLKALPVFTFANQAGLDMLETTLVALQDISLEKIFDENGRKALFAEFPQVMQQGFMCLQGGICLSSMGRPVSYERAVAWKVVNDEENAHCICFMFINWSFV >OMO96214 pep supercontig:CCACVL1_1.0:contig07507:54737:54850:-1 gene:CCACVL1_05044 transcript:OMO96214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLPSIISHCLASFFCTFKASVSPLSLCTEGSADF >OMO96208 pep supercontig:CCACVL1_1.0:contig07507:43787:44320:-1 gene:CCACVL1_05038 transcript:OMO96208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase MAQESSKAKWEGKATEELQGSKAEQIWPLVADFCNIDKFFPNMDICDRVEGSPGQPGLVRYCVSQDKWANEKLLTIDPTNLCMSYAVLENNLGFNNYVATLKVLPLPTLQGDEKNEYDGRPCADAAAGCKIEWSFVVDPFDDGWKFEDLLSFIDYCLQFMAKKMEDAIKTQNLQGEI >OMP09300 pep supercontig:CCACVL1_1.0:contig03257:71:130:1 gene:CCACVL1_01066 transcript:OMP09300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKASDLRDHGKLQHGVSCFR >OMO99523 pep supercontig:CCACVL1_1.0:contig06873:4954:5506:-1 gene:CCACVL1_03754 transcript:OMO99523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGAAARSVLRSGSARNAAARLAPQAKAAPAPFRVSSRTPLSNRIFRCPVEASFCVESMMPYHTATASALMTSMLSISRQSCGWFPEVYSLRSHIVQFGCI >OMO99522 pep supercontig:CCACVL1_1.0:contig06873:522:1306:1 gene:CCACVL1_03753 transcript:OMO99522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MATATAAATGQVTAKRKPVFVKVEDLKPGTGGHTLIVKVVEATPVKTAQRPNRARSLMSRPAPPARIAECLVGDETGTIIFTARNEQVELMKQGATVILRNAKIVMFKRTTMRLAVDKWGRIEVTEPAKFAVKEDNNLSLVEYELVTVSDQNMN >OMO99527 pep supercontig:CCACVL1_1.0:contig06873:30128:36647:-1 gene:CCACVL1_03758 transcript:OMO99527 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome/cyclosome, regulatory subunit MADPKSGAGTSQTKPPPPKESKKKDEKKDEDLSEEDLALKQQLELYVERVQDPDPGLQKVALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKAFYETMPESDLKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEIGQEYAKRQTVDAPIEDLMELVQQIVAFHMKHNAEPEAVDLLMEVEDLDLLVEHVDSTNFKRTCLYQTNAARYLPSPDDMLVLDIAYAIYLKFEEYANAMQIALLLDNMQHVKQVFTSCEYVLKKKQLCYILARHGITFDLDDDMGGDDEDKEALQEIINNSKLSESYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFVNAGFGQDKLMTAPADSSSGNTSGNWLFKNKEHGKTSAAASLGMILLWDVDSGLAQIDKYFHSNDNHVIAGALLGVGIVNCGVKNDCDPALALLSEYIGKEDSSIRIGAIMGLGIAYAGAQNEQIRSSLTPILNDAKAPLDVIAFTAISLGLIYVGSCNEEVAQAIIFALMDRSESELGEPLTRLLPLALGLLYLGKQESVEATAEVSKTFNEKIRKYCDITLLSCAYAGTGNVLKVQNLLGHCEAHLEKGETHQGPAVLGIAMVAMAEELGLEMSIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVISLGLIGAGTNNARIAGMLRNLSSYYYKDASLLFCVRIAQGLVHLGKGLLTLNPYHSDRCLLSPTALAGLVTMLFACLDMKAIILGKYHYVLYFLVLAMQPRMLMTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPLSPILEGHVILKENPEYREES >OMO99526 pep supercontig:CCACVL1_1.0:contig06873:25208:27526:1 gene:CCACVL1_03757 transcript:OMO99526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MTIASWGLKLLYVIWWRPKTIEKKLWKQGIQGYPYKLIHGNIKELIKFAEETESKPMEEQPHDILPRLNPLLHHLVSTYKKSFVIWYGTNPRVVIMEPNLIKEILENKSGDFPKPEINSFTQLFVTGLASYNGHKWAKHRKIMNPAFHFEKIKHMLPAFVSCTEEMIGKWRKLVDFMGSCEVDMSAEFQNLTGDVISKAAFSSNFEEGRLIFLLQKEQGRLFLESQMSINLPFLRLLPTKVNKRMRHIHKEVGSLLTGIIGKRVKAIKSGDHNDDLLDMLLRSNLNELQDQKENSNTAMSLEDVIEECKLFYFAGQETTANLLTWTMILLSMHSEWQDRAREEVMQVFGKNKPTYDDLNRLNIVNMILLEVLRLYPSTSLIRCTYKETNLGNLSLPSGVQLFIPLYLVHRDTEQWGEDAMEFKPQRFSEGTFKDAKPYFPFGWGPRICVGQDFAMLESKLALAKILQNFSFQLSPNYTHAPYATVTLQPQYGAQIILHKLGQGDKGRQLQVQQQLIV >OMO99525 pep supercontig:CCACVL1_1.0:contig06873:9272:13783:-1 gene:CCACVL1_03756 transcript:OMO99525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKVISRSTDEFTRERSQDLQRVFRNFDPSLRTQEKATEYVRALNAAKLDKIFARPFIGAMDGHRDAINCMAKNPSYLKGIFSGSNDGDIRLWDIATRRTVCQFPGHQGAVQGLTVSTDGSTLVSCGSDCTVRLWNVPGDSFADSDNLADNSAKPLAVYVWKNAFRAVDHQWDGDLFATAGAQVDIWNHNRSQPVNTFDWGTDTTISVRFNPGEPNVLATSANDRSITLYDLRMSSPARKVIMRTKTNSIAWNPMEPMNFTAANEDCNCYSYDARKLDEAKCVHKDHVSAVMDIDFSPTGREFVTGSYDRTVRIFQYNGGHSREIYHTKRMQRVFCVKYSCDATYVISGSDDTNLRLWKAKASEQLGVVLPREKKKHEYLEAVKNRYKHLPEVKRIVRHRHLPKPIYRAANLRRTMIEAERRKEQRRKDHSAPGSIVTESVRKRRIIKEVE >OMO99524 pep supercontig:CCACVL1_1.0:contig06873:5873:8268:1 gene:CCACVL1_03755 transcript:OMO99524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFKEQAKLSAYRDRRFNGTQEEFEQALLSSTTVYIGNMSFYTTEEQVYELFSRAGEIKKIIMGLDKNSKTPCGFCFVLYYSREDTEDAVKYISGTILDDRPIRVDFDWGFVEGRQWGRGRSGGQVRDEYRTDYDPDILSNFIFELDVHNFLSCIDMKNIYVKSNADLIFLRRLWKISTERIGSTEAACRLWCWITGLIPTSYGSSMHGGGHGHGGSHRHGRDYYRKRHREDDRFAHGSSKRTFDHDLRRNSDHDSRPEKNPRFRESGDSDDDEEDDRKRRS >OMP04577 pep supercontig:CCACVL1_1.0:contig05707:9388:10857:1 gene:CCACVL1_02153 transcript:OMP04577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MASSKLFLVFSIFLSFLFTSTLSRLSPQTPTFNTLDVSSSLQQAQHILSLDPQSLKAFTQAQNAAVFSNSSSGFSIPLHSRGSLYKTHHADYKSLVLSRLDRDSARVGFLNTKLQLALHGVKKSELRPLPTEIQPEELSTPVISGTSQGSGEYFSRVGVGNPAKQFYMVLDTGSDINWIQCQPCTDCYQQSDPIFEPSASSTYSPVSCDSRQCSALEVSACRSGKCLYQVSYGDGSYTVGEFVTETVSFGNSGNINGVALGCGHDNEGLFVGAAGLLGLGGGPLSLTSQIKASSFSYCLVDRDSAGSSTLDFNSGIPADSVIAPLMRSRKIDTFYYVGLSGFSVGGRQVEMPPGLFNMDASGSGGVIVDCGTAITRLQTQAYNALRDAFVKLTQDLPTTSGVALFDTCYDLSSKSSVKVPTVSLHFGGAKSLDLPAKNYLIPVDSSGTFCFAFAPTSSSLSIIGNVQQQGTRVSYDLANNRVGFSTHTC >OMP04576 pep supercontig:CCACVL1_1.0:contig05707:145:8407:1 gene:CCACVL1_02152 transcript:OMP04576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKSVLDEQGLRIAENQENSQKNRRKLAESTRDFKKASAEEKLSLFNSLLKGYQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASIAEQDLKISELESENRKMKVELEEFRTEATHLKNQQATIRRLEERNRQLEQQMEEKVKEIVEIKQRSLAEENQKTLEVLKDREQSLQDQLRQAKESVSNMQKLHELAQSQMFELRAQSEEERAAKQSEVTLLMDEVERAQTRLFSLEREKGLLRSQLQSAHEESESKKSNVDSNTILENSLSAKEKIITELNMELHNIETTLSNEREQHMNEIKKLNSLLNEKEVALEEMKKELQARPTTKLVDDLRKKVKILQAVGYNSIEAEDWEAATSGEEMSKMESLLLDKNRKMEHELTQLKVKLSEKTSLLETSESKIEELTGKVNEQQKLIQKLEDDILKGYGSKERKGTLFDDWDLSESGGNELSENTDQKHVSSDQDQSSMLKVICNQRDRFRARLRETEEEIRQLKEKIGELTAELEKSKADNVKLYGKIRYVQDYNQEKVISRGSRKYAEDLESGFNSDVESKYKKIYEDDINPFAAFSKKERDQRYKELGFRDRITLSSGRFLLGNKYARTFAFFYTIGLHILVFTCLYRMSALSYLSTGPGEDQSTEQLSNLPRGF >OMP09806 pep supercontig:CCACVL1_1.0:contig02972:10155:12188:-1 gene:CCACVL1_01033 transcript:OMP09806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKILLFFFYLSLSSFYVVQVFSVAIIKTNKENPFTAKASLIRYWNKHISNNLPKPPFLVSKASPLNAIDLAKLTKLASSQNSLSSHIESFCSLANLFCFFDEDSSEPADVAGNHNQDANFAVYSSKSFSSYGTSRLGGADSFKNYSDGLNMPNESFKKYSRESNGHKEGFSSYAKDANVATDNFTNYGAGATGGSGQFTSYQERVNVPNLRFSTYDSDGNNHKLTFSSYGSETNSGSQAFLSYGKRGNAVPTEFSSYSDNSNTIGSTFTGYGELGNAPNDSFKAYGDSGNDPHNNFKNYGLAANAGIDTFTSYRDSANVGDDSFQSYARDANSGKVNFANYGKTFNVGNDTFKEYGKGATGSTTVGFKLYGLDRSFKDYAKKGVTFAGYSNSSSSSKEMEVVDNGISVKRWVEPGKFFRESMLKQGNVMVMPDIRDKMPRRSFLPRGVLSKLPFSTSRLSDLREIFRAPENSSMERVIINALAECERPPSRGETKRCVGSVEDMIDFATLVLGRNVVVRTTQNLEGSKQEIMIGKVRGINGGKVTKSVSCHQSLYPYLLYYCHSVPKVRVYEAEIVDVKSKGKINDGVAICHIDTSAWSPGHGAFVALGSSPGQIEVCHWIFENDMTWTIAD >OMO92392 pep supercontig:CCACVL1_1.0:contig08225:680:9334:1 gene:CCACVL1_06861 transcript:OMO92392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSMIILNSNQLTIMGLKAEACICARAVADTAAAQNAPKETRLTNEENLRARNNLGYTWLWPEIPELRERWSDSSSHVSAGHGPGGRGNTGVMYHHRILFDKYHPGVVFSVS >OMO92393 pep supercontig:CCACVL1_1.0:contig08225:17175:25323:1 gene:CCACVL1_06862 transcript:OMO92393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNINHIEYGCRGGHSQKKAATSQSSIGSVGRKGIVVAAPKIEKLRAKMKGWNKLGLSLNRMVGIGFPSMFLEDGLAEVQLGQTRVMGIVTAKLLQPYRDRPNEGTLAIYTELSPMADPSFETGRPGESAVELGRIIDRGLRESRAVDTESLCVVAGKLVWAIRIDLHILDNGGNLVDAANIAALAALMTFRRPECSLGGEDGQEVIIHPPEMREPLPLIVHHLPIAITFGFFNDESVVVIDPTHSEEAVMTGRMVATVNANGDICSIQKAGGEAISQGVIMQSLQLAITKAADITKQIKEAVEAYNSERTLRKIKRESSCVGTNINENQNPSVNNNKGIELDMERLKLVSRERCVSLNNDDEVDTKLSEVRSGRDGNGINFTSSPLSWDPYSKGVDPEFLKASLASQGFSTTKKKKDSSVEERPSEAEGDKPSEDANMNTSVVDASMTKMQSKVQKTLKDAVKPKNKRNKKASSMIQV >OMO92394 pep supercontig:CCACVL1_1.0:contig08225:27020:27571:1 gene:CCACVL1_06863 transcript:OMO92394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MDDGDHDPASISDQIEEQYVLNSLFTGGFNRETQAHLIDTLIESEPNFPQMAGANKGSSSSCAMPKCHGKVMRDERGEDILPCNCGFKICRDCYNKAVKTGRGICPGCKQPYDQTKSKVQMVSSFMIKPLSAQTSSQTDDVNLGNATDDETVSKMKPWRPLTQKIKPPVSILAPYWYIHTLQF >OMO95042 pep supercontig:CCACVL1_1.0:contig07747:18862:23534:1 gene:CCACVL1_05621 transcript:OMO95042 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotene isomerase METRFLSSNPLKRSHSNGERLNSSKLRYFPVSQGKKTFTLRPKSMLSVDGAVKIEADGGVAKGSNYDAIVIGSGIGGLVAATQLAVKGAKVLVLEKYVIPGGSSGYYQRDGYTFDVGSSVMFGFSDKGNLNLITQALAAVGRKMEVLPDPTTVHFHLPNNLSVQVHKEYNEFIEELTSKFPHEKEGILKFYGECWKIFNSLNSLQLKSLEEPIYLFGQFFQKPLECLTLAYYLPQNAGDVARKYMKDPQLLSFLDAECFIVSTVNALRTPMINAGMVLCDRHFGGINYPVGGVGGIAESLAEGLIDQGSEILYRANVTNIILDQGKAVGVRLSDGREFFARTIISNATRWDTFGKLLKGEKLPKEEENFQKVYVKAPSFLSIHMGVKADVLPPDTDCHHFVLEDDWKRLEEPYGSIFLSIPTVLDSSLAPEGRHILHIFTTSSLEDWEGLPPKDYEAKKELIAEEIIGRLEKKLFPGLKSSIVFKEVGTPKTHRRFLARDMGTYGPMPREIPKGLLGMPFNTTGIDGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIGLEKKSPILDSALLRLLGWLRTLA >OMO95041 pep supercontig:CCACVL1_1.0:contig07747:4605:7359:-1 gene:CCACVL1_05620 transcript:OMO95041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphate phosphatase MDRITKAARLMIVSDLDHTMVDHHDPENMSLLRFNALWESNYRHDSLLVFSTGRSPTLYKELRNEKPMLTPDITIMSVGTEITYGDAMVPDEGWVEFLNQKWDRNIVAEETSKFSELKLQAETEQRPHKVSFYVDKAKAQTVTKQLSECLEKRGLDVKIIYSGGMDLDILPQGAGKGQALAYLLKKFKDEGKTPVNTLVCGDSGNDAELFSIPEVYGVMVNNAQEELLQWHAENAKGNPNIIHAKERCAAGIIEAVGHFNLGPNTSPRDVADFMECKVENVNPGHEVVKFYLFYERWRRGEIDNCEAYLASLKACCDPSAVVVFPSGVEKTLHECIHAMKTCHGDQKGKKFRVWVDRVLSTPIGSSTYLVKFDKWELSGEEQSCRVTTVKIDAQGSNATDGFTWVNVQQKWLEGFEKDESTSIF >OMO95043 pep supercontig:CCACVL1_1.0:contig07747:24402:29250:-1 gene:CCACVL1_05622 transcript:OMO95043 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MNSAEDIEAGIRKPKFQTEPTLPIYLKFTDVTYKVIIKGMTTSEERDILYGISGAVNPGEVLALMGPSGSGKTTLLNLLGGRLNQSTVGGSITYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLPKTLTKQQKEKRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVSIGNEIIINPSLLFLDEPTSGLDSTTALRTIQTLQDIAEAGKTVITTIHQPSSRLFHRFDKLILLGKGSLLYFGKASEAMVYFSSIGCSPLIAMNPAEFLLDLANGNLNDISVPSELEDKVQMGNLETETRNGKPPPAVVHEYLVEAYEAKLADEEKKNLMSPLPLDEELKLKVSSTKRQWGASWWQQYCILFCRGIKERRHDYFSWLRITQVLSTAIILGLLWWQSDSKTPKGRQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSAAPFFLSMLIVFLCIVAAQGLGLAIGATLMDIKRATTLASVSVMTFMLAGGFFVMKVPVFISWIRYMSFNYHTYKLLLKVQYQDMIPPVNSLKTDNGVKEVGALVAMIFGYRLLAYLSLRRMQL >OMO83876 pep supercontig:CCACVL1_1.0:contig09844:55685:57073:1 gene:CCACVL1_11118 transcript:OMO83876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNRMLERLSTKLLNVCIASFCKVNKLEKAESVIIDAIRLGLLPDVVTYNTLINAYCRFVGIDAGYSILHRMGEAGINPDVVSYNSLIAAASRNSQISRSLDLFDEMIQRGIAPDVWSYNTLMHCFFKLGKPDEANRVFRDIILGDYTPCAATFNTMINGLCKNGYTENAFMLFRKLQHHGFVPELMTYNILVNGLCKIGRLGTAKRIIKEIGGSGYVPNAITYTTVLKCCFRKRKFEEGLELLSEMKSKGYTYDGYAYCTAIGALIKIGKIKEATEYMVQLMKTGIKLDIASYNTLINMYCKDGKLEEAYKLLDEIEKEGLECDKYTHTIMIDGLCKAGNIEGAIRHLKYMNLMGFDSNLVAFNSLVDGLCKVGRIDTAMKVYKSMEVRDSFTYSSLVHNLCRIGKYRKASKLLLSCLRSGMNILKSAQRAVLSGLHYSGFRGEAKKLKSKIRMARILNH >OMO83867 pep supercontig:CCACVL1_1.0:contig09844:1941:6181:-1 gene:CCACVL1_11108 transcript:OMO83867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo sac development arrest MGSKNKDQKTKNKNKNKDKPNSTPSLQDSNGNKNESGSDSGKIITDARFASVHSDPRFQKVPKHKTKVVIDSRFTRMFNDKRFAPSSAPLDKRGKPKKGNSQSSLRHYYHLEDKEDEKEEKKRKKDVVSEESDSEEVDEESESEMLEKDSDGESDEEEEEQSESGSTTEEEDVDIIYEEGEPEMQEENIPMIEKETRRLAVVNMDWRHVKAADLYVMLSSFLPKDGQIMSVAVYPSEFGLQRMKQEEIHGPVGLFDDENEEDDEDGDDEIDNEKLREYEKSRLRYYYAVVGCDSSATADYLYKACDGVEFERSANVLDLRFIPDSMEFKHPPRDVATEAPANYEGLSFHTQALQQSKIHLTWDEDEPQRGKILKRKLNAEQLAELELKEFLASDESESDDDENEDATEDQSDKKNKKRDKYLALLQSGDGSDEDGEDNDQDMEVTFNTGLEDISKRILEKKNKEAETIWEAQLRKRREKKKAKKNKSKYSSEDESDETDIENTEEPDDFFVEEPSVKRSKKEKKQHEEDKKEAEASRAELELLLTDDKGADTGVKGYNLKPKKAKGKKGKEVIDEEKIPTVDEDPRFSALFTSPLYALDPTDPQFKRSATYARQIAKKLQKGEQQELAVENSKITNSQFPPDDHGVNKVEKKSDILPSKEKHELSSMVRSVKAKLKQVQLPSASKMPKKKTKVLRK >OMO83874 pep supercontig:CCACVL1_1.0:contig09844:42800:43771:1 gene:CCACVL1_11116 transcript:OMO83874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding Lysin subgroup MSNPSSASNDGDKHSGDNMDAAVAKTAGFVVFSGIAMSILKTLNPFNKDKNNATPTPQQPVAEWTQPSLSQPIRDSPPPPPPPEPIITKNEAIYTEVEQRLPEYNYMGREIEIVRGDTLWGLSRKYGVSIDAIKEANGLTGDTIYAGKKLIIP >OMO83872 pep supercontig:CCACVL1_1.0:contig09844:35037:36644:-1 gene:CCACVL1_11114 transcript:OMO83872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTVIKRIGPNLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFMNKITGANVDVQPYAFTTKSLFVGHTHYKNLSIAQQAALFHSIKSLFMNKPLIIVCNKTDLQSLDGLSEEEKTLVKEMQAEAMKSVMGQGGEEGVLMTMSTLTEDGVINVKNAACEKLLNQRVDLKMKSKKINDCLNRFHVGIPKPRDQKERPHCIPQAVLEAKANKEVAEELQRKLTERDLEEENGGAGVYSASLRKYYILANDEWKEDILPEILDGHNVYDFLDPDNLLRLEELDREEGFRQAEEDDADFGIDGNELSPQEHEALATIRKKKSLLIQQHRIKKSTAESRPTVPRKFDKDREFTAKRMGRQLSSMGLDPSLAINRARSRSRGRKRERSLDNDGADAMDLDVDRPNKMLRTRSRSLSMSRLRSWLPNEVVPGEGFKDFAQKVKSHKLAKKSVKKSNKDARCGEAARVIPTLKPKHLFSGKRSIGKTQRR >OMO83878 pep supercontig:CCACVL1_1.0:contig09844:64991:66036:-1 gene:CCACVL1_11120 transcript:OMO83878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREYAEERSVEPQQSVISLQNQMMNIHKLSFILQTTNKVVVLKLDFHDTRCRQKAMKTAGVDSVALDTKENKLRVTGDIDLVVAVKKLRKICYTELVSVGAAAKEPKEKEETKKKKEESKKKDEQVMKMPIYPL >OMO83875 pep supercontig:CCACVL1_1.0:contig09844:44588:46413:-1 gene:CCACVL1_11117 transcript:OMO83875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L5 MREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFFVVLERAGYRVGRRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKSQQLTS >OMO83873 pep supercontig:CCACVL1_1.0:contig09844:36885:40727:1 gene:CCACVL1_11115 transcript:OMO83873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MEEAYLANAWEEDDEIVLITCRLEIPDLDMVNGEVYEMRFNIKTGVATQKKLSESVVDFPRVNEDYIGRHFVNLQKLYQAKSEADFLAIEQVLGIFKLAEIQIVSQKQL >OMO83877 pep supercontig:CCACVL1_1.0:contig09844:59590:64166:1 gene:CCACVL1_11119 transcript:OMO83877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate/other aminotransferase MAIRRAMSGRIMGRTAVVGARSMSSWWRNVEPAPKDPILGVTEAFLADPHPDKVNVGVGAYRDDNGKPVVLECVREAERRIAGNLNMEYLPMGGSVKMVEETLKLAYGENSELIKDKRIAAVQALSGTGACRLFADFQKRFRPDSQIYIPVPTWANHHNIWRDAQVPQKTYHYYRPESRGLDFAAMMDDIKNAPNGSVFLLHACAHNPTGVDPSEEQWREISQQIKAKGHFAFFDMAYQGFASGDPERDAKSIRIFLEDGHYIGIAQSYAKNMGLYGQRVGCLSVLCGDEKEAVAVKSQLQQLARPMYSNPPVHGALIVSTILSDPDLKKLWLKEVKVMADRIIGMRTALRESLENLGSPLSWKHITNQIGMFCYSGLTPEQVDRLTNEFHIYLTRNGRISMAGVTTGNVEYLANAIHKVTKSA >OMO83871 pep supercontig:CCACVL1_1.0:contig09844:32523:33677:1 gene:CCACVL1_11113 transcript:OMO83871 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MDDQGLSILSGSCPLLSDLTLSYCTFITDMGLRYLASCSKLSALKLNFTPRITGCGILSLVVGCRNLTILHLIRCLNVTSVEWLEYLGKLETLEDLSIKNCRAIGEGDLIKLGPSWQKLKRLQFEVDANYRYMKVYDRLAVDRWQRQWIPCDNMEELSLVNCIISPGRGLACVLGKCQNLEKIHLDMCVGVRDSDIVSLAQKSRNLRSISLRVPSDFSLPLLMNNPLRLTDESLKAIAQNCLRLETVRISFSDGEFPSFSSFTLNGILSVIQNCPVRELALDHVYSFNDVGMEALCSAQHLETLELVRCQEITDEGLQLVSQYPRLRVLRLSKCLGITDDGFKTLVGSYKLDILAVEDCPQISERGINGAARSISFRQDLSWMY >OMO83869 pep supercontig:CCACVL1_1.0:contig09844:10352:13523:-1 gene:CCACVL1_11111 transcript:OMO83869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLSSKALYLFLNIVFHLFSFHLIIIDASTSFVAAVSKDETTTTVGVMEAEALVKWKASLDEKSQSNLSSWVNGTGSSPCTWVGISCDTLGSITYLNLSYNGLKGTLEDFNFSFPNLTHLHFYSNSLSGNIPPQIGNLPKLIYLDLSYNVLTGRIPPSLGNLTNLSVLALGSNRLSGFIPQELGFLTSLSQLYLYENNLTGPIPTCIGNLTNILFVSLSSNKLSGPIPRQIGLLTSIQKLDLAENSLTGSIPHGIGNLSDLSDLSLWGNKLSGRIPPSLGNLTNLSRLHLLGNRLSGSLPQEMKNIVNLKSLALSYNKFTGSLPHNICRGGLLQNFTTSDNHFTGPIPKSLRNCTSSLRVRLDGNQLIGNISESLGIYPNLNYIDLSGNQLYGELTWKWGESRNLTVMKFSNNNISGEIPPNLGKMAKLQRLDLSLNNLIGRVPKELGSLALLLDLSLQVNQLSGIIPPTIFGMLHDLQSLNLAANNLSGSLPREIGNCSKLQFLNLSLNKFVGTIPYEIGELQSLETLDLSQNMLTGVIPSELGHLPMLETLNLSHNMLFGPIPTSFEYALSGLTTVDVSFNNLKGPIPDSKAFREASCFALESKKGLCGNNTCVKACGHVASKRALIITLLLSLSAALLFLLIFIICLLALHRRNKSKVEQRQGQNNAHDGIVFGILGHDGKRFYEDIIKATDEFNSDCCIATGGHSKVYKAVLSSGQVVAVKKLLLSEESGALIDVNAFKDRLDVVKGVANARSYMHHDCCPPIVHRDISSNNVLLDSEWEAHVSDFGTARFLKPNSSYLITSPVGTFGYMAPELAYTMEVNERCDIYSFAVLTLEIMRGKHPGDLILPLFSSSSSSMASSSLPINRHTLLTDVLDHRLRPPFINRVAQGVVSTVQLAFACLNANPQYRPTMKNIYSALGTQLPPLSKPFSMIELGELIAP >OMO83868 pep supercontig:CCACVL1_1.0:contig09844:6982:9148:1 gene:CCACVL1_11109 transcript:OMO83868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpv17/PMP22 MEALGGNGAFWGWNIPEIRSKKKSFSSNSKSSDSTAEVTAGGGYRFPLKQAVTAASLALTGDTIAQLSDRWRKQNQSLSSSADTNKTPQNLMLKVLLNQIVLGPCVIAVVFAWNNLWLGKLSELPNKYQKDALPTLFYGFRFWIPVSVLNFCLQLLDYGSLAY >OMO83879 pep supercontig:CCACVL1_1.0:contig09844:68448:69639:1 gene:CCACVL1_11121 transcript:OMO83879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEGRHSRIWVSSESVNTVHRYSKNSSSSSREAKSSLREEAPLAVASSRRGFFGIGPCS >OMO83870 pep supercontig:CCACVL1_1.0:contig09844:20774:25348:-1 gene:CCACVL1_11112 transcript:OMO83870 gene_biotype:protein_coding transcript_biotype:protein_coding description:3Fe-4S ferredoxin MAQLLSPVCTDALKLHNPSLNMCKRSSWKAMVKRGASWSLMGHGGKRKGCGRVKVAIGDSASTDSLADDYYAVLGLLPDATPEEIKKAYYNCMKACHPDLSGNDPETTNFCTFINEVYGVLSDPVQRMVYDEIHGYALTAINPFMDDSATRDHVFVDEFSCIGCKNCANVASDVFRIEEDFGRARVHSQCGNPELVQQAIDTCPVDCIHWTSAAQLSLLEDEMRRVERVNVAFMLSGMGSASSDVFRMATSRWEKRQAKVLQQAKIKMTQEKGSGKTDSYWSNLWGKPKEYKKSEEEVKERARRAAAAARRWREYSRRGVDKPPTFKLPEAVSNQEK >OMO89272 pep supercontig:CCACVL1_1.0:contig08796:35648:39488:1 gene:CCACVL1_07945 transcript:OMO89272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRTVPSWTLIGLIEAFVDLAIAYFLLCGSTLGFFAWKFYHLFGFYYLPCLCAGFFGYQNSSLCWHKLLIEWPARKIYCVQNLALKRFPFNNLVCLNDHELNSNPKSITDRKFGNGVVELEVEACSSSPSSLRLQTVLDKESGFDAKGKKVIIQKHKSGIRRRRRAAFGYGKSSPALFSGNFLSAVAGVSCSSHNSGGETRSEISENLGLASEIEDSFPDYKITPTGTDVGERTWHGFESSNGGEGATSIKKFTCTINEKLGVSGDAENRIRMLEQALEEEKAAHAALYLELEKERVAAATAADEAMAMILRLQEDKASIEMEARQYQRMIDEKFAYDEEEMNILKEILVRREKENHLLEKEVEAYREMNILGDEQQECDYSYTLNSEGKRPLVSLGLDEDPLLMVNQMVSAGSTGKKEVGKDSSWRSKNEAPSAGKRSYIAAINVAEEGDDDTLVCQAIATNNSPHNVGGIEKASVSREGLDRNVKLGDQLGSNQHDSMFDIEPVIYDVHVVDDKTDSLKEDNMKESKSPICSASDHKTLLCDSERSSFYAVNNERLEIDAEIERLRERLRIVQGEKEKLTFPSDQRERVDAQLKLIEELLNQLRQLQQLKEPFRQSSLPPLASSSKVRSNRSRRCRSASDEIDDSA >OMO89275 pep supercontig:CCACVL1_1.0:contig08796:69072:80631:-1 gene:CCACVL1_07948 transcript:OMO89275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCNSGSALLVNAEVDSMGGVVDGGVGIGVKTSPRRAAIEKAQAELRQEYDVREERRRELEFLEKGGNPLDFKFGNAASVSVQSTSLTDQQADHFVTSEAKGSFALTASPHGDSVESSGRPGIPAVCEPNSADNLLLFDGENELREGERKSLHPRKRNTVVPSEQSSQMDGTQNAKESEDSAIFRPYARRNRSKINRDGVRSSSTEMVQGRGSHGSSLPTRGALKDVKTLTSETNNLKEKNVPSVNTPKTATSNGDLASKVMNSGNQLNMELDGGQVAGETIDHSKANLSVSKVDVTVTKNMTDDMPNEPVRVELDKSPVKVSEEPDLVGGEEQVLSTGLQCPLDTGLRKAENETGTNQLNGFGDAKRDRKNMPNEGQNSSMAIGTKGLDSESSCTQNSLSLDVNNDNDVFINLKNVDSNGKPMEQTSEIEESLNLGVGELAKEKDEMKVVDNAAPVYDASVNQNQPFNDSIVKVEEESRPEMQNEVSCPPNNEVQLSSHAVPEADKKASTVLGDDSNSNKENVSASKPQGTADNSSCEIPETTLSAGTFTAPVDPQVSSDNHVKLVDKAHEDSILEEARIIEAKRKRIAELSVGTLPLESRRKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICRRVAFTSRLKFEEQNQCWKLKKVALTLANAVMEFWRSAVVFLNGKDASLGPKNSGHDLVGSRVIDANEVSENKTAEHGMEQQPPGKNKRLALRAYALRFLKYSSSPVPSLQAEAPATPDRISDSGIMDISWDEHLTEESLFYAVPSGAMETYRRSIESYLVQTEKTASSVQEEVETSFGDTLGEFGYPEFVYDEDEGETSTYYLPGAFEGSKSSKLHQKKRKHSMKSYPARPYEVAADLPFGNCAPQSILIGKRPASSLNVGPIPTKRVRTGSRQRVLSPFSTAAAAGLPAPTKTDASSGDTSSFQDDQSTLHGGFQIQKGMEVESTGDYERQLPYDSAETPTKHKKKKKAKIFGSAYDQGWQLESTVNNEQRDYSRKRPESHHFDSNGTSGLYGQHNPKKPKTVKQQPDNSFDIAPSGSIPSPVGSQMSNMSNPSKIIRLIHGRDRGRKAKTSKLSSGQPGTGSPWSLFEDQALVVLVHDMGPNWELVSDAINSTLQFKCIFRKPKECKERHKILMDRSGDGADSADDSGSSQSYPSQLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIIQIGKKQHYRRTQHDNQDPKQIVTPHGSHAIALAQVCPNNLSGGVLTPLDLCDATGSTQDVLPLGYQASHANGLAISNQGAVASMLPASGANASLQGSSGMVLGANLSSASAPLNASVRDGRYGVPRTSLPADEQHRMQQYNQMLSGRNIQQSNLSVPGAISGSDRGVRMLNGGNGMGMMCGMNRSMPMSRPGFQGMASSAMLNSSSMLTSNMVGVPSPVNMHSGPGSAQGNSIMRPRDTMHMMRPGHNPEHQRQLMVPEQMQAQANSQGIPAFNGLSSAYPNQSTAPPVQSYPGHPQQQHPISSQQSHTLNNSHHAHLPVSNHAPGPQQQAYAMRLAKERHMQQRLMQQQPQPQHQQQFTASGALMPHVQPQTQLPISSALQSSSQIQSQASTQPVSLPPLTPSSPMTPMSLQHQQKHHLAPHGLGRSPQPGASGLTNQIGKQRQRQPQQQQQQQQFQQSGRHHPQQRQQPQSQQQAKLLKGVGRGNMLVHQNLSVDPAHLNGLTMAPGNQAAEKGEQMMHLMQGQGQGMYSGSGMSPVQPSKPLVSSQSLNHSQPQQKLFPGSTPPTKQLQQMASHSDNSAQGQVSTVPSGHTLSAVHQSVLPAAMGPNHQHLQLQSQSHQKQINQNQPTVQRMLQQNRQVSSDHSKSQAEPAQADQQPGNNASQMGTTTTVAMPQTVIDSTNSTVPVVSQWKSPETVYDPGMPNAATQVASIGSPPVANSAAGDPVPSVSQGLGQRQLSGGLPPHGNNAGAPWPQQPPIHQSSTPPPSQQDYQPLEQSQEDRHNSPQQLPLQQQSQQQTPHLQAVQGSLYHRPSNSKLE >OMO89271 pep supercontig:CCACVL1_1.0:contig08796:8898:13603:-1 gene:CCACVL1_07944 transcript:OMO89271 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNARE associated Golgi protein family MPKFHHLNQKNNDQFHVIRNRIRSCQHEDSENAGAAEAKDQLEKGDRAAEGGGSFLVKLAIALGVAAALTVISFGLKGASFGSLLGVQRLADGSTSSVLDSSVGFTFQAFGYRFVLPQYAPGWVYFWLLMAAGCGLFISEEALNIWVGITLARMLSLDGTWHSFVESLSRNAPYIISTVLWVYWGVCVSDMIPFYLGKLFRQSGASDDVCSKLGIGEEKVAQITNVVQKYGNLIGFVERFSLGVRNPTSFLAGSLGISPEYFFAGVCCGGLITLPVQLGIGFLMRKRPVFALATVATVVGTWTVFPYVVAASTALFLFLRRRYST >OMO89273 pep supercontig:CCACVL1_1.0:contig08796:55200:55361:-1 gene:CCACVL1_07946 transcript:OMO89273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFATIFAFKMWSQILQRSTRRKQSPIHRFMGTKYWDAVCDDVNYRRKNCNE >OMO89270 pep supercontig:CCACVL1_1.0:contig08796:3756:8193:1 gene:CCACVL1_07943 transcript:OMO89270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTGFLSLSCGGTKSYVDSSKITWISDDTFISTGNTSTTTEYVEGTPSSSVPLRFFSQGRNCYKLPVKDTSSTVLVRAQFVYKNYDRRWRPPAFSVSLGTAITSTVNLTIKDPWTEEFIWPVTKDILSFCLHAIPDGGSPVISTLEVRPLPQGAYQSGMEEDFPNKLLRKSYRINSGYPNGSLRYPEDPFDRVWDADQSYTPFHVSPAFDILLSFNLSSQKERPPTDVLQTARVLVRENVLHYNLPLDALGDYYIVLYFAGILPVSASFDILINGDVQKSEFSIRTSEASTLYFTQKGIKSLDIALRSIKLYPQINALEVYEIVDIPSEASSTTVSALQVIEQSTGFDLGWQDDPCSPIPWDHIQCEGNVVTSLDLSDINLRSISPTFGDLLDLKILNLHNTSLSGAIQNLGSLQHLEKLNLSFNQLASFGSDLESLVNLQVLDLQNNSLQGIVPDSLGELENLHLLNLENNKLQGTLPLSLNRESLEVRTSGNVCLSFSTMACNDVPSIETPQVTVVTNRKHTRHNHIAIILGAVAGALFALTLISILVSLYIKKRKSEATYATSAANIDMRNWNAARIFSYKEIKAATSNFKEVIGRGSFGSVYLGKLSDGKMVAVKVRFDRTQLGADSFINEVHLLSKIRHQNLVCLEGFCYESKQQILVYEYLPGGSLADHLYGPTSQRVSLSWVRRLKIAVDAAKGLDYLHNGSDPRIIHRDIKCSNILLDGQMNAKVCDFGLSKQVTQTDATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLTHSGNPDSFNLVLWAKPYLQAGAYEIVDDSLKGSFDVESMRKAALVAVSSVERDASQRPTIAEVLAELKEAFSIQLSYLASLGHSG >OMO89274 pep supercontig:CCACVL1_1.0:contig08796:64240:65106:1 gene:CCACVL1_07947 transcript:OMO89274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPSTVADPKSAKKTQPLPWTHHETLNLIKAYQEKWYSLKRGQLKASQWEEVAVTVAARCGLFDDSSAKTALQCRHKMEKLRRRYRSERQGLASVTPWPYYHAMEALEHGPLPISARPLTSFVPTRVRKFYSQNGHEVANNYHNEGDANNEVEVEEEEDEEDDDDDDGETQFSKSRSINYILRRPSVVNRFSGLLSGSRKRVRPEEEGEDEEGVAAAVEVEEETSGLELAVEIRRFTEKFMRMERKRMDMMQETERFRIEMENRRIEMILNSQRKIVDVISESFGSD >OMO64598 pep supercontig:CCACVL1_1.0:contig12787:9403:11211:1 gene:CCACVL1_21648 transcript:OMO64598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQTNFQSRFHRHDKYRTEWRSRNRCSLDWRSRLHSVFVGNLHWRATLGILWKTFSDFGVVVDVFIPTRTSFGCRDDGSRFAFVRYKRKEEAEKAIEKGNGLSVGNRSIIVRKATQCRKCREERKIQSNSVETMCAKEGGFHFKSFERSFKEVTTQSQNDARPDFPSEAGLQKNGGLKLDIPNSKLEWLERSAMGILRSPFYYNLIQDSLCRQGIGVAIALMSDIQLLLTFLSKEEMGTFIQDYREMFQTWFDDIIPWKENIVERYHHVWIKVEEVPLLLWHEKFFNEIGSYWGKVLSIAEVTINRKNFTAAWLKLEVANKRSIPSVLEGEINGVKFRVEISIVSENNVPKVVLESSSNVVGEESPVFIVERVQDSEHEVSSACRIAILDEPAVMVVDHEKESEINGRILEEGTTLPIEQHAEGGIKCVNAGGLIPTTRNLDVEVDFVPETNQLVGQVNGPKESINNEFDVEVSSAHNLLDIMDTCDYNKELESCSHVLDKEWTLVNRGPKKKKGSRFQKKKLKKYRKVVADILGRKEGIGELGSDASLSDSDIARKNKVNREEALKTLETCELLGITFEGDREQIIQVLERFEEESQESL >OMO64599 pep supercontig:CCACVL1_1.0:contig12787:25602:31042:-1 gene:CCACVL1_21649 transcript:OMO64599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFQNDDLEYVVDDYYDVNDFDHDDLFAEPEPQRDTAEFDAFDSDFEDDFESNKPKTDTSAVEARNGKDIQGIPWERLNFTRDKYRETRLRQYKNYENLSGSREEMEKECLQVEKGKAFYDFQFNTRLVKSTIVHFQIYEGCFNLYLHMLTVIQIVNLKAIFAEVHPDTSIDASWLCSIIVTWFPSSAAAAASFNFVLRNLLWATSKHDVYLMQNYSVMHWSSLLRKGKEVLNVAKPIVPSLNRPGLLSQQLLRVQISTMAVKENLMVAGGFQGELICKYLNKPGVAFCTKLTTDDNAITNAVDVYRNPSGAMRVMAANNDAQIRIFDAETFASLNRFSFDWSVNNTSVSPDGKLLAVLGDSVECLIADAQSGKVTSSLKGHLDYSFSSAWHPDGRILATGNQDTTCRLWDIRNLSESLAVLKGRMGAIRAVKFTSDGRFLGMAEPADFVHVFDTESGYVNCQEIDLFGEIAGISFSPDTEALFVGVADRTYGSLLEFNRKRYHQYLDSIL >OMO64597 pep supercontig:CCACVL1_1.0:contig12787:561:638:1 gene:CCACVL1_21647 transcript:OMO64597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNKSRAEGVGGELWAISTFAAAVTV >OMP11029 pep supercontig:CCACVL1_1.0:contig01682:3467:3532:-1 gene:CCACVL1_00717 transcript:OMP11029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WEEEEEEGRSKRNTMGRQGGC >OMO51080 pep supercontig:CCACVL1_1.0:contig15980:41095:51475:-1 gene:CCACVL1_30024 transcript:OMO51080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHRTIVCRVEMEEHETDIMVKELRDW >OMO51079 pep supercontig:CCACVL1_1.0:contig15980:31287:34720:-1 gene:CCACVL1_30023 transcript:OMO51079 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant MSRESKESEISLEDWFPKIDCRNSPINLTVYQLVYHYGGVFIDSTSLTYVNGKTQVIEWYDPEKLCVWTMLLIAASLEYDANSIKHLRFCPTGVSLDEGLKLLFDDDSTDSLCKHLEEEKLVNVYVELGESGITCDDVPLPAGYFEPVDEPVDLVVSSGDENHNSSDEEETRVLEQNKEEANDFVAVNVDYNSDGGGIGADPELVCSRNIVQKTLEDEERMRKMLDELEMEDFLEWILCNNKEKKRVSENVSGPRVAENVGGPRVDQHVGVGGASGGGNNLYDPNQNVEVDKEGEESPYLPSNEPNNFIKTNSEDSEADDARRCISSGLHFSPDGSIVEFFKHQIFTGPAQFKAALKEYSLGKKTFYYKKEDKQRVRAHCYTEGCEWEIFASYHTSGDGNFTVKTYNDNHTCLEAHRNKRLTFKVVTQKLGDEISQMPYMRVTQGLLKAISKNMPYLESRRCARHIYARFGKTYNKDELKMQFWKCAKITNMAEFNREMEVLKQMDPNAHKHLVTNWDPRFWSLAYASDISKCDVIDNNMCECFNGGNFRS >OMO51077 pep supercontig:CCACVL1_1.0:contig15980:267:1654:1 gene:CCACVL1_30021 transcript:OMO51077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSRDMGDSISGKGMIQGVSAVGAFYELLSQSSLSVLHPEGNKPVAPVELCPILKTLYKILISREKSSQAILQALRDETLNDPRDRIEIAQSHAFYRPSLLGQP >OMO51078 pep supercontig:CCACVL1_1.0:contig15980:2498:10284:1 gene:CCACVL1_30022 transcript:OMO51078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATLTNESATSKSVYFAHCTSEKLAEKPEKLAGPLLADTHGVSAVGAFYELLSQSSLSFLHPEGNKPVAPVELCPILKTLYKILITSGGTVKIDTAGVNALEKRWCCKSLWHHKEEHNAIVGGALMHGNFVEGSQQDSQGKTCEILSD >OMO90291 pep supercontig:CCACVL1_1.0:contig08498:8495:10107:-1 gene:CCACVL1_07417 transcript:OMO90291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MATASFPFPCVQKCASIGREKQTVVADMDGTLLIGRSSFPYFALVAFEVGGVLRLLFLLLASPLAGLLYYFVSESAGIQVLIFASFVGMKVSDIESVARAVLPKFYSSDLHPESWRVFSSCGKRCVLTANPRIMVEAFLKDFLGADMVLGTEIGTYKGRATGFVGNPGVLVGKNKADALQKAFADTKPDVGLGDRLTDIPFMELCKEGYFVRAEAEAKAKAVTSEKLPKPVIFHDGRLVQKPTPLMALLIILWIPIGFLLACMRIAAGSLLPMPLVYHAFWALGVRVTVKGTPPPPVKKSGSNSGVLFICSHRTLLDPIFLSTALGRPIPAVTYSVSRLSEIISPIKTVRLSRDRATDASMIKKLLQEGDLAICPEGTTCREPFLLRFSALFAELTDQLVPVAMVNRMSMFHGTTARGWKGMDPFYFFMNPSPAYEVTFLNKLPPELTCASGKSSHEVANYIQRVIAATLAYECTSFTRKDKYRALAGNDGTVVDKPKVSADKLMGC >OMO90289 pep supercontig:CCACVL1_1.0:contig08498:6513:6692:-1 gene:CCACVL1_07415 transcript:OMO90289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLANASLLADEAFAIEPVYRVDAIATPRRGSDKQSRLLEQREWKRKLQRSVQSTLAR >OMO90292 pep supercontig:CCACVL1_1.0:contig08498:10723:11022:1 gene:CCACVL1_07418 transcript:OMO90292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGNWKAGAGGKVSQASRIPPSPVTNPVDLKKCIELEELVKFTPQSHVNGTLEWDIGLSEEFCPSLINHQSSEPIFPSAWYCNFTVEVEHSIIANFATS >OMO90288 pep supercontig:CCACVL1_1.0:contig08498:1374:4819:1 gene:CCACVL1_07414 transcript:OMO90288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPLSPGTNPVDLKNCIEEFVKFTLQSHVNGTVEWDIGLSKEFCFSLINHQSSEPIFPNAYSPGVPQSPLYIQLALALYEIITSGSLQGQSECNKFKQREEWFDLIQERGSELTDILKSINFQLHVHEPYFTQLKDGLKTVEGRCAVGDYNRIGSGALILFNKCLVLEVQDVHHYDSFFKMLEAESLAKVLPGVKTIDEGVQVYRKFYSEEKEMSNGVLAICVVKVAAQPYLSLGRILSGLGDEGIRSLLGQEHLVGSVP >OMO90290 pep supercontig:CCACVL1_1.0:contig08498:7187:7618:-1 gene:CCACVL1_07416 transcript:OMO90290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALDEGEQLAKENKSKHTLSPPTLLKLKNALTVLRQKLVDQLAEATSQPFTRDAELRSDILAIKKLGDGPRAHTLLLLNSHHQQALQRGMQSLHPSSTSHGGSAFSSGLSQLVFSTIAQTEAFALLFLKSFISSCRGSKSCY >OMO62024 pep supercontig:CCACVL1_1.0:contig13376:12460:17346:-1 gene:CCACVL1_23069 transcript:OMO62024 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MGWPYIGETLKLYTENPNSFFANRQKRYGDIFKTYILGCPCVMISSPEAARVVLVSKAHLFKPTYPPSKEKMIGPEALFFHQGSYHSMLKKLVQASFLPSAIRGLVPEVEQIVLKFLPTWENTTINTLQEMKRYAFDVAMISAFGNKQDLEMKGIKHLYQCLEKGYNSMPLDLPGTPFHKAMKARKQLNETLRKLIQERRKLEKPEGGLLGVLLGAKNQKIDQLSDSQIADNIIGVIFAAHDTTASVLTWVLKYLHDNQDLLAAVTREQEGIQRKIMEGNRRLTWDDTRHMPLTTRVIQETLRRASILSFTFREAVQDVEFQGYYIPKGWKVLPLFRSIHHCADFFPQPEKFDPSRFEVPPRPNTFMPFGNGVHSCPGSELAKLEMLVLLHHLTTSYRWQVVGDEDGIQYGPFPVPKRGLPVKVTPRKKI >OMO62023 pep supercontig:CCACVL1_1.0:contig13376:7371:8561:1 gene:CCACVL1_23068 transcript:OMO62023 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid methyltransferase METASVLLHSRTAPFGFNLTSNPVVSCRHFSKRVQSKGLYPLQSLKPIQNPSSFSLALFRSSPTLEKKRTHLFSPAKCSFTGTSSSNSQNPLLKPLENLSFDSLKTSLSQLTPVKIVKWAGIASIAIAAAKWTLNLAFNPFFWLYFSWSWIFWPWFVAIALAFYGLYCFRKHSIGEASIIEQLAIVTSAFTWLTLVPPAYFNGYLQGWPFVFFFVYHYFFFFNVSVRKRLYGDYYARPYDPKWDVNPPKWYRLLFCVGVMVGHWLAAFEGPELHRIPGGWSNVGIWILIVVTLLMQYNSTLYLAKYSEKVVVPTAVVQFGPYRWVRHPIYASTMLLFATYFVALRAPLSLLFVVAVCVMYYEQKAKLEEVLMVETFGDSYSEYASEVRYKFIPFVY >OMO62025 pep supercontig:CCACVL1_1.0:contig13376:18500:20471:1 gene:CCACVL1_23070 transcript:OMO62025 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatidylinositol 3 MEMKLPQSKCHSYRHLSSITIPSLRPSPPPSARIFHSPRGPSPSEFNGGGRNENRNTSCLQGGLSKGATCHAYGKDLATSKVS >OMO62022 pep supercontig:CCACVL1_1.0:contig13376:1891:6571:-1 gene:CCACVL1_23067 transcript:OMO62022 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M41 MIFSKLGRSSSRYSSSRKSLYRGGGGGSAGARSPGLPRLSGSVDRQNEELGFLRRYLTSIGARKEFSSKAYLSDLNFVLANPRIRRFFSSETPKKKNYENFYPKEKKEIPKENGQKSDSKDNSNANDQGNFQEMFLKMFQNLVTPLLMIALFLYSSPLSSGEQQQQISFQDFQNKLLEPGLVDHIVVSNKSVAKVYVRSTPLSETSDDVVVQGPANGTSARGHGGQYKYYFNIGSVDSFEKKLKDAQEALGIDQHDHVPVTYVSEMMWFQELLRFGPVLLLLGSFMYMSRRVQGGLGVGGGGGKGARGIFNIGKAHVTKVDKNSKNKVYFKDVAGCDEAKQEIMEFVHFLKNPKKYEDLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQISIDKPDIKGREQIFKIYLKKLKLDHEPSYYSQRLAALTPGFAGADIANVCNEAALIAARAEGTQITMEHFEAAIDRIIGGLEKKNRVISKLERKTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMSKPYSNKTGAIIDGEVREWVAKAYEKTIQLIEEHKEQVAEIAELLLEKEVLHQEDLTRVLGERPFKASELTNYDRFKQGFQEEENKSIETPAGGESAEDDGSTPLDPQVVPT >OMO62026 pep supercontig:CCACVL1_1.0:contig13376:26363:26623:1 gene:CCACVL1_23071 transcript:OMO62026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEMVSYEDVQMSSMVTMMQEEQDGLSTPTRGECRIPAEKACPPPPPKKKPFSFGKRKEPPKNGYFQPPDLDMLFAMGTRRQACV >OMO89707 pep supercontig:CCACVL1_1.0:contig08643:10848:13490:1 gene:CCACVL1_07683 transcript:OMO89707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALLGFKAIYPHGKYKIKILLIFQAPRSEARG >OMO89708 pep supercontig:CCACVL1_1.0:contig08643:24444:26440:1 gene:CCACVL1_07684 transcript:OMO89708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGDSTSTTEREEFGEMDDWFSSPSPSAQSLLKKLLSHYKGNDPDTCLLKKACIICSIQDRIADGSIPEDILDSLLLVEQVDRTQGLAVSDSMRATFTVVALHCTLSFLPLSWYTYYDAVRRIWRLRLQILEDSHSTHLIGPELAQWRTDVEAALWDSEASQRLLRLNTHRDALHHLRVYLKEARSLIKPAFLQLAFLAPKDPVTAAAQPIDGAQLTALDEGAQPTAPPDEGPQPTAPPNEGPQPTAFPEEDAQPTAPLDKSKRVLRVNSQKGYKLDASRRHNRGLIVINDLEDDKPSCSNSGSLSTAEVNKVQDALNSRIADLLDVVTDPLPDALKVAERVASVLEERNKNKDVHASSVNPAVDVPGTSLNPNTELARATGENLNARATADNHSKDKSVPPPSIHPTCKPAQAGEENRESRAFIHQNKEVRPSLMERNGTARTYEWEDSINVSGTSSCSERCRLPSPKAKFVSPLKVCELKKWTKRRKRRMWSPEEEQALFDGVA >OMO64379 pep supercontig:CCACVL1_1.0:contig12834:7930:9369:1 gene:CCACVL1_21808 transcript:OMO64379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFLPSLEISSASAKIFSNPNSSPKILNRFTLLKSDGEKIGSKANVRLGSNDFGVSFPVLNQSLGLYGQFSAPVKRGSKPSKEEEEKQNYYVNMGYAIRTLREEFPDLFYRELSFDIYRDDVVFKDPLNTFVGIDNYKSIFWALRFHGRIFFKALWLEIVGVWQPAENVIMVRWTVHGIPRVPWESRGRFDGTSEYKLDKEGKIFEHRVDNIALNSPPKFNVLAVEDMIRSIGCPSTPKPTYFEISSSSASDRK >OMO64378 pep supercontig:CCACVL1_1.0:contig12834:5678:7021:1 gene:CCACVL1_21807 transcript:OMO64378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGERKYITAEELKEHNKPGDLWISIQGKVYNVSGWGKEHPGGEIPLLNMAGQDVTDAFIAYHPGTAWQYLDKFFTGYYLKDFKVSDVSKDYRKLYSEFAKNGMFEKKGHVAFCSLASVALMFLLVLYGVLRCDSVWAHLGSAMLLGMLWIQSAYVGHDSGHYQVMSSRGYNKLAQLLSGNCITGISIAWWKWTHNGHHIACNSLDYDPDLQHIPVFAVSSRFFNSITSYFYGRTLKFDPLARFLISYQHWTFYPVMIVARINLYVQTFLLLFSKRQVPDRALNIMGILVFWTWFPLLVSCLPNWSERFMFVAASFTVTSVQHIQFCLNHFSADVYVGLPEANDWFQKQTSGTLDISCSSYMDWFFGGLQFQLEHHLFPRLPRSQLRKVSPIVKDLCKKHNLPYRSLSFFEANKSTIRTLRTAALQARDLTNPAPKNLLWEAVNTHG >OMO64380 pep supercontig:CCACVL1_1.0:contig12834:16088:19045:1 gene:CCACVL1_21809 transcript:OMO64380 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Protein ABIL1 MEVELPRPDNPAMTFDEVSMERSKSFVKALQELKNLRPQLYSAAEYCEKSYLHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQQTLEVSTMELKASCLNQQLLMCQTYTAKEGLRQQQLLAIIPRHHKHYILPNSVNKKVHFSPHVQTDLRQNYCQAKTRLQPSGTPASKTLSWHLASETKSTVKGTSQTLASNDISKTSGGTSEVFQLLENGENTKAKSSAAPFPASNALVPTLGITHRELEGSKPMTAFRSFENPKLDIIRAPVRSKSVLSAFFVKQKAPKLKAGYVA >OMO64381 pep supercontig:CCACVL1_1.0:contig12834:20130:22272:1 gene:CCACVL1_21810 transcript:OMO64381 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MARLLFNRGRIVRRQERQKHQDKVLVIAEDYATLYKVNEKWPGHAVLVPPAPDSQTAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLGDPFPYLEGNHDVYFTDDMPAVKPPNHSHDLPPPGKKGRTYICSCMIFMRPTDGAKLVMKKWIEELQAQPWSKAKKANDQPAFNWALNKTAGQVNLYLLPQTAFPTGGLYFKNQTWVQETKGKHVIIHNNYITGFEKKIKRFRDYGLWLVDDHVLESPLGRL >OMO72357 pep supercontig:CCACVL1_1.0:contig11461:7705:7788:1 gene:CCACVL1_17847 transcript:OMO72357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLSLSPPKELPPTSRHRFDGQTRSE >OMP01521 pep supercontig:CCACVL1_1.0:contig06422:3620:4178:-1 gene:CCACVL1_03072 transcript:OMP01521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRARLSSVEVTLFGDSSPKLLHSNRASCAGIGPIREAVLGDPVEAKGKDLESISKKEPQVRSQRPVRSRQQCEKIKKVLPIVSVFS >OMP01520 pep supercontig:CCACVL1_1.0:contig06422:2563:3192:1 gene:CCACVL1_03071 transcript:OMP01520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGKSIQFLFRQLGWRGIWGLVFIALISGNTEVPGGRKWIPFFDSPGNASSELFTYTSDLEESANSGRTTSTSSVNQPIPREQAGAGPSNAGPSAPYVPQPDQVEVEVQQPQNNQNPDVIAAAALRGEIHSLIQERIRIETDRAFKAPLSRLFPESIQQYHQSAHSVMKDLELNDEIDPAILREFIKRLQEDPNFLKTEIRELTGKPK >OMP01522 pep supercontig:CCACVL1_1.0:contig06422:4556:5622:-1 gene:CCACVL1_03073 transcript:OMP01522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRSAPRLVGFFIATWKPARQRHRRAANKHGRITAWPNIQHNTTD >OMO59308 pep supercontig:CCACVL1_1.0:contig14010:33487:33585:1 gene:CCACVL1_24931 transcript:OMO59308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHQRKTMIDLGKIGQEDEEVPCLCPGARSVL >OMO59307 pep supercontig:CCACVL1_1.0:contig14010:11835:13339:-1 gene:CCACVL1_24930 transcript:OMO59307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MSSKSNWKPPKAIRGGIPICFPQFGNLGSLEQHGFAKNRMWSLDNDPSPLPPANNQSSVDLVLKSTEDDLKVWPRSFELRLRVTLSPGKLTLIPRVRNTDNKSFSFMFALCNYLSVSDISEVRVEGLETLDYFDNLVNRERFTEQADAITFDGEFERVYLSTPTKIAIIDHEKKRTFELWKDGMPDAVVWNPWDKKAKALPDLGDEDYQSMLCVDSAVIETPIILKPFEEWKGRQEISTVSSSYCSGQLDPRKVLYGFR >OMO59305 pep supercontig:CCACVL1_1.0:contig14010:3772:9338:1 gene:CCACVL1_24928 transcript:OMO59305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKIYALIILFLLLSLHFQPLFADKVEETKATKKASHKKGGRGASTIAVPGKAETVEPAAKPKVAVAVLPDFSYFFSSWVPCPVDKPHAQA >OMO59306 pep supercontig:CCACVL1_1.0:contig14010:10294:11339:1 gene:CCACVL1_24929 transcript:OMO59306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone isomerase MATTAENVIAKEEVVIEKVSSENGMKVAEDKTNGEVHEKEMTGKEAGIEVPKEETKKEDVPVEVEPKTGVSFPVKLDDGKQLSCVGMRKKSMLGLGIKIYGFGIYTDNEKLKDLMKSKIGKAPAKPTKELYQAVIDSDVEMMVRLVIVYSGLTMSMVRKNFDEGLGASIKKLTGGKKNDELANKVMGQASDDIKLTPGSLIEISRLPGYVLQTTVMGEVVSKVESELLCRAYINMYLGDDALDKDAKDKFGMFLLSLF >OMO59310 pep supercontig:CCACVL1_1.0:contig14010:61864:72986:-1 gene:CCACVL1_24933 transcript:OMO59310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDDKCNLCFNEAETLDHLFWPTIQFSLDEAVACQLVPHNGIDFYDFSKKRTSSSRYSWVVELELSKELGSHFAAYVAESVEGLPASVQMYACEKNSQSRHLATHIFPHCNVKRLTWNKGSTHLLVVAYGVDDTNPVRHGALSCLTTDGRHIKVIRSCSGGPIWDVQWSYTGNEFAVIYGFSARPASAKVFDKEGQSLYDLGPGCVPYTTIRWSPKEKLMDLWCLHWVPVVDDKFDKLLQVDWKPESLEKHDEINSEGKKEQGTDGQQVAKLSGASITELDNVIAILIVDVIKFLPLLFSLKMLSRQQKLPKRHLIRLMTEEEIKALQNQVMEMNILRESNMQLREENRHNFEECQKLREVAEKSRIQSETLESQLMERQIELEASKKEIEMVRTERDLLGKRVSEEILKEKAAQIKEIMRLLSKKQDTISKLEQDLASSKLELNEKDKKLNDNLGNIKSDMEKQKKLVLQYKGKATVLSELEKYQQALKRFTEEVEKLKHAEGNLPEGTSVVQLVFGTKFTSNLVGGYS >OMO59309 pep supercontig:CCACVL1_1.0:contig14010:36318:36398:-1 gene:CCACVL1_24932 transcript:OMO59309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLNYSGEGKGGVQERAAPPPLFH >OMO94303 pep supercontig:CCACVL1_1.0:contig07921:13238:13447:1 gene:CCACVL1_06063 transcript:OMO94303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDMKQDKRMHIQLHTAEFNTQRMSIKHTDTGGEQIRLSQNSMSASKGHGSRQHSKYRAQKYSSHVTLA >OMO60694 pep supercontig:CCACVL1_1.0:contig13681:6570:9553:1 gene:CCACVL1_23944 transcript:OMO60694 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-3-O-acyl N-acetylglucosamine deacetylase MCLSAAFSSLKSSKLISWRTTGALQQTLAGCIELTGKTLHSGKVSTVKISPGFAGEGRFFEFQSKLIPASIDFAQESPLCTTLCKDGYRVRTVEHLLSALEAKGINNCRIQIQSSDSEDTEVEVPIFDGSASAWVDAIEQVGRKDALDHCGNNAEKLAPYLNEPLHVSRNDSFVVAFPSPKVRITCGIDFPKVPAIGCQWFSLAADDSYEKHIACSRTFCVYEEVEHMRNAGLIKGGSLDNAIVCSASKGWLNPPLRFHDEPCRHKVLDLIGDLSLFAQSGNQGFPVAHVVSFKAGHSLHADFVRKLSGII >OMO60693 pep supercontig:CCACVL1_1.0:contig13681:4245:5834:1 gene:CCACVL1_23943 transcript:OMO60693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLCSRLFIIGTPKLFLLPPPLSRSESSFNIVLKAPTSHIISRLCPRFQVLRSYAHGGNKHYDLFGSKTPGDNDFRKAWQKEMDEDETLWTGSEDESDSENDASDSKNNRSRLEREIRKVRQQAKEHFELIDADDSDELRSVWSGSDEEKTLWTGSECDDDDDIPTEPYPNEASDKYLDKLFEFEEKPKYRTISELLKSEQEPEELSPGKKARKLAVENALKKLKKGPDGRYTNVWEVMSDIDILIGAFENIVSGPEYEELRKGGPKKLNMQFFKDIQARMRDPNYKFSPELKLKPKSKLVPRKKWQKAQSRRRKAQKR >OMO61176 pep supercontig:CCACVL1_1.0:contig13572:10443:18388:1 gene:CCACVL1_23712 transcript:OMO61176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKQEEILLSNNSINSSVGEIPVDKQNLAAPIKSAVDKYQLVPEFLKVRGLVKQHLDSFNYFVNTGIKKIVRANDMIVSDVDPSIFLRFKDVRIGEPSMTINGVSEKINPHACRLSDMTYAAPILVNIEYTQGSRGVKMKAEKNDLVIGRMPIMLRSCCCVLYGKDEAELARLGECPLDPGGYFIIKGTEKVMLIQEQLSKNRIIIDADKKGNINASVTSSSEATKSKTVIQMEKEKIYLLLNQFVKKIPIMVVMKAMGMESDQEVVQMLGRDPRYSALLLPSIEECAGINIYTQEQALQYLEAKLKRSMYADHASEKEGRALSILRVVFLANVPVRSDNFRPKCLYVAVMLRRMMDAILNKDAMDDKDYVGNKRLELSGQLISLLFEDLFKTTITEVKRHIDTVLSKPSRSSVLDPSLFLRTKEIITGGLERTLSTGNFDIKRFRMHRKGMTQVLARLSFIGTLGYMTKIQPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEDEGPLISLVCT >OMO61174 pep supercontig:CCACVL1_1.0:contig13572:3315:5847:1 gene:CCACVL1_23710 transcript:OMO61174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATNVVENKEEKLPQEVKDALQALASEWDDVVDSKALQVIPLKGAMTNEVFQIKWPTRTEVSRKVLVRIYGEGVEVFFDRDVEIQTFECMSKHGQGPRLLGRFPNGRIEEFIHARTLSASDLRDPDISALIAAKLREFHELDMPGPKKVSLWDRLRNWLNAARRVCPPEEAKAFRLEAIEEEISMLEKKLSGDRQRIGFCHNDLQYGNIMIDEETKSITIIDYEYASYNPVAYDIANHFCEMAADYHTETPHIMDYSKYPGLEERLRFLRIYLNSSGKQPSDTEVHQLLQEVENYTLASHLAWGLWGIISEHVNEIDFDYKEYASQRFQQYWKRKPELLGSS >OMO61175 pep supercontig:CCACVL1_1.0:contig13572:7244:9299:-1 gene:CCACVL1_23711 transcript:OMO61175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACASQAMISSANSYAFTSPKLLNKFSYKLNKTRQFQQFRFFTVRASSDEAEDCNEEECAPDKEVGKVSVEWLAGDKTKVVGTFPPRKRGWTGYVEKDTAGQTNIYSVEPAVYVAESAISSGTAGSSADGSENTLAVNAGLALIFVAAVSSILLQVGKKAPQVQTVDYTGPTLTYYINKFKPPEIIQAAAPIETESPSPAQPESSAPEVSQIQVQSELPPESSTPSTTS >OMO90694 pep supercontig:CCACVL1_1.0:contig08443:20324:25504:1 gene:CCACVL1_07318 transcript:OMO90694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGNEAKVLEKSHGRTYNQIVSVKNVVKYF >OMO90695 pep supercontig:CCACVL1_1.0:contig08443:42179:47870:-1 gene:CCACVL1_07319 transcript:OMO90695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MKNSVSDHSFYIESEEEEDEEKVFNRNEAEDDGDGNESDNSDSSEENQQQNKPSSYNTSWPQSYRQSIDLYSSVPSPSIGFLGTPTLSRLGSSFLSSSLTRRHTPESLSAVTKPLLPTVDDQIQPHRRSSHTLLPPIPSRKQSVIVDDKTSKVSHELPISKQCSYGQAVLNGINVLCGVGILSTPYAAKEGGWLGLIILFTFAVLSFYTGLLLRKCLDSQPGLETYPDIGQAAFGTAGRIALSACCVEYIILEGDNLSALFPNAQLSFGGIELSAPRLFAMMATLAVLPTVWLRDLSVLSYISAGGVVASVLVVICLGWVGVVDNVGFHHKGSTLNLSTLPVAIGMYGYCYSGHAVFPNIYTSMAKPNQFPSVLLACFGICSLMYAGTAVMGYTMFGEATESQFTLNLPKDLIASKIAVWTTNIIGDLNLDCWPQHSLFRFGNVIDRIFTHNACDLDTSSCLLFKHPEGQSISYSVGVVSSAFGTYSALSEIVESLTS >OMO49972 pep supercontig:CCACVL1_1.0:contig16337:7332:7466:1 gene:CCACVL1_30733 transcript:OMO49972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVYLEWVLSRLHSSFTYDYALLAFCRDYFRGFLGRMDPRAT >OMP05133 pep supercontig:CCACVL1_1.0:contig05516:14972:15055:1 gene:CCACVL1_02052 transcript:OMP05133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFKEITIIKFKMVMKFLVMKREKFIQ >OMP05132 pep supercontig:CCACVL1_1.0:contig05516:3732:8190:1 gene:CCACVL1_02050 transcript:OMP05132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate carboxyltransferase MSSLEEPLGFDKLPSMSTIDRIQRFSAGACRPRGDDMGMGNCWIEGRSCSTSNSCDEESEEYGGEAFPWRRHARDVSEGEAFNRRSSSLSKNRIKYSVGHICDSRYLPDHQHSCKCNDRGFRSITNKFLKGLPKFVKIVEVGPRDGLQNEKNIVPTSVKVELIRRLVSSGLPVVEATSFVSPKWVPQLADAKEVMTAVRDLGDARLPVLTPNLKGFEAAVAAGAKEVAVFASASESFSKSNINCSIEESLVRYRAVCRAAKELSIPVRGYVSCVVGCPVEGAISPSKVAYVAKELYNMGCFEISLGDTIGVGTPGSVIPMLEAVMAVVPAEKLAVHFHDTYGQSLPNILVSLQMGISTVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGVKTNVDLRKLMLAGDFISERLGRQSGSKTAIALSRVTSDASKI >OMO55835 pep supercontig:CCACVL1_1.0:contig14582:8660:10021:1 gene:CCACVL1_26968 transcript:OMO55835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MATLSLKLSMVLVMFLFQLFSGAHSSTFTIVNKCSYTVWPGVLSGAGTPPISPTGFTLQPGESTTISVPTSWSGRLWGRTHCTEDSTGKFSCLTGDCGSSTLECAGAGAIPPASLAEFTLNGAGGLDFYDVSLVDGYNLPMMVTPEGASAYNCSSAGCAADLNGDCPLELKVVDGTDGVACKSACDAFGDPQYCCSGEYATPNSCKPSSYSEFFKTACPTAYSYAYDDGTSTFTCAGADYVVTFCPAPSASVKSSNPMAVNVSAGSRPAPSALIGGAITIIVAAVWQLRHLF >OMO74012 pep supercontig:CCACVL1_1.0:contig11165:39445:40672:-1 gene:CCACVL1_17017 transcript:OMO74012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAQVSASLSLSIHDVCPISSRRTHTISRLPISSFSRSSSTFVTGSPLLIRTTYPQRKPAYKARSVSIKCEQSSQGGNSVDVWLGRLAMVGFAVAITVEISTGKGLLENFGLTSPLPKVALAVTALVGVLTAIFIFQSASKS >OMO74014 pep supercontig:CCACVL1_1.0:contig11165:46682:49195:-1 gene:CCACVL1_17019 transcript:OMO74014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKTPTLKNPSLSLSSNSSLSPAFFATSPNNLPFSRSQRQPRFLIITASSASFSNKPTVLVAEKLGEAGVTLLKEFANVDCSYNLSPEELCTKISLCDALIVRSGTKVNREVFESSGGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLAAMARNVAQADASVKAGKWERNKYVGVSLVGKTLAVLGFGKVGSEVARRAKGLGMHVIAHDPYAAADRAHAIGVELVSFDEAIATADFISLHMPLTPATSKMLNDETFAKMKKGVRIVNVARGGVIDEEALVRALDSGIVAQAALDVFTVEPPPQDSKLVQHERVTVTPHLGASTMEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPAEVLTELKPYVELAEKLGRLAVQLVAGGSGVKNVKVSYATSRSPDDLDTRLLRAMITKGLIEPISSVFVNLVNADYTAKQRGLRITEERVILDGSPESPLESIQVQIANVESKFASAISESGEIKVEGRVKDGIPHLTRVGSFEVDVSLEGSIILCRQVDQPGMIGKVGSILGEENVNVSFMSVGRIAPRKQAVMAIGVDEPPSKESLKRIGEVPAIEEFVYLKL >OMO74017 pep supercontig:CCACVL1_1.0:contig11165:69179:71865:1 gene:CCACVL1_17022 transcript:OMO74017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTFLLPATRSGICAVAVGPLPLQRHRPPILLSPPKFLTSLKPRKLKPILSPSASYDPLDVKRSKLFLSRASSSPVSPSFTSSNDDTEKAKLAQVAKRLESTSRYFKRLGNLGFWGQLVCTVVSAVILSFSVVITGKITSPATFYATAGGIAAAFISVFWSFGYIRLSEKLKKSANDPSKAPPRADVVKSLKNGITLNLLGMGAAILGMQATVGLLVAKALTSSTNPYYQGISPGSSPVLALDVFLVQASANTILSHFLGLVFSLELLRSVTLPNTESIPIPKLA >OMO74010 pep supercontig:CCACVL1_1.0:contig11165:35276:36477:1 gene:CCACVL1_17015 transcript:OMO74010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSSRPNSSSKSDKRRAEKERRVVQFYAKVGDTVASLTAKKDITKKKKLRSRQRKLKAYDFAALSEFLPELQAPKQPTAADFKLNCKSRQKLILREGKQLSAVQSHPAFQADPLAAIHQHLQNTQPVSEEKPKKKTSKNGGKKKKSKKSKGSSGPQSMDM >OMO74013 pep supercontig:CCACVL1_1.0:contig11165:41204:45835:1 gene:CCACVL1_17018 transcript:OMO74013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSIGSHFSPSFQFKKRSFHTPSVHPLPSFGSSLCRIRGPKLLRNGVLARAEDKARGSSSPNPPVQPNKEKQLEELNAPSGSCDPLCSLDETSSLDLEATYQPKSDLLKTAAIFTAVITGALALNLSWVAGNQDVAMVLLFVVGYAGIIFEESLAFNKSGVGLLMAVSLWVVRSTTAPSTNIAVAELTHASAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNITTRKPRTLLWVVGFVTFFLSSILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLQTMKGLFLPSVVSLAVPLALMSLTSEVNGKGQESPNVLASEQMAPRGQLVFAVGIGALVFVPVFKALTGLPPFMGMLFGLGVLWILTDAIHYGESERQRLKVPQALSRIDTQGALFFLGILLSVSSLESAGLLQELANYLDAHIPNVELIASAIGVVSAIIDNVPLVAATMGMYDLTSFPQDSEFWQLVAYCAGTGGSMLVIGSAAGVAYMGMEKTDFFWYFRKVSGFAFAGYAAGIATYLAVSNLHISLPSTLAQVPFLSGS >OMO74016 pep supercontig:CCACVL1_1.0:contig11165:60510:63928:-1 gene:CCACVL1_17021 transcript:OMO74016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNMMRSFHSFFTFFLLLLFLVPSSVLALNTDGVLLLSFKYSILSDPLSVLENWNYDDETPCAWKGVICTEIGVPGTPDIFRVTSLVLPNSHLLGSISEELGHIQHLRHLDLSSNLFNGTLPGSIFNSTELQVLSLSSNVISGQLPESIGNMVSLQLLNLSDNALAGKVPDNLTALKNLTVLSLRSNYFSGNVPGDFSSVEVLDLSSNLLNGSLPLDFGGNHLRYLNLSYNKISGPISPEFAKQLPLNATIDLSFNNLTGAIPESSSLLNQKTESFSGNIELCGKPLKNLCAIPSSLSTPPNVTQSIPPAIAVIPKSIDTNPSVASSTPGEPNNIQNQAKGSLKPGTIAAIAVADLAGITILGMIILYVYQLKKRKDLTATATTTTTTTSSKPEKKPDVPVSKAVVELGTPPPSSSLCSCIKLKLIETSDTWTSSDSDDQEDQRQNHDVINVNPSKLVTVDGETELELETLLKASAYILGTSGSSIVYKAVLENGTAFAVRRIGESSVERFKDFESQVKNIAKLRHPNLVKIHGFYWGDDEKLVIYDFVSNGSLACTSYRRAGSSPCHLPLEARLKIARGIARGLAYIHEKKQVHGNIKPSNILLNANMEPLISDLGLDRLVSGNAAGYKANSSGRFLSSQRSTTSRDNGQVPEPPSSPSRHAASTSTASSSTGPSTQAYQAPESLKSIKPNPKWDVYSFGIILLELLSGRVFTTRELSQWALPAGSVGEEKNRAVRLADVAIRGDVEGREEDMLACFRLGFSCASFVPQKRPSMKEAAQILEKIPSAYSSSSY >OMO74018 pep supercontig:CCACVL1_1.0:contig11165:76990:78632:-1 gene:CCACVL1_17023 transcript:OMO74018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGRPKDGNTQLTVVEQKPGLPPRPPSATSTAIVEYEKPVFKEEEEDLEIKLRRIIENVPVRVSNTSGSSAGSGSGDFHQYRQMRRKEQDRLARMDADYQKRKEIAEYTMRREERLKAAEERTAKKRLKRQKKKQRKKEKKIKSSAEGEENGKEESSADEGDSDNDEEAAK >OMO74015 pep supercontig:CCACVL1_1.0:contig11165:57127:59423:-1 gene:CCACVL1_17020 transcript:OMO74015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDINNPEQDQSSSTPKHIFILSGQSNMSGRGGVKHQHWDGVVPLECQPHPSILRLSANLDWEQANEPLHFDIDTSKVCGVGPGMSFANALREQLGSECVGLVPCAVGGTAIKKWARGQKLYESMVKRSKESINKSDGKIKALLWYQGESDTSNRHDAEAYKQNMEKLIQNVREDLGLPSLPVIQVAIASGEGKYVEKVREAQLGMNLPNVVCVDAKGLTLKEDHLHLTTDAQAKLGQMLGQSNMAGRGGVTKLYQWDGVVPPECQPHPSILRLSAKLEWEPAREPLHIDIDTLKTCGVGPGMSFTNSVREILGSECIGLVPCAVGGTAIKEWARGEELYENMVKRSKESVKSKGEIKALLWYQGESDTLTQEDAEAYKGNMETLIHNVREDLGLPSLPIIQVAITSGDERFIEIVREGQFGINLPNVLCVDAKGLPLKEDNLHLTTEAQVKLGQILADAFRTLVVNAC >OMO74008 pep supercontig:CCACVL1_1.0:contig11165:27177:28867:-1 gene:CCACVL1_17013 transcript:OMO74008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLPLLHLFLLLSTAITATASNSAYPSVPTDCTLSTAGDEKLVPIRREVYGINDK >OMO74005 pep supercontig:CCACVL1_1.0:contig11165:5120:6423:-1 gene:CCACVL1_17010 transcript:OMO74005 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root cap MAQPTMKLLPILVLVLLAVAEAQTGKGNNGNGNNGNGNGNGNGNNGNGNGNNGNGNGNNGNGNGNNGNGNNGNGNGNGNNGNGNGNGNNGNGNGNGGNPPPPPMTVRCKNKHYPQCYNLPFVCPSSCPGACMVDCVTCKPVCNCDKPGAVCQDPRFIGGDGTTFYFHGKKDQDFCLVSDSNLHINGHFIGRRNENMKRDFTWVQSIVILFENHQLFLGALKTSTWDDSVDRLVLTFDGEPVTLPESQGATWQSGKVSITRAGATNFVTVEVEDNFKITAKVVPITEEDSRIHNYGITKEDCFAHLDLGFKFYSLSNDVHGVLGQTYKPGYVSRLNIGAKMPVMGGYRDFHTSSLFATDCAVARFTGSSEGDALMMPSEMPGLNCGSGIGGRGVVCKR >OMO74006 pep supercontig:CCACVL1_1.0:contig11165:22207:24543:-1 gene:CCACVL1_17011 transcript:OMO74006 gene_biotype:protein_coding transcript_biotype:protein_coding description:kynurenine formamidase-like protein MTSLFLHFLLIVSSAFTSTATTAYPSIPGTDSTTDCGLSGGDEILKPIRREVYGDGRIFDISHRENHSARV >OMO74011 pep supercontig:CCACVL1_1.0:contig11165:37143:38508:-1 gene:CCACVL1_17016 transcript:OMO74011 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, Rab type MGSTISRIAKKFGLLPQYRLRILMVGLDASGKTTILYKLKLGDLLKTKPTIGFNVETIEYRNICFDVWDIGGQSKIRAMWRHYFLDTQGIIFVVDSSDRERISEARNALHSMLSDNELANTPVLVFANKQDLSNAMTPTEIADKLGLHCLGQRPWYIQGTSAHSGFGLYEGLDWLSNNVPCKAEEFSYCSIPHLFSHRNDQNEFDLKLIEMEKEVVIT >OMO74007 pep supercontig:CCACVL1_1.0:contig11165:25137:26771:-1 gene:CCACVL1_17012 transcript:OMO74007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTIVPLPLLHLFLLVSTTITFTGTATASSSAAYPSVPTDCTLSTAGDEKLVPIRREVYGGGNIFDISHRYTANMPSWGSNDGLGQFLWLPVSMKNGNQ >OMO74009 pep supercontig:CCACVL1_1.0:contig11165:31346:32500:1 gene:CCACVL1_17014 transcript:OMO74009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNISPSLLLLLLLLYVSLAQALTSPQDISALKAFKASIKPSSIPSWSCLASWDFSVDPCAIPRRTHFTCAVTCSPDATRVTQITLDPAGYSGQLTPLVSQLTQLITLDLSDNNFFGPIPSSISSLQSLQSLTLKSNSFSGSIPDSVTTLKSLEFIDLSHNSLSGPLPKTLNSLSSLTRLDLSYNKLTGLLPKLPRNLLELAIRGNSLSGYLSKDCFDGLTQLEVVELSENSLAGTLEAWFFLLPSLQQVDLANNSFTRVEISKPPGGNSDLVAVNLGFNEIEGNVPANFADYPLLSSLSLRYNRLRGAIPLEFSKKTSLRRLFLDGNFLIGKPPSGFFAGDTSVSGSLGDNCLQGCPGSSQLCTPSQKSYAVCKQAYGGKPRS >OMO53860 pep supercontig:CCACVL1_1.0:contig15142:655:22039:-1 gene:CCACVL1_28283 transcript:OMO53860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEKMRMSLKSISILFFFILISLISVESQSCTKGCDVAVASYYIWQGANLTFISEVLNSNISPSSTVNFDPILSYNPQLSSKDSVLADTRINVPFPCDCINGEFLGHVFQFSVRSGDTYDKIAGTYYANLTTVDWLQRFNSYPANNIPDSGTVNVTVNCSCGNAAVSKDYGLFITYPLRPGETLNDVLNQTNLTSDFSGLVQSYNPQANFSAGAGLVYVPGRAKVVWPSHHDAFVMILGISGGVIAGIVIAAIVVLLLLAVGLYVGFFRKKKVKGATLLSTASQELSAQANVTGSKSAESTQGVALSPGLTGITVDKSVEFSYEELAQATDNFSLANKIGEGGFGAVYYAKLRGEEAAIKKMDMQASKEFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEFIENGNLSQHLRGSTRDPLPWSTRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFHGKVADFGLTKLTEVGSTSLPTRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLYELISAKEAIVKANGSMAESKGLVALFEDALTAPDPREELCKLIDQRLGDDYPLDSVFKMAQLAKACTQENPQLRPSMRSIVVALMTLSSSTEDWDVGSFYENQALVNLIQAIAASRGLTGITLDKSVELSYEELAQATHNFSIANKIGEGGFGWGKFERRELISIFRKKKAKGATSLSTASHDLSAQAGNVSPESTPAAAVSLGFPGITVDKSVEFPYEELAQATDNFSLANKICEGGFGAIYYATLRGEEAAIKKMDMQASKEFLTELKVLTHVHHPNLVRLLGYCVEGSLFLVYEFLENGNLSQLLRGSSREPLPWSTRVQIALDSARGLECIHEHTVPVHIHRDIKSANIFIDKNFHGKVAGFGLTKLTEVGNASLPTRIVGTFGYMPPEYARYGDVSPKVDVYAFGVILYELISAKEAIVKANGPNAESKSLVTLFEDALNEPDPKEELCKLVDPRLGDNFPLDSVFKMAQLAKACTQENPQLRPRVVESKYTKGCGLALASYYTSAGINVSFISEVLYSQLVPKGVVDPILDFNRGVAGIDWLEAYTRINVPFPCNCINGDFLGHVFEFEPKLGDNYDKIANFYFANLTTPEWLERFNIYDGHRIPEGSKLNVTVNCSCGDTSFSKDYGLFITYPLRSGETLDTVLIQTNLSKDVGELVQSYSEGVNFSRGDGLVFVPGKGAVAGLLLAAGVLYFGFKWKRLSTSNIQPAQSRPAHRRKRNGPKATFIDTSIEFSYEELDHATNSFSMTHKIGEGGFGAVYYAELRGEKVAIKKMETQASKEFIAELRVLTHVHHLNLVHLIGYCVEGSLLLVYEFMENGNLSQYLLGSGNRDPLPWSTRLQIALDSARGLEYIHEHTVPRYIHRDIKSANILIDRNFHGKVADFGLAKSKLSSKAGSAALIPSRLFGNVLNQPDPREDLCKLIDPRLGDNYPYDSVYKMALLAKACTQENPKLRPNMRSIVVALTTLSSATDNGNVELDSLYQDLAPLNIIVTTGPENEPFETSKELCKLVDPGLGDNYPLDSIFKMAQLAKACTQENPQLRPSMRSKEYWTVVETGVPELAAAATDAQKVEADSLRLKDLKAKNYLFQAIDRVLLETILHKETSKQIWDSLKKKYQGNARARRQLLQSLRGEFETLQMKPDESVSDYFSRTMAVTNKLRIHGDSVADIKIIEKVLRSLTTKFNFVVAAIEESHDLDEMTVDELQNSLQIHEQKIRRQENEEQLLQTQTQALQVSTKPGASDKSKGHGKGKSSKDHEGDRKGSWEKQKGAGNKGKSKAHIECFRCHKRGHYKSECRTNLSKGGERSNYIEQEEKEAEISLLMVCHTKEESAKNMWYLDTGCSNHMSGDKKAFAELDETFTDTVKFGDDSTVEVKGKGNVRIQTNSGQVQYILNVLYVPDLKTNLLSIGQLQEKGYEIVIKNGVCQVKDAKLGLIVQAKMTSNRMFPVYLQNSIFNCLAVEQGDLAWLWHYRFGHLYFGGLQQLQRHDMVRGFPKFEQPSDVCEECVLSKQHRDAFRKGKAWRAQHVLELVHTDLCGPITPTSNGGIKRQMTAPHTPQQNGVCERKNRTILDMVRSILRRSSMPRTFWPEAVAWSTHVLNRSPSRALNSTPEEAWSGVRPAVHYFRVFGCIAYAHVPDQKRKKLDDKGEKCVLLGVSEESKAYKLYNPTTKKLIISRDVVFNENEFWPWDSPREMSKTASILDDEVDEVVVQPVVSQQQMQQEIGVSTRPQRIKRRSTLLEGFEVTGLPNFDSDHVSQLALFADCDPVLFEEAVKEAKWQKAMAEEIKAIEKNNTWELVDLPEGEKAIGQDWILFEWVIALAAQNSWSIYQLDVKSAFLHGELKEQVFVEQPSGFIKYGDEHEVYRLRKALYGLKQAPRAWYSRIDSYFVKEVFKQSMEKEFDMTDLGMLSSLFLGFGDYSIRGRHFCLPKEYVNEVLDRFQMGDCNCATTPMEQSLKLVKNPGGEYVNSSLFKQMVGSLMYLTASRPDIMHSVGIISRFMEKPMQQHLLAAKRILHYLKGTPDFGLFYRKRDQGELTGFVDSDFAGDLEDRKSTTGYVFKLGSAAIAWSSKSSP >OMP10855 pep supercontig:CCACVL1_1.0:contig01850:848:907:-1 gene:CCACVL1_00771 transcript:OMP10855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAAKKHKPEKTIWAPATPS >OMP10533 pep supercontig:CCACVL1_1.0:contig02277:244:345:1 gene:CCACVL1_00894 transcript:OMP10533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFDPKLEIMGVIRKRIRKWLLMESKLPNSEGS >OMO93832 pep supercontig:CCACVL1_1.0:contig08052:5831:19577:1 gene:CCACVL1_06330 transcript:OMO93832 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease H protein MEDSLSAPTSKEGTPRSFRKFKRTRTDSADQEEMIETCEDGMVDGESHLPSQNTSYKDRLIGVKLSEKQTWPDWDVDDDDGDVCFADVASDSEEPVISGARASFSEEEKREISPEESFGPWKLVQRKTRRPVRDQTSNVNTYGASSGNPGPFGAGGIKRDDQGRILTAFSRKLGCTTSVLA >OMO93831 pep supercontig:CCACVL1_1.0:contig08052:3998:4219:1 gene:CCACVL1_06329 transcript:OMO93831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVDDLTLRRFLRARDLDVEKASGVVSFVRLRHKSVTKSNRTRCSCKDQTRKVAPYPFFLLLDIFNMKGVLKNSN >OMO74619 pep supercontig:CCACVL1_1.0:contig11110:22749:28690:-1 gene:CCACVL1_16578 transcript:OMO74619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MLEPREADIPALFLVLVVLPLVAYFLLGKWSEASKKQERISLLAQLAAEEALRAETMAAASVIPLVPSSKKVLHVCARCFGPATTRCSRCKAVRYCSGRCQIIHWRQVHKQECLQLESASSSSSPSVASLEESALLSESMNSQFFGHINKQAVLEKAPLDSLSHSPITTGLFANVDNSTVDTSQVSAPEKRSTDRRISRKSNREMLRREDVASFDSCEETSRVRATSSISNNISSKEAFTRQKSRTSGFVVSEEGMSKQQNAIGSEMYINGQNVRSAMYESNKHQNQHGNLSEPKSSYEFCGPPYSAKRGTSANEAENAFVLSAENSVNEENAYGADSVELDCSGMTLPKECSKSRSSLHSLGPKISRSPKLAVKASEEQLCPEMERKGQILDESISGMTGAIPAPGINGPTSMGIMEMMGMRKSAKLARQNLSATCGDRRKKIKMLFPYEEFVNFFQCEFFDLSPRGLLNIGNSCYANAVLQCLTCTKPLSIYLLHRSHSRACYGKEWCLMCEFEQHVMLLRESGGPLSPSSILSHIRSINCQMGDGSQEDAHEFLRLLVASMQSICLERLGGEHKVDPRLQETTFIQHTFGGRLRSKVKCLRCSHESERYENIMDLTLEIFGWVESLEDALTQFTTPEDLDGENMYRCGRCAGYVRARKQLCIHEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMVPFMTGTGDIPPLYMLYAVVVHLDTLNASFSGHYVSYVKDLRGNWFRIDDTEVRPVSMSQVMSEGAYILFYTRSSPRSQRAFTEKSMQKQAPVPTRHPTTKTEKPSRPAQSKSSSHSFGPKNFSDLRPEIATGCINNSSGILRQSANGNIHPVMEMYADPMEFSDATASDWSLFTSSDEASFTTESTRDSFSTVDHADASNGDPFSIFNNLYTPESSSCNTVSCRMFSTSRPQTRYVVEETGYVMDSYSSTQPVIRVQENLKQFVKVPTD >OMO74621 pep supercontig:CCACVL1_1.0:contig11110:36278:36394:1 gene:CCACVL1_16580 transcript:OMO74621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNQILRPHPLLTIRHVSRKLHRTIELKSRRAISDMT >OMO74615 pep supercontig:CCACVL1_1.0:contig11110:9641:10090:-1 gene:CCACVL1_16574 transcript:OMO74615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLVQENSFAFGSDNEFNSAFLMSFLEEPACQEYNHEELDRLMRSLEAEINPNATDINDLMMDAESSSDESHIGYESESVDLEFQWGDMEAMPSPSPSQDMNWEMDAQGEGIDALVEIGDNFSDLYFDDVVEGKMYTTSWIDELDQMQ >OMO74616 pep supercontig:CCACVL1_1.0:contig11110:16457:16870:-1 gene:CCACVL1_16575 transcript:OMO74616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMVEERSAFEGFMEDIEAPKIDGAFLMSLLEETHGEECFNDEELNIVIRSLETEINANTIMENHEFAMESEFGCRDIGGDLDWNEMEMVLSSQNNDMNLFVEDQIMEFMDESSYSQNYYGVNVPLEENGYSSLWQ >OMO74614 pep supercontig:CCACVL1_1.0:contig11110:7643:8080:-1 gene:CCACVL1_16573 transcript:OMO74614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLNQKPALIEGINGLEEIEFQEIDAVLLMSLLEESHCEEYCNEEQVNSLMESLEAEIRMANHDDSCNVADMESNDGFDCLDWTDMETVPSSPSDDMNWYMEDHVEEMDGLMEFGNEFPQNYYEVPLEHGYLWQETYDAVICN >OMO74618 pep supercontig:CCACVL1_1.0:contig11110:21551:22343:1 gene:CCACVL1_16577 transcript:OMO74618 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding protein MAASAAFNTLIKLPLVLSLLSNTGLLPETSKNNNNVAIFPPSCNRIECPSFDVIEVGNGFEIRRYNSSVWMSTSPIQDISLVDATRTGFLRLFDYIQGKNKYNQQIEMTAPVITEVTPSDGPFCESSFTVSFYVPKVNQANPPPADGLHVQIWKSTYVAVRQFSGFVRDYDVAEQAAALEASLDGTVWSDAIEKTQKAGAASGYIVAQYNSPFEYSDRVNEIWLLFDMEE >OMO74620 pep supercontig:CCACVL1_1.0:contig11110:33741:34097:-1 gene:CCACVL1_16579 transcript:OMO74620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVPCKHFLLVAFVLLCFISTTTKARSLPKAPSTPEKGHGDEVVLTATQDGDSNVEELVAVDYTPARRKPPIHN >OMO74617 pep supercontig:CCACVL1_1.0:contig11110:18446:19789:1 gene:CCACVL1_16576 transcript:OMO74617 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MYPWFALGHITSFVHIANKLAERGHKVSFLLPAKTQHKVEAFNLNPDFLTFIPITVPHVEGLPNGAETTNDVPVTLHPLIMTAMDRTEPDIEALLVQLKPHFVFYDFTSWLPALTRKLGIKAVLYCTVSAATMGYLLSPERKTLEKGLTGLDLLKPPQGFPTSCIKLRTHEARGLAFVTTMEFGSGVSFVERLFRALNECDVMGFKTCKEIEGSYCAYLGNQFKKPVIYAGPVIPESPKMALEEQWEKLLSSFGTKTVIFCAFGSECVLKKDQFQELVLGLEFTGLPFLVALKPPMGAETIESALPEGFQERVKGRGFVYGGWVPQQLILRHSSLGCFVTHCGSGSLAEAMVNDCQLVLLPHVGDQIINARLMAGELKIGVEVEKGDEDGLFTKESVCKAVKAVMDEDSEIGKVIRVNHGKWKEFLLSPGLENSYMDGFVEELHSLI >OMO77387 pep supercontig:CCACVL1_1.0:contig10771:3804:7847:1 gene:CCACVL1_15027 transcript:OMO77387 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MAATNNTPNTPISINATAQLPLKLTAKNFSSWRAQFDALLQGFDLYGYVDGTKTPPAKEIQQNGQTLTNPGYSYWLRQDKLIFHAIIASTSEAVLPCVASSKTSNDAWQKLLKLFANKTRSCIMDLKSSFTTTKRNNLLVAEYLQQMKQIVDELNLTGTVIDDDDHILYILNGLGPEFRELSIAIRARESSISYEKLYDKLVSFEILLNQEDANSNLQVGHSAEQCRRGRSFFTNQPSANLTTASSTGKSWCLDSGASHHVTADLKNLSIASDYDGCDAIQIGDGTGLPITHTGDTKLASPNQCFQLHDVLYVPTIAQNLILVSKFCKANNVSVEFDYAKFLVKDLQTQAILTRGLNVDDVYHFPSEFQPIKPVAFSTTPNLIVLQNPTLPMSSTSPPPSSSSTPAITANRVLPQEPVSPLTECAKICENQQQLISVSPLEPTTHPMVTRSRNNIVKPNPTYLMATKHPVSEIEPTCVSKAVKDPNWRAAMFDEINALLRNGTWKLLPPSPSQNLKAIYGLKQAPRAWYNALSSFLLQFGFTQSRFCLLIVQAIFTQGSGLSGYFLGIETVTTANGIFLSQKKYITDLLHRTNMLDCKPIATPMASTISLSLDVGQPLSDAKEYRSALKRVLRYLKGNISTGLSLLKSKLTSSSLYAYADADWAGDKSDRKSTSAYLVYFNGNLVSWKCNKQKTMARSSTEAEYRAIASAAVELAWIQNLLSELKVLFSTAPTIFSDNIGATYVSANPALHSKMKHISIGFHFVRDKVQAGSLQVKHVSTHDQLTDLLTKPLPRQKFQQLHSKIGVSPAASPS >OMP10673 pep supercontig:CCACVL1_1.0:contig02053:241:606:-1 gene:CCACVL1_00826 transcript:OMP10673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREFLVLGSNIGRGEEAGGVTMHGSGGGFLLLICMIVFSLSIISMVIFACGDNHDDDKDDPQKSRKKEQPGCDGVECDCWGDCGDCGDGGGGDGGGGDGGGGDGGGGGGGDGGGGGGGGC >OMP07713 pep supercontig:CCACVL1_1.0:contig04249:621:930:1 gene:CCACVL1_01239 transcript:OMP07713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLEYYRGHYNKSGYLELRRRGRSPYCLFRLVLLGSYLALGVACFGFGAFHVTGLYGPGIWVSDPYGLTGK >OMP12304 pep supercontig:CCACVL1_1.0:contig00159:958:1128:-1 gene:CCACVL1_00048 transcript:OMP12304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASRRRTSQSLSRQSFKSKDGIPRSQRRVSTHVNIDKSPKEVTGAHQKSDLARPGDQK >OMO77877 pep supercontig:CCACVL1_1.0:contig10698:7506:9506:-1 gene:CCACVL1_14750 transcript:OMO77877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVSTRKVIFSSGWMKITSSASSLTLERIVETMGVIDDNICYEMLNHTSFKSVSASSSKHEFRPNESDGGFEEDFVGRSPERLNC >OMO79670 pep supercontig:CCACVL1_1.0:contig10387:6534:7538:1 gene:CCACVL1_13516 transcript:OMO79670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MEAAKKFLVFLFLLSLLSVSTAAPSLKYRARKPCKSMVFYFHDIIYNGKNAKNATSAIVGAPSWGNRTILAGQSHFGDLVVFDDPITLDNNLHSTPVGRAQGFYFYDKKDIFTAWLGFSFVFNSTEHKGSLNFAGADPLMNKTRDISVIGGTGDFFMARGVATLMTDAFEGEVYFRLHFGKLFGVAVAEPEKRPNGLCIKIYFCPSIMGYNKHQIPWA >OMO79671 pep supercontig:CCACVL1_1.0:contig10387:9071:9631:1 gene:CCACVL1_13517 transcript:OMO79671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MAATRLVSTILCLLLLFSSTTAKKIPSRRREPCKQMVLYFHDIIYNGKNAKNATSAIVGAPAWGNKTILAGQNHFGNIVVFDDPITLDNNLHSNPVGRAQGFYLYDRKDYFTAWLGFSFFFNSTNWHRGTLTFAGADPLNKTRDISVIGGTGDFFMARGIATLMTDAVEGEVYFRLQVDIKLYECW >OMP11269 pep supercontig:CCACVL1_1.0:contig01426:2350:2454:-1 gene:CCACVL1_00589 transcript:OMP11269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLATYSWNSYQTVINLNYWTIALMTAAVAMDLLP >OMP05419 pep supercontig:CCACVL1_1.0:contig05396:6512:11423:-1 gene:CCACVL1_01910 transcript:OMP05419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEQLQLPECRCRPHPPTFKFATHAPSVIADNTAAKPLSSAAFVPMSQKKFIPL >OMO97301 pep supercontig:CCACVL1_1.0:contig07251:7004:8532:-1 gene:CCACVL1_04605 transcript:OMO97301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVRKAVCSTTAGLNGGGLIHTTPSQAL >OMO97302 pep supercontig:CCACVL1_1.0:contig07251:10485:17346:1 gene:CCACVL1_04606 transcript:OMO97302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MATGGGNYRNGSHRNSLKGLSTITATDRPLSVNSNPSKPALRNKSLSSVTASSGLRKSSPASLGAAKDDAGVPGRVRVAVRLRPRNAEESVADADFADCVELQPELKRLKLRKNNWDTDTYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTYTLGRLGEEDTAYRGIMVRAMEDILAEISLESDSISVSYLQLYMETLQDLLDPTNDNISIVEDPKSGDVSLPGATLVEIRDQQSFLELLRLGEAHRYAANTKLNTESSRSHAILMVHVKRSVKGRESAHSSENGTSTNMTKSLRAPLVRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTIMFGQRAMKVENMLKLKEEFDYKSLARRLDIQLDKLIMEHERKHKAFEDELERITTDAENRISEVERNYADAMEKERLKYQKEYMESIKKLEEKWMMNQQKQSSERKEQMASTAEEVAEVKKSLSKETSLRKAAEEEVDSLKSQLAQLRKSEASANSEILKLRKMLEDEAHQKEKLEGEISMLQSQLLQLSFEADETRRRLDIGGPGKGPGNLDSLISQVRPQPKVSGNGEKASVAKLFEQVGLQKILSLLEAEDADVRIHAVKVVANLAAEETNQQKIVEAGGLTSLLMLLGSSEDETIHRVAAGAIANLAMNETNQELIMSQGGISMLSMTAANAEDPQTLRMVAGAIANLCGNDKLQTKLRGEGGIKALLGMVNCGHPDVLAQVARGIANFAKCESRASTQGSKTGRSLLIEDGALPWIVQNANNEASPIRRHIELALCHLAQHEVNAKDMISGGALWELVRISRDCSREDIRSLAHRTLTSSPSFLAELRRLRIEY >OMO97300 pep supercontig:CCACVL1_1.0:contig07251:3880:4395:1 gene:CCACVL1_04604 transcript:OMO97300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, AN1-type MGSEQNEGTSFPPTEPNKLCANGCGFFGTAANMNLCSKCYRDLRAGEEQAAKAKAAMEKSLSVKTKQDVEKSLLDVKPVVAVPHVGSSSTTVEPPAVAAGNDQPEPKMANRCFICRKKTGLTGFKCKCGSTFCGEHRYPEKHECNFDFKGAGRDAIAKANPIVKADKVERF >OMO97303 pep supercontig:CCACVL1_1.0:contig07251:20218:21108:-1 gene:CCACVL1_04607 transcript:OMO97303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVTSHGLSLPPPFHIRDFNLHQQQQQQEHQFHHQNSEDEQSGSSSGLRKRDRDDNNNNSEGKDMTGEGEITRRPRGRPAGSKNKPKPPIIITRDSANALRTHVMEIGDGCDIVESVATFARRRQRGVCIMSGTGTVTNVTLRQPASAGAIVTLHGRFEILSLAGSFLPPPAPPAATGLTIYLAGGQGQVVGGSVVGTLICSGPVVIMAASFSNAAYERLPLEEEEQQLAPMQGGAIGSPGGGGGGAVGGQQQQQQQQVMGDSNAPLFHGVPPNLLNSIQLPTEAFWATGGRPPF >OMO59158 pep supercontig:CCACVL1_1.0:contig14045:29796:32948:1 gene:CCACVL1_25043 transcript:OMO59158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase MSTASINGWCFTSSTKSSLRRATFRPSVSAKFNSSVAPPTLIRNEPVFAAPAPFFTPTNWSEDMADTKSYEEAVEALKKLMSEKGELKTVAAAKVGQITAELATGSSSDGKPADPSVERLKQGFIHFKREKYEKNPALYGELAKGQAPKYMIVACSDSRVCPSHVLDIQPGEAFVVRNVANMVPPFDQQKYAGFGSAVEYAVLHLKVSEIVVIGHSACGGIKGLMTFPFEGPNSTDFIEDWVKIGLPAKKKTITENQGEPLGVQCSFCEKEAVNVSLGNLLTYPFVRDGLVNKTLSLKGGYYDFVKGSFELWSLQYSLSSPLSV >OMO59155 pep supercontig:CCACVL1_1.0:contig14045:1909:9063:1 gene:CCACVL1_25040 transcript:OMO59155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MARVRKRSRHLSKLCSFSCCRPASTDEHAQIGQKGYSRLVYCNEADSQEQIRLKYRGNYVSTTKYTAANFIPKSLFEQFRRVANIYFLVVACVSFSPLAPYSAPSVLVPLIVVIGATMAKEGVEDWRRRLQDIEANNRKVQVYEKSCSSFKETKWKDLRVGDVVKVNKDEFFPADMVLLSSSYEDGVCYVETMNLDGETNLKLKHALEVTSSINNDEALKKFRAVIKCEDPNEHLYSFIGTLYYECQQYPLSLQQILLRDSKLKNTEFIYGVVIFTGHDTKVMQNAIDPPSKRTKIERRMDKIVYVLFSTLILVSFIGSLFFGIETKQDISGANLRRWYLQPDKTTVFFDPRRASLAALFHFLTGLMLYGYLIPISLYVSIEIVKVLQSIFINQDQAMYDEETNRPAHARTSNLNEELGQVHTILSDKTGTLTCNSMEFVKCSIAGTAYGRGMTEVEASLARKRGESVPEQMPIESGKSVKGFSFRDERIMNGQWVHEPHADKIQKFFRVLATCHTAVPETTESGELVYEAESADEAAFLIAAREIGFEFFLRNQTSIKLHELDTQSGIKVDRIYKLLQVLEFSSARKRMSVIVRNEENQLLLLAKGADSVIFERLSKHGREFEAQTKEHIEKYSEAGLRTLAIAYRELGEDEYRIWEQEYMKAKTSVSADRDVLVDELADRIERDLILLGATAVEDKLQKGVPGCIEKLASAGIRIWVLTGDKKGTAINIGYACNLLRHGMKQIVITLESQEIEDLEKHGDKEAVAKASLESIKFQIHEGKTQVVQGRSKEFGLIIDGKSLTFALDKDLVNSFMDLAMACATVICCRSSPKQKAIVTGLVKSITGKTTLAIGDGANDVSMIQEADIGVGISGVEGMQAAMASDFSIAQFRFLERLLFVHGHWCYRRIAMMICYFFYKNITFGFTLFWYEAYASFSGQPAYNDWYMSCYNVFFTSLPVIALGVFDQDVSARLCLKYPLLYREGLQNILFNWVPILGWMFNGVLSSIIIFFLTTNSITGPAFRKDGQVADYSVLGVTMYSSVVWVVNCQMALSINYFTWIQHLFIWGSIALWAFQIRFRPMEHDRILTQRSEGLEHDDVTKRRASESTETRNTSDSSSQISIRIENLKASPRQKNS >OMO59156 pep supercontig:CCACVL1_1.0:contig14045:15603:19842:-1 gene:CCACVL1_25041 transcript:OMO59156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVPINSLGIQARYRPKLKLLLSKSFKFKRHRLEHDPVDRLFSHLFGDDSADCFGDQTQYVPAGTPSSSLFHVIIVIAVQQEMAIEDRKRRRRFLRIFLETDKLELELFAIQYVIVFRISADFSGDVNESVIKGVAVEARTKRHFRAVVLHLKRRENG >OMO59157 pep supercontig:CCACVL1_1.0:contig14045:22687:26980:1 gene:CCACVL1_25042 transcript:OMO59157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPLQLFSCRAALDLRSRDSSFINGVSFKTTTSKKKQRQPLTVLAMSSENSSMKMNLNEYMVSLQKPLGIRFGLSLDGKIFVHSLKRGSNAEKSRIIMVGDTLKKASDSSGGRLIEIKNFGDAQEMLTEKTGSFSLVLERPFSPFPIHELHTSSDLDILFNRGRVPVATWNKAALVSNLQTSTGGCGNSGFAIFSSKFLALQGLKFLNDQNGNVHSKLQKNILDSPISQLVSIFSEEEPGDGEWAHGSFPLEEYVKALNRSKGELYYNHSLGMHYSKITEQIYVGSCIQTDADVETLSDAGITAILNFQGGVEAENWGINSKSINEACQRLNILMINYPIKDGDSYDIRKKLPFSVGLLLRLLKKNHRVFVTCTTGFDRSSTCVIAYLHWMTDTPLHAAHNFVTGLHRCKPDRPAIAWATWDLTSMIGGGRHDGPATHVVTFVWKGHEGEDVCLVGDFTGNWKEPIKATHKSGGRYEVEVRLPQGKYYYKYIINGNWRHSTSSPTERDERGNINNVLMLGDAASVRPIIQPQEKDANVIKVIERSLTENERFMLAKAARCIAFSVCPIRLAPK >OMO94858 pep supercontig:CCACVL1_1.0:contig07790:38237:41096:-1 gene:CCACVL1_05757 transcript:OMO94858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MLRTRQISQVIKVTSCSTTNVDAPLSSVLTPTFWVQKNLSFSHRSQEQTRSRGHIFLKRMVKAIRVYEHGGPEVLKWEDVELGEPREGEIRVKNKAIGLNFIDVYFRKGVYKPATMPFTPGAEAVGEVTAVGPGITGRKVGDLVAFCYMGSYTEEQIISADKAIPVPPSLDPSIVASVLSKGMTVQYLVRRTFKVESGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSTKEKAAQAKEDGCHHVILYTEEDFVARVNEITSDSGVEVVYDSVGIDTFQGSLACLKPRGYMVSFGQSSGTPDPVPLSALAAKSLFLTRPSLKFYKPTRDELLETAGEVFANVASGILRVRVNHSYPLSEIAQAHADLENRRTSGSVVLIP >OMO94855 pep supercontig:CCACVL1_1.0:contig07790:20695:23820:-1 gene:CCACVL1_05754 transcript:OMO94855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLRRERGQSYENAAIRLCMRRQLLLPSSSPDSAFDAVAHIKVGSYYEIDHSKLPHKIPDHLKLVRVIMVSKKSKGSVSLRYPSVFSLRAHFSERNRRKPDAKMLPSFDEQYALLSELAGDILYRRIPPHEIALHRNEWSFWVIVTSSSDQETGQNSSPLFPILCPSRAIVANYVNMVPKNGLCWYELKSKEMVRWGRRKQVRFLSYPVEQRRERNLSRSVRGKEEEKEAEDDDDEEEEDEEEEDEEDEEEEEEKVITITDEENMGTDRSCKRKSHGALYTTQKPKKVNHGKQSQQITLYSPSKKKGGKNPIDRWSFHRYHSAEENMLKIMKEKGAVYGNPILRPALRAEARKLIGDTGLLDHLLKHMAGKLGPGGEERFMRRHNSDGAMEYWLESAGLMEIRKEAGVEDPYWTPPPGWKLGDNPNLDPVCAKELKELREEVDKLKRCMLEITEKPEEDITLLTTPSSSVSSQYLVPDLLALKGNYVDLMNKKSKIEKQLMLIAKSLCALEEETGKLECTVEDLNKPESAETRALVLGSATPPLGTEKEMEKSDKAVLVISDKEEKDGNAAEKTPRLPRTKTKAVAATEDKAAKIERLKSGFRICKPQGTFLWPNMNKPHSQALVPIDDLFKTPTPPSVGSSTTTAPLLLLPSPQKHRPQAQPTNTTVKPSAERPSTLPQVATNSTSSTIIKLNEVPENNPHGNVFCSQSHTSPSQSSQLTYRRRYHHSTPSASLPRSTPAKRENEMSQPSSHRVSVGAAGNWLALSTSSSSLDKNSKKA >OMO94857 pep supercontig:CCACVL1_1.0:contig07790:28564:31621:-1 gene:CCACVL1_05756 transcript:OMO94857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MGLFRLGFQSHRLHLLHSVPETVSVSRSTFWVQNLTHNKIIKHFALPSSSTLRSKLVIAKALSTEAGAVSQSTKMVKAIRVHEHGGPEVLKWEDVELGEPKEGEIRVKNKVIGVNFIDIYFRKGVYKTAMPFTPGMEAVGEVTAVGPGLTGRKVGDVVAYGGNPIGSYAEEQILPADKVVPVPPSVDPIIAASIMLKGMTAQFLLRRCFKVESGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSSKEKAAQAKEDGCHHTIIYKEEDFVTRVNEITSGQGVEVVYDSVGKDTFEGSLACLKTRGYMVNFGQSSGIPDPVPLPALAAKSLFLTRPSLMQYTATRDELLESAGEVFANVASGVLRVRVNHKYPLSQAAEAHADLENRRTSGSVVLIP >OMO94854 pep supercontig:CCACVL1_1.0:contig07790:15705:20127:1 gene:CCACVL1_05753 transcript:OMO94854 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MEVSSLWNEWVEEALSKLESLKLLRALRPLYLSNLQQQAGNERISNDGDYEVFDEMQPWDRSSVEVSVSQSTYNQWLLDIPSTGDEIVPGEGLADDKFGTCQQQFKRLLLFSGNDYLGLSSHPEVRKAAAKAAQEYGMGPRGSALICGYTYHHRLLESSLASLKKKEDCLLCPTGFSANMTVMVALGNIASLLAAGNMLLKDEKIAIFSDELNHASLIDGIRLSERQKSVEFFVYKHCDMSHLSALLSSCKMKKKVVVTDSLFSMDGDFAPMVELVELRKKHHFLLVIDDAHGTFVCGKSGGGVAEEFGCERDVDICIGTLSKAVGCQGGFIACSKKWKQFIQSRGRSFIFSTAIPVPIAAAAHASVIVARNEKWRKRALWNRVQDFRDLTGIPITSPIISLVVGSEEKALKASRQLLRSGFHVTAIRPPTVPPNSCRLRIALSAAHTTDDVKKLTAALSNYINFQDIDNNSVNIYSKL >OMO94852 pep supercontig:CCACVL1_1.0:contig07790:3671:10813:1 gene:CCACVL1_05751 transcript:OMO94852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class I/classII MEMETGKRSWDLWIGEALPKVESLNMFFALRPMRLPTVIHGQEVEEEAIPNEDEYEIFQGVQPWDRFSVQISIPESFFQRLLNGDEYTSKYERDDNYNASSNQQQYKKLILFAGNDFLALGRHPTIAKATIKAAAEHGIGPRGSPLICGYTDYHIALESSLAQLKKKEACLLCPTGFSANMAVMVAIGNIAPLLCGGGKPTMEEKIAVFSDSLNHASIVDGLKLATQYGGLETFVYRHCDPIHLDTLLTSCKLEKKVVITDSLFSMDGDFAPMVELAKLRKKHGFLLVVDDAHGSFVFGKNGGGVPEEFNCENDVDICIGTLSKGAASIGGFVACSKIWKQFIQTRGRAFMYSTAHPVPLAAATYASLVVARKESWRRLEIRKRMREFEALTGIPVTSQILVVIIGSKEKTWNLNKELLTSGFYVVAVGPPAAKPWRSWDLWIEEALPKVESHNLFLALRPMRLPTVKHGQEVEEEAIPNEDEYEIFQGVQLWDRLTVQISIPESFFQRLLNGDEFTSKYERDDNYKSSSNQQQYKKLILFAGNDFLALGRHPAIAKATIKAAAEHGTGPRGSPLVCGYTDYHIALESGLAQLKKKEACLLCPTGFSANMAVMVAIGNIALVLSGSGKPTKEEKVAVFSDSLNHASIVDGLQLAKQHGGLEIFVYKHCDPIHLDALLTSCKLEKKVVVTDSLFSMDGDIAPMVELVKLRKKHGFLLVVDDAHGSFVFGKNGGGVPEEFNCEDEVDIVVGTLSKGAASIGGFIACSKIWKQFIQSRGRAFIFSAAHPVPLAAATYASLVVARNEPWRRLEVRKRMREFEALTGIPVTSQILVVIIGSKEKTWNLNRELLTSGFYVVAIGPPATKIWRLRVTLTASHTTEDIQKLVKIISNHVKFEDMENYNPNLHVKAKL >OMO94859 pep supercontig:CCACVL1_1.0:contig07790:45756:47440:-1 gene:CCACVL1_05758 transcript:OMO94859 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major pollen allergen Lol pI AKQFINVSKIATHWSSAGATWYGSPDGAGSDGGSCGYGNAVSMPPFSSMVTGIGPSLYKSGKECGACYLVKCTKHRSCSGKPVRVVITDFCPGGLCASDSAHFDLSGTAFGAMAIPGQAEKLRDAGVLEIRYTRVACEYPGKKITFHVDMGSNTNYFAVLVEFEDGDGDLAGVYLKEASGRWRAMQQSWGAVWKLDAGAALHPPFSLRLVSQYSGQILVANNVIPQGWKPGVTYRSLVNYHY >OMO94853 pep supercontig:CCACVL1_1.0:contig07790:11184:15122:-1 gene:CCACVL1_05752 transcript:OMO94853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFHTTLILVKSRGDGTIGITLFTTIDESNSRLNHQNVELIDNNPGMISSPATILRLWDDLGSAKDENQEGNDGSYVACYMKEHQGIEVESVRKHVIAMISNAWKCLNYECLSRNPFSSSFARLL >OMO94856 pep supercontig:CCACVL1_1.0:contig07790:26984:27716:-1 gene:CCACVL1_05755 transcript:OMO94856 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH interacting protein 1 MGETRKLRNESSNFASRIRDHVNLGSKLSETVMGKLRLGARIIQEGGRENMFKQTFGMREGEELLKASQCYLSTTAGPIAGLLFISTEKVAFLSESPVTISSPTGHSITTDYKVLIPIRKIKTGKESENMKKPGQKYLLIVTKDEFEFWFMGFLRYEKAFDSLRKALSLVGIQLCEKPSRKGFLLLSA >OMO94258 pep supercontig:CCACVL1_1.0:contig07932:918:1465:-1 gene:CCACVL1_06084 transcript:OMO94258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAARPIKFKLILSRLDIQSRAVLNPGETFLKRAGLDRPQSCTFCLKGKSTDNYVELENYGNNLQFRGDWDIVARNSRFKAGDRCSFLFRGRDSEGVVTILVNMTPRWKLPSPDQKRQVSVLREAKWQEPGKEKTTIRYITSVIV >OMO94260 pep supercontig:CCACVL1_1.0:contig07932:7501:7779:1 gene:CCACVL1_06086 transcript:OMO94260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARGSFRLEWSCRWKEEKTISNPGKVRPTTDTPSPRYSPIKDEGIPSTKRMKHWKRLNWYSGMTSHSEQITVASTFHGTRTKQPDTERQRR >OMO94259 pep supercontig:CCACVL1_1.0:contig07932:5804:6637:-1 gene:CCACVL1_06085 transcript:OMO94259 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box family protein MKRPHPHRSKTNFLDLPNDVLAEILTKAASNSPEDYARARESCKSLRDVSNSCLVLSQVNLDNINPVPRTIRDKIFLRKCAEQAEYCYGIIVIHFGDSSKSNGLKMLQSLRLEKKPNPSNIIWADRLRATELLSQTWGVIPPELLEFPSDCKTCEQMSQNQVSRRSTPSNPDPRRWCSEAHMRVLHPEIWQYHILVPDPMDRHMCHKCFWKKEAGFSSHLYVKNTSTMDDSRTIVPYDVL >OMO94262 pep supercontig:CCACVL1_1.0:contig07932:62593:63442:1 gene:CCACVL1_06088 transcript:OMO94262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGERVNLNPIESEGDDNDVQVVSQDDSKIWSFEKEKILIEHMEEEVKMAIDLQQRSTKMLGRPYEVHKMAKKFENKDVHYLTKLCDIYGDTTANGSNARPSTHSPSDSEENVRDPPLREEETSQPLSDEDCIIGDAANTYERPAPNYTPSGRLTRKKAKSSNALTSSTLIMRILKEKLRFGRNYWKVHPISRTSNQDVTSEAISKSRSP >OMO94261 pep supercontig:CCACVL1_1.0:contig07932:14269:16216:-1 gene:CCACVL1_06087 transcript:OMO94261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVDPRIMRAGGKSQTKHVVHVYPGTELVEVYKFCEEDA >OMO99640 pep supercontig:CCACVL1_1.0:contig06843:597:1113:1 gene:CCACVL1_03697 transcript:OMO99640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin regulated protein MARTKTATLSLALLCLVLLSEVGMLMALVQTPPAGAPAPQACCHRCPDHCVPDGPKASRDTCSCYSKIMTHGKYKCP >OMP06586 pep supercontig:CCACVL1_1.0:contig04876:980:1072:1 gene:CCACVL1_01495 transcript:OMP06586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSSEEPKARPYTTLCTTGSSSTRSSSLKID >OMP06587 pep supercontig:CCACVL1_1.0:contig04876:3621:11098:1 gene:CCACVL1_01497 transcript:OMP06587 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MECLRESKYYLTPSRAGLDSYSTATSSSAFLPNSQDRPVEVLKVSQIFPKSNKIKFPIEIEQLHPKAFNSGLRSASKLLTSSSQSLHSKSANIGFHSTGVKSMGRGHAHGQDEPYYLHAKHTYNFGQDETLGADHVYWCFHSLQHCCHSSRVCCHFPAKEDCICLNFDACLNLGCFSIFDCLFTVDYRNLGLSVKRLVLVLSFMVDEVLGMWQHLKLTEDEQEEIIEDVPKPDETDGEKPWLVGRLLTETPFNKQGFMRTMKTIWQLVKEVSIFEMENDIFLFKFCSEIDRDRVVDGSPWTFDNFLLCFADYRGDLRPDQYVFTKDEALEAEGESVFHRVRVSIDVTKPLRCMIAVRDGDGLAQGRLSYERPPLFCPICSLLGHGEPDCDDPSANDVRESGMYQNIGGLMESRGAGKNTNRVLFIERSAAMAAGRKAVTALPRKEMVNRGAKISVFHDQRKVLSEEFVGDNLAGLLDKERERFGAKLMVSDQVGNQGQRVSRDLVRSEIPRLCGEGGEVNKVNAVAARRKDIVGHVDLGQGLGHHTNNRRETSPLTKLLLKRHSAGSESTTENRMSLGKRIGQGGADNNEDGLDEGEKKLKKCNSALSFDVLMVEVLPKTKKRKKPQRRYFETTWYKEPHFEDHLRSTWSRGMGLNLPTKLHDVSEDLRSQFAQKMSVVRDKIDALNKELAPLVGMNGNVERQKQVREELNKLLEEEDAYWMQRGRVNWLRDGDRNTKYFHGQADKRKKKNTILGLEDENEFTAEEIHDALKQMHPIKAPGPDGRLITNNILVAYELLHTLRHNQKGKNGYFALKLDMSKAYDRVEWDFLDAVMRRMGFDGKWVDLVMSCGLRQCDPLSPYLFLFYTEALSTMLNDSQAKGLLRGVAASRNGPRVNHLFFADDSLLFGKANLVDSKRGKDILTRAELMRLLDVGEQDCIAKYLGLPAMVGRNRRHAFGELKDRIWKKLQSWNTQMLSQGGREVMIKAVLQAIPTYTMNGNMDEDSRVIDLIDREGGGWNIELIESIFSEDEALAIRQTVLSRASLPDRLIWDFDNKGFYSVRSGYRVLCNMQEDDEFRSVEEVEDDKQVLKQICKNGLETVAHAIKDCEHAAAVWEIVNDQYSRGKNVVPPGSGFFGDLRWRELYMTTRCQQATIVLPNLTDDTHWRKPRSGFVKINFDGSFIAATNRAECLAAIKALWWAKDMCFPQVEIEGDALSIIRKVNALVPDLSPIGPYIEELKLLSSLFVSCSFLHVRRNINAVAHGLASLGVSLPEEIVWIEEIPDAVMAAHHLDCNPLPT >OMO80786 pep supercontig:CCACVL1_1.0:contig10273:12956:14908:1 gene:CCACVL1_12749 transcript:OMO80786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, subunit alpha/beta MECVFGLVGNGFAIVAADTSAVHSILVHKSNEDKIMVLDSHKLVAASGESGDRVQFTEYIQKNVALYQFRNGIPLTTAAAANFTRGELATALRKNPYFVNILLAGYDKETGPSLYYIDYIATLHKVDKGAFGYGSYFCLSLMDRHYHSGMTVDEAIELVDKCIMEIRSRLVVAPPNFVIKIVDKDGAREYAWRESVKDTAVPAA >OMO80787 pep supercontig:CCACVL1_1.0:contig10273:21464:25121:1 gene:CCACVL1_12750 transcript:OMO80787 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative RNA helicase SDE3 MGTVSEKWDDEYSVIGEKGELEFIDYEDDKSKPPSEDSDSNAREGFVEFFNLEDRMVQPGETLTIWLSCKANVIGLHTTAVHFDTGDDTIERMAILLVEDKISQSLASKRPYSRGQKKKQFAVDRFVRGSRPATARAMDRGVKNRLPWYNIPEDIRELVESKQTPDAITAGLTRDNYASYFKNLLFLEELQVEEDMRAYSMENINMRRSGKYLSLKVPGLAERRPSLVHGDNIFAKLASEDTVYEGFVHRVEADEVYLMFAPKLHLCHIDENLYNVQFTHNRINFRRLYQAIDAAEGLELNFLFPSGFPEKRLIETTPLVPISCTLNEEQMCSIEMILGCKGGPPYVIYGPPGTGKTMTLVEAILQLYKTQDSSRILVCAPSNSAADFILEKLLEAESVELKNNKIFRLNAATRPYEDVNPDFLQFCFFDDNIFKCPSLCALVCYRIIISTYMSSSLLYAENVNKGHFSHIFLDEAGQASEPESMIPIANLCQRDTVVVLAGDPMQLGPVIHSREAERYGLGKSYLERLCECELYSEEDANYVTKLVRNYRCDPEILYLPSQLFYNGQLIPCKDDIGSLLKSVKFLPNKEFPVFFFGIQGCDEREESNPSWFNRIEASKVVEVVKSLTESGTLSQEDIGVITPYRQQVLKIKKAFENLDMPEIKVGSVEQFQGQERKVIIISTVRSTVKHNEFDRTHCLGFLSNPRRFNVAITRAISLLIIIGNPHIIAKDFYWNKLVWRCVDNNSYQGCNLPERQDEGDEEVPNEEDCWNYGEEIPAGSGWDENIPTGSGWNHGEYVPNPTGWDFDDTTQGEIPKPVTDEAEWSDGWK >OMO80788 pep supercontig:CCACVL1_1.0:contig10273:25452:26668:-1 gene:CCACVL1_12751 transcript:OMO80788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAMLVAFLHLTLLAMAEQPLPPPPPLQQPSCVEELVAFSPCLPYVSASPNNATDFVAPQCCGAFSSAFETGDGYCFCYIFRQPLIFGFPLNQSRVASLSSFCTVKNGTSLDSLCSSGVTALPPLSATTESGTPKPSNSGSNNDSSASTYSPPPEPALRSPTPPNSSAPVIASSATNRIYEQSCWFLLGMMIFLLN >OMO80784 pep supercontig:CCACVL1_1.0:contig10273:7851:10223:1 gene:CCACVL1_12747 transcript:OMO80784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MVISKSRSLVLIYSLLLSFALLSSAACVHGRKGEQDPYEEYDQCQRRCEWEVRGERQQQQCEQRCERQLDERLQQRQQHGRRHGLNPEDPQKRYEECQQWCEQQAGRREQPQCQQKCQGRFQQEKQAQRQLQQCQERCERQVQGQREQQQCQSKCQQQVEEQQRQLHQCQERCERQEQEWQPQWQQCQSKCQQEHEQRRQQQEQEQEGYNHHHHTMTRSEEEEGEQEEEQEQQQQRNNPYFFPYRRSFQSRFREEEGRFNILQRFAHKSPLLKLIDNYRLATFEVNPQTFVIPHHCDSHALYVVTNGKGVITFVNDENKISINVERGTVLKAHAGATVYMVNHDNREKLNIAVLAIPVNTPGKFEEFFPAGNRDPQSFIQVFSSRVLEAVFNSPREQIERIFRPREQQGQKSQQGIFRRVEAQQIRSLTQQATSPGEKGTESLAFNLMRQSPIYSNQNGIFYEAKPENFKQLQDFFVSVAAFQINKESIFVPHFNTKATFLVLVTEGSANVEMACPHLSRQSQSEEEEQEQEQQEEERSGEFKQVRAKLSAGDVFVVPAAHPVTYFTSQNQNFRAIAFGLNGPTTRRVFVAGKNNLVRQMDSEAKEISFGVPSRLIDEVFNKPQESHFMSFSPQQRQPREANNRLSSILEFARMF >OMO80789 pep supercontig:CCACVL1_1.0:contig10273:28782:28841:-1 gene:CCACVL1_12752 transcript:OMO80789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTDELGGARVGDLRAAT >OMO80785 pep supercontig:CCACVL1_1.0:contig10273:12022:12399:-1 gene:CCACVL1_12748 transcript:OMO80785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen allergen ole e 6 MAKKVVALLVMCLVVVAAVQLPSASALDQDGFATAHEDDKFSSCFKICHKECTNAGAPNTLCEMKCDGECFSKGVKDKLPNIQYGLIQ >OMO80790 pep supercontig:CCACVL1_1.0:contig10273:29473:30627:-1 gene:CCACVL1_12753 transcript:OMO80790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPPPQQPEIEEARRLSWGDLPEEIIMEILVRVSGRFRAQLKCVNKSLLSLIDKPRFAREILDKINKSVDKDKYRGLVLSEQNNGGYLVRSVALSGGDVSLGEFDFPPEDNKLCSKTLHLFNTCHGLVCVAHQGSLYVWNPTTRECRQVTECVANQDLFGIGFDPVSDDYKIMVAPSRRYFRCQSYQVPKVFSLKNNSWRLVQGFPDRSFPYEISYGTHATTINEIAHWDATEKIICWANRTIQRKKRIVYFDFTNEEMGTLPLPYDDDDDGMITSLAAFSGCLSMIHYNGTVADDLWIMEEYGVKESWMKLLTIDNLFVEDLALIPLCWMGDEIVLGVEGEPFLIIYNPMERTHRRLELCGVNPRSTIIPLLDTLISPNATA >OMO79037 pep supercontig:CCACVL1_1.0:contig10520:50585:53119:1 gene:CCACVL1_13936 transcript:OMO79037 gene_biotype:protein_coding transcript_biotype:protein_coding description:CAAX amino terminal protease MVATMITCLAQSYLFASPKTLAKQSRAILLNRPNPLLLRFPKNNTRLKSQTPVPRRRLLPLCFFNAGKDSNSDFQEREENESEWPILKKWEIPWEWQTVSLTSLACGLSFVLTGLVETAALPILGIKIQELSLDEKAEILLVDQSITTIVTLGVLFSIANTFQPFPEDLYRYDLKEPFNLQKGWLLWAVIGLIGAVIAIALTGTVMSFFRGEDPQRETDALVQLLPLIGSSSISTACLVGITGVLAPVLEETVFRGFFMTSLTKWVPTPVAAIISAAVFALAHLTPGEFPQLFVLGTALGLSYAQTRNLLTPITIHAFWNSGVILLLTFLQLQGYDIKELLQAT >OMO79035 pep supercontig:CCACVL1_1.0:contig10520:40317:41747:-1 gene:CCACVL1_13934 transcript:OMO79035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVTLTSTTYNTNLSQKLPFEENHVRKVLSESGRELMNQIMSAQDDEHHYSGRKKEEDGEIGVFGAERYFNGGIDHVHVHSPSPRLARNIIEAKKLEFVKDEAIKPVIHQGTPSVRSESSWNSQKALLQSVLRNSPRPKPHNKAGNGKSFFSGLDFCRCYCSDRNSLDIEEVQVGEISFKRPPNGKPAISKGSVDVKKPAAATEPWIKEDIFTFPTTSPSVGSIRPVKIPLQGGDVDEIGRKSLEVFGSPVIGGRRNKSLNLERKLKMLSWDKSNKTPKATTEEITDHNSDSDASSDLFEIESLTGGKSNNNNNPFLARQASDAAASGCVTPTTCYAPSEASIEWSVVTASAADHFSVMSDYDERRPSTTTIPSPIKTFSMTTSKNNSNKEIHQRRRSGGLLGCTSQKAVEVAGDHEYKMNENKASFDPRMRRVSDSYIPATRFRPEIKLADFEQAQTPRILAHSPQSSHILYIQ >OMO79031 pep supercontig:CCACVL1_1.0:contig10520:20891:21952:1 gene:CCACVL1_13930 transcript:OMO79031 gene_biotype:protein_coding transcript_biotype:protein_coding description:sphingoid base hydroxylase 2 MALAISDELLGIFVPILVYWIYSGIYMAFGTSLDNYKLHSEEDEKHKNLVSKWQVLRGVLLTQSLQAFGAFLLFMATGENEKTEDSASAATKPTSFIVIEGLLIDTVGGAVAFVLSGMSLRTSIFFFSFVSIKIVDDHCGFSLPGNPIHFFFKNNAVYHDVHHQNYGAKYNFSQPFFVMWDKILGTYMPYSLEKRIEGGFEVRPAKEFKDD >OMO79039 pep supercontig:CCACVL1_1.0:contig10520:59563:62563:1 gene:CCACVL1_13938 transcript:OMO79039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPLLITIAGLGLSYYGLKGIDVGIDAIWNKFNRNKKLEIPNECARSPFFNGNNLGFLDIVVGPVSCNYQAFNEAVTVIFEPTKHPTFFSWVTALKEHPLMKEILPPHDKMVAKYRQKYPHLMKL >OMO79044 pep supercontig:CCACVL1_1.0:contig10520:91133:94320:-1 gene:CCACVL1_13943 transcript:OMO79044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHLVLYVDRLVRPVPVQPVESEAGPSTGIAGPSCSADDKEKEVARFAQPCWCGNGPFHPSEPLVPLTGKNELLLGHHFT >OMO79034 pep supercontig:CCACVL1_1.0:contig10520:30571:37712:1 gene:CCACVL1_13933 transcript:OMO79034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MALEISDEILGIFVPIIVYWVYSGMYVILESFKIIEKYKLHSKKEENEKNLVSRFTVVKGVLLQQTFQAIVFFLLFKVMGENDAGESKGESTSSSIFVIATQIIVAMLVLDTYQYFTHRYAHQNKFLYRNFHSYHHRLVVPYSFGALYNHLVDGLFFDTMGGALSAFISGMSPRTSIFFFSFATIKTIDDHCGILFPGNPFHIFFWNNTAFHDVHHQLHGTKYNFSQPFFVMWDQIMGTYMPYSIVKRAEGMYVMLESFEIIEKYKLHSKKEENEKNLVSRFTVVKGVLLQQTFQAIVIFLLFKVMGENYAGESTSSIFVKARQFFVAMLVFDTYQYFTHRYAHHNKFLYRHFHSYHHRLVVPYSFGAFYNHLVDGCLFDTMGGALSAFISGMSPRTSMFFFCFTTIKAIDDHCGILFPGNPFHLLFWNNTAFHDVHHQLHGIYVCLESFENYRLHSKKEEDEKNLVSKRTVVKGVILQQILQAAVAVLLFTITGSDTGASTEQATSLIVLARQFITAMLVMDTWQYFFHRYMHHNKFLYRHLHSQHHRLVVPYAFGALYNHPLEGLLMDTIGGALSFLVSGMSPRTSIFFFSFATIKTVDDHCGLLLPGNLFHIFFRNNAAYHDVHHQLYGSKYNFSQPFFVMWDRILGTYMPYTLEKRVEGGFEARPTKEYKDD >OMO79036 pep supercontig:CCACVL1_1.0:contig10520:43134:46559:-1 gene:CCACVL1_13935 transcript:OMO79036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MAPKPNSADGRTRSSIQIFIVVGLCCFFYILGAWQRSGFGKGDSIALEMTKRGADCNIVPTLNFETHHGGEVGSVDGSEKPKSFEPCDPRYVDYTPCQDQRRAMTFPRNNMIYRERHCPKEDEKLHCLIPAPKGYVTPFPWPKSRDYVPFANAPYKALTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPITNGTVRTALDTGCGVASWGAYLWSRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPSRAFDMAHCSRCLIPWGANDGMYLKEVDRVLRPGGYWVLSGPPINWRTNYKAWQRPKEELEEEQQKIEDTAKLLCWEKKHEKGEIAIWQKRVNDKSCGGRQDDSQASFCNAEEANDVWYKKMDQCITPYPDVSSSDEVAGGELKPFPERLLAVPPRVASGSVPGVSVETYQEDNHNWKKHVAAYKKINRLIDTGRYRNILDMNAGLGGFAAALDSPKLWVMNVMPTIAEKDTLGVIFERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYKDKCNLEDILLEMDRILRPEGAVIFRDEVDVLIKVKKITAGMRWDTKMVDHEDGPLVPEKILVAVKQYWVVGGNTTSSE >OMO79038 pep supercontig:CCACVL1_1.0:contig10520:57366:57877:1 gene:CCACVL1_13937 transcript:OMO79038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNGKPSQLPAMSNIPCDLTSLISAPLDQMTSPLLPPKSASDVTSMLLNMSSSMLGDYGRAVSDSLAADDDGIRSWLEAKHALGFFFMS >OMO79047 pep supercontig:CCACVL1_1.0:contig10520:108427:109227:1 gene:CCACVL1_13946 transcript:OMO79047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSEEEEDQEEESDSEAEENVGIPMVKTVYVPKSERDTIAERQGLEAEEQALKEAEKRKLEQRKVETRQIVVEKIKEDEENEKNMELEVNVVDDNDEVNQAEEFEAWKVREIARIKREAMIKLWMVREAMLKHKQEIDKVPKPKQKKRKFMQKDYHKGAFFQSEADDPSATVGTDIIYDRDFSSPTGEDQMDKTMLPKVMQVKHFGRSGRTKWTHLLNEDTTDWNNAWTYSHTLRSKYYAKMVALNKPIAKPKGSKKLKGRESE >OMO79032 pep supercontig:CCACVL1_1.0:contig10520:22755:23647:1 gene:CCACVL1_13931 transcript:OMO79032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MAFAISDELLSIFVPILVYWIYSGIYMAFGTSLDKYKLHSEEDEKHKNLASRGQGTGEKENAESSSAPSQPTSLIVIARQLAIAMLVLDTWTYFTHRLLHQNKFLYRHVHSRHHRMVVSYAFGAQYTHPLEALLIDTFGGGLALIISGMSPRTSIFFFSIIFIKIVDDHCGFSLPGNPIHIFCKNNAVYHDVHHQIYGAKYNFSQFFFVIWDKILGTYMPSSLEKRIEGGFQVRPAKEFKDE >OMO79046 pep supercontig:CCACVL1_1.0:contig10520:102938:106391:1 gene:CCACVL1_13945 transcript:OMO79046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside phosphatase GDA1/CD39 MDPIKLQIRPTSRSTALFSRNPRQTKPSSIVAISIAISLALVISIYVFFFPAKDSTKYGIIIDGGSTGTRIHVLGYSVEGGKKPVFDFKRGLKSLRVNPGLSSYAEDPEGAADSLSELLEFGRRKVPRNLWGETEIRLMATAGLRLLDVEVQERILEECRKVLRVSGFKFRDEWASVITGSDEGVYAWVVANYALGTLGGNPLQTTGIIELGGASAQVTFFSSEPMPSDFSRSIKFGNITYNLYSHSFLHFGQNVARESLRELLSNGDFSPAAGSLHKKMYIDPCTPKGYLPDSSMLSLGSVAEKSKYISGLQAGGNFSECRSAAQMMLQKGKEKCSYDRCYLGSVFMPKLQGNFLATENFFHTSKFFRLHEKALLSDLMVAGQRFCGEDWAKLKKQHQSLYEEDLLRYCFSSAYIVALLHDSLEIALDDERISFVNQVENIPLDWALGAFILHITSNLDVWEHDWITTILKDDSPTLLSIIAISAILILIAWFISKWRKPQLKTVYDLEKGRYIITRIGRSS >OMO79042 pep supercontig:CCACVL1_1.0:contig10520:78111:79076:-1 gene:CCACVL1_13941 transcript:OMO79042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELINTKTSPYTQTESSSETQPSPTAINTTKTKSLLLLRNCSNNNIFFHHNQEMVVAYKECLKNHAASLGGHALDGCGEFMPSPSASLTDPSSLRCAACGCHRNFHRRDPYDGNISPAAYIHHLPPPPPLPNPASSSPSPTHSHSPTNTPPSPVPYSYYSSAPHMLLALSTGYSGPLDEYHHHLSHSNPTVGKLEKNNNNNNSNNPSGKKRSRTKFSKEQKEKMHAFAEKLGWRMPRNEEKLMEEFCNGVGVDRGVFKVWMHNNKNVLGKKEILGVGNLNLDSNHDEDFNGNGNASHHIHNNEGSNIQLHVSAINGSSSAS >OMO79043 pep supercontig:CCACVL1_1.0:contig10520:90412:90640:1 gene:CCACVL1_13942 transcript:OMO79043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVGSSSSLFAKSPIAQSA >OMO79033 pep supercontig:CCACVL1_1.0:contig10520:24706:28749:1 gene:CCACVL1_13932 transcript:OMO79033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MALEISDEILGIFVPIIIYWVYSGIYVILESFEKYKLHSKKEENEKNLVSRFTVVKGVLLQQTFQAIVVFLLFKVMGENDAGESKGESTSSSIFVIARQIVVAMLVLDTYQYFTHRYMHHNKFLYRNFHSYHHRLVVPYSFGALYSHQVDGFLFDTMGGALAAFLSGMSPRTSIFFFCFATIKTIDDHCGILFPGNPFHIFFWNNTAFHDVHHQLHGIYMAFGTSLDKYKLHSEEDEKDKNLVSRGQVLRGVLLSQTLQAFGAFFFMFLYRHVHSRHHRMVVSYAFGAQYTHPLEGLLLDTFGGGLAFIISGMSPRSSIFFFSISSIKIVDDHCGFRLPGNPFHMFFKNNAVYHDVHHQIYGAKYNFSFIFVIWDKILGTYMPYSLEKKIEGGFQVRPAKEFKDE >OMO79028 pep supercontig:CCACVL1_1.0:contig10520:4534:5698:1 gene:CCACVL1_13927 transcript:OMO79028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MDALGFLLSDEFLGAIMPVVVFWVYSGFYLVLEPYCHNYRLHSKQDEDKNNLVSKSTAIKGTLLQQFLQAAVALLLFVVTGPSEAKPSTEEQSSSFMVLVKQIIIAMLVIDTYQYFVHRLLHHNKFLYRRLHSIHHRLVVPYAFGALYSHPFEAFLFDTVGGSLSFLISGMSPRTAIYFFSLATIKTVDDHCGIILPGNPFHILFRNNTAYHDLHHHHGGKYNFSQPFFIMWDKIMGTHMTYTLEKRVEGGFEAKLAKDLKEK >OMO79045 pep supercontig:CCACVL1_1.0:contig10520:97039:98933:-1 gene:CCACVL1_13944 transcript:OMO79045 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MDFPRAEKLESQNEVPMPEEPGKGTSFLRTCFNGLNALSGVGILSIPYALAQGGWLGLLLLFLVAILCWYTGLLLRRCMHSHPLIKTYPDIGQHAFGYIGRVTVSVFMYVELYLVAVEFLILEGDNLNKLFPNMGFTIAGLKIGGRQAFVLLTSLVVLPTTWLKSLGLLAYVSAGGVLASFILVVCIIWVGAVDGVGFHENGVLLNWSGMPTAISMFAFCYCGHSVFPTLCSSMKDRSKFSKVLLVCFITSTINYGSMAILGYLMYGEHLKSQVTLNLPIKKFSSKIAIYTTLINPLTKYAIITAPIANAIEEKSFFKDSRPLSILIRTSIVISTVIVALTIPFFGYVMAFIGSFLSVTASMLLPCMCYLKLNKAARKFGLELVIIVAILVAGSFLGVIGTFISVKQIVNHL >OMO79030 pep supercontig:CCACVL1_1.0:contig10520:11668:12599:1 gene:CCACVL1_13929 transcript:OMO79030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MAFNFEISYDMLLGSLLPVFVYWVYGGIYMAYGPCNNYRLHPKEDEDTKNFAPKETVIRGVLWQQFRQFVATNLLYMVTGAAKSAGQTPSVLVMFRQIIIAMLVFDTYQYFLHRYLHQNKFLYKHLHSKHHSLVVSYPFGAIYSHPIEGLLFDIIGGSLAIYFSGMTPRTSAFFSSFCAMKSVDDHCGLLLPWNPFHFFFQNNTAYHDLHHQLYGGKYNYSQPFFAMWDKILGTYMPYSLEKREEGGFVLRPAKDLKDE >OMO79048 pep supercontig:CCACVL1_1.0:contig10520:113827:115372:1 gene:CCACVL1_13947 transcript:OMO79048 gene_biotype:protein_coding transcript_biotype:protein_coding description:pre-rRNA-processing protein MKDPIPLNARKERDAMDSDDIQEVQVIRSLVPDPLNQEPLLMLRIFEVHLQENRHGRQRQKWLRQIIIKSKRCLERELFLEALLSIWSELSSQFLAAWIIEDTDEEDSDAEDDDGMVLDEGEKGFPSQEGTKNPDFEDQASLYLKDSDEETENDSIMIEGENLTREQIEDEIKEIKEAHAEDE >OMO79029 pep supercontig:CCACVL1_1.0:contig10520:8351:8908:1 gene:CCACVL1_13928 transcript:OMO79029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid hydroxylase MLGGSKDGAPREPPTFIEMARQFVVGMLVMDTYLYFLHRYMHHNKFLYKHLHSRHHRLFVPYAFGAIYSHPLEGFLFDTVGGTLAFVISGMSPRTSIFFFSFGTIKAVDDHCAMVLPGNPLHIFFRNNAAYHDIHHQLHGGKYNFSQPFFVMWDKICGTYMAYSLQKRAEGGFEVIPSSKEWKED >OMO79040 pep supercontig:CCACVL1_1.0:contig10520:63261:68639:-1 gene:CCACVL1_13939 transcript:OMO79040 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34Ae MHGFLYRNMFPVFGSFWVFLSKFIGFVVGSLIGYLFRSRVSGDRCSEENNSGFVSLDSDFDELKEKQSNDFVDHGNCEEEEDSAAMVASSSKYEFLYRNGISGFIEEPKTESFTVHEFYMDSTENPNFNETEESPEETKDATLQEESISNYEEEEEEDDDDDDPEHDEFLEKLKMELKMARTGGLPTILEESESPKMVQELGPLQINEKIDHKSHMAEIQKVYKSYSDKMKKLDILNSQTMHAISLLQLKDSVQSSTSGKSSAPTIKSLCSQNAWPFIKQRKHETDPATKLIRDLQKDFETVYVGQVCLSWEILHWQFEKVKELLECDSHGTRQFNQVAGELQLFQVLVQRFLENEPFQARPRVENYVKNRSSVRHFLQVPVIKDDCSKKYKAGDGKEDAAVSIEMLTDTIEESMHVFWEFIKADKDEANAISKTPQQAQVAPHDPIDLELLIDVRTDLQKKERRLKEIQRNKTFSTPAAAALTVPEAEPGAVPPNSKMVQRLTYRTRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGVPHLRPAEYKRSRLPRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKLASKS >OMO79041 pep supercontig:CCACVL1_1.0:contig10520:73411:76778:1 gene:CCACVL1_13940 transcript:OMO79041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDCSQWACHICGNLGMSDGLDGFFYCLRCGSQADDVIDTGVADEDFVDKGTHGGAIYLSSHTRHSRQPITPAQPVSQYDPKSQQFWSQLSQEHRDHDGNQDAVGEDAGFDSVEPTGTSDFGSYSVGVYSYEDYYTELRNRYVMGLQMMIQAQCEALVEKFNVKPLICGIVGPIWLRFVASTRIFDDSWADEAIHESEIQKTGEAEDFIPHSKHKAEPHNMHGCYVAREGVLPTDMVKWSVEGELTYFDAFIEIEKRIGRSLPPFPLSLRSMFRPSHAVPAKKLEALAAKIAQCIGLNLPPVNFYAIASRYLKELSLPLEKILPHACRIHEWVLPPELRLSANDNGLPAHVYVMSILVVAVRILYNINGFGVWEKSLSCHNLPSKSNEAVSKDATSGCEDRDNAYDSSGSSRSVDDMDKSSTRNSLDDNESKFDAAELLCNLEARYNEIDYADTDVKNLSKSMPTYLQFCQDVVFAGSEPSMDFYHEEKSLIDKFWEFYQKEKGSEPEANAGEEQNRSANGAAYKVNKKVRDDECPSNPSINRRSGDEDYMDVDHSSPTSEEQGNSAEPEEKIPVETSKDRAIRLMKRNMEENLFFYIPPRVKLKRLDYLHYMRKRDEGTLAYIAHADYYILLRSCARVAEVDVRIMHIGVLSMEKRLAWLEKRTDHCLHLNPPNVTCKFCTDDSEQAQDDPSIGLSNLNL >OMP11626 pep supercontig:CCACVL1_1.0:contig01085:4305:4829:-1 gene:CCACVL1_00384 transcript:OMP11626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKVRKLDHTATYKQHEVIRTKAVKCSKAVNVNVNIPFFSNDYNNLDHPKFENTNIASDHSSSSALNVVDDINSIDFLVDFDINELLAPDDSIPDFHIDQIHDQQVDENGDHNINGKYEINGLDQIHELSKSVDEAGVDLDDFFQQASTKTIMDLPSIASYLNLEDHDEYWIY >OMP11627 pep supercontig:CCACVL1_1.0:contig01085:12213:12686:-1 gene:CCACVL1_00385 transcript:OMP11627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNQHTLIRTKAVKCSKVVNVNIPLFSNDYNQTKFGNSNASDQSITPSSAMNVDIDSIDFLVDFDINELLQSDAPIPDFGKILEVDENGDQNINGKFEINGFNFVDEVDDHQLHLNSKPVDDETRIDDFSQQATETMDLRSIALYLNLEDHEWID >OMP11178 pep supercontig:CCACVL1_1.0:contig01497:208:531:1 gene:CCACVL1_00637 transcript:OMP11178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDNPPEKKAEPEPSFEILTNPARVVPAQEKFIKFLEDSRYVPVKSAPSGFVLLRDLRPSEAEELSLTDAPATTASPAGGSAAGQQSSSSAMAVDDEPQPPQPFEYTS >OMP11180 pep supercontig:CCACVL1_1.0:contig01497:2796:4295:1 gene:CCACVL1_00639 transcript:OMP11180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCYKRDFFQANFTGLDYSLDHHHNHEDHQPRGIGHETSAAHNNGMIDYMLNNPHHHHHHQQQQQISSSSGFCTTPSTSFDKLSFADVMQFAEFGPKLALNQTRIPEEETGIDPSGYFLRFPVLNDKLDQDQSLMVPPSGIENVVMEEKGNNMMRSEDEEARVSDNASVQLRFLGDDHQDHNINVNQNKNPTPEAKNKRKRPRSVKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLYGEAGSRQMGDTSSTMAIQQQQQQQQQPFFPVQAAPMSLPNDQIKLVEFETGLREETAENKSCLADVEVKLLGFDAMIKILSRRRPGQLVKTIAALEDLQLNILHTNITTIEQTVLYSFNVKVASESRFSAEDIASSVQQIFTFIHANSSM >OMP11179 pep supercontig:CCACVL1_1.0:contig01497:1182:1277:-1 gene:CCACVL1_00638 transcript:OMP11179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKMKTEAAMDRAFIISTLVEFGTELDFRF >OMO67128 pep supercontig:CCACVL1_1.0:contig12469:8115:8524:1 gene:CCACVL1_20772 transcript:OMO67128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLQNWVGSLSKDPKSGSSSFFPEIPKNLRGGGGEGGSIGVSAAVHSSHSSGALINRPARQVVSLWTCSKLCAICFAAGIVVGYTLKQRVRRWASKLLKRLRDD >OMO67126 pep supercontig:CCACVL1_1.0:contig12469:1734:5481:1 gene:CCACVL1_20770 transcript:OMO67126 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin amine oxidase MAQENPPFISKIAIIGAGVSGIAAAKQLAHHNPIVFEASDSIGGVWKSCSYHSTKLQSARKDYEFSDFPWPNRDDSSFPSYLAILDYLESYAKHFDVLKFVKFNSKVVELRFIGDRETAELTGNTGEYRSPLPGHPVWEIAVQTNDSEILQWYAFEYVVVCVGKYGDIPKIPSFPHNKGPETFQGKVLHSLDYCKLDKEAASQLLQGKKVVVVGFKKSAIDLAVECAEANQGPGGKPCTMVVRTLHWTVPHYWVWGLPFFMFYSTRSSQFLHERPNQGILRTLLCSLMSPMRRGVSKFIESYLLWKLPLEKYGLKPDHPFEEDYASCQMAIMPENFFTEADKGNIAFKKASKWWFSKEGIEFDDNTKLEADVVILATGYDGKKKLKSILPEPFRSLLAYPSGIMPLYRGTIHPLVPNMAFLGYIESVSNLHTSELRSMWLARLIDEKFKLPSAENMIEQISRELEVMRRTTRFYKRHCISTFSINHSDEMCEEMGWNSWRKKNWVSEAFSPYGSQDYGQEK >OMO67127 pep supercontig:CCACVL1_1.0:contig12469:6224:7673:-1 gene:CCACVL1_20771 transcript:OMO67127 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl hydroperoxide reductase subunit C/ Thiol specific antioxidant MASSSLTLPNHTLASLLPSHTPKSQSSVSQCLPLASKSSHSSFFGLKLSYSSSISIPSSSSFKTSISAKVNKGQVPPAFTLKDQDGKNVSLSKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVVGISGDDTSSHKAFAKKYRLPFTLLSDDGNKVRKEWGVPSDLFGTLPGRQTYVLDKKGVVQLIYNNQFQPEKHIDETLKLLQSL >OMO67131 pep supercontig:CCACVL1_1.0:contig12469:30171:31793:-1 gene:CCACVL1_20775 transcript:OMO67131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MAQELTRFTFFATLLLTTLLALQLIPTVISHNNAGAPASYNISPLDMWIAHNIKEHEERKPNIAHGPFNRTVLDKALIQAEEGGIKLIKVKKDGGGDFDTLTAAVDSIPFHNKQRVVVWIGGGEYFEKIAINQTKDFVMFYGEPLDMPKIVYNGTAAEFGTVNSATVVVESDYFIAVNIIFVNSAPMPDGNRTGEQAVAMRISGDKAAFHNCKFLGFQDTLCDDRGMHFFKDCYVEGTVDFIFGNGKSLYLNTTIKSVAKNIGVVTAQARETVGDESGFAFLYCNITGEGNSTTYLGRAWKLRPRVIFAYTYMGTIIKSEGWSTDKYPERNGTVYYGEYKCKGPGASLSGRVGYAKILQPVEIIPFLSLTYIHGSNWLLPPPKVEMLNHLLKKTV >OMO67129 pep supercontig:CCACVL1_1.0:contig12469:8876:14099:-1 gene:CCACVL1_20773 transcript:OMO67129 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b245, heavy chain MKSPASFNSFGRSSSKLSNYSRLFDLPDDLEDPTRDEYGVGGAMLPIFLSDLQRNNHQDLVEVTLELENDSIVFCSVAPPSTPPPPAVAVDERETSSVAASSGIFARSLSVTSRIRRKFAWLKSGSSSRASSTAAENDHDQERRITARDERRIKAKLQRTKSTAQQALKGLRFISKTTGANDAEEMWRRVESRFESLAKDGLLAREDFGECIGMDSKEFAVGIFDTLARRKRQRIQKITKDELHDFWSQITDQSFDARLQIFFDMADSNEDGRVTREEVQELIMLSASANKLSKLKEQAEEYAALIMEELDPENFGYIELWQLETLLLQRDTYMNYSRPLSTTSVGWSQNLSHVRPKNMVRRVCFSIRCLILENWRRGWILLLWMMAMTALFAWKFMQYKNRAAFQVMGYCLCTAKGAAETLKLNMALILLPVCRNTLTWLRSTKARSFVPFDDNINFHKTIAIAIGIGVLVHGGSHLSCDFIFLTNAPPEKFARIASYFPHGKQPTYPELLVGILGVTGISMVILMAIAFILATSRFRKNILRLPAPLNRLTGFNAFWYSHHLLGLVYVLLVIHGTFLFLTHKWYQRSTWMYISVPLLLYIGERSVRTCRSEHYSVKIFKVSVLPGNVFSMVMSKPQGFKYKSGQYIFLQCPSISPFEWHPFSITSAPGDEYLSVHIRTAGDWTQELKRVFTDVNDSPFVIGRARFGHPGHVDQNGQPKLLVDGPYGAPAQDYRNYDVLLLVGLGIGATPFISILKDLLNNSRAEEQMDSNTEMTTSDDSWNSLASSNFTGISGSTMGGKKKTHKTKNAHFYWVTREPDSFEWFKGVMDEVAEMDHKGQIELHNYLTSVYEEGDARSTLITMVQALNHAKHGVDILSGTRVRTHFARPNWKEVFRRIASKHPNATVGVFYCGMPVLAKELKKLSWELSHKTSTRFEFHKEYF >OMO67130 pep supercontig:CCACVL1_1.0:contig12469:21808:29702:1 gene:CCACVL1_20774 transcript:OMO67130 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase MDDHGSKLTGIRQIVRLKEILQKWQTVTLGSRPSTPNGSEEHHGDVSGPINKRLANVMCCDSDEESCQSPEPPPDVPKGYLAVYVGPELRRFIIPTSYLSHPVFKILLEKAEEEYGYDHTGGLTLPCEIETFKYLLKCIENHTKGHPVETSVSEQDMASGSVCERLGESLATHPNQAKSILSGIESLGKGIHKSQKLLSVLDKEAGQQALDGILVDVLRTTQEAVISSPLIALAIRPSPGIWEYIALDVQKLFVEELQVAEYLRFKEETVDGSSKGEFTLEVDFGAFNTSIPRPTLPKSIGNGMNFLNRHLSTKLFNEDNLKFLFEFLQTHCQKAKGVLLNDKIQDVNSLQRALRMAEEYLTSLPSDSQYSSFEKKFQEFGLERGWGDNAEHVLEMIHLLLDLLQAPDPATLETFLGKIPLVFNVVIMTPHGYFAQDNVLGYPDTGGQVVYILDQVRALEEELLHRFKLQGLNITPRILIITRLLPDAVGTTCGQRLEKVYGTKYSDILRVPFRTEKGIVRRWISRFEVWPYLETYAQDVAVEITKELQGNKPDLIVGNYSDGNIVASLLAHKFDVTQCTIAHALEKTKYPDSDINWTQLEDKYHFSCQFTADLIAMNQTDFIITSTFQEIAGSKDTLGQYESHIAFTLPGLYRVVNGIDVFDPKFNIVSPGADTTIYFPYTEEKRRLKNFHPEIDELLYSPVENAEHLCVLKDRNKPILFTMARLDRVKNLTGLVEFYAKNNRLRELVNLVVVGGDRRKESKDLEEQAEMKRMYELIEKYKLNGQFRWISSQMNRIRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCYGGPAEIIVHGKSGFHIDPYNGDLTAETLVNFFEKCKADPSHWDEISLGGLKRIQEKYTWQIYSEKLLTLTGVYGFSKHVSSLEQRGRKRYLEMLHALMYNKRVETVPLAIE >OMP07920 pep supercontig:CCACVL1_1.0:contig04127:410:832:1 gene:CCACVL1_01193 transcript:OMP07920 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor GTE9-like protein MLQVSASTERAARAAMLTSRFSDIICKAGKLLDHDIKKPRPKQATARMLFQREEQLRRRREDSRRKLERMKPTATFDNSEILKEFSALCGCPSQSLPYRFEGSSSLEKFGLRLRTFTYDDIEEAVRLDDAERDIEEGEIV >OMO64735 pep supercontig:CCACVL1_1.0:contig12742:12165:12497:-1 gene:CCACVL1_21613 transcript:OMO64735 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin MPMGSDPMERVVKLASESAIVIFSVSSCCMCHAVKRLFCGMGVNPTVYELDQDPRGKDMERALMRLLGNPQAVPVVFIGGKLIGSMDRVMASHINGTLVPLLKEAGALWL >OMO88760 pep supercontig:CCACVL1_1.0:contig08938:9420:11587:1 gene:CCACVL1_08216 transcript:OMO88760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDVSSSGMAPRRPKVGHRRIDAAYAALCPMGFSESVIRDTIRGLLKNQNVEDEPRQQPCVSPVRSPEIHSTPVSSPVVVCPPRETRGTPTSSKDESSPQAMAGRQCSSPQSSSAVPLRGPSVKPKPIKRRKPCYGWLDSDEEDEPELCYLPPSNQRFTNLLEE >OMO88762 pep supercontig:CCACVL1_1.0:contig08938:23720:27966:1 gene:CCACVL1_08218 transcript:OMO88762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthranilate synthase component I, PabB-like protein MTMQSSSLGFSHRLVPPPRHRLSQFPVTRIAPASTSFRATTLKCRSSLSSSSLVTDEAKFIEAAKKGNLVPLYRCIFSDQLTPVLAYRCLVREDDREAPSFLFESVERGSQVSSVGRYSVVGAQPAMEIVAKENRVTVMDHEQGCLTEEVVEDPMLIPRRISENWKPQLTEDLPDAFCGGWIGYFSYDTVRYVEKKKLPFSKAPQDDRNLPDINLGLYNDVIVFDHVEKKAYVIHWVRLDRHSSVEKAYNDGIERLEKLVSRVQNVDLPRLSPGSVDLNTHHFGPSLKKSNMESEEFKQAVLQAKEHIMAGDIFQIVLSQRFERRTFADPFEIYRALRVVNPSPYMAYLQARGCILVASSPEILTRVKKNKVVNRPLAGTARRGKTPDEDELAEKQLLSNEKECAEHIMLVDLGRNDVGKVSKYGSVKVEKLMNIERYSHVMHISSTVTGELDDYLTSWDALRAALPVGTVSGAPKVKAMELIDELEPCRRGPYSGGFGGVSFTGDMDIALALRTMVFPTGTRYDTMYSYKDARRRQEWVAYLQAGAGIVADSDPDAEHRECQSKAAGLARSIDLAESAFVNK >OMO88763 pep supercontig:CCACVL1_1.0:contig08938:30939:31172:-1 gene:CCACVL1_08219 transcript:OMO88763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHTNCDWENALNNKYVRMALLALTTVSGIAGIVVAILGDIGNNPKFGLNSLSVTNLNISGSEITGIWDVEFLAKKP >OMO88764 pep supercontig:CCACVL1_1.0:contig08938:40624:42126:1 gene:CCACVL1_08220 transcript:OMO88764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTSLSSKRFKADEDRISNLPDALIHRILSFLPTKMVVATSLLSKRWVSVWASVPALDFQDSSLCRSCPEAKMKFMQLVYNAMLRNKSGSIETFRLHCNSTYGHSCINTWICSAVVDRALYLQEADIAVSKAAAGEESLLLKLPSGLFSVKTRKILKLEGDVTVDLRGGGPISLPSLKILHLRLVNYANDESLGSLLSGCVVLQELVIVSHKKMNLNISSSTLVHLSLTHLAASCKVHIDAPALKQLIYVKNLFNYLKADIHAGGLICTGGATWLFQALSNNVRSLQLHSNKIINLSSAEDYGNMHQIRFVFRFKVLHSQEVVDDVKVLGDVSALIQLKA >OMO88765 pep supercontig:CCACVL1_1.0:contig08938:66165:66596:-1 gene:CCACVL1_08221 transcript:OMO88765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLWRAATALTEKQIDYDSVEFWSNPERTGWLTKQGEYIKTWRRRWFVLKQGKIFWFKESTITRASRPRGVIPVASCLTVKGAEDILNKQYAFELSTRTDTMYFIADSEKEKEDWINSIGRSIVQLSRSVTDSEIVDYDSKR >OMO88761 pep supercontig:CCACVL1_1.0:contig08938:12334:18729:1 gene:CCACVL1_08217 transcript:OMO88761 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M50 MPPRPRGRPRKKPDTRTKAAIDAMAPLGFSSDVVVTAIQKLQKEYGSRDAVWFFIEPDSYRVLLDEILPKDDEEGSSSSQKPAGETSVIVDPRIACSESDANPTETVLQASIALDPASETNEALATIKLTNQPENEHIPSAAAAATPVEKLHIQDAAAPPTDPPLPDSSNSPKQQRSRRRIYYGSACSDDEEDLAAAATAKTLHVQDASSSSQPTAPPPTEPPLPDSSKSTGQQRSRRHYYGWICSDVEEDLVVFEPGELGQEVERWRLSLWRQKPRKKRWDPLLASPRFVRSQRCRLRSLKISQLSRFCRKREFFCRVTEAETNPDSNNDNKDENESGEKLDSSETVEQNESQIDAPQTMNVEQISNIDAETKAQDGIQGEENIEVTIGSPLPGVKPQQLDESMRIPKATIDILKDQVFAFDTFFVTSQEPYQGGVLFKGNLRGTAAKSYEKISTRMQNKFGDEYKLFLLINPEDDKPVAVVVPKTTLQPETTAVPEWFAAGAFGLVTVFTLLLRNVPALQSNILSTFDNLNLLLDGLPGAFVTALLLGVHELGHIYAANSTGVKLGIPFFVPSSQIGSFGAITRIRNIVPKREDLLKVAAAGPLAGFSLGFVLFLLGFILPPTDGIGVVVDASVFHESFLAGGIAKLLLGDVLKEGTPISVNPLVIWAWAGLLINAINSIPAGELDGGRISFAIWGRKASARLTGLSIVLLGLSSLFNDVAFYWVALIFFLQRGPISPLSEEITDPDNKYVALGVLVLFLGLLVCLPYPFPFSTDTIITEF >OMO88766 pep supercontig:CCACVL1_1.0:contig08938:68684:69363:-1 gene:CCACVL1_08222 transcript:OMO88766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKKQDGETSGKGTIADEDEGEGDGGAPPQKEEEEEQQYSSITIAASPQIAVDQKQNHENGGSNIMNPSQLIHQRHNNMMLPQATYPPMLPYYYSNGYNADASTSGLPDFPEYVGLGQDLACYNGNFVPTAAPILPRQFPSLPENYGFTDDERSQDFSFEQ >OMO88758 pep supercontig:CCACVL1_1.0:contig08938:1944:5516:1 gene:CCACVL1_08214 transcript:OMO88758 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MSGLKQAEEAIVPSFNETNVELEEKEEDKGDDSIFSVKSLLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIILQIFYGIMGSWTAYLISVLYVEYRSRKEKENVSFKNHVIQWFEVLDGLLGPSWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMTIAALVHGQVEGVTHQGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLLATLYVFTLTLPSAAAVYWAFGDQLLNHSNAFSLLPPSAWRDAAVVLMLIHQFITFGFACTPLYFVWEKVVGMHDTKSICLRAVCRLPVVIPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMLTYKSASARQNAAEKPPCFLPSWTAVYVTNAFIVIWVFVVGFGLGGWASMTNFIKQVDTFGLFAKCYQCPPSPSSKHH >OMO88759 pep supercontig:CCACVL1_1.0:contig08938:7949:9023:-1 gene:CCACVL1_08215 transcript:OMO88759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESRPFIFGSTTDSSSAAAQSNFRWIPKPQTFKTRLSRNPDKFSDDFPKFAFTSPPQSTEPVNISPAKSSTKDFAFSTQFPTNPNETIHGKNSLNSIDSASSFVGHVEESSSLPEPKQSLILVSRILKGMPQSPHFYQLRNFSELTRKSLISGWDQVFEETVEELHSLQVVDFWVKAKELWKTMEELQSMGYNVVLLRRRLVELTEVMKEFKVAQMEIKRLKTEAENHRLESSKLESIALKLQEMAEEEKEMVEKVVIEVAKKEDELPKFDAWFAKLTKDPL >OMO78573 pep supercontig:CCACVL1_1.0:contig10564:8974:11942:-1 gene:CCACVL1_14292 transcript:OMO78573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVSKIYSGAGTQKTCVLPNVSKSPKPKSVPSVSFRSNFKGGFSSSRGLVFKSNDKFGTVKVGPLKVSASTATAEKPSRASEIVLQPIEEISGTIKLPGSKSLSNRILLLAALSEGTTVVDNLLNSDDVHHMLVALGKLGLRVEHDSEKKRAIVEGCGGQFPVGKGEGQQIELFLGNAGTAMRPLTAAVTVAGGNSSYILDGVPRMRERPIGDLVTGLKQLGADVDCTLGTNCPPVLINANGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISIPYVDMTIKLMERFGVTIEHTDSWDRFFIKGGQKYKSPGNAFVEGDASSASYFLAGAAVTGGTVTVEGCGTSSLQGDVKFAEVLEKMGAKVTWTENSVTVTGPPRNSSRNQLRAIDVNMNKMPDVAMTLAVAALYADGPTAIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCVITPPKKLNVTAIDTYDDHRMAMAFSLAACADVPVTINDPGCTRKTFPDYFEVLESVSKH >OMO78572 pep supercontig:CCACVL1_1.0:contig10564:4741:6054:-1 gene:CCACVL1_14291 transcript:OMO78572 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MSKQMSHLDNIPSTPGKFKMDKSPYIHNRLRWHSSLVKLTFWSFIFLGLILIFFFRSPSSNPLPQDPSRRSLRTYNWGGPSWEKRVRSSARVRSRNGISVLVTGAAGFVGTHVSAALKRRGDGVLGLDNFNDYYDPSLKRARQALLERTGVFIVEGDINDSTLLRKLFEVVAFTHVMHLAAQAGVRYAMENPGSYVHSNIAGFVSLLEVCKSANPQPSIVWASSSSVYGLNTKVPFSERDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLGALDTAEKSTGSGGKKKGPAQLRVYNLGNTSPVPVSDLVSILERLLKVNAKRNIMKLPRNGDVQFTHANISLAQRELGYKPTTDLQTGLKKFVRWYLSYYSGGKKAAG >OMO78271 pep supercontig:CCACVL1_1.0:contig10610:4923:7078:-1 gene:CCACVL1_14532 transcript:OMO78271 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit N/Rpb10 RQKAERGVQRWELGQSRAKNEDKNKMIIPVRCFTCGKVIGNKWDTYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYN >OMP12051 pep supercontig:CCACVL1_1.0:contig00504:1384:1449:-1 gene:CCACVL1_00160 transcript:OMP12051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQLGSSKNINLGKKLETGKGK >OMO75497 pep supercontig:CCACVL1_1.0:contig11037:13373:13752:1 gene:CCACVL1_16158 transcript:OMO75497 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like protein MSFGQKMHVEFKISINDLVHENQYEKITKRGDAWFSASEKAWGFPYFIKLDDLQNSKSLILRDAMEIELQILSMSMARIKDDFEEESDDDFPSMPPPM >OMO75499 pep supercontig:CCACVL1_1.0:contig11037:23172:33383:-1 gene:CCACVL1_16160 transcript:OMO75499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase A/isopropylmalate dehydratase small subunit, swivel MYITASSSVSSSLLRAASSYSRSRFFTSSLRNLTSSTPASPSVVGQYRSLSTAAFRSFHGSLPRWSHRLDWRSPVSLRAQIRTVSPAIERLERKFSTMASEHPFKSVLTSLPKPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAVRNCDNFQVKKEDVEKIIDWANTSPKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSDTSKINPLVPVDLVIDHSVQVDVTRSENAVQANMELEFQRNKERFSFLKWGSTAFRNMLVVPPGSGIVHQVNLEYLGRLVFNTDGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLKNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEAYLRANKMFVDYNITGLMSSFSTCVFPLNPNLDQPQQERVYSSYLELNLEEVEPCISGPKRPHDRVPLREMKADWNSCLNNPVGFKGFAMPKEAQDRVAKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKAYELGLQVKPWVKTSLAPGSGVVKKYLLQSGLQEYLNKQGFNIVGYGCTTCIGNSGDLDESVAAAISENDILAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVNIDFDKEPIGTGKDGKSVYFKDIWPSTEEVAEAVQSSVLPDMFRSTYQAITKGNPMWNQLSVPVSTMYSWDPNSTYIHEPPYFKNMTMEPPGTHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLLERGVERKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTIHVPTGEKLYVFDAAMRYKEAGLSTIVIAGAEYGSGSSRDWAAKGPLLLGVKAVISKSFERIHRSNLVGMGIIPLCFKSGEDADTLGLTGHERYTIDLPSDISEIRPGQDVTVTTDNGKSFTCTLRFDTEVELAYFNNGGILPYVIRNLIKHQIMAKPVFLTKAQRLRDQQKLEDLHRSNHASNCNSDSKPSSDSADRRDRKRRRERDREPERRNREREREREREEEAKARERERAEREREKELEAIKEQYLGTKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNSLYQNPHEAQLLFGRGFRAGMDRRGQKILAAKNEKEMREEVRRKEGVEEKPEEAAAQRLKEKAANTYDTFDMRVDKHWSEKKLEDMTARDWRIFSDDFNISYKGSKVPRPMRSWVESKLSPELLKAVERVGYKKPYPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYISRLPPLSEENEAEGPYAVVLAPTRELAQQIEDETMKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLGRRYIVLNQCNYVVLDEADRMIDMGFEPQVVGILDAMPSSNLKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLISQHVIRMKESEKFQRLQKLLDDLGDKKAIVFVNTKKNADTISKNLDKVGYKVTTLHGGRSQGQRDYSLEGFRAKRFNVLVATDVAGRGIDIPDVAHVINYDMPSSIEMYTHRIGRTGRAGKTGVATTFLTPMDTDVLNDLKKMLG >OMO75502 pep supercontig:CCACVL1_1.0:contig11037:44305:49900:-1 gene:CCACVL1_16163 transcript:OMO75502 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-alcohol phosphatidyltransferase MYCNPSGAGLSPSSLFGCRFMFLVTSALLGYIYSPQLDSPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESMAFGSTAMCGRDTFWFWVISAVPFYGATWEHYFTNTLILPVVNGPTEGLALIYTVHFITGFVGAEWWAQQFGKSMPLFSWLPFVNEIPTYRAVLFLMIAFAVIPTVTFNVSNVHKVVQARKGSMILALAMLYPFVVLMGGVLVWDYLSPSDIMGSYPHLVVLGTGLAFGFLVGRMILAHLCDEPKGLKTNMCMSLLYLPLAIANALTARLNDGVPLVDEFWVLLGYCVFTGSLYLHFATSVIHEITTALGIYCFRSARLGTVS >OMO75495 pep supercontig:CCACVL1_1.0:contig11037:10431:10796:1 gene:CCACVL1_16156 transcript:OMO75495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETGEVAASCCSKSEEPKNKIAADHGVETKVKKKKMVRFKLNEENQGDSKNGVVRIRLVVTQKELKQILSCRKDLKQQNSSMEQLIKVMKLRGIRVSDDDHEDGFHGGWRPALESIPEEH >OMO75496 pep supercontig:CCACVL1_1.0:contig11037:11932:12609:1 gene:CCACVL1_16157 transcript:OMO75496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQSLLLLPNVTVTTKLQEVVAVP >OMO75498 pep supercontig:CCACVL1_1.0:contig11037:20577:22040:1 gene:CCACVL1_16159 transcript:OMO75498 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein MATNQIEDDEHKPTNEFKDHQKLPSGTKIYVEFKMGINNQRNEENCKKTEKEGNAWFSISNKAWGFPYFIKLPDLESKDGHGLIVNDRVVVEAEITSMSMTKDLSQPNC >OMO75501 pep supercontig:CCACVL1_1.0:contig11037:38516:43522:1 gene:CCACVL1_16162 transcript:OMO75501 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBA/THIF-type NAD/FAD binding protein MAEPKTKYDRQLRIWGEQGQAALEKASICLLNCGPTGSETLKNLVLGGVGSITIVDGSKVEQGDLGNNFMVDESSLNQSKAKCVCSFLQELNDAVKAKFIEEYPEALINTNPSFFSQFTLVVATQLVEESMVKLDRICREANVMLIFARSYGLTGLVRISVKEHTVIESKPDHFLDDLRLNNPWPELREFAEAIDLNVQDPVAHKHIPYVAILVNMADEWRKSHGTLPSTREEKREFKELLKARMVSMDEDNYKEAIDASFKLFATPGISSDLQQIINDSCAEVGSSSSDFWVMVAALKEFIANEGGGEAPLEGSIPDMTSSTEHYVNLQKLYQAKSEADFLVIEQRVRNILKKIGKDPDSITKATIKSFCKNARKLKVCRYRPVEDEYNNPSLPELQKYLTDEDYSIAVGFYILLRAVDRYAANFNSFPGQFDGGMDEDISRLKTTAVSLLNDLGCNGLTLTEDLINEMCRFGAAELHAVAAIIGGIASQEVIKLLCVAMIYMSSLWGNVGVTYMYHELPFLQLITKQFVPLSGTYIFNGIDQKSQLLTLY >OMO75500 pep supercontig:CCACVL1_1.0:contig11037:36665:37024:1 gene:CCACVL1_16161 transcript:OMO75500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRTRSLARHSVTGSEPFLKYLKPGALARLRDSRISTARSHRISSIVQISPSAPPSNDGQSYSVTADGIPCFLATARVYGPRCIQRKKLIAGKGMLFLNSTQSALDLPNPVVDLLTSE >OMO61435 pep supercontig:CCACVL1_1.0:contig13484:6065:8058:1 gene:CCACVL1_23517 transcript:OMO61435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDALQRLGIDYHFQEEIEHVTRAHFITFDYFDLCNHNLYEISLRFRLLRQEGLYVPADVFNNFKNYGGKMEPIAKDIKGLMELYEASQLSTIDECILDEAGAFSYRMLNEWMTNLEYHQANIVANTLRNPYHKSLAKFMVKSYIDYLSVTNSTSTYMNALVELAKMDLNIVQSIYQKEIIDIIEWWKDIGLAKELKFARDQPIKWYMWAMAILTDPSLSQQRLELTKPISLVYIIDDIFDVRGTLDELTLFTEAVNRWELDAIEHLADDMKICFRALYDTTNEISHKIYCEHGWNPINSLRKAWARLCNAFLLEAKWIETGNYPKAEEYVENAIVTSGVHVAMVHVFFLMNQGIITKAEVELWDDKIPDIVLSTATILRLFDDLGSAKDENQDGRDGSYVKIYMKENPDMSVQVARKHVMEMLSDTWKRLNHQFFSPNQFSPCFNKACLNAARMTPLMYSYKQQNLPSLEENIKSILFGS >OMO70480 pep supercontig:CCACVL1_1.0:contig11821:11279:23571:1 gene:CCACVL1_18889 transcript:OMO70480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPKSRTAVEPLAQFDLPNPHLSISSIVLSQSTLYLGTQNGSLLSLSLNPNPNSTPNTPPIESVSPSSLSRNVSLLRTVSISDSPVESIFVLAEIGVVLVLSDGLLFLADSLLIQPVKKLGGLKGVSVIARRFRGTHSPSTDLTDNSSNLSKGQRILDKFGGVRANGVKARESEQNREVDNVGVVVDSLGQPVGGSLVFRKGGPDSVGDLSSYAVVVRDGKMELYHKKSGNCIQTATFGVEGVGQCIVADEESRNGEVVAVATPTKVVCYRKVPSEEQIKDLLRKKNFKEAISLVEELECEGEMSKEMLSLFHAQVGFLLLFDLHFEEAVDHFLQSETMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPVPLEDVVDDGLSSIQRAIFLRKAGVETVVDERFLLNPPTRAELLESAIKNMIRYLEVSRQKELTLSVKEGVDTLLMYLYRALNSVGDMEKLASSENCCIVEELETLLDGSGHLRTLAFLYASKGMSSKALAIWRILARNYSSGLWKDPVVENGVPDSSACVTSGRETAATEASKILEESSDQDLVLQHLGWIADINQVLAVRVLTSEKRTDQLSPDEVIAAIDSKKVEILQRYLQWLIEDQDSDDSRFHTLYALSLAKSAIETFDSESSSQCPDDAGRQEQLQAIDIQRESIFQSTVRERLQIFLQSSDLYDAEEVLYLIEGSELWLEKAILYRKLGQETLVLQILALKLEDSEAAEQYCAEIGRPDAYMQLLDMYLDPQDGKEPMFKAAVRLLHNHGESLDPLQVLETLSPEMPLQLASDTILRMLRARLHHHRQGKIVHNLSRAVHTDARLARLEERSRLVQINDESLCDSCHARLGTKLFAMYPDDTVVCYKCFRRQGESTSVTGRDFKQDVLIKPGWLDLNTEVGYVLRFILKAFYEDIKSCSYRELSFVCTIIVLGEIYMAGMLPGDSSHHSTSDSGPTRSSQEKQEEVGRWYFSRKEIEENSPSRRDGIDLRKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFIRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPAAAQRIKQKEVYEQQKELILLGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGDKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPAQGSEVEGSGGGGGAGGTSHRSSGKHPSGSEEKQVSSRSAADHSSGDNHASRNGQNQSNDNGSGEMGSVITDHKMDLETKDNQHPEQFPPKENMREVSNKSRSGIDRTVVEDQERNGGRNESAETGEWRDDGASRKSSSMVGRNVDTREGPLGQSPKEAIKIDKDKVKAALEKRRKSRGETMKKKDVMDEDDLIERELEDGVELAVEDEKIKRERRQSWSRNENQEHVKEHGEMGEGNHFGAKGQSSRGFEAEAAEEGEMLDDASPMVNSRKRKGGSPPDRQLEGKKRHEYVSSYNHDTIEDGQKPGRSSHADKEHRRHSHENHL >OMO70482 pep supercontig:CCACVL1_1.0:contig11821:28655:30514:-1 gene:CCACVL1_18891 transcript:OMO70482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKENGRRQGAPAPCAACKLLRRRCAEGCVFAPYFPADEPQKFANVHKVFGASNVNKMLQELPVHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDALQTQLALAQAEVVHLRVRQTASFSHHGFGPASPSNSGSPSSKLMNSQAKPMFDMDMVVDQASFGESMWSC >OMO70483 pep supercontig:CCACVL1_1.0:contig11821:46384:48225:1 gene:CCACVL1_18892 transcript:OMO70483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIRLSEIIVKKSLVTSEIPGRAAEITKILTISGLKPFKENPSLLSNLNSELTNLILSNPHLPLHTCTLFYDLLKSNISPTPLKLSLQTHLTFIIRLYKAEKFREIKQVLRFLISDGNLECPFEKMVSFVSSECGDSVFIERFCDLCFGVCVEEKMFEEANGIFDYMENMGFNLDERSCILYLIELKKCGRGYSFLGFFRRMVESGVEISVFSMTTVIDGLCRRGEVEKGRELMNEMAGIGVKPNVITYNTILNAYVKRKDFRLINEVLSLMQKDKVEYSAATYTALIEYFASVDKHEEVEKLFDEMRERTIEVDLHLYTSMISWLCQRRKIKRAFALFDELNDKGLAPNAHVYGALIDGLCKTGQMEAADMLVNHMQNQGIHVNVVIFNTLLNGYCKKGMIDVALRQLAIMEKKGLQPDVFTYNIIASWMSKLKRHEEAKKWLFMMVEKGVNPNAFSFTTLIDIHCKEGNLVEAKRLFQQMEGRGLRPNNITYNALIDGYTKKGEMKDAYKLRDEMEAKGIFPDIYTYTSLVHGECSFGKVDKAMRLFNEMQQKGLVPNVVTYTAMISGLSKEGRSDEAFGLYSEMISMGHTPDQRVYSSLVGSLHTAGGH >OMO70481 pep supercontig:CCACVL1_1.0:contig11821:24667:25347:1 gene:CCACVL1_18890 transcript:OMO70481 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaF, reaction centre subunit III MSLTIPTNLSKPLLKPRLNSQVNPKVSRSLVVCSSSSDNNSEKKPSASPLQAFSAALALSSILLSAPQPAVADISGLTPCKESKQFAKREKQSIKKLESSLKLYAPDSAPALAIKATIEKTKRRFDNYGKYGLLCGSDGLPHLIVSGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAISDEKKPAMKEIIIDVPLATRLLGRGFIWPVAAYRELINGDLVVKDV >OMO70479 pep supercontig:CCACVL1_1.0:contig11821:3770:10639:1 gene:CCACVL1_18888 transcript:OMO70479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEVRHVAGIEDCFVSLPLLLIQTLQSTRSSLLPPLLALELRLPRASDHPWTVAWSGATSSSTAIEVSQQFAECISLPNHATVQVRAATNLTKATLVTLEPDTEDDWEVLELNSEHAEASILQQVRIVHEGMRFPLWVHGRTIITFVVVSTFPKKAVVQLVPGTEVAVAPKRRKKTNMESSTAESHRAKALLRLQDSDRRLFHKSNVKGVELGITLTSVAFINQETAKRFSVESLQLVVIVPRLLSRESTKNLENDASRNKGSLTSKDVNKGISTDNKEYRQVIVRLLVSDSVADGHLMMTRSLRLYLRAGKHSWVYLKGYSSAVKKEIPVLSLSPCHFKMVANDKALENSIDVPDGHKIRKSIKSGAETAFEVVNWSTHDDILAVLSGEIPGQEAKDSRHEESRKGLECLLRAWVLAQLDAIASNAGMEVNTLVLGNENLLHFEVNGYNSETCGPVSSNGFLEKRNKTKDLPVEIFYILSISEESLNSGEVNAYELALDDRSKSNDAQGGLELFGKLNLGNPMSLYSVKDRTSAKGFGTNASSLSWMGTTASDVINRMMALLAPASGIWFSTYNLPLPGHVLIYGPAGSGKTLLARAVAKSLEEHEDLLAHVIFICCSGLALEKPPTIRQALSTSISEALDHAPSVVVFDDLDSIIQTSSDPEGSQPSTSVVALTKFLTDIMDEYGERRMSSCGIGPIAFIASVKSLESIPQSLSSSGRFDFHVQLPAPAASERAAMLKHEIQRRSLQCHEDILLDVASKCDGYDAYDLEILVDRAVHAAIGRFLPSGSGSEEHTKPMLVREDFSHAMHEFLPVAMRDITKSAPEVGRSGWDDVGGLNEIRDAIKEMIELPSKFPNIFAKAPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSPRERLDILTVLSRKLPLADGVDLEATAYMTEGFSGADLQALLSDAQLAAVHELLNSVNSNEPRKKPVITDGVLKSIASKARPSVSEAEKKRLYDIYSQFLDSKKSSRDAKGKRATLA >OMO70478 pep supercontig:CCACVL1_1.0:contig11821:1572:1679:1 gene:CCACVL1_18887 transcript:OMO70478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWEAKLEAFVKRIKKFKKMNRDLLKVEKMKRSQR >OMO59697 pep supercontig:CCACVL1_1.0:contig13902:9959:12255:-1 gene:CCACVL1_24658 transcript:OMO59697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFDIGSTQSNLDCFLHCTTPTVKSQFLPKSEIRNLNRLWHPWEREKVEFFTLADLWNCYDEWSAYGAGVPIVLNKAETLVQYYVPYLSAIQIFTSNSSVNGLREETESGDGERDSFSDSCSDESESEKLWRWDGCSSEDGGSEQDSVWHVNDRLGYLYFQYFERSTPYGRVPLMDKINGLARKYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMDPEDEMDEGAAERKKRKEGEGISLPPFGLATYKMQGNVWVSGNCGRDQERLVSLLSVADSWLKQLRVQHHDFNYFTGIRRG >OMO61203 pep supercontig:CCACVL1_1.0:contig13560:64138:68694:1 gene:CCACVL1_23692 transcript:OMO61203 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IA, variant 3 MESRFNSLLFDLDDTLYSCNIGIAEVVKKNTNDYLIEKCGFPESKATRIRDELFHSHGSTFAGLRALGYDIDVGEYLKFGYLRVRVSGEFKGLTFCSNGYSSNSGNSDKVHATKVLKRLGLEDCFDQIICFETLNPNLPNSTRPDEFPIVLKPFVEAMKIALQVSQVDPRRTLFLDDSVRNIAAGKAFDLWTCLVGKTVKTKEADYAMENVNDLGQVIPEIWVNQTDGGDERISRSISELDTMLPAATPVRA >OMO61201 pep supercontig:CCACVL1_1.0:contig13560:49586:53632:1 gene:CCACVL1_23690 transcript:OMO61201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spermidine/spermine synthases family MGEISCSNGNGVNGKNHPLTGYRKSCWYEEEIEENLRWSFALNSILHTAATQYQDIALLDTKPFGKALVIDGKLQSAEVDEFIYHECLVHPALLHHSNAKSIFIMGGGEGSTAREILRHKNVEKLVMCDIDEEVVEFCKSYLTVNREAFCDPIMELIINDARAELENRKECFDVIIGDLADPIEGGPCYKLYTKSFYEFTIKPRLNPGGIFVTQAGPAGIFSHTEVFSCIYNTLRQVFKYVVPYSAHIPSYADTWGWVMASDSPLALSPEEIDLRMKQRIKGENRYLDGKTFSSASTLSKAVRNSLDNETEVYTEATASKFGWGMMPKPIAIRQRQAEVEVEDLEVEVCGSNLTPQTMKQRFN >OMO61199 pep supercontig:CCACVL1_1.0:contig13560:3279:6557:-1 gene:CCACVL1_23688 transcript:OMO61199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MASPFKILFFIFSIFSILSSITCSNPHPLDSLTPDELLQVRAIVLKSYPTPKYKLTFQYVGLEEPDKPVTLSWLSKPTTKPPPRRAFVIVWLNKQSHEIVVDLSKRSIISERVYSGTGYPLLTIEELEAAVELALKYKPFLASLKKRGLNVSEVACTTQTIGWYGEMKTKRQLKVPCFSLEGTVNMYLRPIEGISIAVDLEEMKVSEYKDSFVAPMPKAEGTEYRASMVKPPFGPRLNGAPAAPGQIKGLKIDGNTVRWANWRFHLGFDARAGPVISTASIYDPDKRKYRQVMYRGYISELFIPYQDPSEEWYHITFFDCGEFGFGLSAVSLEPLNDCPAGAVFVDGYYAGQDGKPVQVKDAMCIFERHPGDIMWRHTEAEIPNVTIREVRPEVSLVVRMVATVGNYDYILDWEFKPSGSIKFGVGLTGVLEVKAAPYTHIDQIKEEVYGTLIADNTIAVHHDHFITYHLDLDIDGDANSLVKTNLVTRRVKDKNVPRKSYWTVEHETAKTEADARIKLGLSPAELVVVNPNKRTKPGNKVGYRLLPGGASGPLLAPDDYPQIRAAFTNYNVWVTPYNKSEKWAGGLYADQSRGDDNLGVWSSRDRDIENKDIVMWYTLGFHHVPCQEDFPVMPTLSGGFELRPTNFFEYSPVLKTKPPFHAPWPNCTA >OMO61200 pep supercontig:CCACVL1_1.0:contig13560:36772:40349:-1 gene:CCACVL1_23689 transcript:OMO61200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MASPFKVLFSILFLFSILYPITCSIPHPLDSLTPAELLQVRSIVLKSYPTPKYKLTFQYVGLDEPDKPVTLSWLSNPNTKPPPRRAFVIIRLNKQSHEIVVDLSKSSIVSDKVYHGNGYPLLTIEEQEAAVDLALNHKPFLASLKKRGLNASEVICSTSTIGWFGEEKTKRELKIPCFYLDGSINMYLRPIEGISIAVDLEEMKISEYKDSFVAPMPKAEGTEYRASMVKPPFGPRLNGAPASQPGKIEGLKIDGNTVRWANWRFHVGFDARAGPVISAASIFDPEKGKYRQVMYRGFISELFIPYQDPTEEWYQVTFFDLGEFGFGLTAVSLEPLNDCPANAVFVDGYYAGQDGKPVQVEDAMCIFERHPGDIMWRHTEAEIPNVDIREVRPEVSLVVRMVATVGNYDYVLDWEFKPSGSIKFGVGLTGVLEVKPTPYTHTDQIKEEIYGTLIADNTIGVHHDHFITYYVDLDIDGDANSLVKTNLVTKRVKDKKIPRKSYWTVEHETAKTEADARIKLGSRPTELVFVNPNKRTKPGNKVGYRLLPGGASGPLLAPDDYPQVRAAFTNYNVWVTPYNKSEKWAGGLYTDQSHGDDTLGVWSSRNRDIENKDIVLWYTMGFHHVPSQEDFPVMPTLSGGFELRPTNFFEYNPVLKTKPPFHATWPNCTA >OMO61204 pep supercontig:CCACVL1_1.0:contig13560:77559:78191:-1 gene:CCACVL1_23693 transcript:OMO61204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaD MAMATQASLFTPATLSAPKSGDRVAVPWKQPSFVTIPSFKSQKFAPRTIRAEATEEKAETATKEAPVGFTPPELDPSTPSPIFAGSTGGLLRKAQVEEFYVITWESPKEQIFEMPTGGAAIMRQGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGQNFRSIGKNVSPIEVKFTGKQVYDI >OMO61205 pep supercontig:CCACVL1_1.0:contig13560:81558:83884:-1 gene:CCACVL1_23694 transcript:OMO61205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MLEGMVKCCTKPKTQDKSMEEEIMEKKKIKGTVVLMKKNVLDMNDLKASLFDRVHELFGKGVSLQLISAENTDPVTLDDVPGHGRIHFVCNSWVYPAHRYKYDRVFFSNKTYLPCETPEPLRKYREEELVNLRGNGKGRLKEWDRVYDYAAYNDLGMPERGSNFERPVLGRHPSFPYPRRGRTGRKPHKKEDKSAWRTDEEFARETLAGVNPVIISRLQVKHNIIAC >OMO61202 pep supercontig:CCACVL1_1.0:contig13560:58601:60382:1 gene:CCACVL1_23691 transcript:OMO61202 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IA, variant 3 MDSPFNTLLFDLDDTLYLSNVGISQAVKKNIDDFLIEKCGFPESKATSLRVEFFKTYGSTLAGLRALGYDIDADDYHGFVHGRLPYDVIKPDPQLRNLLRSITQRKIIFTNSDRVHAIKCLKRLGLEDCFDQIICFETLNPNLPNSTRPDEFPVVLKPSVDAMKIALEASKVDPRRTLFFDDNVRNIAAGKAMGLWTCLVGGKTVKTKEADYAMETVNNLAQVIPEIWVNQTEGGDERISRSRSRSELDAMLAAATPVGA >OMO76674 pep supercontig:CCACVL1_1.0:contig10881:8907:11321:1 gene:CCACVL1_15503 transcript:OMO76674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASSLPIVPTRCSVAQDHKLVSLEHLDYRSGHLTITWANLCRAFLVEAKWFASGKLPNAEEYLENGIISSGVHIVLVHIFFLLGEGLNHQNVELIDNNPGMISSTATILRLWDDLGSAKDENQEGNDGSYVDCYMKEHQGIEVESVRKRVIQIISNAWKCLNHECLSRNPISLSFAKASLNIARMVPLMYNYDENQCLPSLEEYMKSLLYESVSMKSLF >OMO76673 pep supercontig:CCACVL1_1.0:contig10881:390:2545:1 gene:CCACVL1_15502 transcript:OMO76673 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MQVCCDLQVDVNGEEVFMVDKRTLASFSGKFRKLFENMMDDDDTRNLKVVFHDFPGGAEGFELVARFCYNNGRTEITPANVVVLNCAAKFMEMEIESDDGSRPSLVNQTKKSLDGISFWTWSELLVALKECQDLLSVSNSSLILDKVLDCVISRLAFASPILASPYPYTSSSENSSFQCSCDTRSSSYSMRSNFSQNSWWFEDLLFLNIDLIEKVIKLMISQNFDHVMISKFLFCYQRSRFLTATQTEKCKITEVIINLLSLLDRSSLSCKLLFDMFRVASSLKISKYCKSILENLIGSLLDQATIDFLLVKSPYRKDYVYDVNLVLRLVKAFCNEASFGSSSPMRLRKIAILLDSYLVEVAADSHLNPSKFAELVMLLPDSARESHDRLFQAIEIYLEVHWGLLEAEKMRICSALNYAKLSTDGLRQLARNSKFPSRIAIQAFINQQSKLENLLDEGKKYSGSLSSEESINEKENSDQILLYSKRVHLPNKAEQFDVQLQGMQFRVTELEKFCGIMQSQIGTIPRTRLSSLGNNARFLPKLCS >OMO76675 pep supercontig:CCACVL1_1.0:contig10881:13246:14520:-1 gene:CCACVL1_15504 transcript:OMO76675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAAEASSMRRLAGLFRKPSVSSTTKSTRSATPTSTKPSSGPNISTVVTSGKSTSKSTISSAVTSSEPPFKLEKRAGYLQRLVKRFKKNCESDEFRNNNKTYDYTVSRLKARGKFSLIDDILQHQKKYQEISQEHFVIRLIKLYGSAGMVRHARKLFDEMPELKCDRTVLSFNALLSAFTYSKEFGEVKKLFKELPGKLGIEPDLISYNIVIKAHCETKSFMSALSMVDTMQKKGVEPDIITFNMLLDGLFRNGRIADAEKIWGLMEEKNVVPDTWSYNSKIRGLVHEKRVSKAVELLKEMRSKGIEPDTNSYNVLISGYCINGDLKQVMKLYGELKKNGLSPDRVTYFRVIYFLCTKDELEMAAELCKELLDRWGKSNNDSRLLLNSVINELVNDSKIEEAKQLVELGKSKFHYKLELPKSE >OMO99820 pep supercontig:CCACVL1_1.0:contig06799:3555:8958:-1 gene:CCACVL1_03607 transcript:OMO99820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MLKFLSKVRIEFNALDPRLASAMEFLAQCNARKAKESNPACQVQVKRRTDDHPPQITVTFVNGVEETFDATATPAQTIRNMILEKGKLLETEQMFREAGEKWPVIIPEEELHQSFPGTKALDRSEGSSTAKTSSGKPQPKEWWEDWNFRSPRLLATITNYDADIICLQEVQNNHLESVFKPQLEKLGYSVHYKSKNRKVELEINEYVSEGCVIFYRTDRFEMVKKDEEAELEVNKMENKDKIELRRTLVKDNHALFLILEDSKTNSQICVANTHLAGFEEGKLARIYQVGTLLKKLEEITKPNNNPIVIAGCFNSYPTSYPYKLITEGQLTSGCLKEVDIPGFYDNCPYQYKLKLKSAYALMWKKGVMPKELKKYIDPDTGEAKFTHTNGKTVDYIFFTDKRNLKIKSLGVLADVPRKKLPSPLGEGSSDHVPIMAEFYRK >OMO84182 pep supercontig:CCACVL1_1.0:contig09776:8888:10428:-1 gene:CCACVL1_10954 transcript:OMO84182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MAVDLIHKFLNLAAPPFTFFSFLFFLPPYYLFKSFLSILSSIFSENVAGKVVLITGASSGIGEHLAYEYGRRGARLALTARREKSLHEVAERARDLGSPEVITIRADVSNIDDCRQLVDDTVNHFGRLDHLVNNAGMTSVCMFEDAPTDMTNFRAVMETYFWGSVYTTRFAIPHLKNSRGKIVVMSSSSAWMNEIRTSVYNASSAAMSAFFDSLRVEFGSDIGITIATPGYIESEITTGKYLKKEGELEVRQDLRDAQVGFMPVGSVSGCARTIVNSVCRGDRYVTEPSWFSVTYLWKLFFPEVFEWSCRLISLPAPGRPPHEALNKRILDFTGAKNVLYPSTIQSPDVKTE >OMO84183 pep supercontig:CCACVL1_1.0:contig09776:14730:18613:-1 gene:CCACVL1_10955 transcript:OMO84183 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor bHLH62-like protein MNQSQIVGCLFFGFRLHDGIRFWERVVRREEGEQGIARWSFCHSSDKKSSLLSRSSSPENAEFRDSKEESSVSEQISCVDSSTKVQNDANAKVMASPTANQFPGAKETPSPTAAGAKDSRLHAATYSVNIQNVVAKNINADFGCVYGYGGVSMVVEVLTDKITRSFAAEGGSEGLCRKDNRYLLSYGQVQM >OMO51434 pep supercontig:CCACVL1_1.0:contig15824:1409:1504:1 gene:CCACVL1_29798 transcript:OMO51434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLLTNLKHNGINHAAMERKEKGQIDPLRKSQ >OMO98646 pep supercontig:CCACVL1_1.0:contig07063:16913:18772:-1 gene:CCACVL1_04124 transcript:OMO98646 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MAPPLSFIVGILANVLSIIFFASPMKTFWEVVKKKSTGEYDVVPYITGLLSPSIWMFYGILKPGGLLIITVNAACSILQFIFITLFLIYAPLHKKVKTVKLVIILNIGVLGSIIAVILLLVQSDKQLTVTGFIASGISIIMFASPLLVMRTVIKTKSVEYMPFLLSFFMFLQCAMWVLYAVLVKDIFFL >OMO98652 pep supercontig:CCACVL1_1.0:contig07063:42718:43048:1 gene:CCACVL1_04130 transcript:OMO98652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQIYPSNGNVGSNITYCPSAFIIDYRYTDEISVSDYNITKGMHVPSTLQFGMQKSGICELKEGSDTNCSHDGKYCWSKLNPMHLCVCTYDYNDPYNGYTISGYSIDVCK >OMO98645 pep supercontig:CCACVL1_1.0:contig07063:12252:15010:-1 gene:CCACVL1_04123 transcript:OMO98645 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MAPALSFIVGIVGNVISIMFFASPMKTFRKVVKKKSTGEYEVVPYITTLLCTNIWMFYGFRKPGGLLIITVNAAGAILQFIYITLFLIYSPLHKKVPSVTGVVLGSAQMILYSIYRNKPKVENQVKIGQNDHGAKNMIEVSVYKETASDEQEQKPANIACNRPLENLPMTL >OMO98650 pep supercontig:CCACVL1_1.0:contig07063:36223:37982:1 gene:CCACVL1_04128 transcript:OMO98650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase/archaeosine transglycosylase MVVVFVLRFSSEDVSSQNQVKASVQRKIRQSIAEEYPGLEPVLDDLLPKKAPLIVVKCQNHLNLVVVNSVPLFFNIRDGPYMPTLRLLHQYPNIMKKLQVDRGAIKFVLAGANIMCPGLTSPGGALDEEVDAETPVAIMAEGKQHALAIGFTKMSAKDIKAINKGIGVDNMHYLNDGLWKMERLD >OMO98649 pep supercontig:CCACVL1_1.0:contig07063:30416:32160:-1 gene:CCACVL1_04127 transcript:OMO98649 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MASLSFIIGIIGNVISILVFASPIKTFWLVVKKKSTENYKGVPYITTLLSTSLWTFYGIINPDGLLVMTVNGAGAVFQLIYVTLFLIYAPKDKKVKTAKLVAILNVGFLGAVIAVTLLAIHGNIRLTFVGILCAALTIGMYASPLSAMRTVIKTKSVEYMPFLLSFFLFLNAGVWSAYSVLVKDIYIGVPNAIGFVLGSAQLILYVIYKNKSGSAKSTEAMEEEGSAHLVKGGIEMHSLEEDLTNRSLNKGKSLPKPHFNRQYSLQKIVKTLSLNPYALQSSWPHESDVEEGTKN >OMO98651 pep supercontig:CCACVL1_1.0:contig07063:41123:42375:1 gene:CCACVL1_04129 transcript:OMO98651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDCQETCGNNVSIPYPFGIGKGCYFPNSWFEVTCNKSKPFLKRLNLELLHSYSDSIVVNNPVIYFNCGGNNNNGKSEVDNNVTAAAGAFTHILAGSPFSFSSANSFGSAGYGGNLATIFSNQIPISGCLQPGFGNGNYCYIGEDTPENLAYLGAKMTQIIFPGAQESNRCSSAFIFDQSTVYYSDVLPRVSIDIETRHVPARLDWNTTGLRCDLSGPDCKEPGAPDRVVCNESRCGDVVIEFPFGTKAGCYMNEWFKVTCNKTG >OMO98644 pep supercontig:CCACVL1_1.0:contig07063:3881:8324:-1 gene:CCACVL1_04122 transcript:OMO98644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MGSLPHVVEDCFGLLKLYSDGSISRHPEEPCATPLLNDDSILFKDLLFDPNHNLQLRLYKPAAAAAVSTTPSDTKLPILFYFHGGGFCFGSREFPHFHNLSIRLAAALNVLVIAPDHRLAPEHRQPAAVDDARLALKWLQGQAKGIGAMHGDEKVDSWLTGVDFDRVFVLGDSSGGNLAHHLAVGLEAGSAELAPVRVRGYVLLSPFFGGNVRTRSEEEHPSEPFWSLDMYDRFWRLSLPIGSTLDHPLVNPLGPFSQSLAEVPLDPILLVVGGGEILRDRVVDYATRQKLERVKKQREKGNKKNTKISILKMGTLPYVVEDFDGLLKLYNDGSIFRTPDELPCATPLLNDDSILFKDLVFDQKHNLHLRLYKPTAAAAAVSDTKLPILFYFHGGGFCFGTRKYPNFHNLSMRLAAALNMLVIAPDLRLAPEHRLPAAADDARLALQWLQGQAKAILMHGNKDDEKVETWLTGVDFDRVFVLGDSSGGSMAHHLAAGFEAGSAELAPAKVRGYVLLSPFFGGNVRTRREEEQPSETFWNMEKYER >OMO98647 pep supercontig:CCACVL1_1.0:contig07063:22180:24061:-1 gene:CCACVL1_04125 transcript:OMO98647 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MAPALSFIVGIVGNVISIMFFASPIKTFRKVVKKKSTGEYEVVPYITTLLCTNIWMFYGFRKPGGLLIITVNAAGATLQFIYITLFLIYSPLHKKVKTVKLAIIFNIGSLGSAIAVILLLVHRNKQLAIIGIIGSGLAIIMYASPLLVMRMVIKTKSVEYMPFLLSFFMFMNAAIWTIYAVLVEDVFIMVPSVTGVVLGSAQMILYSIYRNKTKVENQVKISQKDHGAKNMIEVSSYKETLDEQEQKPANITCNRPGSPV >OMO98648 pep supercontig:CCACVL1_1.0:contig07063:25307:27047:-1 gene:CCACVL1_04126 transcript:OMO98648 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWEET sugar transporter MAFSLSFIIGIIGNVVSILVFASPMTTFWKVIKKKSTDCYKVVPYITTLLCTSLWTFYGLLKPGGLLIMTVNGAGAIFQFIYVTLFLLYCPQDKKVKTAKLVAVLDIGFLGSVIAVTLLLLNKSLQLTLTGILCAALTIGMYASPLAAMRTVIKTQSVEYMPFLLSFFLFLNAGVWSVYSVLVEDFFIGIPNAIGFLLGSAQLILYSMYKNKPKLQKQVKISTNDGAIIEVSAYKEDDAEEEKSLKQGKVMSKNKSLPRPPVSRLYSLEKLMRTLSWGPYGIHSTLPQDQDDIEEDLP >OMO53893 pep supercontig:CCACVL1_1.0:contig15116:10872:16682:1 gene:CCACVL1_28259 transcript:OMO53893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVIRVGPPYGTNTRGIAPYNHRVSGVPVRMPVLQVAPYGTNTRGIAPYHHRVPGVPVLRGQRWLCSREISHITFHYPDGRQDSYLVKEVEKEESLVKLEVVKEESLVKLDSNIDAIESVASSAHSVGNKYLGSVFAVGVAVTFVHRFGPPGFIKNLLNQLGLKNSILVGALLMMVGASVISKESSVASSPFSSPNVTALLKIKIISWSQETGLPVSMRVRVGERTFSLHKYPLFSKSGYFQKRLTESNEVELPQDFPGGPETFEMIALFIYGSTTLVDPFNVAALRCAAEFLEMTEEYSIGNLCERFDLYLNQVVLQSWDDTLIVLQKCQMLLPWSEELLIVSRCIESLAFMACMEILDPERRRDQPVVTLEALAGEAWSCETVKAIASKDLWIKDLIALPFGFFRRIIGSLRRQGMKEKYVSPIIVFYANKWVLSKKTRQYWENSGEKVADSDTSNKASVILQGILDLLPIGDKASRAIPVGFYFALLSRCLEFGFRNDSKMKLQDQIASMLHFAQVEDFLFPQIGTESFSSSLELATMKRIFSTYASFNMDTNPTPSASNYIVSELWDTYLAQIASDPEMEPKMFMELVELLPISCRQSHDQLYRAMNSFLQAHKDITQEEKGSVCKYLNCQKLSQEACIEAVQNELMPLRLIVQALFVQQINTHQAFKECSDSFRFTGQFFGSLSSSRCPNSRSLNLGESPYLDGAEADGKPLSFLLQNDRSMERNYESTSFRLQSLEQELMSLKKSLPWHNMSKKTDSNPNKLQSVKPFGLEGRSLSKKRNPLGQVTGCIGSVNFASQRKYASRLIKIIRRFSLFGVRKSKRKAGASGLWAKSI >OMO53892 pep supercontig:CCACVL1_1.0:contig15116:2092:8055:1 gene:CCACVL1_28258 transcript:OMO53892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSPGNSPRHLSTPSPSPYSDNSLQTPNASSSSSITPINPSKKRSRVLDEDSYVAAVEKIIERDYFPDISKLRDRLDWLEAVKTGDPIQIRDAQLKIIERRGKKVNNANFDGRGQTQTPGSTFMRNFTPFNEFDGKTPRTPGVLDSELSGEGDFRGSEDDVDTNLSLDEFFRRYTSEDNDSFSKILEKVNRKKKEKYGFLTQGEKDDGDVKSIEDVKRDRITDGYGTSDQPPSTLEGWKYTAKNLLMYHPADRGEAPLTEEERAVRLKALTKEINRGNTRFHGKVMDSRPKDDGSVEVFYTPVAGATPMPMYDRDGDKGKKYDLDDLRKTPNRFYAESDKKAENGYSFVRTPSPAPGVDESPFITWGEIEGTPLRLDPEDTPIDIGGSGDGPHFKIPLPPARDVKAHSLSREAARKLRERSTMFKKPPLPSPYRGGSASPSGRTLSPAALKFARTIAKPSSSVDETLRASYRGASPGTSTPKSVRSVSRFGRDGSTDISRLQVMQMCSQIYDLEVFRFQLHHSNAVVLSPIEIKRGKYINSTSPPKPPTAQPQLSFLLVNCDCNLLFVPSFQLSLIISHSPKSKQTSLFIFLSSLFEMPAASPEMSDRDGEAFVEVDPTGRFGRYNDLLGAGAVKKVYRAFDQEEGIEVAWNQVKLAKFSGDPVLINRLQSEVQLLRTLKNKYIIVCYCVWRDREHNTLNFITEVCTSGNLRTYRKKHRHVSIKALKKWSKQVLEGLEYLHTHDPCIIHRDLNCSNIFINGNIGEVKIGDLGLAAIVGKSHAAHSIIGTPEYMAPELYEEDYTEMVDIYSFGMCLLEMVTMEIPYSECDSVAKIYKKVTSGVKPRALNTVPDPEVKAFIEKCIAQPRARPSASELLKDPFFSDLNDDDTDSNST >OMO53894 pep supercontig:CCACVL1_1.0:contig15116:17879:21001:-1 gene:CCACVL1_28260 transcript:OMO53894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGMEPSAANPGPIEPSVLYDQENHVSSAVWDGQERGALRCHEHTSKLGEWRLTPKQIEFVEKAGFGYLRKIPAISLDNPLISALVERWRKETNTFHFTVGEMTVTLQDVALLLGLAIDGKPVIGITYTTCSKVCERYLGKAPDSTYASGGMVKLSWLKEFFSQCPEDASTEEIERHTRAYLLYLVGSTIFSTTTGNKVPVMYLPLFENFEEAGKYAWGAAALAFLYRALGNASVKSQSTICGCLTLLQCWSYYHLNIGRPKLNRDPIHDHFPFVLRWKGKQSGPTTNRDVVFYRKELDSLEPCNVEWLPYKYMDSTVIPEEIRNTLFLGRSMTMLICFDKAERHLPNRVLRQYGMLQPIPEDVLRWVRKSRGVDGGVDLSGKMETELNEWADRNLHIVEGDDDADEIQYMLWYSRITRKVVGRPISLSSEFQRTIGGLKEISYLADNFPLDGLEPDQVEIISRIRSIAQECLRDQVGGPVIESPVVGTELRKRSRGKEKVRRKGTGKRKRGIDPMDGQGASEDESKYCGAVVEVDQLHMHQADAGVDHMVLCSTVDGDTPQLSEVPNKVDEMQLHDANDMMDASQFCDASNEVEDSNMSHAIIDSDMQIIETAEVISLTSDQLPDATNDTKDSEIIKATIESNDSQVCNSTNGVNDSQIPVAADVTNGVNNPQNPVAADVNDGANDPQTPVAADVNESQTYGGTEKEATESQPSDVAVDSDQQMAKETAEAAPQLSHENTEDLAQQGDTSIVA >OMP12294 pep supercontig:CCACVL1_1.0:contig00172:2265:4593:1 gene:CCACVL1_00049 transcript:OMP12294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNFTNFQEDPIVDKLRTQLGVIHPIPSPPINRNIAGLFVFFFFVGVAFDKLWTSRKKKGKLDGDGVRVGTGVWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRGAIENWIIGLLQPVIDNLKKPDYVERVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGIRYTGGARMLLMLSLKFGIIPIVVPVGVRDFDIDGELWVKLRLIPTEPFVGAVQWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLTKLLTVDLPRLFVRPKKIVLDFQKGKSVGPIPDDFKSREIQEEKNKDFVGELSLTLVDARKLSYVFSGKSHFSFEL >OMO84294 pep supercontig:CCACVL1_1.0:contig09755:4241:5087:1 gene:CCACVL1_10896 transcript:OMO84294 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl hydroperoxide reductase subunit C/ Thiol specific antioxidant ACAFRDSYEKFKKAGAKVVGISGDDTTSHKVTNQVEDSNLSQIQDCNLNQIQDCNDSQV >OMO84295 pep supercontig:CCACVL1_1.0:contig09755:5429:6081:1 gene:CCACVL1_10897 transcript:OMO84295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVPSDTLHIWENYLKVQPLAGPYKNKMIEFWDDIVVLCASEKATGQYAENFEDAIDSMAGEAEDEMNSPPTQIPAQQDSTEGSSQKKKRKKDPLVEIVGEMATSLKEYVEMKKNQERPKPTGEEIYGVVSKIVGLNRNDIFGAVNRLMNGCPEQFYLLKSLPDDEKIDYVLYLLQP >OMO84296 pep supercontig:CCACVL1_1.0:contig09755:8234:9538:-1 gene:CCACVL1_10898 transcript:OMO84296 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MNWSSGAADEEYTKSLAASPPDHIQIIELPRVDPPPADMRLKSVEAFIYEFIQRYISPVRDAIRDIVSQRQKSLSDDSTRIAGLVLDFFCSPMIDVASEFGLPSYIYLTSNASFLAFMFYLVTRHTHTPSELEMSDSEELIPGFVNPVPRRVLPLASFNKNGGYYGYLKVAQRFKDAKGIMVNTFEEIEGYTLNCLISKGQNYPPIYPVGPVIDFNGPASPELDMAQRDKMMKWLDGQPESSVVFLCFGSKGSYGASQVKEIALGLEQSGFRFLWSLRTLPPFDDQNPEEMLPEGFLERIQGKGMICGWAPQLEILGHKAIGGFVSHCGWNSILESLWYGVPIVTWPMYAEQQLNAFMMKELGLAVVMRLDYWRGQSEDVKADEIEKAVGLVMDGGSEVRKKVKEMSEMARKAIVEGGSSFNTLGKLLEDIIGN >OMO81455 pep supercontig:CCACVL1_1.0:contig10171:7552:7842:1 gene:CCACVL1_12411 transcript:OMO81455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNDEHEVATKGEEEENKVEGEEPLIAALEDVEEMTLESKEKDEEAPNMAKTSQEDEKEEKDVEESKGSEDNAAKIEEVVIERKNYVGATSAKTFC >OMO81454 pep supercontig:CCACVL1_1.0:contig10171:50:922:-1 gene:CCACVL1_12410 transcript:OMO81454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal biogenesis regulatory protein MRLGNDSKERSYCVPIVIENERNEKRKSLKPFASEGLPPTKWEEFAKKKGIKNRKKDKVLWDEQTGTWKRRFGYDCVNDNKDVPIIDAKMTDEPGDSVMCLMSHDAY >OMO63735 pep supercontig:CCACVL1_1.0:contig12905:47268:68062:-1 gene:CCACVL1_22321 transcript:OMO63735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKRKSEPAPPAMSPPPKSLSANQMTVSVTGATGFIVRDFPGIIIADESGWKDCIEGSNAVVNLAGMPRSIRWSPEAHPGAGHPCPGAGYPRRIGKAIPQPCPGAGYTRKL >OMO63734 pep supercontig:CCACVL1_1.0:contig12905:1001:5037:1 gene:CCACVL1_22320 transcript:OMO63734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADPKVFLMGEEITKGLLEKYGPERVLDTPITEAGFTGIGVGAAYYGLKPVVEFMTFNFSMQAIDHIINSAAKSNYMSAGQINVPIVFRGPNGAAAGVGAQHSQCYASWYGSVPGLKVLSPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIERAGKDVTITAFSKMVGHALKAAELLEKDGIDAEVINLRSIRPLDRSTINASVRKTNRLVTVEEGFPQHGVGAEICSSSASVVEESFGYLDAPVERVAGADVPMPYAANLERMAVPQIEDIVRAAKRACYRSVALAAAA >OMO94998 pep supercontig:CCACVL1_1.0:contig07757:12197:12559:-1 gene:CCACVL1_05653 transcript:OMO94998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLFTKQLSKTDVEKRLAIPTSSLRAFNLNVDAYSVGFEAEDMKSGRIWQFQCTTRTKGFYSKPIISKGWVQFVKFKQLRVGDRVTFYKSNEHNEAQVPYKVEVERKLKLLGKLVWAKV >OMO94997 pep supercontig:CCACVL1_1.0:contig07757:11791:11895:-1 gene:CCACVL1_05652 transcript:OMO94997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLLHKEERFPSKTGRVQMLAIKGFKLEIKLF >OMO66527 pep supercontig:CCACVL1_1.0:contig12544:67188:70272:-1 gene:CCACVL1_21108 transcript:OMO66527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCSKFSICWFQSHLKASVLESSDLENGGNTWPSFSEFSLEQLKAATSGFSSDNIVSEHGEKAPNVVYKGKLDNDRWVAVKRFNRYAWPDSSQFLDEARAVGSLRSERLANLIGCCCEGEERLLVAEFMPNETLAKHLFHWENQPMKWAMRLRVALYLAQALEYCSSKGRALYHDLNAYRILFDNDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTLLLDLMSGKHIPPSHALDLIRGKNFLMLMDSALEGHFSNEDGTELVKLASRCLQYEARERPNAKSLVISLMSLQKEAEVPSYVLMGIQQENASSKQPLSLTPFGEACLRMDLTAIHEILEKIGYKDDEGIANELSFQMWTSQMQETLNSKKHGDTAFRAKDFATAIDCYTQFIDGGTMVSPTVYARRCLSYLMNDRPQEALGDAMQAQVVSPEWPTALYLQAACLFSLGMESDGQETLKDGTNLEAKRNKN >OMO66528 pep supercontig:CCACVL1_1.0:contig12544:73787:76730:-1 gene:CCACVL1_21109 transcript:OMO66528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYIGREASKLWKRICAETTTEINLLLENWKYLLAGLIFQYIHGLAARGVHYIHRPGPTLQDLGFILLPELGQDKAYISETVFTFVFVSFFLWTFHPFIFKSKKIYTVLVWCRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKLARLPKPDSVLEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVLTYQKYGTRRCIKQFAWLVAVIQSLLIIASRKHYTVDVVVAWYTVNLVVFFIDKKLPELPDRTSGNSPLLLPVSTKDKDGRTKEENHKLLNGNTVDPADWRPRTQVNGKIVDDATNGMHADNAMNGA >OMO66521 pep supercontig:CCACVL1_1.0:contig12544:18499:20576:-1 gene:CCACVL1_21102 transcript:OMO66521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase class 1/Sedoheputulose-1,7-bisphosphatase MVQAISTSSWNLLFSKSHRSISPVSRLNLQYHGSHIQTFVRRVSTGVQCKAVELPLAETTETEVQNKKEKIKSQFAIENLTTWLLKQEQAGSIDAELTVVLSSISLACKQIAALLQRSSIINLTGAQGTINIQGEDQKKLDVISNELFCSCLRSSGRTGIIASEEEDVPVAVEETFSGNYIVVFDPIDGSANIDTALTTGSIFGIYGPDRQCLIDLDDTSTLDQAREKCIVNVCQPGSNLLAAGYCLYSSSVVFTISLGKGVYAFTLNPSYGEFVLTHENIKIPETGKIYSFNEGNYDLFDEKLKKYLDHLRQPGPDGKPYSGRYIGCLVGEIHRMLLRGGIYGNPDNKKAKSGNLRLLYECAPMSFLIEQAGGIATDGVQRILDIKPDQVHQRTPIFIGSPAEVQKLQKFLA >OMO66524 pep supercontig:CCACVL1_1.0:contig12544:44983:50674:-1 gene:CCACVL1_21105 transcript:OMO66524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRSVFLLASALVLLAAAASTASASNIVEHSFFA >OMO66523 pep supercontig:CCACVL1_1.0:contig12544:26108:27300:1 gene:CCACVL1_21104 transcript:OMO66523 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAR1 protein MASFRFPLVFFLSSLLLHVSLAEIICEDLPKDVCAFSIASSGKRCLLETTVEKDGNVEYQCRTSEVVVERMAEYIESDECVRACGVDRNSIGISSDALLEPQFTAKLCAPACYQKCHNIVDLYFNLAAGEGVFLPDLCEAQRINPRRTMIELLSSGGAAPGPVSNELPAGAFAEVPAPAPM >OMO66522 pep supercontig:CCACVL1_1.0:contig12544:21214:23149:-1 gene:CCACVL1_21103 transcript:OMO66522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase class 1/Sedoheputulose-1,7-bisphosphatase MVAAAAATASSQLLLSSSRSLSSLSPFQLCVVNSKSLVSYAAAGSNTLKRRHGVKCMAVGTASEAETKKKSGFEIQTLTSWLLKQEQAGVIDAELTIVLSSISMACKQIASLVQRASISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIDDDSTLGSIEQRCVVNVCQPGSNLLAAGYCMYSSSIIFVLTIGTGVFAFTLDPMYGEFVLTQENIQIPKAGKIYAFNEGNYQLWDDKLKKYIDDLKDPGPSMKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRVLDIQPTEIHQRVPLYIGSQEEVEKLEKYLA >OMO66526 pep supercontig:CCACVL1_1.0:contig12544:63670:65785:1 gene:CCACVL1_21107 transcript:OMO66526 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MSHIDLEQGTHRRNESDVSAGEASVCFSDADEGSCYSQFYSTTGGSYDDYSFTCASDGEIGGDVSDSRRVSSVSDCSVEVDIQGGVPEIKVHLAKVEKDCRICHLGLESNSHESGVPIELGCSCKDDLAAAHKQCAEAWFKIRGNKTCEICHSIARNVAGVTEAETVEQSNETNSSTTTAAIAGAPPHSDSRSFWHGHRFLNFLLACMVFAFVISWLFHFNVPSS >OMO66519 pep supercontig:CCACVL1_1.0:contig12544:1306:10306:-1 gene:CCACVL1_21100 transcript:OMO66519 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MKQGLLLWSPCYLSPPISHSISLQIPTTKHAPKLPSISASIDSAEQSKKAKKRYASEELNLDNLAHLDPQWWVVRGLRQPSSWARTLARNFPDIDEFRRNYYRKDLVADLLFNVKMNGGKEEMDGTLRYLGHEHPLAAVVQDGQITTASDQYCRCYCCGEAIINGTPSYCCSLKECKFFLHKSCAELELPPRIDHALHPQHPLDLVVPEIPGGYHWCDFCGSHNRLLISYYCASCSFRLDVRCALLISLAEIFLKSVHDHPLVLLESQNFGFTVTFFQFLDYGFCITCKKSISSLPIYKCFHCEDKFSLHKECAELPRQINHPYDRLHRLSLFPSPVSHQEECSCYSCKIIKWDGLVYRCSPCNIELTLEDVSAPQTISVSDTSYHEHPWTLVPCPMSFTCDFCGLEGDRTPYICTTCNLVVHRKCTKMPRAIRIARHRHPISHVYSLQQSDDDRLYEECRICYERVMARYGSYFCSAPNCNYIAHVHCATDPNIWDGTIVLEDDEMTCNEFDLNMITDVISEVSVGEDEMAMEIKHAFHPHNLMLSFKDQIMDDNICHGCMRLISSTHFYNCKKCSFFLHKSCAELPRVYGHPIHKHLLKLEKSEETFLCTACDRLHDGFMYTCDHEDCRYLRGRLCFKLDIQCSLLPDSLRHPSHEHRLFLSLDDHRGDCRGCPEKGISPAYRCTKRCDFAVDVRCLTLPETAKYKYDRHPLTLAYYDKSDPKQIYCDVWEEERDSSNWFYHCARCDNSLHQECALGQLPFIQIGSTCKLYRHKHGHFTFVENIWDCPPCNSCREICYRQSDTQQQQLSARERRQLRNERRQIDSLGSSMVGCSGLQGSWSRDKPRSWLEHSVGTSQKSNLRGVVDIDESSCVLGEEEAVCKGHLEITRMPLQVEADMALDDGYTPLLHLAAMNGKASDEEHITKSSLLLGLIRVALVDLKDLVADLLFNFNMDGGKGEMDGTLQYFGHEHPLVFVQDPQITASDQHCGCCGESTEGTPSYCCSLKDLKAFYRCFHCDDIFSLHKECAELPLQINHPYDTSSFTLPKSNFPSRGICLDTTNNFNFRHNSPTSMDSGAMSNVIHQQQSGDDDDRLYEECRICYEKVMLRYGIYFCSAPNCNYIAHVHCATDRNIWDGTVVLEGDEMSSNEFDQNMITDVISEVKVGEDVMAMEIKHAFHDHDLILNFKGEIMDDTICNGCIRPISTTDHFYTCKKCSFFLHKSCAELPRVIGHPIHKHLLKLEKSKEIFFCRACARLYNGFVYTCDNEDCSRIYWDGFKLDIQCSLLPDSLRHPSHEQHRLFLSLDDYKGDCRGCPNKGITTAYRCTKPCDFAVDVRCLTLPQTIGSKCMEEYEPGQHHEHNFTFVDNIWDCPPCEECGKICYRQALKCEECNYTIHWICPRENDLRLASLGWKIYYRM >OMO66529 pep supercontig:CCACVL1_1.0:contig12544:81615:81683:1 gene:CCACVL1_21110 transcript:OMO66529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLKLDGDVFCFCRRYFLTS >OMO66525 pep supercontig:CCACVL1_1.0:contig12544:57841:59785:1 gene:CCACVL1_21106 transcript:OMO66525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAVENGNDTTVTEANLQLSTDGGDVAEEGGSRDDSRGGGRTRKDRVKGPWSPEEDAILSDLVSKFGPRNWSLIARGIAGRSGKSCRLRWCNQLDPAVKRKPFSDEEDQLIISAHAVHGNKWAVIARLLPGRTDNAIKNHWNSTLRRRYMDLGRKSESENMVEDASFDKTKASSEETLSCAEDSSFRSLEGKDISSSEKADNQLKGKALTGIQTSREAVEPTTLFRPVARFSAFNVYNNLDGPELASPCSRSAPMQGSLCQSSKADSGICKLLEGVYTERLVPLKCGHGCCGTQNGRNHSNSLLGPEFVEFSEPPSFPNYELATIAADISNLAWLKSGLESNSIRATDDAASGASSHKSQVYKGHLEESRMSDHFRIEERNNKLMGRMTNLLST >OMO66520 pep supercontig:CCACVL1_1.0:contig12544:15685:18070:1 gene:CCACVL1_21101 transcript:OMO66520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQSSRSKKSENLGKGKVTPVQVAFIVDRYLSDNNFSETRSVFRNEASSLISKSPVREAPKSLLSLGAMLDEYICLKEQKVIVEQEKARVEQEKCRVQTLLQGMQCVMNAYNANAAASVPMIPRANVNKSVAMVPQSNPHAGSPPGPAMYGTPTVIPVSGPSRMELDNSSTPVTSQPLTRNKRSSEAGTEAASAAAKRSRSKMPSRKTTQGTEKIPNSDNVMNSKGTAQLVSVNQSSSPNCTANESTMHVSGVAKCLFNQPQQSPPTNSSGPKTPPQEVSPQSDKSMTPLVKTCLKRVGKRDHVKGRLDFDGSDATVNVDKSITNETSTSESELDADIFDLDLSNLDAFGANFSFSELLVDLDLGCEGIAYLGQPTLDPSADALSGSSHESGEGNVGAAQVMSEFSSTFTEVFSEKDMNAQGPDAVTSVKSITKCITILSPVKGRTSCLEQQNCSATN >OMO75287 pep supercontig:CCACVL1_1.0:contig11056:61216:61791:-1 gene:CCACVL1_16246 transcript:OMO75287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICNSCESTSVVTAKLILQDGRLQEFPNPIKVSKILERNPNCFICSSDDMDFDTILSAIDEEDQLQLGELYFALPLSWLNSTLGTEEMGALAIKASQALKMGGGGGSGIKKKFCGCGIIEAEPLLLPNKRVANSSNLMVAANGGRSGGVVIGGGGGGFVVRRKTRGAARRRPAGRSFTAKLTVILEEQVD >OMO75285 pep supercontig:CCACVL1_1.0:contig11056:51920:52723:1 gene:CCACVL1_16244 transcript:OMO75285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Globin MTTYEGKVFTEEQEALVVKSWAAMKKNAAELGLKFFLKIFEIAPSAQKMFPFLRDSNVPLEQNQKLKPHAMSVFVMTCESAVQLRKAGKVTVRESSLKKLGATHFKYGVVDEHFEVTKFALLETIKEAVPEMWSVEMKNAWGEAYDRLVAAIKIEMKACSDSQV >OMO75291 pep supercontig:CCACVL1_1.0:contig11056:76423:77649:-1 gene:CCACVL1_16251 transcript:OMO75291 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MWINGWAPSQSPAASPSPSPSSSPAPSSSSSTSSSSSSTSSSSSPQPSKLPVDFSPPLIAMVVVVAAAFLIVTYSRLISKRLVPKILRFLRFFRRRRRRRRYLPSTTTDLDSLPPSDPFDLPLSPYGLDDSTIKTLPLSLYTSKTKPNNSPKDCAVCLLEFEDDEYVRTLPVCSHAFHVDCIDIWLKSHANCPLCRAGIFASESPFTPLMAARIRPSLDETLLVGSALESLTETPVQSLPNNTTTEITEEPSPRRVNGNGNCEERFNFVLKRSYSFGFERSLASERMVTEPNTASPWRYRRGGNSFWSKRASPFGSLMTKPRVFSFRYYRGMKASPFFRRRGFFPLSERYSGSGGGGGGGGGSSRRSKSMASPMFLRSAASSVAAFSSSRLRCGDPEALLSPERFNRR >OMO75278 pep supercontig:CCACVL1_1.0:contig11056:9959:11596:1 gene:CCACVL1_16237 transcript:OMO75278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKSPSRNQRSKGIKVKHVLQVCVLLGVCFWLIYQVKHSHDKRKEFDEKDAKVSVKTQSEDVILKFGRKDLPHVQEVDENEQERAGGEADHEEEFIDEEKEREAEGDDKENEEKENEEKEGQDESDNAENDQNHDGGRNAHEAREEHYKADDASSAVSHDTQSINSETDKLDMENNSTMNASLLQQESRNNTTEETNGDENNSESKVDEGGNSSNFTKENDLETGSSNSGNTSLPNTANTTDFIDQASNNSTEVNKETGSNSERENIEMPGTLQNGTTTVDGMVTEEKYKEQANETISNSIQSDSNTVDSMKLENVDLVTRESSNSSSNAESGISEDITKKNATAGGDSVGYSETKESTDSTQNEKPEGENESGGTDESSDTNVTEDEGPHDPIDSSDNTLPQEEKDARVDLSTLPDIRTEGSHEDAAAE >OMO75286 pep supercontig:CCACVL1_1.0:contig11056:57995:58527:1 gene:CCACVL1_16245 transcript:OMO75286 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MDISNDPPHFLLATMPYQSHLNPTLHLARRLIHSGVCVTVATTIHGHSRIKTFPSLQGLAYASFSDGFDEGTSPSDHNQDDVMYKRKHVGSQTLTNLLQNLSREGNPVTFLIYGMSLSWAADVARAMSIPSAFLFIQAAALVASI >OMO75281 pep supercontig:CCACVL1_1.0:contig11056:26612:27472:-1 gene:CCACVL1_16240 transcript:OMO75281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MVKKQSKGRQKVEMVKMTKDSNLQVTFSKRRAGLFKKASELCTLCGAEIAMVVFSPGRKVFSFGHPEVEAIIERYLSRNPPQTSGALQLVEAHRNANVRELNMQLTEVASQLEVERKRGEELHVMKNAGQSQWWWQSPVEELSLPQLLQLKSAMEELKKNVAKQVDKVIFQSMNSQQQFFMGSPSVGMQAQQLPFETKNNIAFDHGNMIPHQEYTPVAPPPHMMPPPPGFNPNPEGYNPNAHGFINPGFGRGFF >OMO75292 pep supercontig:CCACVL1_1.0:contig11056:83680:88696:1 gene:CCACVL1_16252 transcript:OMO75292 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MAQTYTIKVEESRPATEKKPSAGPVYRCIYAKDGLLDLPEGMHSPWEFFSDSVKRNPNNRMLGRRQTTDSKQAGPYVWITYQEAYDAALRIGSAMRSRGVNPGDRCGIYGSNCPEWIISMEACNSQAITYVPLYDTLGANAVEFIINHAEVSIAFVQENKLPAILSSLPACSSYLKTIVSFTYVSSAQKKEAEEFGVSLFSWKEFYELGSVDCELPQKQKTDICTIMYTSGTTGEPKGVILTNRAIMAEVLSIDQLIDITDRACSAEDSYFSFLPLAHVYDQIMETYFIKMGSSIGFWQGDVRYLMEDVQELKPTVFCGVPRVYDRIYTGIVNKIASGGTLRNKLFQFAYNYKLGNMEVGFPHDKAAPRLDRLVFDKVKQALGGKVRIMLSGAAPLPRHVEEFLRVSCCSNLSQGYGLTESCGGCFTSLANVMAMIGTVGVPMTTIEARLESVPEMGYDALASVPRGEICLRGNTLFSGYYKRQDLTDEVLVDGWFHTGDIGELQPDGSMKIIDRKKNIFKLSQGEYVAVENVENTYSRCPFIASIWVYGNSFESFLVAVVVPERKALEDWATEHNEATDFKSLCENPKARKYILDELNSTGQKHQLRGFEMLKAVHLEPNPFDMERDLITPTFKLKRPQLLKYYKDRIDQLYNEAKGSKV >OMO75290 pep supercontig:CCACVL1_1.0:contig11056:73328:73492:-1 gene:CCACVL1_16250 transcript:OMO75290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPGMFMRKPDKAEALKQLKIHVALFGVWVAGIRVTPYILQYLTAETEELKLEF >OMO75280 pep supercontig:CCACVL1_1.0:contig11056:23519:24632:1 gene:CCACVL1_16239 transcript:OMO75280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIISVADDQSSSSSSFEEKGDRFG >OMO75288 pep supercontig:CCACVL1_1.0:contig11056:63124:63855:-1 gene:CCACVL1_16247 transcript:OMO75288 gene_biotype:protein_coding transcript_biotype:protein_coding description:DC1 domain-containing protein MKYNEISHFSHPQHILKFEYTEVPFKCDGCKEVGIGSRYRCSYCDFDLHMHCAIPSLSISHPFYKKCSFQFMSRPPGDTPRYCNACEKDVTGFVYHCKSCGFDLHPCCAKLPMVLDDGEVKLYLYRKVSSPCHKCGRKGRSWSYRSACKKYNLHVACVREMLVENWHELYFGRGKGVGGSTRKLETRIPSLKNTLQTPHKRSKGKVKKCCEIAGLALQFVISAVLGDPTTLIAGVIGTLMSRG >OMO75284 pep supercontig:CCACVL1_1.0:contig11056:42372:50781:1 gene:CCACVL1_16243 transcript:OMO75284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Globin MPEVIWRGSYLKPFEFMEARHKRSNSDPVQKKVKKNKLNTIFEASNRHKEMEQIKGRDEGKTNQTSKTEVHNSLKQEILLLQERLQDQFVVRRALEKALSHKPFTYDVEIENLVPKAAKELIKEIAVLELEVAYLEKYLLSLYRKNYDKRFSPLTTIDENVRSTSLAHKELFPEAQTHDMIMSENENSASDLVSSRNSVGILPKECNESWGTERLLDSSIFRSHSSLSQRSAYSVSSPQRNVAKAVDLYHSLPLSMLEQAQMDTSNGFSLAEHLGTDSVSDHVSETPNWLSEEMIKTISAIYCEIADPPLINNDYLSSPISYSSPMDVLSNSQQGQGDMWSPQCGKFSSFNSHFDNPFSIGEPKEFSGPYCTMVTVQWICRDSKKLQDIEHKLQYYRLLVYQLEEVDVRRMKHEEKLAFWINVHNALVMHAYLVYGIPKNNLKRLSLLLKAAYNVGGQTVSIDTIQSSILGCRLPRPGQWLRFLFPSKTKFKVGDARRAYAIESPEPLLHFALCSGSYSDPAVRIYTPKEVFQELEVAKEEYIQSNFSINKEQKIMLPKVMEYFARDSDICSSGLVQMVEQFMPDSLRKSLLSNRKNGKNIEWISHNFAFRASRPPSPHKRSSQNQEEERTHPNGTCNGDEIPNSHQTLDEAVEDGCEGEDDSEKTEKLLNIRDAFEALESQLVALQNLQHQQQYEKEVALAEIDCSRRLLLDKLKEYQGKEDLDVILEASAFASEKVQNSNDLLLPPYPSRLAQSLLLDNGYVSQLPSSYKSLPNGVTTGDPKKNLTVDDENSKQVESKNSRKGLGYMLSSAVKTVLPVVGVIYILSLSNFVPNLRKGTPLKFLGMHQQRANEEKNSTVQCPPGKVMVMENGEARCLVKERIEVPFESIIAKPDVNYGCDMNPIPSTTSAPKATTMLFSNAGINLNDGRTVSNICLNRNYGSKLMHHHQFLELSWAKSNGSWTDLVCKTQRPITSVKRGGGFKVRAFTEEQEALVVKSWNSMKKNSAELGLKFFLRIFEIAPSAKKLFTFLKDSDVPAEQNPKLKPHAMTVFVMTCESAIQLRKAGKVTVRESSLKDLGATHFKYGVVDEHFEVTKFALLETIKEAVPEMWSPEMKNAWGEAYDQLAAAIKTEMKPPS >OMO75283 pep supercontig:CCACVL1_1.0:contig11056:35071:38606:1 gene:CCACVL1_16242 transcript:OMO75283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MEDCASSDEDYYYSSDRESLDGLENEDSDFQWASSRGPSTKVITKESLLAAQREDLRRVMEMLSIREHHARTLLIHYRWDVEKLLAVLVEKGKTDLFAEAGVSVVESEDTGMPVSSSSTAMCEICIEELPSDKMTKMDCGHVFCNDCWTEHFIVKINEGQSRRIRCMAHKCNAICDEAVVRNLVGIKHPDLAEKFDRFLLESYIEDNRMVKWCPSTPHCGNAIRVEDDEFCEVECSCGAQFCFSCLSEAHSPCSCIMWEHWTKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSRIAGHSCGRYKEDREKKTERAKRDLYRYMHYHNRYKAHTDSFKLESKLKETILEKVSISEERESRLRDFSWVTNGLYRLFRSRRVLSYSYPFAFYMFGDEIFKDEMTNEERDIKQHLFEDQQQQLEANVEKLSKFLEEPFDQYADDKVMEIRMQVINLSVITDTLCKKMYECIENDLLGSLQGNTHNIAPYKSKGIEKASELAVCRNSKASTTTDKCLPPDCGTSGKRDRPFSFGSSDDSGCPSQKRPKESYGGGFFDLNLPAEL >OMO75279 pep supercontig:CCACVL1_1.0:contig11056:18092:19396:-1 gene:CCACVL1_16238 transcript:OMO75279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRILVGNLALKFPVSTKPSLSRIHPSTSSCYCKIKLKGFPHQVATVPFIQEETGSNGQNPETGNSVNNNKSLAACFSLSKSQIDKIISRGSSTYKLSIEVYADPDGSSCMLTSGKLLGKISVPVDLRGAESKPSVVHNGWIAIGQNRSNKNGSSAQLSLTVRTEPDPRFVFQFGGEPECSPQVFQVQGSVKQAVFTCKFGFRNTSDRNLGSRSSLQESNTSRNWLTSLKTDKDNFSKERKGWSITVHDLSGSPVAMASMDVGLGNHGAASRPGVNLVSPTPSDTDSTSLTMKSSPPPLPPPSPLPHSAPNLAGNSPWT >OMO75289 pep supercontig:CCACVL1_1.0:contig11056:65985:67463:1 gene:CCACVL1_16248 transcript:OMO75289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQILKPHVLSKAPRLHLSTASFSFSLYSTTSPPPSDEPDPVSTVTSILTHHRSKSRWSTVLTLFPTGFTPSQFSQITLQLKNNPHLALRFFLFTQQKSLCNHDLSSYSTIIHILSRARLKTRAQEIIRVALRTPGIENEPTHLKLFELLVKSYNECGSAPFVFDLLVKSCLQMKKLEGSIKIVRMLMSRGISPQVSTCNALIWEVSECRGPNEGYEIYKEVFGVGNGGRESKAKGVLKIRPNLHTFNALMLCFYREGLLEKLEEVWSEMEGSGCVPNSYSYSILMAAFCEEGKVRDAEELWEEMIVKGLKPDIVAYNTMIAGLCNVGDVMRAEELFREMGLGGTEATCVTYENLINGYCKVSDIDSAMLIYKDLCRKNFKPQGSTIEALIGGLCDKGRVLEALEIMRVAMRQLGVCPTGQSYAFLIKSLCEERKMEEALKLQAEMVGKGFKPNLEIYDAFIDGFSREGNKEMVTVLRKEALETQKELEGN >OMO75293 pep supercontig:CCACVL1_1.0:contig11056:89785:91262:-1 gene:CCACVL1_16253 transcript:OMO75293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cold-regulated plasma membrane MGKQSYLAMRTQQDTSDLISSDFKELTFAAKKLANHAIKLGSLGFGTSFLEWVASFAAIYLLILDRTNWKTNILTGLLIPYIFFSLPSILFNLFRGEVGKWIAIVAVILRLFFPRRFPDWLELPAALILLIVVAPGLLASTIRSNWIGVVICLIIACYLLQEHIRASGGFRNSFTKAHGLSNSVGIIILLVYPAWALLVELL >OMO75282 pep supercontig:CCACVL1_1.0:contig11056:28763:33492:-1 gene:CCACVL1_16241 transcript:OMO75282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl/Asparaginyl-tRNA synthetase, class IIb MSLLLKSFLFLSLRARPLAVFPSTLLSKSLPRNRRTLSSVSASTFQSPSPSSLSAETPTPVTPSPPRSDSLQWVSRTAFCGELSKEDVGTRVRLCGWVALHRVHGSLTFLNLRDHTGIVQITSLPDEFPDAHEAIKDSRLEYVVAVDGVVRSRPSDAVNEKMKTGLIEVAAEHVQILNSVSAKLPFLITSADDAKDFATEEIRLRYRCLDLRRQQMNFNIMLRHKVVKLIRRYLEDVHNFVEIETPMLCRSTPEGARDYLVPSRIQPGTFYALPQSPQLFKQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPFEDMLRLNEDLIRKVFLEIKGIQLPNPFPRITYAEAMDRYGSDRPDIRFDLELKNVSDVFLDSTFRLFADTLENGGIIKTLCVPAGAKKFSSTALKKGDVYNEAIKSGAKGLPFLKVLDDGEIEGIPALVSSLGPTDREQFLRRCSAGPGDLILFALGHHTSVNRTLGRLRLLMAHELGLVDNSKQSVLWVTDFPMFEWNDSEQRLEPLHHPFTAPNPEDMGDLSSARALAYDMVYNGIEIGGGSLRIYKRDIQQKVLEIVGISPEEAEAKFGYLLDALDMGAPPHGGIAYGLDRLVMLLADANSIRDVIAFPKTSTAHCALTRAPSEVDIQQLRDLSLQPL >OMO51583 pep supercontig:CCACVL1_1.0:contig15783:5143:9020:-1 gene:CCACVL1_29702 transcript:OMO51583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTVRILRGFESVHQDTHKNVGPTVFIVSKLEVICSDL >OMO51584 pep supercontig:CCACVL1_1.0:contig15783:12126:17091:-1 gene:CCACVL1_29703 transcript:OMO51584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETEDEMNSPHTQMPAQDSIEGSCQNKKRKKDPLVEIVSEMATSLKEYVEMKKSQSLLREAATRRRRRRKEGIS >OMO95414 pep supercontig:CCACVL1_1.0:contig07681:3014:14552:-1 gene:CCACVL1_05415 transcript:OMO95414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSSMVPETDHDQNHGGASQSPAPMEREQQQQQPPPQQQNQQLEDQTSPPGPGGSPPPPAQQQAAAVAAAAQVQPQTPVVGPRCAPTYSVVNAIIEKKEDGPGPRCGHTLTAVAAVGEEGTPGYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVGRLAYISVNGGTDLNHNFICVVREERHSNENQRFSQPVLSSWFLWCAVFQPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTTAASHAAAAAAASNTGRLPATGRYGFVDERTRQTMPEAVSDGSVVLGNPVAPPVNGDMYTDISTENAMLQGSRRMSKGVEYLVEASAAEAEAISATLAAAKARQGQVNGEVELPDRDRGAEATPSGKQMSTLIKMPDSAGANNVAPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFCTEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAMSSPEASPERHIEDTWMQGLGVGKDWVIREYRLEEGGIVHDYVLCVVWKKESLGDYQFAAPFIDDDEIEVENLVWTGTNSCSGSGSNSAPVLSSGLASMDDMVVPVPESMEASQVADQVTAQVQSNSIDNYSMEFPCGYDVPESMEAPQVADQVPAQVQSIPLWI >OMO98175 pep supercontig:CCACVL1_1.0:contig07155:2583:3588:1 gene:CCACVL1_04313 transcript:OMO98175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGISSFLTNFPPFIYFLLSLLEKIPVKLPIPIEKMVSRVSFARTGRHLQRYDNRGFRLVVGCIPYRYRKTKEEEAASTSIDEEIEVLVISAQNGKGMLFPKGGWEKDESMEEAAIRETLEEAGVLGNIEGKLGKWCYKSKRQSIFHEGHMFPLLVKQELDRWPEKNIRERKWVTVSKAKQECPHLWMREALEELVRRHMRQQEEVNRVACN >OMO95467 pep supercontig:CCACVL1_1.0:contig07673:283:4075:-1 gene:CCACVL1_05402 transcript:OMO95467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type TKWCPAPGCEYAVEFASGSGNFDVSCICSHSFCWNCTEEAHRPVDCATVAKWILKNSAESENMKWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGAWAEHGERTGGFYACNRYEAAEQEGVYDEAERRREMAKNSLEKYTHYYERWASNQLSRQKALAVLNQMQTVQLEKLSDLQCTPETQLKFIIDAWLEIVECTRVLKWTYAYGEAESGLERLHQCAEKELNKFITGDGPSMEFDEFRAKLAGLT >OMO70201 pep supercontig:CCACVL1_1.0:contig11857:1536:1822:1 gene:CCACVL1_19061 transcript:OMO70201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLMFIPRWVVCCLPQPTLFTPFPGAPPIPQNVPHSASMPFTLGGNQAPPYPQPIAAPSFA >OMO87552 pep supercontig:CCACVL1_1.0:contig09223:32121:33850:-1 gene:CCACVL1_08942 transcript:OMO87552 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MGSETQTVCVTGASGFIGSWLIMRLLENGYTVRATVRDPDNQKKVKHLLDLPKAATHLTLWKADLSEEGSFDAAIQGCTGVFHVATPMDFESKDPENEVIKPTINGVLDIMKACLKAKTVRRLVFTSSAGTVNVEEHQRAVYDESNWSDLDFVYAKKMTGWMYFVSKTLAEQAAWKFAKENNLDFITIIPTLVIGPFLMPSMPPSLITGLSPITGNEAHYSIIRQGQFVHLDDLCMSHIFLFENPKAEGRYICSSHAATIVELAKMLREKYPEYNIPTKFKDADESLKNVIFSSQKLLDLGFQFKYSLEDMFVGAVETCKEKGLIPSPSPASIKDKDTTPECTVEKAIDVPTPVASVETSVN >OMO87547 pep supercontig:CCACVL1_1.0:contig09223:13907:16737:1 gene:CCACVL1_08937 transcript:OMO87547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbohydrate kinase PfkB MGAETLVNNGEAQLQLEAKAPVILGLQPLALVDHVARVDESLLDQIPGETGGSIPVEITELEHILSQLKKHIVASADDRCPMKTMAGGSVANTIRGLSSGFGVKCGMIGAYGDDEQGQLFVSNMNISGVNISRLRKKKGHTAQCVCLVDALGNRTMRPCLSNAVKVQGDELTKEDFSGSKWLVMRYGIFNLEVIQASIRIAKKEGLSISLDLASFEMVRNFREPLLQLLESGNIDLCFANEDEAKELLRGERNTDPEAALEYLSKYCRWAVVTLGPKGCIAKHGKEIVRVPAVGEINAVDATGAGDLFAGGFLYGLVKGLSLEECCKVGSCSGGSVIRSLGGEVTPENWQWMYKQMQIKGLSLPDIRN >OMO87551 pep supercontig:CCACVL1_1.0:contig09223:28981:31113:-1 gene:CCACVL1_08941 transcript:OMO87551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine/putrescine carbamoyltransferase MAAISGHCTFRSHKLPLSSATSSSFSGGALRSSRISFACPPSVRRNHISCQASSATSPPSSSVNGTAKGELKDFLHISDFDKATIMKILDRAAEVKALLKSGDRSFLPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSRYNDIIMARVFAHQDILDLAKYATVPVVNGLTDYNHPCQIMADALTIIEHIGQLEGTKVVYVGDGNNIVHSWLLLAAVVPFHFVCACPKGFEPDKETVKKAQEAGISKIEITNDPKEAVKDADVVYSDVWASMGQKEEAAYRRQAFQGFQVDEALMKIAGPKAYFMHCLPAERGVEVTDGVIEAPNSIVFPQAENRMHAQNAIMLHLLGL >OMO87555 pep supercontig:CCACVL1_1.0:contig09223:46291:49796:-1 gene:CCACVL1_08945 transcript:OMO87555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleotidyl transferase MESCCMALKVNANPINVGKVHNNGSSIFWGESIRGGLKSRGFRTELWKNLKVEKGVNKFKPGVAYSIITPDIDKETLTFQAPMFETPQADPTKVASIILGGGAGTRLFPLTSRRAKPAVPIAGGYRLIDVPMSNCINSGIRKIFILTQFNSFSLNRHLAKTYNFGNGVNFGDGFVEVLAATQTPGEAGKKWFQGTADAVRQFVWVFEDAKAKDVEHVLILSGDHLYRADYMHFVEKHIDSNADITVSCLPMDDSRASDYGLMKIDEKGRIIQFAEKPKGPKLKAMQVDTSILGLSAKDAAKYPYIASMGVYVFKTEILLKLLTRSCPSCNDFGSEIIPSAVKEHNVQAYLFNEYWEDIGTIKSFFDANLGLTEQPPKFEFYDPKTPFYTSPRFLPPTKVDQCRIVNAIISHGCFLQECSVQHSVVGVRSRLESGVELEDTMMMGADYYQTESEIASLLAQGKVPVGVGQNTKIKNCIIDKNARIGKNVVIANSGGIEEADRPEDGFYIRSGITVILKNATIKDGMVI >OMO87554 pep supercontig:CCACVL1_1.0:contig09223:43680:45898:1 gene:CCACVL1_08944 transcript:OMO87554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 5 MKVLGLVLFAFFLFQKQGIFLHVKADDGFVKTKGMQLMLNGSPFYANGFNAYWLMYMAADPSQRSKVSTAFQDAQQHGLTIARTWAFSDGGDRPLQYSPGSYNEQTFQGLDFVVSEAKKYGIKLILSFANNYDQFGGKKQYVNWARNQGQSIGSDDDFFSNSVVKDYYKNHIKTVLTRRNSLTGVAYKDEPTIMAWELMNEPRCTSDPSGKTMQIPGIDFATVHSYPDQWLQSSNDESQIAFLKNWLDTHIQDAQNILRKPLLFAEFGKSLRIAGPNQRDELFNTVYTAIYSSARAGGAAVGGLFWQLFTPGMDSFRDGYEVIMSEGTSTVNLITQESQKLNHIRRMYVRLRNIEKWNKAREIRRAQFWAGKGGKK >OMO87556 pep supercontig:CCACVL1_1.0:contig09223:53034:53530:1 gene:CCACVL1_08946 transcript:OMO87556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L31 MALTLPNSFLQIKPSLPQSVATKKAVNAAPRNYRPQVTCRKKDIHPEFHEDAKVYCNGELVMTTGGTQKEYVVDVWSGNHPFYLGNRSALVVDADQVEKFRRKFGELSEIMEIPVLKGEIVLPTKRKSGKAKKK >OMO87557 pep supercontig:CCACVL1_1.0:contig09223:56070:56723:1 gene:CCACVL1_08947 transcript:OMO87557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDYSRKEHKNHKKCNNIVPSYRIVLALLLLFWLSNHEYVGRAFYQGFINVSNKPLLVFVFMNLIIFTLCFLPSQKQINQPLTYYVVCDQGSNRGNSEGKNPLSDDDDEGHTEEEILEDKHIILVENYTQHYSESFEWNDHNYDDHELELRRLERGMIKEMAITMAKPPWTSSSMEDKSNEEFRFAIEAFISQRNKVMMQENFHDEEAIYAFSDQQL >OMO87548 pep supercontig:CCACVL1_1.0:contig09223:17830:20033:-1 gene:CCACVL1_08938 transcript:OMO87548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLGCVNKANSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRNECINYSFTYEAQLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVGGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERKFENFADSSRDDLVKDALMAIRETLQGETLTSSICTVAVLGVGEPFHILDQATVKQIIDGFEIAGEQEDPAGEPDAAAGQEAAAEQGAAADQGGSTDQVVAPMDI >OMO87553 pep supercontig:CCACVL1_1.0:contig09223:37697:39523:-1 gene:CCACVL1_08943 transcript:OMO87553 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MSMGLGGTGSELGEIVCVTGASGFIGSWLIKLLLDRGYVVRATLRDPGNIKKVKHLLELPKAKAQLSLWKADLSEEGSFDHAIQGCSGVFHVATPMDFDSKDPENEVIKPTINGVLSIMKACAKAKTVRRLVFTSSAGTIDVAEHQKPIYDETCWSDLDFIQAKKMTGWMYFVSKTMAEQAAWKFAKESNIDFVSIIPPLVVGPFLMQSMPPSLITALSPITGNEAHYSIIKQGQFVHLDDLCRAHIFLFENPKAEGRYICASHHATILDIAKMLREKYPEYNVPTKFKDVDESLKSVEFSTKKIMDLGFEFKYSLEDMFTGAVETCREKGLLPLSNDKK >OMO87546 pep supercontig:CCACVL1_1.0:contig09223:7158:9525:1 gene:CCACVL1_08936 transcript:OMO87546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGKAVYTVGFWIRETGQALDRLGCRLQGSYYFQEQLSRHRTLMNIFDKAPLVDKDAFVAPSASVIGDVQVGRGSSIWYGCVLRGDVNSISVGSNTNIQDNSLVHVAKSNLSGKVLPTIIGDNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVVVEKHAMVAAGALVRQNTKIPTGEVWGGNPAKFLRKLTKEEMEFIAQSATNYYNLAQVHAAENAKPFDEIEFEKVLRKKFARRDEDYDSLLGVVRETPQELILPDNVLPDKAKAQKSSEK >OMO87545 pep supercontig:CCACVL1_1.0:contig09223:3273:6467:-1 gene:CCACVL1_08935 transcript:OMO87545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrieval of early ER protein Rer1 MEGLGEDAATAVAPLAKWKNDFSRYSITKALCMAFFMTFFSIFDVPVFWPILLCYWIVLFFLTMKRQIMHMIKYKYVPFSMGKQRYTNTGKKNTASGLGDQGIKDRKMIGYGAKQVSNDVTISSTRSEAVATTKQQSWLQELESCVGKVIRKAMGMLITIS >OMO87550 pep supercontig:CCACVL1_1.0:contig09223:23500:28545:1 gene:CCACVL1_08940 transcript:OMO87550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYQRAPAATAFSKKLSNGHSFNGKNMYDGVFGGGQSKVGSRVEDYAEIFGGGSGASIPVLDVPELTERKFSVDVSSSKLDYSKIFGGFGDFDFAVSHEEFIAKPTRDIKTKAKTRSISEGSFSYPSNIPVENQVLLNDQTSHESSNGVKQFKMSYNKSIPGSQNRTNGTTHVAQLQAVPGYSCLIDEKVTPPRYKPASSVMNETKQTNNRGERLAEGIHKRSASNVAPIGVNKETAESVDGLHSKSRSYGSDFNDVLFGSYDVGLRTPPTKVQRASSMSNNIGSNKHDSMKFGVSRSHSLDCDAGVSSPPYFDDEVDANSVAATSAAAVKKAIEEAQAKLKIAKELMQRRKEGHVTTRIKPSSNGGLKAEKRKKGEDTVKENIVRQDKAQETCEKIEASVQTSAGVWKQNMIKVGQVIPESEDSEKSFTAREAAGGTYAKTFRLSQADCRQEDIEKKEVTKEAERGQEAMHALNEDEGGEKKTAEKPEKYSEESVVEEAIKEEIERKVDETKELCGKVECLNELKSDVESHDQKEDETKLRFSEQFEETQEKVAGEPEACDGTLKEPEKQVEDGIQVEMQELKDIDDMETRKVAQGVDNMEIDKHRLKQEENGSGLEDVLENEENEMVLPDVAVQKEFENRSEEAFEMSSELKGEPKEDYGSEEGTSNGDDNEQILDEMEKPTIVNVRHNTFDNEEESQRRLEEKGDSLEDEEFMEAEENGDMFEDAYQMESAEEQKEAPESGGAEGMQKQADQNADEITQAREDALECCDENLEAADDANEENIIENIGETMGSSNRESSCQMTPEFLVNEENDSIVEGSTDDTECKETRRDSDAVEVANDAEENLAFDKAGLAESTSKLNEIEQQLENTTEAFDFDRSSTDSDAAETSFEPKLCEQNPIESEIIRTMEKHVQELAHESEEDVSEAEVLLKQEENKYKFEFPDEGRAIREARERAFAEARERAAAGRKNVEARRKVKAEAEGESAKPSAEASDKTSIEAKLKAERAAVERATAEARQRALEKALSEKGSFGSRNQAEKVSDAKQSFQSYNKSLNPKSSLILLHEASHSSERIDGATGESAQRCKARLERHQRTAERAAKALAEKNRRDLLAQKEQAERNRLAETLDAEVKRWSSGKQGNLRALLSTLQYILGPDSGWQPIPLTDIISTAAVKKAYRKATLCVHPDKLQQRGASIQQKYTCEKVFDLLKEAWNKFSAEER >OMO87544 pep supercontig:CCACVL1_1.0:contig09223:732:2211:1 gene:CCACVL1_08934 transcript:OMO87544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSKILIIGATGYIGKFIVEASVKEGHPTFALVRQTSGDLYDHESLVKAIKQVDVVISALGDIKHLADQVKIIAAIKEAVNVKRFFPPKNIYSLNELVALWEKLIGKTLEKTYVTEEQLLKQIQEAPFPENTYLAIFHSNFVKGDQTNFEIDPSSFGVEASVLYPDVKYTTVEESLTRFV >OMO87549 pep supercontig:CCACVL1_1.0:contig09223:22982:23180:-1 gene:CCACVL1_08939 transcript:OMO87549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKIKAKVTGKSRMSSFHVPTCHQSSTPTTVVKWKG >OMP04045 pep supercontig:CCACVL1_1.0:contig05846:778:900:1 gene:CCACVL1_02206 transcript:OMP04045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KGEEPNESMIVKGIETITDKTKVKAEDNDIQASLDYAFTT >OMP11053 pep supercontig:CCACVL1_1.0:contig01631:653:718:-1 gene:CCACVL1_00698 transcript:OMP11053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPSVPTNKLSRSLLTKKY >OMP10122 pep supercontig:CCACVL1_1.0:contig02763:24:1269:-1 gene:CCACVL1_01016 transcript:OMP10122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MILISSSAASLPLRKGFYQKKCPSAETIVRNAVKAALATDPGIPAALIRLHFHDCFVRGCDASILLDSTPNNKAEKDSMGNKGVQGFQVIDEAKAKLEAQCPNNVSCSDIIAFAARDSVTLAGGPYYDVPAGRRDGRISSIQEVTKNLPDAFFNVTQLKENFARKGLSLEEMVTLSGAHSIGDSHCSSFSKRLYSFNKTVATDPSIDVSYVTLLKAKCPKPLNNNGANPDPLVPFDPVTPNRLDNNYYKNLKSNKGLLASDQTLWDTAITRSLVKGNVNHPSAWAKKFAAAMLHMGSIEVLTGTQGEIRKNCRVVN >OMO73440 pep supercontig:CCACVL1_1.0:contig11232:41341:45005:1 gene:CCACVL1_17262 transcript:OMO73440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEREKGKSRKMKRSNKKGKLFSPINNLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPRLWLRVDGSLKEISEPGVFPSIEEAISAARPGDTILIAAGGSHLASNIQIRKPLCLIGGGELPDETTLFCLRGSDSALEFLSTCKLMNLTVKAELGCCLLHRSGRLIIDECILQCETNPLDYLSCPIISTAGSGVFPSKLKSHGDSVSVSHTRIEGGAKAVLTKGNLALQRVRVIYARTYLYFWFDVDCK >OMO73441 pep supercontig:CCACVL1_1.0:contig11232:48399:50450:-1 gene:CCACVL1_17263 transcript:OMO73441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDDFRSVLETAGVDVWTFIDTAILVASLDYGQELKQRRDGIVERLYATSMVTRCKGCDFGERSNGHQINKEGSPHGGKREEGEKGSPFTPQLDNEDDDLDPYGGLFDDEQKRVLEIKERLEEPDQSEDSLVDLLQSLADMDITFQALKETDIGRHVNKLRKHSSNEVRRLVKQLVRKWKEIVDEWVRVNQPGELESAALMADGDSPQQKLPQNGRQQVPDFAYSPNPHNGSFGSDKNNSEPERKPKPIPPPRRDPPPRPTHSTPPQNVQREQKEGNFDSERLASARKRLQANYKEAENAKKQRTIQVMDIHELPKPKNAFFAKNKGGGSQGRHW >OMO73435 pep supercontig:CCACVL1_1.0:contig11232:3498:5096:-1 gene:CCACVL1_17257 transcript:OMO73435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKWKIKDRVTKISREQWSAAYVAKLAMGNLPTMRNAQESSRN >OMO73436 pep supercontig:CCACVL1_1.0:contig11232:10846:20926:1 gene:CCACVL1_17258 transcript:OMO73436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPERGEENSKKKISKKCKMSFSFVKELDLHKLANCKVKQAERETKKRAKEQKEKAKRLENARNHSTNQLLPDRKESENDPNA >OMO73437 pep supercontig:CCACVL1_1.0:contig11232:25259:25408:1 gene:CCACVL1_17259 transcript:OMO73437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFWQNGQVVMQSQNHRSFKKLPTSPSKFHDAEQSAPREIRSSSNNHH >OMO73439 pep supercontig:CCACVL1_1.0:contig11232:29685:33553:-1 gene:CCACVL1_17261 transcript:OMO73439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNLGNGGRSPGPGGNDGGDKDCIKSMVDQGSVESRRFYLSRKTALEMLKDRGYNVDESEITRSLTEFRSLFGDNPELERLRISATLRSNPSKKILVVFMGKNEIRKATIFALRGDISKENLSGLILVLQSKMNSFARKELESFAFKVEEFQISDLYVNITKHYLMPKHEVLTAEEKQKLLNKYDIEAKQLPRMLKSDPVARYYGVEKGQVVKVTYSQEFCELHEQYRWVV >OMO73438 pep supercontig:CCACVL1_1.0:contig11232:25985:26053:1 gene:CCACVL1_17260 transcript:OMO73438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAGKISRILARMDLLDESQC >OMO96968 pep supercontig:CCACVL1_1.0:contig07342:154:237:-1 gene:CCACVL1_04730 transcript:OMO96968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALITQPVQKKYILSSGAKGDDIFDDSM >OMO96970 pep supercontig:CCACVL1_1.0:contig07342:12543:14847:-1 gene:CCACVL1_04732 transcript:OMO96970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPIQDIPVDIEFDLHSSDCSDEVGGFQPTNYEINAASTQTEPTAASTQAGNQGLSTEPISVVVENDETRKRTSTAKVWEHVTRFKANDEYPMAKYDEWRLHKRISSFYPITSHKGESIGKTLEKCVKDFGIEKVMAVTVDNAIANDMGVRHLKNFFVSKKTALVDGKYMHMRCVAHILNLIVGDDLKEKEYQTCVSIIREAVKFARASNARLAKFLECAKTEGIEESVVLSLDVPTRHELRGVGLPEKSDWENARALCVFLKRFYILTCKVSAVKSITSTNLLDEIWGVHKTLLQLQNHSESAFRSMAREMYEKYDKYWGQIDKTNMLVYVSAVIDPRKKLKFVTFCIQSMYATDKASSLLAGINEALKDIFEDYVKVLNPAATSSQSSQVQQSSLMEEDDDYDAMAEFQKHQMESGGDELKTELYIYLQEEPEVQKKDGDFDVLIWWKLNSPRFPILSSLAKDVLAIPVSTVASESTFSTGGRVFDPYRSSLTPKLVQALICAQDWLKVSKEYDPAAETEEQKEMDKLGLDLKNIFLDTNIDT >OMO96969 pep supercontig:CCACVL1_1.0:contig07342:4391:7100:-1 gene:CCACVL1_04731 transcript:OMO96969 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MAPMSLPPGFRFHPTDEELVAYYLDRKITGRTIELEIIPEVDLYKCEPWDLPDKSFLPSKDMEWYFYSPRDKKYPNGSRTNRATRGGYWKATGKDRTVQSQKRAVGMKKTLVYYRGRAPHGIRTNWVMHEYRLLNSSASAPSSLKDSYALCRIFKKTIQIPSKRKDDDQGVDNNNNNTNNNVDKEVGGWVSGDEQLFGDDGTEISRGREMEDDNLNTSSSDVTQGTPNETLITDDYQYEANSTSANNMCSFAADFSSSLIQEMRIPGYTNVHYQVPYPPLELEDFPEINITETKPSKPEIIDEYMIYDKYRDHMNGSLEEIFSLCSSHIDNSISLPMQD >OMO72566 pep supercontig:CCACVL1_1.0:contig11433:67796:69386:-1 gene:CCACVL1_17710 transcript:OMO72566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAGSQDQRKRTLEALERRFAVAKAELLHQQKKNKKLSVDEDAKETLGSNSSISDASTATPSKKGSMADKILHELLQSGDSAQKYMQGSRSVKIDNWILLDNYVQGRPMSTGSHIRALKIHSKRSKRHMSMKQLKKSGALKLPQALQKFDMFKPMHEMWKGYMNQLRKINGKNQLAQCLLGADLHGALILG >OMO72570 pep supercontig:CCACVL1_1.0:contig11433:82299:85205:-1 gene:CCACVL1_17714 transcript:OMO72570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGKWLSSIKKAFSPDSKDKKNKVCRSPIQLKGIAIIFILMSFNILDRHAFQKSNEQLLEKQVHLGPSGSDAATQEAVNLSPPPPPEEVKLTEVETEQSKQTHSVSIATADADVVAPPPPLPARAAAEVVRPQLNTGARFAGKSKEEVAAIKIQTAFRSYLAKRALRALRGLVRLKTLMEGPVVKRQAASTLKCMQTLSRVQCQIRTRRIRMTEENQALQRQLLQKHAKELVNLQMGDEWDDSIQSKEQIEASLLSKHEAAMRRERAMAYSFTHQQSRKNSLRPMNPLFMDPNNPSWGWSWLERWMAARPWEGRGTTEKEHNNDQSSVKSARGNFGGEISKSYARYQLNMDKQSPKASQKPSQTPSFQSPSTPKQASIPARKLKSASPRSSAVGPDDDTRSMVSMQSERNRRHSIAGSSVRDDESLASSPSLPSYMVPTESARAKTRMQSPLGLEANGTPEKGPVAAAKKRLSYPPSPARPRRHSGPPKVDSSITNTEVAVANGGGS >OMO72573 pep supercontig:CCACVL1_1.0:contig11433:100623:103082:-1 gene:CCACVL1_17717 transcript:OMO72573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLSRWIKVNPTDERETLVKPKRSECEWLLKLKEATALSLFLLLSTLSSAATTRASASLPSFIMGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKK >OMO72568 pep supercontig:CCACVL1_1.0:contig11433:77139:77270:-1 gene:CCACVL1_17712 transcript:OMO72568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIKEAHRRLMVANHPDAGGSHYLASKINEAKDVMLGRSKVW >OMO72565 pep supercontig:CCACVL1_1.0:contig11433:65629:66342:1 gene:CCACVL1_17709 transcript:OMO72565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNMTSPTFPTSRSGRVSYLVSKISEEEPSFGVVQTIFKSGWDNKLIGLKIEKVLKINHGVDVLNKFEEYREIVKSKSTNINVSSNMERLAVDGNELLRFHGAIVTCSLGNEEFSNICHRECCGICRMVSSSLLSEGEESLSLSKNSKQADKKVVTKFVVNKIGTARKAVVICRVIAGRVARCNGRGLVDGEEGGGFDSVVSLSMDQLEGSEELIVLNARAVLPCFIVLYNVKSHKM >OMO72569 pep supercontig:CCACVL1_1.0:contig11433:80155:81243:-1 gene:CCACVL1_17713 transcript:OMO72569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYRDFSESSEMVAPIIAGLAVAAAAYAGRYSVQAWQAFKARPPTARLRRFYEGGFQAVMTRREAALILGVRESVAIEKVKEAHRRVMVANHPDAGGSHYLASKINEAKDVMLGRSKGGSSSAF >OMO72574 pep supercontig:CCACVL1_1.0:contig11433:103844:107424:-1 gene:CCACVL1_17718 transcript:OMO72574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSILFAGTKINKKKFASDIDRFQEKTETENKVDYLSLFESVNPEPEEAAVSKKKRKRKTAVSEAVEGFNVFKSSKKASVVNEEDTKDEEEDKLAKEKKTINRKMEADAVLRKKYNIHVSGTKVASPLKSFAELTSVYGCESYLLRNFAELGFKEPTPIQRQAIPILLSGRDCFACAPTGSGKTLAFVSPMLIRLKHASTEGIRAVILCPTRELAAQTTRECKKLAKGNKFYIKLLTKKLIRGADLSKLPCDILISTPLRLSSAIKKKKLDLSRVEYLVLDESDKLFELGLLKQIDSVVEACSNPSIVRSLFSATLPDFVEELARTKMHDAVRIIIGRKNTASESVNQKLVFTGSEDGKLLALRQSFAERLNPPVLIFVQNIERAKELYEELKFDNVRASVIHSDLSESQRENVVDDFRAGKTWVLIATDVIARGMDFKGVNCVINYDFPDSAAAYIHRIGRSGRAGRTGEAITFYTEDDVPYLRNIANVMAASGCEVPSWIMGLNKLRWKKHRPKRSRILTKPSDEAED >OMO72561 pep supercontig:CCACVL1_1.0:contig11433:7358:13721:-1 gene:CCACVL1_17704 transcript:OMO72561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance, DNA-dependent ATPase MFGADGESTIDIYGSINTSSIGSIVPVYFTENDYSKDEEKLIFELIRFFSVPPGQDIARQVKDDHSRAFSLSLDFEQFRKLCDIEEFYAKLEDKPKMAISCISAAVHQVLLSNLDFNSEEGMKVNIRLHNYPESMIALKNLKAAYIDKLVSVRGTVVKASTVKPLVMKMDFSCEKCTTEIPRMFPDGKFSPPSACTLHGCKSRTFKPIRSSAQAIDFQKIRLQELRRSEDHEEGRVPRTVECELTEDLVDTCIPGDVITVTGIIQVINNYMDIGGGKSKSRNQGFYYLYIEAVSIKNSKSQSMPEDLQDSDSNVRPTELVDLFSFSPRDLEFIVKFSEEHSSDVFRQILQSVCPSIYGHELVKAGITLALFGGVRKHSMDRNKVPVRGDIHVIIVGDPGLGKSQLLQAAAAISPRGIYVCGNATTNAGLTVAVVKDTMTSDYAFEAGAMVLADRGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYNRAKTVNENLKMSAALLSRFDLVFILLDKPNELLDKRLSEHIMSLHAGYGENSPAVKKPRIASQNVEGNTSVKEGSLVSKLRLDPKKDADFVPLPGALLRKYIAYARTYVFPRMSKPAAEILQKFYLQLRDHSTSGDGTPITARQLESLVRLAEARARVELREEITAQDARDAVEIMKESLYDKYVDEHGFVDFGRSGGMSQQKEAKRFLSALNKQSELQQKDCFSISEIYSLADRIGLRVPDVDTFVDNLNTAGVIIILCTKPTIKIKMLNTITGKEMQTNALPISEDGLSAQQGFVLLCSACIMLLPSVTA >OMO72563 pep supercontig:CCACVL1_1.0:contig11433:22238:26260:1 gene:CCACVL1_17706 transcript:OMO72563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSAERQSDFDIKGLSLIDVSSEDDCLIDSPLCDSINPKSSEKGSGIKTVRFSMDPDNVEISLGSLGEAANSEEPPQSLEQDKTRKNGKYNLRKSLAWDSAFFTSAGVLDAEELSSMMKGNEKGEIHTLPGIQEDVNKSYDSLTTLDSETLTLESLEADLFEDIRASIQKSNKASNITNASGKKELKTADTQILSSSKKVELATQDKFKQKAAPKKPNIGVKDSGKTLKQTVQSVAKSGESTSSLHKPPKVLSRFGTISTTPTKRASLGSKNAKMEKDTKMTGKRTTLSQGPALGGLRNILPRPTLPSRSSSSSPASTKTELNASCSSLESCASASSGRSIKSSLNLIKQKNESIRGNPSSSGRTLTNLSKIAPKVKSQAGSSKLSTFLKSSTKLPSNVSPSSSISEWSSASSSTSTVNQRSNIERASLGTGSHKRLSTNSSAHGVLDSHEHPSGQCSMGGGDEVTGSVDESVNVVSAGTSGLLHPPSMKPSGLRMPSPKIGFFDGVRSSGRTPNGSMLSHPGVPSGLPKIGAKGNSSSGSSNKAKIGKIQPARTLTAIDSPKVDVKQTSSAVRSRSSSNVQKSPNSATKVSSASRSSKSSPGISPKLQNKSSPKTVSPVLTKQVVAMGAKDGARMKDTKIVPIDGVSETTEDTTSEFEVKSIIPSKEANDAVFPYNNNNKKEEANNEDQIAGLVKNNGAVEVHTNSDTLKESILDSHDLVHNHKESSENENSLSKATYTSPSPVTSEILAGSRRPFSDKNSFYDVNTPVEVLPISESILPENS >OMO72567 pep supercontig:CCACVL1_1.0:contig11433:73506:76147:1 gene:CCACVL1_17711 transcript:OMO72567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGGANTWREELASLVEDTGIIYTGEPIGFSSLPPFTVSKSAAAVVVESDQELQPPESFKEQVTGFLKSWGEMLLELGRGCKDIFQQTVVTEDSFIVRKLGGPVAKVSGRLRFLNEFLPEDRDPIHAWPVIFFVTILALSALNLNGGHDGLVPPVKMVRVHPPSASRIQLPDGRHLAYREIGVTADKARFSLIAPHSFLSSRLAGIPGVKTSLLEEYGVRLVTYDLPGFGESDPHPRRNLNSSAFDMLHLADAVGVIDKFWVLGYSSGSMHAWAALRYVPHRIAGATLIAPMINPYEASLTKEEMKSIWAEFLPKRKIMYYLARKAPKLLSFFYRRTFLSGKHGRIDKWMSLSLGRMDEILTEGPTFEDFWHRDVEESIRQGNTKPFIEEAALQVSNWGFSLADLQVHRKCDRNGIFPWLKSLYSQAECELAGFLGPIHIWQGMDDQAVPPAMMDHISRVLPAAIIHKIPNEGHFSCFYFCDECHRQIFSTLFGSPQGPLEKEDKGETPSEGDTEEQSSAIDSTKK >OMO72564 pep supercontig:CCACVL1_1.0:contig11433:49434:59388:-1 gene:CCACVL1_17707 transcript:OMO72564 gene_biotype:protein_coding transcript_biotype:protein_coding description:VHS domain-containing protein MQTPKGSRVSTLEVPQRKSPATPRTARQLKTPGSDSDTVPSPNPASKTPKDRSPKVTERKALRSPVSEKKRPSKVSELEAQLTQLQDELKKTKDQLTASESWKRRALQEAEDAKKQVSAMSAKLEESEQQLLEISASEDERVQELRKISQERDKAWQSELEAVHKQHSMDSSALSSAMNEIQKLKIQLEKAYESEAIQTKHAESAHAEIQNLRIELTETLSLVEKLKSELNDCRESEAQALEVMSKTQMQLEAANKTIEMLRSDATKGTEAYNTLTLELEQSKARIKSLEGLVSKLQAEVVGNNPKDDKVPQQNGENEEIQQLKSELNFAKLEVNQLRSALDAAEVRYQEEYIRSTLQIRSAYEQVESIRTQSCQREAELEAELKKTKADIEELRANLMDKETELQSISEENEGLNLKIVKNQSDEGEPELAVELKKLEADLTELKVNLTAKETELESVTEQNELLKMEMQKREMESNKSSDESVVLLEAAKAAEREALMKLGYLTEEADKSSRRAARVTEQLDAAQTANTELEAELRRLKVQADQWRKAAEAAAAMLSTGNNGKHVDRTISLDSNYNPIMSSPNSEDMDDDSPKKKNGNMLKKIGVLWKKGQNKTRGMGAGRKLRTHRRNQRWADKSYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNFIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRSQAKDVVEGMKKKLGSKNSKVQLLELTLLETIIKNCGDIVHMHVAERDVLHEVVKIVKKKRDGTVFPQRSEMSKPVLTPPQTQPLSSYPPNICNSDRQDTAESSAESEFPTLSFGQWCSLSFAEIQNVRGIMDVLAEMLIALDPENKEGLWQEVIVDLVEQCCTYKQRVVRLFNSTSDESLLCQGLALNYDLQRVLAKHEAIASGNSSQEEKPKPEPAKELVNVDGPLIDTGDSSKQSEGSLRLKLTGFLCRSTSSTDD >OMO72571 pep supercontig:CCACVL1_1.0:contig11433:89818:91980:-1 gene:CCACVL1_17715 transcript:OMO72571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 43 MGSVERSKKKVQLWKKAIVHFSLCFVMGFFSGFAPTGKDSIFSTHLIATEDKSPQISPPQPVQMLNQSSAATTQVLHSSNNVNVNRSLIAAAAATPVSVPEKSHEHVQVPSRRLIIIVTPTNSRDQFQGVFLRRLANTIRLVPQPLLWIVVEGHSDSNYVSELLRKTGIMYRHLVFKENFTDPEAELNHQRNVALKHIEHHKLSGIVHFAGLSNVYDLDFFNELRQIEVFGTWPMALLSANKRKVVIEGPVCDSSQVIGWHLRKMNNQTDAETDAEPKPPIHISSFGFNSSILWDPERWGRPTSEQGTSQNSLKFVKQVVLEDETKLKGIPPEECSKIMLWRLRFPIGVIPRNLIQTTSLKDVFNRR >OMO72562 pep supercontig:CCACVL1_1.0:contig11433:17798:20659:1 gene:CCACVL1_17705 transcript:OMO72562 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein MSEKVTKGIDLNCDAVPVDRENEGNDVNARAGFSSTERAKEGIVDGVRDFREGNEIAGGIDKLGKEGRMDSGKSQGIGLEADGGSLGEDDVHKVGAFLSLGGTSSMDVDGGSRGCGDGEGVSMEDKKPVGTEQMAVRSSGGVAEDLNVSMCLNKDASVDGTLVSVRSGLIADNTIQSDASRDLGSERSLPSGDQKVSSKDLSAGSEDMEIDTPEDGILKKNGSNKLNDEVDLTPCTSTEENVSGDAETKIEDSEFCVSDLVWGKVRTHPWWPGQIFDRSDATSKAKKYFKKGCYFIAYFGDQTFACNEVSRIKPFRPHFSHMEKQNNMEEFHYAIDCALDEVSRRIEFGLACSCISKEAYAQVKTQIIVNAGIREESSRKDGGDRFSSAASFKPFELVERVKALAQSPSYGEVDRLQVVTSQAQLLAFHRWKGYSHLPEFQNLCGLLETDAEIPLLEEVKKCGELIGNDVPSVDAAKDKQVFSEREKPENQDGNPHKQMNTPGDANVSSKREKSLSESKSQDGSFCKRKKISGDAEVPIKKEESLSELIAERRLNMQNIKRKLNIKVGDKLISSSPAKKLKEDDSVQNDSSSPAKKLKADDSVQKDSAVKENKCNPSTGSADKSQQPKQTFRVGASILRVASQLNGSGSTVSSPVLKNGDGTSQKSAVNSKSKGKSSSGKPPGKKVSQTNISSPDVMLSQLCLAAADPLKGYSSMASIVIFFTNLRNSIATDLNINEICEQSLEQGIDDKTGVKSTGSNINGKSELTSIKDSCYSDGKINCLPEEQLVVDEHNQTTEVSPKTPSQKGSPVVELKPSVEACPDMDSEKNCTVTDENPVTEAEKLIAYSDNTKDASPTALILKFSDLNSVPSVEELNKIFSTYGPLIAPGAEVLKKSNQAKVVFKRGEDAETAFSSSGKYSLFGPSLVSYKLKRLASTQTKASVGSTKRFRTNEG >OMO72572 pep supercontig:CCACVL1_1.0:contig11433:93646:95178:-1 gene:CCACVL1_17716 transcript:OMO72572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELLTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKK >OMO61327 pep supercontig:CCACVL1_1.0:contig13522:1586:2933:-1 gene:CCACVL1_23601 transcript:OMO61327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSETAWIFLQNNAVLVQLETIGNLNMLKPPPTTAQSVATAHQPTVSVGPIDLDRPMWLCL >OMO61328 pep supercontig:CCACVL1_1.0:contig13522:4492:6532:1 gene:CCACVL1_23602 transcript:OMO61328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVNSPLTSFLFSSPPPVSLFTVFLPKSLKTTFSHFISLLILLISHSGVLDLSPPPQLGSCNSPLLCISSQSRARVHSIMYIILNARGAYNPDFIFRFAELTFEHQPDIVIVTETRLSGEEDRIARESMTYTGAVSLQAVGYFGGIWFLWKQNKLQLRTIRRADQSITLQRPYASSAQTYRFLIPGPIIIQCTSTQPLRSAARVLLAKIKV >OMO61329 pep supercontig:CCACVL1_1.0:contig13522:17083:26013:1 gene:CCACVL1_23603 transcript:OMO61329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MSCLALSLQPANGSDILLQTREWFPPARALIALHAFRQTRFAFSNKNPASSAAASSSTSESDAATESIGDDPLAASSGQLIVGVESKYRVVYRLVNSIYVLGITTADHDNSINVFECIHIVNQAVSVIVTACRGVDVTPEKLARKYAEVYMALDIVLRGVSNIRLAAMLSAMHGDGIAKMVHSALDTEAKIRGADTWTNVEAHSVEHQASVEAFSNANFELPAETLAAGDQIASTFVPQSTIEQEEKMIKAEEPEAEKDPFAASEAINKQEDLVGGFKKTKDPSATDLTLALAGLEVTTLPPAEATQSTDITVEGFEGKYGGIEFGNEQTTLGEAFEGFSDAWGGGLDASEFLENKKVKKQEGLSGLELLQTGDSAAPATAAASGADGGKSLENILVKKTEMKGPEMYISEEISAEFRESLLARVGLMGVVYLRTMPPKGSSDQDAEFSFRVEGTSSVKRFVMQSSRVSSLGNGMFHVRTAPSEEPIPILKYSLLPRLTPLPLRVRLIKRHSGTLLSVMIQYVSNPELLAPLNDVTFILKLPVDPTLLKVSPKAVLNRSERELKWHVQEIPLKGTPGKLRARMPVDSNEDDEELEVVAYVKFSMQGATTLSGVCLRPASEEEHNGAIGDHCSDKLGDSSEVQEVIKVDPGLKASLEELGDDAIAHDRPRHGVAAPSHGTMTGHDRPLHRSIAQDRAPTDHNRPMDPLAIPQGPMTRARAKRFKEALLGFIRSHLGGLESIEDQLESIEVDINKNIPIDSKSPSKQLGCDCLIVCESCDLKSSIAGTMGDRQEEQNQEVNTNDMLQQIMRQLGTMTTRLEALETRNPQAQQGANAAINNERIQLPPPRQVARLDPMERLRQQELGGQAHNENMRPRRGVEREEPKDNIKYKIPKFNGRGSSSDYLEWESKLDMYFDYYPHAEPKKVQIATLEFTENALNWWNQLVQARRRNLERPIDTWLSLKSFMRKRFVPSFYTNGLYQELQSLRQGTRSVDEYYSEMMLLMSRAEVDEAPQATIARFMAGLNREIHDIVEMQQHYDVEELLQHALKAESQVKRNKKSFASSSSSWKTPIKKDDKSSKEKELAQKGATPKTEPKSSSNSSSKNYVKCFKCQGYGHYARDCVNKKVMFTNDYGEIESEDEEIALGSSGDGDDERGMSHDDEDDDGSTPALLNLVARRTLSAYVKGDVQNQRENLFHTRMYNGEVLCDVLPMQACHVLLGRPWQYDNKVHHDGETNKYSFICGKRPITLIPLSPQEALKDQLKLKEEFTKLESDYRAKEKTKHANLNVNCVEGKSDLVDKHAISKKVNKECMLATKSEIKEALNDNSVLILLLLKNTLVSTNNLDAELPSNIVFLMSKENVVADALSRRYVLLSMLDSKFLGFEYIKELYASDVSFGEIFKACENSGFGKYYKHDEFLFKESRLCVPSCSLRILLMRESHEGGLMGHFGVDRT >OMO67972 pep supercontig:CCACVL1_1.0:contig12337:4703:7112:-1 gene:CCACVL1_20158 transcript:OMO67972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDPSAKVQQNFIHKTRDSKLLCKPPKKELFELPQSPDCHSSLSSLIALYLQENQELIAIIPPWFFQRILLVQVLDLSHTSIKSLPNSLPKLGALRKLLIRGCQLLMELSPQVGQLEKLEQLDLDETQIMNLPIDIGKLLCLRHLRVSFYPICGKNKSKWKILIHPETISNLSQLTDLCIDVDPADKRWDDSVEVVVKEVCNLKALRTLILYIPELQLLQYMSSIYPSLSRFRFTVGRIRRRIISRVPEEVEAKFRNWDKCFKFVNECDQMRTIIDEEMHCEGNEDDLSVKNVLGSLEYLSIYYMENLEAICRGPTRYGCMSKLKLLGLHTCPQLSIVFSHPLLENLVNLEELLLQDCPLVTSLVSDTYVKPMLSYEFLPSLKRLLLLYLPQLVTISNGLLIAPNLESIAFYNCPKLKRISKSELSSKTLKIIKGECQWWEDIKWNETEWGNRPDYLMRIFSPINNEEEVMTQIAKDGFSFEAKAMIQNESQQQLGRSSGDVYSKRDQQPHKSLAMASDMKKNSHDAAKKKLMREEIERNRRQEMATLYSSLQNLLPPECIKGKRSKSDHLNGAISYIKDLQKRIEKLSARRDELKKLFSNESSPSNVVVRQSLDGLEVVMTNTGIGAQALSLSRVLQLLLEDGLNVVSCISTRIDGGFVHTIQCEANNMKSVDVHIPGLLERKLHEEISSLTQISPPQYICNTLPFARLFSCSKNI >OMO98788 pep supercontig:CCACVL1_1.0:contig07024:134:208:1 gene:CCACVL1_04067 transcript:OMO98788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSQVGKHHHQAILDEAQPHLM >OMO55646 pep supercontig:CCACVL1_1.0:contig14634:61:321:-1 gene:CCACVL1_27118 transcript:OMO55646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLEEITSPGQDERQYTNQNAPAVLSSSHAEVLNIKPENQNPTDEVIDTFAADQ >OMO75522 pep supercontig:CCACVL1_1.0:contig11035:11303:12176:-1 gene:CCACVL1_16145 transcript:OMO75522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYSINSCIEKSVASPQGRTTRRNIPDIEEEEEESGWTSYFEDFDNYQQQQQNSYCSSFSCSSSLISDAATTGAAWKLSTNNNIPVFACSSTPKTPNKLRFKKTRTKEISQEDDSLEDTASSPVNSPKVSELMKMNDMNPRKREDHHQIHSSQQGMKKGGGSENYSEIQIEQHEQDDERRMNFGDDHGCTELKKRGLCLVPFSMLVNYLG >OMO75523 pep supercontig:CCACVL1_1.0:contig11035:26716:26787:1 gene:CCACVL1_16146 transcript:OMO75523 gene_biotype:protein_coding transcript_biotype:protein_coding description:homeobox-leucine zipper protein HDG2-like protein MPPMISGNGNVGGFGTSSGLTLGQ >OMO54966 pep supercontig:CCACVL1_1.0:contig14839:47313:52413:1 gene:CCACVL1_27444 transcript:OMO54966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maspardin MKGVFSAPGDYIHFKSQVPLHKIPIGTKQWRYYDFGPKVVPPLICLPGTAGTADVYYKQIMSLSMKGYRVISVDIPHVWNHQEWIQSFEKFLDAIDVHHIHLYGTSLGGFLAQLFAQHRPRRVKSLILSNTFLETHSFASSMPWAPIVGWTPSFLLKRYVLTGIRDGPHEPFIADSVDFVVSQVETLSREDLASRLTLTVDSASVGPLLLSDSFITIMDTNDYSAIPQQLKDQLSERYPGARRAYLKTGGDFPFLSRPDEVNLHLQLHLRRVGVEAQPDLVRSIPKDGTGGSPSKENDKEKDGDDQPKDNGGNRESTSEENQLPQAPESSEFHGLDDQPFSNAKVCSLDHEDAIILMLSKPLLQSTWEFFIFSLLPFYVESLYVTSKYYGWKFRKLV >OMO79620 pep supercontig:CCACVL1_1.0:contig10395:3029:6353:1 gene:CCACVL1_13551 transcript:OMO79620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCRSVSCIWSGTPPFHRVTATAALSHPPTLYTGGSDGSILWWNLSNFDSHSEIKPIAMLCGHAAAIADLDICCPVVVSGEQNADYSSNVALNSSFDHDGALISACTDSMLCVWSRSSGHCRRRRKLPPWVGSPSIIRTLPWNPRYACVGCSFIDPAHLSDYHLMGSAEGGDILMDKESQNRKPPNCAVIIVDTYSLTIVQTIFHGNLSIGPLKFMHVVSSVEDGEKHFSLMADSSGKLQLAPLGGEDENGLQRNLSQQEIEAWEGGLVEAGQVVSIATCRNIVATVLKDRSLFRQLGSGITIGEILFLNNVLCIEGNFGQSHVVGSMFLDSNNAQNEQTTGEAHEYINFLVWSNIGSAVVYTVSYINNTFSSELLFVIPAASYPLDARLSFSFAQLSQILLRIESVCFNVEDPSQWRPRVTIWSLNQKCDDHGKVCQECIMLGEGISSLGWISGASLDHKNETLDECKTKLTSIQSSSCSKTLNSIHGDDSSYSSVTKGRIVSSSMVISENLYAPSAIVYGFYSGEIEVVWFNLFRGLDSPAVSPRIEVDSHISRQSFSGHNGAILCLAAHRMVGAAKGWSFSQVLVSGSMDCSIRIWDLDSGNLITVMHQHVGPVRQIILPPARTERPWSDCFLSVGEDSCVALTSLETLRVERMFPGHPNDPAKVVWDGVRGYIACLCRDHSRFSDATDVLYIWDVKTGARERILRGTASHSMFDHFCKEISMFSISGSSLSGNTSVSSLLLPIHEDGSLPQYNLSNSESGSTLSKMTGPSTSQANISKGDAGKALPIKPFAFRTRKQPIKCFCPYPGIATLSFDLAALINPCPKHDFTARDGSKREDSHTKEQESETSSPHHMNSDDGLNTHQSLTDAEEEHDWVKSLEEYLVRFSLSFLHLWDVDCGLDDLLITEMKLRRPNDFIVSSGLQGDKGSLTLTFPDFTASLEVFWRNCVDIGTCSLFDLFQPLLSC >OMO69493 pep supercontig:CCACVL1_1.0:contig12028:193:633:-1 gene:CCACVL1_19466 transcript:OMO69493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSEPANSTVSIYISEERSEAADSIAYDSVTSPPPVAFICGAKNSGKTTFSRHLLNVLLQSFLIEDH >OMO78016 pep supercontig:CCACVL1_1.0:contig10652:40394:44199:1 gene:CCACVL1_14710 transcript:OMO78016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKVGQANLVPAFVTDSRDEENEQNASAKGTEVVSTGITLQVREAIEFKGVLSGR >OMO78015 pep supercontig:CCACVL1_1.0:contig10652:31032:37078:-1 gene:CCACVL1_14709 transcript:OMO78015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anticodon-binding protein MSTLLETYKIIDHSSSALSTIAVAVAALSCEAARANLSAFDLTDSGDGSVAKDDVGVASDVKVLLNGSKLVTTSNKGDDRVDLNSFSKIPVVNGNVREAVKSLHSVIRVAANSEEKLGGKVLQLGFELGNLGESSLGRVRLNLGSVGVECLKGIFEKDRLTEDTLSNRVRSAVEAGLKKDYSKLVKEVDLILEIVWKIVAWEAVTAFFVLEGGEVLNEKSAGKGGEADGGNVKVEKKKKKKVLLGKGTGLIVELIKDRVTSKAEGLEKVVEDLLLFLDPKSPEFDALLKKVKEILESNQSRRIPKTPKGTRDFAKEQMAIRKKAFSIITKVFERHCATALDTPAFELKETLTGKYGEDSKLIYDLADQGGELCSLRYDLTVPFSRYMAMNGLTSFKRYHIDKVWRRDNPSKGRYREFYQCDFDIAGQYEKMGPDFEVVRILSEVLNELKIGDYEIKLNHRKLLDGVLEICGVPPTKFRTICSSIDKLDKQSFEQVKKEMVEEKGLSVETADKIGTFVKIRGPPLELLSKIMGGSEGSELLEHNASKEALDDLLVLFEALEKSRCIDKVVFDLSLARGLDYYTGVIFEAAFKGGVQVGSIGAGGRYDNLIGNFGTKPVPAVGMSGTKQVPAVGMSLGIERVLTIMEDKAQNQAVRASETQVLVAVLGDKLSLAAELVSELWDADIKAEYKVHKKVMKLIEYAIDSKIPWMIIVGEREVKEGILRLKKVETTKEDMISRSKLVEEVQQLLETTNEDMIPRSKLFEELQQRLKSTTNEVVIPRGKLVEEVQQRLKSTHEEVILRSKLIEELQQLLKSTNKEVIARSELVEELQQLLIKP >OMO78017 pep supercontig:CCACVL1_1.0:contig10652:68765:69511:-1 gene:CCACVL1_14711 transcript:OMO78017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQDQSRIGPETNVTVSKLNYHIERADTKMIELVHKNRKTGEDERNKAEIEVLREDLDQASAKKRVNEIMTSKDSQLGDYNILDSVTSLSKECKTFKVEVDGMKNRLIELSLKFDKANDAQSEKDVPTLSSDSESEESEVEKEMEEFKQKGDLRERFLAKLIDFDSVDEFEDDEVFACNADEGSEELKKEETVRGNTMENKWVNYLQLDGFDHEFHTNIEGLKEEMCSMMFQDGKYKKVKYNEKKSS >OMO67225 pep supercontig:CCACVL1_1.0:contig12459:11213:13483:-1 gene:CCACVL1_20692 transcript:OMO67225 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding transcription factor, subunit B MAIRIQNLPKKTFDGSSVHSLSQLSVSAPWWNSNEQQIPQSSPQNISLKVETPSQLYHNATHLGLQLPDQESSSVQAIGQSHNELGVMRLTNSQCTSSESGHDESCGKDTEGQIKPVFLLNNPNTLFSPSHLNYTHSMAGARYLFADAYHGGLYTPYGQQAIIQPQMAGGAPTRVPLPFDLAEDGPIYVNAKQYHGILRRRQYRAKLEAQNKLLKSRKPYLHESRHLHALNRVRGSGGRFLSAKKLQQPDPTVTTSCVDRSELESRCSHVAGYAGSSTSCSDITSVSNSDGNFQQLEHRLTDNSPRVIGHMRSNGSSGMCNGVQNCSSIVR >OMO67227 pep supercontig:CCACVL1_1.0:contig12459:28601:32607:1 gene:CCACVL1_20694 transcript:OMO67227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIARSKQHGDDSLSQPEEIDSSTTPSTKQTQAMKSLTSQLKDMALKASGAYRHCNPCTAQSRLKNSGESDADSDRFRWSYRRTGSSSSATPRTWGKEMEARLKGISSSSGEATPKSLSGRRADSIVFVEESEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSREMFNKWQAQRWWAENYDRVMELYNVQRFNRNAFPLPTPPRSEDESSKMESAEASPVTPPLTRERLPRNLYRPTGMGMGYSSSDSLDQHPMQARHYCDSGLTSTPKLSSISGAKTETSSMDASMRSSSSREADRSGELSISNASDLETEWVEQDEPGVYITIRALPGGKRELRRVRFRIDRLPYFILPCDAAEKDLERCMLDCGGRKTEPGYMNNTCDPAIKKVANYLLALL >OMO67231 pep supercontig:CCACVL1_1.0:contig12459:46947:50729:1 gene:CCACVL1_20698 transcript:OMO67231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDIMFEIFSRVPLKTLTKSRLVSKEFNSLTYESSFMKIHCERTKTIAGYVVQSSQKTRYHSSFLSIDNPGFDPRQLNLDFLPQPVQILATADQGLLFCATHGSKNQHYICKPSTRQWEIIPNPDSRCFTRKIGLVLLGSNPFRFKIVRVSELDPLEYSSEPEQDGNNYKSYQCEIFDSDDWVWKKLDDLKLPYNVFFNPKPAISASTGLHWLTWDGNKNKILSFYQETESWDLVSLPEPLCFRENWNSVNFAEYEGKLALICVTPELDFVELWILRNYGGKQEWVLKHIVNLTFLNEEIGHSSIESFYNADTLLLIGLYRAVFFNFKTGRYEIFDSETWEWKPPKDIKLPFYESLQFCAVSAYGGLHWLTMSGKNMLSFYEDKESWELSLLKPESPVLSKFSCVGTRLTKYQGKLGVIYEKSQRSGIEKVELWIMKQCYCNRKRVWSKEHELNFEACNNEQVQIRDCSVLCFYNPDVVLMTGFGFVIFYNFKTSRFERVQLGSRYTYARDVVFLHTDVEPINLKVKLELTCSPCSKIGTVVLGSNPFRFKIVRVSELDQFDPSEPENGMPDL >OMO67228 pep supercontig:CCACVL1_1.0:contig12459:35804:37012:1 gene:CCACVL1_20695 transcript:OMO67228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRRESSSVRETTVPQRRRTPSFSSSLLDAIYRSIDESTNGDEATLCHYRETKTTLVKKHNNASSLGEEKKGSSLRRAIMIEDWVEKQSGYGSSAHFNSASSSSDSSSGGIFSSSEAESSYKEKSRRSTPVKSDKSFLQFEQRNFDINNNQQKGKREDGGFTKTKLKALKIYGELKKVKQPISPGARITNFLNSIFNANGKKVKMCSVGVSDDVNFERKSKSTCSSATSFSRSCLSKTPSTRGNNNKYSNGKKRSVRFCPVSVIVDEDCRPCGHKSIYHEDDPSLMPTSKTVQKSVKGSSRKEDLKNFVKEKESGVISSNNKARDYLRSYQRRGTAKFDLRGLVHDYEDDQEEEDDDALSYSSSDLFELDHLIGIGRYREELPVYETTSFKTNQAIANGFLL >OMO67224 pep supercontig:CCACVL1_1.0:contig12459:5061:9760:-1 gene:CCACVL1_20691 transcript:OMO67224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYVSLLLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPITPARLRLVYEDVWLRSSDGVKLHAWFIKLFPDCRGPTILFFQENAGNIAHRLEMVRIMLQRLQCNVFMLSYRGYGASEGFPSQHGIINDAQAALDHLSQRTDIDTDRIVVFGRSLGGAVGSVLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWFIGGPGTKGPKILNFLVRSPWSTIDIIGEVKQPVLFLSGLQDEMVPPSHMQMLYAKAAAHNRQCQFVEFPNGMHMDTWLSGGDHYWTTILEFFEQHVPEKKQNGPSQNGYGGFDLTPFTAPCAATPSLLWRSLVGCQLEAWNQAHEVLMNF >OMO67226 pep supercontig:CCACVL1_1.0:contig12459:20041:24672:-1 gene:CCACVL1_20693 transcript:OMO67226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithin:cholesterol/phospholipid:diacylglycerol acyltransferase MSFLRRRKVTTDSSKSSNSESTSGKADDKRKQNKSSKRQSYTSKRWSCWDSCCWFIGFICSMWWFLLFLYNAVPASIPQYVTEAITGPLPDPPGVKLKKEGLTVNHPVVFVPGIVTGGLELWEGHHCAEGLFRKRLWGGSFGELYKRPLCWAEHMSLDNETGLDPPGIRVRPVSGLVAADYFAAGYFVWAVLIANLAQIGYEEKTMYMASYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGKKVVVIPHSMGVLYFLHFMKWVEAPTPMGGGGGSDWCAKHIKAIMNIGGPFLGVPKSVSGLFSIEARDIAVARAFAPGFLEKDVLGLQTFQHLMRMTRTWDSTMSMIPKGGDTIWGGLDWSPEGGGPGDFNCSAKKLKNNSTRNTGQNSNRNLGDMKSVNYGRIISFGKDVAEVHSSRIERVDFKDVVKGAKLAASSICDIWIEYNEMHNDAIKAVADYKIYTAGSILDLLHFVAPKLMARGGAHFSYGIADNLDDPKYGHYKYWSNPLETKLPNAPDMEIYSMYGVGIPTERAYVYKLTAQHDCYIPFQIDTYADGGSEDSCLKGGVFSADGDETVPVLSAGFMCAKGWRGKTRFNPSGIRTYIREYNHAPPANLLEGRGTQSGAHVDIMGNFALIEDIIRVAAGANGDDLGGDHVYSDIFKWSERINLKL >OMO67223 pep supercontig:CCACVL1_1.0:contig12459:1333:4298:-1 gene:CCACVL1_20690 transcript:OMO67223 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MEGGAQLHLRTVEEVFKDFRGRRAGLIKALTTDVAEFYEQCDPEKENLCLYGHPTEHWEVALPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGSRFGFDKADRKHLFTLINDLPTIFEVVSGSAKKPAKEKSSVSNHSSNKSKSGSKARGAEAAAKYSKSMQAKDEEGGLDEEEEDEHGETLCGACGEHYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >OMO67229 pep supercontig:CCACVL1_1.0:contig12459:42176:44554:1 gene:CCACVL1_20696 transcript:OMO67229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MSFLFGKRKTPAELLRENKRMLDKSIREIERERQGLQNQEKKLITEIKKSAKQGQMGAVKVMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNEKMEMVTEVMGDAIDDALEGDEEEEETEELVSQVLDEIGIDINQELVNAPSTTVAAPAAKGKVAQAEATGNDDSGIDSELQASVSGRI >OMO67230 pep supercontig:CCACVL1_1.0:contig12459:44980:45513:-1 gene:CCACVL1_20697 transcript:OMO67230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGDTPSPSSSPSLSPKSNLPMLYYGLVVVGTAAILLAIYNLIVIRWCTQRPEESLPLRPPPTRLASQSFGSNPTRNLLSSFKYDKEKSKIGSQESGGEYECAVCLSVFEDGEEVRQLPRCNHSFHAQCIDMWLYSHFDCPLCRASVDPSPVCLRHNTVVDSPQNSRQGVQLVTTIPV >OMP07348 pep supercontig:CCACVL1_1.0:contig04510:37:141:1 gene:CCACVL1_01327 transcript:OMP07348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVATIKRLATTAGRYALLSRDPYSNQKTKGPY >OMP06031 pep supercontig:CCACVL1_1.0:contig05085:1757:2098:1 gene:CCACVL1_01746 transcript:OMP06031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSSTKTMKKPTKLVDAASWYCAVVLLALILIGSIKANSASDEPAVSRGNHLLNRPCDEIYVVGEGETLHTISDKCGDPFIVERNPHIHDPDDVFPGLVIKIIPSTDRKL >OMP06032 pep supercontig:CCACVL1_1.0:contig05085:8159:10647:1 gene:CCACVL1_01747 transcript:OMP06032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQILVSWKLFSIFLILANLGVFQFGQSETAPNYSFVEESTSAPPISYYDYIIVGGGTAGCPLAATLSESANVLVLERGGSPYVIKPTTKTDQVNFLTAVLDASLAQPFISEDGVLSHRARVLGGGTVFNAGFYSHAETEFVREAGLDESLVNDSYRWVEKKLVFEPPVLQWQSAVRDGLLEAGVLPYNGFTYDHIYGTKIGASIFDKDGHRHSAADLLEYANPNNIKVYLHATVPKILLTTGSRPKAEGVIFEDAKGIKHKALLKDSKSEIIVAAGAMGSPQLLMLSGVGPANQLEPLGIDVVIDQPMVGQGMADNPLNGLIIPSPLPVEISLVSLVGITQSGNYIEAGSGLNLAPSWIGWISNNLANFLNQTVKFNNIGSTLNTRLKGGIIIEKVKGPISKGNLELKSTNPNDTPKVKFNYFQAPEDLRKCVQGMETVINIVNSKAFSIFRYEIMSTQDLLNLVAALPLNLRPRHLNTAISLEQFCIDTVMTLWHYHGGCQVGKVVDQNYRVLGVDGLRVIDGSTFNFSPGTNPQATVMMLGRYMGVRILQDRHS >OMO52888 pep supercontig:CCACVL1_1.0:contig15408:4231:4875:-1 gene:CCACVL1_29033 transcript:OMO52888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPQQRYRGVRQRHWGSWVSEIRHPVLKTRIWLGTFETAEDAARAYDEAARLMCGQKARTNFPYNPNEPQSSSSKLLSATLAAKLHKCHMAALQLAKKNAAKESYGTQCHDLAPNCDIAGNHNVEMGCRRLPEIKWEEGEESHHGGSEQQQFIPLEDDHIDQMIEELLDYGSIELCSVSSN >OMP07187 pep supercontig:CCACVL1_1.0:contig04602:792:1111:-1 gene:CCACVL1_01356 transcript:OMP07187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSSCRKTAGDKPEEGEDDVKSSCPLCPGRHTCYNGRDKGSRSREGELTPKTRPQFGLQAATRLHEAGIASNRRSAIRR >OMO56256 pep supercontig:CCACVL1_1.0:contig14546:23059:30766:-1 gene:CCACVL1_26670 transcript:OMO56256 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-citrate synthase beta chain protein 1-like protein MAALKQPIFRVVAIVAEGDPESDTKQLIAYARSNNKVQIRPNKIPF >OMO56263 pep supercontig:CCACVL1_1.0:contig14546:78494:79528:-1 gene:CCACVL1_26677 transcript:OMO56263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MGKLLCDDSTSVADAFQTSSPTVPWRAAALEDVDLVDQATTIATTAGTWEDVMGLEEQQRRHLTKLEAKGVLWKHPKEHGSSVVFRLSHGGDVSADGNCLFTASQRAMAAREVDARDLRRRTVKRFLEDFRSANEEEREATNEAIRNMYSPDLRSGWGVHVVQEVKLLAKKEDRVALDSAIDVLVQLGMQREMAAESIYKERCIPVNDGWSWAKYMSVSGSPDDDEYDIITLQYTEEGLLSVDESREGYHGAAFGDDIAIESLATEFKREIYVVQAHGSDAMVDEDNCVFFLPHRPRSEICEPPLFLFMKGTGWCGAGADHYEPLIAHASSPISQSPGKVALVL >OMO56259 pep supercontig:CCACVL1_1.0:contig14546:38569:40454:-1 gene:CCACVL1_26673 transcript:OMO56259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MSSSLCNVREILERHNLHSKNLEKLEQPSLELQLVENSNHSMLSKEIAEKSHQLRQMRGEDLHGLNIEELQQLEKSLESGLSRVMEKKICNGQGQVGGDSENVFCEDGQSSESVTNVFPSNGTPNDYESSDTSLKLGLPYSG >OMO56258 pep supercontig:CCACVL1_1.0:contig14546:34532:36794:1 gene:CCACVL1_26672 transcript:OMO56258 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-tyrosine phosphatase-like, PTPLA MAFQVLFLTLKTLKESSHEHVYNAVEKPLLLAQSAAVLEIIHGLIGLVRSPVSSTLPQIGSRLYLTWGILYSFPEMRTHILVTSLVISWSLTEIIRYSFFGLKEAFGFAPSWHLWLRYSTFLVLYPTGISSEVGLIYFALPYLKASEKYCVRMPNKLNFSFDYYYAAIVTLGFYVPGSPHLYSYMLSQRKRALSKSKKE >OMO56266 pep supercontig:CCACVL1_1.0:contig14546:83780:89241:-1 gene:CCACVL1_26680 transcript:OMO56266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MLVPGFLGLNGVVLVSLLVVFLVIRHMLRNAAARKEEVTRLIEMVSHETAVVEAQSTAEYCYYPKYQCAVCFAPTTTRCSKCKSVRYCSGKCQIMHWRQGHKDECRPPADFAVMKESGMHSNDSEVESVFKTSSDTSSVVEEEDDDGNLKPHADTKRTRNGFVLSSSSSLAGVSPSTASHESFVDVSSSRTLLSGPNDKPGRELPNNLATAIPRSKTATKKMEEAISPSSESSLTYSVNNPSKLNKKKSPHNDEEVELRMQFAKDNNLMSDDVHPAKVVCKKSTGVVSSEMLVTDASKKINLTPQGSSRPKTVTKDREADLQHYESKQVRTSSCSASVDHSSSAAGGHSLPSSNLGLPAKCNDSPTLPQTTSNGLKTSMRKVVQQLKASKQSKSSLFGFGNEVNGKHNYKIIFPYELFMELYSYDALELCPFGLNNCGNSCYANAVLQCLAFTRPLTSYLVRGLHSRACRKKEWCFICEFECLILKAKEGESPLSPIRILSKIQKIGSHLGPGKEEDAHEFLRYAVDAMQSVCLKEAKAAGPLAEETTLVGLTFGGYLHSKIKCLKCLVKSERYERMMDLTVEIDGDIGSLEEALAQFTATEILDGENKYHCSRCKSYVKARKKLTVLEAPNILTIVLKRFQSGNFGKLNKSVQFPEVLDLAPYMSGTSDKAAVYNLYAVVVHLDVMNAAFSGHYVCYVKSSRGEWFRIDDSTVIPVELERVLREGAYMLLYARHTPKAPTLVRSNLESHGTRVKKRNLEAVPSSHNTSKTRSDSNFSRLDSSISQRKHKYPSDVSNRKHLFDSEDWRFPSVQRIPPADSSSESSSIFSGSDASSCSTTSTKDSSRSEDFSDYLFGEMGPEWYSRYGISSDSGAEINGHARSMNRDGNSTILYTDSSRHRRSSSSRASDFEQGGWSNLFDVRSSSISFGLMGKKAPELTPNRQISP >OMO56265 pep supercontig:CCACVL1_1.0:contig14546:81135:82828:-1 gene:CCACVL1_26679 transcript:OMO56265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAITIPGYRCCHKLNHRLSASLSSLSTARKPMVTTASGSSAAANAGAFTTIKETVTFEKEIKKSKFIAIAGPISNEQSALSFLNQVKDPRATHNCWAYKVGSQYRSNDDGEPSGTAGKPIYSAIESSGLDRVMVVVIRYFGGIKLGTGGLVRAYGGVASECLRNAPTCLVKSKVPMGVEVPFDLLGVLYHQLQSFQAEDIKQDYDTGKDGITMVSFKVDFDRVEKLEEAIKDNCSRDLTFYKH >OMO56262 pep supercontig:CCACVL1_1.0:contig14546:71692:76719:-1 gene:CCACVL1_26676 transcript:OMO56262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINIQKFPFPLLFLLNHETDIVVTVNLGKSGEYLLEGKFDCIHEITSALALSRMSDSAYRVETTARLAQWRIDNLASCTYCKSDPFNIDNWNCELSGGAATASP >OMO56264 pep supercontig:CCACVL1_1.0:contig14546:80135:80994:1 gene:CCACVL1_26678 transcript:OMO56264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLKQIEQVLQPLGGYYSSASCSRPNSLPQQIFPSLFKSFPRKTKSKAESSIANAYKHKIAERHRRKRISQQYDMLRSILPNLIKMDKASVLGETIKQARELKKRVKEMKAVRRGSAERAALPGELDNLSLGYCESDKSLVKVTFSCDDQTELISDITNAVKTVKGSIVRAEMVFIGGRQRSVLWVKGLTGNEGLGMLKRALKMAIDRPKIKNGKSRFPQ >OMO56255 pep supercontig:CCACVL1_1.0:contig14546:1747:2745:-1 gene:CCACVL1_26668 transcript:OMO56255 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase I MKPRSTLFPHLLTKKDPELTKLIYVNGGKEGKKKKKPEYKEEYLSDREDASGNVGSVGGTGIGSGQISRFFGGDEQINRIITSTEQLRRNGREKSEKLRRKGKN >OMO56261 pep supercontig:CCACVL1_1.0:contig14546:63356:70548:1 gene:CCACVL1_26675 transcript:OMO56261 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type I phosphodiesterase/nucleotide pyrophosphatase/phosphate transferase MPPLTCTKFALIIITGVIIQIIGLSLFVFGFFPVKPALSGTSGSESFHLPVCDSVGNRSDVTQPPDQLRSLYQELSGIPPSFDRLILMVIDGLPAEFVLGKDGKPPHKQFSEAMPYTQSLLASGLAIGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAMLDDSLLGQFFRIGWEMVMLGDETWLKLFPGVFKRHDGVSSFYVKDTVQVDQNVSRHLGDELSRDDWNLLILHYLGLDHVGHIGGRSSVLMAPKLKEMDEVVKLIHSSTINSQENGQGQTLLMIVSDHGMTENGNHGGSSYEETDSLALLIGLRNHVFDYASVVQQVDIAPTLALLFGVPIPKNNVGVLITEAFDSLKEDERLRALELNSWQLLRLLQAQLPGLPCRNFPCDAFSGHQSSGTSECNHSTENMLCCMYMEAAALHSSWKSKRDSEAASQKDYSSTAAAYYMFLKSASEWLSHRSTDKPVKLLAVGLATMFISCLILSSLMFLMIKETYMGGKQQPSNVNNSMNRWSLDETFIISVVLVLVASMGSSSMVEEEHYIWFFMLSTFYLLLLRKTAESFAVGGQKSLSLQNGQGGKGCFRIFYIFLLLISGRILRGWHQGGVNWTSLPDISKWLELAGSQYVKSLQLVSAFLVITIGLFALFSIVSKGKLFQMVRLSFLTSALLVLLHIIRYQDYTFSSTNYGATLLAQIIYAILLAATVGTVVSLPWLIPFSTYKIRPTDDNALSLPSFLSFQEKFPFVELKDSLYVIGWAYIYSWCLLQLLLQQPINATPILLLLVQILASLHYFAGNRAHRKEWIEIAALYYMGMAGHFALGNSNTLATIDVAGAFIVSLCLRNNPVHLYRGFDCSCNWNLCTFSPALSEKKANSGQKQNKKTQEVALASSNHFFFYFFNATNFV >OMO56260 pep supercontig:CCACVL1_1.0:contig14546:51255:62828:1 gene:CCACVL1_26674 transcript:OMO56260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQRSNTNNRWNWEVSGFEPRRLSPSQSSPEEQRRAGAAPLIRRYSISAASAVSPYTSEFSKQALASKVQRLKDKVKIAKDDYLELRQEASDLQEYSNAKLDRVTRYLGVLAEKTRKLDRVALESEARISPLINEKKRLFNELLTAKGNIKVFCRTRPLFEDEGPSIVEFPDDCTIRVNTGDDTIASPKKDFEIDRVYGPHVGQAELFSDVQPFVQSALDGYNVSIFAYGQTRSGKTHTMEGSSHDRGLYARCFEELFDLANSDLTSTSKFNFSVTAFDLYNEQIKDLLSESGSTLPKIQLGSSVELVQEKVDNPLDFSKVLKAIFQSRGSDTTKFNVSHLIITMHIYYNNAISGENLYSKLSLVDLAGSEGQILEEDSGERVTDLLHVMKSLSALGDVFSSLTSKKDTIPYENSMLTNILADSLGGNSKSLMIVNICPNAANLSETISSLNFAARARNSVLSLGNRDTIKKWRDVANDARKELYEKEKEIQDLKQEVLGLKQALKEANDQCVLLYNEVQKAWKVSFTLQSDLKSENVMLADKHKIEKEQNAQLRNQVAQLLQSEQDQKLQIQQRDSTIQTLQAKLKSLESQLNEAIHSSEGKSSSPATGSGVSITSKTAADSMDSSAVTKKLEEELKKRDALIERLHEENEKLFDRLTEKASSVGSPQVSSPFSKGAPNAQPRDFGRNDYNKGRSIDVPSQLTMDKSEGAGALVKAGSEIVKTTPAGEYLTAALNDFDPDQYDSIAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFAFIRKMEPKRVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVECFLEKPSSGRSRSSSRSSSPGRSPVRYVDERIEGFKVNIKPEKKSKLSSVVSRIRGLDQDTLRQQQVTGGKLREIQEEAKMFAVGNKALAALFVHTPAGELQRQIRTWLADNFEFLSVTGDEASGGTTGQLELLSTAIMDGWMAGLGAALPPNTDALGQLLSEYAKRVFTSQLQHLKDIAGTLATEEADDAAQVAKLRSALESVDHKRRKILQQMRNDAALLTLETGSSPIQNPSTAAEDARLASLISLDGILKQVKDIMRQSSVSSMGRSKKKALLASLDELAERMPSLLDIDHPCAQRQIADARRLVESIHEEDDHIQETYHARKPSADLGSGTETDVAQWNVLQFNTGSTTTPFIIKCGANSNSELVIKAEARVQEPKGGEIVRVVPRPSILENMSLDEMKQVFSELPEALSLLALARTADGTRARYSRLYRTLAMKVPSLRDLVGELEKGGVLKDVKS >OMO56257 pep supercontig:CCACVL1_1.0:contig14546:32278:32927:-1 gene:CCACVL1_26671 transcript:OMO56257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAENGEFKTETSGTNNRRFEDDSYAYIVMEKFHNVYLLFCLFIQCLVAEINKYPSKVIDSSARTLPRRQPRNLTSSASSSSNSQHWMPTRYTVVDLKDVVTVNALQT >OMP12061 pep supercontig:CCACVL1_1.0:contig00486:4134:8367:-1 gene:CCACVL1_00157 transcript:OMP12061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSLWQLGQSITRRLAQADKKAVTRRYFASEADLKKTVLYDFHVAHGGKMVPFAGWSMPIQYKDSIMESTINCRENGSLFDVSHMCGLSLKGKDSIPFLEKLVIADVAGLAPGTGTLTVFTNEKGGAIDDSVITKVKDDHIYIVVNAGCRDKDLAHIEEHMKAFKAKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKDDLSKLYFGEFRILDINGSICFLTRTGYTGEDGFEISVPSENALDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHTTPVEAGLTWAIGKRRRAEGGFLGAEVILKQLADGPPVRRVGFISSGPPPRSHSEIQDEKGNNIGEITSGGFSPCLKKNIAMGYVKSGQHKNGSMMSTVNPPGVISKSQAFLGNVSLFSKLPSAAIHHRLSRVHSSKIVLSSLPSWKDSCKLTTHLLSHRVAPKRWLCQSHGSVSSDDEYRSSRNIAISLFRRYRNVIDRGGGDNLKEFITAGVNAYALGCTDEGLRKELIAIKESGIEIEAMQSYGGSTSLKSKISSEEVDECILWLSIIFITILCTPQPTIVRWSSTPPVSDDILHHWKGFCALIANAYFIRGMAWLPVKTLQLEQMAVAGRAEEPSVVASRMRLVFSTLEVVSPQWPKV >OMP12060 pep supercontig:CCACVL1_1.0:contig00486:1052:3654:1 gene:CCACVL1_00156 transcript:OMP12060 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MADVVQYRLERMPDEFEDLERRGLFTRQEIAQIAKQRRKFEYRLKRPSPLKDDFIAYIDYELHLDSLRQLRKKAANRELVRQGKKKTKSRKSVSDFAPVARIMEIFRLAVMRFKGDIELWFRYLEFCRQRKNGRMKKVLAQVIRFHPKVPGVWIYAAAWEFDHNLNVAAARALMQSGLRMCPNSEELWVEYLRMELTYLNKLKARKVALGEDKGSLVRDPKDENEEKWKDENKDLFMSLDGEGKGNDDHSDMFLEHASGLLKTIYNGAIEAIPSSFSLRKQFLEILEAIEVANSEELREEILSDMKRDFSADPEYWDWLARLEMPEASNTGEKNEDAMLSQLQKATQVYEEAIKIVPSAMMFKLYIKFLMDVIASEKGELETSGLSNHSSSNISHILKVYEKAETMGCLTEELACEYISFYEKIGELEEAKKVAERLCNGKLSDSVQLWLLRVSLEMRCATKNSPTPSKGDMLSILDLLKTVITKMSISEAESLWMMALKLFASRKKYFKKLIELSLISVAKYGGSDNGFSLPSAIVNFILEKDGVQHAREVYKRFLALPHPGLVLYRTCIELESNLASLGDKDSLVNARKLYEAALATYDQDSSLWKDYHALETKLGTSETVASIYWRARKTLKDSAVDFTSPDQ >OMP12059 pep supercontig:CCACVL1_1.0:contig00486:160:330:1 gene:CCACVL1_00155 transcript:OMP12059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGGGTGGSNNEKGLSAGHGGGNGINIGFGMGMGFGFGMGNGGGNKNSNNDSDDP >OMO89369 pep supercontig:CCACVL1_1.0:contig08755:52:117:-1 gene:CCACVL1_07876 transcript:OMO89369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISVSPVLRISMAPLGNTLTTS >OMO90176 pep supercontig:CCACVL1_1.0:contig08513:4387:13897:1 gene:CCACVL1_07490 transcript:OMO90176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate/Phosphoenolpyruvate kinase MTDATDDIAEEISFQSFEDDCKLLGNLLNDVLLREAGSQFMDKLERTRLLAQSASNMRLSGIEDMAELLEKQLASEISKMTLEEALTLARAFSHYLNLMGIAETHHRVRKGRSVTHLSKSCDDIFSQLVQGGISANDLYNTVCKQEVEIVLTAHPTQINRRTLQYKHIRIAHLLEYNDRPDLGNEDREMLIEDLVREITSIWQTDELRRHKPTPVDEARAGKPLPLTCTPIKFGSWMGGDRDGNPNVTSKVTRDVSLLSRWMAVDLYIQEVDSLRFELSMNQCNDRLSRLAHEILEKESSLENLHENRNQPLSRSQFKFHGHQAPPLPTQLPARADLPACTGYNDGGSQYPKLELPGTDYRPLSRQDGQGCSSSVNSSMGSSDNLHKLSATGSVPNSNGSQSTVTQRGSFSSSQLLAQRKLYAESQIGRSSFHKLLEPSSSLRPGIAPYRIVLGDVKEKLMKTRRRLELLLEDLPCEYDPWDYYETTDQLLEPLLLCYESLQSCGAGILADGRLADLIRRVATFGMVLMKLDLRQESGRHAETLDAITGYLDMGTYSEWDEEKKLEFLTKELKGKRPLVPPTIQVAPDVKEVLDTFRVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLAVSGELGRPCPGGTLRVVPLFETVKDLRGAGSVIRKLLSIDWYREHIIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQTAIRQLEIYTTAVLLATLRPPQPPREQKWRNLMEEISKISCQNYRSTVYENPDFLAYFHEATPQAELGFLNIGSRPTRRKASTGIGHLRAIPWVFAWTQTRFVLPAWLGVGAGIKGVCEKGHSEDLKAMYKEWPFFQSTVDLIEMVLGKADIPIAKHYDEVLVSESRRKLGDELRRELTITEKYVLVVSGHEKLSENNRSLRRLIDSRLAYLNPMNMLQVEVLKRLRRDDDNNKLRDALLITINGIAAGMRNTG >OMO90175 pep supercontig:CCACVL1_1.0:contig08513:131:1894:-1 gene:CCACVL1_07489 transcript:OMO90175 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 29 MRSQHSHKKPALLPLVCAAAFFSFLVFGIQSSFFAGHSNRRLDLSNEQVRLSDLDIQDVGILSEFQTSIQQCVANRGLGLTAHIIDHCKLVLKFPPGTNSTWYNEQFKVYEPLEYTYDVCDAILLWEQYRNMTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNRTLCEEHLNLILPAKPPFHPRQFQTCAVVGNSGDLLKTRFGKEIDSHDAVIRDNEAPVNEKYAKYVGLKRDFRLVVRGAARNMVKILNGSG >OMO61942 pep supercontig:CCACVL1_1.0:contig13389:13530:15073:-1 gene:CCACVL1_23124 transcript:OMO61942 gene_biotype:protein_coding transcript_biotype:protein_coding description:AIG2-like protein MASATAATTHNVFVYGSLLADEVVLFGITDPELHILDEFEDVEYQRTPVEVSLLESCNNKQLQAHAYVWSDSNDPNLYGDWDFEEWERVHKEDFIKMTAGFVEELELPESKPRVATYESFYNQQDAEK >OMO61944 pep supercontig:CCACVL1_1.0:contig13389:20054:21429:-1 gene:CCACVL1_23126 transcript:OMO61944 gene_biotype:protein_coding transcript_biotype:protein_coding description:ChaC-like protein MVFWVFGYGSLVWNPGFDYDEKVIGFIKDYRRVFDLACIDHRGTPENPARTCTLEHLEGAVCWGAAYCVRGSPEKERAAMEYLEQRECEYDQKTLVDFYKEADPLQPALTGVIVFTSTPDKVSNKYYLGPAPLEEMARQIATAVGPCGNNRDYIFLLEKAMFDIGHEDDMVIELANEVRKVIGKLGKGISKEKLVGSPHLPRKSQIHIPSIQLRPLAEAVAMDS >OMO61941 pep supercontig:CCACVL1_1.0:contig13389:2680:12979:1 gene:CCACVL1_23123 transcript:OMO61941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEDNKGESASIKHLVFAYYVTGHGFGHATRVVEVVRNLISAGHDVHVVTGAPDFVFTSEIQSPRLFLRKLVLDCGAVQADALTVDRLASLQKYSETAVQPRDSILATEIAWLNSIKADLVVSDVVPVACRAAAEAGIRSVCVTNFSWDFIYAEYVMAAGLNHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKSRNEVRKELGIGEDVKLVILNFGGQPAGWTLREEYLPSGWLCLVCGASDTQELPRNFIKLAKDAYTPDLIAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEYYQSGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKLSGARRLRDAIVLGYQLQRVSGRDVSIPEWYTNAENELSLSTGSPTHKMTETIPVLDSFTGDFEIVHGDHQGLPDTMSFLNCLVELDNVYVAEKNSEKRQMRERKAAAGLFNWEEDIFVTRAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRNHPSKQRLWKHALARQNAKGQGPMPVLQIVSYGSELSNRSPTFDMDLSDFMEGEKPISYERAKQYFAQDPSQKWAAYVAGTILVLMKELGVRFEDSISLLVSSAVPEGKGVSSSASVEVASMSAIAAAHGLSISPRDLALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEIVGLVSIPNHIRFWGIDSGIRHSVGGADYGSVRIGTFMGRAMIKAIASTTLSQSVSTANGVSPDELDNDGLELLEAEASLDYLCNLAPHRYEALYGKLLPESMVGEKFLEKYTDHGDTVTVIDKKRTYAVTAAAKHPVYENFRVKAFKALLTSANSDEQLTALGELLYQCHYSYSACGLGSDGTDRLVQLVQEVQHCKSSKGCEDGTLYGAKITGGGSGGTVCVIGRNSLRSSQSILEIQQRYKKATGYLPFIFEGSSPGAGKFGYLRIRRRNSPKSN >OMO61946 pep supercontig:CCACVL1_1.0:contig13389:23620:25005:1 gene:CCACVL1_23128 transcript:OMO61946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNEDEKTSRPSAATRRTRSQASTQWGEQQVLILVNEIAAIEADCSNALSSFQKWKIIVQNCNALGVPHSSIQCRRKWNLLLQEYNKIKRWESQSQSQCDSYWSLGVERRNEFALPQHFDKDLFDAIRNVVELLDDKSGTDRDSDPEAQDDPIPLTHQMITHPHPGPRKQSRNLKPLRSNAEAKSSKRCGVEKAKKIHVVQVEVEQPHESRVVEKIRTSKAEEENLGKREVEMKPMFNIEEEQQIMVARLSENAEQIHAIVEEIVTDNNADQRAAGLNNIDEFRTDFIRRQGDKLITCLGDILSTLN >OMO61953 pep supercontig:CCACVL1_1.0:contig13389:49339:49674:-1 gene:CCACVL1_23135 transcript:OMO61953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPALSRIFAELSTPKPSFTGFVSESTIRSASNLASRFMCSSAQQPQSSHEEKANEEKPESVKEKLEIEDEEEEEDGDHVNKETGEVGGPKGPEPTRYGDWERNGRCYDF >OMO61945 pep supercontig:CCACVL1_1.0:contig13389:22193:22435:1 gene:CCACVL1_23127 transcript:OMO61945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQREQTKQASEKYKSFFCSAYRLTMASSLSRVAAVRICVFWVWFGPKAEKPEKEEEASWCYTAIYSNSPTPTPTSTQT >OMO61948 pep supercontig:CCACVL1_1.0:contig13389:34512:37714:-1 gene:CCACVL1_23130 transcript:OMO61948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKGILPKEKESSPPVPAVTKKRKGVLSRIWNAIFRIHGDDFEKRLEHISKEEAAVLARMKRRSQTWRRMIRHLIIFSVILEVFAVGYAIMTTRSVELDWKMRVFRILPMFLLPGFSSIAYSAFVSITRMCDRRDQKTLERLRAERQEKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVGDESKLNLPGGKSNDVEVVPSSGLRKRKQLHTRSSSAGSTPLVHSDDETPHSAENERSQVSEHDQIVVNHHCPQGPSTYDGGWLARIAALLVGEDPTQSYALICGNCHIHNGLARKEDFPYITYYCPHCHALNRPKQLEEHVSGSSSPSMNPLKAEVSVDAIRNSSGEGVLTSSGPVRAASEIEEITEKVVSRDLVG >OMO61949 pep supercontig:CCACVL1_1.0:contig13389:40299:43075:-1 gene:CCACVL1_23131 transcript:OMO61949 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosyl-L-methionine-dependent methyltransferase, MraW MAAKAKQMMQMTLSPFLSFSVASSVSALPVIHPSKSRALLMSACRSISTSTDTNKKKKKKSKESKIWHSKSKGLAKEKRRTRSLRDCDIETEEKEVDDSSCAHIPVMLGEVLDVFSPNSKPLCSFVDCTLGAAGHASAIIQAHPQLKVFIGMDVDPLAIQLARSRIHALSQSPHFQAFTFLKNYRHIKSLLSQVDRPDILSSGVDGILMDLGMSSMQVNNPNRGFAVLANGPLDMRMDPQASLKAEDILNSWPDSEVGRILRDYGEESNWRFLQNKIVLARLQGGLHSTGELVDVIRSASPVSRGGRQGWVKTATRVFQALRIAVNDELRTLEDSLYACFDCLAPGGRLAVISFHSLEDRIVKQTFLKLVDCNKKGGDVSVEESEIRDLKRIKSEDSGKELWIRQTIQGSNGIILTKRPITPSEKEEGLNRRSRSAKLRVIQKV >OMO61951 pep supercontig:CCACVL1_1.0:contig13389:46345:46419:1 gene:CCACVL1_23133 transcript:OMO61951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANRGTITQSCSFLRRAGTGGED >OMO61952 pep supercontig:CCACVL1_1.0:contig13389:46807:48998:-1 gene:CCACVL1_23134 transcript:OMO61952 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MEDFYELELNVKGLKTLDESLDDYLNLSVEELHGDNQYFCESCKRVDASRSIKLRKLPDVLNIQLKRYDFLQKTTTKKKISSVFSFPGELDMRGRLSEPSQVELIYELSAVLIHRGTAANSGHYIAHIKDENTGQWWEFDDEHVSNLATREEVQQKIVEKSKSCLRYQCL >OMO61943 pep supercontig:CCACVL1_1.0:contig13389:15904:18271:-1 gene:CCACVL1_23125 transcript:OMO61943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase T1A, proteasome beta-subunit MDLDMSINAPHSMGTTIIGVTYNGGVVLGADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADSQIVSDYVRHFLHQHTIQLGQPATVKVAANLIRLISYNNKNMLETGLIVGGWDKYEGGKIYGIPLGGTLIEQPFAIGGSGSSYLYGFFDQEWKEGMTMEEAEKLVVKAVSLAIARDGASGGVVRTVIINSEGVKRNFYPGDKLPLWHEELEPQNSLLDILNAPEPMNT >OMO61947 pep supercontig:CCACVL1_1.0:contig13389:25898:33310:-1 gene:CCACVL1_23129 transcript:OMO61947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKARDRKTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFGKDPRMIKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSAFPKWPPKDLASVVPNLESAGIDLLSPSYLVHLSPTINNNMDMEPSTKCAAAGVASGGGNESSNYLNLPLLLQPSYARSKSSLSDELRSFRMSLKWCALDHSSGIGKFVSYIMFVFLTVFVPIVSSISVQVPASVLSTDTTAYNLLVQFPESGLAFLGFFTLCCFFRRYGLRQLLFLDALQQDTTSVRRGYTRELDKAFRYLACILLPSFFVEVAHKIIYFSTVKISSPYVWSGFPLNSIMFVMVLGSWVYRTGVFLLVCVLFRLTCELQKLRFEGLHKMFDGCGCGCGCSEDTIFEEHLRIRKQVSVTSHRYRFFIISSLVVITVSQFAALFMVLASKSEKSFFNSGDLLVCSGVQLSGFFLCLLGATRITHRAQGMAAVATRWHMSMTCAEQQGKAIGVVSSCQSCKINNESDDSDSSDHIVIRVSAQHPTTFQTRQALVSYFQHNTKGITLFGYALDRGLLHTLFAFEFSLVMWILSKVVVLS >OMO61950 pep supercontig:CCACVL1_1.0:contig13389:44548:44852:-1 gene:CCACVL1_23132 transcript:OMO61950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHRKILIDGGGVNAIDIERKPLPTLVYLEETSTPPYFQSRSHDVSHMFYYVQAN >OMO50379 pep supercontig:CCACVL1_1.0:contig16199:11879:16204:1 gene:CCACVL1_30476 transcript:OMO50379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPEVPECPVCLQPYDGAGTIPRVLACGHTVCESCLVSLPQKFQGAIRCPACTVLVKYPAEGPTALPKNIDLLRLIPGSAYPRKPVKEYTNVSRVPFLPLSWSDEFYSNWRNYVLSDDAVDRQKVSLLRLGSFLSRDEDGSGFKAAYMVRVMDCLSEMEEEKREELRLVLRAFNKQSGRICRVLGLWADLGDGILYLVSEKQKYGNFLDTNLGGFEKDGIFNFAMISMEICEAVIALHKEGLIAGSLGLSCFQFDDFGHVYLDLIEVLSSGRGVHEVVAEVGSTGKKIGNAESCLLLKDLFKRDVFASPEVLLQQLEQYNFGVECASSKYSIRYSSDAWLLGCILLRILVGEVLSDELVDSLYHIIVKGNEDNDLDCSSVYTSLMEKVGSLLESKFGSEHVSLQQIICKCFNFDCDNCLLVRDVWKSVREMVIPPLFDKLVKLDGVNYDEDTGRCLVAGKLCMLSRESTQTLENDELQGNETNGGADLVNGLTNGSIKSKDLAGHIDCVTGLAVGGGYLFSSSFDKSVKVWSLQDYSHLHTFRGHEHKVMAIVCVDEEQPLCISGDSGGGICVWNAKSPFALEPLKKWEEEKDWRYSGIHALAVSDNRYVYTGSGDKLIKEWSLKDGTLSCTMSGHKSVVSSLAVSNGVLYSGSWDGTVRLWSLSDHSLLTVLGEDTPGTVTTVLSLAANQNTLIATYENGSLKIWRDDVFRKFIQIHDGAIFAISLEGKCLFTGSWDKTIKAQELVEDDFQVDVRSIGSIPCGSVITALRYWEGKLFVGFGDRTLKVYYYKK >OMO50377 pep supercontig:CCACVL1_1.0:contig16199:6915:8234:1 gene:CCACVL1_30474 transcript:OMO50377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSPLVLNTALDQTGLRVSPEVAEDVLKRFENAGMLAYRFFEWAEKQRNFMHTVRAYHTMIESLAKIRQYQIMWDLVNTMRGKSMLNVETFCIIMRRYARVQKVDEAIYTFNIMEKYGVPPNLPAFNGLLSTLCKSKNVRKAQEIFDRMKDQYVPDSKTYSILLEGWGRAPNLPKAREIFREMVDMGCEPDIVTYGIMVDVLCKAGRVDEAIGIVREMDSNGCRPTSFIYSVLVHTYGIENRIEDAVDAFLEMERNGIKADVVVYNALIGAFCKVNKLKNVYRVLNEMHTKGVAPNARTCNTILNTLIGRGETDEAFKVFRRMIKECEPDADTYTIMIKMFCENDDLEMAFKVWKYMRLKRFIPSMHTFSVLIHGLCKKGDAAKACVLVEEMIEMGIQPSGLTFGRLRQLLIKEGREDVLKFIQEKMNLLIKEPLSD >OMO50378 pep supercontig:CCACVL1_1.0:contig16199:10361:11348:-1 gene:CCACVL1_30475 transcript:OMO50378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGSSMLYSFLLFTVILSLEEMYRGKLASSELLTILGGFISSLLFLVSLTFIGNFQEACGMRTGWGAVILAEGIALIAASTVHRVCITTW >OMO96351 pep supercontig:CCACVL1_1.0:contig07486:55935:56162:1 gene:CCACVL1_04989 transcript:OMO96351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFQVESQVMQGIKDQAPKTNSVVKSSSKPERSISSGSLNPMNTTGKTSYDTKLKQSEESLRTVMYLSCWGPNS >OMO96348 pep supercontig:CCACVL1_1.0:contig07486:33861:39143:-1 gene:CCACVL1_04986 transcript:OMO96348 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MGFPRSIISAETSGAFSSTITAKLGFDQNTKVVDLEVSFPTPTHLPHLEFWIKSYGQNTEGSPNLETSEGASRMECGSTYDYGSGLHIGKKIKLRADVNEAISYWGPGEEYFNMMNETIEGAPFVVGAGEGASHQGIRKFKRLGHNLIRLSSDVKVPHTDPNEGRKRSRDGFIAAAARQIGEDYISLGADQYEAGGDGLNGYNGAVQGRYFSDQSEMPWLCSGDFNEILSNEDKIGGAIRPQRQMDAFREVLDNLQLKELPIKGPRLTWSRKLGGEIQFAKLDHCFIIDRWLDKFVFSYVDHLCTSVSDHLPLLIHCLDRPNISNSFCKRFRFEDIWALHENFNSVLCSARNMNVNLDIKNCISNCSEVLSSWDQRVFGSVKYNIRQKRKELDRLYREVQYGANPQSLHECMDSLNELYDKEEVMWRQRAKISWLRESDRNSKTFHNAATVRRIQNYIGSIKDENGDNVEDAAGIERVICDYFKAIFTSSNPPNFDPSRGIRQGDPLSPYLFLFCMEGFSSLIQHTERSKVVQGVSIVRASPRVSHLLFADDSLLFLRASLEECEALLDILTKFEKASGQKINIDKSAVLFSSNTPDCILDSIMRRIGVQKILARDKYLGAPIMIGRNKKIELQMLKDRLWKRIQGWQGRLFSITGKVVMIQVVAQAIPTYLMSCFKFPKTFVQELNSIIAKFWWGSTDSRRRIHWKTWASLCVSKLDGGLGFRDFEAFNLALLAKQCLRLIRDENSLCSRIFKGKYYQGSSFMRATLGSNPSFVWRSLLAGREVLRSGCHWKIEDGQSVDIWRDKWLNSPPEFRPQPRPGTICVSNPVSTLFNENGQWEIDLSALSAIKCGRYLVQGCWPVCQHGEMKMIFGQHVYRRNKAFHDKVVAVPTSIARSVARLVLEVEQSNVRSRQLSDRVISSQGWTPPYAGSFKVNTDASFDSFRLEAGLGAVVWNEHGQVVVCSTAQISKVPNVLFAEIYAIRFGLLLAYWHGIMDCSLESDSVLAISEINKREPSLWIGGGGF >OMO96352 pep supercontig:CCACVL1_1.0:contig07486:56812:57060:-1 gene:CCACVL1_04990 transcript:OMO96352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRYISRTCLKVVQWGKDQNPKGIIKPSQGRPKAESENSAQIRWVSGGSESAKVGATNGKGRSRETEKIENAMHLILWGPK >OMO96349 pep supercontig:CCACVL1_1.0:contig07486:44862:50602:1 gene:CCACVL1_04987 transcript:OMO96349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGLRMAEPNPNPEFLKEFYIPTYIFNEEAEISNVVDPPKFPVLVFINSKSGGQLGGDLLKTYQTILNEHQVFDVGEETPDKVLRRLYTRLEKLKQENAEFAATVHERLRIIVAGGDGTAGWLLGVVCDLKLPHPPPIATVPLGTGNNLPFSFGWGKKNPGTDHNSVVSFLEQVMKAKEMKIDNWHILMRMRAPKEGACDPIAPLELPHSLHAFGRVSSSDEMNMEGYHTFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLVNQKTYAKLGCTQGWFAASLFHPSSRNVAQLAKVKVMKGHNSQWEDLPIPHSIRSIVCLNLPSFSGGLNPWGTPSARKKHDRDLTPPYVDDGLLEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAADHTFMRIDGEPWKQPLPSDDDTVVVEISHLGQVNMLATQNCRSKSIHDPSSTHYNGDGDEDDSDEADPKTEDEFRKFGAADTFRIPDDVDISRLS >OMO96350 pep supercontig:CCACVL1_1.0:contig07486:51551:53783:-1 gene:CCACVL1_04988 transcript:OMO96350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II Psp29, biogenesis MAAVTSLSFSAIGQTSGDRKVNVPSARYLASNFDGFRFRSSLLYHSAGVRASTSASPSVVRCMCTTTDIPPTVSETKSNFLKAYKRPIPSVYNTVLQELIVQHHLMKYKRTYRYDAVFALGFVTVYDQLMEGYPSDEDRDAIFQAYIRALNEEPEQYRNDARKLEEWARAQTSSSLIEFPSRDGEVEAILKDIAERAGGKGSFSYSRFFAVGLFRLLELANATEPTVLEKLCAALNINKRSVDRDLDVYRNLLSKLVQAKELLKEYVAREKKKREERSETQTANEAVKKCLGEFQYAGQ >OMO58885 pep supercontig:CCACVL1_1.0:contig14094:29455:30496:1 gene:CCACVL1_25282 transcript:OMO58885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSKRNKKNQQEEHDPDNNSTKIEHTTIKNLGVNELIKQ >OMO58884 pep supercontig:CCACVL1_1.0:contig14094:17036:21964:1 gene:CCACVL1_25281 transcript:OMO58884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKEKNCRMINDHKKIEEIKEPNINRKFPYKFMDVKDVNDGFKTAHMDL >OMO99589 pep supercontig:CCACVL1_1.0:contig06857:15662:15793:1 gene:CCACVL1_03720 transcript:OMO99589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFGTGPPWDTASEKILAVNRSRNLQITSLYRPDLRSTLLFA >OMP03224 pep supercontig:CCACVL1_1.0:contig06114:604:5696:1 gene:CCACVL1_02501 transcript:OMP03224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSGFIVRYVGVTVDIDDAAVVAEEEEDRCAICLELFKNRFRQTLSCSHQFHTACLVQWLSRKDNCPVCRRPVPQHDKLAKSMWKTRVRAATAALELITAFLPDLCPRKPFYVIVRVDPINMDLLVVDGKGNAMRAIIQRTRIQSQEELTTMKFDGSRSMQEHIIEMTNIAARLKTLGMNVDESFMVQFILNSLPPEYGPFQINYNATNDKWDVNELSSRLAQEESRLKKTGSHSINLMGQGAGKELKSKPKKFKKKRMSDNALQTAQKKELTDRCHFCKREGNYQKDCLKCKALFENKGIIHYVSVCYESNLAEVPSITWWLDTRATSHVSNMMQGFLTIQTTNPNKIGYYGF >OMO88775 pep supercontig:CCACVL1_1.0:contig08937:13075:13164:-1 gene:CCACVL1_08211 transcript:OMO88775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAALLLAVNVIKVAAVVALHHHHHCLHS >OMO88776 pep supercontig:CCACVL1_1.0:contig08937:14334:16415:-1 gene:CCACVL1_08212 transcript:OMO88776 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C65, otubain MGLQEPLSMLAAEYESGSPILVDKIKVLDQQYAAIRRTRGDGNCFFRSFMFSYLEHILESQDRAEVDRIKGNIEECRKTLQSLGYADFIFEDFLRFVTSGEIRKCSDFFEPFILGLTNATVEQFCKSSVEPMGEENGHVHITTLSDALGVPIRVVYLDRSSCDSVGVN >OMO88777 pep supercontig:CCACVL1_1.0:contig08937:29178:29255:-1 gene:CCACVL1_08213 transcript:OMO88777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVVLLIQALLATHSTINVTRGEKKK >OMO86417 pep supercontig:CCACVL1_1.0:contig09487:9377:11789:-1 gene:CCACVL1_09600 transcript:OMO86417 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MEKHKPYIAMLFVQFIYAGMALFSKAAISKGMNPHVFVVYRQAFATVALAPFAFFLESKQTSISYTLLCKIFLLSLCGLTLSLNLYNIAINYTTATFAAATTNTIPVLTFIIAVCLRMESISLRQPPGIAKVLGSVISLSGAMVFAFVKGPPMNWNPGTEIETADSLMKSYSVSEWIKGSLIMISANTTWSLWLVLQGGIIKQYPAKIRLTAFQCFFSCIQSTVWAIAVDRNPSAWKLGWDFNLLSVAYCGIIVTGITYWLQAWTIEKKGPVFTSIFTPFALVITAIFSVFLWKETLYWGSVGGVVLLVGGLYSVLWGKNREEKRRVTDEQNKDSPKEEQIVLESITHH >OMO86419 pep supercontig:CCACVL1_1.0:contig09487:40021:42416:1 gene:CCACVL1_09602 transcript:OMO86419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESSADIIVSAAPPAGIIHHEGGSSSEIGMAAATNSGEEDKGRVDEGDRSFGGNRWPRQETLALLKIRSDMDAVFRDSSLKGPLWEEVSRKLAELGYHRSAKKCKEKFENVYKYHKRTKDGRTSKADGKTYRFFDQLEALENLHSLQSLSPPKPQTPTPTSAAPTMPWANNPPSSAATNIHHHVQSSNPTNNVPPQTTNAINPTNISTQAVPLHSISPFSNIPSHNSFQNISSNLFSTSTSSSTASDDDSDHQYGNSNGKKRKWKEFFRRLTKEVIQKQEELQNKFLQTIERCEQERTAREDSWRIQEMARINREHEILVQERSTAAAKDAAVIAFLQKVSGIQVQQPITTVQFQVQPQEVPQPPPPQAPPSTVAAPVSLPVPSQALSFDSPMKMSNGTGGNKNAALASPSRWPKAEVEALIKLRTNLDVKYQDNGPKAPLWEEISSAMRKIGYNRSAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDAIYKERSSSTTKNDNNNNSSLGSSSTTMQQQQVPLMVRPEQQWPPQQADEMMEEGADRENVDEEDIGDSEEEDYEGNDFELVANKTAPMGTAE >OMO86418 pep supercontig:CCACVL1_1.0:contig09487:33510:33662:-1 gene:CCACVL1_09601 transcript:OMO86418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKEARVDGRSYKKVVAPSNKGQQKVTHTTPVQECHVFMSETIQKSLQN >OMO52650 pep supercontig:CCACVL1_1.0:contig15491:47727:52356:-1 gene:CCACVL1_29135 transcript:OMO52650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mycolic acid cyclopropane synthase MVVRMSHDLGLDRRRAAIAGAHDITPVNVVVVVVGNHGGTAAAVVVVVVLIVAQLFTTYISITPGVPTTRLKQSLKEKDGTVVTFKGSMEKCPLEVVLEVHNPDQFYWKLMTEADLGFADAFIHGDVSFQDNDKGLINYLLIFVANKKSSSSISLMNENRIWLTSAKYLFKHILRRNTLTQARRNIARHYDLSNELFESFMDETMTYSCAVFKTEDEDLKVAQQRKLTALIEKARISKEHEVLELGCGWGSLAIEAVKRTGCKYTGITLSEEQLKYAEAKAKKAGLQDKIKFLLLDYRKLPKTFKYDRIICCEMTEHVGNAYLEEFYRCVESILAEDGLFVLQFISITEELFHEVLRTSEFLKEYIFPGGCLASLTRMLSAMAAGSRLSVEHVENIGTYNYAQTLRCWRKNFLQNKSKILALGFNENFLRTWEYYFCYCVVCFKSGIGKDYQVVFSRQGNFAALGDPYQGFPSAFSC >OMO52652 pep supercontig:CCACVL1_1.0:contig15491:108067:109659:1 gene:CCACVL1_29137 transcript:OMO52652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERGTYELEGFEELEIQLMEDNEELEQLEKSTLIGRIISDRLLSKRGVMGVLRTMWIEDVVTEIRDLAMNTFSLRFSSDLAKRRALEDGPWSIMGSCLALKEWRNGIEIYDMDFSEIEVWVQIHKIPLEMMNLENAGVIGKRLGRVVSIEDPKEVKGVGSNFIRIRLGIDICKPLVEGFWIPRREGRKTWAEVKYEKLSDFCYGCGKLGHMVKNCHLEVQRSVVDPSKPHYGPHMRAAPVRRFEGVSAGKGKQSINEESGVAAEHRKILQEVQLNRDRKEIGFEGRMDKGKRKKENGDPKSNKKELIEKGREALRFQVQNSAKRGPGGGMKIEKFLGGGRIRGEKLYEIRMVKLSEEFRGMRLKREGSKFLKTEEEYRSAKRNRLEWYDMTEENKKWGKSDNAEEEVVPKSYELRKNSGRNKEKGSF >OMO52657 pep supercontig:CCACVL1_1.0:contig15491:182564:187809:-1 gene:CCACVL1_29142 transcript:OMO52657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHITPKISLWVVHIVVVAVEQVLKPEQNRLVQMIGGSHIPFAVCFMEVTAVWKNTARN >OMO52654 pep supercontig:CCACVL1_1.0:contig15491:151174:152118:-1 gene:CCACVL1_29139 transcript:OMO52654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MPHVAGSDELSTAPSRSNANPNSSRNRKPSPHLASDSMSDMEIGVEQPAENSSRPGSGTGSISKPDELGEGENFQVVDEEQEVVGVNPSPDSEETLVIDLDSESEAPNHQNNLSWVQIGSGMPLSPLFPRLGRAMDRELELFFNFSNTEDLEIDTDYESFLSGYLDNSDYYLELDSDYMDFLERTIYLENDDVLSIDVGETENFTIGSSLAAAKSVVERLVEVKIEKENCGSCCAVCNEEFEEGEKAKQLPCSHRYHGDCIVPWLRIKNTCPLCRHELPTEDEENERRRKRRRRNQGGSAAPPPPPPDVAGSSS >OMO52653 pep supercontig:CCACVL1_1.0:contig15491:125993:126535:-1 gene:CCACVL1_29138 transcript:OMO52653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSEVSGLQYLVPSNPSPYLAHFSMNQSNTPTFELNRFSNPMYNFYIPPQLQEINPHSPCLSSNSTSDEADEQQSLINERKQRRMISNRESARRSRMRKQRHLDELWSQVVWLRNENHQLIDKLNHVSESHDKVLQENVQLKEETSELRQMLSDMQLSSPYSTLRDLEDITLEHGLSDN >OMO52658 pep supercontig:CCACVL1_1.0:contig15491:188243:192110:-1 gene:CCACVL1_29143 transcript:OMO52658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCKTRAALTHSTKTTKASASRASKQPTMSEGAWFALTSRKPATYTD >OMO52651 pep supercontig:CCACVL1_1.0:contig15491:100457:102905:-1 gene:CCACVL1_29136 transcript:OMO52651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MAVAVRGARGGGSGGVGAGFRSLFSYRIFVSAMFSLLFIATLSVLLTSQPSSTHQHSRLSSGGNAYMHRTFLALNSDPLKTRLDLIHKQANDHITLVRAYAAYARKLKLDISRQLKMFDDLAKNFSDLTSKPSFKSSLFETDGNVDEDVLRQFEKEVKDRVKFARLLIAESKENYDNQLKIQKLKDTIFAVNELLGKAKKNGAFASFIAAKSIPKSLHCLAMRLVEERISHPEKYKEELPKPEFEDPSLYHYAIFSDNVIAVSVVVRSVVKNAEEPWKHVFHVVANRMNVAAMKVWFRMRPVEGGAHVEVKAVEDYDFLNSSYVPVLRQIESAKMQRFSVENKMENATKEGSNVKFGNPESMSMLDHLRFYLPEMYPKLHKILLLDDDVVVQKDLTGLWKIDLAGKVNGAVETCFGSFHRFSHYLKVSHPLIKERFNPKACAWAYGMNIFDLDAWRREKCTETYHNWMNLNADQTLWKSGTLPPGLITFYSLTKSLDKSWHMLGLGYNPSISMDEINSAAVIHYNGKMKPWLDIAMNQYKNLWTKYVDNDMEFVQMCNFGV >OMO52649 pep supercontig:CCACVL1_1.0:contig15491:24108:46682:-1 gene:CCACVL1_29134 transcript:OMO52649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLTRNYGTEDDLPKNFPNLGKFVCSIERLRG >OMO52655 pep supercontig:CCACVL1_1.0:contig15491:153402:167527:1 gene:CCACVL1_29140 transcript:OMO52655 gene_biotype:protein_coding transcript_biotype:protein_coding description:GTP-binding protein MSERAWFAKTVLFVPPRKRSNVPQFGRPFGLFEIPKRAERPNWRLKCFFSTDQLSYLDKRSPVKFHNFQLIVFLQQNLYYITSLSFSSPCPPPKSLSFPFSFNKTIACPNTKTSTPLLQDPRSNQKGLKEVTDDGNGSNIVVGPYYAGQCSSRAKDYPKDDRPEFSILGRSNVAY >OMO52656 pep supercontig:CCACVL1_1.0:contig15491:174786:178491:-1 gene:CCACVL1_29141 transcript:OMO52656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase MMNDLPTILKLLSEQAAASKDEEEGLEEEDEEEHGETLCGACGENYATYEFWICCDMCEKWFHGKCIITQARAEHIKQYKCPSCTNEKLNSLNRVIKAPFVSTFAQVIARCAVMETTTRDEQLQAPKELSENFSNERRIVYVLGGPGSGKGTQCSKIVQHFGFCHLNVGDLLQAEVESGSEYGKMIQDLKKEGKLVPSDVVGKLLQQAMERSNKKKFLIDGFPRNEENRVLAENVLKIEPDLVLFLDCSEGEMTRRLLNRNQGRVDDNIDTIKKRLKVYAESTLPVIDYYCTKGKVRKIDGEKSIDEVFQEVKGVLEELDKP >OMO63071 pep supercontig:CCACVL1_1.0:contig13060:18241:25339:-1 gene:CCACVL1_22499 transcript:OMO63071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQIDLLEQEAYFSVLCAFKAQSDAITWEKEGLITELRRVLRVSDDEHRKLLSKVNSDDIIRRIRIFHNVTNFGKCLDNHDGQGHKGVIERSALNSSIHSDMEVQIHLLEQEAYCSVLRAFKAQSDAISWEKEGLITELRRELRVSDDEHRELLSKVNSDDIIRRIRDWRQGGGSQAARLTASQPVHSLLPSPTVSASRKKQKTSQSGQSLPGLSSAKAIHYPASVSAGNRQFKYRGSVGGRLENEPADGATFDALIGRKVWTRWPEDNNFYEAVITDFNPSEGRHALVYDINTAHETWEWVDLKDISPDDIRWDGDDPGISCRGGHGSHGPKKSFSRGAPAPGPRRGRGSAKGQVRKEYMPSQNGSVRKLSDDIELLNTETLVKEVERVFDTSNPDPLELEKAKKKLKEHEQALIEAIARLADASDGESDGEHTFSRGQPMAELV >OMO63072 pep supercontig:CCACVL1_1.0:contig13060:32484:32609:1 gene:CCACVL1_22500 transcript:OMO63072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFPAGDHNSKLNGAMKEPKKAVEPSLRKAPPSVPNPTQN >OMO63073 pep supercontig:CCACVL1_1.0:contig13060:39052:39579:-1 gene:CCACVL1_22501 transcript:OMO63073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKTLLHTLIYTQLCRLIKAFSKAKSIVIQIYKENKPIQYLISSSKKSTKKNKHNKILFGSFRLHYNWCSSHVTPVPAPVLEGCTATHLYYDSTWNSVISTGQCDDQDTVESELSGYLQWLEEKKVNGSKATSEADINEIDRLADLFIANCHEKFRLEKVESLRRFQEMLARGM >OMO79225 pep supercontig:CCACVL1_1.0:contig10466:60333:62849:-1 gene:CCACVL1_13830 transcript:OMO79225 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEVLLMNMLSRADAAAVGQEEGFSPSEARKIDIGRELQKNSDRNSSSPSSHEDLMDDKEDDELQSAKAEMGSVKEENEKLKMMLEQIQENYKSLQLRFLEILQQGGSSASKKSTESSSSDPSHNDEHELVSLSLGRRSPSPTGSKKDEKNIVNSSKSREEQEIKAGLSLGLDSKFQLSTEIVLNPSPENSSGDQAKEYEEGETLTPSNKINPKTTRNEDEDQEPAQQGPGPVKRARVSVRARCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCADDMSILITTYEGNHNHPLPVSATAMASTTSAAASMLLSGSSTSQAGLSSTLSTELNGLNFSHDNSRARQFYLPNSTSPNNLFPTVTLDLTSPSSSSNYFNRFSTNYPSAAARFPSTSLNFSSSESNILPTVWGNSNYPTYVASAFNNQTGNLNLGKDQSQEVQFYQSFLEKNKNFQHGASQQSLTETLTKAITSDPSFRSVIASAISSMVGSNAKPGDQSDENFGQNLIQAISQNLLAQNGKGCGSTYFNGLTSSSSTTSHSGSSLQSSFPFSIFNSASTPASDHKEHKNN >OMO79226 pep supercontig:CCACVL1_1.0:contig10466:69493:73368:-1 gene:CCACVL1_13831 transcript:OMO79226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MISGQLNNVSFNQYSGYIVTDARHGRALFYYFVEADSNTDEFKPLTLWLNGGPGCSSLGFGAFMENGPFKPAEDGTLIKNQYSWNLESNMLYVESPIGVGFSYSNTSNNYFWNDTQTAQDNLMFILNWLEEFPMYKDSDFFLVGESYAGHYIPQLAALLVEHNKKPNVQPVNLKAIALGNPLLDIDISVLAGDFLWSHGAISDETLFLEKTVCNDSKNLREYIHDQWSQGCNDVFNRVADEVGVDIGLDDLLLPKCLSPSNAHQFKLKGQLGKIHSAIDKRARIGDPCLEGRIFTYLNRPEVQKALHANTTHLPFHWDFCEGPLVYQEDNLAINLIPIVSDLIKDGIPVLLYNGDQDAKIPLTQTRIIANNIAKDLNLIPLTDYGTWYDHKQVGGWTQSFGAPKDGENVTYLTFATVRGAAHEVPFTSPSQALTLFHSFLTATPLPNPPHH >OMO79224 pep supercontig:CCACVL1_1.0:contig10466:49647:58767:-1 gene:CCACVL1_13829 transcript:OMO79224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTIGGETLSGRGLGVDSSHLTY >OMO79227 pep supercontig:CCACVL1_1.0:contig10466:75139:77898:-1 gene:CCACVL1_13832 transcript:OMO79227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATLADNVKGKDFSELPKETKTNTGDTNKVSNTNKNRNTTTSDSSRKKSRRRHKKMMTPVVSRVQRLFDTCKDVFSLSGAGIVPSADKIEQLRAVLDEIQPADVGLTPQMPFFRPPVTRRAPPITYLHIHECDKFSMGIFCLPPSGVLPLHNHPGMTVFSKLLFGTMHIKSYDWVGDAPSNASAVVDPSETVQHPEVRLAKLKVDSDFTAPCNASILYPADGGNMHCFTAVTACAVLDVLGPPYSDPDGRHCTYYFDYPFTKFSVDGVSVAEEEKDKHAWLQEREKPEELAVVGALYTGPEIVEN >OMO79223 pep supercontig:CCACVL1_1.0:contig10466:19738:24371:1 gene:CCACVL1_13828 transcript:OMO79223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQSWGTSDNDETKNKSLDDLINKIKPYEPKPIYQLEVKPYEPQSIYKPEPIYKTEVKLYELEPIYKHRVKPYVPEPEYKPDVKPYVPEPEVKPFVPEPEVKPYVPEPEYKPYEPEPEPEPVYKHKVKPYVPEPEYKSEVKPYVPEPEYKPEVMPYVPEPEYKSEVKPYLPELEYKSEVKPYVPEPVQKHEFRPYVPRPVYKPYKPDVKPYMPEPIYKHKVKPYVPELVYKPEVKPYVLEPVYQPEVKPYEPKNRKLLLVDLNKQCFPFVSLDIPVEDPSDVRGSEKYTSCSEEKANGISVSAEEICAEKKPNFFVEDITIGDKCDGLIGQLTEDWMETLFAALSRAGGTAFSNMAVGYNNVDVNAANMYGVAVGNTPVVLNKAMIFFL >OMO79222 pep supercontig:CCACVL1_1.0:contig10466:13295:14583:1 gene:CCACVL1_13827 transcript:OMO79222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPLPPDPEFAIYSFCKTLRPSDTSIHGGLSVLKRRADECFPPLDMSMQPPKQELVAKDLHGNEWRFEHIFRGQPRRHLVARGWGNFVSSKRPVAGDAFVFLRSSATIVGYEDADPVRWMDSKWRFLKVRWDESMPRPLPERVSPWELEHFD >OMO58284 pep supercontig:CCACVL1_1.0:contig14254:80:8215:1 gene:CCACVL1_25501 transcript:OMO58284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MEPKVPRPRGRPRKRKRPEEENESAAGDLKSDNSKSKKRAFLMRSVALVGRYVLKEFGGNVYLGKIVSYDTGLYRVDYEDGDFEDLESGELRELILEESYIDDDLSRRKVKLDELVLRRIAKKERELEEEKKKVEALKNEINGVETSAVSELSGGMTVETDGEQLEDDADSSSDSCEHARDREMSLEDEAPLIPPPLLPSSSGTIGVPEECVSHLFSVYGFLRSFSVILFLTPFGLDDFLGSLNCSGPNSLLDAVHVALMRAIHCHLETVSSEGSELASKCLRCLDWSLLDALTWPVYLVQYFMVMGYARGPEWKGFYEDVTQREYYSLSVSRKLMILQFLCDDALEYAEVRAEIYTRESTEVGTDPDVVVVDPPEKGPRRVHPRYSKTSACKEREAMEIIAEGHKVNSSSRTFSLGYRSAEGNAGVDADVDRNSDDCRLCGMDGTLLCCDGCPSAYHSRCIGVMKMYIPDGPWYCPECSVDKLGPAITVYTSLRGAELFGVDSYGKVFLGTCNHLLVLNASLDTESYLRYYNLNDIPKVLQVLTSSIEHKSLYFEICKAIIHFWNIPENILSPLEMGGNIANIKEDARVSIGSPLPSANRSHKSLDSVDAEHASSFSRSNSKASNHVGFGRDAKSSTMDYAYTGTSFKPNAYVNHYIQGHFAASAAAKLSVLSSEESQVSEANKSGSARKVTSTSNIFLQLKAFSSAASRFFWPSPEKKMFDVTRERCGWCHSCKSPSLSRRGCMLNSAAFTSAKSANKILIGLPSLKNGEGGLPSIAMYILYMEESLRGLVAGPFLCPSFRKQWRRKMEEASTCSAIKACLLELEENISIIALSVDWIKLADDWLVESVVQSNSSTVGLPQKRGSVGRRRKKQSVASEVTADDNDDKSFDWWRGGKLSTHVFQKAILPGAMVRKAAQQGGVRRISALNYVDDYEIPKRSRQLIWRAAVERSKNTTQLALQVRYLDLHVRWNDLVRPEHNIPDGKGTETEASVFRNAMICDKKIVENKIQYGVAFGNQKHLPSRVMKNIIDIEQSGDRKEKYWFFTSHIPLYLIKEYEERMSTVVLPSVKKPMSQLSELQRRQLKASRRNIFAYLTSKRDKSENCSCASCQMDVLLRNAVTCGTCQGYCHQDCTLSSMHMNGKVECMIICKRCYHAKILAENKISAKSPTTPLPLQGRDSHSALAVSKGMQVMNSAQSIKPLASIKSKENSVRSQERNSNTKQNASLSGMATKKPKLCNWGVIWRKKHSDEIGIDFRRANILGRGGSDNHLLRPICQLCEKPYNSDLMYIHCETCKKWYHAEAVELEESRISDLVGFKCCKCRRIRGPECPYMDPELKEQKRKKQSSKPQKQGQGSVAMDSGFGTTSFKECKPITPIVSKEDELVQDNDPLLFSLSKVELITDNNKSDVDVEWNSASCPSLKKLPVRRQVKQEVEMDGPAGGDFGHVELPTCPEPNNHVEPKVEPSVPLAEWDISGNGLEGELLFDYESLNYEDMEFEPQTYFSFTELLSDDGGPIEANDTMGDGSGRCENASGSVPQDGVPEQCGVNFSNQGEPIIYDNSDVNAAAHCHVCLQNDPAPDFYCDICGFLMHGHCSPWEEPSSSEDSWRCGRCREWR >OMO58287 pep supercontig:CCACVL1_1.0:contig14254:25916:29025:-1 gene:CCACVL1_25504 transcript:OMO58287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKVSGFYRQGNDWFCNAGLPSDLTVILDDVNFHLHKFPLISKCGKIASIFEEQQSIHDKTFTTKLEDFPGGPDTFLFLAKFCYGIRVEFTPRNIVMVYCAADYLEMTDDYGEGNLLSKAESFFHKTVLRNWKDCIVALQSSESCMPRAEKLHIIQKCLNAVSMMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSAESDWWFEDISYFNVGLFEKLIKTMEARGIRPELLAGAIMYYARKYLPGLGRWQSTQTGKARTVASFSLTPASVDQKVLLESIERLLPKKKGKSFCRFLIGLLRVALILGVNQTCQDSLERRIGMQLELASLDGLLIPSYSDSDTLYNTDCVERIIHHFVSSESGLTLFSPPSLDLETSPSAEPLRKVARLIDSYLAEVASDVNLKPGKIRSLAEILPESSRPLHDGLYRALDIYFKAHPWLSDREKEELCNIIDYQKLSVDACAHASQNERLPLRVILQVLFFEQMHLRTALAGCLNVLEAESAPTGQGAATAEMVGHLTSTSETAGQIVQRDGWVTVVRENRVLKGDMEKMRSRVGELEEEFSKIKEEMKRVTKSHSSLSSPRIVARKFGCKLLQRSSDSQSDIVGSTGPTPRPSVEQARSSRHSRHRKSFSLF >OMO58285 pep supercontig:CCACVL1_1.0:contig14254:9969:19970:-1 gene:CCACVL1_25502 transcript:OMO58285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHGMRGLSVFISDIRNCPNKDQERLRVDKELGNIRTRFKNEKGLTPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLLSSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWADRMAQLLDERDLGVLTSSMSLLVALVANNHEAYWTCLPKCVKILERLARNQDVPQEYTYYGIPSPWLQVKTMRALQYFPAIEDPNTRRTLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKDIVEEILQYLSTADFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFISDDIWFRVVQFVTNNEDLQPYAATKVKEYLEKPAVHETMVKVSAYILGEYSHLLSRRPGCSPKEIFSILHEKLPTVSTTTISILLSAYAKILMHTQPPDQELQNQIWAIFNKYESCIDAEIQQRAVEYFALSRKGAALMDILAEMPKFPERQSSLIKKAEDAEIDTAEQSAIKLRAQQQTSNALVVTDSRPANGTPPQVPVSQLSLVKDHNSTDHALSHENGTLSKVDPQPPSADLLGDLLGPLAIEGPPGATVQSEHNAVSGMEGGPGAVDVSAIVPIEEQENTVQPIGNIAERFHALCLKDSGVLYEDPHIQIGIKAEWRAHHGRLVLFLGNKNIAPLVSVQALILPPAHLKIELSLVPDTIPPRAQVQCPLEVANLRPSRDVAVLDFSYKFGANMVNVKLRLPAVLNKFLQPITVSTEEFFPQWRSLTGPPLKLQEVVRGVRPMPLPEMANLLNSFRIMTCPGLDPNPNNLVASTTFYSESTRAMLCLIRIETDPADRTQLRMTLGSGDPTLTFELKEFIKEQLISIPMAPRAPAPPVAAPTTPAAAPTPQIPPNDPAALLAGLLG >OMO58286 pep supercontig:CCACVL1_1.0:contig14254:21658:24743:-1 gene:CCACVL1_25503 transcript:OMO58286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISAPLNFNLSSFYGGNGSTTIKGIGTRNFASFKEFTTAEFPFRLNSPASVSSHHLMFTNRPFSLHCVKPDSTGNTISNSLGGENGESRLLDNGIGDQPKIDGDGGGEDNGGGGDSFGGGGGGGDGDDEEEREFGPILKFEEVMKEAEARGVKLPSDMMEAAKSNGLRKLFLLRYLDLQGSVWPLGFLMKYCSMLRNRMLADPSFLFKVGTEIVIDSCCATFAEMKKRGKDFWSEFELYLADLLVGIVVDIALVGMLAPYARFGQPSASRGLFGRLQHACAALPSSVFEAERPGCSFSVKQRIATYFYKGVLYGSVGFGCGLIGQGIANLVMTAKRNIRKSEEDIPVPPLVQSAALWGVFLAVSSNTRYQIINGLERLVEASPVAKQVPPVAMAFTVGVRFANNIYGGMQFVDWAKWSGVQ >OMO53805 pep supercontig:CCACVL1_1.0:contig15153:47993:52068:1 gene:CCACVL1_28330 transcript:OMO53805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQAQEFFPSTSEESSLFSEAEFPQDFQHPTNQSPPSPSQTPLPKVAAAPSPSEHPSINPLTICKAKCAEECMIQGVPRLNFTDPCLGPCARSCMSQFQLVFSNCFSNCTAQSIPIDVSSGSCNGLVCIAPDEDTLFLFNPSTRESKRIPDPPSGLARDGLSVYGFGFDFGNDDYKVVKLGCGTVCVYSLRTDSWGKVGNFPFDDNVYESGVLLNENIHWMASRGEGANYECVVAAFSLEKEVFWDMRAPDTVDASVEFVVGVLNGCLCVLHSRNQMHNDFWVMTKYGVAESWTKLTLSISYICMKPLCLAQNGEVLLEVDGKLLLYNLEDDSYRYLVIHGIPAENGFEADTYLESLVSPNSYYRSHIAMP >OMO53797 pep supercontig:CCACVL1_1.0:contig15153:10275:10607:-1 gene:CCACVL1_28320 transcript:OMO53797 gene_biotype:protein_coding transcript_biotype:protein_coding description:S locus-related glycoprotein 1 binding pollen coat MAKFSYTYLLLLIVVFSVNSMAMAVAAQADAQKRCQKILYPRGCTLMDCRKKCFQKFGSVGGQCIPTNQAQTIYECLCVYNCHR >OMO53800 pep supercontig:CCACVL1_1.0:contig15153:25171:25239:1 gene:CCACVL1_28323 transcript:OMO53800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRRLEDFDAIQSWKNAHVK >OMO53802 pep supercontig:CCACVL1_1.0:contig15153:37521:38240:1 gene:CCACVL1_28325 transcript:OMO53802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIAKVACIMLLLVAVQAQEFFPSSSSSEDSSLLSEFDFPEDFNSPPAPVTNQFSLETQSDDADQNSLFSESEFPADSEVPFESQDFPMETDSLNKKLPLPPYHRHHSPPPSPSKTPLPDIAPSPSQVPFSAPKSCKPKCAKKCSNKEVPLLHNLCTKACRRRCFLTYAQMIYKCTNKCAESMPKNFNSDGKKAAEYVNNCYKKCVKKY >OMO53801 pep supercontig:CCACVL1_1.0:contig15153:26075:35216:1 gene:CCACVL1_28324 transcript:OMO53801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSAKETANAATQSQSVKHASWQGQGQEQEQSPGFLQQGAMGSLMNSFDGKKAAEYVNNCYKKCAKKY >OMO53806 pep supercontig:CCACVL1_1.0:contig15153:55686:55823:1 gene:CCACVL1_28331 transcript:OMO53806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHTSGSTCSKIIKTASVVAFASTSRSAFTSTFTSASFGSDQG >OMO53809 pep supercontig:CCACVL1_1.0:contig15153:69714:69818:1 gene:CCACVL1_28335 transcript:OMO53809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATERGVARTGNNSGTKPNNIKPEWHKQRGQQTL >OMO53814 pep supercontig:CCACVL1_1.0:contig15153:82392:82768:1 gene:CCACVL1_28340 transcript:OMO53814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor 1-related protein MNVYKNEYPLNFITLGAFTLMQSLLVGSCCAFTDGRVVLEALATTAVAVTTLTSYTFSAAQRGKDFSILGPILFPTLVATVVIGLID >OMO53808 pep supercontig:CCACVL1_1.0:contig15153:62864:65413:1 gene:CCACVL1_28333 transcript:OMO53808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVVVVPASASATPAMSDKGTNAKTHHFFPMVLAIASSDKATESNSSASASSSASS >OMO53804 pep supercontig:CCACVL1_1.0:contig15153:46290:47008:1 gene:CCACVL1_28329 transcript:OMO53804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIARLASILLLLIAVQAQEFFPSSSEETSLLSEFDFPHDFQDTNQFPHEIQSDAHQNSLFSESEFPEDSGNTEFPLESQEFPMETESHSEKRSPLPSPPPPKKAPPPPFRDGRKAAEYVNNCYKKCVKKF >OMO53796 pep supercontig:CCACVL1_1.0:contig15153:9788:9955:1 gene:CCACVL1_28319 transcript:OMO53796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFMVDPDDQGSAMDVDDVDPLEIFGEGALPIDNKLADADFFNNFEDDFDDADIN >OMO53807 pep supercontig:CCACVL1_1.0:contig15153:58807:61261:-1 gene:CCACVL1_28332 transcript:OMO53807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKASTAPKMVKFVLFIVILSVMLSLVAARGVVEAEEAEALVGAGVEGAELTN >OMO53798 pep supercontig:CCACVL1_1.0:contig15153:11773:17611:-1 gene:CCACVL1_28321 transcript:OMO53798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRFECAIDFQLEEAQSYGFEYVFRYFMLMLNNFIYVFAFTSNVYQILARREVSPRTKRSPRKLWGEESKSRLDSFGPTFQAARDARRDLLSWVEAESLRHFSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCQTGSCLKVLSGHRRTPWVVRFHPLYPEILASGSLDHEVRLWNASTAECIGSRDFYRPIASIAFHAQGEVLAVASGHKLYIWHYDRRGETSSPDIILKTRRSLRAVHFHPYAAPFLLTAEVNDLDSSDSSMTVATSPGYLRYPPPTVYLADAHSSDRSNLANELPLMSLPFLIWPSFARDNARTSLQHTDGDSGSNGVQQRGDQSASVRLLTYSTPTGQYELLLSPIEPNSSSPLPEETGANQPSEMETDTSHSALEPMETVEVQSVERSSQFLPFGDPTSWELPFLQGWLIGQTQAGQRNMRLATSAGHENSLPTVEVGNSASGASSGMPTSVGQSRNAGRSNPRHRSSRRVIPTSASGETGYSNMNDATDPQPGISRIQSELATSLAAAAAAELPCTVKLRIWPHDMKDPCAFLDPDKCRLTIPHAVLCSEMGAHFSPCGRFLAACVACVLPHLEADPGVHSQFNPDVTGAATSPTRHPISAHRVMYELRIYSLEEARFGSVLASRAIRAAHCLTSIQFSPTSEHILLAYGRRHSSLLKSVVIDGETTVPIYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDSNGTSHNTSSFPDENMFEVGGPSLQGAIKAVLLQKFLQPVFE >OMO53811 pep supercontig:CCACVL1_1.0:contig15153:72002:74831:-1 gene:CCACVL1_28337 transcript:OMO53811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDSGSSQFTDLKSSDINTNSEECMNAMVVKAYPVGMVHMNTNGHVEDEGESGMEPYLGLEFDSADDAREFYGQYAIRVGFRIRTGQLYRSRTDGSVSSRRYVCSKEGFQLNSRTGCPAFIRVQRRDSGKWVVDQIQKDHNHELGPAGENSSPILQPKPPVVSKSLVEVLRRPKVKFPEKLENGGPSLSGIINLKRPKRGGDGGQSRAEPYTGLEFHTSNEAYEYYQAYAEVTGFRVRIGQLFRSKNDGSITSRRFVCSKEGFQHPSRVGCGAFMRIKRKDSGTWMVDRLNKDHNHDLEGHMVCQKKVSTAPKKFIEEEARGLFSRDLVEVTNGKLNISQGNKIGSDWYSVLLEYFQARQAEDTGFFYSVEVDNGNCRSVFWADGRSRFSCSQFGDVIIFDTSYRMSNYVVPFATFVGVNHHKQPVLLGSALIANESEESFTWLFQTWFRAMSRCHPQSIIADQDIAIQQAIAKVFPGTHHRFSLWQIRARERENFRSLPNEFRYEYEKCIYQSQTTVEFNASWNSLINKYCLKENVWLKHMYEKRESWVPLYLQGKFFAGIPTGESIESFFGTQVNGQTPLREFISRYEQGLEQRREEERKEDFNSFNLQAFLQTKEPLEEQCKRLYTFTIFKIFQDELLQSYSYIGIKTYEEGTISRFVVRRENDKHAVTFSAVNLSVNCSCQMFESSGVLCRHVLKVFKLLDIRELPPQYIVYRWMRNAEYRIFCGAESGISSQEQKSFMVWSLRETACKYVEWGAASVEKYKLAYEILREGGKKLC >OMO53812 pep supercontig:CCACVL1_1.0:contig15153:76978:78780:1 gene:CCACVL1_28338 transcript:OMO53812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAPSFIVAFVRQNPHTLQNLNIQIRNLNVDSKDTNFIRKEFIENQNQSRELVNDTIDQQNLEIVKQVCKITRTVPWWEETLLSSFPSVNFSDPWFFRELLKQQGNVFLSLRFFHWLRSKYEFSPDIDSCNMLFDKLVEAKDCKAAMNFLEQTRFRPKRSSLERFLRCLSEAGLVEEAVSVFYFSNEIGYCPSIKTWNMALLTCVKVGRNDLVWKLYSNMIDSGVVVDIDTVGCLIQAFCNEEKAMKGYQLLQQVLEEGLVPDTVVFQKLIAAFCKRGAFFRVSELLHTMIAGNCDPDIYTYQELIHGLCKKGMPLEGYRIFNDLKDRGYAPNRVMYTTMIHALCKTGKIGDARKLWFEMINKGIPPNEYSYNALLYGLCQFHDLEEAKKLHQEMLERGFGETTCSYNILIRGFCLHRRMNEAYGLFQEMPQKGIIHDLITFNTLIKGFCKNGEVAKGLNLLKELLTQGFQPDIYTFTPIIEKLCQVGRLQDAKKYLTAMQIRGIKVRASTHNHFIATLCKEGDVAEGMKWLVRMQKERLRPYRMTFEGLIHGLLQSDRLDDSLFALNFMFRSGYAIQTSLFRSLVTKLCKADPNFVEL >OMO53810 pep supercontig:CCACVL1_1.0:contig15153:70954:71586:1 gene:CCACVL1_28336 transcript:OMO53810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEKGKGSEERWNGAIANLTEMASNLDSLQKILLKKAVFVDEDTFAKASLTSEQARTIKVLEKRVETLEREVDAAITAAARARSEKRQAEAAQKAAELRAQEVTKELENTTKVFELHMEELRAKQEEISKRDKEIKLLEAIIQTLGGTTDSHH >OMO53813 pep supercontig:CCACVL1_1.0:contig15153:79501:81763:1 gene:CCACVL1_28339 transcript:OMO53813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor 1-related protein MFGYDRVNNVGASKAEDIDLESGETLYPGISYGENQLRWGFIRKVYGILGAQLVLTTIVSSIVVLSPPVNELLRGSSGLLLFLALIPFILLWPLHIYHQKHPVNLVILGLFTVSLSFTVGLSCANTDGRIVLEALILTAAVVVSLTGYTFWASKKGKDFNYLGPILFTGLIILILTGLIQMFFPLGPTSVAIYGGISALIFCGYIVYDTDNLIKRFTYDEYILASATLYLDILNLFISILRVLRSTDN >OMO53795 pep supercontig:CCACVL1_1.0:contig15153:7552:9401:-1 gene:CCACVL1_28318 transcript:OMO53795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAVEPWRLCSPSFIFLPNHNKSHLHHFIYFPFCPLSQSHLRRRFCSYAARDSSSRRRGRSKWDSNAETIRAKGFSFNSQNEDDDDEEEEEEYEEMASSGILEEAIDSIWILKVFKSFGWTLPPILLSILFATGPKAFLMALAVPLGQSAITLAFQKLMGMSQGKQKRKARVRRKTKNTSPRTVKKAKMKEQAQEGQKSRKRTKDYQSWVVSDDTSVDESGQDASSFGGWDELDGMGSMRVSSTVARGSKTTSEEKEKLSMKRSTNDAPLLLRLLIAVFPFLASWTKLF >OMO53803 pep supercontig:CCACVL1_1.0:contig15153:42174:43521:1 gene:CCACVL1_28328 transcript:OMO53803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPPCFPNLISLKISIPPPAHVTNQFSLDTQSDDADQNSLFSESEFPASDSEVPFESQEFPMEIESHTEKRSPLPSPPPPKKAPSPWRSDATKATEYVNHCYKKCVKKQF >OMO53799 pep supercontig:CCACVL1_1.0:contig15153:21800:24073:1 gene:CCACVL1_28322 transcript:OMO53799 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 14B, plant MALNLAHQIGTLAGTQIPNEFTTGEQPSSAAANAAAVWKAPAANLRCNTTQENVSPPMLTPPLTPRSAASRSPLMSPMLRPDLSAACQAFATLAPAETAEEEADTAVAWKEGGRKEVKRGVPVYVMMPLDSVTNGHTVNRKKAMNASLHALKSAGVEGIMIDVWWGLVEREAPGAYNWGGYAELLEMAKKHGLKVQAVMSFHQCGGNVGDSCTIPLPNWVLEEIDKNPDIAYTDQWGRRNNEYVSLGCDTVPVLKGRTPVQCYSDFMRAFRDNFKHLLGDTIVEIQVGMGPAGELRYPSYPEKDGVWKFPGIGAFQCYDKYMLSSLKAAAEAAGKPEWGSTGPTDAGHYNNWPEDTTFFKKEGGGWDSLYGVFFLTWYSQMLLDHGERILSSATSIFENAGVKISVKIAGIHWHYGTRSHAPELTAGYYNTRFRDGYLPIAQMLARHGAVFNFTCIEMRDHEQPQDALCAPEKLVRQVAMATGAAQVPLAGENALPRYDEYAHEQILQASALNVDDSSGSEDREMCAFTYLRMNPSLFQPDNWRRFVAFVKKMKEGKDAYRCWEQVEREAEHFVHVTQPMVQEAAVALSH >OMO81476 pep supercontig:CCACVL1_1.0:contig10164:18266:18760:1 gene:CCACVL1_12394 transcript:OMO81476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLKQISSVTKIKFHNIQVIERSSADSNIVSKLEAQFPTLHYPKPETFSRGECTQNLVRFFAILSMQRSRSGWFETLLNSHINVSSNGEIFSVMERRKNVSTIVQTLERVYNLDWFTSASKNECFAAVGFKWMLNQ >OMO81475 pep supercontig:CCACVL1_1.0:contig10164:572:763:1 gene:CCACVL1_12393 transcript:OMO81475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILAYLLISASSAAATRVDDWQSNWGKDQFTEMASASIAMAFLAFLAFAFSSLISGYELCTHES >OMO85574 pep supercontig:CCACVL1_1.0:contig09603:2923:4218:1 gene:CCACVL1_10094 transcript:OMO85574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIEEIRKAQRAEGPATILAIGTATPSNCVSQADYPDYYFRITNSEHMTELKEKFKRMCEKSMIKKRYMHLTEEILKENPNMCAYMAPSLDARQDMVVVEVPKLGKEAATKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAIIIGADPDTKIERPLFQLVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLVEAFKPIGINDWNSIFWIAHPGGPAILDQVEAKLSLKEEKMRATRHVLSEYGNMSSACVLFILDEMRKKSLEEGKSTTGEGLDWGVLFGFGPGLTVETVVLHSVPLEATH >OMO56821 pep supercontig:CCACVL1_1.0:contig14475:38510:43776:1 gene:CCACVL1_26244 transcript:OMO56821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDYHHQAAGIFSFSNGFDRSQEQQQQQQHHLATQIRRDKLRVQGFEQPPPPLVGIEEEESSGLPVYETAGMLSEMFNFPPGVAAAAATASGELLDQSVQANYRTHRGHEWYSSNRQGVVGQLGESKSHNNHHQQLPSINADSAAAMHLFLMNPSHPRSPSPPPPPSATSSNTLHMLMPSPSNNSLQGFNVSGPGGGGGFATSTVLSPPPQFTWVHDSAQGGNTNPSEMGGTVVEGQGLSLSLSSSLQHLEAAKAEELRMGDGGLLYYNQGAGGSSSAAAAQFHYKNLSGHHLQQQGGVGGQNHQVHVGFGSSLGMVNVLRNSKYVKAAQELLEEFCSVGRAADRIEHQRRKVDRRYNHYCEQMQMVVNSFDLVMGFGAAVPYTALAQKAMSRHFRCLKDAISAQLKQSCELLGEKDGAGTSGITKGETPRLRMLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQETKEEDGDKERPNNSSNNSNNNAQTSTPSTTAGAGAGAGGAAAASTPTTPTTTTPAGKRSEINAHENDPSLIAINTQQCFSENQAKQSTIITTTTATPPCTSTTTATEVAPPISQPFATTPTTHDSDIHQRLVDDTCRRGSIVAADYGTTPGNTDIGSTLIRFGTTAGDVSLTLGLRHAGNMPEKTSSFSVRDFGGC >OMO56819 pep supercontig:CCACVL1_1.0:contig14475:695:3071:1 gene:CCACVL1_26242 transcript:OMO56819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLVATTLLLPTAFAARGISTHRFANVRKDEIGLPQCFNMCVYSDECEDGCEEDEDEASSLPAEA >OMO56822 pep supercontig:CCACVL1_1.0:contig14475:49659:52065:-1 gene:CCACVL1_26245 transcript:OMO56822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIRLTRVEQGQAKIRNVPIAVTPEGFWCCPSPVVFQKTLKSQNPLNKPKTSSPPPKTTVQKKQIPLTEKKPMTNPMRSAVTSDDQRTFTPDHPGISAPNVPERAPRPKVEHVPRKVAIEFGEPGTSDMRVILLGKQGFCVKLSVHKKVLLEHSSFFTDKLSEQELGLSCLEVDDCEDVEIYVETVGLMYCKDMKQRLIKQSVSRVLRILKVAELLGFTSCIQSCLEYLEAVPWVGEEEEEKVVSSVLRLQGEGIGVTPVLKRISSDASNPPKDTISHIMELVLKSNEERGRREMKSIVLKLLRENNSLPSYAGSADICNETVYTSCRSSLESLVSLFKQATEPEFTDKPGDNKEPVVKQIALEADNLSWLLEILVDRQSADDFAQMWASQQELATFHSKLPIVSRYHVSCITARLFVGIGKGELLPVKDTRQLLLQTWLQPLINDYSWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQSILLSWLGSFLKAGDNCPNLQRAFEVWWRRTFIRPYSDTQGNVLQSDSSMTSKQ >OMO56824 pep supercontig:CCACVL1_1.0:contig14475:57815:64086:-1 gene:CCACVL1_26247 transcript:OMO56824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVRSINEFPQPIAYSSHKVGLKMVRDLTVARRYIMQKLAVGMLNIIDQFHCEALIETARNVIVWKEFAMEASRCSGYSDLGKMLLKLQNMILQRYINADWLKKSFHSWVQQCQNAQSAESIELLKEELYDSILWNEVRSLGDAPVQPTLGSEWKTWKHEVMKWFSTSHPVSTTGDIEHRDTDGLSNTNLQVSRKRPKLEVRRADTHASQVQSNGSDQTMTVEIDSDFFKSREAVDVNMETPELFKEEDEREETTFVDTSTNLTDRWDNIVVEARDSELIHTKDVEIIPATDEVKSTSTLQIQPKEVELTPMNEAVAKKPVDTASKNRQCIAFIESKGRQCVRWANDGDVYCCVHLASRFIGSSSKAEATPPADTPMCEGTTVLGTRCKHRSLPGSSFCKKHRPKNDANNISHSPEHAHKRKLVEIFPSSETAYCRDIVLVGNTDSPLQVEPVSVIEGDSLHGRNSLIEKPEHSGKDHDSTQLHNCIGLYSQSGFDPCHESPKRHSMYCDKHLPSWLKRARNGKSRIVSKEVFIDLLKDCYSLEQKLHLHQACELFYKLFKSILSLRNPVPMEVQLQWAISEASKNFGVGEILMKLVYSEKERIQRLWGFTGKEGVPSSTFVEEPVPLLLPLNDSFDDEKTIKCKICSVEFLDEQQLGTHCMENHKKESQWLFRGYACAICLDSFTNRKVLESHVQERHHVQFVEQCMLLRCIPCGSHFGNPEELWSHVLSAHPAEFRLSKISQQQHNVSPGEESPRKVELDNAASLENNSENIGSFRKFICRFCGLKFDLLPDLGRHHQAAHMGPSLASSRHPKKGVRYYAYKLKSGRLSRPNRFKKGLGAVSYRIRNRATATMKKRLQASKSIDTDMISEEPHVSETANLGRLAESHCSAIAKVLFSRIHKTKPRPNNLDILSIARSSCCKVSLKASLEEKYGVLPESIYLKAAKLCSEHNIQVEWHQEEYVCVNGCKPVKDPDILSPLTPLPNGFGSHQAADSFDRVNEEWELDECHCIIDSQHYKQWPMQKVSVLSEDISFGKESVPVACVVDEGLSDSLCISGDSSNNQKARPSMPWENFIYVTKSLIDQSLDLDAESMQFGCGCSNSACCPEACDHVYLFDNDYEDARDIYGKPMCGRFPYDDKGRIILEEGYLVYECNRMCSCSRACPNRVLQNGGWGVRAGEPILSGTFVCEYIGEILDEQEANNRLTRYGKDGCNYMYNIDSNINDMSRLVEGQARYVIDATKYGNVSRFINHSCLPNLMNHQVLVDSMDSQRAHIGLYASQDIAVGEELTFDHRSELLSGQGYPCQCGASVCRGRLC >OMO56820 pep supercontig:CCACVL1_1.0:contig14475:6947:10408:-1 gene:CCACVL1_26243 transcript:OMO56820 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, LIM-type MGWLTKILKGSSNKGRYYGRHGDDRTWDEPRRSAEGSDGFDKDEIDCAIALSLSEVDQKGKKVIEDESEQEEESDEDERDAKAHLKDEDDQFSEGHLEGEEEDDRYAKAHVEEDEEEDKYAKAHVDEDDHYAKVQQEKDEERAKLQLEEDEQLAKAIQESLKVDSPPRHDHGGLFSPYPFFFSANYRVCAGCNAEIGHGRYLSCMGSVWHPECFRCHACNQPINDYERVNVAYGLRPNLSFFLVFLSYLLIFYKCIPTNPAGLIEYRAHPYWMQKYCPSHERDGTPRCCSCERMEPTDAKYISLDDGRKLCLECLDSAIMDTHECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKNGVHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYRFIDMITEPYRLIRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYPNLSPEVEEGICQVLAHMWLDSEIYASAGSEEASSSSSSSSSSPSSSSSSSTTSSKKGKRSDFEKKLGGFFKHQIESDSSTVYGEGFRQGNKAVTKYGLRRTLDHIQMTGSFPL >OMO56823 pep supercontig:CCACVL1_1.0:contig14475:54906:54974:-1 gene:CCACVL1_26246 transcript:OMO56823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHESSSSNLWGTTTTHHMKND >OMO61646 pep supercontig:CCACVL1_1.0:contig13435:22917:22997:1 gene:CCACVL1_23351 transcript:OMO61646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSHCFRVGVGKENASLTLGLTEVS >OMO61643 pep supercontig:CCACVL1_1.0:contig13435:10117:10527:-1 gene:CCACVL1_23348 transcript:OMO61643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQICALLIVFTLLFHSTFTTACGSCKPKPKPTPSPPPAPKACPPPPKPASCPKDTLKLGVCADLLGLVNVIVGTPPSSKCCALLSGLADAEAALCLCTAIKANVLGINLNIPVTLSLLLSACQKEIPPGFKCE >OMO61644 pep supercontig:CCACVL1_1.0:contig13435:16183:17815:1 gene:CCACVL1_23349 transcript:OMO61644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Synaptobrevin MAILYALVARGSVVLAEFTAASTNASAIAKQILEKIPGNNDTHVSYSQDRYIFHVKRTDGLTVLCMADEAAGRRIPFAFLEDIHQRFVRTYGRAVFSALPYGMNDEFSRVLSQQMEYYSSDPNADRINRLKGEMSQVRNVMIENIDKVLERGDRLELLVDKTANMQGNTFRFRKQARRFRNTVWWRNVKLTVALIVLLLIIVYVVLAFVCHGITLPTCLK >OMO61645 pep supercontig:CCACVL1_1.0:contig13435:18769:20778:-1 gene:CCACVL1_23350 transcript:OMO61645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVCLNSEPVFDEVDGYEGEGDCSIVENDDGTGAKPSNKEPPSPTVGLEFDSFDEAYDFYNVYAKEQGFGIRVSNSWFRSKKKERYRAKLSCSSAGFKKKSEANNPRPETRTGCPAMVVIRLVDSKRWRIVEVELDHNHQVSPQLKRFYKSHKKMIIAARKAQPPPEPVREIHTIKLYRTAVMDAGPNGCSSVSEREGIHPADHSKHLELKEGDAHAVYNYFCRMKLTNPNFFYLMDLDDDGRLKNVFWADSRSRAAYGYFCDTVAIDTTCLANKYEIPLISFVGVNHHGHSVLLGCGFLGHESVEYFVWIFRAWVKCMLGHPPQVIVTDQCKPLQIAVSEVFPNAQHCYCMGYIMQRVPEKLGGLKGYEAIKRQLNKAVYNSLKIAEFETSWADMIKQHGLGDNKWLQTLYEDRKQWVPVYLKDTFFAGMIPILENESLNPFFDGYVHKHTSFKEFVDKYDLALHRKHLKEAMADIESRNSCFELKTRCNFEVQLSKVYTKEIFSKFQSEVEGMYSCFNTKQVSVNGPIITYIVKERVETEGSEKEELLNRFNLVDDSLV >OMO71121 pep supercontig:CCACVL1_1.0:contig11725:30950:40181:1 gene:CCACVL1_18439 transcript:OMO71121 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97/Spc98 MAVETNFASLFEKLKVGDPWLPPRTWESIPSQSGPPTPPASHTHISSSSSVSESSLVRLALNALQGVESSLISIEKLSAAFCSDPADRTFHQTPSLWNRSSSTHALGNILKSIGRLGFLVFLLNKFVDYFKNLNLNGKSYPLGKSHGNSQAAGNQNYGGHEMQEKDGPRYSLVNQAFSVAVGKVLQGYICALDSLYASVNLRRSSENVEVSSGCLTSVVYSEVTLLEVYLHTKELRTQIEALGNICNLHDLALCFFEFSFEELTYKATMEFHNFYRGADLLSYLYRQLKVVDPAHSALLKFLFLRSCEPYCEFIRSWIFKAEINDPYKEFVVEYIDKLQQYSFGKVGISIDFPVASIKRDGVGVPCFLKDVLIPLVRAGQQLQVLMKLLEFRKYVDCGDHTYSDFLPCWSGFAGSHSFYASSITFGKENIEALVLRRNSYYERIQEKLETFLTGLEFSYQQVIFHGAESLFVGNGGGSVNTAASLTVDDKLVVTSTAQQSVSNVDSHMLTLIDRLQVSIAFERAVLLLGATSKERLLMIMHSSTKDGSCYSDIFESSSVSSLEEQIETEQLIEHHNDSVWPKQNYFSALSFSISTATDNSFQHTLQNENSYNMESGSQEFSEGRHGPANFIGPESNGIMYDHKSAHLESNCLCAEAEFADILPYKGWPIDSARGNAFYIDAGFRHANRLHVSGSDKKMIAGHMQFPDKVVQDFNESIACGDTSIMDTTNEDELEKNYTSQLFHLQPPKLGYNGSLLSKNPMLSKNVIFRMMSMPSDSSCMKYQKSLPCFDFSSVNDPCKVYIKRLNAGLTHELPESTSSSLINVNKQSYGGDVLLVDNAKVSHAAPPTFELKNQNQDIIPTVSGGRYWQSLLGCSSTPNISGIGDVKLNKSSMFEIPLDFVIDKCLLQEILLQYNYVSKLTIKFLEEGFDLQEHLLALRRYHFMELADWADLFIMSLSRHKWCITEVDRRLSEIQTLLELSVQRSSCEKDHHKDRLVVYAKGRGLMPLSTSTIGVHSFDFLGLGYRVDWPVSLILTPGALKIYADIFNFLIQLKLAVFSLTDVWCSLKVKDIMDLESVHMAYLTDSLHICFLSDETQPVASIIENILQCALDFRSCIAGGIWNVGLAECDLLEKISRINISQ >OMO71118 pep supercontig:CCACVL1_1.0:contig11725:5818:5895:-1 gene:CCACVL1_18436 transcript:OMO71118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQQPRPKKASTKSKMLDINKKAQ >OMO71117 pep supercontig:CCACVL1_1.0:contig11725:3483:4370:1 gene:CCACVL1_18435 transcript:OMO71117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLVKGQPMMLYLTSTNESIGGLLVQEVEGVEKPVYYISRCLHGSELNYSPMEKHCLSLVEVTRKLRHYLLAHKLIVVTKSNPIKYLLSKPAMAGLASRWFLMLAEFDISIMQPKAVKALSDLLAYFLLKSLHEEILPDDFPGVVHTEVCHIEPSYGECHLFFDGSSTTSGGHAGIVLIPLEARRENEEVLSFAFKLDFSCTNNQAEYEALVLGLHTARIIGVDELCIIGDSNLVVKQTNGEFSLKEPMLAPYRDLVRSFLDKFQSVRYEHSPRSSNRYADALATLASKTNMPD >OMO71122 pep supercontig:CCACVL1_1.0:contig11725:56226:56318:1 gene:CCACVL1_18440 transcript:OMO71122 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative aldehyde oxidase MGEQTKTVTTTTKTEQSLVFAVNGQRFELSE >OMO71120 pep supercontig:CCACVL1_1.0:contig11725:26422:27819:1 gene:CCACVL1_18438 transcript:OMO71120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALLRYRLRFETLRTQLRSLVDSSTKTRCDADQIWDLD >OMO71119 pep supercontig:CCACVL1_1.0:contig11725:7760:24074:-1 gene:CCACVL1_18437 transcript:OMO71119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMFGKWAGGNRIVPHTPRCLRWPPHVGNALHRFEYGVNVVVFIAKVHGGTCTQAFSGDTCCSRVGKWVSWREPIEFKLGVHGQLKSGSC >OMO61424 pep supercontig:CCACVL1_1.0:contig13489:10105:11169:-1 gene:CCACVL1_23523 transcript:OMO61424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MFPNASILMTTLWYLTKGSKIAQEQHQHPYNTRFRARTMADEREAALQAEIQGVKKDQGEMKEQLNSQRNQMDRMMAMMEILTQLMKGNGKQEEQNPVSEGQKMVGLGMDDGPSSATQTTVLPTTQTLPTSSSQSLFPPQASSLYPYPPYLLPYVTQDSGAFKPRPPTDTSFISDPNTMAIKPTESPVTEKSKKDTSEDSKHLFNVLEERIKGLEGPYGYYDVMDASELSLVSGLVVPEKFKVPEFEKFDGTKNPQNHLRSYARKMHPHTQDDKLLIHCFQFSLTDSASVWYNQLEPNKINSWADLAKAFMTQYRYMLDLAPTRESLRNMCRHLFLSCILQFKSIYFLIGVRIT >OMP05487 pep supercontig:CCACVL1_1.0:contig05359:5749:8702:1 gene:CCACVL1_01878 transcript:OMP05487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSLFLRQAASMVQMVKKNNEKYIEEIELTSIKRRKKDFMPLYNRTASSDQSID >OMP03492 pep supercontig:CCACVL1_1.0:contig06061:1057:1119:-1 gene:CCACVL1_02400 transcript:OMP03492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSPLVKWLKKTLARRLGG >OMP03493 pep supercontig:CCACVL1_1.0:contig06061:4228:5155:1 gene:CCACVL1_02401 transcript:OMP03493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLSSGEASDNKKAHGQNRKKKDTEERNPHRTNPQTTKLFSFTDYSDDDI >OMP03494 pep supercontig:CCACVL1_1.0:contig06061:5474:5671:1 gene:CCACVL1_02402 transcript:OMP03494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEIPRVYSMGIIRNTVRFQTQHKRCNPPFHLKDHRNTKANLDPAQRCIQERGARQQLLHNFHRE >OMO57362 pep supercontig:CCACVL1_1.0:contig14389:8526:8846:1 gene:CCACVL1_25809 transcript:OMO57362 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:ubiquinone oxidoreductase, ESSS subunit MAFSTMLRQRLLSRSFSSHAGPSRWATPGHQERPNGYLFNRTPPPPGQSRKWEDWELPCYITSFLTIVILGVGLNAKPDLTIETWAHQKALEKLAAESSASSSSSD >OMO51931 pep supercontig:CCACVL1_1.0:contig15674:7921:11689:1 gene:CCACVL1_29488 transcript:OMO51931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGVQKCQNPFGIQNCDGYFLVLDELDSSNTEGDYGYSDSTNVTPRRSSVRKTGNLKEIYSLGRKLGQGQFGTTYLCLEKATGKEFACKAIAKRRLTTKEDVEDVRREIHIMHHLAGHPNVIQIIGAHEDAVAVHVIMELCAGGELFDRIIQRGHYTERKAAALERLIVSVVVACHSLGVMHQDLKPENFLFISQDEEPPLQTIDFGLSMFFKPGETFSDVVGSPYYVAPEVLKKHYGPECDVWSAGVIIYILLSGVPPFWDETVQGIFEQVLKGELDFISEPWPNISASAKDLVRRMLVRDPKKQLTAHEVLCHPWVQENGVAPDKPLDSAVLSRLKQFSAMNKLKKIAIRVIADNLSEDEIAGLKQMFKMIDVDNSGHITLEELKNGLERVGANLKDSEISHLMQAIFDNSGTIDYGEFIAAMLHLNKIQKEDHLFAAFSYFDKDGSGYITPDELQQAVSSLA >OMO51932 pep supercontig:CCACVL1_1.0:contig15674:20507:27917:1 gene:CCACVL1_29489 transcript:OMO51932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper amine oxidase MASPVSVKEYVLLDRNAKPISMCVLPLWSEKTKSIGDLKTDFYLEGVTGNGVPIKKITEAVIAWRFELSSPQPEIFVLSKEGELWFALQNPRKSFETTVRQVLVTVHWMHFMKWKYDKVKAPEISVWNHLQQDLRAHDDGGPGQNGGGEISDTSRGIPVPKSSGTDYRYSAQKKPPQMELLNPISIEQPKGPSFRIEDGHRVKWANWEFHLKADQRAGLMYKGFASELFLPYTDLDESCELGSSELTSVFTHQLSEEEVRDQEWPARSHDDEVDDVLDMMGVRRNIREQNRMFRSYGVAVALWPIHWFPREEDIPFMLRRDLASQGTLSVIRDHRVVFSNGWLRGYEDAAFL >OMO51933 pep supercontig:CCACVL1_1.0:contig15674:28665:28940:1 gene:CCACVL1_29490 transcript:OMO51933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVVQTHLEFKTVMGISWYSTSFRAATLKAITASPIDELFRRISPLGNQSISIVPILDQWVAEGKPVDKKRHLQLCIKLLRQYKRYSQTLE >OMO51930 pep supercontig:CCACVL1_1.0:contig15674:5306:7346:1 gene:CCACVL1_29487 transcript:OMO51930 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical AIMFIEYDSSLSNKKKKQNETGRAIGAADAYIKVGCMEKALAMLKKSEALSKGKIEAYNRLLTQYATLGKKEAVLRNKMEKAVDAMKEAILISKPWWKPSDESLAACLKYLKGEGDIDEAEKT >OMO61800 pep supercontig:CCACVL1_1.0:contig13412:31294:35373:-1 gene:CCACVL1_23237 transcript:OMO61800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKETELLSRLAANHLHLAQFEPLRATLLSLRAKNPRHDCRQLGSVRQHCLVTFLPISVSSSVSFDPRTLAIQRSYFHMELRSRYVAATSGICVIEKEKECVSEGFEEDKPEILDRSEDLKEGSDELGDCIRVLDMFLELGMMRLRPDVVMEGVDGDGAKESKVVPEKVLIEENEMGCLRKVIMDYADAFDALCGNIQRQLKGLEVIYLGMAIMVRREEKVRLDACDEEHKTVLGSIQKSVQLAHLDAIKDCMKHDDIEGVVSRIRFLHLDYGVDEVEYRVELKKVLGVQ >OMO61801 pep supercontig:CCACVL1_1.0:contig13412:37034:41814:-1 gene:CCACVL1_23238 transcript:OMO61801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYIYMLDYDFGHMEAVSLISAPNCPEKQVGYIVISGLLNENPNFLRLAIKTLRNDIIDENETFQCVALTMVQNIGGREFAKSLAPVVRKLLLSSCCGPLVRKKAALCLLSLYRKNPDVVNVDGWVDQMAQLLDERDLGVLTCSMSLFVGLVSNNHEAYWICLPKCVKILEHLARNQDVPQEYTYYGIPSPWLQVKTMRALQCFPAIDDPKTRKTLFKVLQQILDTARNDNENDALHAVFFEALALVMHLDDEKEMMSKSVELLLGKNIAFPEPEPNIRYLVLKNMTRMLMATDLQDIIERFQALSLEHLHKDEDPYKKPIEDEDTIIEPYIQSSIDSAERFPDLCLKDSGVLYDDPNIEICFKAEWQFYDGHLVLTFQNKSTTTDLVSVQAHIVPSDNLMMNLTPVPDTIAPCEKVPCLLSVANLWPSGDVAILKFSYKFGKRMVMDKLSIPVVLNTFFRPIKEDFEELKRQCKLITGPLLKVQGEAKLDRPVSIFDMAHLLNSIRIVIYPFDANSNVLVGSTRFYSWYTGPMLCMIEIQIDPAKRTDLTIYAGSGDYKITHGLMTFLESYFMSPLMEKSSNYS >OMO61798 pep supercontig:CCACVL1_1.0:contig13412:26837:28244:-1 gene:CCACVL1_23235 transcript:OMO61798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMIKQALEGLKTQDAIDAAIVGMLADPKEVQVVQISVQ >OMO61796 pep supercontig:CCACVL1_1.0:contig13412:8860:13235:1 gene:CCACVL1_23233 transcript:OMO61796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYLESQAVVAREATKFLLIGKIISDKPVNRRGAMGVLRSMWPSRDCPVITELGSQTYGLAFNSEDLMLQAMIDSPWNVMGFCLILKKWEVEKSISEIPFHKVQYWIQIHGLPLEMQNLNNIKKIGSTIGSIIILEKPEWNQGKGRCYMRDRIEIDARKPLLPGFWVPRIDVSDLPRDNVYGPWLKAAPVRSTMDDRMIFVDEHGVPELPMIGTVVEDGKLVHYPSVLEPVEKSILVRAVARESDNPGEFSNSQARGGILGDNQGACGWEQAFGKEGQACRRVGLDFEASGSCSHSMKGRCTTSADLESGFVGGEHVDILAQSGREKNALIVESSSSLPKEYLLENLVTNSTSEVIVNSVASPLKYIVEPQLADPSMRINAISRESLYHVDIGLVSYFRNLNLKRSFNDAMKQNEYSSKRVWAEGQNGAMIKFISEERCYSEEVNIEKEAATDIKSERVILGRRRRTSTMLAGGSRLKEIPVMANVAYLMALQCSEALTVQALKELKRKNDPQLFYIMETKNKRSYMKGLKRKLKFSHDFYVDPDGLSGGLALWWTDDVKVKILRSCKNLIDTSVIDVKNGNVSRVFWVYGPPESDERNKIWHLVQRRMEDQGQKFTWIGKRDEMIIKERLDRALVNTEWLEKVPRSFKFEIMWTEKEECEQVIRDGWSSEFQGSKAYILVQKQHRCRKALLDWSRKEVAIYSGEIGNFDVDFGLEDGRSGLCTSKESCRARS >OMO61797 pep supercontig:CCACVL1_1.0:contig13412:13822:20830:1 gene:CCACVL1_23234 transcript:OMO61797 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MKKIADIQDNDGSVESYKTVENLVTELKEMWTREEQYWFQRSRIKWLREGDANTNFFHQTTVERKQFNKILSLKAEDGSWIEKEKDIVSSFEQFYSELFTSNGSKNWDQVLLHVPKKVTDQMNEDMVKEVSDEEIKEAAFELGATKAPGPDGHMLHEVNNTNIVLIPKTKNPESVTQFRPISLCNFVYKIISKVLTNRLKPIMDLVITQEQGAFVGERQIQDNILIASETFHFLKLKKKGRNYDMGLKLDMNKAYDRVEWGFLAATLEKLGFCSKWIKWIMECLSTISYTLVINGKPSGRVFPSRGLRQGDPISPYLFLFVVDVLSRMVHSAVSVGVLNGIKLSRNCPPLTHLLFADDSLFFLAATKENCAGMDWILKAYCDASGQMVNLQKSSIVFSSNTPAEVRSQIEDALQIPGADNPGRKILEEHMRWQVINGQSVNLWKDRWIPECGAIQQHHEIWRDNLPVKVAEIMDKEEGVWKLEEIKSELHPNIIRSIEKLPICYSNEADRMVWPHNKDGSYTVRSGYFAIKESCPLTSASTSSSHQARCAACFEKKGLIVETVIFLAERAALELMNAKEYRDALVKCRKKVVSATHWEPPEDGRLKLNCDGAYEESTGMAAIGVVLRDEHGIIRGGVAKQMSVSSSIEAEALAVKEPGEEPCPIDPWIVVPDRIKMYLLGSSPEKCYSLWTGDFGSNNCTSIKEQLQLEPYIRMVGMEETNEANSRGLPLPHKKRWLKNLRNLPQIKIHIKPYAPRLLPLYLVMHDDVKKAVACLLFGGARKLFGKGSSAAGLTASIIRDSSSREFHMVLADGGVVCIGEFDKMRPEDRVAIHEAMEQQTIYIAKAGITTVPNSRTWVLAAANPPSGHYDDLKTAQDNIDLQTTILS >OMO61799 pep supercontig:CCACVL1_1.0:contig13412:28820:28924:-1 gene:CCACVL1_23236 transcript:OMO61799 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative homeobox protein knotted-1 MSNDQDDLQLDFSLDQSGADGHDLTDFVPYFLLI >OMO66885 pep supercontig:CCACVL1_1.0:contig12491:26203:28570:1 gene:CCACVL1_20945 transcript:OMO66885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHSRFLHSFAFLLYATLISLSSSTLESDFSIIDSDSDSEVLLFHQDYSPPAPPPPPPHAPSVSCTDDLGGVGSLDTTCKIVDDVNLTRDVYIAGKGDFYVLPGVRFHCPIAGCSITLNISGNFSLGENSTIVTGTFELAASNATFFNGSAVNTTGWAGDPPPQTSGTPQGVDGAGGGHGGRGACCLVDDGKLPEDVWGGDAYSWSSLQEPWSYGSKGGTTSKEADYGGGGGGRVKMEVKGLLDVNGSFLADGGDGGNKGGGGSGGSSLGCPDNAGAAGTLYDAVARSLTVDNYNMSTDTDTLLLEFPYQPLWTNVYVRNYARAAVPLLWSRVQV >OMO66883 pep supercontig:CCACVL1_1.0:contig12491:13059:14153:-1 gene:CCACVL1_20943 transcript:OMO66883 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MMIGEPARPNPTVKIPAWDPFNDPTANFPSNFNCNAPSSPCPVDYLTSLHRYLPSNDFESDSFSEDSDLPVDAFSCDHFRMYEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKFHYSGTACPDFRKGNCKKGDSCEFAHGVFECWLHPARYRTQPCKDGTSCKRRVCFFAHTPEQLRVLPPQSPSPRGNGSGSGDLDYVGSPVRHRFDMVSSPTSILVSPPVSPPSDSPPMSPCGSFNSASELVANMRNLQLGKAKMSGAGACSWGLQMGSGYGSPRGSTLRPGFCSSPSTPTRTPTRSGLAQFDLWECNAFEEEPAMERVESGRDLRARMYAKLSKENSLNQLDPTGSGPDVGWVSELVK >OMO66889 pep supercontig:CCACVL1_1.0:contig12491:50950:51972:1 gene:CCACVL1_20949 transcript:OMO66889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTHGKMFEGGVLNVGEELKEETLPLQMGSRLYQLQGLKSLTWYEVKISYPASIPASFSLQLKKGNSDSGLNRNRRLLNTEKLIFKTDSLDTIDDQGGLYVLVNVEPEGFVAISNAKEREFIIFNIVCDELLLGIPYKAWWVVVFALLCLGLALIVPRYLPSYLLGTQDVSKQS >OMO66897 pep supercontig:CCACVL1_1.0:contig12491:90443:91150:-1 gene:CCACVL1_20957 transcript:OMO66897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDIGGAFGMGAVGGAAFHFLKGTYNSPAGARLIGGTQAVRMNAPRVGGSFAVWGGLFSTFDCTMVYLRQKEDPWNSIIAGAATGGFLSMRQGLGAASRSALFGGVLLALIEGAGIMLNKFLSQPQMPIMIEDPPPNVAGLPGFPMGQMPNQVPASVDSLRQGSSSSASPSSAASSSSTSSSWFGGLFGGGKKQESTGSGSKTEVLESFDAPPVPSFEYK >OMO66887 pep supercontig:CCACVL1_1.0:contig12491:38570:39765:-1 gene:CCACVL1_20947 transcript:OMO66887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl/glutamyl-tRNA(Asn/Gln) amidotransferase, C subunit MGSRALLMLSNFKFKPSFAFSSSSKSFFLINKNPKVRNLSTKTRSSLEPPDVPRLAETARISLSPNEVEEFAPKIQQVIDWFGQLQAVDLDNVEPAIRADTQGDNLREDVPETFENKEALIASIPSYEEPYIKVPKVLNKE >OMO66886 pep supercontig:CCACVL1_1.0:contig12491:29753:37277:1 gene:CCACVL1_20946 transcript:OMO66886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESSVIQSNANLGVHGQGLLNLSGPGDTIQAQRLVLSLFYSVHVGPGSVLRGPLENVSSDAITPKLYCEQQDCPVELLHPPEDCNVNSSLSFTLQICRVEDVTVEGLIKGSVVHFHRARTISVESSGVISASGMGCTGGVGKGNFLENGIGSGGGHGGKGGLGCYNDSCVPGGISYGNSELPCELGSGSGNESSADSTTGGGVIVMGSMEHPLSSLSVEGAVRADGESIEQTVWPQEYSVSDNSSLAPGGGSGGTVLLFLNTLTLGKSAALSSVGGYGSPKGGGGGGGGRIHFHWSDIPTGDVYQPIATVKGTIYARGGFGRGESGGGENGTVTGKACPKGLYGTFCEECPVGTYKNVSGSDSSLCRPCPDSELPHRAIYIAVRGGITETPCPYQCISDRYHMPNCYTALEELIYTFGGPWLFCLLLIGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRVEESQSHVHRMYFMGPNTFSEPWHLPHTPPEEIKEIVYEGAFNTFVDEINAIAAYQWWEGAIYTILSILAYPLAWSWQQWRRRMKLQRLREFVRSEYDHACLRSCRSRALYEGLKVSATSDLMLAYVDFFLGGDEKRTDLPPRLPQRLPMAIIFGGDGSYMAPFSLQNDNILTSLMSQLVPPTTWYRLVAGLNAQLRLVRRGRLRVTFRSVLQWLETHANPALRLHGVRIDLAWLQATPGGYRHYGILVYSIEEDNEPVSLGNTDGGIRTELPSRFKTTYRQNQSGNLKEDALLTQDHGSTEGGFARRKRSYRGLIDTNSLHTLEEKRDLFYLLSFLVHNTKPVGHQDLVGLVISMLLLGDFSLVLLTFLQLYSISLVDVFLVLFILPLGILLPFPAGINALFSHGPRRSAGLARFYALWNITSLINVGVAFICGYIHYSQSSSSKRIQPWNINMDESEWWIFPAGLVLCKLFQSQLINWHVANLEIQDRSLYSNDFELFWQS >OMO66888 pep supercontig:CCACVL1_1.0:contig12491:40250:50218:-1 gene:CCACVL1_20948 transcript:OMO66888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MFSIAAINDTDSKSQWEPLAPTKEAQEFHLTQTYHEGLLKLQAKEYEKARELLELVLKDPLISNVQVDSNTTDGHLLQLKFLALKNLATVFLQQGSSHYESALRCYLQAVEIDNKDSVVWNQLGTLSCSMGSLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVAELILRHWPSHSRALLVKSTIEETELVPFAPRGIDKLEPKHARLKFHDKRKAPDEDLDEGSALKKLNQNIDLQLTEASWAALADALVGILLPLKGCGLEVGSGKLRRSGDVRLSILVTPSSEVVMEPFEKKVPAFTTSCDMPPSDCDIERASNMKEKEPNFLEDQPQERRSTRLRSRKPDKEEIDFSVCKDLAKVVLQFLEPFVISRPEDKDSDNAVNANYSITCTDKAESLDMECKDVANFVRETSKNYGAYHMSHLLLEHAASRCLVHAEAHVKYLELEKLTRHWGQDRTPECSLFLAELYYDIGSSPSNSSKLSEFLSEASYHLCKVIESVALDHPFHMTTSFGNENCSSLKIFQGTDAISPNNCESSSLDGFLLSNKSSFWVRYFWLSGQLSALDGNKAKAYEEFCISLSLLAKKEKPNNSLCMVQLPHCKIIKELTVERILHEINLLKVDFLLEKTIGEMIEKEMYLECVTSLAPLLFSVNCVSPLPAADQKGEGITSVELSALDILIKACEKINPMDFEVYLNSHIRKLQILAALAGMGECISFCKPFHQKSRTEMLSGSEMVSRHSSRKHWKELVAEEVKAISQCVSQMKNFIDQSGDSNGTFLVSVIGDIQSLLLAIMYSIANNVLCKKSSMPVILDQLEQKQSNCFIDAAIAFCKLQHLDTSVTIKTQVELIVAIHDLLAEYGLCCAGSGGEGEEGTFLRFAIKHLLALDMKLKSSFNSSKRETSPFDIQPTCDSDVKTSQNETRTQSCSGQENDNAVVHEKQCTNDDKISLGEGSSDEPDECTNELTEDEKEDLELTIENALDQCFFCLYGLNLRSDSSYDDELAVHKNASRGDYQTKEQCADVFQYILPSAKASSRTGLVKLRRVLRTIRKHFPQPPEDLLAGNIIDKFLDDPDLCEDKLSEMAGSEGYLETIKKMLFPNGGSLKQYKASSFQSSEPYLEVYSNLYYFLAQSEEMNATDKWPGFVLTKEGEEFVQQNANLFKYDLLYNPLRFESWQRLANIYDEEVDLLLNDGSKHINVSGWRKNTTLPQRVETSRRRSRRCLLISLALAKTSDQQCEIHELLALVYYDSLQNVVPFFDQRSVVPSRDAAWRMYCENSLRHFKKAFMHKQDWSHAFYIGKLCQKLGSSIETSLSYYDKAIALNPSAVDPFYRMHASRLKLLWTCGKQNLEVLKVISTYSSSQSVKDDVMGIISGMTPATSTAQEGMMDQSCLADLEEKPIDDTKKMEEVWNMLYNDCLSALEICVGGDLKHFHKARFMLAQGLYRKGGRSDLLKAKDELSFCFKSSRSSFTIYMWEIDGMVKKGKRKTPNLAGNKKALEVNLPESSRKFITCIRKYLLFYLKLLEETGDICTLDRAYASLRSDKRFSLCIEDIVPVALGRHIKALVLSMNQVEPARDAASSFEHKLEKIFGLFMEQGTLWPEICCLPEIKSSEISEGTLYGYLHQYIVSLERNGKLETVEAINERIRKRFKNPKLSNTNCAKVCRHASVAWCRTLIISLASITPFQSGFSSEIQTLNQADGALESSHQLCIDLQTHEIWNSSFEDSTHLESLQTKWNPTLTKINNIIIKKASDGDLETANALLKSSYNFYRESSCVMLPSGVNISLVPSRLVKEQQFPSSMEGVETLDLSIPRKLLLWAYTLLNGRYASISVVVKHCEETAKLKMKRGATTSPAPQSTNTPTSVSNHTAVGSSKDTSSQVVGSEPEAAPATSAAPAQVPEGENRRSTSPLPSSSEGQRSLIVAPQPQPFNSNEGERSSVPHEGDPIPNKG >OMO66890 pep supercontig:CCACVL1_1.0:contig12491:52481:52921:-1 gene:CCACVL1_20950 transcript:OMO66890 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin MSTATDQKKPITQKLYESAPSSRQAAKFVTATTLGGALLFLSGLTLTGTVIALIMATPLMVLFSPVLVPAGIAIFLVVSGFLFSGGCGVAAISAVSWIYNYVQGKHPPGADQLDYARHKLREYGQYVQQKAQEVTYGHGTYSQLGL >OMO66891 pep supercontig:CCACVL1_1.0:contig12491:54125:56526:1 gene:CCACVL1_20951 transcript:OMO66891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAETHPQQQQEKVSSEASAAEKKRWTLSDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLQQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAAKGELYKELQRCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGVLCYEFLYGVPPFEAKEHSDTYRRIVQVDLKFPPKPIVSSAAKDLISQMLVKDSSQRLPLHKLLEHPWIVQNADPSGIYRA >OMO66893 pep supercontig:CCACVL1_1.0:contig12491:63773:67616:1 gene:CCACVL1_20953 transcript:OMO66893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early nodulin 93 ENOD93 protein MSWQAYVDDHLMCDIDGLHLTAAAIIGHDGTVWAQSATFPAFTPQEINAIVNDFAEPGSLAPTGLFLGGVKYMVIQGEPGAVIRGKKGAGGVTVKKTNQALIIGIYDEPMTPGQCNMIVERLDERRLRAARFSQEGILAGLKAAAITAVVSAVPTLIAVRKIPWAKANLNHTAQALIISGASIAAYFITVDKTVLESARSYSRAQLDKTEDPKA >OMO66892 pep supercontig:CCACVL1_1.0:contig12491:57149:60756:-1 gene:CCACVL1_20952 transcript:OMO66892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPRDTQTKLVPGAAGFVLEDVPHLTDYIPDLPSYSNPLQDNPAYSVVKQYFVNVDDTVTQKIVVHKDSPRGVHFRRAGPRQKVYFESDEVHACIVTCGGLCPGLNTVIREIVCGLHDMYGVRKILGIDGGYRGFYAKNTVTLTPKVVNDIHKRGGTILGTSRGGHDKSKIVDSIQDRGINQVYIIGGDGTQKGAAVIYEEIRRRGLKVAVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINSAHVEAESTENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGSGGLYEFIEKRLKENGHMVIVIAEGAGQDLLSESLQSTDQQDASGNKLLQDVGLWMSDKIKEHFAKKKMLITLKYIDPTYMIRAIPSNASDNVYCTLLAHSAVHGAMAGYTGFTVGPVNGRHAYIPFPRINEKQNKVVITDRMWARLLSSTNQPSFLNPRDIADAKKEKQPATGLLDGENCKDKKVSS >OMO66895 pep supercontig:CCACVL1_1.0:contig12491:77336:82580:1 gene:CCACVL1_20955 transcript:OMO66895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRSPNGSLPQQSQPPKKYGITKPISLAGPSEVDIQRNKELEKFLIESGLYESKEEAAKREEVLCRINEIVKNWVKQLTRQRGYTDQMVDEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHDILAEREEVTELQPVPDAHVPVMKFKFQGISVDLLYASISLLVVPDDLDISHGSVLHNIDEQTVRSLNGCRVADQILKLVPNVENFRMTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSISTLRVMIEQFQCGNKICEEIELNKAQWSALFEPYLFFEAYKNYLQVDILSADADDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYVDTSKQFPHCAFFMGLQRKEGVSGQEGQQFDIRGTVDEFRQEIGMYMYWKPGMEIYVSHVRRRQLPSFVFPDGYKRPRSSRHASQQNGKGCEDITRSRSGSAERQIKRKHDDLAAEEKLDKPEKRASISPQRLVSISPESSAGRSGGTSQISNGQVVKLEQSTAGDVNSNSVLRLSNGQLDTEQRNAELTVEQASTADQGSIATSGQTSLDVPNLSVRNVESAEPIGEPDQRKELLSSFEVPIEPSGTCKTGLNHDRSGNLESAYMDDAEVGSSRKILNWKGGSVGVDQDVVKPCNQTAVVEIAESVFGSSSNAQNLNCEGGVCTAELDSLLENGHLNGSGVFRNSLSEELKPTIALGKAVNNQDGARYVPEYDWTWGVCLAMWVANLAYILAVLCLYLRPEAIEVCWCFARSLLMFAGRKTGIIIFHHSNVVAIDMLLPPHL >OMO66884 pep supercontig:CCACVL1_1.0:contig12491:19774:23539:1 gene:CCACVL1_20944 transcript:OMO66884 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma-70 MGFRLNLKGVFPVPSHFLANSSPSRLPSSVGGREASFNSGRPSFLSVNSDEGVLLAKEPPKAYASSAIPQTLENGCFEIEEMKVNIGKGSQSHTKIDKTHEPIEGKKSSSRTSPQACNASHYSLLMENLDVLEETFADSDVLTLEREIVLQLGRLGALKLFNICFSRTIKASSVLDLSDVPASSGEFNTNGSTDSQKDKIVVRSRKKKPRQRRRDKLLEKPTTVSSQVLPLKTLHGRLKMPNVSSGKRVSDSRSRRLMIARNEAEMSKGIKVVANLERIRTTLEEETGKVVSLSCWAEAAGVNEKVLQQQLQFGWYCRDELLRSTRSLVLYFARNYRGLGIAHEDLIQAGSVGVLQGAERFDHTRGYRFSTYVQYWIRKSISRMVARHARGIQVPCMLSKAIVQIQKAQKALSQSHGKNPDEDEIAKFTGLSLAKIRSANKCMRIVGSIDQKIGDCMNAKYLEFIPDLSIRSPEKTVMRQHMEKDIYDLLNGLDARERQVMVLRFGLKGSPPRSLEEIGRLFHVSKEWIRRIERKAMTKMRDTETCRNLSHYLDL >OMO66896 pep supercontig:CCACVL1_1.0:contig12491:83284:86429:-1 gene:CCACVL1_20956 transcript:OMO66896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLALPFLFFLFLSLSIPLKVISQDINSERTLLLNLKQQLGDPPSLASWNASSSPCGWPEIFCVNDSVTQLYLSDKNIVVRIPPTICDLKNLTYLDLSWNYIPGEFPTMLYNCSKLQYLDLSQNYFVGQIPDDIHRLSTLTYLDIGGNNFSGNIPPTIGRLSELQTLFIYQNLLNGTFPKEIGDLSNLEKLGLAYNDFIPMEIPQEFAQLRKLKFLWMIETNLIGEIPEFFNNFSSLEHLNLAGNNLVGGIPSQLLSLKNLTHFYLYHNKLSGEIPRPVEALGLVEIDLSMNNFTGTIPEEFGKLQSLVFFNIFSNRFTGELPPGMDRLPNLTTFRVFRNKLTGFLAPEFGLHSKLGEFDVSENQFSGQLPENLCAAGVLAGLVAHTNNLSGQVPKSLGNCRALRTFQLQNNKFSENDFSGEIPPEIGNLIPTFLNLSSNRLTGRIPYQLNNLAFERSFLNNSGLCSDDPVLSLPSCSYKPSGPKKLSSKLVAMIVALALLASLVILLLTLFVVRDYRRKKRERYLSAWKLTSFHRLDFTEGNILSNLTENNLIGSGGSGKVYRITVNRADENMSLVEWAWQNFSEDKPIVEMLDPQITEPTHLEEMIMVYKIGIVCTRASPATRPSMKEVVHVLRGYCPPDENGARKLGSDFDVAPLMGSATYLSGNNKRSKRDDSDSIIYNVV >OMO66894 pep supercontig:CCACVL1_1.0:contig12491:68400:72342:-1 gene:CCACVL1_20954 transcript:OMO66894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cof protein MLPRLSSLLRPLHPPPSSQMASAISRATAIERSPITFSISRSSSNLSPIRYAASFDVSSSSKSPLFGRRFHALCREDGRRLSRTTPIISASNREYRKVRRRPAKSKEKQLELSVGICIEEELPDDPEILSIAELLRLNVPMAMKLAFDRLKDSNYKTRDTAIQDLGGFESVELSVLLCNDDFIRKLNKEWRDEDHATDVLSMSEHIPELKLPILMMGDIVISVETASRQAEERGHGLIDEIRILMVHGLLHLLGFDHELSEDAEEEMEEEEEFLLKSLGWKGKGLIQSAYDAETNANLHMENSNDRKKEDSLRFYKPKFKYIFCDMDGTLLNSKSQVSLANARALKEASSRGLKIVIATGKARPAAISVLQMVDLAGKDGIISEHSPGVFLQGLLVYGINGREIFKRNLDPNVCREAGHYSLEHKVPLIAFCEDRCLTLFDHPLVETLHTVYHEPKAEIMPSVEQMVNAADIQKLLFFDSAEGVSTMLRPYWSEATRDCASVVQAVPDMLEIVPLGTSKGSGVKMLLEHLGVSAKEIMAIGDGENDMEMLELASLGIALSNGSEKTKAVADVIGVSNDEDGVADAIYRYAF >OMO66882 pep supercontig:CCACVL1_1.0:contig12491:2876:4493:-1 gene:CCACVL1_20941 transcript:OMO66882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPATKKRDCPLSFVSFVSSSFSCSNFTLVPSAAANTNINDVPSAQQGSPAATGLQPNLLPKAEPYSTSESHINIPAAAAAALLPVNLSDIGGYGFSVNSSTPLDQVMHFSHPEKVTHILSQSGASATNLENSLNINNVTNSSQEGSSINSDSTSLAMESNILMDHFSYDFPYEFVNGSNYIWSSSQDQKAGEENIGGVPSCYDSLADFSHADNMKPQGLINQSVANQY >OMO61221 pep supercontig:CCACVL1_1.0:contig13558:91793:94839:1 gene:CCACVL1_23676 transcript:OMO61221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Survival protein SurE-like phosphatase/nucleotidase MENNKYTDNCDDEKPTVMVTNDDGIDAPGLRALVRVLVASNRFRVLVCAPDSEKSAVSHSITWRHPISAKQVNIDGAIAYAVSGTPADCTSLGISQALFPLVPDLVISGINMGSNCGYHIVYSGTVAGAREAFFNDIPAVSISYDWVGGRSSVDDYTLAAEACLPIFRAIVAEIRNKSYPLGGFLNIDLPTDVANHKGYKLTRQGKSIFKMGWTQVVSERQGGKMLSSMEMESDSTAKTESDTTTVTAGDLLFKRQVKGAQIDDFDSDKRSLQEGYITVTPLGAVSQAEDDCRSYFDEWLPSVAQRFSSSAL >OMO61217 pep supercontig:CCACVL1_1.0:contig13558:35086:35184:-1 gene:CCACVL1_23672 transcript:OMO61217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPEALAKGSPPEEVSRALKISFKRVKRSKG >OMO61220 pep supercontig:CCACVL1_1.0:contig13558:84002:87063:-1 gene:CCACVL1_23675 transcript:OMO61220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGETSFEQGMNSDTSLEPDNSEYVEVDPTGRYGRYNEILGKGASKTVYRAFDEYEGIEVAWNQVKLHDFLQSSEDLERLYCEIHLLKTLKHENIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRLKHRRVNIRAVKHWCRQILKGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAARCVGTPEFMAPEVYEEEYNELVDIYAFGMCILEMVTFEYPYSECTHPAQIYKKVVSGKRPEALYKVKDPEVRQFVEKCLATVSCRLTAWELLRDPFLQTDDYGSDLRPLEYQRDYYDAGPLVRQPFYDFNHSNSSSLINGYANYLGYGPEYDMGYHSLEYETSEIDLFPSQEDGHFGDVDLTIKGKRREDDGIFLRLRIADKEGRIRNIYFPFDIESDTALTVATEMIAELDLTDQDVTKIADMIDGEIASLVPEWKRGSGIDENLHMSSSFCQNCASNGYLSDYVSSSSAGAKNLQVLQCSKHGCTAVHGRFEEITYQVDGPEECVSGDAKASISQSDGIHYADIWAQREGPELSSSSPKDIHCNEANETSEQSNCAKEEKIISIDSHSGPNARNSFSSYHHSAESGFSDDYENEIRQELRWLKAKYQMQLRELRDQQLRVKLKSPSLTRSCSDLEDNKDNKGSMPSMLASPKKEIIGPLLKSLPSGKHLTSYSPIAAEKSCASFASQGVQTSEAINGSFNPEIVATAKTFYTGSLLPQPLHRASSLPVDAVDV >OMO61222 pep supercontig:CCACVL1_1.0:contig13558:111003:114235:1 gene:CCACVL1_23677 transcript:OMO61222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKELRDQKVNERVEAVLQLVRKQSPLTVKQEKFCNNACVERFLRAKGDNVKKAAKHLRACLSWRESIGTENLIADEFSAELAEGVAYVGGHDEDSRPVMIFRIKQDYQKFHSQKLFTRLLVFTLEVAIGTMPKNVDQFVLLFDASFFRSASAFMNLLLAALKIVAEYYPGRLYKAFVIDPPSLFSYLWKGVRPFVELSTATMMVSSLDFEESLEFNDFASYPRASSLRFDPSSIKSTAKIDACSSSRFSFTVSHHFDSLKPWYLSLTDTSSMSSSKVGPTSPSPLGPALISPLNARSFSFASPAARTPRGNISMRKSLFPSTPLPQRSKTFEPIKTNPPRTPRPSFLQSPAMFFRRDSHVSTKTEKSRESFLPFLKFYRRPYDEMIYRSMMRPPLGGLISIVKRRHMSVSQRF >OMO61218 pep supercontig:CCACVL1_1.0:contig13558:63689:66298:1 gene:CCACVL1_23673 transcript:OMO61218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTNYSWCDDEIRRHRLMEDRFKKVCDIEWKKREITEIESRLNVNNNQPEIAFPPLNSAHNRELRERKKTTDNNLPQVVVPLLNSAHNNKEPSKDFVVMILKGLVEIHSRGYVHSDLKPENILIFRQEDCSDLPILKIADFGLAKVIGVKDTDDDWEYGFRGTPPYMSPESILGEISGALDVWSLGCIVIEMLTGGMAWNYQGPEDLRDKLLWGKKPDIPEDMSTSGKDFVMKCFVRDPNERWSARMLLHHPFLQEKSTLGTKFSDSISPESQLVYRDIVRKNFKCMWKIFQIIRDIRRKAASLDEIKLSWIRRETQFKKKMCMINWVDLPHIRLTFILDKDALPIPP >OMO61219 pep supercontig:CCACVL1_1.0:contig13558:74907:80952:1 gene:CCACVL1_23674 transcript:OMO61219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVIGKHDFSKSWPSWGLTPRRCFWRPFQPLSLAKHIQALLLKRLCAYPKLGSILEDSGSEPAEELDKTFGYAKNFRAKYKLVKKLGRGFMGHVFYARGKKGELKDQPVAVKIIPKANIKSETFIEDVQREVKILKALSGRKHLLKFYDAFEDDNNVDIVMELCEGGDLWDRIFERKLRRERYTEEEIKVIVKQILSAVSFCHLQGIVHRDLKPENIMFASGGEDAEIKLIDFGVSEIIKQVGERFDDIVGTDVYTAPEVLSESYSLEADLWSIGVITYYLIGGNYPFWADTKKGIYRLVKRSDPKFEDEPWPSVSPEAKDFIKRLLYKNQHKRMTAAEALAHRWLSDESYPLPLDFCIYRFVKIYLNETPLGCAARKALSKALTADQLGYLRAQFRLLEPNSDGSVSLENFEKALARNATEIMDESYVPEIVSEMGWLADRKMYFEEFCAAAIHILHLEADGGWKQIVSAAFEHFEQEGNRVISNEEFCEELCITGPSALSSVQDCIRDSDGKLNGKYMQHKEIKAAMSIKISAMALSSRYPSNSFGMNIPTSRERLFLSFSLLYTELVEPICSYGLRKAILQAHAGGGGFGKSVENSFNAENFNVSPANVVESGERVVVNFTNCSLDIEPLNIEGDLAGEKGNDKLIRDDVNVGRVYVEKGMEVPDTSIGPNSQFLEELEEAVQEENMELIGPFSNKGQLIVHGNEREEQVNIELVEPICSNGLKGSDGLPLTKKFSKQNGCDKAEYSHQLNEEASKNKVNDTRKFRNLKDIEEEIEEDWFSNLLEKTKKRSKRLDKKKSKKSKSKNSKHQSGEAVNDSFIDSDFENRNRSLMKEAQATWEVGKMLGISFDCEESLILEKFKSMEKENDI >OMO87445 pep supercontig:CCACVL1_1.0:contig09238:16145:20179:1 gene:CCACVL1_09037 transcript:OMO87445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRWPWKKKSSDKADKAAVAAAAAADAAAATLASAASQTQSDQESYKKPKYVQISVESYSHLTGLENQVKSYEEQVQALEDEIKDLNEKLSEANSEISAKEDLVKQHTKVAEEAVSGWEKAEAEALALKNHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEDHEQKMQDLVISKNKQCEKIKLELEAKIANLDQELLKAEAENAAVSRSLQERSNMLIKISEEKSQAEAEIEHLKGNIESCEREINSLKYELHVASKELEIRNEEKNMSMRSAEVANKQHMEGAKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDTRLKRSPVRPSTPHMSPVSEFALDNAQKFQKENEFLTERLLAMEEETKMLKEALAKRNSELLASRNLCAKTSSKLQTLEAQFAVSNQQRSPSKAIVQIPAEVYSSQNASNPPSVTSVSEDGNDDDRSCAESWATALISELSQFKKEKNTEKSNKTENAKHLDLMDDFLEMEKLACSSNDSSANGALTVSDCANNKISENGDASGEISPPVSSNKDLSIVYPESDADQLPVMKLRTRLSMVLESMSKEADLQKILEDIKNAVQNAHDSLSQHSVNGVSGEVHGSDGTYNGQAYHADDSSIPEKEVAMSPDGKVASESVQTISQELAAAISQIHDFVMSLGKEARAVVDTSFDGNRLSHKIEEFSVTYNKVLCSNVNLDDFIYDLSTVLAKASELRFSVLGYKGDDVEINSPDCIDKVALPENKVIQKDSSGGIYQNGCADISNPTSNPEVPDDGNIVSDYESKESCKFSSEEFEDLKLEKENMAMDLARCTENLEMTKSQLHETEQLLAEAKSQLAAAQKSNSLAETQLKCMAESYRSLETRAEELETEVNLLRGKIETLETELEDEKRNHHDALSRCKDLEEQLQRNENCSVCSSAAENDLKNKQEKELAAAAEKLAECQETIFLLGKQLQSLRPQSPYNERSQKGEGIREDEPTTSGTNLQGLDQAEIDTAASGNASRRGSESPMESYNTPCSPSDTESNLLRSPVSSKHRSTMSSSSSSSSTATPEKHSRGFSRFFSSKGKNGN >OMO71830 pep supercontig:CCACVL1_1.0:contig11544:31722:39987:1 gene:CCACVL1_18054 transcript:OMO71830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCGVGQMTPFSFPGVSSNNFGKGKRPGKGGTASGRALVWALRLPVKQSKTPFLLPGVTVSYFIFISSSRLQVFIMEYIPLMASVVRRSLNSPNLEEIEEDKLRRPLGFPLLRDWNSILLESSKKARVRPDFQLITTKFENLVEADVKWRPYSRLPENFLPAPYDQNVRLELVLTSIIKAEELNDEGNDVYPDLTEQNDRQTGNPNDKLPMMSYPTRVFQKQLS >OMO71829 pep supercontig:CCACVL1_1.0:contig11544:30393:30797:1 gene:CCACVL1_18053 transcript:OMO71829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKDVYPDLTEQNDRPTGNPNDELPHESIPEAAPGEEEVAPVELNIEQQQDDLRDYLNT >OMO71831 pep supercontig:CCACVL1_1.0:contig11544:42841:43677:1 gene:CCACVL1_18055 transcript:OMO71831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEEGGQNDDRVAPGEEEEVAPVDLNIEEQQLDDQQDYLNNAPKPRVPQRRRWDNGIDIGNELLYRTRAGATRKINDCAAPPAPLLPQRNLSGID >OMO71827 pep supercontig:CCACVL1_1.0:contig11544:7403:17101:1 gene:CCACVL1_18051 transcript:OMO71827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSQAPITSVMKKNAPFKWGREQQEAFEILKDKLTNAPLLILPNFNNTFEIECDASSVGIGAVLMQGGKPVAYFSEKLNGTTLNYPTYDKELYALGQQKLYKRHAKWIEFIESFPYVVRYKQGKENVVADALSRRYVLPSMLDSKFLRFEFIKELYASDAYFGEIFKACENFGFGKYYKHDGFLFKESRLCAPTFSLRLVLVRESHEGGLMGYSCVDRTYEILYEHFFWPKMRYDVNDCGLFRWVDDLTDNLRAENSSIRNKSKSSSQIVDPNLVDEVATSRCKESTSQNVDWHDEALRAEVKKLQDEVLALRAEVKELQENLKRLKNAYENRCRKMNKHAKRPPYCYSQYNYKNNDNDMKVFVLQENHNNKKEAVTFTLSSGGFDSIRSLVLLSIDFNNIDAIVSLKLLGTFLYLFFSSTFLGILVGLKCFYHKNALLREVMAKEMKHESIIDRLSELPDSILCHILSFLPTKYSVRTTILSTRWRYLSTLVYNLDFHNPGEKSHGFMNFVDRVLLSHDDAVSIEGFRLTSPDFYKGKLDQDVCAYRICGWIIYALKRLVQQLYINMSSQGPIHILPASLFTSKTLVKLEMIVEFLVMTIPTKVWFPSLKILFLKEVEFPDDESVDRLISGCPVLEKLDIYSDWKDVQELYISNSFLKTMTIGIVELGDYKPGVSSVVVDAPSLVYFKLSCIPVESFSFLNPMSLVKVDFSDLFDFWGFLVDDDEGTNFVRAMDLATNFVRVTSNVQSLYLSDEFLKLKVIEIHSDIGDPLIKLVEYFLENAGVLERLKELVNGYHRTDMLPRCALKIDLRKSFDTLD >OMO71828 pep supercontig:CCACVL1_1.0:contig11544:23503:25153:1 gene:CCACVL1_18052 transcript:OMO71828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLSQVPMERFVNERILKDESSSQSESTSQIVTWENREPLLSILCKHISRKERDESPPSLPPKERDEPPPSSPPKERDESPPSLPPKERDESPPSLPPAKRLSCGLEATFNHYIAPVATDGNDSWMDSIDDKTAVEKTLGNVVPAQNSPETHEEAFNNYVMKLQDAVENGNVGEEDFINYAMKVLAAIENGNVGEGVTLSAFKNVSNDAAMIEGYSEIKDYGVRVSEHLWANMILLAHPDIFKNAKFGPYVTRVAFELFCCMAREMASTRLGDLSYGLLCKWSKIIKDSLLLGLDVGFAHDHLRKMAHIYLGNFSNASKSLLVEHLGTAIENKRKSIQAMLDQIKFIEEQIASVDAIFGLEFRQKFVCVRDQNLGKTASMI >OMO61168 pep supercontig:CCACVL1_1.0:contig13574:7593:12431:1 gene:CCACVL1_23717 transcript:OMO61168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYKNETTNFVTNKIVSGLEEDEKKGAETEKLQKKHIELRKSSKRAMKQEALN >OMO61167 pep supercontig:CCACVL1_1.0:contig13574:6924:7203:1 gene:CCACVL1_23716 transcript:OMO61167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPPSLSYSYVFGLPILSMTRSWHCGVASRGDSLIYSNLF >OMO61169 pep supercontig:CCACVL1_1.0:contig13574:17454:24631:-1 gene:CCACVL1_23718 transcript:OMO61169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILDQVHELHVLVSKLKELEITIPDAIRIGAILSKMPHTWNDYRKKELHSKDILTIDEFMTHLQIESENRDRDATYLGLGGDSKVNFVTEQKQGFGKPRNSANSGKSGKFQNKMKAVQKRISRRLLISLIRHVSFVERKVILSIIGKLYEASSVFHFRMRKREEELRKEAQGIADFCSFSNLKDLPINKTGIMPWVAMPTNALFRKEKRNRVKQKWVFPDLLFVLSVVETLDGFQKLKGTQGLIESENPNLAKQKNLKARDDDIAKQLNSQGVKAKLWGTILVFQFLQKLVIFLHLLSCFGTDMESLGCKRQVPIGGLSELKALDESGKLDEKIDFLITEAPSVEAPLPPLSGEDDVSSNGPVDELSIHFGY >OMO61166 pep supercontig:CCACVL1_1.0:contig13574:2306:6920:1 gene:CCACVL1_23715 transcript:OMO61166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSSSLAEPSYGDNRCFDFFIFIFLPTLGMMLMLEVDPVQLKPKPKTVPKCFAAETSFFSESADVAPDENSFVLKCDKNRSKIASDQSIRFEGFHDQVNDGIVVSQDVRSSDLSLVDPLCSIVPCSISSENDGFTLDRKGNPGEANAGNGFDSAAELGNENLNVEFVHGTKQAFSTFGGESSVAKVQRRLTSLKTYSTVPHKHDSILGSERLGLNQSTSLHLGLDMNSTRFSDKRSSEKSLAVSLIPDCAIGRDTKENKHTTVVDNSDRGMINNNQNSDKKANDGAQLDQPSCRRSSPLILLQRIRQRLQAASPLVCSSPRKTNGEQAIAQEALVALDSQSSLEYIQSKCNNPFEMQVPSRKRVHFSETEVKGEENKDLYERRSFHKKCSASRPSQRFKSDAQVRDSKRSSTIHFRDQKSFLIFQDVKFMLTGFSREKEKEIEGLIRKYGGIVLVDIPSPSNRGKRCSRHKFQQLPIVLCPKKLQTTKFLYACAVNSLILKVKWLTDSIAAGSALSPRKYMVLSDQPDTRFTRIGKPVRHVNNAYIFDGVGVMLHGKPQFCTKFSKVIQHGGGRVFKTLLWLDQNLDNEKISLAVIVSDDESRASRHLRQCASEKKIPMMPSSWIVRSLYSGKLLPFIEKKDTPLLAVMGRDCPVSDDWSQEI >OMO62954 pep supercontig:CCACVL1_1.0:contig13097:8802:10742:1 gene:CCACVL1_22558 transcript:OMO62954 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MGFDHQSTKTSYCQCRKWNSFLELKFISVLVVLLLTILPNAAAYDPLDPNGNITIKWDVVSWTADGYVAAVTMSNFQMYRSIMSPGWTLSWQWAKKEVIWSMVGAQTTEQGDCSKFKGNVPHCCKKTPVVVDLLPGVPYNQQFSNCCKGGVVSAWGQDPIGSVSAFQVSVGLAGTSNKTVKLPTNFSLLGPGPGYTCGPAKVVPSTVYFTPDHRRKTQALMTWNVTCTYSQFLASSKPKCCVSFSSFYNETITPCPSCACGCQNKNNCIMSDSKQAHRAGINTPKKDNTPLLQCTHHMCPIRVHWHVKINYKDYWRVKLAVTNFNYRMNYTQWTLVAQHPNLNSVTQVFSFDYKPLVPYEAINDTGMFYGMKYYNDLLMEAGPYGNVQSEVLLKKNKDTFTFKHGWAFPRKVYFNGDECLLPPPDAYPFLPNSAHVNPFSILTMVFSVILFMFTI >OMO62955 pep supercontig:CCACVL1_1.0:contig13097:11483:14720:-1 gene:CCACVL1_22559 transcript:OMO62955 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MESSVISSATGSISKLCSFIILLLFFFSCSTFTCTEAYDALDPTGNITIKWDVISWTPDGYVAVVTMYNFQQYRHIQAPGWTLGWTWAKKEVIWNMMGGQTTEQGDCSKFKGNIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVMNSWVQDPANAASSFQVSVGAAGTTNKTVRLPRNFTLKAPGPGYTCGPAKIVKPTKFVTPDKRRITQAMMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVSCPTCACGCQNNMTEPGSCVSETSPYLASAVSGPGKASNAPLLQCTSHMCPVRIHWHVKLNYKEYWRVKITITNFNYRMNYTQWNLVVQHPNFDNLTQLFSFNYKSLTPYAGLNDTAMLWGVKFYNDLLSEAGPLGNVQSELLFRKDASTFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNTGFRPAMSLLQPVMTILASIVFLWAYL >OMP10465 pep supercontig:CCACVL1_1.0:contig02482:368:451:-1 gene:CCACVL1_00961 transcript:OMP10465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMSTIPGLNQIQFEGFCGFYWNRGLT >OMP11501 pep supercontig:CCACVL1_1.0:contig01229:4234:4866:-1 gene:CCACVL1_00480 transcript:OMP11501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWESARLEAEARLVRESSKKTVPNPNPPLNLRRNQPINNIAADNRPRCLDVLKAWQGVVAGMFAFPSHDLESPTSTLSFPDSMARVPGQIGGLVPNCSRPNFENSSYANNNSWTTSFEKSDNQIQEVAKIMDSCNIELGEEYLTDSGDIGAWFEEPIANIMEGLSDALNFNSGVLNSSMGVEKSSESCWDSVLNLVNSSPYNSPSPVF >OMP11502 pep supercontig:CCACVL1_1.0:contig01229:7083:9719:-1 gene:CCACVL1_00481 transcript:OMP11502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWERTRLEAEARLSLDSKNQQKVPSTYVKPKNQLLGSRPKCLDILKAWQGCG >OMO79201 pep supercontig:CCACVL1_1.0:contig10469:17652:27046:1 gene:CCACVL1_13855 transcript:OMO79201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWIPITPIEALDNKTFARHTSSTNTRVSTDTGVSLTSSSRGS >OMO79198 pep supercontig:CCACVL1_1.0:contig10469:2889:4415:-1 gene:CCACVL1_13851 transcript:OMO79198 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonsense-mediated mRNA decay NMD3 family protein MATNMFVVPQTVGTVLCCKCGILMAPNPANMCVTCLRSEVDITEGLRKHVIIMYCPECESYLQPPKTWMKAQLESKELLTFCVKRVDPDLKKFKVRLVNAEFIWTEPHSKRIKVKLKIQKEVLNGAILEQSYVVEYVQQDHMCESCTKFQANPDQWVASVQLRQRVSHRRTFFYLEQLILKHDAAAHAIKIKQMDQGIDFFFANRSHATKFVEFLGKVAPTRYRNDKQLVSHDPKSNNYNYKYTFSVEISPVCREDLICLPPKVAASLGNIGPLVICTKVTNNIALLDPFTLRQCFLDSEQYWRHSFKSLLGSRQLVEYDVFNIEVVSPEVNIGGSKYVLADAEVARVTDYGKLFYIRTHLGHILKPGDRALGFDLYGANSNDMELDKYKGLVLPEAILIKKSYEEKRQKKRGKPRSWKLKSLDMEVDESRGRGHEEKMNSEYEEFLRDLEENPELRFNLSLYRNKDYQPSEMASVSDVDDVPSVPLDELLAELELSEQEDGEDSMKE >OMO79199 pep supercontig:CCACVL1_1.0:contig10469:8354:11952:-1 gene:CCACVL1_13852 transcript:OMO79199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGVYAKRRLEREGKNFEGSFYSRFAAAFSYIETHPALQKLIT >OMO79200 pep supercontig:CCACVL1_1.0:contig10469:12749:12823:1 gene:CCACVL1_13853 transcript:OMO79200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANREDHRWKLDRRQRTQPEFNGI >OMO92501 pep supercontig:CCACVL1_1.0:contig08211:11983:17126:-1 gene:CCACVL1_06832 transcript:OMO92501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQVDPSWVGSLYDAWLELDDGILDFDKYHALGETHTAFGDLLMGAFGCDADFRDLLVKTRVFYAVCFAKRGRFYADSEALAFLLRRWNKSTHTFFTAFGEFTITLEVVATASLLPVVGELDPFSIHLEAKETDIATSFLATYSSLPAAHAHPFHALHACLQVHDKSLAHHSLHDKLSAHQLPIAIKDITKRHVCPWDGEGVFSFGGYPRIIGWLCKKVLLDYSSHFMPTVGSETKKIIVIGTALSLTGSFGVTGYHPNRVARQFSYDQGVLLAPPALPSWARCIINFLIQPLRKHCSHFEVKLMVAGHTFIPMMTPAMIEYWGRFISDFGAFMKFDPEPIPKAFSYNSRLTLGDHYKSFALCYRHSSCYYELTATGWVQYGKSSLVPPLVARVGLVEYVHAESTTSSEGEVSDEQSDSGDDFFAETSASKNKNVKPVASKKRGAKSILPPPPPHKLNTSSSQRFLTQQVLLAQSESTRVLQSLARPLSDVVTNLGDVSAGSHSIILPSVLSSSTFGTTSSVVSNASPNVVILLQMLKRLAMVSAYPWREMVSLQGEPIIGNIPLTSATPSDASLPPTIEHNTMVIEGLSTQVSATGKDVAVDTMASMMGKNVVAEVDAVVDMDAVADTREWSSFRDSLNVSEDEMVLLGMRRSSEHISREGLSITQDYASWSNKPDFAFIITFMH >OMO89528 pep supercontig:CCACVL1_1.0:contig08687:12515:12700:1 gene:CCACVL1_07781 transcript:OMO89528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEVGTWAEMREGVRSLSRLPLFLFSVFLPLDIPALFSTFVLLNFSLDISVSLRYFSPQNPR >OMO88845 pep supercontig:CCACVL1_1.0:contig08911:480:786:1 gene:CCACVL1_08166 transcript:OMO88845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGNRQKLTLVGFDRNRHRPD >OMO57359 pep supercontig:CCACVL1_1.0:contig14392:381:443:-1 gene:CCACVL1_25812 transcript:OMO57359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRITESFVVQCFNWSYRYPPS >OMP10093 pep supercontig:CCACVL1_1.0:contig02782:337:520:1 gene:CCACVL1_01018 transcript:OMP10093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVLDASKFKLGGIDPELEGKLQQMFNGIAATGNNALAPSSRVQPINLADDDHEQLEVLFEG >OMO56655 pep supercontig:CCACVL1_1.0:contig14492:35218:45434:1 gene:CCACVL1_26393 transcript:OMO56655 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed DNA polymerase, family B, pol2 MDEDQNRDQQTETTTRRRGRAKPSEFKEALERYKALRQGGRRSASYVRPADPGCDDLDATEQEDAWEKQQKEDMDFIVPDEADGYMGYIDDGNEASDDYYSSYDDESDGGRDQKKKKKKVKQESNNKSANKVSKTSASLMEAAAMMGKQRVSSMFTSSAFNRKSRETDKAKCESIVDEVIEQFAPGESDRERRRSAQSGQFHRSGPSLVNSARFQDDLLPNSGFCGYSEKSSNTNERATVEFGDSELNAKISAEKKDGASSAMADWKAAKSEGNGNVGPSVNGHEKGKDGLSGEGQSGFELDEDSSLPFYIIDAYEEFYGANMGTIYLFGKVKVGNLYQSCCVVVRNMHRCVYAIPNNFIFHNDEIKQLEKDAEDSKISPLAFRTKLQDVASPLKKEVTKHLLNLNISSFTMAPVKRQYAFERSDIPVGENYVLKINYPFKEPPLPADLKGETFNALLGTHSSALELFLVKRKIKGPSWLSISKFSTCPAPQRVSWCKFEIGVNSPKDIKIASSSKNTMEIPPVVVSAVNLKTVINERQNVNEVVSASIISCSRAKIDTPMLASEWKKAGNLSHFTVVRKLDGGIFPMGFTKEVTDRNSKAGSNVLVYESSERALLNRLMIELHKLDSDVLVGHNISGFDLDVLLHRAQACRVPSSMWSKIGRLKRSVMPKLTKGNTIFGSGASPGIISCIAGRLLCDTYLCSRDLLKEVSYSLTQLSKTQLNKDRKEIAPHDIPKMFQKSESLMELIEYGETDAWLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKYIVPDKFSSQTKETKGTKKRTNHGVEDGSMAEVENSDLNLEDETHNDRGKGKKGPAYAGGLVLEPKRGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERFPDGSVPRLPSSKTTGVLPELLKNLVQRRRMVKSWMKNASGIKVQQLDIQQQALKLTANSMYGCLGFSKSRFYAKPLAELITQQGREILQSTVDLVQNNLNLEVIYGDTDSIMIHSGLDDIAKAKVIAGKVIQEVNKRYRCLEIDLDGLYKRMLLLKKKKYAAVRVHFKDGTPYEVIERKGLDMVRRDWSLLSKELGDFCLGQILSGGSCEDVVESIHNSLMKVQEEMRNGQVELEKYIITKSLTKPPEAYPDAKNQPHVQVALRLKQNGYSTGCSAGDTVPYIICCEQGTSSSNSTGIAHRARHPDELKKDEGKWMIDIEYYLSQQIHPVISRLCASIQGTSPERLADCLGLDSSKFQNKSSEAVTNDPTNSLLFAVDDNERYRGCEPLTLSCPSCSGTFDCPAVFSSICTLIDQKPTKLEQEESACKFWQKLRCPKCPVEGDVGRISPQLVANQVKRQVEGFIAMYYKGLMTCDDETCKHTTRSLNLRLVGDSEKGTVCPNYPRCNGRLVRKYTEADLYKQLAFFSHILDTTRCIEKIEATARIPLEKELAKVRPMVELAASTVQRIRDRCAFGWVQMNDLVISF >OMO56652 pep supercontig:CCACVL1_1.0:contig14492:26100:28920:1 gene:CCACVL1_26390 transcript:OMO56652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINLSIFLQLFIITVFFFSLSSTLKIGETCSSSSNGACDSGLKCQTCPVNGNTRPRCTRIQPLSPTSKVKGLPFNKYSWLTTHNSFAIKGTKPALGPALVAPTNQEDSITDQLNNGVRGLMLDMYDFNGDIWFCHSFGRKCYNFTAFQPAINGLKEIQTFLEANPSEIVTIFIEDYVTASQGLTKVFNDAGLKKYWFPVAKMPKNGEDWPTVDDMVKQNERLVVFTSKQAKEASEGIAYEWRYVVENQYGDDGMKAGSCPNRAESSPMNTKSRSLVLQNYFPDNPNSTKVCADNSAPLVSMLNTCHKAAGDRWPNFIAVDYYQKSDGGGAPEAVDIANGHLTCGCDNVAYCKENATFGTCDVPPISPPPPAAATPTTADNPSADNSNFANIEACPTQLRWLLATILTTTLLLWS >OMO56648 pep supercontig:CCACVL1_1.0:contig14492:4051:5025:1 gene:CCACVL1_26386 transcript:OMO56648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKSCDSTISASLKSEKQVLDQIGFCPQIIRCFGDGYSVEDGETLYNLFLENGDEEQRKMEIRGTPLNLAPESVNNNEYDSPVDIWALGCAIVEMFTGKPAWNFKPGSNVAALLIKIGVSDESPVIPTELSDEGKDFLGKCFVKDPNNRWTADMLLDHPFMFGSNYDDEEEEEASTSPDCSFEDASVSPRCPFDFPDWVSTQSTISSQSTFQENSRLVSPFSSYISSGLDRIRQLDCDQAPNWSFSGSWMTVR >OMO56651 pep supercontig:CCACVL1_1.0:contig14492:20473:24218:-1 gene:CCACVL1_26389 transcript:OMO56651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSVSDTSKAAFVSTTPSKHLYTYTHSVNGFSAVLTLSELEALKNSSGFISFTRDLPLKVHTTHTSHFLGLSSVSGAWTAPNDGEDVIIGIVDTGIWPESESFSDEGMTRVPPRWKGRCESGTKFNSSLCNKKLIGARYFNKEEKSEKSLMRPEKVCLAFAEVEEDPETGSIGLLKEVKSDIGLCLAPSKAEEEAGSRGSPERKLDRVGSEAEEDLDAGYRSWRRDRSEVKPKIPQ >OMO56656 pep supercontig:CCACVL1_1.0:contig14492:46070:50094:-1 gene:CCACVL1_26394 transcript:OMO56656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKANGPTQKSGRVIDGPTNPMVTPLLTDLYQFTMAYAYWKAGKHNERAVFDLYFRKNPFGGEFTVFAGLEECIKLIANFKLTDEEIAFVCESLPGSCEEGFFKYLKEVDCSDVEVYAIAEGTVVFPKVPLLRVEGPVAVVQLLETPVLNLVNFASLVATNAARHRLVAGKSKMLLEFGLRRAQGPDGALSASKYCYIGGFDATSNVAAGRLFGIPLRGTHSHAFVSSFMSPDEILDRSLCSSDGSTTCEDFVSLVQSWLNKIQWSKSLSGIFGETNQSELAAFMSYALAFPKNFLALVDTYDVMRSGVPNFCAVALALNDLGYKAVGIRLDSGDLAYLSIEARKIFLTIEKEFGLPGFGKTSITASNDLNEETLDALNKQGHEVDCYGIGTYLVTCYSQAALGCVFKLVEINNQPRIKLSEDLTKVSIPCKKRCYRLYGKEGYSLVDIMTGENEPCPKVGERILCRHPFNESKRAYVVPQRVEELLKCYWPGKSGKVREELPAFKDIRDHCIKQLEQMRPDHMRRLNPTPYKVSVSTKLYDFIHFLWLNEAPVGELQ >OMO56659 pep supercontig:CCACVL1_1.0:contig14492:70108:71255:1 gene:CCACVL1_26397 transcript:OMO56659 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 3 MAPTQAEQQTQASRHQEVGHKSLLQSDKLYQYILETSVYPREPEAMKELRELTAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPEDGKILAMDINRENYELGLPVIQKAGVAHKIDFKEGPALPVLDQMIEAGTYHGTFDFIFVDADKDNYINYHKRLIELVKVGGVIGYDNTLWNGSVVAPPDAPLRKYVLYYRDFVLELNKALAADPRIEICQLPVGDGITLCRRIK >OMO56653 pep supercontig:CCACVL1_1.0:contig14492:29560:29649:1 gene:CCACVL1_26391 transcript:OMO56653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRSLHIYQVLKEQNQTPKGNKTKTEQ >OMO56657 pep supercontig:CCACVL1_1.0:contig14492:52984:55400:-1 gene:CCACVL1_26395 transcript:OMO56657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNINVNMFHYSNNYTTNNNNGKCLSSPSDDISNLLHQILVHSSSSSTGMANFGGPTEDPRRFSRSPPEQGMILGGDSCGKGSGGSGLAGAAGENDNDDYDCESEEGLEALVDEAPPKPARARSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLSMRNGLSLHPMCLPGVLQPIQFSQTRIDFGEENGSLPVNVPGTVPANQETSSQIGYDLPNSSSNHASAPNMSNIMTSETSFGLEAIQAPLGPFQLLTPSLVSDHVSKL >OMO56658 pep supercontig:CCACVL1_1.0:contig14492:58882:63046:-1 gene:CCACVL1_26396 transcript:OMO56658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MQGQGSSSNSLTGNLYFNGNPAANQQNVVPNINASSTHNGQGQNSSMRSWIGESSSSRDHTRNHVSNSHQFSGDDLWLSSLSNQSGNGQRFEERHFLDNIGRINQIGPSNLVGQASSGANNNLHRNIDLNAAHDDHHGGGIIDHASQDNGPQLSLGLSRAAGDQVPVSGGGSSSASASASASAPVMIYSGIAEYVVEENIGAEGTLASDGRRRLLCKRRAPENEIPRRQVTFGESSRPISSVQQPAAAIPSSLNAANYSLSNRLHGSNNSSSGFVSAQAPTLSSFQQINQNGTGQMDNFERNIRMRRTLMGTQQNTTPTNMWSWNSTNSNVQVQTQITSFARFPNTNSAAAPAMAQPMTHNFSSLQPSHSQWNNNGTAMTSSWAGLSDNLREALIQEQSLRDYYRRNSNHHLTNMQDQHLNSFANGNYTNYNFGNNIASSSRSTQLPPPSVRNQLVQRLQHLVNEGISHCPIHSGSASSSAARDMDVSVRGGNARPAQRLAGTRADQRHSENPSQTAAAQRRSRLVAEVRNALGLVRRGGAFRLEDVMVIDRSFLYGLPELGDTHDAMRLDVDNMSYEELLDLEEQIGNVSTGLTDEAILANLRRRKYQSITDEPTAETEPCCICQEDYANGEELGKLDCGHDFHFNCIKQWLVQKNSCPICKKTALAL >OMO56650 pep supercontig:CCACVL1_1.0:contig14492:12472:16689:-1 gene:CCACVL1_26388 transcript:OMO56650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPFSLHPSLNPSKTLLAFQYRVINLIMPKLQTQLGGTKTIEERSIIFGYLSWVDDGGKYLGAKSNCGH >OMO56654 pep supercontig:CCACVL1_1.0:contig14492:30314:32539:-1 gene:CCACVL1_26392 transcript:OMO56654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRKTGLMADQLSKTTSIFGLRLWVVLGVCVGAAFVLLLFLISLWLVSKRTKHKPSTTSKPGIPVVSKEIQEIKIDSPKPHRTQIQADPYPESDPTTAGIERQALLLPLEEESPTGYHHGIHIEIGKDNRISYPGLSPHTSGEARGEYVAGVAPEVSHLGWGHWYTLRELEVATNGFAPEKVIGEGGYGIVYHGVLEDKTQVAVKNLLNNRGQAEKEFQVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVNNGNLEQWLHGDVGPCSPLTWDIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKRWNPKVSDFGLAKLLGSESSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEILSGRNPVDYSRPPDEVNLIEWLKKMVANRNPEGVLDPKLPEKPTSRALKRALLVALRCVDPNAQKRPKLGHIVHMLEAEDPPFKDDSRAGRDAGQAHQDSAKKELTEKRAAESGGHEGAANANGT >OMO56649 pep supercontig:CCACVL1_1.0:contig14492:9404:10740:-1 gene:CCACVL1_26387 transcript:OMO56649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MSAHLSKTDSEVSSLSQSSPARSPRRQVYYVQSPSRDSHDGEKTTNSFHSTPVLSPMGSPPHSHSNSSLGPHSSRESSSTRLSGSLKPNRKHEGSRKGRKPWKEFDAIEEEGLLDGDGSPHGLPRRCYFLAFVVGFFVLFTTFSLILWGASRPQKPKISMKSISFNEFVVQAGADFSGVATEMVTMNCTVKLTYRNTATFFGVHVTSTPLDLTYSELTVATGSIHRFYQSRKSQRALTVMMKGSHIPLYGGGASLASLNGAPTQPVPLTLNFMVRSRAYVLGKLVKPKFHKKIQCSVTMDPKKMNVAISLKNKCIYS >OMP00037 pep supercontig:CCACVL1_1.0:contig06736:3888:4034:-1 gene:CCACVL1_03485 transcript:OMP00037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKYIANALFLLKGVFRPHDPRKGLVLGYFHIGQTLTRIDGVGNAHK >OMO99683 pep supercontig:CCACVL1_1.0:contig06835:4187:4459:-1 gene:CCACVL1_03681 transcript:OMO99683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRKVYSELKGLKVKEVPSYVKPMLSMNYVKSTIQRGLDNYHAKYIQTNSIDPLYHVCFGGMIFSYLVALPEERRHLEHQQHAKEHGGH >OMO99682 pep supercontig:CCACVL1_1.0:contig06835:1824:2130:-1 gene:CCACVL1_03680 transcript:OMO99682 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenic type III effector avirulence factor Avr cleavage site MAERGRPLPKFGEWDVNNPATAEGFTVIFNKARHEKKTRAATPAGAAAANIINPPPSNQNNNNLGTHSSPPPK >OMO99684 pep supercontig:CCACVL1_1.0:contig06835:8813:30865:-1 gene:CCACVL1_03682 transcript:OMO99684 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 48 MKKVLATLRALVEVMEALSKDADPDGVGRHIKEELRRIKNADATIAGELTPYNIVPLEAPSFTNAIGFFPEIKGAISAIRYTEHFPRLPSDLEISGQRDPDMFDLLEYVFGFQKDNVRNQRENAVLTIANAQSRLGIPVQADPKIDEKAINEVFLKVLDNYIKWCKYLRIRLAWNSLEAINRDRKLFLVSLYFLIWGEAANVRFLPECLCYIFHHMAKELDAILDHGEANRAVSCTTEGGSVSFLEQIICPIYDTMAAEAERNNGGRAAHSSWRNYDDFNEYFWSPACFELNWPMRRESPFLAKPKKWKRTGKSTFVEHRTFLHLYRSFHRLWIFLVLMFQALAIIAFRKGRINLDTFKILLSTGPTFAIMNFIESCLDVLLMFGAYSTARGMAISRLVIQFFWSGLTSVFVTYVYVKVLAERNDRNSNSFYFWIYILVLGVYAALRVILGLLLKIPACHALSEMSDQSFFQFFKWIYQERYYVGRGLYERMSDYFRYVLYWLVIFLCKFTFAYFLQIRPLVSPTNTILNLPNLPYSWHDIVSKNNNNVLTLASLWAPVIAIYLMDIHIWYTLLSAIVGGVMGARARLGEIRSTEMMHKRFESFPEAFSKSLVSSQTRMPFDRQAPEVSQETNKTHAALFSPFWNEIIKSLREEDYISNREMDLLLIPSNRGSLRLVQWPLFLLSSKILLAIDLAIDCKDTQADLWNRICKDEYMAYAVKECYYSVEKILRSLVDGEGRLWVERIYREISNSISEGSLVLTLNLKKLPLVQQKLTALLGLLRNEKPEEKGAANAVYQLYEAVTHDLLSADLREQLDTWNILARARNEGRLFSRIEWPKDPEIREQVKRLYLLLTVKESAANIPKNLEARRRLEFFTNSLFMDMPAAKPVCEMMPFCVFTPYYSETVLYSSKELREENEDGISILFYLQKIFPDEWENYLERVNEGKSAGNVENQQSTSELELRFWVSYRGQTLARTVRGMMYYRRALMLQSYLERRSLGVDEYSQTDPLMTGGFELSREARAQADIKFTYVVSCQIYGQQKQNKKAEAVDIALLLQRNEALRVAFIHAEDNVAAEGKKEFYSKLVKADINGKDQEVYSIKLPGDPKLGEGKPENQNHAIVFTRGEAIQTIDMNQDNYLEEAMKMRNLLEEFRGNHGIRPPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLAYPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLSFFFTTVGYYVCTMMTVLTVYIFLYGRVYLAFSGLDEAIDKQARRLGNTALDAALNAQFLVQIGVFTAVPMIMGFILESGLLKAVFSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGYSKGGAVSFVLLTLSSWFLVISWLFAPYIFNPSGFEWQKTVDDFDDWTSWLLYKGGVGVKGDDSWESWWDEEQMHIQTLRGRILETILSLRFLLFQYGIVYKLHLTGKDTSVAIYGFSWVVLVGFVMLFKIFTYSPKKSTDFQLIMRFMQGVISIGLVVALCLVVAFTNLSIPDLFASILAFIPTGWCILCLAITWKKVVRSLGLWDSVREIARLYDAGMGFIIFAPVAVLSWFPFISTFQSRLLFNQAFSRGLEISLILAGNKANVET >OMO99685 pep supercontig:CCACVL1_1.0:contig06835:31336:31509:-1 gene:CCACVL1_03683 transcript:OMO99685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QKLAKRDGGRIDRNRDIEHLWEFYQLYKRRHRVEDIQREEQRWRESGTFSTNLGEYG >OMO65001 pep supercontig:CCACVL1_1.0:contig12711:61848:76166:-1 gene:CCACVL1_21589 transcript:OMO65001 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MTFVKKGGRDARVKPEYPDWHQMRVKDIKVPPPTDLEAAVGEEMEDIVMKLTMQLKRQEDDAEAQRIAEVNRQLMIRIEEVEKKAEEMSTKCREAEEEVKGERKLKEKAMAQNRVLKRDFEAECRERTSLAKKNKAAKIANSYLMEKLDFEMGESSKNAYDQRCEQIRFLLDQIRVGLLQVKDIRDQARDVLPCLAPFGQRLQPVADSLEDTVSKCNETLVYFRQFETSFHTIMEEYVARLQRVEQTQEELRWDMKNMAAMIQQLVEERIMDKLPFTCPPVVGFKPLIPDPPKFPVRPQGYYLQNLCHSRYSGVVKVEGETPFYPKPQNKRLRAPRAAQQGNSANQPGSKDLAVEEIPIDPIPYTYTELLPQLLQRNLVQRLPYSKPFAKAKPWYNPNAHCDYYSGTKGHFTEDCIRLKYAVQELVRDVTTVITTKVTKLTTPFNTIQWNTQKATWTGQARERESIDPIPYTYTEMLPQLLQQRLLERLPYAQPLPLNRRPRWYNADARYDYHSGTEGHTIEDCLKLKYAVQELVRNGKLSFGKTAQADQTQEQSDNQVSMVGSGEVLRKKTIRGRDTFWLHIQVAPFLLLLPALVVVPPQPFPYELGGIHINNGSALNIMTLNTLRDLPVKPAFIQVGHRKIRTPDEEMREVIGEVEICMEIGSTLFSLLFQVGDMEAPYTCLLGRPWVHSAQEATKVTPTKSQEGVIISETGTQSYGHPFQVNKASHAEEMKKDVTRCWMEGQSLGKDSQDKTEIVGDLTISPGFGLGFKPIAKDHQMLDDARKMMKAERLDILRLSQTFRSAGWVSGESQPGQKEEAVIQKKVGLTINAITKEGARTSSWIYPLAAGEGAPREVKIGITLSEEDRQELIDLLKEFSDVFAWSYQDMPAKYPEWQANIVPVPKKDGKVRMCVDYRDLNKASSKDNFPLRHIDILVDNTVGYSWFSFMDGFSGYNQIKMAPEDMEKTAFVTAWRVFCYKVMPFGLKNAGATYQRAMVALFHDMMHKEIEVYVDDMIAKARMPKEHNENLRKLFERLRKVQLKLNPNKCTFGATSGKLLGFVVSEKGIEVDPDKIQAIQGLLPHKLKKKSTSIGPTNKGKAFNFENSMGCVLAQQHDTDPIKYVFEKPGLSGWIARWQVLLSEYYIVYVSQKTIKGSVIADFRADRTREEYEPMNFEFPDENLIPHVKKVEKTEEKWIVYFDGDSNLSGQGIGAVLVSPEEYYYPATARLKFPTTNNVAEYEACVLGIQLALERKVKRVEIYGDSALVIYQMKGEWQARDSKLVLYKDHVSEMIKSFEEVTFHHLPSEDNQMADVLETMASMFKIGAKTEIQPIYVQTRNLPAHVMSVEDKADGNPWYFDILQYLKKQINSEHATEVDKRTLKRMAAGYFLSGETLYKNGWDGTLMRCVDSAEARKILEEIHGGSYGSHANGHRMARQIIREDVIGMITPKASNGHQFILMAIDYFTKWVEAASYPSVTQVVVARFINKEIICRPKMNGAVEAANKNIKKILEKMIVTYRDWHEKLPFALHTYQTCVRTSTEATPYSLVYGMEAVVPIERLRSEGTIKEEHEGIEAEPLKQQLEIVRQSTEMLEGLQNRYLNDLEVQQMTTMPGGLIYHREEPSIPMQVANDSNSAESSQMGVKRGEQTRQKTREELEFELNLQMEQQNLKMVESQIILNPSARENASSTNQCTNQESQSSTLLLRPGILVNATSPGFICTINEEDTVSAFLKDTLSQRPPGGGIKVKDTEFGQNQTRGDQIKLISVILRKKPQPYLVAFTSLRRLKVAPSLPLPCRSSKPLPMKPEIYHTCKSVYESEYALHLSLSTETGPLEEEKDKPHDGPLVEEDIEREAEKHSAKRKRMEDEFAETELAEKTNVKQRMKDIEKEDRLKDDNIELPTVGSRLAVPQQQPRAP >OMO65000 pep supercontig:CCACVL1_1.0:contig12711:32835:41813:-1 gene:CCACVL1_21588 transcript:OMO65000 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MGDSEAVIAGSSATMDYTSGAYSSTASGAYLSEVATGDPTASGAPTEGTYAASGGDPSSATDENASGARNTTVDVSAAGYNSSLNGDAVNEAVNAATVQNGNVFDNVGGASAAPEFADGSVPPMSGEEDRLWSIVRANSLDFNAWTTLIEETEKVAENNIMKIRKVYDAFLAEFPLCYGYWKKYADHEARIGSMDKVVEVYERAVQGVTYSVDIWVLYCAFAIETYGDPETIRRLFERGLAYVGTDYLSFPLWDKYIEYEYMHQEWSRLAVIYTRILENPNQQLDRYFNSFKELAGSRPLSELRTAEEAAAAALGVSSEGDGQVNEGEVHPDAAEQSPKPVSAGSTEAEELEKYVAIREQLYKKAKEFDSKILGFETAIRRPYFHVRPLNVAELENWHNYLDFIEREGDINKVVKLYERCLIACANYPEYWIRYILCMEASGSMDLADNALARATQVFVKRQPEIHLFAARFKEQNGDIAGARAAYQLVHSEISPGLLEAIIKHANMESRLGKLEDAYSLYEQAIAIEKGKEHSQTLPMLYAQYSRFVYLVSGNAEKAREILTGALDQVQLSKPFLEALIHFETILPPPRRIDYLESLVDKFIAPNSDGSAADREDLSSIFLEFLSLFGDVQSIKKAEDRHAKLFLPHRPMSELRKRHAEDFLSSDKTKLAKSYSGAPSPGQSLMGAYPNAQNQWPAGYGAQPQTWPPTTQTQAQPQAQAQPWAPGYSQQAAYGAYGNYGTNYATQQVPTSVPQSAGYAAYPTTYPVQTFPQQNYAQPAAATTLTPAQQPAAAAAAQNYYGTYY >OMO64999 pep supercontig:CCACVL1_1.0:contig12711:26904:31228:-1 gene:CCACVL1_21587 transcript:OMO64999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYPGPVQVGSYFVGQYYQVLQQQPDLVHQFYSDASTMIRVDGESSESASATLQIHTMVMSLNFTAIEIKTINSLDSWNGGVLVMVSGSVKIKDIMGRRNFVQTFFLAPQEKGYFVLNDIFQFIEDGMIYQHPASTLQENKRDAQLPVSSPIAEPPVTAVSDYILEEEARDYVNSVSIEDDPVDKYSLPEQPQQEDVEAEVVVEEAPAEETHVSHDSVVNSVPELPAVSMEEPVGEPPKKTYASILRVAREQSTSSVHVQVQPSYNKIPQSTSDWEHTPEPAIQQSHSAWSDVPESAAEIVEEGLISEEGEYAGEYKSVYVRNLPSTVTASEIEQEFKNFGRIKPDGIFIRNRKDVVGVCYAFVEFEDIAAVQNAIKASPIQLAGRQVFIEERRPNSSSTRGGRRGRGRGSYLPEAARGRFGSRGLGRGNNQESGDYRSRGNGFYQRGSR >OMO96771 pep supercontig:CCACVL1_1.0:contig07381:38950:40103:-1 gene:CCACVL1_04779 transcript:OMO96771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSIFPPNAYSQPEDSFSPDVTATVEKTMKKYADNLMRFLEGISSRLSQLELYCYNLDKSIGEMRSDLVRDNGDADTKLKSIEKHLQEVHRSVQILRDKQELADTQKELAKLQLAQKESSSSSHSQSTEERASPPASDSKKTDHTSDMQSQQLALALPHQVAPPQQPVIPHSQAPPQN >OMO96772 pep supercontig:CCACVL1_1.0:contig07381:40701:41748:-1 gene:CCACVL1_04780 transcript:OMO96772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSSGRANSGGSKGFDFGSDDILCSYEDYGNQESSNV >OMO96770 pep supercontig:CCACVL1_1.0:contig07381:37980:38825:-1 gene:CCACVL1_04778 transcript:OMO96770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTQHPQSQYVSSDPQYRTPQMQDISRMPPQPTQSQVNQVPPVQSFPQYQQQWPQQLPQQVPSAQQQSSMQPQMRPQSNTAYPPYPPTQSTNPSPPEALPNSMPMQVSYSGVPQPVSSHADTVPYGYGLPGRAAQQQPPQQIKGTFGAQPADGYTTSGPHPPLPPGSAYMMYDSEGGRPHHPPQQPHFSQGGYPPANVSLQTPQPGSGTNVMIRNPGHSQFVRNHPYSDLMEKLVSMGFRGDHVASVIQRMEECGQPVDFNAVLDRLNVHSSGGSQRGGW >OMO57014 pep supercontig:CCACVL1_1.0:contig14454:32905:34911:-1 gene:CCACVL1_26084 transcript:OMO57014 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MALLFIVPFLLLLGLVSRLRRKPFPPGPKGLPIIGNMMMMDQLTHRGLAKLAQKYGGIFHMKMGEEAKVNESDDLQNAIKLTRENIKAIIMEEKFENPETLEFTCKGKQGNEEEGDKG >OMO57013 pep supercontig:CCACVL1_1.0:contig14454:28845:30958:1 gene:CCACVL1_26083 transcript:OMO57013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAACKRVGQFGSNSGIIGGSFRFRGFGTPNVVSPLDTAPFSPSAIRLSASSASFSHTRRLSQLVKSNGKRLFLVDTLALVRRLESEGLPSKQAEAITAAITEVLNDSLENVALSVVSKVEMQRSQMIQESNLSNLKSEVQRSQENHFSLLQHETEKIRNDIEKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQSTETSNLTNKLDREIHALKAQLEGAKYDLIKYCIGTLVSLSAVGLATVRILM >OMO57011 pep supercontig:CCACVL1_1.0:contig14454:20312:25151:1 gene:CCACVL1_26081 transcript:OMO57011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESKSFLTPMQRYAGGALFAIALHQAQIHQTHPLGLPAEDDDPCTEERVSSSSSGDSVSEDPELWVHENSGLLRPVFRVLDIDSAAWLGLEETAGSSPAKHHVGAFLRLLAEESEESSSDRLEEEVALSKAVDTMALSMETNSESCKVKKEKHREYEHAYREKYSTAEVPSNSEVANQQTEAPSETDTNVHHGNDELHVQGDTLDEKPVEEVGMLSYQRKVTVLYELLSACLSAADTREDNKKYTRRRKGYDARHRVALRLLATWFDIEWIKMEAVEMMVAYSAMALVKEKEAKEEESESSDSKWAKWKRRGIIGAAALTGGTLMAITGGLAAPAIAAGFSALAPTLGTLIPVIGASGFAAAASAAGTVAGSVAVAASFGAAGAGLTGTKMARRTGSVDEFEFKAIEDHNNQGRLAVEILISGFIFDEEDFVRPWEGRIDNMERFVLQWESKNLIAVSTAIQDWLTSRIATELMRQGAMMTVLGSLLTALAWPAALLAATDFIDSTWTIAVNRTDKAGKLLAEVLLKGYQGNRPVTLIGYSLGARVIFKCLQALSETEQSAELVERVVLLGAPISIKGENWEAARKMVAGRFINAYSTNDWMLGVAFRASLLTQGLAGIQPVGVQGIENVNVTDLIEGHSSYLWATQQILDRLDLEVYYPVFKSTPHEQ >OMO57008 pep supercontig:CCACVL1_1.0:contig14454:1316:2653:1 gene:CCACVL1_26077 transcript:OMO57008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MVMKLFLFILLLEATSFSVSSSRPSHEFEVIEKEYLNWVRQMSTYKHSLFGKGKNKFKPCLIKRVNKKPKAGEFATVQKAISSLPVINNCRAVIIIGAGTYREKVEIPATMAYITLEGAGAGKTVIEWADTADKMGQSGHLLGTFGSATFAINSPYFIAKNITFKNKAPSPPSGALGKQAVALRISADTAAFIGCKFIGAQDTLYDHIGRHYFKDCYIEGSVDFIFGNGLSLYRDCHLHAVTNGYGALTAQKRESLLEETGFSFVNCKVTGSGALYLGRAWGTFSRVVFVYTYMDKIITPRGWYDWGDKKREMTVFYGQYKCSGPGAEFGGRVSWARELTQDEAKPFISVDFIDGNSWLPIS >OMO57010 pep supercontig:CCACVL1_1.0:contig14454:12506:19738:1 gene:CCACVL1_26080 transcript:OMO57010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MENCQQVESTTALYKPCLQDNDGGCDGGDVVGCNNSDSLNRDSLRGVDFMNVDQCQKLPDMDDSQLVGNADVAVRAGTGITAVTQVGNGVKVVEQNPAKRRRGRPPKNQGRTTSSAPLPPPSQRNNQDEEDVCFICFDGGSLVLCDRRGCPKAYHPACIKRDEAFFKSKAKWNCGWHICSTCQKASYYMCYTCTYSLCKNCTKDADYVNVRGNKGFCGTCMRTILLIENCTLGNKEMVQVDFDDKTSWEYLFKVYWILLKEKLSLTVDELTKAKNPWKESAIMGPRGESSGELNNNGINAKGANMEMSGQDLGASYSKRRKTMRQQKVLNQIEPLEAEKPGVIKGMSLPKGRSWASNELLEFVSHVKNGDISELSRFDVQALLLEYISRSNLRDPHQKSYVVCDSMLIKLFGKRRLGHFEMLKLLESHFLIEDQSKVIDIIRGGGTETSATQLAFDGNSDSQPIIANDKRRKTRKKVDEKGQKANPEEFAAIDVHNMNMIYLKRNLMENLIDDADKFNDKVVGSFVRVKVSGSSDQKQDMHRLVQVVGTVKVAEPYKIGEKTTNVMLEISNLDKREVVSIDGISNQEFSEDECKQLDQNIKCGLFKWFTVDEIRKKAMALQAVRVNDWLESEIMRVQNLRDRAKCVEKLQLLNSPDERQRRFNEIPEVHSDPNMNQYFKSQEVAGELDENNKGNNMKQRNSGFGMKEKEPASPLKGGDIASRENSLPPHSTGLEPSVNNVEADKWHYQDPHGRIQGPFPMALLRKWSESGHFPRDLRIWREKETQDDSILLIDAIDGRYSHELKLFPNSCLLTDGVRIASDDGSKNGDGDVRESRVMNVDQMDSKMVEGSSASMQNDTSGHLCANNESAKNKELASQSSPCTAPLDVVNSNAVQMASPLPHWESVKGDNDFPGQPQVSSSLLPSSSLSGKPSETQSHQVSEGHGAEKWDGGSISMNDNLNQTSEGQILAGNVKQDDSEGKSGKSCGQSWRSPPINDSSNGWDANSGLISLAKALEASEHNQDIGFSDLPTSTSKLTHEDSKGQTTENKQSLSANVPHQDSGPSWSTASSLVVNGPQLAEWGGYSSTPVKPSVGEWDSDLVPESSLKPTELASDHAPTPTSGSGQLTHSSPTGPVNNASAWDPIVPEPNEYSLGDESVSDLLAEVEAMESLNGLASPTSILRCDTELAQGSEHDCFSPVGGLSPAPDPGKSDALSSTNDLQMPSQSTVTTEPFPVSQSEVLDAQKSSGGHSSTSAEMDEDKRPSDASVNQYEAGPDIQPPPPPVTTWGMTTVDTAWRAGPETTAPNWGAVQGNANYNWGGIGQETTSVSWGTGQGSFHENGSYNSGTSTGGNPSYGGNQHQQQHQHRHHTVVRMESVLSELRPKGSEFVNFMKAGTARRELHVVIGTLENE >OMO57012 pep supercontig:CCACVL1_1.0:contig14454:25711:27330:1 gene:CCACVL1_26082 transcript:OMO57012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEKRDHAKDIFITIRISNPKITTLVASAFPVRGYVAGKSEAEATKEGRSIIDFYYACAGYSVYMSGNKDSVNKEESKPQLPVCIGVELLVNRKSTTADTASAPAPVHSREDPEIRKPQTHKPTHAEGDDFLSRQATI >OMO57009 pep supercontig:CCACVL1_1.0:contig14454:3065:6252:-1 gene:CCACVL1_26078 transcript:OMO57009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRPRRRCEGTAMGAIVLDLRPGLGIGPFTLGMPICEAFAQIEQQPNIYDVVHVKYYDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDIKRLQMRYATSLIGGPSTLATFVAVYALFGPTYPGTYDKDRGVYTLFYPGLSFAFPIPSQYTDCCHDGEAELPLEFPDGTTPVTCRVSIYDSSAGKKVGVGSLMDKGSAPPLPAGSLYMEEVHAKLGEELFFTVGGQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFTRGLDILFDGQTHKVKKFVLHTNYPGHADFNSYIKCNFVILVGGSFPDVNNYKNRITPSTKWEQVKEILGDCGRAAIQTQGSTSNPFGSTLVYGYQNAAFEVMKNGYIATVTLFQS >OMO76320 pep supercontig:CCACVL1_1.0:contig10908:8954:12188:1 gene:CCACVL1_15767 transcript:OMO76320 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger membrane region MAMLKYTVVVLLLLLTIDYGSSSRSIKENSSLTLSDGMDQQKPIGASVSDEVGRLTTTVTCQATYGFLPCTTKVWGKLFLLVIYEYLLSLAQKYVARGSNLFFRMFGTGLFGAGLFNILAVFPQIMLILVGGASSNPQVAQSMAGITMGLLTGSPILMLTLVLGSIIAFGSYDLSDTDSPNPDDPDTSTAPNTEPDTEKPFSLTGFGVRTDIETKYSAIFMLFSMIPFLILLVAKFLSSAAARRVVFLVALIVSFVFLVLYITYQVFQPWIQDRRLEYLFRKFIQDNLLGRLLTRNGRPDVVQIRRLFHRIDTDNDSFISPVELRAFILGVDMEEVGLDEEDFSAKILEEFDFTADGNINEAEFVRGISNWLRNANNDANDSQGDRKLFHFSLKKNDEQQQNLLPAAKNGTTRKIKLAANTWWNYSEAAFFIILGTAVSVLIANPLMTTIREFSTSININSFVVSYVVVPLALNFRPAFKAITSAMRKSDKAASLTFVELYTGTFMGNSMGLVIFLSLVYIRDIQWAVSAEVPVVFIICTVMGLFNIFSTKIELWTCILVYLLYPISLLLIYVLNNVLGW >OMO76321 pep supercontig:CCACVL1_1.0:contig10908:13558:15637:-1 gene:CCACVL1_15768 transcript:OMO76321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSNNTSDVVDSVDQDAHLLSLPNAASDTAAAGVGDDNNQQNFPSSSFRLRPKKQKAPKRGPGVAELEKILREQQEKKHASVEKDEMKTNNFRGIPSSLLPPVLPKTTYLRPSPHSSSNTFSSSSNISLPRDHIPPFNDHTGHRSVDASCNVPVSVTTLPCNVNVNVNRGNNGRSKGVYICKAGVYLPEECLLPVTWASCDFGTRRVEETQRMESDFSFPKLVSKGFDHSNNTVPLHPSMMIGAKRPRPTFYVENWPPAPPPNLPCQSPSFRHHIIPRLDASPSSSSAMPIHGLINPTSSRGPMTSSALELKKQRWIFNDNGNNSGSGSSSPTTLLPSTENCQLDFSKFKQFNFQEMMENSNKGKGLLQKPLSSSSSGSEGSVHKKKSFISILLDAEKENGDHAGEPTLDRFDTEKTAEFIDLNLKL >OMP12036 pep supercontig:CCACVL1_1.0:contig00532:2673:7678:1 gene:CCACVL1_00165 transcript:OMP12036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MHRLSRRSVSAALRAPATRYRNAAAASVSSSTPLPDSAVGSDNKTRWYSAITGGKCNTARYSTQLNLKSGLVLGCRHESTAAASDSANPPLRPGEKYDNASDALDKLRYLSVTEPQLLKDAVDLDIRIQTDKDNGIISITDSGIGMTRQDLVDCLGTIAQSGTAKFLKAMKVVVSTKSPKSDKHYVWEGEANASSYTIREETDPENLIPRGTRLTLYLKRDDKGFAHPERIERLVKNYSQFVSFPIYTWQEKGITKEVEVDEDPVEAKEDAPDGNTEKKKKTKKIVERYWDWVLANETQPIWLRNPKEVTTEEYNEFYKKTFNEYADPLASSHFTTEGEVEFRSILYVPAVSPMGKDDIVNSKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISMSENRGVLYLVDPIDEIAIQNLKSYKEKNFVDISKEDLDLGDKNEEKEKVMKEEFGQTCDWIRKHLGDKVASVQISNRLSSSPCVLVSGKFGWSANMERLMKAQAVGDSSSFEFMKGRKVFEINPEHPIIKEINAAYKSNPNDEDALRAIDLLYDAALVSSGYTPDNPAQLGGKIYEMMGIALSNKWSTPGAQNSVPPPPQPETLEAEVVEPVEAGGQK >OMP03098 pep supercontig:CCACVL1_1.0:contig06151:6410:8008:1 gene:CCACVL1_02565 transcript:OMP03098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate permease MAGDNLEVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAEKPGSLPPNVSAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGLTLLLMVICSIASGLSFGHTPKSVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIFAIFVSAAFKAKFNSPPYEVDPAGSTVPEADYVWRIILMVGALPAALTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQMDIEAEPQKIAEMEQKKSSQFALFSREFGKRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFSAIGWIPSAKTMNALEEVYRIARAQTLIALCSTVPGYWFTVALIDRMGRFAIQLMGFFFMTVFMFALAFPYDHWTHKENRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARFRSTCHGISAASGKLGAIVGAFGFLYLAQNKDKAKADAGYPAGIGVKNSLIVLGVINALGFLFTFLVPESKGKSLEEMSGENDENEGGQTELSSSA >OMP03099 pep supercontig:CCACVL1_1.0:contig06151:9861:11680:-1 gene:CCACVL1_02566 transcript:OMP03099 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding, cofilin/tropomyosin type MSFRGFSRPNATSGMGVADHSKDSFLELKRKKVHRYVIFKIDEKKREVVVEKTGGPAENYDDFTASLPENDCRYAVYDFDFVTSENCQKSKIFFIAWSPASSRIRSKMLYATSKDRIRRELDGVHYEIQATDPTEMDLEVLRERAN >OMP03100 pep supercontig:CCACVL1_1.0:contig06151:12513:17480:1 gene:CCACVL1_02567 transcript:OMP03100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDGQRGLSTPSAMLASLLSKRAKLQEELRIIERQVYDMETSYLQDPSQCGNVLKGFEGFLSASKNTAFLKRSRKFQPEDRLFSLSSVTSPAAEEIAAGRDEKSDYGPGRSRGGGYFANGQGKPKKGRAREAKRMRTSSEPDYDYDDDPDEKLKMQRKKEPVEEKEEVPVYWLETTDAVTRRYEFETNGYLSVKVVNDSRPVYHRVVESFLNKFFPSGYPYSVNEGYLRYTQFRALQHLTSAALSVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHMGKLICSNLGARMDSEPKRWRILADVLYDLGTGLEVLSPLCPHLFLEVAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVVGIGVGIQLASTVCSSMQGKLVVGPLLSVIHVYSVVEEMRAAPVNTLNPQRTAMIVADFLKTGKVSSPADLRYREDLLFPGRLIEDAGNVKVGRALHKVVKPSKLLEWKEIFPEEKFVLSRGNKWTDMLLEHNASGEDALRGWLVAAYAASTEKSFHEPSTSVLQDAYEKMNSIFTPFLSELRSKGWHTDRFLDGTGSRFAF >OMO73076 pep supercontig:CCACVL1_1.0:contig11311:31770:32228:1 gene:CCACVL1_17477 transcript:OMO73076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDTIMISHEDCVVDFEPPLDYKEPEKCCAADERSSEKEEANDQKPKFKPFTGLARRLDGELTSTELDSVCVPNKVENEEAAETVVSKMFKRRMALAIANKEKSENVESETLKNEPKFQPFTGKKYTLAE >OMO73077 pep supercontig:CCACVL1_1.0:contig11311:33364:36031:-1 gene:CCACVL1_17478 transcript:OMO73077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97/Spc98 MEEEDQRKVTDLVIELVRRLLSQQNPQNPQSPNLNSPHFSQSLRYALRILSSRLTPSIAPDADAIAESIKRRLATQGNSSDALTFADLYTKFASKNGPGSVNNKWAVLYLLKIVSEDRKTANNGMDSSVFLPNLGLNDEGVGNDLRALNGKESREKDWKNGVLLVSKDPENLREISFREFRNVVKDENEVTEEVLVRDVLYACQGIDGKYVKFDSNLDGYALSDSVKVPRGTRIIVRKLCELGWLFRKVKGYISESMDRFPAEDVGTVGQAFCAALQDELSEYYKLLAVLEAQSMNPIPLVSENASSGNYLSLRRLSVWFAEPMVKMRLMAVLVDKCKVLRGGAMAGAIHLHAQHGDPLVHDFMRRLLRRVCSPLFEMVRSWVLEGELEDIFAEFFIVGQPVKAESLWREGYRLHAGMLPSFISQSLAQRILRTGKSINFLRVCCDDRGWADATTESAAAAGTTTRRGGLGYGETDALESLVMEAAKRIDKHLLDVIYKRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLAGLLESAIRSSNAQYDDPDILDRLRVKMMPHGTGDRGWDVFSLEYDARVPLDTVFTESVMTRYLRIFNFLWKLRRVEHALIGAWKTMKPNCITSHAFTKLQRAVKLQLLSTLRRCQVLWDEMNHFVTNLQYYIMFEVLEVSWSNFSNEMEVAKDLDDLLAAHEKYLHSIVEKSLLGERSQTLYKSLFVLFDLILRFRSNADRLYEGIYELQARTVESSVNSRENKSRRQAKDKSSESGSWVNEGRKALTQRASEFLQNMGQDLDALASEYKSLLEGFLAQLPVQQHIDLKFLLFRLDFTEFYSRQHPTV >OMO73078 pep supercontig:CCACVL1_1.0:contig11311:39396:46448:-1 gene:CCACVL1_17479 transcript:OMO73078 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MERVAELQATASYEGTQNFSKDEIESRILTEGTQNGTSSDSGGGGANGGNHFVNTSISSAQSRCDMVLLDEDLAVREVIDDHLLQDLDVLELSTDDEVNQKVKQHSLVGKAISDKVIKLGPLRAILSKAWPLHDTLEIHELECNVFLFVFKDENDKRRVIQQGPWSVMNKHLMLKEWPVEAVLEEIDFTTSEFWVQAHNLLMSYLTKSNAEKIASMFPELVELDFDPHETFRWNDVLRMKVKVNIEDPLKTGFNLKRRDKPYIWVSFKYERLPDLCFTCGRIGHVAKDCIYRLDDQPKENYGHWMKATQAKQKQFSSGIGMSSSKLHRQTEVPRKAREPHGHLESHKPLSKEHVQSLSKSDKELIAANSIVGTIEPVEKEPQAGTSHHTCCCDNIQQMELDSGSIKDTCTWATNEFEMSKEPVSFLGKRSRPAKMDIDLVVVEALSKKRKNELISQISNWVYEHQPGMWDISQIGQASDNQLLCDLFGHKKDGLESNLIGSWETSCKGRSSGNRCVLRIKKAARMKALMEARRICAERSEIYPQGQDSTKWMIHKTQTIELAEALATVEWSDMFANAQGLYEVSTASDHCPVILCLDKLKNDKRRDFRFETKWLLDEECSGIVEEGWQEPVSGLRMFKLARKMAATRENLRRRRNSITRIKGGDDNWITDEADIRSYITEYYKELFTAGDLSCIDEVLDAIDEVVTPEMNEGLNALVEVAEIEKAAFSLGAHKAPGPDGFLGIFFHQFWEQVKPQVVQAVQSFFESGHLLRDLNRTNIILIPKQQCPETLAHHRPIGLCNFIYKIISKILANRVKNILPEIISPTQSAFIHGRQIQDNIIVAHQAFHALKGRSRGHNGYMALKLDLSKAYDRVEWPFLEKLLHNFLDQSVNAGELSNQASESRIWQMIANIWGFQTIWGRGKRAALRFIFDKIQSKVQGWKGKLLSHAGREVLLKSVAAAVPVYVMRCFLLPLSFCKDIDGILNRFWWTGSDENENRVHWLKWAHLAEHKDQGGMGFRDFHSFNLALLAKQIWRLLKRPESLCFKVLKSVYFPHSSLLEANKGKRGSWAWKSLYEGIEVLRKGTRWNISNGEEVLIWHDKWIPNLPFFRVTSQPPNKNRLHLVRDLIDPARRKWKEDVISQLFNRDEAKSIVQIPIGPPNIHDKLVWHFTNDGEYTVKSGYRFIHGKKQQLRRETSTSTSQQSSLATWRVSTFSYTPTREGFGSFRNWWEEVYSHLERANLTISISLMSYLCWNLWKARNALIFEGQIGDPKQVWINVQHEFNEFTSATVTTNSAGAHMRQRTTWQPPPVEFIKINCDAAFDLNSGKAGIAAVCRDHSGAIVQGASGLIHVGSIDAAEAYAVRLACSLVSPVMDGRR >OMO73075 pep supercontig:CCACVL1_1.0:contig11311:31077:31163:-1 gene:CCACVL1_17476 transcript:OMO73075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNRGLFRRRMRADFVVELYSGRMMIRD >OMP01408 pep supercontig:CCACVL1_1.0:contig06458:36478:39313:1 gene:CCACVL1_03098 transcript:OMP01408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRGPPKHQNSYAWKPNAGIKINETEVGGKFRPYSEITGVCPRCKEQIDWKRRYGKYKPLKEPAKCQLCTKRNVRQAYHNLCSGCAKEQKVCAKCRCRVDQIVGRDSADVEEEQKMLEEAIKNARERDRRTLLRAKRVTPSFQISTGAMLV >OMP01409 pep supercontig:CCACVL1_1.0:contig06458:40161:41544:1 gene:CCACVL1_03099 transcript:OMP01409 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MASCWPSSCAVCASTCKHPNSYILKFSPSNLQNYHLHCQRHTRSPTASYGVSFVDEDFTDSDESESESEREEGSTQSFKSGELLNYTALKLNRQIGKKNFLGLEAISPSVGLACAKMASNIDRFMSYKIYQTCPDDWDIAQKLMLNGCDPLPRRRCFSKAPPRYTEFTIDEVLKLKSGEIRIGLDFSPTTGTFAALMRERNVTIASATLNLGAPFNEVIALRGLLPLYLSVGSRLPFFDNTLDIIHSTLFLDGWIGIELLQFVLFDWDRVLRPKGLLWVDRFFCKRDDLKIYLDEFSRLEYKKLLWRVVPKTDKLEDEFYFSAILEKPIRS >OMP01410 pep supercontig:CCACVL1_1.0:contig06458:42727:45656:-1 gene:CCACVL1_03100 transcript:OMP01410 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MASSSRMQALGQTEINWDKLDKTKFYVVGAGIFTGLTVVLYPVSVVKTRLQVASKDTVERNAFSVVRGILRTDGIPGLYRGFGTVITGAIPARVIFLTALETTKVAAFKMVEPLKLSEPTQAALANGIAGMTASLFSQVETLLDVWDIRGLDDCGPDSLKCFNADCRISQKLMVQGYSGHATYNGGLDVARKVLKSDGIRGLYRGFGLSVMTYSPSSAVWWASYGSSQRVIWRLLGNGTDLEKAAPNIWTIVSVQAAGGIIAGATASCITTPLDTIKTRLQVMGHEKRPSARQVVKTLIADDGWKGFYRGLGPRFFSMSAWGTSMILAYEYLSMDPPLIYYVYLLFID >OMO51706 pep supercontig:CCACVL1_1.0:contig15739:2677:3502:1 gene:CCACVL1_29640 transcript:OMO51706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQMEFQSLFHENQILGRGLQRRFFFFVANGCNGVNCDGHTVVAMSVAKPGFATTFRRKRPSQLTGFLVVGDHLMETLTI >OMO63324 pep supercontig:CCACVL1_1.0:contig12993:1179:1319:1 gene:CCACVL1_22417 transcript:OMO63324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEYMTTCRRDMEDEPSAVKGTRPKVFWMFSRAALLWIPLSHHIL >OMO63325 pep supercontig:CCACVL1_1.0:contig12993:3151:3225:1 gene:CCACVL1_22418 transcript:OMO63325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRSCHRELKLKSLDMAKSEAKA >OMO87653 pep supercontig:CCACVL1_1.0:contig09208:13623:21639:-1 gene:CCACVL1_08849 transcript:OMO87653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex, component Exoc1 MAKSSADDEELRRACEAAIEGTKQKIVLSIRVAKSRGIWGKSGKLGRHMAKPRVLALSMKSKGQRTKAFLRVMKYSTGGVLEPAKLYKLKHLSKVEVITNDPSGCTFTLGFDNLRSQSVAPPQWTMRNIDDRNRLLLCIMNICKDVLGRLPKVVGIDVVEMALWAKENTPSVPTQRSQQDGQPVETTVTESDLKVTVEKELVSQAEEEDMEALLGTYVMGIGEAEAFSERLKRELLALEAANVHAILESEPLVDEVLQGLEAATICVDDMDEWLGIFNVKLRHMREDIESIETRNNKLEMQSVNNKALIEELDKLVERLRVPSEYAACLTGGPFDEARMLQNVEACEWLTGALRGLEVPNLESTYANMRAVKEKRAELEKLKSTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEASSGGSQSGNSADTSAVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPALVPPGGVANGNKSGSYDDDANDDDLGIMDIDDNDSKAGKTSADLQALNESLQDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGDLESRISMQFSRFVDEACHQIERNERNVRQMGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSIMFVTLEKIAQTDPKYADIFLLENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISMIIYYQFERLFQFARKIEDLMFTISPEEIPFQLGLSKMDLRKMLKSSLSGVDKSIAAMYKKLQKNLTSEELLPSLWDKCKKEFLDKYDSFAQLVAKIYPTETIPSVTEMRDLLASM >OMO87656 pep supercontig:CCACVL1_1.0:contig09208:35309:37811:-1 gene:CCACVL1_08852 transcript:OMO87656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MAVDGAPLLSSHGESDGNQVEKPVSLIKEFGYESKRLWHIAGPAIFTSICQYSLGALTQTFAGQVSELDLAAVSVENSVVAGLAFGVMKFLQAQRKVMVMAWVSALLGVLVLNGAGGHNSMNINGWDAMIAIGFNAAISVRVSNELGAGNAWRAKFSVLVVSITSVAIGLVCMILVFSTKDYFPYLFTNSAAVAEETTKLAILLGFTGIWSGMIGGILLQTLILIAITALTNWNKEADEAESRVKRWGGSAGEH >OMO87652 pep supercontig:CCACVL1_1.0:contig09208:10010:12209:-1 gene:CCACVL1_08848 transcript:OMO87652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MAASDNEYLSNDDGEDDSYYYYDAESDDEIEILPDACSDGYEDEDQYHLSEDEEAEVPRLHDNRVVLTEADIGQRIKDYIDQVSTVLSIPNNEASILLLHYNWSASKVHDEWFLNENGAREKAGLPVKPLVELSDNKYYELSDHNKYHDEILCGICFDTYPLDEIKSTRCGHPYCNECWSSYIKTAIADGPGCLFLRCPEPSCNAAVTKDLVVNRTIKNCPSPGCENAIEFIAGCGSNDVFCSCTHSFCWNCSEEAHRPVDCETAKKWMAKNSSEAENVNYILAFTKPCPKCRKPIEKNLGCNHMTCRAPCHYQFCWLCLGDWNVHRGSCNRFRESESNEDDIKREKAKNYLQRYTHFYERWATNQNSMKRAIADMKKVEAEQIDILVDVQQQSAGQLKFVTEAWQQIVECRKILAWTYAFGYYLDDEVKTGLFVHLQGQAETGLERLHHCAERELKPFLEQVKPEDRKEEFTTFREKLSKLTGVTKNYFDNLVAALENGLSEVNSINAQSGSKKSRRDQSKKIANANRASPRASAAASQSFQQAPMALPGPWSCIYCTLVNDESATSCKLCGRE >OMO87658 pep supercontig:CCACVL1_1.0:contig09208:43881:45822:1 gene:CCACVL1_08854 transcript:OMO87658 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate-related phosphatase MGNFYTILQAAGKGRRSKYKRKPVLDSFNNPVGSHEGIKRVGADNHCDFSRNSDLCICVVTWNMNGQVTYEDLVELVGSNRRFDLLVVGLQEAPRDNLARLLQDALLETHQLLGKAIMQSLQLFVFGPKNSDLFINELKVDKHSVGGCGGIIRRTKGAVAIRINYKGFRMVFITCHLSAHARNVEERNSQCRHISHSLFSKYWNPYARPAHITVWLGDLNYRLQGIKTHPARNLIQRNLHPLLTSKDQLLQEAERGQIFNGYCEGILTFKPTYKYNVGSSNYDTSYKVRVPSWTDRILFKIQDPDRINATLHCYESIDDIFSSDHKPVRAHICFKLTN >OMO87649 pep supercontig:CCACVL1_1.0:contig09208:1354:3036:-1 gene:CCACVL1_08845 transcript:OMO87649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Octanoyltransferase MILAQNLCFRTIPTTCITVKHQKEPHQVNPVTQTKTKFCECFDLHKELIPYGKAWSWQKQIVKEKKSLVQRNEDCPDTLIVLQHDPVYTMGTGSSEKYLNFDIKKAPFDVYRTERGGEVTYHGPGQLVMYPIINLRNHKMDLHWYLRALEEVVIRVLSSTFSIKASRIEGFTGVWVGNQKLAAIGVRVSKWITYHGIALNVNTDLTPFSWIVPCGLRDRQVGSIKGLLQESQLSTRCGEADTSNSDDCELIDISYRSLIKEFSEVFQLEICQKAIPMSELLTENN >OMO87654 pep supercontig:CCACVL1_1.0:contig09208:22750:30311:-1 gene:CCACVL1_08850 transcript:OMO87654 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MGDYNSSDDDYYHDDYEDDDGYYDDGFEDGDDGETELLSAHASVPSAKVITKESLLAAQREELQRVMDFLSLKEHHARTLLIHYCWDVEKMLAVLAEYGKDKMFAAAGVQLPDHQSLFSSQSSDSTFTCYICYEDVSADMLTVMDCGHYFCNDCWIEYFIVKINEGQSRRIRCMEHKCNAVCDEEKIRQLVSTKDPNLSEKFDRFLLESYIEDNKRVKWCPSVPHCGNAIRSDDDELWEVECPCGMQFCFRCSSAAHSPCSCFMWELWFKKCQDESETVNWITIHTKPCPKCRKPVEKNGGCNHVSCVCGQSFCWLCGAATGREHTWSSIAHHSCGRYKDDLEKKCELAKKYHERYIHYHARYKGHIDSFKLESKLKETIMEKINILEEKVSTSKDFSWITTGLYRLFRCRRILSYSYAFAYYMFGDDLFKGEMNLVERQMKQNLFEDQQQQFEANVEKLSSILAEKFDTYSEDDILSYRMRVCDQSRTTDNLCQHFISISVSDDESDELGRMRVRVRRKRKKSGHHRVRTEFTQRLIRWFVRYWTLLIFVPAAFLLIFEATRIGRKPGLVLNSEVNEVKKPNLSGNSEISKVKEQSSQKKSDSNLNRLDPTTHVVGGVRERCLKLLPAEELEHLDIPADDEEYRSGPVKRLLYISDNDMPYGGGNSTFSWQRTNGTRFNLFTGNQTFDEREKSFKVNETAVVHCGFCSENGGFKISDKDKSYMETCNVVVSTCAFGGGDDLYQPIGMSEASLKKVCYVAFWDEITLAAQELQGNKIGEDGFIGKWRIVIVKNLPFVDQRLNGKIPKMLPHRLFPHAKYSIWVDSKSQIRRDPLGVLEALLWRTKSVLAISEHGARSSVYDEAKAVVKKHKATPEEVELQLTQYRRDGLPEDKRFNGKKVILAILAVTLLAFLSPVAHKNQAKNPSRPWMALSLYIQQPHISRSSIQPVAQSDAGAFIFHRALTEGPENTSRVVGKAQGFIIPVEHFANSAFNVIYLTFETPEFSGSLSVQAKHVEHKDREELTVVGGTGSFAFARGLAVFAQTDSQSPEANATYHVKLQLRFPNRSQMIPG >OMO87655 pep supercontig:CCACVL1_1.0:contig09208:33128:34898:-1 gene:CCACVL1_08851 transcript:OMO87655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S9 MEGTGKFIINYRDAKEYLQGNPLWLQYVKVPLVTLGYETSYDVFVKAHGGGLSGQAQAISLGIARALLKVSADHRSPLKKEGLLTRDSRVVERKKVGLKKARKAPQFSKR >OMO87650 pep supercontig:CCACVL1_1.0:contig09208:4729:6097:1 gene:CCACVL1_08846 transcript:OMO87650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRLLNKRPLGRVYAPSSSWPWSSVKTVRCISKGGLDGEKKVSGNSADQRKPTVAVKASVAAAESVVISKPEVNWGKELAFLLAKAINSMRQVLIRPVFKPIPWKSHVQMLIQKVIIDCRFFTMFAVGGSLLGSILCFLEGCFLVLESYLQYFHSLSQKADQEHIIHLLIEAIDMFLVGTGMLIFGMGLYIMFVGSKTMKGGVPSLPRSNLFGLFHLKALPAWVEMESVSQAKSKIGHAVMMLLQAGVLEKFKSIPLVSSLDLACFAGAVFISALSIFVLSRLSFGSTLAGHSSSSQKYP >OMO87657 pep supercontig:CCACVL1_1.0:contig09208:39331:40518:-1 gene:CCACVL1_08853 transcript:OMO87657 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein MNFTGVSHLNIDAPNLQFFDIGGIFDDVSFQNTVHLALISIGLYVNIDNDDSDAQESSSKLVRFFVNLPHIRRLEVQSYFLKYLAIGNVPSRLPNPCVDLNYLSIRIDFDDLEENIAALCLLRSCPNLQELEMLARPEEQASEERSTNFWEDDHWSSLFAHLKVVKVSGISGGKSEMDFIKFLLSNAPMLERLTVKPASQDGEWELMKELLRFRRASIYAEVIYLDP >OMO87651 pep supercontig:CCACVL1_1.0:contig09208:6601:8463:-1 gene:CCACVL1_08847 transcript:OMO87651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MAASDNEYLSDNDDGEDDSFCYYGAESGDESLQDAGIDDEGELPDDEEAERVPQGLHDNRVVLTEVDIRQRIKDDIDEVKNHIDEVSSVASNISKDEASILLLHYNWSVSKVHDAWFLDENEAREKAGLPVKPLVELSDHDEILCGICFDTYPFDGIKSTGCGHPYCNECWGSYIKTAIADGPGCLFLRCPEPSCNAAVTKDLVGLFASEEEKSKYSNFLVSSYIEENKWIKNCPGPGCENAIEFDAGIGNKDVFCSCTHSFCWNCTEGGHRPVDCETVKKWMAKNSSEAENVNYILAFTKPCPKCKKPIEKNLGCNHMTCRAPCHHQFCWICLENWKDHRWNYSCNRFNKADENKRKKAKDYLERYTHYYERWATNQNSMKRAIADMKRVEVVETEILGEVQVQPASQLSFLTEAWQQIVECRKILAWTYAFGYYLADEDAAKKNLFEFLQGHAETSLERLHHCAEKELKPLLEQQSPVQFSEFRKKLCQLTRVTKHFFDNLVAGLENGLAEANSIDAPRPSKKPRRDYQSNKFANANYRADHQRFAFASGAAATTSQSFQLPAMATGPWSCAYCTLVNDESATSCTVCGRGSWTCDICTFANPRTVSTCQMCSEADDI >OMO96409 pep supercontig:CCACVL1_1.0:contig07478:4076:5388:1 gene:CCACVL1_04969 transcript:OMO96409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain MRIHESDKVPNHIVAKLTPAPCNRGSFSSLGDEHSKGKKVDLSCYIGSKYLKMHELLSAKLMLFLASQEKFHAEAEKNYWKAIEELIPHEVPTIEKRGKKDKEKKPSIVVIQGPKPGKPTDLSRMRQMLVKLKHKPPPHMKPKPPPPAEVKKDAKTGSNGVGPRAKTAAATTPATVAAA >OMO60408 pep supercontig:CCACVL1_1.0:contig13722:1912:4220:1 gene:CCACVL1_24170 transcript:OMO60408 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome-associated, Iojap-like protein MLAALRSRYLHLYATSLPSSSLTNQSWKLGFSGLNQRFSSSGAESSSKELLSLQEVEKVLSDVRADDVTVIPVGNHVDWADFMVIATGRSTWHIKNIAQALIYKVKQKQLGAKRKVLPSVQGQETGKWVVVDSGRVIVHALDEKARAYYNLENLWTGKAGQKEPTEELTKAFVKVRRINNSKKPAQRSPF >OMO60418 pep supercontig:CCACVL1_1.0:contig13722:42306:42404:1 gene:CCACVL1_24180 transcript:OMO60418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTERTILSPFTKEYRVLVAGSGIRLFLEELF >OMO60413 pep supercontig:CCACVL1_1.0:contig13722:21841:24209:-1 gene:CCACVL1_24175 transcript:OMO60413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSYFPLRWESTGDQWWYASPIDWAAANGLYDLVIELLHLDTNLLIKLTSLRRIRRLETVWDDEAQFDDVAKCRSLVARRLLHECETKEGNNNSLIRAGYGGWLLYTAASAGDVDFIKELLDRDPLLVFGEGEYGVTDIFYAAGRSKNADVFRLLLDFAMSSRSFLGSSEGEGEGGDELSESRSVFKWEMMNRAVHAAARGGSLEILKELLGDCKDVLVYRDMQGCTILHTASGRGQIEVVKDLVASFDVIITSTDNQGNTALHVAAYRGYLKVVEFLIQASPGLVSVTNNYGDTFLHVAVAGFRTPGFRRIDRQIELMKELVRGKVVNNIQDIINARNLDGRTALHMAVTENLHSSLVELLMAVPYIDLNVRDADGMTPLDLLKRRPKSASSEILIKELIAAGGISTCQNNVARSVIASHLRGQGIGGNSPGSSFRIPDAEIFLYTGSEKAYDVGYADQGGVEYSSCLSELSDLNVSNSSLGNKKSSSLNHTARRLKFLLQWPKKKERKADSTEPADNDPLEVMSTCRYQADNHIPLREKYSKSVSLPNNKRTFSLRRDLPSHSTRKKFTAELSHGVIQGVPPYKSPTSPISGSSVASPISVDEEKGSHVARETCSNPSFNGKTTEMNQKQASPDKRLMNQYFCFGAQGLAMQDIRSCARLDRSIKSVGSLVV >OMO60416 pep supercontig:CCACVL1_1.0:contig13722:34176:34304:1 gene:CCACVL1_24178 transcript:OMO60416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGNVKSVLWNFQRLKAVGRKEFEDMGMGMGMEMEVLIVAQ >OMO60414 pep supercontig:CCACVL1_1.0:contig13722:25038:25803:1 gene:CCACVL1_24176 transcript:OMO60414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKALPLPDGSLANIKDFYA >OMO60410 pep supercontig:CCACVL1_1.0:contig13722:12382:12477:-1 gene:CCACVL1_24172 transcript:OMO60410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRTRTTSQRRANQLVGNRQESRYSDKGW >OMO60409 pep supercontig:CCACVL1_1.0:contig13722:6681:10704:1 gene:CCACVL1_24171 transcript:OMO60409 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MAAVAGSNVVAFKSVSKLGDCSDRKSVQFRQWSPISGGVGSVQARPCIGLQCRSRRSFASSGVRAQIATAEQASTEAAQKVEAPVAIVTGASRGIGKAVALALGKAGCKVLVNYARSSKEAEEVSKEIESYGGQAITFGGDVSKEADVESMIKTAVDTWGTVDILINNAGITRDTLLMRMKKSQWQEVIDLNLTGVFLCTQAAAKIMMKKRKGKIINIASVVGLVGNVGQANYSAAKAGVIGLTKTVAKEYASRNINVNAIAPGFIASDMTAKLGEDIEKKILETIPLGRYGQPEEVAGLVEFLALNPASNYITGQVFTIDGGMVM >OMO60411 pep supercontig:CCACVL1_1.0:contig13722:13318:17271:-1 gene:CCACVL1_24173 transcript:OMO60411 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha/epsilon, subunit B MEEEIKAEFLKSGFTLQDEEEILKKCHTFCLNYSLQPSYLVSSWEVYYLNRQLDEPVVKDEDMDGFLGQLQNEQVEAVVKKEPSLHMYSYKDVDMILDAEDEDMKEVIVGTPPPTKSEIYSDVYDSVPPKPSRNIYSSERKSKETPFGKRSNTFVVKFSMDNLPMTETVNGKHDHENLEDDIIKRNQPHNSCSLVVQKSGPEPGCRFMYDRTEDRFNALENRIKRHADALVASGLFEEPMDPSVASQRSIFAVGMICCDGEGHLNDKSILLQSSVEHSGGQRVRLDLNKLSHFSFFPGQVVGIKGHNPSGHCLIASELVDFVPPSLVADTNLPPAKKQALDVEIQATNGSCTPTETSVIIAAGPFTTTDNLLFEPLNELLKYATRKWPQLLILLGPFIDSEHPQIKKGMVDLSFDELFESEILRMVQDYLAYMGSNARVVLIPSIRDANHDFVFPQPPFDVDSLDLKHQIACLTNPGIFYADEVKIGCCTVDILKHLSGEEMSRHPMDGTPSDRLSRLATHILNQRNFYPLHPPAEGVPLDSSLAPEALQIASVPDLLILPSDIKYFVKVLSLPGTTEGEEKTKKCVCINPGRLAKGEGGGTFAELKYQGSLDKMNASIIAI >OMO60412 pep supercontig:CCACVL1_1.0:contig13722:18906:20497:-1 gene:CCACVL1_24174 transcript:OMO60412 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MVENEGGNNHVSEADREREKAINDWLPITSDRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVTMLVMSWGVQPDVDYSYKASTTAGKVFNFLAAMGDVAFAYAGHNVVLEIQATIPSTPDKPSKIAMWKGVVVAYLIVAFCYFTVGFIGYWAYGNAVADNILIILEKPAWLIAVANMFVVIHVVGAYQVYAMPVFDMIESLLVKKLRFTPCLRLRLISRSIYVGKYIYYIYTPKSQLIATYCSN >OMO60415 pep supercontig:CCACVL1_1.0:contig13722:27482:33812:-1 gene:CCACVL1_24177 transcript:OMO60415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDKKIKIGINGFGRIGRLVARVALQRNDVELVAVNDPFITTDYMTYMFKYDSVHGQWKHHDIKVKDSKTLLFGEKAVTVFGIRNPEEIPWGETGAEFVIESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPELDIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTVDVSVVDLTVRLEKSATYDEIKAAIKEESEGKLKGILGYCEEDVVSSDFVGDCRSSIFDAKAGIALSGNFVKLVSWYDNEWGYRITAKSTATGSAHQAGEQRDIDILVGKVRAGRSDDEVFQCLASDPKCNAVHLSHDLVDKLLHRFNDDWKSALGAFRWATTRPGYKHSPQAYDTMVDILGKMKRMDQMKEFLEEMCKLHLVTLNTIAKVMRRFAGAKQWENAVRIFDELETFGLEKNTDSMNLLLDTLCKEKYVEQARDIFLRLKPHIAPDAHTFNIFIHGWCKINRIDEAHWTIQEMRGHGHLPSVISYSTIIQFYCSQCNFKKVYELFDEMVAQGCPPNVVTYTTVMCSLTKAENFEEALQIAQRMETAGCKPDTLFYNCFIHTLGRAGRVKDAAKVFEVEMPKTGVSPNTSTYNCMIAMLCHNAQEQKALTLLQEMENLKICKPDVQTYYPLLKSCLKTGKIDSLLSKLLNDMVNKHHLSLDMSAYSLLIHGLCRANRTQWAYNLFEEMILKDITPKYRTCRLLLEEVKEKNMHDAAEKIEGFIKNL >OMO60417 pep supercontig:CCACVL1_1.0:contig13722:39635:40620:1 gene:CCACVL1_24179 transcript:OMO60417 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone reductase complex 1 MLRQ subunit MATTNRWLRPEICVMRMLVPVTKEHRSAGILENYEEGERYAEHSLRKFVRKKRPEIMPSINNFFSDPNH >OMP03754 pep supercontig:CCACVL1_1.0:contig05982:40:13130:1 gene:CCACVL1_02274 transcript:OMP03754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97/Spc98 MESSCPSTPRWNLDRPFLTGRFHQGIKGTSRIAADAKGFSPDSFSSGLENPIGCYDAAVQELIVIDDLLFALVGIEGRYISIKKVHGKDDAVTFQVDASMDLALQELAKRMFSLCESFLLIDHFVESRSQFKNGLVNHAFAAALRALLLDYQAMVAQLEHQFRLGRLSIQGLWFYCQPMMGSMQALASVIQKASANNYTGSAVLNLLQSQAKAMAGDSAVRSLLEKITQSASNAYLSILERWIYEGAIDDPYGEFFIAENKSLQKDSLTQDYDAKYWRQRYSLKEDIPSFLANIAGIILTTGKYLNVMRECGHNVQVPVSENSKLMTLGSNHHYLECVKAAYEFASGELLSLIKEKYDLIGKLRSIKHYLLLDQGDFLVHFMDIAREELLKKHDEISVEKLQSLLDLALRTTAAAADPCHEDLTCCVERTSILKGLSTLKDVNIRDVSDSNDLEEPISITGLETFSLSYKVLEPNWHVMHGRLQTAKSIDEVIQYHDFFLDKCLRECLLLLPELLKKVEKLKSLCLQYAAATQWLISSSIDIPKLEEESDSSLGSKKSKQGRSRNPYQAQKVITKNSAVTDSILKFEREFNAELQSLRPILSSSAQAEPYLTHLAQWILGVGNDQ >OMP03755 pep supercontig:CCACVL1_1.0:contig05982:15847:21748:-1 gene:CCACVL1_02275 transcript:OMP03755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSKVIVAFILTLSLLSLPSLGFQSDELLVDDEEFGLEGAPQTRSPESIPTRSPPVVTPTKKRHSDLDSDSKIQFSLDHAFGDSGFAPAGTFSARLKTWSHGGQTLTKLRFSRNNFTDEEKQKFKNLLESDDFYRMRLPSNVLSPPGRDFIISSVKARCLPREGLDEHFVIHMEGTNVLAVNYGSPGSCPYPRILKLPAKWSFNSHTVLKSSDQAPRAPVFTEEILGVGGENGEGEVVQPPERSFWAKYWMYLIPLGLIVMNAITQAMNLPEEQATGQGPAQGQPSAGAVQRGPGSAVRRR >OMO61477 pep supercontig:CCACVL1_1.0:contig13468:15:844:1 gene:CCACVL1_23486 transcript:OMO61477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVVVLKLDLDDTRCRQKTMKTAGGLSGVDSIALDIKENKLTVTGDIDPVVAVKKLRKVCYTEIVSVGAAAKEAKEAKEKEETKKKKEESKKKKDEQVMNMIPINYPYNYPMLYHNHNHNQYPPPQMPSSYYPHSEFELPPYPYPYPYPYPYPYKVVQEEPPAYIIC >OMO61482 pep supercontig:CCACVL1_1.0:contig13468:41783:43753:1 gene:CCACVL1_23491 transcript:OMO61482 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MDMSDAVIVNSSRLKSIVWNDFDRVKKGDTFVAICRHCKKKLSGSSTSGTSHLRNHLIRCQRRSTHGIAQYLSGRDKKKEGSLALVNIDEEQKKDEVLSLVNLKNEQEQIKNESVNVANSSLDQRRSQFDLARMIILHNYPLNMVEHVGFKVFVRNLQPLFELVTYNKVEADCMEIYVKEKQKVYEILDKLPGKISVSADMWTGLDDAAYLSLTAHYIDEDWQLQKRILNFVTIDPSHSEDMYSEVIMNCLMDWDIDRKLFSMIFDSCTSENIVDTIRDRLSQNRFLYCNGQLFDVRCVVDLLHCMAQDALEALSEITLKVRESIHYVKSSEATQSMFNELAQEVQVVSQNCLCIDNPLKWNSTYLMLEVALEYRKVFSHMLNQDPVNLKFLPSDLEWDRASVITSFLKLFVEVTNVCTRNKHPTANIFFPEICDIHLQLIEWCKNPDYYIKNLAVKMRKKFEEYLEKCRLGLAVSAMLDPRFKLKLLEYYYPQLYGDSVTELLDDVFVCIKSLYNEHSIASPLSSFDQGMDWQVSGVPGSGKDSRDRLMGFDKYLNETSQGEGSTSDLDKYLEEPLFPRNVDFNILNWWKVHTPRYPILSMMARNILGIPMSKVAAESTFDTRGRTVDLNWSSLPPTTVQALMCSQDWIRSELES >OMO61481 pep supercontig:CCACVL1_1.0:contig13468:33457:34617:-1 gene:CCACVL1_23490 transcript:OMO61481 gene_biotype:protein_coding transcript_biotype:protein_coding description:TB2/DP1/HVA22-related protein MGVLKVIAKRLDAIVGPGVMLLYPLYASIRAIESPSMLDDQQWLTYWIIYSFLTLFELSCWKILAWLPFWPYMKLVFCMWLVLPIFNGAAYIYDKYIRKYINLGGFGSFAGFGGSNISNNYTEEQRKILQMINSDARKYVADYIDKHGWPAFERIIKVAEREAKKP >OMO61478 pep supercontig:CCACVL1_1.0:contig13468:2247:3065:1 gene:CCACVL1_23487 transcript:OMO61478 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MLRYFVDIGEGSLPPGFRFKPTEQEILKYFVRPAIETGFLRSPITCCDLYTSEPWNLFDKRAVDCFWIYTPLKKLKNENKEISMSIATQKKKRKTKTKENIERTARCGSWKEKTLKDIKDCAGKLLGHGRYYLYDPKEGLGLGFDDGDKDHDDLWFMHEYTLPKDEGLNFGAICKVEYKPNSGKKPPNNININNSALVASDTHKPKADSCGDDDHEQGPIPKKPRLEDATASGAFSINDDFASLDDDDEFLENLCTVLTDSDETQILECLPD >OMO61479 pep supercontig:CCACVL1_1.0:contig13468:5832:11075:-1 gene:CCACVL1_23488 transcript:OMO61479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGMMGMKFLGNAILVYRNYSTYSSSYGVVQKAKSGNWYKGQNPKVSKPRIRWTDEQENVLEYVRGGFSVFITGSAGTGKTILLQHIIKTLQKTYGKSGVFVTASTGIAACAIHGQTLHSFAGIGNGVGDRQMLLDQVSNNKGAKRRWNKADVLVVDEISMVDADLFDTLEYIAKEVRESDEVWGGIQLIVSGDFFQLPPVSNEYSKRKEFAFEADCWDASFDRQVELTKIFRQSDERLIKLLQSIRRGNIDPEDLQLLKQACSASEPDPSVVRLYPRIADVNNVNEERMNALSEKKVIYEAFDGGVKPWKLTFVGLAQEKLVLCKGARVMLIRNLNVWRRLVNGATGTVTGFTKADNRISININPENLLPIVKFDSGPEMVIDPEEWYVTEGSKIVAWRKQMPLILAWAISIHKCQGMSLDQIHTDLSRAFGCGMVYVAISRVTSLDGLHLSGFCPLKVKASPKLAELELKEFLASDESESDDDENEDATEDQSDKKNKKRDKYLALPQSGDGSDEDGEDNDQDMEVTFNTGLEDISKRILEKKNREAETVLEAQLRKRREKKKAKKNKSKYSSEDETDIENTEEPDDFFVEEPSVKRSKKEKKQHEEDKEKEAEASRAELELLLTDDKGADTGVKGYNLKPKKAKGKKGKEVIDEEKIPTVDEDPRFSALFTKQNNGLEHFY >OMO61480 pep supercontig:CCACVL1_1.0:contig13468:24614:31984:1 gene:CCACVL1_23489 transcript:OMO61480 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, Rad18-type MAVVFEGFSIREYASKMRNIDVVKCWPFGGPSSSSDDGDGDGESGSSNSKIKRETMESLLPPITVTKFRWWSEELDRLKSTELASSSSSNTEKEKHNKFDETQPKIQSSDLLQVNLQVEEKSDERLDMLECPVCGVFAASTVNALNAHVDSCLSQASREERRQMRMAIKGTKSRTPKKRSILEIFAAAPQIHKVDDVAVDDDDEDERGNGLNCKIERLNKKKKKKKKKKVAIVKKLMKKKRKLKKNKNKNMKKDGVIIANKQCFFTSEILMEPSHEVRASDESRIMYSRSLALENGSKLKPQTPASFNRKPNNSTCRRGSNSVSIVRNKPSVKCLSAKKKNKFSQAYKPTVEHEKSISPVRGILKNPTKIISGQNTAMCNVRATTEENTCDVQNSVRHVSFSGKDDILGPQMKHVTSFEKSICRIDSDPFDLSNKGQWIEGDKEFPAREINGSDDEDVPFRTENGNAVQAMMEKQQLPGIHQNVDIPKLLRPPIIEEERANHFSDKAPPPGQVVLDSSNLHVPNQGNQPAFSSPSYTGVPRFFPALKEIQNPFVDSRICDGLSAASNHSSRFVDYFGDHPQEVSTITAKANPRASLQPSSSGFSLSKDANESAPFTPQFASINVSGHALSHQPLYHLSPIEQMGRLCPFPEWKHKAIVFTEKYRDGEFFGLPLNSQGELVQAKSSGTGGFNQLKNPASGSSNSISNLVLPRGVDDHSIMKGKHYTDSPFPNNQLNLFPAQNQMKENATVNSPARVGATQLLGLSKDVQFLNSDRAGSHSFSLMDSDLNLTKISSSGCSQYDQFQNQKERGITQASASATAADRMLPNSPPPTMRLMGKDVTICRSSDERQGYADGKVWTDKEIIREHHPQGSALQSSYVDRHLQQDWSLNPASGKYKTSPVQQIDIETNQAFPSNVLMKPLESNFFQPGPNWRANPESHSSSLAVARNPIPNSHHFPHPPTSHSIFDSGADFPDHFVSRNETLRVSSHLPSASTSHRIYQNINDSSVELRYKQNHPNAVRSSFNFPFLHPDHGDHVQPSWFRPGPSKSLIPWLLQATQQGKAPTACQPFPDVGTRPYPHATQSSFLANPLVPHLPVVSYDHNSMISHSHMESPVGHPSILHSPLVPALPGIRPTSVNMSHRNRIMVKERMKLKNVGIQDPDICQKTRKRPGAEEDYLLMNPIKIPNLGNLDESRAAARLTRENFVDDIQCNRGSLEIDPYRDETGLIGWIPNESQCNGFGTSAGIDSSKVDAELRPGPVKLSAGVKHILKPSQNVDRDNSRLIHSTIPFVPVADCDSILETQMKSTKIYRF >OMP11612 pep supercontig:CCACVL1_1.0:contig01098:243:525:-1 gene:CCACVL1_00400 transcript:OMP11612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFVSGMKAPWLVPVSPPFLLLAHLLELALGYSSPTQSSIMEDLGLSVAE >OMO63033 pep supercontig:CCACVL1_1.0:contig13076:10225:10611:1 gene:CCACVL1_22518 transcript:OMO63033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVGAATKVEGFGHLIPETLVLF >OMO63034 pep supercontig:CCACVL1_1.0:contig13076:30896:31647:1 gene:CCACVL1_22519 transcript:OMO63034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPMTQGTRKSKRLKIELGAL >OMO83650 pep supercontig:CCACVL1_1.0:contig09869:425:2963:-1 gene:CCACVL1_11300 transcript:OMO83650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 LLLFAFDQGPLSSLSISSSSSLKLRVCDVLHFLSQAVFPFKIKENPPKKISMSKLVLSSIVEASAIPPQVILGVEIEPPFESPLLSTSLQNFWGRRWNRRASDILRATAYNPMRSISKRIIGPRWASLPAVFATFVVSGWMHELLYYHITRQFPTWEVTWFFVLQGIFLDIEIFLKKKLVTFRLHRAVSGALALANLAITAGCLSYKQILRNGIDEKIIREFNLNQVQASTPAQMYNVLSFGASGDGKTDNSKAFGDAWNQACSSGKNSVILIPTGTYLLRPIIFLGDKCKGGAAIAFDIKGTLRAPTDNASTIGVDHWISFRHVHRLIITGGGTFDGQGASAWPYNTCAKDPHCPALPVTLRFDFVNKSTINRITSINSKNAHFNIFASHDITIQNVTLSAPGDSPNTDGIKIADSTGIQIFDSNIGTGDDCVAMLPGVENINISNVNCGPGHGISIGSLGGKPNEKNVTHITVRNSNLTGTLCGLRIKTRPLPYSSIVSDLTFENINVNNVTNPILIDQNYCPSHKCKQV >OMO55158 pep supercontig:CCACVL1_1.0:contig14777:38157:44511:1 gene:CCACVL1_27362 transcript:OMO55158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MMTDIMVDQLMDKITSVFQEFESHMNDVIDRAFSKMQTAVTVYSSELKTAVKDCSEACTAIKEDLAEMEDQPESTENVKLINVGISPKKTKFLTPLPALPPPMEPFKGFLLGGQKISTVVFVNSDSTIKVIPKSSKKCLTFTGSVDAVASFLELPQVWTELPLDVFIGFRPGGLSSYEDDNIKSSQTLYDLFDEIPTPNGVSTMCLALICFPSGPTIYAEDRVDESLLSTSNIAFFNTATTRIVASALSGIGIFILCGCLWLFETREVCFRMTENLGIAWDAVLMGYIHHNLYIIFQNFVGIRLDEFREISINCGYAPVGLFQFVKLVQGSVLKSLCYIKLGLTEKDQCFLWFFQQEGILLQKETMLVGLILAELEDEVLAILWKTALTEQLVRFMAFSQWLLCLYQLAFCFNLFVWSIVGFFDPSLRTRMFSWRGQQIIEDILHEKAEMVAAPNPIVDSPSCLVIGDYGWATNMERIMRTQALSDNGKSAYIYRRNTMGVSPNYGIMVELTKMAELEKNYKSVKKLLLLFYKAALFTSGFLERICDDKKIVRNSFFGTQQRMKQLEANNKMDFKQMLARVLALTRKLAQQIRKDIRALGGYLGVKDHACEGGTSVVRISTFFEVMFMLLLALMDVPTHCNRNRKSEIPNDGAKAAFKDNLRSPPRVLKIKEHLKMAAKNVVADLNKGEKLDGDNYDIWRRKIQYLLDEQEVLETLDQVMAEPEEGNTAQHRRDLAVYQEWRKKERCVRFTMLSSMHNDLIGEFEQYQTAHEMWQALRNKYEVTTLSKLRELNLKFNTYKKKSNHSMKQHLRTMSTLIRELSAAGVVLTNEQQVQAVISSLPDSWEHMKANMTHNDSVRTFEDITRHLELEDERLKAAKPATDGVANVAESNSRKASGPKRKWTGKFVGKRDADEPAPKRARLPSAIVASVVVRRIKLRWLVITVENKATSLVIALSRRKRSNRPLSSDESWICRVPSDSCWE >OMO74755 pep supercontig:CCACVL1_1.0:contig11094:65475:67362:-1 gene:CCACVL1_16490 transcript:OMO74755 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA splicing factor, thioredoxin-like U5 snRNP MSYLLQTLTKKREVDTIIRDTIDKVLVLRFGRASDAVCLQLDDILAKTAREVSKFATVALVDIDSEDVQVYVKYFDITLIPSTVFFFNAHHMKMDSGTADHTKWVGAFHGKQDFIDVVEAIFRGAMKGKMIVHCPLPPERIPKYQLLYKDV >OMO74742 pep supercontig:CCACVL1_1.0:contig11094:2098:2921:1 gene:CCACVL1_16477 transcript:OMO74742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQNLSFSKTLNPSFLLFPSPKRIPSPSFPRQNNTFPTRKSPILCVHNRDVRAFAGRSKKKPGGQSSGRIEGNSDIRRVAKANARRRSKKLAESLFYRLKNPGKSNYADNFTEEELEAIGLGYDRMVRFMEKDDPNLKHPYDWYKYGEFGPYSWRGVVVGDPVRGRFSDERVAMIGQVKNQEEWEKIEQFEMASDFGKSLDET >OMO74746 pep supercontig:CCACVL1_1.0:contig11094:12100:13824:1 gene:CCACVL1_16481 transcript:OMO74746 gene_biotype:protein_coding transcript_biotype:protein_coding description:Helix-turn-helix type 3 MAGIGPLTQDWEPVTIRKKAPSAAAKKDEKVVNAARRAGAEIETLKKSNAGTNRAASSSTTLNTRKLDEETENLAHERVPTELKKAIMQARMDKKLTQSQLAQLINEKPQIIQEYESGKAIPNQQIIGKLERALGAKLRGKK >OMO74747 pep supercontig:CCACVL1_1.0:contig11094:15272:19081:1 gene:CCACVL1_16482 transcript:OMO74747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSLRKSSCLSRRFSRSIKSSAKTASFTAVPTTATQPESSSQPSAEEGGDSWSTMLPELLGEIMERVEASEDRWPQRQNVVACACVCKKWREATREIVRASSPSSGKITFPSCLKQPGPRDSPNQCIIKRNKKNSTFYLYLALSPSFTDKGKFLLAARRYRHGAHIEYIISLDADDLSQGSNAYVGKLSSDFLGTNFMIYDSQPPHSGAKPSSSRASRRFASKQISPQVPAGNFEVGQVSYKFNLLKSRGPRRMVCSLKCPVPEERADNKHSDDSKIKMSESVSSGHTILRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATVDQSQPGGKGDEETVLLQFGKVGDDTFTMDYRSPLSAFLAFAICLTSFGTKLACE >OMO74744 pep supercontig:CCACVL1_1.0:contig11094:4607:8275:-1 gene:CCACVL1_16479 transcript:OMO74744 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PARP-type MPSNIVAEYAKSGRSSCKKCGKAITAQALRLGLVTRDARGFDMTKWHHLDCFTEKIDSLDVIKGFDLLKDVDQEALKKLADGSDKSPKRGKGDKEDKIKLEESNSKKIKLSVPNEKAELDIVFSSSEVKDKYKDAELKPKWKAFQTIIFLEKDDGLHDSGKIAAFDFDGCLAKTSVKRVGADAWSLMYPTIPEKLQSLYEKGYKLVIFTNESNIERWKNKRQVAVDSKIGRLNSFIEQVKVPMQVFIACGIGSSGGKADDPYRKPNPGMWHIMEKHFNSGIPIDMDQSFYVGDAAGRPNDHSDADIKFAQAIGLKFHVPEEYFST >OMO74751 pep supercontig:CCACVL1_1.0:contig11094:36246:38684:1 gene:CCACVL1_16486 transcript:OMO74751 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MEKKQSSKLNIAIIHPDLGIGGAERLIVDAAVELASHGHNVHIFTAHHDKNRCFEETRAGIFPVTVYGDFLPRHIFYRLHAVCAYLRCIFVALCVLFMWPSFDVILADQVSVVIPLLKLKKSSKVVFYCHFPDLLLAQHTTFLRRIYRKPIDFVEELTTGMADLILVNSKFTASTFAKTFKHLHARGIRPDVLYPAVNVDQFEVPHSYKLNFLSINRFERKKNIELAISAFSALYTLDGICQSSNLADASLTIAGGYDKRLRENVEYLEELKSLAEREGVADRVNFVTSCSTAERNSLLAQCLCVLYTPTDEHFGIVPIEAMAAHKPVIACNSGGPVESIKNGETGFLCNPSPKDFALAMAKLIQDPQMAKRMGEQARQHVNESFSTKIFGQRLSQFLLDVARSKQD >OMO74743 pep supercontig:CCACVL1_1.0:contig11094:3580:3846:1 gene:CCACVL1_16478 transcript:OMO74743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMEADRNEKIMEDGDETFLRGKRAKVKPEEWKYAGIGPWRRRIRKSRIPPELFLRAAVRPFTYRNLVKEIVLTRHAIVEGEIGRKE >OMO74752 pep supercontig:CCACVL1_1.0:contig11094:51343:52622:1 gene:CCACVL1_16487 transcript:OMO74752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKNEQVESVSEAVGDEYRSILNEESHNIEWRHGGPPIFDTVNKLFEQGRTKEWPQGSIEEAVQNAVKSWELELSHKTRIQDFKTINPHKFKLIVNGREGLSGEETLKLGSYNALLKTSMPKKFQYYKADEETFESSHDAFRTALPRGFAWEVIKVYSGPPVITFKFRHWGYFEGPYKEHAPTGEMVEFYGIGVMKVDESMRAEEVEVYYDPAELFAGLFKGPCNNYSSSNSDKEEQNPNDTSTSSHLCPFSK >OMO74748 pep supercontig:CCACVL1_1.0:contig11094:19819:20755:-1 gene:CCACVL1_16483 transcript:OMO74748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGTGTTNFTAFYNTWSDQLHQLLRQLCSAPKPPTTQDHIHHLNHLVNKLLSHYSEYYRVKAAAVERDVLDIFAAPWASSLEKSLHWIAGWRPTTVFHLVYTESSILFESHIVDILRGVRTGDLGDLSPSQFRRVSELQCETVKEENAITDELSEWQHSVSDLMGACTDVDQMIGRLARVVQKADDLRLKTLKRMADLLTPQQAVEFFIAAAELQFGIREWGKNQDRQRDFYPSG >OMO74756 pep supercontig:CCACVL1_1.0:contig11094:69199:72773:1 gene:CCACVL1_16491 transcript:OMO74756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISESSSSTPSSPGISSSLSCCSPSIQMVSKSVSERLLGKFFDASQYDFDYEQSGLWSPPVRRSVFLTSPAGFNICSNDEFFSKLKKAKKACRSWRLRSFACFTCQFAYNPKLKIGNMKTPKPKLKIENMNTPKPISSFRLSSLFRKEKDPIVAYNLFKNPIPDPKPSGKAFRYSPRCYDLIINKLGKAKMFDQMEEVLQQLKQDTRVVPEEIIFCNVLKFYGRARLHERALQLFDEIPQYRCQRTVNEAFKLKAEMVRLYGFCPATAMTYKMMIKGLCKIGELSLAIMLKEEMVGNNMKLDSTVYSSLINTLFKVGRQEEAVALFEEMDLHGCAPDTVTYNVMINGFCKVKDFDKAYRILENMVEKGCKRDVFTYNTLIGGLCKDGKWSEAKDLFEDMPRQGCKPDVVSYRLLFDGLCGGGQFKEATLILDEMIFKGYVPHSASTRNLVAGLCQKGKMKLLLLVLDILAKGNAIDQGTWLIAISKVYQDDKLSSASKLLDSLVL >OMO74749 pep supercontig:CCACVL1_1.0:contig11094:23039:26118:-1 gene:CCACVL1_16484 transcript:OMO74749 gene_biotype:protein_coding transcript_biotype:protein_coding description:YABBY protein MAASLHSRPGKDIQVPNYNSSSEYRIDLGSSSRCKNKLPKRATINNTPERVVNRPPDKRHRAPSLYNQFIKEEIQRIKLNNPDITHREAFSTAAKNWARFPHIHFGLMLESENQPKLNDDSTEHFHQLLK >OMO74753 pep supercontig:CCACVL1_1.0:contig11094:55435:56520:1 gene:CCACVL1_16488 transcript:OMO74753 gene_biotype:protein_coding transcript_biotype:protein_coding description:pathogen-related protein-like protein MATVPAARDKYRSFLHDEAENVQWRHGGPPTYDAVNQLFEQGRTKEWPEGSLEEIVQNAIKTWEMELSHKVRLQDFKSINPEKFKLIVNGREGLGGEETLKIGSYNALLKNSLPKEFQYYRADEETFESSHEVFRSAFPRGFAWEVISVYSGPPVIAFKFRHWGIFEGPFKGHAPTGETVEFYGLGTVKVDESLKVEDVEIYYDPGELFAGLLKGSSISVSESQTETAHSASACPFQH >OMO74745 pep supercontig:CCACVL1_1.0:contig11094:8763:11470:1 gene:CCACVL1_16480 transcript:OMO74745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYDEKRLRDEVIYLHYLWHQGPPQNPDPNPRKRPRPLSQFPTYNSQRVAASGSCPTPEPDSGADWSALVHTQPPSPSGWPEPNSKTDHQITQPVSVEDQASELRGYYEENHEKDAEPNKGTVNEQHSTAISKTKRKRAHRAFGLVICDIIGWDIVCLPSIVLKSQPVEDLSEFPLDGRACGNKDESGAAMDKRDSESASKEDVDPNHNSSVNSENSMNGEASKGNDLENRTSNTSANQEKAVDSLNSPLENNNQPRSDDILAESSSVPEPKEDAHNLQKEPSSNPETM >OMO74750 pep supercontig:CCACVL1_1.0:contig11094:35564:35848:-1 gene:CCACVL1_16485 transcript:OMO74750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEEAAGPPGPKAVRLLCFVSAGFVVTVGINKWRELEQKSVQQQRGIHQQQKILSPANSATKPIK >OMO74754 pep supercontig:CCACVL1_1.0:contig11094:58164:64658:-1 gene:CCACVL1_16489 transcript:OMO74754 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MREEEIEKLRGVVRDCVSKHLYSSAIFFADKVAAITNDPADIYMQAQALFLGRHFRRAYHLLDASKIIMRDLRFRYLAAKCLEELKEWDRCLWMLGDEKFGEFGNAYDTKDNNAMYLDKDGEDREINISSAICFLRGKAYEALENRAQARQWYEAAIKADPLCYEALERLIENHMLTAEEETNLLSSLQFGPEDGWLSSFYSCLIKKYDKENVVEAKFKELEKEKSNPSSQSFMCTLKDNTDLLACKAEYYHQCGEYHKCFELTSVLLEKDPFHLKCMLVHLAAAMELGYSNELYLMACNLVKDYPQKALSWFAVGCYYYCIKKYDQSRRYFSKATSLDGTFPPAWIGFANAYAVREEGDQAMSVYRTAARLFPGCHLPTLYIGMEYMRTHSFKYAEQFFMQAKAICPSDPLVYNELGVVAYHMKEYNKAVRWFEKTLALVPTPLSEMWEATVVNLAHAYRKLKMFKEAISYYEKALTLSTRSLSTYAGLAYTYHLQDNFSSAITYYHKALWLKPDDPFCTEMLNVALVDESQSGADPKFDFH >OMO55032 pep supercontig:CCACVL1_1.0:contig14809:16215:20420:-1 gene:CCACVL1_27436 transcript:OMO55032 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 TTISSATSPSAAEHPPRFENMEGKFNIPLAANAKSVRDFDEGLTRVSLGFKSVDDYYSNSDSFKSIKDVQRPLLYIQRAVQIRRKQSNSFGGNTYKEIGRVSEAIQDYIRAINIRPNMAEAHANLASA >OMO56612 pep supercontig:CCACVL1_1.0:contig14498:15673:18717:1 gene:CCACVL1_26416 transcript:OMO56612 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MATDFKSIPIIDIAPLLAKSDDPNMGQDPGVCEVVKQLDQACRETGFFYVKGHGIPENLIKEVRNITSKFFHLPYEEKLKIKMTPAAGYRGYQRIGENITKGVPDMHEAIDCYKEIKQGMYGAIGKPMEELSKKIMRGIALALGGKPDEFEGEIGGDPFWVTRIIGYPVLSTANGKCKPENDIGCGAHTDYGLLTLVNQDENITALQVRNLSGEWLSAPPIPGTFVCNIGDMLKTNFDAAVEPLEVCVQKSGGSRKFGKATVYGEHLVSKVQTNFVV >OMO56614 pep supercontig:CCACVL1_1.0:contig14498:23686:25090:-1 gene:CCACVL1_26418 transcript:OMO56614 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MAVELMMSYRNNNNSFTSKMEENAVQEAASGLESVEKLIRLLSQTQQQQQQQNQSFNNNQEKYQSSTTPSSNKSSLDLEMDCKAAADVAVSKFKRVISLLGRTRTGHARFRRAPVGVTPPFAAATNSNSTSTVSPPVSQNQANQELETKVYYATPIQQIPPPVSYHPPPPAHHHHHHHDFLSVKSGGLERKDSSTTINFSYSSAGGNSFMSSLTGDTDSKQPSSSSAFQITNLSQVSSAGKPPLSSSSFKRKCSSETLASGKCSGSSAGRCHCSKKRKLRSKRVVRVPAISLKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPSMLIVTYEGEHNHSLSVAETSNLILESS >OMO56613 pep supercontig:CCACVL1_1.0:contig14498:19381:20973:-1 gene:CCACVL1_26417 transcript:OMO56613 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-dihydrouridine synthase MAQSRTTHSDPTQNETSHEDDQLCSNPQQNQEEQTSSSEVDVTTSLIGSPGKYLSGESRVERAWAHWNKLGRPKFMVAPMVDNSELPFRMLCRKYGATAAYTPMLHSRIFTESEKYRNEEFTTCKEDRPLFVQFCANDPDILLEAARRVEPYCDYVDINLGCPQRIARRGYYGAFLMDNLPLVKSLVEKLASNLHVPVSCKIRLFPKLEDTIKYAKMLEDAGCSLLAVHGRTRDEKDGKKIRANWNAIKAVKNELRIPVLANGNIRHMDDVQSLLEETGADGVLSAETLLENPALFAGYRTADWVAENEEDNVVGKLDQADLLVEYLKFCEKYPVPWRMIRSHVHKLLGDWFRIQPHVREDLNAQSRLTFEFLYNLVNQLRELGVKVPLYQKDTSAAQNSAEA >OMO56615 pep supercontig:CCACVL1_1.0:contig14498:33001:36044:1 gene:CCACVL1_26419 transcript:OMO56615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 43 MKLSALQQSYLNRRSNSFRSSGPLDSSSDSAIKSPAAIFWLILHGLCCLISLVLGFRFSRLVFFFLFSTSSTNFYTSPFRSTAELAKTLDVQSVLSTNAVPNLDLPLLNKTVTNSRVVVGRHGIRIRPWPHPNPVEVMKAHQIIERVQKEQRLQFGVKDPRPIIVVTPTYVRTFQALHLTGLMHSLMLVPYDLVWIVVEAGGVSNETASLLAKSGLKTVHVGFNQRMPNSWDERNKLESRMRLHALRIIREKKLDGIVMFGDDSNMHSMELFDEIQNVKWFGAVSVGILANSVNTDETLTDQKKEEEENPRMPVQGPACNASNMLAGWHTFNTLPFAGKSAVYIDDRATVLPRKLEWSGFVLNSRLLWKDGDKPQWIKDIDMLEGDIESPLGLVKDPTVVEPLGSCGRQVLLWWLRVEARADSKFPPRWIIDPPLDITVPSKHTPWPDAPPELPAHEKPVNVMGIQEPVVKHTTKTRTPRKRRSKRKHETRTDTQASTRHSEQN >OMP11555 pep supercontig:CCACVL1_1.0:contig01165:2369:2437:1 gene:CCACVL1_00446 transcript:OMP11555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAPRKTNATCPSISHLESQI >OMO88201 pep supercontig:CCACVL1_1.0:contig09083:5916:8147:1 gene:CCACVL1_08529 transcript:OMO88201 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase complex subunit 2 MQEKKAETGSKNPKKANLLDHHSIKNILDESVSEIVTSRGYVEDVRMSNVRLLLGTIIIVIALFAQFYKKKFPENRDFLIGCILIIYTKEKNAILFTYPPPGSVTSTGLVVSSKLPRFSDLYTLTIASSDPNSISAGKPVEFTKSVTQWFTKEGVLVEGLFWKDVGALIDEYAAEPKKKK >OMO88202 pep supercontig:CCACVL1_1.0:contig09083:10600:15184:1 gene:CCACVL1_08530 transcript:OMO88202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSRNREFVDENVDQNGDELIDSSSEDASSSSINGDGSGTTSRQIGEVRNEIGLTERLTDIFVEENDGDLLLQQSDREDRVLQWLQALDMQVIGACRTDERLKPLLKVNVSNGIAEDRLLAHLSQHFEPSEVGMLARCFCIPLVSIRVGKINKQGTHFYPTAIRGNLSLTLLPTSDLRLSFLGDDGQTERLCTLSCKPQCAAISIDEIPADNSGRSFLVKIPDSKAFYYWCSEKSKLLGVELLSKMKDLIKRKPSIAELTGISESRLGCFATQLRAYLVGSTVNHTQASSSGLSASNTLLDTIDLQSGQSSSATSKSLRSRHSSSQVPKVNSLYQGSLSPRSSSFKEGLTRNLSSLRSTARDKVRRRGDNHLSITESLAITSPSANYSSACNQAESEKVPDVKSCLYTPNFLESLGKLSVPPALSSVSQVSSLGAPLFSPYYCWCPPGSPTVQHSAASELTASSIGSLKLPPLSSLLPVNGASSLLKPTPPLDLADLPSLDFPAFLPEPLVRLPMGSSQQIPTFTPLICDPIVHIPVIDVCSSGQGYLVSAGPTISTTIPPLHPKLVNPLLPDTDSVVEKGARETLRLLISGSTQSNPPLIDVLPAVLTNPDDKKGGILVAGSRGLYSGTQDVTAIADGIAAMSLVTLTATSMGESFAKQCSSGGCDSSDVNWVGSMGLDGSCSDGNGAKLTDTRGEGTSSD >OMO88200 pep supercontig:CCACVL1_1.0:contig09083:1057:5364:1 gene:CCACVL1_08528 transcript:OMO88200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDALINGLAGAGGGIIAQLITYPLQTVNTRQQTERDLKKEKRKLGTIEQMCQVVKQEGWERLYGGLTPSLVGTAASQGVYYYFYQIFRTKAEIKALERQKRGIGDGSVGMFSSLLVAALSGCVNVLLTNPIWVVVTRMQTHTKISKKDRPDRLTTTAPEETVLSVIEPPPYGTSHAVQEVYDEAGFWGFWKGVAPTLIMVSNPSIQFMLYETMLKKLKKRRSLSKQGNTGITALEIFLLGALAKLGATVVTYPLLVVKARLQAKQVTTGDKRHHYKGTLDAILKMIRYEGFNGFYKGMSTKIVQSVLAAAVLFMVKEELVKGARILLLKDGINSMKSKPP >OMO83127 pep supercontig:CCACVL1_1.0:contig09934:16271:21900:-1 gene:CCACVL1_11548 transcript:OMO83127 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAGTLSNLCSKLSLQEGDENTVVIAEDLLTEAGGELPWFGLFGRLYSKKQPNLEGLRNTFFQAWKLENTLTVLEVGERVFLFQFEDELERDRVLVNQPWCFNRALMVFCEYDPDLAPETLSFDLCPFWVRRYFRIRVEINVLTPLKDTMKVSTPNGQIEVEIRYEKTPSFCRVCGVLTHLDNDCPVAVTMLKTIGRVEKRFEGKLQAESPITKSDKLGRPEICFSLANGVSFSNVGAGDSMVGRRGFRKHGDSMLHRSRAVARDINNVEVLCEIVSKFHGKGKSQNQDKLDADEVTSRRKERENVAFADPVIPGGSRINTKGVVINEPTARNSNHQNVAKALKQKQIVEDSEESTDSWSMADPGVGLGSRRRIVLGRHIPGVGLSVLGHNPIPNGNKMGNTSNFVPSQPAESFIPLEEPYTPTTPFVFGAQANVQPRKLRKWKKTARVSQKYSFETLGPAKNFQVGQNRTSFNSTSEGNRTGTWKSTKDSETVAGMNADGFRGWTADLISAGRDAAGVCFDAQQANLLQEGNAGFQDETDFGGGVAKEGPTDYVADDEQADAVNDIDVPAARMGGTWRFTGFYGRPETHRRNESWQLLRTLNSNSSLPWLCTGDFNEILQSDEKVGGVIRPFRQMEGFRSIVEECQFLHLPVVGPFLTWHKKINGEWIFERLDRVLVTRAWWDRFRHSIEKHLVTTVSDHLPLLISIKEQQGIFTNRQRNFKFEKMWTGHKDFDRVVRDSWFSNSTNAIVDKIWNCSRVLDDWNKRIFGNIRFNLESKRKELVALYREQSDPDRMEECQFEMDGLLHQEEVMWRQRSKALWLREGDRNTKYFHSVANARRKKNVILGIEDFNCMWRTEVKDVEEIVCNYYQDMFTSTTPTMAALNPVISHVPTRVDADMRCSLDAPFTSEEIKDAVFQLEADKAPGPDGFPPSFYHKCWHIVGKDVVAFALDFLNNGGNLPDVNHTNIVLIAKNNNPKTMKDYRPIVLCNVIFKIISKAIANRLKADNSNVIHGVAINRTAPRISHLFFTDDSLLFLWACLEDCTAVLEILESFEKASGQQVNIDKSVVLFSKNTPDTVRMAIMSKLGVQRILDRDKYLGLPIMVGKNKRMEFQYIKERLSKRVEAWQNKLFSIAGKAIMIQSVAQSIPVYLMSVFRFPRGFIHELNMIMARYWWGGTNDQRKIHWRAWEDLCVSKLDGGLGFHDFESFNLALLVKQCWRLLHNSTSLCYRLLKAKYFPRGDFLTASLGHNPSFIWRSLLDGRKVVIAGSRWRIGSGDVDFWQGNWLIGSTSLNPTPREGLIPKPMRVSSFIDFESRQWHIDKLTEMVDDDDISRILCLPIPRQPRPVVLIWNASPLGTFSVKSAYFEARKLLINLQRRGLDIDNLCVVCGLHEESSQHTFLRCPLSIRVWDLVAPWMCNCVEDWAEAEDFWIRIVFKAAAVGQLELVLIQLWAIWQNRNNALHSGFCATPTTVSFLASKMHSQASTLVQNECHLAELRTRVTQWKLPPNGCVKINTDASYCSITNQAGLGVVIRDDKGEIIVSATRRLYFVSSLYVRFTLSYLVLN >OMO95270 pep supercontig:CCACVL1_1.0:contig07705:50542:52715:-1 gene:CCACVL1_05463 transcript:OMO95270 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mpv17/PMP22 MSDIVQEAWRKYLIQLQAHPLRTKAITSGVLAGCSDLIAQKISGVKRLQLRRLLLIMLYGFAYSGPFGHFLHKLMDIIFRGKKGSKTVAKKVLLEQLTTSPWNNFFFMMYYGLVVEGRPWSLVRNKVRKDYPTVQLTAWKFWPIVGWINYQYMPIQLRVLFHSFVASCWAIFLNLKARSVAIKAA >OMO95269 pep supercontig:CCACVL1_1.0:contig07705:47613:48023:1 gene:CCACVL1_05462 transcript:OMO95269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHPRRILTPGISRKRKEREAFYSFKQSTPVQTSVYAGPRSTPPPNCSNRLLAGYMAHEFLTRGTLFGQKFDPARAEAIPLIGSLGESRKAKPGTEPYLKKEGQGYAEVASILKDDGTHIPGIVNPTQLARWIQM >OMO95263 pep supercontig:CCACVL1_1.0:contig07705:2889:4351:1 gene:CCACVL1_05455 transcript:OMO95263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNQSQTKARIASRLQTIRQSSGKSFSQIAEETGLTNVYVAQLFKRQAQLKPDTAPKLRAALPDLPEELIHEMMKPPFRSYDPNLIQEPTVYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVKGVDGKDRVVVTFDGKYLPYTEQKTEHMVSRLQRQ >OMO95264 pep supercontig:CCACVL1_1.0:contig07705:5019:14568:1 gene:CCACVL1_05456 transcript:OMO95264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTLTRAASRHLTFSFFIPKRLLSTTSITRPPPIPSLLFSRRALAPLSHAVRSLPLGPTHFTSIRCRVNRSGNSAYSPLNSGSNFSDRPPTEMAPLFPGCDYQHWLIVMDKPGGEGATKEQMIDCYIQTLAKVVGSEEEAKKKIYNVSCERYFGFGCELDEETSNKLEGLPGVLFVLPDSYVDPENKDYGAELFVNGEIVQRSPERQKRVEPQAQRANDRPRYNDRTRYVRRRENMRQGSSLYYLTRLVSAFVYLRFRPTPLFLKDDFNVNKSDRHRHGKDFSPGSKKHRQEELDKRSSHKKEENVSRRKDDISKQKIMENRESRKKLKSEVSKDVVVKDESKYEKQLYMKRKNERPAGSPEDLDAKRRSKDLAEKYRHASRIEEKYERDSKRKHQTTYDEEHRGRDTTKKHDTRKGHASEIVDRKEKKESMRSHHEVSHHKRRRSQSREREREGRHRRSVSLSPRRVQKHASHHQSEHHSFSHGPKERLGRQHSDERGKLTSNGSSGHHRQHGGSTSGLGGYSPRKRKTEVTVRTPSPAHRSTEKRTAKWDLAPAEAEKMVFGSVPSNLQASSQTVSLNMHAVLGAVPGVSVTGKHPVVASTSSFLLKHNVSFDSVQLTEATRPMRRLYVENLPASVSEKDITEYFNNRLLSSSVNHIPRAQPCISCIIHKGKGQALVEFLTPEDASAALSFDGSTFFGPILKIRRPKDFLVEIANAFGPLKTCHFEINEDHSEQYATLEYVDQSVTLKACAGLNGMKLGGQVITAVQAVPDASSLGNDVDLQSCVIPQLARPLLQKATQVLKLKNLFPEDFSSLSEAEVEEVLEDVRLECARFGTIKSVNVVKHPNTIISTGDNKRDHNMETGARQNLDNDEIKLEAEKMEEVNDGNAGGTAGVKFTSESHEVITGNDVNDEKPVGNLVDNVLHREDEFEVTVNSEDINRESLDAELCQQRLDGNSDSGAHLDTDMTVKDLALETAVMTVSQEVPNLMNTSKEESDHHSDSDRNADNIQSIDKAVEENPNLEGANGKLPEDCPNTEEAVIDPASMIVSTTISQEIPMPLNTPKEELDFQDDLVTDNIQDEITNVKKKSVSKEDSEPEEVRGKLPEVVDGSAGSSGMESETIEKDENGKKNNLQKIFEPGCVFVEYRRVEASCMAAHCLHGRLFDDRTVTVEYIDPDLYRVKFPK >OMO95266 pep supercontig:CCACVL1_1.0:contig07705:17412:19499:-1 gene:CCACVL1_05458 transcript:OMO95266 gene_biotype:protein_coding transcript_biotype:protein_coding description:Initiation factor 2B-related protein MQDIQALVNELVYKLRKRKMEGSDTSAQLTVELLRSVISQQKMSENQAASLIEAVREIGVKLIAANPVELAVGNIVRRVLHIIREEELSLMAKAVQGMGVDDEHNNGVKDNDHVAKILTRSPSLHSLLDSAKTSSNSKGKADKIANLKLKNNVLEAVNYLIEDIKICHEQIAEQALELIHQNEVVLTFGRSRTVKNFLLAATKKNRSFQVFVAEGAPKYQGHVLAKELAAKEIQTTLIADSAVFAMISRVNMVIVGVHAVMANGGVIGPVGLNMVALAAKRHAVPFVVVAGSHKLCPLYPNNPEVLLNEMRSPSELLDFGEFSDCMDHGIGNGAPLLHVANPAFDYVPPELVSLFITDMGGHNPSFIHQLISEHYSADDFNLQQHTAS >OMO95268 pep supercontig:CCACVL1_1.0:contig07705:34253:34636:-1 gene:CCACVL1_05461 transcript:OMO95268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formate--tetrahydrofolate ligase MASFTKLRVLLVAFLCLNVVARELVSSPKEIKEDGGGGGDCEGINGGGNGAAGVADKTLKP >OMO95265 pep supercontig:CCACVL1_1.0:contig07705:15785:16845:1 gene:CCACVL1_05457 transcript:OMO95265 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L28 MATLATLGSTSVLRFHKPQLSKAKLSSQSLGFVTSELSGIKISGNIHGVAPVKPISSPFTPALQPVARRICPFTGKKANKANKVSFSNHKTKKLQFVNLQYKKVWWEAGKRYVKLRLSTKALKTIEKNGLDAVAKKAGIDLSKE >OMO95267 pep supercontig:CCACVL1_1.0:contig07705:20193:23793:-1 gene:CCACVL1_05459 transcript:OMO95267 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MAIKDWINKQGFSIRGRFQKMMKCIWSGQQLRVDDTVASSESLATRDYSVSGYSSRAGEMVEAKGENSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALHVFEGIDVAAVTSKMKVSLSRRCEQNRRRSQSDAVPAMSLHAVSLLLEAIFMKAKSLQHLGRFGEAAKSCKVILDTVESALPDGLPDNFSTDCKLQEVLGKAVELLPELWKLAGDPQEAILSYRRALLYYWNLDTATKAKLEKEFAVLLLYSGAEASPPNLRSQMEGSFAPRNNIEEAILLLLILLRKFVLKRIGWDPSILDHLTFALSISGELRAIAHQVEELHPEIMKRKEKYCTLALCYYGEGEDIVALNLLRNLLSSRENPNCILESLLASKICGEQMTCIEEGINWSRQALSGLNGSCAQMVSTASCLLGVLLSAQSRLVSSDSERISKQSETIAVMETAEKMMRERDPYIIFHLCLENAEQRKLDVALYYAKQLLKLESGSNVKGYVLLARILSAQKRFVDAETVINAALEQTGKWDQGELLRTKAKLQIAQGQLKSAVETYTHLLAVLQVQYKSSSAGKKLLKTNGKWDRSLEMETWHDLANVYTSLSQWRDAEICLSKSKAISPFSASRWYSTGLLNESKGFHQEALRSYRKALDVEPTHVPSLISTACILKQLGGQSMPIVRGFLTDALLLDRTNPAAWYNLGLLYKSDISATALEAAECFEAAALLEESAPVEPFR >OMO51975 pep supercontig:CCACVL1_1.0:contig15653:4521:5807:1 gene:CCACVL1_29466 transcript:OMO51975 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 MQSFIGGSIRLGQRQGFYSWSTAHFKATTRAYLATNSSSSIVHGSSNPINSSTNNLLQALERRFGSQSNESLLSSKHGRCSMPGLSPLAASMSTCSRRCMSTDASPSPGSSESITDVPPRIKFKRLDKTAKHIMQESILDKEAVEGVKAQREIPDIKPGYIVQLKVEVPENKRRLSTIKGIVIARRNAGLNTTFRIRRMVAGVGVESLFPLFCEVASLTLVVKSIEFDYTTETDIIEKYGQILR >OMO51974 pep supercontig:CCACVL1_1.0:contig15653:3564:3644:1 gene:CCACVL1_29465 transcript:OMO51974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKAFCHLRRLKPPGTGTSLLLQFH >OMO54484 pep supercontig:CCACVL1_1.0:contig14991:31411:41095:1 gene:CCACVL1_27765 transcript:OMO54484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLIVTSLGDIVIDLYTDKCPLTSKNFLKLCKIKYYNGCLFHAVQKDFTAQTGDPTGTGSGGDSVYKFLYGDQARFFGDEIHLDLKHSKTGTVAMASAGENLNASQFYFTLRDDLDYLDGKHTVFGEVAEGFETLMRINEAYVDEKNRPYKNIRIKHTYILEDPFEDPEQLAEFIPDASPEGKPKDEVDDDVRLEDDWIPMDEQLGAAELEQVLREKDAHSSAVVLETIGDIPDAEIRPPENVLFVCKLNPVTEDEDLHTIFSRFGTVVSAEVIRDYKTGDSLCYAFIEFETKEACEQAYFKMDNALIDDRRIHVDFSQSVAKLWTQYRRKDHQKGKGGGCFKCGALDHIAKDCTGGPASKPQPAKYILKDENVQHGGDHNSRYEMVFEESPRGEKRHRAHDPDDPEHRYKIKNKRSDGMEGKDFNDKDRQRDRSIDRKASMSRSGGRRDERYVKNQTDRGRHIDRQKGRDEHGHRKSSSDTRGDDRRDDGDLRRKYAVNDSPPSRRHNGDHRKWSKEDDIYNKGDERDYWKKNADSKRCDKSSVNDHGYKDRREERTDRHQHSESDDRLDRDRRHRGDKRR >OMO54486 pep supercontig:CCACVL1_1.0:contig14991:67962:72991:-1 gene:CCACVL1_27767 transcript:OMO54486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFPDTSSMGMPTEFKVILGVFGGLFGFTIVAGIIISLCKKKDETDENKETDHIKLERMLMEWIIAKNQLQGQQVVVAVGEEDSNIEKQTVERFIDLVLEEKPARFSSQLLQIFTSNYSTKLGQGGFGEVYKGHFPNGQPLAVKLLINSYGIDKRIEEQFMAEVNTIGRTYHKNLVRLYGFCFEPNTKALVYEYMENGSLDKLLFQKEHDHHLLGWDKLYEIAIGAARGLEYLHHLSPKRIIHYDIKPANVLIDSNFCPKIADFGLAKLCNRDTTNITMSRVGGTPGYAAPEIYMPFPVSYKCDVYSFGVMLFEIVGRRRNYDENLGESQEWFPKQVWEKFDKGELEEVLANCDVEEKDWEKAKTMVRVALWCVQYLPESRPSMREVVKILEGGVENEVAIPPNPFQHLVASANNGLGSSMVSSSKSVTAYVSVSPATNFRRRPLIKGRVSACLNVDVEAPSPLKVKWGESKEVIEEEKKFLVGTYARAPVVFSSGKGCKLYDPEGREFLDCAAGIAVNALGHGDPDWVRAVTEQASLLTHVSNAYYTIPQVELAKRLVANSFADRVFFSNSGTEANEAAIKFSRKFQRFAHPEDQQPPTGFISFTNSFHGRTMGALALTSKEHYRSPFEPVMPGVTFLEYGNIQAAQDLIQQGQIAAVFVEPIQGEGGIYSATKEFLQALRKACDVAGALLVFDEVQCGLGRSGYLWAHEAFGVYPDIMTLAKPLAGGLPIGATLVTERVASAVAHGDHGSTFAGSPLVCSVATTVLDKIANPSFLSSVSKKGHYFKELLIQKLGGNAHVKEVRGWGLIIGIELDVPASPLVDACRDSGLIVLTAGKGNVVRLVPPLIISEKELEQAADILLQCLPALDK >OMO54483 pep supercontig:CCACVL1_1.0:contig14991:18078:25562:1 gene:CCACVL1_27764 transcript:OMO54483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ia MSQQPDNKKPETEEDLERKKKKEQKAREKELKKQKAAEKAEMNKLKAQQGSNASKKSAKKNAKREADDENPEDFVDPETPLGDKKKLSTQMAKQYSPAAVEKSWYAWWEQSGFFQADAGSSKPPFVIDTIIRWRRMSGYNALWVPGMDHAGIATQVVVEKKLMRERILTRHDIGREEFVNEVWKWKNEYGGTILRQQRRMGASLDWSRECFTMDDKRSKAVTEAFCRLYEQGLIYRDLRLVNWDCVLRTAISDIEVDYTDIKERTLLKVPGYKEEVEFGVLTSFAYPLEGELGEIVVATTRVETMLGDTAIAVHPDDKRYSHLHGKFAIHPFNGRKLPIICDAILVDPSFGTGAVKITPAHDPNDFEVGKRHNLEFINIFTDDGKINSNGGPEFSGMKRFEARKAVIEALQKKKLYRGAQNNEMRLGRCSRSSDIVEPLIKPQWYVNCSSMAKQALDAAIDDQNKKLEFIPKQYTAEWRRWLENIRDWCISRQLWWGHRIPAWYVTLESDEMKELGAYNDHWIVARDEEQALAEAKKKYCGKKFEMSQDPDVLDTWFSSGLFPLSVLGWPDDTDDLKAFYPTSVLETGHDILFFWVARMVMLGITLGGDVPFRKVYLHPMVRDAHGRKMSKSLGNVIDPLEVINGISLEGLHKRLEEGNLDPNELATAKAGQKKDFPNGIAECGADALRFALVSYTAQSDRINLDIQRVVGYRQWCNKLWNAVRFAMSKLPNDYTPPPTINPGTMPFSCQWILAVLNKAISNTVSSLNTYEFSDAATTVYSWWQYQFCDVFIEATKPYFDGDNLAFSSERKCAQDALWVCLENGLRLLHPFMPFVTEELWQRLPGVKSHTKKESIMICEYPSPIESWTNERVEIEMDLVESTVRSLRSLRAQHLAKQKNERLPAFAFCQNKEVAEIIKSGELEILTLATLSSLKVLLSGVDVAPAGCAFENVNENLKVYLKVQGNLNAEAELEKIRNKLDEIQKQQEKLNKIMNASGYQQKVPSHIQEDNANKLAKLLQDFEFFKQEEERMESEADHQQ >OMO54489 pep supercontig:CCACVL1_1.0:contig14991:84910:86598:1 gene:CCACVL1_27770 transcript:OMO54489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIQAISNSKSNSTFDELRWIINIRRSLEEELEEETEIPVCIFNVPKILMATDPECYIPQIIALGPYHYWRPELYEMERYKLSAAKRTQKQLQCLKFNALVDQLIKFEPRVRACYHKYLDFNGETLAWMMAIDASFLLEFLQIYALKEGKTLLRVSSRMSHLVDFARRKSAHNAILRDIVMLENQIPLFVLRKVLEVQYSNSLESADDLLLSMLRGLCQELSPFKMMESQNLPKIESISKSAHVLDFLYDMIVPKVDGPSHEEEEQNEDNPDNKTETNNDSADPGYVRQLLSELWNLLLKIQKGPLRLIKIVLFSRPVRVILKFPWRILSSLPGFSILKQPVEYLFFSQDKEEEKPDNDSSLVNKPPLVEEIAIPSVSELSKSGVRFSPTNGNISTITFDPKTVTLHLPTISLDVNTEVVLRNLVAYEAANASGPLVFTRYTELMNGIIDTEEDVRVLRQRGIVLNHLKSDEEAAELWNGMSKSIRLTKVAFLDKAFCSVYRCSRVFGTQTSEE >OMO54485 pep supercontig:CCACVL1_1.0:contig14991:61753:62643:-1 gene:CCACVL1_27766 transcript:OMO54485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSAMVNYHPLQNFSFFSNPETPPETIETPSFNKPLCLPGFPSSSSSMNASTHFHRQNLLPLIRFDNKVYENEVETTIIETPPVLDGIAAVVGQHVLFGNKANPTTPTAGNNRSNGGILSSTDGGAGLETPGFVSVSQRFKSNNKRDAGFPAALSVQKTYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTAEEAARAYDAAARRLRGSKAKTNFEIPSVLPLSSPSTSSSSTEAKKKVKGKGKIERKCAVVTSVAHLFSSSSFGGNEGKGNVELDLKLGVGVNNKSTTNGQILV >OMO54487 pep supercontig:CCACVL1_1.0:contig14991:76721:78194:-1 gene:CCACVL1_27768 transcript:OMO54487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIDDGMPTEAKIFMGIFVSVAGLMGFLIVVGIIKTLKNKDKKTDENRETDHIKLETMLMELLMARNQLPGQQVVVAVGEEDSNIEKQTVERFIDLVLEEKPTRFSSQLLQIFTSNYSTKLGQGGYGAVYKGHFPNGQPLAVKVLKSHGIDKRIEGQFMAEVNTIGRTYHKNLVRLYGFCFEPNTKALVYEYMENGSLDKILFEKQHDHHSLEWDKLYEVAIGAARGLEYLHHLSPKRIIHYDIKPANVLLDSNFSPKIADFGLAKLCNRDTTNITMSRVGGTPGYAAPEIYTPLPLSYKCDVYSFGVMLFEIVGRRRNYDVNLGESQEWFPKQIWEKFDKGELEEVLTNCEVEEKDWEKAKTMFKVALWCVQHLPESRPSMREVVKILEGGVETEVAIPPNPFQHLMPSANNVLASSMVSSSNSVTDDEDQTKDTTIMRKYEIQYATFD >OMO54488 pep supercontig:CCACVL1_1.0:contig14991:81008:82363:1 gene:CCACVL1_27769 transcript:OMO54488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSISTKRLNFFSLLFSSASNSSLFPNPTSHFHSQRRQKPLPVADQTKFDPETVRETLSCYTDEWKRALEFFKWVETDCKFTHTTETFNKMLDILGKYFEFDLSWDLIRRMKTNPCSIPDHTTFRIMFKRYVTAHLVQEAIDTFHRLDEFNLRDEISFCNLVDQLCEYKHVIEAQEFCFSAKNKDFGFSVNDTKIHNMILRGWFKMGWWSKCREFWEEMDKKGVKKDLHSYSIYMDIMCKSGKPGKAVKLYKEMKKKGIKLDVVAYNTVIRAIGISEGADFGVGVFREMRDLGCEPNVVTYNTVIKLLCDNGRVRQSYSVLNQMLKKDCAPDVITYHCYFRCLEKPREILKLFELMVTKGVQPRMDTYVMLLRKFGRWGFLRPVFIVWNKMIELGCSPNEFAYNALIDALIQKGLVDMARKYDEEMLAKGLSSKPREELGTKLVQEGLDD >OMO58623 pep supercontig:CCACVL1_1.0:contig14197:6508:9491:1 gene:CCACVL1_25425 transcript:OMO58623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMACIDFSGIIPFPEGKILIAPTRKSQQSQICTIEKMNRTTKEE >OMO58941 pep supercontig:CCACVL1_1.0:contig14085:2650:3264:-1 gene:CCACVL1_25229 transcript:OMO58941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYALLELEENLARIKAKASHIEMQRSKMEDMISFVRDQFIVLPEDIYKSMAMMKAQYAQFMAKESQLEAMLNFARQNYQSSLEKSDANMTSKSVNIPVGKQHEAMQHPKVPQCSEASSPPSNTPKPVQRDEKSDEEDEGMALNDNNGISQEIRGEKEHNVEIIKESPPIECENAKMVEEEVYSQAMIENLDSGEADFLGIEVL >OMO58942 pep supercontig:CCACVL1_1.0:contig14085:34485:37056:-1 gene:CCACVL1_25230 transcript:OMO58942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDASQAMRNMNGKGISGEQIRVDYLRSHPTRRVSTLYIEFRNNGLILMTLRDGPFSGRMGSSDSHSMAKRPHPQLGGRKGDGQPSNVLWVGYPPSLRIDEQMLHNAMILFGEIERIKSFPSRHYAFVEFRSVEEARRAKEGLQGRLFNDPRITIMFSSSGLAPGKEYSGFYSGIKGPMPYMVFSDHASTPSQDADPKNLIGPNWRRPSPHLPSGQGFRPPIRQTSGSWDVYDANQFQRDAKRSRIDTSLPIDDASFPMRKIDDLGPGTDHSYWPGPVFGSAGSGPFAKGRLSPVLVKGTAGGLKLAHSDGDFIWRGIIARGGTPVYHARCVPIGRGIETELPEVVNCSARTGLDMLAKHYREAIGFDIVFFLPDSEDDFASYTEFLRYLGSKNRAGVAKFDDGTRLFLVPPSDFLTKVLKVVGPERLYGVVLKLPPELPNATSLQPHPSLLSQPDYSLSHLTEEQALQMDYGRVLPEDSKPPARPTGQAALQSQLPSEAGALSKVLLSRHLLRLLHLKDLLRNIGMMHGVDGSGLYGAPGFQQPNNLNASNQIHGANVSQPQNVMQADRKNLEQLQCAFWSWSGHSRCGG >OMO67361 pep supercontig:CCACVL1_1.0:contig12444:74841:76628:1 gene:CCACVL1_20583 transcript:OMO67361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLARKFRKSEDDKFSLPTLDDSRPMDTHEQEELVRSLERMHAHQSLQWKSVFAALIFCYSAFLLYSIYQQALFPWELRYHAYFMEDVDSWMIIAADWLAVLACSMAIFGLVSTAKDHRRWIWYSSSVGLVLAVFWLYYMLRLPRFRWDVIWLPLGPLSGAGVCLYVDHLLSESSEEVRKMRSYMYAFKAG >OMO67353 pep supercontig:CCACVL1_1.0:contig12444:32347:34502:1 gene:CCACVL1_20575 transcript:OMO67353 gene_biotype:protein_coding transcript_biotype:protein_coding description:Meiotic nuclear division protein 1 MSKKRGLSLEEKREKMLQIFYESQDFFLLKELEKSGPKKGVITQSVKDVVQSLVDDDLVSKDKVGTSVYFWSLPSCAGNQLRNVQRKLESDLQSSKKRFKELVDQCNELKKGREESDERVEALAELKAIELKYNELKEELKQYADNDPAAFEAMKNAIEVAHGSANRWTDNIFTVRQWCSNNFPEAKEQLEHMYKEIGITDDFDYVELSPAIPLRAIADQMLEGNP >OMO67358 pep supercontig:CCACVL1_1.0:contig12444:45911:46497:-1 gene:CCACVL1_20580 transcript:OMO67358 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S30 MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAYKRMQYNRRFVTAVVGFGKKRGPNSSEK >OMO67354 pep supercontig:CCACVL1_1.0:contig12444:35541:35822:1 gene:CCACVL1_20576 transcript:OMO67354 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan peptide, AGP MAIFGLVLALLLPSINAHSPASAPAPPPTSDGVAVDQGVAYVLMLLALVLTYLIH >OMO67364 pep supercontig:CCACVL1_1.0:contig12444:91352:93817:-1 gene:CCACVL1_20586 transcript:OMO67364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPAEKSSSFTKMQSFRSLELLNVSLNQEINQKPFGVDYGRLDNGLAYYVRRNPKPKNRAALALAVKVGSVLEEENERGVAHMIEHLAFSATKKYANHNIVKFLESIGAEFGPCNNAYTSFDETVYEMLVPIDKPELLSEAILVLAEFSSEIRLSKDDLEKERGAVMEEYRGGRNANGRIDDAHWALMMEGSKYAERLPIGLEKVIQTVSSETLKQFYQKWYHMQNMAVIAVGDFPETESVVELIKTHFGQKISTQDLLPIPHFPVPSHDEPRFSYFVEPEASGSSVIISYKMQPSQLKTVKDYRDMLVESMFGSALNQRFFKISKRNNPPYFSCSAATSDLVARVRLHGFSEREISVVRALLLSSIESAYLERDQMESTDLRDEYVQHFVDGKPVTGIEYRAQLHKTIVPHISASEVSKYAEKLLTSCSCVIKIVEPRASAKIKDLKEVVMKIDKLENERSITPWVDEDIPEEIVTSKPSGG >OMO67349 pep supercontig:CCACVL1_1.0:contig12444:8548:8737:1 gene:CCACVL1_20571 transcript:OMO67349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LHRLWNPRGPSWINFRFQTLVHLTALSPQLFPSFLLVVPRGCENSHFVLPNSIPSNTWKPQGV >OMO67362 pep supercontig:CCACVL1_1.0:contig12444:77452:78520:-1 gene:CCACVL1_20584 transcript:OMO67362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L31e MVEKTRGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAQKAMGTKDVRVDVKLNKQIWSRGIRSVPRRVRVRIARRRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKVIDDDEE >OMO67352 pep supercontig:CCACVL1_1.0:contig12444:26854:31435:-1 gene:CCACVL1_20574 transcript:OMO67352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKQAEKVKENQNRSKGKKQETRIQGKRIEFTKETTILFGREGSAESQTVNRELEARCSK >OMO67356 pep supercontig:CCACVL1_1.0:contig12444:41398:43603:1 gene:CCACVL1_20578 transcript:OMO67356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20 MDALPIQELVEWEHKSKVPVKMHACGHDAHIAMLLGAAKILQEHQNDLQGTVVLIFQPAEEGGGGAKKMIDAGAIENVDAIFGLHLSVRFPGGVVASYPGPILAATCFFEAVIHGKGGHAALPQHTIDPILAASNVIVSLQHLISREADPLDSQVITIGKFQGGGAFNVIPDSVTIGGTFRAFSKESFAQLRQRVGESCYPATVNNKELHEHFRKVSGEMLGLKNVIQAQPMMGAEDFAFFSDAIPGLFFFLGMNDETQGKFPSGHSPYYRVNEDVLPYGAALHASLATRYLLDHPPTHTSPPEVHYHDEL >OMO67367 pep supercontig:CCACVL1_1.0:contig12444:106525:109388:-1 gene:CCACVL1_20589 transcript:OMO67367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVPADDSETTGEQKKGLVSADNSETTREQKMGLVSTDNSETGEKSFRSLEILKLDMDKEFDEQHFGVDYGKLENGLVYYVKCNSKPKQRAALSLAVKVGSVLEEEDERGVAHIVEHLAFSATKKYANHNIVKFLESIGANFGPCQNASTSFDETIYDLLVPIDKPELLSEAIEVLAEFSSEIRFAEEDLEKERGAVMEEYREDRDADGRISDDYWTLVLQGSKYAERLPIGLENVIKTVSCQSLKQFYQKWYHMGNMAVIAVGDFSDTKSVIELIKTHFGHKHSALDPPPIPQFPVPSHEDPRFSYFVEPEASESAVCINYTMPVDGQKTVKDYRDMLVRALFREALNRRFYKISCRKDPPYFSCSITGGILVARVRLHGFTEREISVARAILMSSSESEYMERDQVESTDLQDSYALHFTDNVGIIGIEYEAQLDKSLLPDILASEVSKIAERCWTSCSCVIQIVEPHASATIDDMKNIVMKINKLEKEKSISQWDDEHVPEEIVDSKPNAG >OMO67366 pep supercontig:CCACVL1_1.0:contig12444:100887:101030:-1 gene:CCACVL1_20588 transcript:OMO67366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMENVKRVARWEQEKKKGRAIRPRIVTRIPRKFLRWIGQSTKYKPN >OMO67360 pep supercontig:CCACVL1_1.0:contig12444:52144:52269:1 gene:CCACVL1_20582 transcript:OMO67360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYTFLEINIQGESDFEYTGFRDTIRSTPLPPIARGDGWG >OMO67355 pep supercontig:CCACVL1_1.0:contig12444:36997:39097:-1 gene:CCACVL1_20577 transcript:OMO67355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MFNRLFGKPKQEANALTTLDKLNETLEMLEKKEKVLVKKAAQEVEKAKEFAKGRNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVHVPAGRQPSRPVPQKRTAEEDELAALQAEMAL >OMO67365 pep supercontig:CCACVL1_1.0:contig12444:97832:100475:-1 gene:CCACVL1_20587 transcript:OMO67365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSEDNSKTVSLVSEDNSNTGEQSLVSEDKSKKTGEKSFRSLEILKLDMDKEFDKQPFGVDYGKLENGLVYYVKCNSKPKQRAALSLAVKVGSVLEEEDERGVAHIVEHLAFSATKKYTNHNIVKFLESIGAEFGACQNASTSEDETIYELFVPIDKPELLSEAIEVLAEFSSEIRLAKEDLEKERGAVMEEYRKDRDADGRILDDYWTLVLQGSKYAERLPIGLENVIKTVSCQTLKQFYQKWYHMGNMAVLAVGDFSDTKSVIELIKTHFGHKHSALDPPPIPQFPVPSHEDPRFSYFVEPEASESAVCINYTMPVVELKTVKDYKEMLVRCLFRSALNRRFFRISRRKDPPYFSCSIGSYSLVARVRLHGFTEREISVCKARLMSSIESEYIERDQVESTSFQYEYTKHFTDNIVIIGIEYEAQLYKSLLPDILASEVSKIAETLWTSCSCSIQIVEPHASATTDDMKNIVMKIYKLEKESSITPWEDEHVPEEIVDSKPNAG >OMO67363 pep supercontig:CCACVL1_1.0:contig12444:83563:86248:-1 gene:CCACVL1_20585 transcript:OMO67363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLPTENSPIAKKHGFRSLKLVNVEFDQEFQREPFGVDYGRLDNGLVYYVRSNSKPRMRAALALAVKVGSVLEEEDERGVAHIVEHLAFSATKRYTNHDIVKFLESIGAEFGACQNAVTSADETVYELFVPVDKPELLSQAISVLAEFSTEIRVSKEDLEKERGAVMEEYRGNRNASGRMQDAHWALMMEGSRYAERLPIGLEKVIRTVSSETVKRFYQKWYHLHNMAVIAVGDFSDTQTVVELIKTHFGEKNLAPDPPIIPLFPVPSHEEPRFSCFVESEAAGSAVMISYKMPADELKTVKDYRDMLAESMFLHALNQRFFKISRRKNPPYFSCSAAADALVARVRLHGFSEREISVVRALLMSEIESAYLERDQMQSTSLRDEYIQHFINDEPVIGIEYEAQLQKTILPYLSASEVSKFAEKLQTSCSCVLKTIEPRASATIDDLKNVVLKINNLEKEGNISPWDDEHIPEEIVNIKPNPG >OMO67350 pep supercontig:CCACVL1_1.0:contig12444:9087:10133:1 gene:CCACVL1_20572 transcript:OMO67350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MAEVYSPLKYNAVDNHFNNSSNPFYLQEALAALQRYLPSNEPDVESDSELSGLDNPDSPVDAYSCDHFRMFEFKIRRCARGRSHDWTECPYAHPGEKARRRDPRKYHYSGTACPDFRKGNCRKGDSCEFAHGVFECWLHPARYRTQPCKDGPGCRRRVCFFAHTPDQLRVVNSADSYDGSSPSGAKTLTFWSSPGSPPVSPRAESSPPVSPMAQTLSRSLGSASINEMVASLRNLQLGKVKSMPSSWNAQVGCSSPSSFGSPRGPVIRPGFCSLPSTPTQNLTRPGIGYLDFWDEGCEEEPVMERVESGRDLRAKMFEKLSKENSLKRVNPGQFSGGPDVNWVSDLVE >OMO67351 pep supercontig:CCACVL1_1.0:contig12444:13569:26130:1 gene:CCACVL1_20573 transcript:OMO67351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDIVPSTDDLLKVLAAADTTRHEISVYKLLYHQRFFKM >OMO67357 pep supercontig:CCACVL1_1.0:contig12444:44339:44885:1 gene:CCACVL1_20579 transcript:OMO67357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYDVSKGSWVLSPVASSSMNKTPQNPEYSKSFDIPLSFNSRSSTDNMPPFSPLSHSQTFPQPSATGKSRKSQWGFWKAMKQYLTCYNPVRSKTKNKQKSRSKGKSAAAAKTSSVHSASPSHAYSAMNAEERDENLKAVITYCNKSTKEG >OMO67359 pep supercontig:CCACVL1_1.0:contig12444:48015:50483:1 gene:CCACVL1_20581 transcript:OMO67359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycyl-tRNA synthetase / glycine--tRNA ligase MAETDQAAPPTDQLATMDLTETSLNHAFSDRVLIRSIVGRPDGGAGLAGKRVRAGGWVKTGREQGKGTFAFLELNDGSCPANLQVIVDAGVAVLNKLVATGTCVVVDGILKVPPEGAKQRIELRVENVVHIGEVDPAKYPIPKTKLTLEFLRDHLHLRSRTNTIAAIARIRNALAFATHSFFQEHHFLYVHTPIITTSDCEGAGEMFQVTTLINEAEKLEKELKENPPPSEDDVEGAKKLVTEKGEVVKQLKAAKASKEDINASVAELKNAKEKLSKLEERFKLKPGIPKKDGKIDYTQDFFARQAFLTVSGQLQVETYACALSNVYTFGPTFRAEHSHTSRHLAEFWMVEPEIAFADLKDDMNCAEAYVKYLCKWLLEKCLDDMEFMAKNYDKSCIDRLRMVADTPFVRISYTEAVDILEKAVKDGKKFENGVEWGIDLASEHERYLTEVKFQKPVIVYNYPKGIKAFYMRLNDDLKTVAAMDVLVPKVGELIGGSQREERYEVIRERILEMGLPLEPYEWYLDLRRYGTVKHCGFGLGFERMILFATGIDNIRDVIPFPRYPGRADL >OMP10591 pep supercontig:CCACVL1_1.0:contig02141:929:1072:-1 gene:CCACVL1_00858 transcript:OMP10591 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter MRS2/LPE10 DKVRNQLIQFELLLTTATFVVGIFGLVAGIFGMNFEVPMFEDAGAFKW >OMO57849 pep supercontig:CCACVL1_1.0:contig14306:11867:19882:-1 gene:CCACVL1_25677 transcript:OMO57849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFEYPVLSRADIISILLESQIAVVTDNDFKNVKPDFICDLYTRLLMYLDAIHEEDQGQVEFSALEQFENPDLLIGSIQLMNLYSRLREVVASLHCPMQFNLRDLVKPDPSRTEFFISSILNFCLYKETKMNLLRPIAEELTLLDEQRKEWEAKISQLNAEIAGYNEARERELPLVQEVDSRVKELRKMIAGLNNNQMSLKTSFRNLKDKTGQMDEKISKAEFDLVQSVQENANLRSKIVQSPDKLQRALEEKKFARDEAKNAEKLAMKSFQEKTATIEVYSKALKKMSKHLALMQAILEQMNSAKLVEKECKGLKAKLNDDAVANKSLEAKLIERQGKVEQMSELQRQLQKERDLKFEESTKYLNDVKLEVESKRRDLEARQKKVEDVVAEVDSITSKTSMVKESGDAKVQELISKCEEVVKQRRTKFKILFRFNNSKNGKKKINAVVFSSLRNLDNVINLESKVDSLLDSIKWDDKGLAVAIAQNVDTGAILMQGFINREALATTISSKKATFFSRSRASLWTKGETSNNFINVHDIFVDCDRDSIVYLGKPDGPTCHTGSETCYFTSISDMLKDQEVKEDNLALTTLYSLESTISQREAEVAGQHGKPSWTKRLLLDEKLLCSKIREEADELCRTLEEKEDSSRTASEMADVIYHGMVLLKRKDVKIENVLEVLRQRFSQSGIEEKKSRVTTKS >OMO74712 pep supercontig:CCACVL1_1.0:contig11098:83040:83138:1 gene:CCACVL1_16516 transcript:OMO74712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPNNVEFIENKKYPKFGVGVYAVLWGVAAT >OMO74705 pep supercontig:CCACVL1_1.0:contig11098:19840:22388:-1 gene:CCACVL1_16508 transcript:OMO74705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAGSTPAKGDGNVKKIRKPKPWKHPQPITKSQLVQMREEFWDTAPHYGGRKEIWDALRAASEADLTLAQAIVDSAGVIVQNADLTICYDERGAKYELPKYVLSEPTNIIRDS >OMO74707 pep supercontig:CCACVL1_1.0:contig11098:29247:31796:-1 gene:CCACVL1_16510 transcript:OMO74707 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c assembly protein MVQHQLRGHLKILDDCSFQVTRFDMLAGSSDVVFWGADSLVFSNITGGFPISDYHLNQTTYKNASFSVQLLPNLNWSQINVLSVWDRTTTSDFGHVTFPVNGSNQLNGSDQSLAPTMFDNCKNLSDNYRVRWSLNVEENWIEIGLEAATRMMDYMGFGWASPNRTTELMSGADVAVAGITDEGKPFVDDFYITSYSECKLNAKDGSALGVCPDVVYENSENGHEVNNTRLIYGHRRDGVSFVRYRKPLKSPDEKYDLPVNPTEKMTVIWAIGLMNPPDSIRPYFMPQNHGGPRNVRYGHLVLNVSESVNDCLGPLDADDKEDQDLIIADSTVPLVVTTGEALHYPNPPSPTKVLYINKKEAPVLRVERGVPVKFSVQAGHNVSFYITSDNLGGNATTRNASETIYAGGPEAQGVYASPHELIWAPDRNTPDQVYYQSLYQQKMGWRVQVVDGGLSDMYNNSVFLDDQQVTFFWTLSKDSISIAARGVKKSGYLAIGFGSGMVNSYAYVGWIDSIGQGRVDSYWIDGKDVSNLHPTNENLTHVRCRSENGIITFEFTRPLKPSCSHNDRPECKNIVDPTTPLRVIWAMGAKWADEHLSERNMHSVTSQRPVRVLLMRGSSEAEQDLRPVLAVHGFMMFLSWGILLPGGILAARYLKHVKGDGWYQIHVYLQYSGLAITLLALLFAVAELRGFFVSSLHVKFGIATIFLACVQPANAYFRPKKPSNGEEPSSNRILWEYIHVIVGRGAIVVGIAALFTGMKHLGERYGGENVHGLSLALMIWFLIGALMVIYLEYRERQRRRERLLGRGNWVLGDLEEDDSVDLLSPNRAPGHKGSQNSGLMEVQLEPLSR >OMO74710 pep supercontig:CCACVL1_1.0:contig11098:47953:54572:1 gene:CCACVL1_16513 transcript:OMO74710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVKPSAVTPSKSKWARTLSKVLHLHTAPTGIVPDDGVQKVKLGETDEWNDSKTTKRLSQKFDGLHDEEVEKRMALEALTAKIFATISAIKAGYAQLQHAQSPYDADGIQAADQLIVSELKKLSEFKQCFLKKQYDPSPEYSMLLAEIQEQKSLSKTFEVMGKKLESQLRLKESEIIFLREKLDDSNKQNRLLEKRLNQSGQLFVLDNLHLSGLSPSHFITVLRQTVKSIRSFVRLMIDQMKSAEWDMNTAANSIERGVVYWKADDKCYTFESFICREMFKAFHLPYFTLLGNSVPEVKKHPQVFFERFMELKSTKVTEYLAMKPKSTFAKFCRTRYLQLVHPKMESSFFGNLSIRDMVSSYEFPDTTFFILFAEMAKRVWLLHCLAFAFQPEASIFQISKGCRFSEVYMESVTEEAFLSSDITPQSEPRVALTVVPGFRIANSYPTSVKDPTFWRNNEIPPNLSFKFNDGSQLRLLLANANDNPDFGFGFFTKGNNTNAFYLVVVKFMTIYHDVPPIVVWSANRDVPVGEFATLELRDGDVILRNEDGNIIIWSTETPGSIARRLHVDDEGDLRLVDNRHTLIWNSFNHPTDTLVSNLLEDKQYGDCSYPEVCGTCGICSDGQCSCPESSGSYFRPSNSSLGSFECAQVAPLSCQDTGFVELNNVTYFSFVPQLLFTNAEKCKKECLKNCSCKAALFRGKLITTKIDVYSLGIVILEIICGRKNSHAPGDYLIDILKNKVEENELASLVDESNEDMQCHREDAVKVMEIAILCLQTNLYKRPTAAKVVRFLEGLTNVEPISDYGFLTMIHIDEEPVATAADACYVSPITASVLSGPR >OMO74704 pep supercontig:CCACVL1_1.0:contig11098:10811:19105:1 gene:CCACVL1_16507 transcript:OMO74704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C26 MPSLFLSNPSSSSASSPDHPNDAVTSPSSSSSSSSSSPDMWNYLLVPILLYLSKDLPLAKAETSASLLLPSQRGGNSSSDSLSSDSSCTILDPNLYYRPVIGILSHPGDGASGRLNNDSNASYIAASYVKFVEAAGARVIPLIYNEPEEILFEKLELVNGVLFTGGWAKNGLYYEIAQKLFKKVIEKNDAGDHFPLYAICLGFELLTMIISEDRNILESFSAADQASSLQFVNNINIEGTVFQRFPPYLLKKLGTDCLVMQNHHYGISLERLQNNPKLSSFFNILTTSTDDNNEVYVSTAQARGYPVTAFQWHPEKNAFEWGSAMIPHSEDAIQVTQHVANYLISEARKSQNRPPAGKVLDNLIYNYSPTYCGKAGKGYDEVSYKWLSRNFLVSSNVAKRLLAEFAEKHGSGLEVVYSLSGWLKNTPSNYHIQLVTGPKLAEAKQEYDDNCRVHVYSVQARIPVDPAVLWNTEFIQAEELFKQPATVDNCLRDNRFGGISTSFISRTLDGKPVTVAAAQPNSLRISGLSKHNSAQNNSALQTQQNKVQQSSSEVAQHRASVVKDAKSESNSKGVHDLGSKPSADKEKLSSFPLNDKKSQNDKSSNGSGGSLANLWGRASTKQKASGVPADNSNSIHNHNVSADAQISAREAVRDENSDDDAQDVNFRRASNGEGNRKRRVVFDFSDEDEYEDAVNLASPDPPKKKPFLDSEQNAKTLATKMPDLIEEKPNKDEVKVKEERTTDGEPNISLVEEISLVSKSTNGRHSSLVKVENQLPDADVSKKDKVTDAAPNSPKRKKVMKTRIDDRGREVIEVVWEGEETVVKKVENDVLKKVGTGVTAKADSNSVTTTNNRPAAAKKSPAVGNTAPANPGGKAGNKKGGNAKDPKQGNILSFFKRV >OMO74709 pep supercontig:CCACVL1_1.0:contig11098:38299:45641:-1 gene:CCACVL1_16512 transcript:OMO74709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTNKKKKIGSSVVMIGEVVAKQKPEIRFKVEIESQSK >OMO74708 pep supercontig:CCACVL1_1.0:contig11098:35100:37473:1 gene:CCACVL1_16511 transcript:OMO74708 gene_biotype:protein_coding transcript_biotype:protein_coding description:SecY/SEC61-alpha family MGGGFRVLHLVRPFLAFLPEVQSADRKVPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASSRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGLLIAIGEAVAYVLSGMYGSVGQLGVGNAILIILQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAIICLFHNILTRDNKIRGLREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALVTNLYFISQLLYRRYSGNFIVNLLGQWKESEYSNGQSVPVGGLAYYITAPSSLADMAANPFHALFYLVFMLSACALFSKTWIEVSGSSARDVAKQLREQQMVMPGHREASLQKELNRYIPTAAAFGGMCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGLFGF >OMO74711 pep supercontig:CCACVL1_1.0:contig11098:55006:55281:-1 gene:CCACVL1_16514 transcript:OMO74711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFSKITVFLIAIIVIAFHIPCFQARKTLSKEKMGGPFLEQSMIVNADPKVVNPPSTPTKSGHGLGANEIYKPQHISLTGWSVPSPGVGN >OMO74706 pep supercontig:CCACVL1_1.0:contig11098:23562:27842:-1 gene:CCACVL1_16509 transcript:OMO74706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESHLLITLLFLLVPYSLHFQAQAAPAGPLIKHLSSLIKWTRSSTKTPQSDGNVLQFENGYLVETVVEGNEIGVVPHKIRVSEDGELYAVDEVNSNIVKITPPLSQYSRGRLVAGSFQGYTGHVDGKPSDARFNHPRGVTMDDKGNVYVADTQNLAIRKIGDAGVTTIAGGKSNVAGYRDGPSEDAKFSNDFDVVYVRPTCSLLVIDRGNAALRQISLNQDDCDYQYSSVSPTDILMVVGAVLIGYATCLLQQGFGSSFFPKMQPLESEFKEKQRKEKPTPIVDSMKEVPGWPSFGQLLVDLSKLALESMGSMFLYFVPSHFRSVGSKKGLTPMKDNLRMPEDEVPPPLVQRQTTPAPVSETRQTHATNTSDKYSEMKPPKLKSSSFKDPLSSKHRSSKRQEYAEFYGSGEVPHSRSKTQEKRTRHRQRDKSGEAVYSTSTADQKPVETKPVDYDNSKLPRPINFPKPPIAGPIIFKKTKDNYKVGPTESPNHHRIENVAYSY >OMO67842 pep supercontig:CCACVL1_1.0:contig12366:37723:41804:1 gene:CCACVL1_20270 transcript:OMO67842 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger membrane region MNDPHGSNNDGCSHLHDYADYKSKCRFPFLGHLVLLIWLFVLFYLLGDTAANYFCTSLESLSRILKLSPAIAGVTLLSLGNGASDVFASIVSFTMSGNGGVGLNSILGGAFFISSAVVGVISILISRHEIPVDEPSFFRDVLFFLFSLSSLMFILFIGEITLWGALSFVSIYLLYVCAVAASHFLYRKKQKKMNPIPVSCVSNSNDNFGEVGIPLLGYASEEKSVLSNKVTLQNQVQTPNLFNFDSPSFYYFGKFLYLLELPLYLPRRLTIPVINEEKWSKPYAVISVTLAPLMFAELCNSQREKHMGSKTNLVTYMIAGFIGMVIGNLAFVTTKRCSPPNKCQLPWLAAGFLMSVTWTYFTAEELVSLLVSFGHILGISPSVLGLTVLAWGNSLGDLISNSTMAINGGADGVQTAISGCYAGPMFNTLVGLGVSLVCSAWSEYPSSFEIPRDPSLYETLAFLMAGLLWALVILPRKNMRLDRFLGAGLLAIYFCFLSLRLARAVGLLNLHGGLTMLIAFVCVNMDNQNDNVEDKTVSALQVDELFLRKILTRKSSMENSAAVPYRRSVGEVPFRWESQPGTPRHHQLVSRNDEIVTPIRPPPASSWHGQELDKPSSRETTAWFWKKSKSVFQGYNKKAKGQSQGNFHHDDNQFDYYKSSSTNSRSSSSSRKWSLGKVLAKWAHF >OMO67843 pep supercontig:CCACVL1_1.0:contig12366:43060:44805:-1 gene:CCACVL1_20271 transcript:OMO67843 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger membrane region MGTWVSVSEHKSKRYIIFLNLSFVLVVSVFLIICFRPSGVVVSSSSYKFVKGSSNSQQDCQFLEGLDDYTAKCSYLKSNTPCVSQGYIDYLYLFYCNLGRFPFLGHCLLIIWLLVLFYLLGNTASEYFCYSLESLSNFLKLSPTLAGITLLSLGNGAPDVFSSMVSFMDSETQDIGLNTVLGGAFFVTCVVVGAISTLMHRKRVQVNKPAFVRDVCFLLLVIVTLILILVHGTINLWGAMAFSSMYIVYVILVYIIYIFWNSGGSEDNMDSDSSYNSGLSIPILASEKAELDCLEEGDLEDENGAEYRKCCFCLKVSGPCSMFLRILEMPLYLPRRLTIPIACPERWSKPISVISVTLAPILLSILWDLEDDNLTFHNGLVVYGIGFLFGITFGVIAYLTTESSSPPKKCLFPWLAGGFIMSVIWSYIIAQELVGLLISLGYIFGISHSILGLTVLAWGNSLGDLITNLTMALNGGPEGAQVAISGCYAGPIFNTLFGLGMSLIGSAWFGYPSPVQIPNDPYLLETLGFQVAALLWAVLVLPRRNMRLDGVLGGGLFLIYLTSMSLRMIQVVGPLQLHTSI >OMO56501 pep supercontig:CCACVL1_1.0:contig14511:7340:8146:-1 gene:CCACVL1_26498 transcript:OMO56501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSETCILRPCLQWIESPEAQGHATVFVAKFFGRAGLMSFISAVPESQRPALFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGTLRPMPELMAPTPASDEASEATCATDMWKLQETATTNLNSNNCRFSNSRSKVSPKRKRVEEFKKLQPSDLDLCLTPTFTGKRVVLPENRRPGSPSMNSEESGTTTCFESTGFADQQGQRADNKQLLNLFV >OMO56500 pep supercontig:CCACVL1_1.0:contig14511:5935:6015:-1 gene:CCACVL1_26497 transcript:OMO56500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFGARQRDTRVIWREAAFGECKEA >OMO56503 pep supercontig:CCACVL1_1.0:contig14511:16941:17174:1 gene:CCACVL1_26500 transcript:OMO56503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetamidase/Formamidase MAQYGARVVVPIDLKKKPWEQKHPLHNRWHPDIPAVAEVKEAELFRIEMVDFSGGGITSDFSADDVKHADQSIVSSN >OMO56502 pep supercontig:CCACVL1_1.0:contig14511:13585:16064:1 gene:CCACVL1_26499 transcript:OMO56502 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetamidase/Formamidase MAKYGARIVIPVDLKKKIEEQKYPFHNRWHPDIPAVAEVKENELFRVEMVDCNGGLIQNNDSAEDVKYADLYGGHYLSGPIRVVDKDGIAAKPGDLLGVEICNLGPLPGDEWGYTATFDREKGGSFLTDHFPCATKAIWYIEGIFAYSPHIPGVRFPGLPHPGVIGTAPSMELLNIWNEREKDVAENGLNSFKLCEVLHSRPLAHLPTAKKCLLGKIPKGTPEWEKMAWEAARTTPGRENGGNCDIKNLSTGSKVYLPVVVEGANLSSGDMHFSQGDGEISFCGAIEMNGFLELKCEIIRGGMKEYLTPMGPTPLHVSPVFEIGPVEPRFSEWLVFEGISVDESGRQHFLDATVAYKRAVLNAIDYLSKFGYSKEQMYLLLSCCPCEGRISGIVDSPNALATLAIPTAIFDQDIRPKNGKVPVGPRLLRKPDVLKCTYDGNLPITNNPAASISM >OMO56505 pep supercontig:CCACVL1_1.0:contig14511:19910:22223:-1 gene:CCACVL1_26502 transcript:OMO56505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVRETSAWVAHNSSHVTVDSSGIEEVVEKMKESIPKVEWDYEGIHYFDNGPLTVQYLFVLDALNFCFWPDKDLNYDNLAMGLKEALLNDKSAFDADRLQKYTGPQLRELLKWPRPLPLEEERVRLLHEVGFELERSFEGKAAKLVESCGKSAVKLVALITRHFPGFRDHSLYKGHQIFLYKRAQIFAADLWGAFKGQGYGEFNDISSITMFADYIVPAVLEQLGVLKFSSSLASAIEASSEIGAGSEEEVELRACSIYAVEKMRELLTDKWGKQVLSVELDLWLWSVGVQCPSLRHHRTLSIYY >OMO56506 pep supercontig:CCACVL1_1.0:contig14511:23986:27512:1 gene:CCACVL1_26503 transcript:OMO56506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRPLNFTTISLHHPRHRLHLSFLFSPSRLSSASASASSFSSASSTSFSPLSFLRGIASKTASSVFSQQQQHQHQQQKQNKDLLLRELKEAETLAQKIGKSIRRPGAPSKSRVYTDVNVVRPKEYWDYETLTVQWGEQDDYEVVRKVGRGKYSEVFEGFHCTDNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDYDIRYYIYELLKASRLFPRCFMSSCIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNKYRIELDPHLAALVGRHSRKPWSKFINADNQHLALPEAVDFLDKLLRYDHQERPTAKEAMKAAEVVPSIAKIVRYREDMVSS >OMO56504 pep supercontig:CCACVL1_1.0:contig14511:17474:19495:1 gene:CCACVL1_26501 transcript:OMO56504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetamidase/Formamidase MAPPTPRLVVPVDLKKKPWEQTLPLHNRWHPEIPPVADVKVGEMFRIEMVDWTGGVIKDDDSATDVKFIDLSTVHYLSGPIRVLDKQGIPAKPGDLLAVQICNLGPLPGDEWGYTATFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPHIPGVRFPGLTHPGIIGTAPSMELLNIWNEREREVEENGHKSLKLCEVLHSRPLANLPSTKGCHLGRIKQGTAEWEKIAVEAARTIPGRENGGNCDIKNLSRGSKIYLPVFIEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYLTPMGPTPLHVNPIFEIGPVEPRFSEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIDYLSKFGYSKEQVYLLLSCCPCEGRISCIVDSPNAVATLAIPTAIFDQDIRPKTGKVPVGPRLVRKPDVLRCTYDGNLPTTKNPAASM >OMO79690 pep supercontig:CCACVL1_1.0:contig10383:7541:9880:-1 gene:CCACVL1_13499 transcript:OMO79690 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >OMO79688 pep supercontig:CCACVL1_1.0:contig10383:2511:2678:1 gene:CCACVL1_13497 transcript:OMO79688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHYPRYKKSDYENMPEWQLDCLLNEYGLPVIGDVNQKRKFAMGAFLWPSDHNE >OMO79691 pep supercontig:CCACVL1_1.0:contig10383:12414:15276:-1 gene:CCACVL1_13500 transcript:OMO79691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALYIFSWLCFFSLVLFKGNADLVEDKQALLDFVNNLRHSRSLNWNESSPVCNNWIGVTCNSDNSRIIAVRLPGIGLHGPIPPNTISRLSALQILSLRSNGISGNFPSDFTNLRNLSFLYLQYNNFSGPLPLDFSAWKNLTIVNLSNNRFNGSIPLSLSNLTHLQALNLANNSLSGEIPDLNFPSLQQLNLSNNNLTGSVPKSLLRFPRSVFGGNNITFESFSPETSPDVAPSSQPYVNAKKSGRLGETALLGIIIAACVLGIVAFAFLIIVCCSRRKSEDVYSRKLQKGEMSPEKVVSRSQDANNRLFFFEGCNYTFDLEDLLRASAEVLGKGTFGISYKAVLEDATTVVVKRLKEVNVGKRDFEQQMEVVGSIRHPNVVELKAYYYSKDERLMVYEHYNQGSVSSLLHGKRGEDRIPLDWDVRMKIAIGAARGIARIHMENGGKFVHGNIKSSNIFLNSQQYGCVSDLGLSTIMSPLAPPISRAAGYRAPEVTDTRKAMQPSDVYSFGVVLLELLTGKSPIHTTGGDEIVHLVRWVHSVVREEWTAEVFDIELMRYPNIEEEMVEMLQIAMTCVVRMPDQRPKMPELVKMIENVRRIDSDNRPSSGNRSESSTPPPASVSAGESQV >OMO79689 pep supercontig:CCACVL1_1.0:contig10383:5251:5581:1 gene:CCACVL1_13498 transcript:OMO79689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan peptide, AGP MAVSKVSFVAVFAALVFAMIALPAAVQAQSDAPAPAPASDGTSIDQGIAYVLMLLALVLTYIIHAADFCFN >OMO79693 pep supercontig:CCACVL1_1.0:contig10383:28172:28864:1 gene:CCACVL1_13504 transcript:OMO79693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGVGKKGINASFVRSLASAPWGHASGLKSASTVDLA >OMO79692 pep supercontig:CCACVL1_1.0:contig10383:22415:23967:-1 gene:CCACVL1_13502 transcript:OMO79692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-/Glucose-6-phosphate 1-epimerase MNHSGVASDQKPAVEITKDRNGIEQIVLRNPRGASTRVSLHGGQVLSWRTDRGEELLFTSSKAIFKPPYAVRGGIPICFPQFGQRGSLEQQHGFARNRTWVIEENPPPLQSYDSTGKAYIDLLLKPSEDDLKIWPHSFEFRLRVSLTADGNISLISRIRNINCKPFSFSIAYHTYFSISDISEVRVEGLETLDYLDNLCQKERFTEQGDALTFESEVDKIYLGSRDIIAIFDHERKRTFLIQKEGLPDVGVWNPWEKKSKTMVDFGDEEYKQMLCVNGAAVEKPITLKPGEEWTGRLELSVVPSS >OMO78447 pep supercontig:CCACVL1_1.0:contig10587:3370:6864:1 gene:CCACVL1_14374 transcript:OMO78447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MYGILNRFQSQWSKAEGIKELNKEKEAGDVARASLKREVDRKAGYDTEGLFKYSSGDENYIGDSNTKWKLELAWLTKALEPALQLCRWALPIGNEVGGKTLPSTLSVSEIISSIQRSKIGIEGWSLSDLTIGLYLIYLRQASLNPFEDVKGVKITSDAIVQDLIYHVELAKGCYKDNATLLARTSMLRESSIVKFVKYSSVMRPGYYIGIDHRKKLVIFGIRGTHTVYDLITDIVSSSDKEVTFEGYSTHFGTAEAARWFLHHELGTIRNCLEKHEGFRLRLVGHSLGAATASLLAIMLRKKSRMELGFSPDIVSAVGFATPPCVSKELAESCSDFVTNIIMQDDIVPRLSAASLARLRTEILETDWMSVVEKADWKGVIDLVTNAKQVVSSVQDVAWKLADYAKFKNQKESYDNLVTEKSTPVTLASKPETKIVAVLKNEEGASAVPEELFVPGTVYYLKRNVDDDAESSNNRSREYFSLWRRHPGEHFNRIVLSSNVITDHKCVSHYYALRDVLKGLPMSHNGGIF >OMO78448 pep supercontig:CCACVL1_1.0:contig10587:22016:22861:1 gene:CCACVL1_14376 transcript:OMO78448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGSPCGACKFLRRKCVRGCVFAPYFCHEQGATHFAAIHKVFGASNVSKLLAHLPVTDRCEAAVTISYEAQARLQDPIYGCVSHIFALQQQVVNLQAQLASLKEQAAQSILNGSVTSNPNDKYYGKLPNSHLQDVQSWFHPDNPSNMAPQFNPNISTTNSNYCENGFWDPNSLGNYENSVISSSSGEDVSFTGTTTFGGEANHHSMSSFDMQITNNRQWSFQDADDLQSMAFGYAQHS >OMO78449 pep supercontig:CCACVL1_1.0:contig10587:30471:31396:-1 gene:CCACVL1_14377 transcript:OMO78449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSGSGSTAATGTGSPCGACKFLRRKCASDCIFAPYFCSEQGPARFAAIHKVFGASNVSKLLLHIPAHDRCEAVVTIAYEAQARIRDPVYGCVAHIFALQQQVAYLQAQLMQAKAQLAQSAMNNSHNIDNQWQGNLSGGGVPSIPSYPSYMNPISPQSSLESVEFNSSSDSMNMQDIQSRDQDHEFSFQQGYPHKKKPYNPDLGELQALALRMMRN >OMP12259 pep supercontig:CCACVL1_1.0:contig00222:2174:2722:-1 gene:CCACVL1_00062 transcript:OMP12259 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MGNKLQKPKQSKSETEEPDADFTCEICIQPVDAKNKFKNNGICKHNFCSDCIAKYIEAKVVEFNVANINCPALDCKFPLDPLSCRPILPHQLFDKWCDLLCGETVLEKYGISKRSYCPNPNCSTLVVSECNDKPSKSTCPNCKKEFCFKCQSRWHAGFRCSEKQIYTDRNDILFGKLIEKSK >OMO80695 pep supercontig:CCACVL1_1.0:contig10285:1460:1651:1 gene:CCACVL1_12811 transcript:OMO80695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHRRLAVSPSLYFAPLSMNSPTQSKSQTNNPLLPKFTAAKVIHYIICMARSPHCIPLSISIAW >OMO98090 pep supercontig:CCACVL1_1.0:contig07163:12851:16077:1 gene:CCACVL1_04339 transcript:OMO98090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPAATKSTTDTTTATATAMAAKTVTAAAAAAATPTPPPHFQRDTPPKTQRGLNKPKCIVCGNVARSRCPFFSCKSCCSKAQNECRIHVLKSDSAFPEKKPTASTPSSDQKSTQSSSQAAPLRLPSFRQLSNAFAQFDNLNVRSKKHLTKKDAVALNEWRFSKLKEFKDRSIEVENEAFDWYMQNISLLEEVFSTKSMHEESTEDEESMPNPTSEKDETSMMASGLKLTLRSHPVRTDNSRKRIKQIVDQGIEKLQRSDTDEAASDPDSQNKLDSRLNKVKSLWVERSSILSNILDKLNKARNEDDLKSCLEMKARVYNQTATHMEIKDPEALNKQSAENDVTPRKVADFPVTKLFTPTQVDQEALNKVDAHFSSLEQIEDL >OMO98091 pep supercontig:CCACVL1_1.0:contig07163:20460:32292:-1 gene:CCACVL1_04340 transcript:OMO98091 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MGDNHNEHLYHFYDWVSNNVLSVKVISTCLALIGAVVVWSMLHLYNTVWFKSERVRRKLWMQGIKGPSPSLLYGNLPEMQKIQLKALRTATTTAELTTDPDNIINAEIVAHDYTPSLFPYFVQWRKEYVIYGTLYPHDLVHSP >OMO50207 pep supercontig:CCACVL1_1.0:contig16261:14795:15669:1 gene:CCACVL1_30573 transcript:OMO50207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVKVYGPPLSTAVSRVLACVLEKDVQFQLIPVNMSKGEHKSPDFLKIQPFGQVPAFQDEDLSLFESRAICRYLCEKHPGKGNTKLYGTNPLVKASIDQWLEAEGQTFNPPSSILMLQLVFAPRMKIKQDQTLINQNEEKLAKVLEVYEKRLGESRFLAGDEFSLADLSHLPNAHYLVNATDKGELFTSKKNVGRWWGEISSRDSWKKVVEMQKQKN >OMO88277 pep supercontig:CCACVL1_1.0:contig09057:17023:17181:1 gene:CCACVL1_08493 transcript:OMO88277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRLKMHKDMKKFNDGYETRQEDVHAASYSRIPHPKDEHNTCMTQVESKSG >OMP10100 pep supercontig:CCACVL1_1.0:contig02777:937:1074:1 gene:CCACVL1_01017 transcript:OMP10100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFFAAEKGDLKAFHDTKIKGLDFENEELITPGGASMGWWPLF >OMP06248 pep supercontig:CCACVL1_1.0:contig05009:5169:7727:1 gene:CCACVL1_01656 transcript:OMP06248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MATNVGTTASHCPSPMKATSNGAFQGENPLDYALPLAILQICLVLVLTRILAFLLRPLRQPRVIAEIVGGILLGPSALGRNQKYLNAIFPARSHTVLDTLANLGLLFFLFLVGLELDPKSLRRTGKKALTIALAGISIPFILGIGTSFALRSTISKGVDEAPFLVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDVAAWIMLALAIALSGTGSSPLVSLWVFLCGFGFVLCCTVIVPPIFKWMAHRCPEGEPVDELYVCGTLAAVLAAGFVTDLIGIHALFGAFVIGVLVPKEGPFAAAMVEKVEDLVSGLLLPLYFVSSGLKTDIATIQGLQSWGLLVLVIITACLGKIAGTVSASVLCKVPFQEALALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAILVLMAVFTTFLTTPLVMAIYKPGKRMSKADNKHKTIERKDTNSQLRILTCFHSTRNIPTMINLIEASRGTEKKEGLCVYAMHLMELSERPSAILMVHKARKNGLPFWNKGKEPNSDRFVVAFETFGQLSRVSVRSMTAISAMSSMHEDICNSAERKRVAMIILPFHKHQRLDGELETTRNEFRWVNKRVLEQAPCSVGILVDRGLGGTTHISASNVCSNITVLFFGGHDDREALAYGSRMAEHPGISLTIIRFLPGPEIYADGNDEPFLIEFQKKIISNNSSISYEEREVKNSSETIEAIQEFSGCNLFLVGRMPESDLTDKLNANKSDCPELGPVGSLLTSPEFSTSASVLVVQQYTNHPNPRSVMPFKTVPEMPDGDIESA >OMO72248 pep supercontig:CCACVL1_1.0:contig11483:9561:11236:-1 gene:CCACVL1_17877 transcript:OMO72248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MRSLLDLLDQPEILSILFSLIAILVVVSLYRQFLSPWTATSKRELVLPPSPPKLPIIGNLHKVGSQPHRSLYSLAQQHGSLMLLHFGHVPVLVVSSSGGAREIMKTHDLTFANRPKVSNTEKLLYDGKDVSQAPYGEYWRQMRSISVLHLLSSKRVHSYCAVRQEEMALAIQRIENLCSSALPVNLSEVFSTVTNDIICRVALGRKYSEGTKFQKLLSEFGELLGVINIGDYIPWLAWISHVNGWNSRTDRVAKELDSFFDGVVDEHIDRYEKGCHDINVGIKTEEDQRDFVDVLLEIQRENTVGFPIDRVSIKAIILDMFAAGTDTTYTVLEWAMTELLRHPEIMKELQKEIRHVAGDKSDVSEDDLDEMHYLKAVIKETLRLHTPIPLLVPRISTKDVKINGYDIAQGTRVLINAWAIGRDPSSWERPEDFFPERFLNSSIDFKGHHFELIPFGSGRRICPGIQFAMKINELFLANLVHKFDWSLPGGANDKDLDMSESFGITIHRKSPLIAIGTPCFCH >OMO72249 pep supercontig:CCACVL1_1.0:contig11483:34091:35731:-1 gene:CCACVL1_17878 transcript:OMO72249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MSHYNYFYTYVIPFLLFTILLIKWLRKPFSANRKRLPPSPPSLPILGNLHQLGEDPPVALKSLAKTYGPLMMIRLGRLPVLIASSADAAREIMKTHDTIFSNRPIFPSDEKILVGSKGFSMAPYGEYWRQMRSICALHLLSNKTVQSFKPLREEETALFIEKINKSSLLTLPVDLTQTLATLTNDFVCRVAFGRKYGDDGEQNFKEVLDECMLLLGGWDIGNFIPWLAWISHLNGLNSRYNRVAKWLDNFLDKVIDEHIDDRRRLGGSSGSEVNNKDLVDVLLEIQKNDTISGFSLERESMKGSILDIFIGGSDTTTTVLEWSMTELLRHPKVMKQVQDEVRQIGIGKSSIKEEDLDKMHYLKAVIKETLRLYPPVPLLVPRLSSHDVKIMGYDIPAGTTVLTNAWAIGRDPATWDEPDEFRPERFLNSPIDFKGNDFRAIPFGAGRRSCPGLSFAMAMSEIVLANLVYKFDWSLPGGVTGQDLDMTQSSGLIGRRKVHLKAVATSPTVY >OMO49732 pep supercontig:CCACVL1_1.0:contig16422:91661:102561:-1 gene:CCACVL1_30828 transcript:OMO49732 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MPKLMAIKSSPSPKSLFLTFTLLSLLSLFIFFFFFTFPANDPTISLSHNALHSLQNSIKVYVPNLPRSLNYGLLENYWASNHPDSRIPTDPDHEISTTHFSKSTKYPPYAENPLIKQYSAEYWIMGDLETPEKLRTGSFAKRVFDINEADVVFVPFFATLSAEMELGSGSGAFRKKAGNGDYLRQKEVVDFVRNSDAWKRSGGRDHVFVLTDPVAMWHFKAEIAPAILLVVDFGGWYRLDTKSTNGNSSDMIRHTQVSLLKDVIVPYTNLLPRLLLSDNQKRHALLYFKGAKHRHRGGLVREKLWDLLVNEPGVVMEEGFPNATGKEQSIKGMRSSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEGMVDYSTFSVFVAVSDALRPNWLVAHLRSFSEKRRAEFRQNMAKVQPVFVYDNGHPGGIGPIPPDGAVNHIWKKVHQKLPAIKEAIIREKRKPAVVCSLPERRARILLLLGSEFSFSANGGAMILMIGGRREQFSRFYLESAFNRRQLNNHTWLIESRPSRINNRLSPIEVRSIEKESTSYKDIRHNGYHLETLNELNKDYLCITSHKMGQKTIHEKLEASKMGLYLFIIRAMESYTTIPWKLVNPDVFGLWHDRLGHPGATMMRRIIKNTRGHPLKDSKVLLSKDYICESCSQGKLIIKPSITKIIKLRAHFPDYQIKIIRMDNADPRTPECQNEVRRLIYLQTIANKMSDAFNETARITKSHIPTANVPARVDVPAKQTKMDQSSPRLKHGRPMGSKDTVPRKRRARNPESAPNEPIDLSRGKEIGEAHNPIKRNHIVPKVAQTHEKITNPGNTQIPMNYCNEIWDRNEIIIDVAFAFSVAHEIMNDDYEPWSITECRQRQDWPKWEEAIHAELTSLAKREVFGPITKTPNNVKPVGFKWVFVRKRNEKNEVVRYKARLVAQGFSQRPGIDYDETYSPVMNTITFRFLISWVVQENLEMRLMDVVMAYLYGSLDSDIYMKIPEGFKMPEAYTPRNLFSIKLQRSLYMLKQSGRMWYQRLSDYLTKEGYMNDPICPCVFIKKSETGFAVIAVYVDDINIIGTPEELSKAAEYLKKEFKSAYIERLLRRFNMDKSHPLSTPMVVRSLDPQKDLFHPKQDDEDILGPEVSYLNAIGGLMYLTQCTRPDIAFVVNLLARFSCKPTRRHWNGVKHIFRYLRGTTNLGLFYSKKTTNSGLVGYADAGYLSDPHKACSQTSYLFCYNDTAISWRSTKETLVTTSSNHSEIISLYEAGRECVWLRSIIAHIQKSCGLKPVEDSPTIIHEDNVACVAQVRGEYIKGDRTKHISPKFFHTHELQESRQVDVKQIRSSDNLADLFTKSLPMSTFEKLVYGIGMRQVNKMQD >OMO62184 pep supercontig:CCACVL1_1.0:contig13328:15332:16202:-1 gene:CCACVL1_22975 transcript:OMO62184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFKFITQFSTASMICFWQEEVKERATQFIDGGDDHKGESSEYGSES >OMO56048 pep supercontig:CCACVL1_1.0:contig14558:1561:8826:-1 gene:CCACVL1_26796 transcript:OMO56048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILDDIREIIGAPRCFYRDQIRKIKDKIAHERSAS >OMO56049 pep supercontig:CCACVL1_1.0:contig14558:10437:19437:1 gene:CCACVL1_26797 transcript:OMO56049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNRTAKRRRETLPSADVSSSSISTASDADNPPYNCRAADADLDPDLKMIVHLKCGCGAKGCVRLYKSGAYTYVQDELMSAKICANFQTYGGIDRGKAKGNLVSIQKGKTLSSAVDPATFILTSSDADHPAFNFTTSHVDLFEDPYHLIYLISLLETELDPSEYKVKFYDAPHFNPKAVFQDPGYPKVVTLREHAVDRSEFHKEMIGWWIQKEDLPEDDLKMVVHLKCQCGAKGCVYWYNDEHFTYYQDELIAVLSRKYAYFGTCCSCPSYLSWCGIAREAVEEIDSEKKIVSVHTPKILPLAYHPTFDFTATDLGLFRYFYFFYFVLMLEGKLDRSQYVKVIPSYFLIFPFLLSLQIKDPEYYPFNTNFSGEPEPHPNPNAVFKYGDFPTVITLCRPSLDRSEFEEESIGWWIQKEKLPRDDLKMIVHLKCYCGAHGCVGCYKDGGFSWSKGIQFSLVLVQHKDKDFLVAFGECRKEPANQVVDAVIAIANEEDRLNMVIPSFEIVSLDSGYPRVATTDPKRSEFYQERIGWWIQKTKLPEDLKMIVHLKCECGAKGSVSWYKNGFSAHIKEELVSAKKLNFAFFGTNCSCKNYETYCGIEIGKPKGNLVSIHKGKTLPSAVDPLTNSILIPIDADHPAFNFTASHFDLFGYPYHVINLISLLESELDPSEYNKGFPAEPIFNPKAVFQDPGYPKVVTMRRLAVDRSEFHNKTIGWWIQKKDLPEDDLKMVVHLECECGAKGCVYWYNDEHFSYCQDELIAVVSKKYAYFGTCCSCKSHLSWCGIAREAVEEIEAEKKSAFIQTPKVLPLAYRYRPVFDFTATDLGLFQYFYFYYFVLMLEGKLDRSEYIKIRDPECLSFDTNIYGEPLPHPNPKQCSSMETIGWWIQKKDLPRDDLKMIVHLKCYCGDHGCVGCYKDGGFSWSKDEISAVVTGKVAYFATSCMCGCHTTHCGIARDKSIDEFLAAGG >OMO69462 pep supercontig:CCACVL1_1.0:contig12031:15620:17552:-1 gene:CCACVL1_19495 transcript:OMO69462 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDP-alcohol phosphatidyltransferase MGSDSDSKLSPLMQQHQDHLPNLSSSPSPANNNSSSKILTLPTILTLGRVAAVPLLICTFYVDSWWGRTVTTSIFIAAAVTDWLDGYLARKMRLRSVFGAFLDPVADKLMVAATLVLLCSKPLNVPMFGQVPWLLIVPSIAIIGREITMSAVREWAASQNSKLLEAVAVNNLGKWKTATQMIALTILLATQDSSLAEPGILVASGVLLLYVSAGLSVMSLAVYMGKIWKVLLK >OMO69461 pep supercontig:CCACVL1_1.0:contig12031:562:891:1 gene:CCACVL1_19493 transcript:OMO69461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNNLPSIPEHEEAPDVAALVKTQVYGMKNELMEDIRDLMDHMMARRDKGTQPSPQDVSSSPYLSGSTPPPPPSHSYTPPPWSSPRPPNPIHNHQPWPPNITQPSTSN >OMP02011 pep supercontig:CCACVL1_1.0:contig06339:38071:41877:-1 gene:CCACVL1_02945 transcript:OMP02011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAPFTEESPGIDGLGGLRPISGTRVLGITFRTKKEDKIDRYEKEIEEMKKVSKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYNTQEEAAAAYDMAALEYRGTNAVTNFDISHYIERLKQKGILFLDQTREKVPDSVEAERAEPDVEQAQERKPPQEQVEQQGDEAENPQHFQYMQIQAQLPSVDNATMVGMEPAVSSNELAWSFCMDSGLNSFLVPDFPLEKTGELPKLFDHTGFEDNIDLIFDIGPNGNEGNRECISDDASCGVEVGTLVNMEEDNGKERLLLSSSLTDSPSSSTTSVSCNYV >OMP02012 pep supercontig:CCACVL1_1.0:contig06339:45030:54128:1 gene:CCACVL1_02947 transcript:OMP02012 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MIEFGYSQSLLKSREFLYYLFAYSRTPSNFLLSFGCNNSAVSFPVMAGASLTKMCLKLSIQEEEKDKIVTDGDWMEAPIGQQGGGYLIGKLLLHKPTTAEGLSAVFHQVWKLRQDMLVQEVGDRLFVFQFADVLERDRVFVSQPWLFHKALLVLREFDGVQQPESITFETCPFWVKASGIPFQMRNERVGIAVGESMGRVLDVDVTSGRYLRIRIDMDLQRPFKTVTTLTYPNGESEIKLDYEKRPDYCWVCGLVDHQETECAVAVAMKIENRFAIQKYKPDKSESSFVMERASVTPVQRHRRGGAINRQGSQSVLAKSDTDISSPFCRHVDSMFLHGRRVARTLVYDDVSCEIISKMGVGAAEAGPEFRGGFENADPRGVMDKGALTNVVAGTSRTAKKGGRLSQNGKGKGCRAENTGSSFIPLGDNSSYESYSSSFESPYAMWAGPIIGGPSQNCVILGVGPVAVGSELGLNPVENPILERGARGLNIEKGSGVGAIQEAAANSTTEEYDHTSPFVFGAGSSGNRKVRKWKKVARVSEKYSFEALCHEQPSKVGFKRSAGIGAKSVSAYGGQRKKSRENEMEVDGVGSPNPEVNKAQVAEGPGEEENTNTAAGMDKSHIGWEPSIALFGTTKDSGHLGQFDCNGRSGGLTLLWMKDECISLLSYSFWHIDVSIGSSDKWRFTGFYRQPDTSRRHESWSLLRSLSHEEFEVAIKKAWPDGDVDIVKKIKACGTVLEDCNQTTFGNLQANIAKKKKEFGSLYVMGASGNHVDLDNCNRELNKLLHQEELLWRQRSKKHWLKEGDKNTRFFHAVASSRKQKKQILSIEDETGNTYTEQTVFQMGGSKAPGPDGMSPLFFQKCWIVSKALTNRLKVILSDIIRENQSAFVPKRMIYDNAMIAFETIHFMRNKRRGRKCHMALKLDLSKAYDRVEWVFLEESMKVMGFPSKWIYLVMQCVQTVSYSVIVNEQNGEIFHPSRGIRQGDPLSPYLFLLCMESFSRLLYSASDLGKIQGVQISRLAPKVSHLFFADDSILFLTASKRSCEEVISILDVFEAASRQKINIEKSAVLFSANTSAVVKDEIMNFLGFRECWIMTRKTVMIQVVAQAIPVYLMSVFLFPKSFVQELNAMIARFWWGSGELQIWDRWINKPPSFRPSPRIESNRPNLKINELMDLENRSWKYEDVLELFIEEDTCRIFSLVVPCQNKEDRLIWNGTMLGEFTVSLAYHVARKIIRKQELPLQLRSPIWRYIWSANVMPIIQYFMWRLVWNILPTKGNLNKRGMEIAETCEVCGGEESADAHVFFNCHLSKLVWEDVCPWVLRCIEQWDYNGSFWEFNLEKAHAIGQFEKICTASWLLWALGNVASTGIGERALGIGRQSVWKPPPSGIMKINTDASFSKEDEQAELGVGIRDDGGSIIASGSRHLYFIADSLYAEVHAIVFGFEMALELGVDRSIMLSREQLMDVDPMTQLVKIEYNPPCEVWSEKEIDHVVDVQTEHWFACECLVGMFILEMEGIGRGRLPKVTWSVDEERVLLECMQTLPTYNGHFGSGWFQRITTMINTRMPEKNVTSTDINKKELVEDMAKTYVVYVDHTPGIYDTWPEAHSQVHGFRGAVHKSFATRQEAEQSFLAY >OMP02010 pep supercontig:CCACVL1_1.0:contig06339:602:6878:-1 gene:CCACVL1_02944 transcript:OMP02010 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MTEVAAATPSKELSPEEERVLIRDIILSAEANAKEGDSFFLITQKWWQHWIEYVNQEQQQPSSNNEGSSSLSGSSDSPGLSTLRRPSGIDNSDLISDGPSEESSGGTVEIHDTLLEGRDYVLLPHQVWNQLYSWYGGGPTLSRKVINSGLSQTEFAVEVYPLRLQLLVTPKGDRSTIRISKKETIGELHRRACEIFYLNSEQVCIWDYYGHRKHALMNDMDKTLDDANLQMDQDILVEVLNDVNDTALSGGISFPDNGFADKEATSVLLEPSKSSLSIAGGLSANKIASKGHSAEQLQNQTVSYPSRELDNAYGNSGVITRGASGGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYHKEINWQNPLGMVGELALAFGELLRKLWAPGRTPVAPRPFKAKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYINSKDADGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCNKVSVTFDPFMYLSLPLQFTTTRTMTITVFTCDGSAPPSTCTVTVPKQGRYRDLIQALSNTCSLKQTEEIKLVEIRNHLIYRFLDDSFISLSTIKDDDHLAAYKIQKSAKGHVLLHLIHRHQENEISDAQRWKPFGTPLISSLASDDVISSGDIQTIVQTMLTPLLKEGIEHTDNSDPSTSVSTTDPSDHGLGEVDTNRASTFKNKKVLPKLPLQLVDESKTCIDLSVGDEKAVNLSASSPIVVYFNWTSKLLGKYNMNHLETLPEVLKYGPITKKARTEPLSLYTCLEAFLREEPLVPEDMWL >OMP02013 pep supercontig:CCACVL1_1.0:contig06339:63444:66962:-1 gene:CCACVL1_02948 transcript:OMP02013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFQGDNLVGDEASSGIYKTVKSEVRRAISDIQNELESAMRKSNSNGIASTDVTRIPPDLVNPGGVELVSDIHREYATKLEQSQERARQLRADLAVEEHRGMELSRILKEVLPDPKTPSTKKSRQGRKSSIERRKISKCLTEDALAYFDECVSLSTFDGSDFSSLEDPPVKSVGVGTLDGDNISLPLASSSIPANSFPGNYLPDKQECQFPYSHDPLDLTASNGGMEDTRDQFNLNNCDGEWSQKFQFSFSPKPGKICELQQDISKYVKGFEKSIGKVDIDSQINTRRNSYDPDEYDLHAKQQNLLFDHVLYKNRLESGSLLLCNGGCIAASFLPFASVI >OMP11498 pep supercontig:CCACVL1_1.0:contig01231:1952:2521:-1 gene:CCACVL1_00484 transcript:OMP11498 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSIMNRRLLETEVSLPPVNGNKTHDSYINETNFDTNMVIILAALLCALICALGLNSIVRCAMRCSRRFATETPEQAAARLAATGLKKRELKQIPVAVYGKGGVSFPSTECPICLGEFMDGEKVRVLPKCNHGFHVRCIDKWLVSHSSCPNCRHSLLEHHETAKTETSQETSGRRPPESGRVVVVVQQES >OMP11497 pep supercontig:CCACVL1_1.0:contig01231:891:1286:-1 gene:CCACVL1_00483 transcript:OMP11497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVGKQRPWKWSIQAKAEKFNFKLKATNILPTWKFDRFSLLLRLNKFIINLQLKSGSTISVSAQQKRTLKSRFLGFLEKIRIRRARKALSIQYPEVKSICGGSLLIGILASLLQSISRKDLNGIVVHSSIIL >OMP11499 pep supercontig:CCACVL1_1.0:contig01231:5912:9077:1 gene:CCACVL1_00485 transcript:OMP11499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSTRKSSMGVGLGAEERLNSNQIGSFSTRFSLSSFVKRVEKLTDDQRNALKKAGFGNLLLIPNQMLSKNLLVELMERWNSEEQGFMLLPGVVKVTLMDVALILGIRVVGDPILLREDETFLDLESEYGAALWKRKITLASLESRLDSLGEVVDEDFVRTFILFMFGTLLFPDASGKVDTRYLAFLKSLDDIGRFAWGAAVLDNIFMWLNKRKESNVQYIGGCLILLQIWSYEHIDLARPELIDSYLMFPRARRWENSRSHQRQYFTAKFRELQNHQITWQLQPTSEELEIDFINELLEVESSTIDNSSDGGSVIGVELQLESDLTNISKILVEKGEGIHLKQSTASQDIADAPIKATEFPSTSCSSKLDKEIVELSSQCQNTEKKTSGDVVGLHLESDTTDTRKIQAQKGQEANLKQLTKSQQIPEMQTKAVAYPTCNSESKECGEPSSSNCNDSEKVTSSNEDELIKRNQILEVENMELRKEIEALKVENRELKMHIGSSNDLVTRLERLLMDESET >OMO71412 pep supercontig:CCACVL1_1.0:contig11649:11654:11770:1 gene:CCACVL1_18227 transcript:OMO71412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLSLAGLIVHIIIDATTRKEIRVNKAKEIATKANLA >OMO86489 pep supercontig:CCACVL1_1.0:contig09471:3460:4688:-1 gene:CCACVL1_09588 transcript:OMO86489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFDGIQARPVILNPTGPTRQPWNLVYVQV >OMO86490 pep supercontig:CCACVL1_1.0:contig09471:15711:21171:1 gene:CCACVL1_09589 transcript:OMO86490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bicarbonate transporter, eukaryotic MVSVVSSTFSSPFPTGNSKDVICGSHILCSVLPPLLPVSSSPGWYWRLFGCYGGAGHSEMQEQKVKNAAVVIDLKPPPAEKLPIDRMSTNRSLKRMKSPITATSYIVASLQMATNIFSQEYLIGEGSLGRVYNQMERKRRSRRSKETLKAKDVVVQEVPKISSKDCYYGWFRSFIVDYGVPLMVVVRTTLYVSVPGKVPSGVPRRLFSPLPWESASLDHWTVIKVQALDDFFSMLLNVSVNGYGGRSLFYGVCRLVLSLNLVAVAASSKSTEH >OMO86491 pep supercontig:CCACVL1_1.0:contig09471:25740:31007:1 gene:CCACVL1_09590 transcript:OMO86491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTEAAPKVGVNGRAVKMVPASEVVKRKAPATSKVEKVNGVQKVNGASIVRKDNGAALMKMPKSRFSTELPPLEELKVLPSDENFSWADENYNTLQRSIDVWSFVLSLRVRVLLDNAKWAYAGGFTEDKQKKRRRKTASWLRECVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDKVPAFSPEKARRYIESELGASINILFKEFEDQPIAAASLGQVHRAILHNGEKVVVKVQRPGLRKLFDIDLRNLKLIAEYFQNSESLGGPSRDWVGIYEECSTILYQEIDYINEGKNADRFRRDFRNVKWVRVPTVFWDYTSIKVLTLEYVPGIKINQLNALDSRGYDRSRISSRAIEAYLIQASKILRTGFFHADPHPGNLAIDVDESIIYYDFGMMGEIKSFTRERLLELFYAVYEKDAKKYNEDIEIFIRSEVMQSLIDLGALQPTGDLSSVRRSVQFFLDNLLEQKPDQETTLAAIGEDLFAIAQDQPFRFPSTFTFVLRAFSTLEGIGYILDPKFSFAKIAAPYAQELLDVRQSQRTGPQLVQEIRKQADDARSYTMAMPYRVQRIEEFVKQLEAGDLKLRVRVLESERAARKATILQMATIYTVGGGTLLNLGVTFSNQGSQMIANGSFLGAGDKIPPSYNTQITISIFILHLELTSSHIHG >OMO94295 pep supercontig:CCACVL1_1.0:contig07924:6134:18568:1 gene:CCACVL1_06066 transcript:OMO94295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQLIQEFISNHKEPAASIYSKVDAVLSATKTIVEAKIVDSSASSKGWL >OMO94294 pep supercontig:CCACVL1_1.0:contig07924:2568:5132:-1 gene:CCACVL1_06065 transcript:OMO94294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPLLVISIYQAAVDLTVNGLK >OMO62206 pep supercontig:CCACVL1_1.0:contig13314:825:3543:-1 gene:CCACVL1_22959 transcript:OMO62206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGLRECPLDLREAVASIIFAAPRCSDMPDLLQIKNLFSTKFGKEFVLAATELRPDSSVNRAAGSKHICAEAAVSQAPSKQPSIKSSPPNGAEPISFTDTKQTSQHEVASLVSNVPLWTKTEIEPSVKHCTGVPVSDIKTDDTTLRSSDVLETARAAIASAERATSAARAAAELVNVKFGSMKLDGASASS >OMO62208 pep supercontig:CCACVL1_1.0:contig13314:23871:27456:1 gene:CCACVL1_22961 transcript:OMO62208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKEIEKVDEVNQVKTSNHASGSDASAAVPMPTTVPLAYSEKPEKFSGANFNRWQQKMLFYLTTLNLARFLTESAPKMPEGELNAQAVSALEAWKHSDFLCRNYVLNGLSDTLYNVYCVHKTAKQLWDALDHKYKTEDAGAKKFIVGWFLDFLMVDSKSVAAQSLKRRSKVEFQGKKTCQVALEHWSMGREANQVGHQACRMGAQRQSGMPPSQHKGAGDMGPEANALGAQAIDMGAQGKSGPAMRARQTAITRHFSLKFLQLFPDLVTLLRPTPWWSDFDITMDYSSFQIEESSAQLEALESQLVTQSAALKVLVDVYVNQNQRSPELLEAIVNMCESNQMIYDHMVKRSHMCKASSPTLNIPKPIQEAEKEDEEDNIKALDEDNHSHETTHKNEIVEAISTNNVFVESEENGISHERGVGKEHNVEIIEESPSIECEKAKIVEEDVDSQAMIENLDCEELDFLGIEAFLQPRSHGIPNDKWKLNDYFVKATTSYKGEKEMSTKLPWANTAILSLKRIGHQPSTSTTMALATLAIHGVDQDEFGELFPSLFTFQCPYNFLFHSYLIFTLKWQDPP >OMO62209 pep supercontig:CCACVL1_1.0:contig13314:31309:34693:1 gene:CCACVL1_22962 transcript:OMO62209 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MIEKLPPGWKDFKNYLKHKRKEINLDELVVSLRIEEDNRKPERRASMSAKANIVEHKQGFKGKGKLVNPKLGTKGGIIKKKFQGTCYNCGKMGHKSTDCRLPKRKEANMVAGDVFEDDIDLVAVVSEVNMVGSNPKEWWIDTGATRHVCTDKNMFTTFTPIEGEKLYMGNSTSSAIEGQGKVQLKMTSGKVVTLTDVFYVPEIRKNLVSGSLLNKHGFRLPQNMWGEAILSSNYLLNKVPRKKEDKTPYELWKGRRPSYKYLRVWWCLAKVAVPLPKKTKIGPKTVDCVFIGYAHNSSAYRFLVYNSKISDIHKNTIMETRNASFFEDVFPYKSNNEASSSKRTHESLNQEKHNDDSHDSENEIESRLSKRARVEKSFGDDYPTYLLEQEPQTYIEAVESTEGPLWKEAIQSEIDSILQNHTWELVDLPPGCKPLGSKWIFKRKLKPDGSIDKYKARLNDYCNPALRNLEIHQMDVKTTFLNGDLDEKIYMDQPEGFIAPGKEKKVCKLVKSLYGLKQVPKKWHEKFDYAMITNGFKINECDKCVYTKETENDYVILCLYVDDIRIVGSNDKMIKSTKNMLNSKFDMKDMGLADVILGIKIERTSEGIVLNQSHYVDKILERFNKDDSGVARTPLDTSLHLAKNRGEGISQVEYSRIIGSLMYLMSCTRSDIAYTVSKLSRFTSNPSADHWKAIVRILRYLRYTRDYGLHYTRYPAVLEAYSDANWISDIKDTKSTSGYVFTLAGAVVSWKSSKQTVIARSTMESEFIALDKYGEEAERLRHFLEDIPKWEKPVPLICIHCDSQSAIGRAHNDMYNGKSRHMRRRHNTIKQLLSTGVITIDYVRSNDNIADLLTKGLNRELVEKLTKGM >OMO62207 pep supercontig:CCACVL1_1.0:contig13314:18376:21715:-1 gene:CCACVL1_22960 transcript:OMO62207 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MEVHTLTLRSRSPFHSRKFISRFTLPPRLPISIIFPTPAFQLQTTHRRLTVAAAAPKKKIHGVSDELNLIASQTIDYAAARRRVRSAFIQVQQQLDHYLFKMAPAGVRTEEWIERNSKGLEIFFRSWMPEPGVKIKGAVCFCHGYGDTCTFFFEGIARFIAASGYGVYAIDHPGFGLSEGLHGYIYSFDELADNVIEQYAKIKERPEVKGLPCFILGQSMGGAVTLKVHLKDPQGWDGLVLVAPMCKIADDMTPPEPLVKVLTFLSNVMPTAKLVPQKDLAELAFRDPKKKEMAEYNVICYSDPVRLRTAVQLLKATKEIEMQVEKVSSPLLILHGAADKVTDPLVSRFLYENASSKDKTLKLYEEGYHCILEGEPDDRIFTVLNDIIEWLDARC >OMO98717 pep supercontig:CCACVL1_1.0:contig07049:10306:10422:-1 gene:CCACVL1_04093 transcript:OMO98717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGTCRITKRQGSIWESSAKQKLKGSKPRGHERHQT >OMO64625 pep supercontig:CCACVL1_1.0:contig12769:49364:57604:-1 gene:CCACVL1_21634 transcript:OMO64625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMSRLQKETCKSSGPFLLKVHSMEITGFGVGASQNEQRTTSPTWPTEKNSLQEA >OMO64626 pep supercontig:CCACVL1_1.0:contig12769:61901:63338:1 gene:CCACVL1_21635 transcript:OMO64626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPLCQVFVRQPSLTVFLLFSSRRRNGADVACHVMPRRTTGPCPANPTR >OMO64623 pep supercontig:CCACVL1_1.0:contig12769:23081:24403:1 gene:CCACVL1_21632 transcript:OMO64623 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MEKMKVEIMSRKTIKPSIPTPNHLRNFNLSLLDQDVPAILYGSVVFFYPSDNTPFSQKSESLKNSLSKILLHFYPLAGQVKDAVTIECNDQGACFIEAKTEFQLKRFLTQPDSLFLNQLVPSTDPKSIRSTLACILLVQLTAFSCGGTAVSVSVSHKFADASSLCAFIQSWTAVSSREYGRVVVPNLVGASLLPPMESLITSTPPPTTRNCTTKRFVFHESQLSNLNAKLATAMGRSTTVKNVESVLAIILRSAAAASQSKHGSSSMKSALLNVVNLRKRMVPPLPKNTIGNLIYTYAVTFHEKDVELHQMVAKMKEVSSNIRDEKAKRITSKKGYKEVHESRKQIAQLLNGKADDINTYTCANLCGYPLYEMDFGWGKPMWVTSPSNFKNLIVLTDSKMGGVEAWVTLDEVEMAIFERDQKLLEVASLNATALLTYSRM >OMO64622 pep supercontig:CCACVL1_1.0:contig12769:6820:21185:-1 gene:CCACVL1_21631 transcript:OMO64622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSIPMRKTSKNYASRDVDDSPNQLLTSPRSIRSNKSLLHGARGQCASQVAGSRRRELTKGNGNKAAKREPNQT >OMO64627 pep supercontig:CCACVL1_1.0:contig12769:68591:74041:1 gene:CCACVL1_21636 transcript:OMO64627 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MDESAADPNSLCTYLDEWEDDDQGSNPSQSSTDTFFLGFVIANIVGLKYYRGRINGREMVGLVREPLNPYDPNAIKVLNTKTLQVGHIQRDVAAVLAPLIDSNIISVEGIVPNSRNAGNKYRIPCQIHIFARVEAFDSVTSAISRAGLELITQASVSFTLSEAAVVKGAMVGGDEKDVDEVFKLVDENVSKKAVMEPVEPPNEAIRTQLLLHQKEGLGWLLHRENSGDLPPFWEEKGGDFVNSLTNYQTDKRPDPLRGGIFADDMGLGKTLTLISLIAFDKFGSFASSSRNAAIEEIPEEDLKKGKRGRVSKKGTRTRKTPRTVDIGFGKKVRESVDVVDECVSLSGQRRTLVVCPPSVFTSWITQLEEHQNQGKLKVYLYHGERTKQVEELMKYDIVLTSYSTLASEESLSDSPVKKMEWWRVILDEAHVIKNPNAQQSKAVTNLKATCRWVVTGTPIQNGSVDLYPLMAFLQFEPFSIKSYWRSLIQRPIAQGSKEGLSRLQVLIASISLRRTKSNDLVGLPPKKLQICYVELSAEERELYEQIVGKAKSVIQEFINDGSLMRNYTAVLGMLLRLRQICTSLALLPPDLRTLLPSSNIEVSKGEDILKKVEDVSNKPELLKKMLAMLQDGEDFDCPICISPPIKVVITCCAHIFCQPCILKALQRNKPCCPLCRHPLSQSDLFSAPPECSDADQTEMLSSKTISSKVFVLLTLLKETRDENPTTKSVVFSQFRTMLLLLEKPLKDAGFKILRLDGSMRAKVRAQVIEEFQTSGADGPTVLLASLMASNAGINLTAASRVYLLEPWWNPAIEEQAMDRVHRIGQKEQVQIVKLIARNSIEERVLELQERKKKLAMEAFRSKGPKDRKQATCKDRLGFRQPLRKNGMVILPPKSQAGNVKICYALEISTVTGV >OMO64624 pep supercontig:CCACVL1_1.0:contig12769:24887:42145:1 gene:CCACVL1_21633 transcript:OMO64624 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSLSNMCSKLSIREEGESKIVINPEWIAGFDGEGNSPGILGHLFAKKRVHAEGLRSAMFQAWHLVGDMVIKEVGDGLFWFQFEDGQERDRVLVNQPWCFNRALLVLRQYNGDQVPEEVNFDFGPFWVRIFRLMLNMMNEMVGKAVGQVLGTVMEVDPYWGRFLRIRVNVDLNLPMKKTHEVETPDGDTTVTFKYEKTPAYCYVCESPDIKFRKNDAPTDSFRSGGAPSWRPRAIGLLREDGLPAVTGSVYGESAIEGSRRRVQNQASSLLREGGLPAKTGSVNGESAMEGGRRWFANHVDSALLRGRQLARGTYRGSPEEVDSRFQGRGGAKNKQVVGREVIPINEKEVGNVEAMNPIRRAVRETQENPNYIPLLVAEGSGESLTPTRERGNTFHGTRDKVVAALGQGKFSVGPYKVGLGNNEKEALGLDRNKGLAESNVGCVNPKAKDAGLNLGPAKDVGDNESKTSSSFFVFGQNPQVETRKIRKWKKSARVSHQYSFDVLEPAFNAQVGQKRSKGLSINEGGFGKRSKETEMETEGYKEAQVVRAEQTVDRGKQPVPAAEVDTIFDENCASVWRFTGFYGQPVATRRHESWSLLRNLHSQCDLPWLCVGDYNEILSSEEKIGGALRPSHFIEAFRSVIDDCEFMEILIRGPKMTWKRRINGEFVFERLDRCLVSRSWLKRFNFSYEQHIPSIISDHLPILIGVSNQWENMQRQRRVFRFENMWCMHGEVQNVVRSAWEANGESNILTKIQNCAADLDDWDKRVFGNMKQAIKRKKRVFDTVYESAQAGGDSSDLQKYQDELEDLYKQEEILWRQRSKALWLEEIFATTNPSDEEIDWVIDKVPNRHTVDMKQLLNIEFTREEVKQAVFDMHPSKAPGPDVSQRAKGPAGETTFDGSLRGVATNLFYLGDVEVEGHSDYSLKYRNQCNRHSKGWRKLGECSSTFMKTILAFGVVLGTNFPISPDCNILSRISAILEAVLRGPYLPHPKSKSCRSCAQIEALRVLYDLCSQSFSVRAIQGESQSAFILDRMIFDNAFIAFETVHFMRNKRESRKKHMALKLDLNKAYDRVEWLFLERIMQRMEFPDNWVARIMMCVRSVSYSISINGELSEKIIPTRGIRQGDPLSPYLFLFCMEGLSALLQDAARNGDIEGVAVNRFAPKVTHLFFADDCLLFLKASLEESDVVGELLSRFALASGQQINIDKSAILFSQNTPQALRDTIMQRMEVSRVIENEKYLGLPVMVGRSRRRELQSIKDRLWGENHDWKKIHWHSWTDLCVSRMDGGLGFRDFEAFNLSLLAKQCWRLMTNPDSLCYRIMKAKYFWGSDFMRAKLGTNPSFIWRSLLAGRRVLEEGSRWRVGDGASILALKEKWIDSHPSYIPRLKADFGDEINNLRVADLIDHDTRSWQVKKLEDLFLEDDVFLIRCLPLPGHWRRDSLLWNLDPMGQFSVRSGYVVARKVLGREEFSVQQRSPIWHLIWTAKVYPKVQYFVWRVVQFLLPVTSNLRIRGMDVVDSCVVCGTNSETIPHIFFFCPLSRAVWSSLCPWVEDFLDDWATGDQFWNNLFNKAAQLGSLDRVFLTLWVLWGNRNRCYHDQTCATRSKLSATIEFHLRQVSRIQGQGQRTETHRREIVWTPPAEGIAKVNVDAAFVNPQDGAGLAAVARDSNGEVLCSVAAKDRFVKDSLYAEIFTVCLGVLMARQEGFNHCVIESDCLMVVLALNKKGRVSWEGDCVLEEIRDLASFFDSISFVHVKREANVCAHSLAKHAYTHVASFVQYGSLPPDVFGHGPLKASRRSAPVRYHILVREIPPFGLEDLMAQDHSVLSRCCMPNTRSSGTKDFVFNSEPERTLFALRKENLPREQGGDSSTPSSQASSPRSTTSSSSLESQSPRENMAEENNNNRTLMELAAPNVTTQRLAIQYPTNEENFEIKSGFIQLLPKFHGMPGEDPHRHLKDFQIVCSSMKMQGISEEQFKLRTFPFALMDLAKDWLYYMPSGSITSWMSLKKLFLEKFFPVHKASSIRKEISGIKQSQGETMYEFWERFKRLCSNSASGGAFIDKTPTNAWILIENMAANTQQFGTRESCAQRDGNIRRINEVSTHSSTLEQRIEEATQQIALLTDLVKSNLSSVSKETMEIIKKKIGQLASDVSELKAQGQNKIPSQPKLPPKENVNAITLRSGKELEEPYPTKPTMDEGTSNEEDELHVLVKEIKIEDDETKAKETSVVKPKLDSKKEPFPTKSRKSMKEDEDQDILDIFRKVEVNIPLLDAIQQVPRYAKLLKQLCTNKKRLQGKLNVGATVSAVLQRHLPPKCKDPDMFSITCSIGNTIIDNAIFNLGASLSVMPYSFYQTLKIGPLKYTDVDLQLADGSLVHPKGVLENILIKVQRLIFPIDIFVMEMEGEITKDQCPLLLGRPFLRTSHTKIDVFDGSLTMEFDGDVIHPKLSSNLSLKTNDFVCVVEKWVKKELGTTSSPMTSEQLSKGRQRITSFRQRKDENFHLAWERFKKLCADCPQHGISQQVLIECFYQGLEVDDQLLVDSINNIPLCDRTVKDAYEALEALTQAIAPPSWIKKKNKEKLGERGKISIKDWKSMINFLWIASAT >OMO59869 pep supercontig:CCACVL1_1.0:contig13857:13736:19721:1 gene:CCACVL1_24562 transcript:OMO59869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSWITTTKFSSVYHFPQPLPVSSTLLPSLSFQRRGNGINLGSFQGGNFSRLSVINSSSSSASLNTHQGIWEDPDDGSGSEYEEEEEEEEEEEEEEVEENDEISFQQGNDVGVVDMNSSNQYEEDLIKEVEQLLGPEEKAILQQNATPSLSKISTAKWKPLQTLALSLQIPFMDKLLEDGLNIDETDEDGHTALHKAIIGKKEAVISHLLRKGANPHVIDKDGATPLHYAVHVGAMQTVKLLLKYNVEINVADRDGWTPLHIAVQSRNRDIVKVLLINGADKTRKTKDGKTALDLSLCYGKSFKSYDLAKKEQCLHGNWKLKSAEEEAVAVPEEEQQQEEEAASTEQQESVSVPVSPSDTLRMYFQADGTLNEAEIPKVAKALEEAEGISDVKVQVIEGIGTVELTKQTTVQSTGVASSLVEIIQGAGFKLQTLNLSFDDEEEILV >OMO59870 pep supercontig:CCACVL1_1.0:contig13857:48105:49080:-1 gene:CCACVL1_24563 transcript:OMO59870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLMKDDGGGEQLERIYKRLETMDAATAEKRATEILFGLGFNKKMQAQKNPRFLWLMGNEDCISARSFHESHHSVA >OMO59867 pep supercontig:CCACVL1_1.0:contig13857:4574:9831:1 gene:CCACVL1_24560 transcript:OMO59867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRETREKEVTKTGRCCRVHNFTSVVDTPDTKKPHSILVKHKKDGVKPEIEPENAGNYVILSNLYASAG >OMO59868 pep supercontig:CCACVL1_1.0:contig13857:10861:13357:-1 gene:CCACVL1_24561 transcript:OMO59868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSCSSSSMAEEKDAFYVIKKGDVFGVYRSLSDVHRDVKGGHEIYKGYGLSREAESHLDSLGLKNAAYSISASDVNDSTFGKLFRFRPQQPSYGGTTSNRDPSPNRVHQELAHYMEHRTMTNQIAAQMMASNSQFCIVEFDGASKGNPGPAGAGAILRTEDGRVICRLCEGVGIATNNEAEYRAAILGMKYALQQGFKHIRVKGDSNLVCMQVKGLWKINSRNLVELNSEAMELKDMFISFHIDHIGREWNSEADALANRAVNLGNGQVQEYWAMQ >OMO84939 pep supercontig:CCACVL1_1.0:contig09677:6318:6788:-1 gene:CCACVL1_10543 transcript:OMO84939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound-induced protein, Wun1 METNNMQVEASEEMQNKAIVDTLYKALAQGERRSLAKVVASDLEWWFHGPPRCQHMMRMLTGESSHSEFRFEPRSIEVVGDCVIAEGWEGAQVYWVHVWTLKDGLITQFREYFNTWLTVRDVKPPGWEIGHDRHTLWQSHPRDLARRSLPGIVLAI >OMO84944 pep supercontig:CCACVL1_1.0:contig09677:38029:41408:1 gene:CCACVL1_10548 transcript:OMO84944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferroporti-1 MDREREPLLVVAERPPSRLLNYLYTAHFLARWGARMWEFSVGLYMISVWPDSLLLAAIYGAVESASTALFGPIIGRWVDSLTYVKVLKLWLVTQNVSFIIAGGAVVVLLVFSSLKSTNLVAFISLVILTNVSGAVGVLSTLAGTILIEREWVVVISEGHSPSPLTEMNAVIRRIDLTCKLVAPVISGFIISFVSLKASAMALALWTSLSVWVEYWLFMSVYKGIPSLGQRRNSETVAADSDHQEITLINDHQVEESSSASAGTNYCGCATKIIKWASKVPYIEAWRVYLHQDVVLPGLALALLYFTVLSFGTLMTATLEWEGIAAYVIGIARGISAAIGIAATIVYPMLQSRISTLRTGLWSVWSQWICLVACVGSIWMKKGQLSAYMLMGGVATSRLGLWMFDLAVIQQMQDHVPESDRCVVGGVQNSLQSTMDLMAYVMGIIISNPQVKKTII >OMO84937 pep supercontig:CCACVL1_1.0:contig09677:1856:1987:1 gene:CCACVL1_10541 transcript:OMO84937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ANLNIKYSIGNWDGKGQAPRPRWNWWDWGLKTLGAQPTAGART >OMO84938 pep supercontig:CCACVL1_1.0:contig09677:2998:4566:1 gene:CCACVL1_10542 transcript:OMO84938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPDEPSKNNDHAGSPSPPTTASTTADIENPAPAPGSGVSAITRRWKREDLMNRGSLVARGLAMVFSLLSLIIMASNKHGGWKNFDKYEEYRYLLAIAILSTLYSGAQALRQVNEMSTGKPMFERRISAMVDFFGDQLVAYLLISSTSAAIPFTNSLRSGQDNIFTDASASAISMSFFAFVSLALSAMVSGYKLSTQSYI >OMO84940 pep supercontig:CCACVL1_1.0:contig09677:12709:14615:-1 gene:CCACVL1_10544 transcript:OMO84940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQFIPDIITPHDQGQPLPLLCARDTTPPPSSKRSAAA >OMO84942 pep supercontig:CCACVL1_1.0:contig09677:27006:33664:1 gene:CCACVL1_10546 transcript:OMO84942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRYQIRNEYSLADPELYRAADKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEEVMATAARGHGLMARVQQLEAEFPSIEKAFLSQTNHSPFFTNAGVDWHPNLRAEHNLITRGDLPRCVLDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSFFKAESPFSEIVRPEVQREKKARKVKRKGSRWRNGETPEITPTSHAKLHQLFMEERIENAYNDPTRLVKLKRRQLNESALDLKSAKSYMEKFLESPSPEHKAVYETSGTPPTLKLTLDNSSESCLEILEISTVSPMAKSSQGKETSSSSPNAPEIVMKPSVEELNGEVIDREIVKLPEPVADITDGIPPPLHKVTIEKDIIVDGEGRKECSIDGDHSDDMISEVDNYMDALATMESEMDTDNEYRPQSDIGFKNIGKYQTDSDANEEKLEVQAPSLDSQSVGISSASDDGNSSFKKGRSSFSYSDTIDDLAEDMPSDGEVAAKAFHSNKNSVADMIEAPSIHLPACSEMQCSSSDNLSLEDTSFGECRPPDLGEKSHSSCLEDINPTHVLLDPRASSVAVEPQLHDVPYGIVKTSSDLSDKDGGNYLGDSSEKQEVTSITICPESPPVNELDNGDANVASDALPHLSNILQLDPEKASSNDTLDEVLETDFAGETCAENSVNQTIDSPKSVILPAEEQLPCSTLAEVESSGNTLSAESLDVMKPANFASEVSEATLEVCVNSECTTSVVDASQTCGFNEQQLPDMLPDDSQLEADSTEVGASEEKQNVSDLFDAALGEETEEITDSVNAVVGDAVPCDLPSNGADNLDLKILVGLDDVATESVHTGNISVSTAPCDSTDNDVDNTNFQSSDVICSRSLMNLHETVSGAVDLCQEGLESNEVIAQECIIESEARGETNQVEGTSADIDSISCKSVSYGNSNLEDDNHYSSLAEPTENSLKFVEFTTVSTSSELCDQESEPKYLSHLMERRADVVSSPTFYLPEKETSSEQPSDLHTDQDDLRSLHVDEDSSSSLNILSNQMQYLDQTDKERCLQMSSNHSIEDTSRQPSLEFSEQSGTQDKQEVNSSTSNQPAFGLLNGHTEVSMEEIPPLPPLPPMQWRIGRIQHASPAPQRELVEHGQGSFPMIAQYGIEQDAPFGLSASEQGIEQLRYPFLPIVGGEEGSGHVSDLLAANFVQPSPYPVHLASMGNHANIQSSGVCLDETQSNPFLSVSLLSNERLEYSSITAEDNRVESSSSLLPIPDAENTTPRHFPASSQEKTAQLPIQFVPDTGLEGVSVQPSKQNSEGECANPSDISVPPPTERDEHNPTKLADEIATEVEEQLPSKVEEQLLHGLATAEGKKAQTSHAVAQYDLATSEDENTQTSNAIAQHVLPQTLNAIALHGLAAPEGATRISNTSLHSNLSTSEVEAAWPSNALAPLPTVEDGNGIPTVKPPRPRSPLIDAVAAHDKSKLRKVTDRARPPILPKVDERDSLLEQIRTKSFNLKPAVVTRPSIQGPKTNLRVAAILEKANAIRQATAGSDEDDDEDGWSDS >OMO84941 pep supercontig:CCACVL1_1.0:contig09677:17353:19674:-1 gene:CCACVL1_10545 transcript:OMO84941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQVLPEKTLQALHVLGRENNNSSPLPKRRFRQTETSVDRFHSEKGTNSKRRFTGYYMTCRQRPLLNILISGPLATGQ >OMO84945 pep supercontig:CCACVL1_1.0:contig09677:43641:46930:1 gene:CCACVL1_10549 transcript:OMO84945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, cysteine-containing subtype MKKQKQECFGGFFNPFDLLSEEIIFMILDLLNHNPLDRKSFALACKSLYATESKHRRTLKPLRQEHLPSVLSRYPNITHLDLTLCSRLTDTSLSIISNVCAPNLRSIDFSRSRLFSTSGLLGFAINCKNLVEIDLSNATDLKDSAMAAVAEAKNLEKLCLARCKSITDMGVGCIAVGCRNLRFICLKWCLGVGDLGVGLIAVKCKLIRHLDLSYLPITNKCLSSILKLQHLEDLVLEGCFGIDDDNLAVLEPGCKSLKSLDVSTCQNISHRGLSSLINGAEGLQQLTLAHGSPVTSCLADCLKKLLLLQSVKLDGCVITYDGLKNIGNWCASLRELSLSKCSGVTDEGLSSVVAKHKDLRKLDITCCRKITDVSIAQITYSCTSLTSLRMESCLKSISRCSKLASLKLGICLNITDEGLIHIGKGCSKLLELDLYRCAEITDLGISAIAQGCPGLEMINIAYCKDITDRSLLAASKCSRLKTFESRGCSLITSLGLTAIAVGCKELTKLDIKKCHNIDDAGMLPLAHFSQNLRQINLSHSSVTDVGLLSLASISCLQNITILHLEGLSPSGVAAALLACGGLTKVKLQAAFRWLLPHRLFEHLEARGCMFQWRDKVLQVELDPKCWKLQLEDPMP >OMO84943 pep supercontig:CCACVL1_1.0:contig09677:34623:35566:1 gene:CCACVL1_10547 transcript:OMO84943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sel1-like protein MGKSLPSATKLQHLARATAQHLNKTGKNAKPTPQNRRILSEDKSKKKMESSSTSQRVPLSEVVSDCVKRWFKDTLKEAKAGDVNMQVLVGQMYYSGYGVPRDAQKGRIWMTRASRLRSSVWKVSDKHPGYNASDSDSDEMKGES >OMO64553 pep supercontig:CCACVL1_1.0:contig12802:30946:31047:1 gene:CCACVL1_21678 transcript:OMO64553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSIQKARVDVASTNKPQKGRLAISSATSAKL >OMO64552 pep supercontig:CCACVL1_1.0:contig12802:26494:27185:-1 gene:CCACVL1_21677 transcript:OMO64552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKMEENLSPRREINKGDWTAEEDRKLAEVIAVHSPKRWKSIAIKEGEQSRVVIDKEWLEGQEDDRWYYLLGKLLSQRAVNVEAVIRAETPNGWSSRFEGTVQSGAKAGSSQ >OMO96484 pep supercontig:CCACVL1_1.0:contig07466:9616:11474:-1 gene:CCACVL1_04938 transcript:OMO96484 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MLFVKELVESAGRLTRVPSKYVFETTKSDDCMVVDPVSEPETIKIPIIDYSLMTSGNPDQRSQVINELRNACLEWGFFMVINHGVGETLRDELMRATESFFDLSMEEKLKYTGKKLFDPIKCGTSFNPNVEKSLLWRDYLKLLVHPHFNAPHKPSHFSKILQEYSKKTREMASELLKGISESLGLDENYINEKMGVESEKWTQVLVANMYPPCPQPELSIGLPPHSDHGLLTILMQNDPSILGLQVLHNAKWVPIHPLPNSFLVNTGDHMEILTNGKYKSVVHRAVVNNKTTRISIGTAHGPPLDKIVSPAPELLANGVGTQLSLPAYRGIKYRDFLELQQSKSLNGKSCLDCLRL >OMO98226 pep supercontig:CCACVL1_1.0:contig07149:68619:69902:-1 gene:CCACVL1_04293 transcript:OMO98226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MPSSAIPTPSNPTQYLQTLLASSRPFLRGDLESIDKNLPSLVAVLRSVGAGECWHKHGSFLEHLVDIYRILKIWKAQDPVCLCGLFHSAYSNSYVNLAIFDPSTGREVVRNHVGEAAERLIHLFCIVPRQPLIHDDLLFKYSDSELVEHLKLSEISLKNAKENGLFNEDEIWRKKLHGLLPENGIIVKHIKTGEDVLLSRRIVAIFLLMTMADFSDQLFGFQDVLFENVNGRLEFSGNNFVALWPGNGKPGLWMNSISRMGAIYSLIVREEEIFVEKRKREGGIGVEIERDEDIELVVPPVFENCTKILGSKEQIEARDLYWQAVCENSKGGEERVEELLLSSIEKNPFVGEPRVVLAQFYLTKGRFDEAEKEAEKGLSLMLEWSSPWDKRMSWEGWIAWARVMLMKAKEKSWPQTSWGVLNLGLVK >OMO98229 pep supercontig:CCACVL1_1.0:contig07149:85013:85975:-1 gene:CCACVL1_04296 transcript:OMO98229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYKNIKLLPTVGCEADAATQYSIDERNIGGVDNKVSAFAYQSSGCYAAIWPVAESSTHEVWELEHCLINPRDKESRVRIIQVVRTNGTEFVLQNIRVFCEQWYGPFRNGDQLGGCAIRDSAFASTAATKASDVIGVWQGQNAVANFDGSGNALRHNFDEQNVLPEPDITVYCELVLKSPEPFSRAEK >OMO98219 pep supercontig:CCACVL1_1.0:contig07149:16623:18077:1 gene:CCACVL1_04286 transcript:OMO98219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MFTSLAALPLITAALLLCSMAAAAATSYPDFQLLNVKQTLLGTEKPNKPLETSEDNKVSNVTSESDDRQGKWKLKLVHRDKLFSNKTTLHNHSHRFHARMQRDVKRVASILRRLSGGGGHDGAAAYEVNDFGSEVVSGMDQGSGEYFVRIGVGSPPRNQYMVIDSGSDIVWVQCQPCSQCYRQSDPVFDPAASASYSGVSCGSSVCDQIENSGCHAGRCRYEVMYGDGSYTKGTMALETLTFGRTVIKNVAIGCGHVNRGMFIGAAGLLGIGGGYMSLVGQLGGQTGGAFSYCLVSRGTDASGSLVFGRGAMPVGASWVPLLRNPRAPSFYYVGLSGLGVGGIRVPISEDIFRLTELGYGGVVMDTGTAVTRFPASAYSAFRDAFIAQTGNLPRASGVSIFDTCYNLSGFVSVRVPTVSFYFSGGPILTLPARNFLIPVDDVGTFCFAFASSASGLSIIGNIQQEGIQISFDSNGYVGFGPNVC >OMO98218 pep supercontig:CCACVL1_1.0:contig07149:3313:9601:1 gene:CCACVL1_04285 transcript:OMO98218 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal L11 methyltransferase, PrmA MYGSTGYSNGYHQNLQQSSGEKRGVTRGRRGSRRSRDPHGFRVSDHQNNSSNDNDQQEPKSPPPCTDFDMAYFHSYAHVGIHEEMIKDRVRTDTYRAAIMQHQSLIEGKVVVDVGCGTGILSIFCAQAGAKRVYAVDASDIAIQANEVVKANNLAEKVIVLHGRVEDVEIDEEVDVIISEWMGYMLLYESMLGSVITARDRWLKRGGLILPSTATLYMAPITHPDRYNDSIEFWRNVYGIDMSAMMQLAKQCAFEEPCVETISGENVLTWPHVVKHVDCYTIQLHELESVSTRYKFQSMMRAPLHGFGFWFDVEFTGPANSPPNNHTQSSVIGLLNNNPVDGSQRKKRTNPNDALVLSTAPEDPPTHWQQTLIYFYDPLEVEQDQVIEGSLVLSQSKENRRFMNIHLEYSFTTDYMADGSTYMPVAYQQQAI >OMO98223 pep supercontig:CCACVL1_1.0:contig07149:48038:48244:1 gene:CCACVL1_04290 transcript:OMO98223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIGFCFDPNGVVMCGKRKFRSLFWRIRAEIRRQMKAARTSKQKFSFQYDPFSYALNFDNGNFGFLC >OMO98221 pep supercontig:CCACVL1_1.0:contig07149:29514:37370:-1 gene:CCACVL1_04288 transcript:OMO98221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPKPEISSFKGSPFSIPHPRMHMVKWKKFKLVERRLDSPTAAEPAIFHHIRAHTPTLSDFDLTHPLLSNDHFSSPQNSHIPTIVQVYDVMG >OMO98222 pep supercontig:CCACVL1_1.0:contig07149:46304:46363:1 gene:CCACVL1_04289 transcript:OMO98222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQIAGRLRAIWGTVASS >OMO98228 pep supercontig:CCACVL1_1.0:contig07149:81209:81631:-1 gene:CCACVL1_04295 transcript:OMO98228 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription factor GTE9-like protein MLQVSVSTERAARAAMLTSRFSDIICKAGKLLDHDIKKPRPKQATARMLFQREEQLRRRREDSRRKLERMKPTATFDNSEILKEFSALCGCPSQSLPYRFEGSSSLEKFGLRLRTFTYDDIEEAVRLDDAERDIEEGEIV >OMO98220 pep supercontig:CCACVL1_1.0:contig07149:20606:27576:-1 gene:CCACVL1_04287 transcript:OMO98220 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MAAITPIDISSSDTDSDWEIEEDTNTSSLRILPQWADTNGTNSRITGNARQSQIILSPNQVRFSNANSSNGINHSQTKVLTHKRQDDPRALTQFIALDDDPEDFTRNGNIGQPRTVNSRISNGSGTDFEKLTSQQALKRTLPQSFRVSGPSSKSENLVENVSSSQFRDAHGNSHHFAGPSFTNSQGYMRDHYSRASNDEAMMYGNTGSRILPPSLMHGKSVIHTQFAGLDDPLYRAGVTEERVSVTDERMIYQAALEDLNQPKVEATLPDGLLSVPLLRHQKIALHWMLHRESRSGYCLGGILADDQGLGKTISAIALIQMQKFIESKSKSEDLADHKTVALDLDDEDDNGNGGPDKVKQSGESDDTKSIPEVSTSTRQFSRKRPAAGTLVVCPASVLRQWARELDDKVAEESKLSVLVYHGGNRTKDPSELAKYDVVLTTYSIVTNEVPKQPIVDDDENDDKNGEKYGLSSEFSAHKKRKKTADVGKKGKKGKKGIDGSAIDSGAGALARVSWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNTIDDLYSYFRFLRHEPYCVYKAFYNGIKVAISRDYEKGYKKLQAVLKTVMLRRTKATLIDGEPIIRLPPKTIGMQKVDFTAEERAFYTQLESDSRSQFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGFDSKSIRKSDDPVGQDSIEMAKTLPRDMLINLLNSLEDSFAICRVCSDSAENPVITMCGHVFCYQCVSEFLTGDENMCPVTGCKEQLGTDIVFSKATLRSCITGGVNASPMHPQSFEKSVLLQDEFSSSKIKTVVEILQSKCLSKNSSQELQSSIGCNGASSSSVQTFTETDSDISVVKRTTVHSHSVADGPIKAIVFSQWTSMLDLVERSLNSNKINYRRLDGTMSLAARDRAVKDFNTDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRLTIKDTVEDRILSLQNDGDEKKFRSGNSTLVPANAVTIVLYI >OMO98224 pep supercontig:CCACVL1_1.0:contig07149:53490:54928:1 gene:CCACVL1_04291 transcript:OMO98224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPRKRVDAASTEAPTATLVRARDGSAFAKCEECNKNVPVALIAFHNCSLDAKIKMNLDAQVIEKPAEVKKKPAERKKPASTESNPKRKKLKKGKDPNGPKRPQTAFFLFMDDFRKAFKEANPDAKGVTQVTKEGGEKWKSMSDEEKKPYIDKAAELKAEYAKSLEEANNADNENDNEEGGSNKENDADDKETAADDKETEEVLDDY >OMO98225 pep supercontig:CCACVL1_1.0:contig07149:55502:57268:-1 gene:CCACVL1_04292 transcript:OMO98225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILIWVFQVLLICFILPLSLGETISQTPPVCSQADRAALLNFKAKIVKDTTESLSSWIGRDCCSGDWEGVQCNPAGRVTTVALQRPARDSSFFMKGTLSSSLGSLQFLEVLVISGMKLISGPIPENFSNLTRLTQLVLEDNALEGNIPSGLGHLSLLQTLSLAGNHFKGSVPPSLGNLRNLVLFNLGRNSLTGPIPSSFKTLVHLQSVDFSFNLLSGFIPEFLGQFKNINFIDLSNNHLSGHLPISLFNLVALSDLSLSHNQLTGSIPDQIGNLKSLASLSLSNNKFTGHIPASISKLQNLWSLNLSRNGFTDPIPVISSKGIPSLLSIDLSFNNLSLGTVPDWIKDRQLSDVNLAGCKLRGNLPKFTRPDSLSSIDLSNNFLTGGISSFLTNMTSLQKLKLSNNQLKFDLSELKVPDAISSIDVHTNQVFGSLSSILNNRTSSFLEVLDVSNNLISGAIPEFTEGLNLKVLNIGSNKIAGQIPSSISNLVELERLDISRNLITGTIPPSLGQLVKLQWLDISINRLTGKIPTTLLGIQGMRHVSFRANRLCGEIPQGRPYNIFPASAYAHNLCLCGKPMPPCRGKI >OMO98227 pep supercontig:CCACVL1_1.0:contig07149:76574:80737:1 gene:CCACVL1_04294 transcript:OMO98227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLLSTPEVAGETRRRPKNVGEIVVFVPGLRIPMPLDFAHPLDDGLSKSLVERLSALRTRIVVMAGGSTLADLQQALEDYLPVLLGLVEKGGQLKHNLQFCWINQEDVVEKTTMSDSWYEVLSVLHLMAVLSLSKANLLLLPRTSADGYQSKALEESKRASIDIFLKAAGYLEFAVQKVLPQLPLELRNDLPLDLREGVLKALCLQALGQGVEIQLGMAIDSIKASLAVKRRLACEMVKYWHQAQQYIMDIPLANGWGEKHKLFIQWKHDEAKAVAYYLHGLILEEGNTERSAEIAAAALQAAEGYLKESKKACESFHMTPPSSRNPPLWGASKYLAERIPKDIPSKTINGVSQNHEMIMQLAPALPDFLLSLKPDEYQLPSMDPSWNDLQVQNLFPTK >OMO59577 pep supercontig:CCACVL1_1.0:contig13921:6706:7125:-1 gene:CCACVL1_24748 transcript:OMO59577 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, AN1-type MAVSDSNLPPLCAKGCGFFGSSETKNLCSKCYKDYLKDFEAKLKISSPTPPNPSVSSAGSDSSTDSDSVSAPLKPKNRCESCNKKVGLMGFSCRCGKLFCGAHRYPKEHSCNFDFKTLDRQALAKENPLIKGDKLETRI >OMO59579 pep supercontig:CCACVL1_1.0:contig13921:19459:20469:-1 gene:CCACVL1_24750 transcript:OMO59579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSEVYSSQLQYLKQYLLDEFSPVGSASSHLSFENQWITEAKPNFEVSSTSQSQTSSSDSSVTVSNFDCLNDDDDFFKFSPKFPGFQLKSNDAFEFESKPKIIDLTSPKPSSSNSSAFEFEVKPQISQLSATKPQLSSKSSSQNRKPELKISLPHNNKVEWIQFGKPEIVQAEQKKSNSEEKKHYRGVRQRPWGKFAAEIRDPTRRGSRIWLGTFDTAIEAAKAYDRAAFKLRGSKAILNFPLEAGRLDARPVDGDRKRSRDDGEGEERHVKAVKRENDDVTKARDNVEVPLTPSCWKGFLDLDNNDMNAIFNVPLLSPLSPHPALGFPQVMVL >OMO59576 pep supercontig:CCACVL1_1.0:contig13921:2187:5045:-1 gene:CCACVL1_24747 transcript:OMO59576 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MMDGDKTAVALEAISKEIVDLENIPVEEVFEKLKCTKDGLSSEEVKQRLELFGYNKLEEKKENKFLKFLGFMWNPLSWVMEAAALMAISLAHGGGKDVDYHDFIGIIALLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWSEEDASVLVPGDIISIKLGDIIPADARLLQGDPLKIDQSALTGESLPVTKHPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVETTTHVGHFQQVLTSIGNFCICSIVTGMVIELIVIYGAQHRHYREGIDNLLVILIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFVNNVDKDTVVLMAARASRLENQDAIDAAIVQMLADPKEARAGITEVHFLPFNPTDKRTALTYLDGAGKMHRVSKGAPEQILNLAWNKSDIEKKVHSIIDKFAERGLRSLAVARQEVPAGTKDSPGGPWDFVGLMPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGDSKTEVLGAMPVDELIENADGFAGVFP >OMO59578 pep supercontig:CCACVL1_1.0:contig13921:7439:9452:-1 gene:CCACVL1_24749 transcript:OMO59578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNKKLSNPTKMIDFTGKLGSIGVDEGFNTEYEGSPVSKFVAGCCIEEERSVSSKPEERSSPTQIGL >OMO64638 pep supercontig:CCACVL1_1.0:contig12760:2713:4979:1 gene:CCACVL1_21627 transcript:OMO64638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFLLVLALFNFHGVVISLNNEGYALLSFRQSIYEDPEGSLANWNSSDDNPCSWNGVTCKEQRVVSLSIPKKKLYGFLPSALGSLSDLRHVNLRNNRFFGALPVELVQAQGLQSLVLYGNSLSGSLPQELGKLKYLQTLDLSENFFNGSLPSSIVQCKRLRALDLSQNNFTGSLPDGFGTGLVSLEKLDLSFNKFNGTIPSDLGNLSSLQGTVDLSHNLFTASIPASLGNLPEKVYIDLTYNNLSGPIPQNGALMNRGPTAFIGNPGLCGPPLKNPCSSDTASSPSSYPFLPNNYPPGNPDDNEGKNERRGLSKGAVIAIIVSDIIGICLVGLLFSYCYSRVCLCSKHKDENGYGFEKGGKGKKECLCFRKDESETLSENVEQYDLVPLDTQVAFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTLAVRRLGEGGSQRFKEFQTEVEAIGKLRHPNIVTLRAYYWSVDEKLLIYDYIPNGSLATALHGKAGMVSFTPLSWSVRLKIIKGIAKGLVYLHEFSPKKYVHGDLKPSNILLDQDLEPHISDFGLARLANIAGGSPTMQSSRMPSDKPHEKLQKSTSSEATTVYSSTNLGSYYQAPEALKVVKPSQKWDVYSYGVILLEMITGRSPVVHVGTSEMDLVHWIQLCIEEKKPLSDVLDPYLDPDADKEEEIIAVLKITMACVNSSPERRPTMRHVSDALDRLVVSTD >OMP05975 pep supercontig:CCACVL1_1.0:contig05103:4351:7842:1 gene:CCACVL1_01767 transcript:OMP05975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKTVMMLMGADIRMTSSELTRMNRITRDLEISTGQLQSRYRRILLQTAKRNNNTSSNRSSSDYSPSSLNAQQLVAQEFSKDTTKKDNNHLAVISPTKKDNIMRRGTENQAYYVLGHKTPNIRDLYTLGRKLGQGQFGTTYLATEISTGNGAYEDPLSVHIVMELCSGGCHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKLGQVFTDVVGSPYYVAPEVLLKHYGPEADVSVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPLISDSAKDLIRRMLCSRPSEWLTAHEVLCHPWICENGVAPDRALDPAVLSRLKTFYAMNKLKKMALRVDFFLARSGALGLLLYLSLVPLIL >OMO57306 pep supercontig:CCACVL1_1.0:contig14410:18040:18498:1 gene:CCACVL1_25847 transcript:OMO57306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDDYFGVDVELDDINETVFKSSNGTSNNAAKRTPQPYANVRSRKGKRKASPGESSDESISSKITKLTDVCDATRSQIDKVAAGIGKVAKYFDVDKPLIFEIISSIAGLTPEEVVQAYGIISANEGKASSFFSLPNEAAQKIFVKGVLDGLI >OMO89939 pep supercontig:CCACVL1_1.0:contig08576:15875:17461:-1 gene:CCACVL1_07559 transcript:OMO89939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5 MAERGGERGAFRGGFGGGRGRSDRGPRGRRRGRKEEEEKWIPVTKLGRLVKEGKITSLEQIYLHSLPIKEYQIIDHLVGPSLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPDFWRETRFTKSPFQEYTDMLHKPVKTLVLENAAERVDA >OMO89941 pep supercontig:CCACVL1_1.0:contig08576:52448:57703:-1 gene:CCACVL1_07561 transcript:OMO89941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKTKRSTRENRDRISQLPDELLHHILSFLDTIYAVQMSVLSKRWVSLWRSLPFLHFSFDTLRELLDMKEEEEEDEALWTFGSFIVHVISQRYPTANLVRVCFNCSHDSMDPLVIHYLISYSVRHNVQNLQVCNSSTYLFPLHWVWLPNIYSSQSKSFTALTLIKYHCYCFRNSIHFSKKFLAFPALKSLDLTGFFLEDFKSDPNIFSSYPNLEILKLSNLQLDLGPETFRIHALNLKKLVISVLDEGRDFQDRCKLEIHAPKLTTFNYFGQFRIVCSTERLTSLDDVCFDVYSNHYHYEINKEEFPYVMNTLKEFDHVKSLTLSIETIEWILGEFDFTLHAASVGNQSWMTTGGVSNSPLDGDQGISVLRQKNDALQRWEQHNKQMDLQFRRFDELSDEIVDRLDNLIKIYAHRRQVDNRKPRVEMAHGDPIEKLVSVCRNPVDNRKPGVEIARGGPIERPEHIRRNPIYDKGFEENRQRQPFRIRCTINGKVFPMTIDNGCCKNIVSQKIVELLNLTVKKHPWEINGRCKILFSVGMYSCETYCNIVDMKHSKIILGKPWQFDEGAQYSYDDNVYKIRKEGKGYKLIPLPGKTQPKTFKIDGQIFLTKEDKEIQVTQRKDESMTCDTVAKDEVTQRKDDSEIIDKVTKDEESVESKTPDQYVTVEEKQKELVVEKKSEFPQLHVEEFMDFSVFMRVNNTNMLFAAVDKHEAETQVEEHSGLVQQFLQEFRGLRPDDIPDNLPLIHGICHFIDFIPEVSLSNFSHFRINLKEHEILMGKVKQKLEATTFSVAVVQTQGRV >OMO89940 pep supercontig:CCACVL1_1.0:contig08576:22032:39259:1 gene:CCACVL1_07560 transcript:OMO89940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEKSYQISRDKETMPVLRKHGSKKNKVRAEKSYPTKSARRSILDRGRKRVFLHSCTTISIEAISVHA >OMO89938 pep supercontig:CCACVL1_1.0:contig08576:8192:15027:-1 gene:CCACVL1_07558 transcript:OMO89938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSEPVFLLHVNPLSSSKNPYPIHFLSLPKLPPKPPAISTFCAATPPSSPSLPQDSDPNPLQTSPDRTRDRRKVVRLAWEKLVRWSRSWRSKAKTDVLERTKKVVVLGGGSFGTAMAAHVANRKEQIEVTMLVRDPAVCQTINENHYNCKYFPEHKLPENVIATSDAKAALLGADYCLHAVPVQFSASFLEGIAEYVDPGLPFISLSKGLELNTLRMMSQIIPQALKNPRQPFIALSGPSFALELMNKLPTAMVVASKDKKLANAVQQLLASSHLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMNLGNNSMAALVAQGCSEIRWLATKMGAKPTTITGLSGTGDIMLTCFVNLSRNRTVGVRLGSGEKLDDILSSMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIIDNELTPKKAVLELMRLPQLRGPIGLSPTGYKPLAKCDCGQRDPGFLHKHAMKASENPKINEKLFCLVRDKNLLIKVTPETYCDDEHRSIDSIIEAAEKLVLPKKVPLHPQYRNCVDTCSIVGSCHGICCLRYHDYMNETNFLLLNFVTGESRVINYQQGAEDNRVYGFGYDSSTKDYKIVSFQCPVRGNFRRPCPESPYVVDVLSLRNSCWRSILQTPPMVPACPYLLSTATCVELNGFLHWQHCSKHCPEGRSDSIFTFDLAEEKCGEIIFNAPNKGRKNQYYDIIGLGVLNGCLYVTMRSMQHSSGGCRIEGCHIWVMKEYGVQQSWTKLMRISFPPRFLANSFVNLFPVYYTKKGRLLLFLPQQDVYNVEEYPFSYSYSEYRMPEKGKPLWFITAHVDTFLSPNSLGSNL >OMP10480 pep supercontig:CCACVL1_1.0:contig02439:171:236:1 gene:CCACVL1_00948 transcript:OMP10480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNDNYLNTFVSPEKPKGQQ >OMO71894 pep supercontig:CCACVL1_1.0:contig11527:7948:9226:1 gene:CCACVL1_18035 transcript:OMO71894 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin MKKTSLSILLLASLVGFAAAQSGSGGDGPGESNVTAYWTDYNATHNNWDFNASHVSCARILGRKPLEWRKKYGWTGFCGSVGPQGDAACGLCLRVTNYIDNETKAEEKVRIVDACGGAGALELDFETAFMPIDIDGNGNSTGHLNVDYNFMDCDDSDELENTLLVSSL >OMO71893 pep supercontig:CCACVL1_1.0:contig11527:1253:4570:1 gene:CCACVL1_18034 transcript:OMO71893 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin monooxygenase-like protein MQLVAGLHACVNSLKVVIVIGSSASAVDICRDIAGVAKEVHIASRSVANETYKKQPGYHNLRLQCMVESAHEDGTVVFRNGKVVPADIIMHCTRRTQHKYHYPFLDTKGIVTVDDNRVGPLYKKIFPPALAPWLSFIGIPRKIVTFPLFELQSKWVAGILSGRITLPRQEEMMDDIAAFYSSLEASGIPKRDTHLMGDSQNRIRRPETYRDEWEDHELVLKAHEDFVKYTSKQKR >OMP04174 pep supercontig:CCACVL1_1.0:contig05794:3031:13862:1 gene:CCACVL1_02184 transcript:OMP04174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAYKDLESLVLINYDGESPIQQRSWIQTQYNCNVFKSGTQNIL >OMO53477 pep supercontig:CCACVL1_1.0:contig15193:10222:11746:1 gene:CCACVL1_28616 transcript:OMO53477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWINSPIDKSLELDLENGVTISGEEDHRSPVSGVKKKAQEFLAMVKSSFAEGSDDRVTLSGDASNSGRVQSDNVQAVTRMNKEGQDSKGAKENKLSVREKRKSLSNKKPPKPPRAPRAPSLDAADMKLIREIAEIAKLKRARIERMKALKKMKAAKATSSNSNMFAMVFTIIFCLVIMFQGMSPRGTAASFQGSPVPAGAVEGGLISVQFSGNPSASISNRPQFSGNPSASISSRPDSGSPNLVEQVAGLDVQERLKRLSG >OMO53478 pep supercontig:CCACVL1_1.0:contig15193:13418:13954:-1 gene:CCACVL1_28617 transcript:OMO53478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MKKTPIISSFHVLPILLLTISLPSINCDLVDQICKKTPFYDLCISTLRSNSNNSAESSDVKGLASVAADILLSNATDTLNYIQSQISQTSDPQMERALAYCAELYIPVVKYNLPQAIEALSKGKFEFASDGISDAAKQADACEKNFSGSLNSPLSDRNKLLHSLSDVAVAIVKLLLKG >OMO53476 pep supercontig:CCACVL1_1.0:contig15193:9112:9908:1 gene:CCACVL1_28615 transcript:OMO53476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAMCRIGSGSSCFLSKVLVIGIIIRSMILRATG >OMO53475 pep supercontig:CCACVL1_1.0:contig15193:1422:5485:1 gene:CCACVL1_28614 transcript:OMO53475 gene_biotype:protein_coding transcript_biotype:protein_coding description:Galactokinase MAKHEELPVPIYSSLEPVYGEGSQLEEAQLRFDKLKSKFLEVFGHPPEVFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKRDKGESEKLLRIANVNDKYTLCTYPADPNQEIDLKNHRWGHYFICGYKGYYEYAKSKGVDVGPPVGIDVCIDGIVPTGSGLSSSAAFVCSATIAIMAAFGSNFPKKELAQLTCECERHIGTQSGGMDQAISVMAKTGFAELIDFNPIRATDVQLPAGGTFVIAHSLAESQKAVTAATNYNNRVVECRLASILLGIKLGMKPQEAISKVKTLSDVEGLCVQFAGSRGSNDPVLAVKEFLKEEPYTAEDIEKITEKNLPSILGDNPTSLDVLKAAKHFKLHQRAAHVYSEAKRVHAFKDAVGASLSEEEKLKKLGDLMNESHHSCSVLYECSCPELEELVKVCRNNGALGARLTGAGWGGCAVALVKESIVPQFILNLKEQFYQSRIDKGTINKNDLGLYVFASKPSSGAAIIKF >OMO70222 pep supercontig:CCACVL1_1.0:contig11853:5414:6445:1 gene:CCACVL1_19054 transcript:OMO70222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSQEGEIPIPLNSTTYGGGHGHGHMIHHDHPSSLPHNHHNHNHNHIIATSVAAAAAAAAAAAQIPSAGATTNLDDDDDHSHHVPYSKKLVRYRECLKNHAAAMGGTATDGCGEFMPSGEEGTIEALTCSACNCHRNFHRKEIDGEPCDFYPLHSPHHPLSRVGRKLILGPHHHHHKGILPPEALGYPTATGTLIHSRAASAAVAPTPHQMIMSYNMMGSLPSESDEQDDVGGGGGGGGGGGGGGVVSRQQPHHHQLVKKRFRTKFSQEQKEKMLNFAEKVGWKIQKQEESVVQQFCQEIGVKRRVLKVWMHNNKHNLAKKNPSSENNTNGNTTATTTTAA >OMO70223 pep supercontig:CCACVL1_1.0:contig11853:9864:13013:-1 gene:CCACVL1_19055 transcript:OMO70223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQEVMSFVRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTQTLLNSVGVSRNTATSGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFAGDLLMELGAGVELATAAVPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNIADLLGTGLSIMISKKNPSLVATFALLSCGYVFSSYREVRSVVLHTLNRARFSVAVDSFLKTGQVPSLQEGNMQETICNFPWLKDGPVVLGSRFKDAFQDPGAYLALEPLFEKERYMVTYNPSKGKVYALLKDQAKSDDILKAAFHAHVLLHFIQSSNSSRTSSRRQQEHGHSNLIPTTTDLEAYIADSCKMVSTSYGPFKDKAAEQGWRMSESLLNPGRARLC >OMP03396 pep supercontig:CCACVL1_1.0:contig06084:11673:16369:1 gene:CCACVL1_02441 transcript:OMP03396 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-galactose transporter MEYRKIKDEDNDGGTISDDIENPRGKGFSVASVSNVPAREQSKWKRKSVVTLALTFLTSSQAILIVWSKRAGKYEYSVTTANFLVETLKCALSLAALARIWKTEGVTEDNRLSTTFDEVIVYPIPAALYLVKNLLQYYIFAYVDAPGYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNSRSDHVLQTPLQGWIMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGMAFNAVAILIQDFDAVMNKGFFHGYSVITTLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFGFELTLAFFLGATVVSVSVYLHSAGKLQR >OMP03397 pep supercontig:CCACVL1_1.0:contig06084:17725:19089:1 gene:CCACVL1_02442 transcript:OMP03397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLLSKLSILTFIASLSLFSALAHDFSIVGYSPEHLTSTDKLIELFELWISKHGKIYESIEEKLLRFEVFKDNLKHIDRRNREISSYWLGLNEFADLSHEEFKSKYLGLKPQVFRKRQSPGEFTYKDVSELPKSVDWRKKGAVTPVKNQGSCGSCWAFSTVAAVEGINKIVTGNLTSLSEQELIDCDTSFNNGCNGGLMDYAFEFIMANGGLHKEEDYPYLMEEGTCEEKKEESEVVTINGYRDVPQNDEQSLLKALAHQPLSVAIEASDRDFQFYSGGVFNGKCGTELDHGVAAVGYGTSKGADYIIVKNSWGPKWGEKGFIRMKRNTGKPEGMCGINKMASYPTKK >OMP03395 pep supercontig:CCACVL1_1.0:contig06084:9126:9584:-1 gene:CCACVL1_02440 transcript:OMP03395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIIQSFQKSQSLPVSDTKDEQQPGLRRRLSSLSLKLQPISAPATSWAFQRSKSLSSMGEYAGSSIRKWWEWGWSWVLSRKPTFAQDLEMNEEETRILGCHNKGSWRHVFYKVRSEIKKLVGSDKVGLPQTYRYDSLNYSKNFDDGKRIYG >OMO59708 pep supercontig:CCACVL1_1.0:contig13899:6724:7368:-1 gene:CCACVL1_24654 transcript:OMO59708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKGIKQMEERHIKWHPPVLSYFKINTDGASLGNPGPAGAGGIIRDCNGIYVKAFCRKLGVASNTTAELWAIRDGLKIALDLGLSNRVLIESDSTTAIHLIEGSFNCSHPNAAIINDCRWLMMQLGINQVLHTYREGNQVVDLLSKQAVHQEHNFCLFNSAPSFISSQLLADCMGVSYPRTLNVGNMSLNSFEGVVDYHLAGQNNPPHDVTVT >OMO59707 pep supercontig:CCACVL1_1.0:contig13899:2089:2822:1 gene:CCACVL1_24653 transcript:OMO59707 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family PQAYLGLTINWGALFGWYGIKGSLQPSIVLPLYICGFFWTLLYDTIYAHQDKEHDVKVGVKSTALKLGESAKEWTSGFAISCIGGLALSGYNAAIGWPYYVFLAAASAHLAWQIGTANLSSPVDCSRK >OMO88890 pep supercontig:CCACVL1_1.0:contig08894:801:5653:1 gene:CCACVL1_08134 transcript:OMO88890 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase PIF1, ATP-dependent MTSSQQEVYLARRRAAYHAKKNSNSVHNVAQSLQGRLNRIGDGSQRRSGPIRVTDMRRLARSRDQISTDYDANFHGASTSTANATQGQQIQLQNQSTTIAGLYNNIRQIERSRHLDINATVPNFVQRTMLYEWKNNFAPSTRTYRNARFVFGSNSGGKTFQTTHSIIQSQLCFYLYGSASRSIFARPRFLQMYVYDTEHETEYRLLENETLDRNLVEKIKNILDKYNPFVKMFRSLSRREDHHSCRLIIKDQPSNQPQYNSPTASQVAAIIDGGQDLADLNGREIMVETISGRLLNVNDTVGYYDPLQYPLLFPCGTYGWDINSHDEYGNKLQCRTFNATIRGDNFVKIEASRLRWYVNHQEEIRADCYQGLADSFIAGENSTSNVGRRTILPSSFVGSPRDMYQRYQDAMALVQKLGKPDYFITMTCNPSWPEIQQELLPGQSPQDRPDLLTRIFHSKFEEFKVDIVTKGVLGRVVAYVYVIEFQKRGLPHAHMLVVVDEDSKPNTPDEYDRVVRAEIPNAEEEPQLFRAVTKHMIHGPCGSLNQHAQCMKDEEMLELYRLANVAIHIWTTVGLFHIILRSSSNYDCHINLETCSSVKCVKYLYKYVYKGPDRVAMDLRSASEHDEVQQFIDARWVCAPEALWRIFKFVLNRMYPSVERLQIHLPNMHQVRFNQNSTIADVLEDERNSKTIAYRIFHMNRVDPLASNICTVNFLNTTGGFHPRENRQRRKSSQKVIGRAAKRRGLLENDDSIRQCLLEVATFRMPSALRRLFVTLLVYCQASGERRLWEEFYPYMVEDYPSSSNNRSVHLKNRLLQDLNSLFIYHGKRLTNYDLPRISGNISYRNDMPKIIEDELSVHTPPEDLVAVQKLNTDQQHAYDKIISVVNQGKAHSRFKLPLTPEASSVCFIDKQSDLAELIKRAAVIIWDEASMAHRRAFEALDRSLKDIMGNDTPFGGKAIVFGGDFRQTLPVGDGKEPVINNDMIQVPPLMAMPWEGDQSVDHLIESVFPNLNSHSHDWDYMVQRAILTLRNDEVDRLNEKIIKKFDGMEQIYYSIDSVEDDPHNLYQQEFLNGLSSNGLPPHVLTLKVGAPIMLLRNTDPKVGLSKGTRLICRGFLENVIDAQVMTGHFAGTRVFLHRIPMQPTINANLPFTMTRKQFPIRLSFALTINKSQGQTIPNVGIYLPNHVFSHGQLYVALSRGTSQSTTKVLVKKTSQNEGNAIYTRNVVYQDVLINAT >OMO51228 pep supercontig:CCACVL1_1.0:contig15927:13892:17654:1 gene:CCACVL1_29923 transcript:OMO51228 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 79 MEFRFILFLFVASLPAILSEDISATIVVDGTTTVAQTDDNFVCATIDWWPHDKCNYNQCPWHYSSAINLDLSHPFLAKAVRAFNRLRIRVGGSLQDQVLYNIGNLQSPCHPFRKMKDGLFGFSKGCLRMERWDELNHFFNATGVILTFGLNALYGRHKIKKSLWGGIWDSSNAQDFMRYTISKGYQIDSWEFGNELSGNGIGAHVYADQYAKDLINLKNIIHELYKGSQFKPSLVAPGGFFDQEWFAKLLQVSGPSILDSMSHHIYNLGAGVDPKLVSKILDPNHLNKVADTFSNLTHLIRRHGPWASAWVGESGGAYNSGGLHVSDTFVNSFWYLDQLGMASKYHTKVYCRQTLIGGNYGLLNATTYVPNPDYYSALLWHRLMGKVVLAVDSDGSPFLRSYAHCAKGRAGVTLLLINLSNKTNFIMEVQNSINLKLAVTEQNISRDGFVHSLKKTFSWVGVKSSDEPLFREEYHLTPKDGYVQSHTMLLNGIPLELTGAGDIPRLDLVKVNVKSPISIAPLSIAFIVFPNLEAAACG >OMO55373 pep supercontig:CCACVL1_1.0:contig14711:5070:5977:-1 gene:CCACVL1_27295 transcript:OMO55373 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MAFEKDLNIDATELRLGLPGTSEESEKQTSPSLRPNKRALPDMNEESVSSDSNVTAAIKKSDQETAPPTKAQVVGWPPIRSYRKNCLQQKKTEAEIAGIYVKVSMDGAPYLRKIDLKVYKGYPELLKALEDMFKFKVGEYSEREGYNGSEFVPTYEDKDGDWMLVGDVPWEMFTNSCKRLRIMKGSEAKGLGCVV >OMO55374 pep supercontig:CCACVL1_1.0:contig14711:15252:16892:1 gene:CCACVL1_27296 transcript:OMO55374 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MTSIMGAEGDKYNMINFEETELRLGLPGGKGNDGESTKNNGKRGFSETVDLKLNLSTTKEAVADEAEKKEKSNPSKPPAKAQVVGWPPVRNFRKNIMAVQKNSSDDGEKAGSSTATTTTTTTSAAFVKVSMDGAPYLRKVDLKLYKSYQELSDALGKMFSSFTIGNCGSQGMKDFMNESKLIDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVNSCKRLRIMKGSEAIGLAPRAVEKCKNRS >OMO77296 pep supercontig:CCACVL1_1.0:contig10785:9971:10081:-1 gene:CCACVL1_15106 transcript:OMO77296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTKGRGRGRKPIKSVESRRMEQLIGFKLATEAA >OMO77297 pep supercontig:CCACVL1_1.0:contig10785:10413:12356:1 gene:CCACVL1_15107 transcript:OMO77297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFMSSDLSALWPPPQSSASTSTPAAASGPDPSKSSLAQSQPSVSLLNQETLQQRLQALIEGARESWTYAIFWQSSYGYSGTVLGWGDGYYKGEEDKGKGKLKASASTAAEQEHRKKVLRELNSLISGSAAPNDDAVDEEVTDTEWFFLVSMTQSFVNGSGLPGQALYNSSPVWVAGPDRLASSMCERARQGQVFGLQTIVCIPSANGVVELGSTELITQSSDLMNKPESGEILNFGESKRNGSGNLFSGPMEENKKRKSPTSRGSNEEGMLSFTSGVILPSSGVVKSSGGPGDSDHSDLEASVVKDADSSRVVEPEKKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELRTKLQNSDSEKEELQKQLEEMRKELANKDSRAPPPDQELKMSNHLGKLIELEIDVKVIGCDAMIRIQCNRKNHPAARLMAALKELDLDVHHASVSVVNDLMIQQATVKMGSRYYTQDQLRIALTSKVGDAIRVY >OMO77298 pep supercontig:CCACVL1_1.0:contig10785:15530:15922:-1 gene:CCACVL1_15108 transcript:OMO77298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNCLACHQVLQRTDSNNDLRNYDNNNNEKDIKRFWGIKVDRSWSGNLSPVAFEHVRNNTDPSTPPAKKMLKKGHRRLNTIDTTFRMVSFEADGEPKLVRSSGMRRDWSFEDLGGTNRGENMRNEIGVR >OMO77299 pep supercontig:CCACVL1_1.0:contig10785:17078:17596:-1 gene:CCACVL1_15109 transcript:OMO77299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSLNMNPSGTQGSFIANTNAKSYNDIMTRRLKNRERQRRYRARKRLEADKQKSHVVLNQPTIPKAEVELHLNGTLNNGVARVHCKRDWKKDARRAHICKGQEDALNPSAQSTLVVTAEGHTPYLPSGIRIEGSLGRECHSENSHNTGNSETRKPKLGRRDWKADARNKKS >OMO97894 pep supercontig:CCACVL1_1.0:contig07189:3821:3913:1 gene:CCACVL1_04414 transcript:OMO97894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQIGSLQKKFLKLPQEILQQKSLQVKKLP >OMO57677 pep supercontig:CCACVL1_1.0:contig14338:10721:15424:-1 gene:CCACVL1_25706 transcript:OMO57677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase superfamily MNKETEREYLRLKIDAASSSLERPHKAYPAELAQFHSADYVEFLHRITPDTQHLFANELARYNLGEDCPVFENLFEFCQIYAGGTLDAARKLNQQQCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDMFFPGTGDVKVIFNALLVNILVADSGEREGKFYAINVPLKDGIDDGSFTRLFKTIISKVVEMYQPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRIVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPENDYSKYFAPEFMLNIPNGHIENLNSKSYLSTIKMQVLENLRCIQHAPSVQMQEVPPDFYIPDFDEDEQNPDERMDQHTQDKQIQRDDEYYDGDNDNDQNTDM >OMO57678 pep supercontig:CCACVL1_1.0:contig14338:19113:20029:1 gene:CCACVL1_25707 transcript:OMO57678 gene_biotype:protein_coding transcript_biotype:protein_coding description:G10 protein MPKVRTNRIKYPDGWELIEPTLRELDAKMREAENDPHDGKRKCEALWPIFKIAHQRSRYIFDLYYKRNEISKELYEFCLEQGYGDSNLIAKWKKPGYERLCCLRCIQPRDHNFGTTCVCRVPQHLREEKVVECVHCGCRGCASGD >OMO83524 pep supercontig:CCACVL1_1.0:contig09878:7787:9910:1 gene:CCACVL1_11356 transcript:OMO83524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase/vanadium-dependent haloperoxidase-related protein MNEVLTAADASARFRSASSAPSIIPSNVPLLSAFLAFSLAQFLKLFTTWYKEKRWDTRRMLGSGGMPSSHSATVTALAVAIGLQEGIGASAFAVSLVLACVVMYDATGVRLHAGRQAELLNQIVCELPPEHPVSNVRPLRDSLGHTPLQVLAGSILGCIIAFLMRASS >OMO83523 pep supercontig:CCACVL1_1.0:contig09878:2849:5785:-1 gene:CCACVL1_11355 transcript:OMO83523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, subunit alpha/beta MSIFEYNGSALVAMVGKNCFAIGSDRRLGVQLQTIATDFQRISKIHDRLFLGLSGLATDAQTLYQKLVFRHKLYQLREERDMKPETFASLVSALLYEKRFGPFFCQPVIAGLGDDDKPFICTMDSIGAKELAKDFVVAGTASESLYGACESMFKENMEPEELFETVSQALLSSVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >OMO83527 pep supercontig:CCACVL1_1.0:contig09878:20482:20886:1 gene:CCACVL1_11359 transcript:OMO83527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPSRASHDKGERLKGAQGVTREGLEEAQGVTRETLNGVEGVTGEALKGTQWVTREGLEGSQGVTIKALKRAQGVIGETLKDAEGLTGEALKGTQGVTREALKGTQEVTGELDRIRKMIRGLLNEAKNTFNPH >OMO83528 pep supercontig:CCACVL1_1.0:contig09878:24054:24554:-1 gene:CCACVL1_11360 transcript:OMO83528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSYSSSSSSNKPLELIEQSFYQSQLNNPPVTPLVPSSVVPVTSLAPSSVVPLTPLAPSSVVPVTSLAPSSVILVTPLASSSVIPVTLLAPSSIVPVTPLAPTSVVLVTPLAPSSVVPVTSLAPSSVVLVTPLAPSSVVPVTPLAPSSVVPVTLWPLRTLLLLAL >OMO83526 pep supercontig:CCACVL1_1.0:contig09878:17385:17993:1 gene:CCACVL1_11358 transcript:OMO83526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKFKRSQILILSLVAALLFIAPLLSSSLRPTYLYFVLNLLIIALGAEAGLLSVFSRPSYVAAAKPVTTTTQEAKAGEIISNNNKEYDQKQVSSPSSNEKKVVKVIEKSVSEKISAGSSIKVVEKVKKCPSTPSLFFIGSAEEHEEDKEEQKEEEEERDLGELSGSELFTKAETFIGNFYKQLKMQREESWKRIHGFYQKAF >OMO83525 pep supercontig:CCACVL1_1.0:contig09878:10484:14724:1 gene:CCACVL1_11357 transcript:OMO83525 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin complex subunit 2/barren MEEAPSLRERAQMLKRLQSPTSPFFLSSNNDQLERAQARAAARAAAVRRFVTVAPPPPPSEPCLSKDQITELFQNCIKLASENKINQKNTWELKLIDHLSEIIKVELGEGDAETNFQKASFTLEAGVKIYSFRVDTVHSEAYKVLGGIFRAGQEDGQETIVEGDNINDREGHSHNKKESEKKIISTLESSFESLNAKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYEGCRVVFDSFEVPGKCKTGSFQNNNLDMIDFSFAKESGEMMVANMLEKNEICPTLKVIRYHFREDQRSSGTFDVGQKCDISFYTADAYEAALFDDSLGNDDAWNFDHDEVSSVVNEGSNVDPTSHHHHEENETYASYEPDPEDKFDDVAKFLFQGLGFTSRQNAWAGPNHWKYQSFKGSEEIPATNVKSTLTTKRAKRKNLKEDDVDFTTGLGTEMPDIFLPPKNPKLLLLPANRAPCDNTLPEDCHYQPESLVKLFLLPDIMCLGKRRRRRHLGFCDVENVSKNVENNHSSREQNIDFDEGLPSWDNESLLGSQVIDGHLDDVGNLDDLVSQPCQVNKVEVQYDKISKQVDVQTLKETLWDHMQGSLEGAEEAKKDALISFKHVLATFPFNCQAAAIEDISPHLCFICLLHLANEHGLKIQDLPSLDDLSIHLPASYQSTGVQT >OMO83532 pep supercontig:CCACVL1_1.0:contig09878:46180:55732:-1 gene:CCACVL1_11364 transcript:OMO83532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSLQPKISLYPNNPILHFRPQNKPQIIFFPRKIKVKTRCSSMDPQRQKQQESYSKKKSSVAETEKGVDPVGFLTKLGITHKAFAQFLRERHKSMKDLKATIFTRHLNLQELASGFEILGMHRHKEHRVDFMDWAPGARYCALVGSFNGWSPTENAAREGHFGHDDYGYWFIVLEDKLREGEKPDELYFQQYNYVDDYDKGDSGVTIEEVFKRANDEYWEPGEDRFIKNRFELPAKLYERLFGPNGPQTLEEFEEIADPETRYKAWKEQHKNDPPSNLPPFDVIDNGKEYDVFNIVASPEWQEKFRAKKPPLPYWIETRKGRKAWLKKYHPAIPHGSKYRVYFNTPDGPLERVPAWATFVEPDAEGNQAYAVHWEPPPELTYKWKNKAPKVPKSLRIYECHVGISGSEPKIASFNDFTEKVLPHIKEAGYNAIQLIGTIEHKDYFTVGYRVTNLFAVSSRYGTPEDFKRLVDEAHGLGLLVLLDIVHSYSAADEMVGLARFDGSNDCYFHSGKRGYHKCWGTRMFKYGDLDVLHFLLSNLNWWISEYKIDGFQFHSLASMLYTHNGFASFTGDLEEYCNQYVDKDALMYLILANEILHVLHPNIITIAEDATFYPGLCEPTSQGGLGFDYYVNLSASEMWSSLLESTPDHEWSMSKIISVLLGNRQYANKMIIYAENHNQSISGGWSLAEILLGQGNDQAPLSDELLRRGSSLIKDLMNLDENERLLSRRLPTIHHVNDSNMVISYIRGPFLFIFNFHPSNSYQGYCVGVDEAGEYQVILNTDEIKYGGQGFIKEEQYLQRTISRRVDGQQNCLEVPLPSRTAQVDSNFTSPMNQFFPKVDADRYTYFHAPPISYNHVNNCPSPSPSMVASIAAVERILNYSFRNKRLLEEALTHPSYSDSASYQRLEFLGDAVLGLAFTNHVFLVYPKLEPGQLSLIRAANISTEKLARVAIKHRLFQFVRQNATGLHDKVREFAEAVSQEEDAISYGGSIKAPKVLADIVESLAAAVYVDINFDLIKLWEIIGGLLEPIATLEDLQQQPQPVTVLFEHCQKLGKHVDIKHWKKGLTNITSVYVDGEFVASGSSEQKQISKLNAVKGALHKLSQSMSAKIDADDGINEPFEIEGAKQKLHELCFKKKWERPIYELEKDEGLPHEKKFVSSVKVPTVDGILYITGEEKARVKEAENSAASFMIRALQESNYL >OMO83531 pep supercontig:CCACVL1_1.0:contig09878:44863:45396:-1 gene:CCACVL1_11363 transcript:OMO83531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQHLTQPDGGRSAFVGKTDTSALEAVVATVLLAFTLHVFWCCFRSSCGLVKRLFTRSPPPSSATKMDLSVIAAVEKILNYKFRNKSLLEEAMTHASFSRKESYERLEFLGDAALGLAVATHFLSSGHPELTPGQLTKLRKRCVSNKKLASVAAEHRLYWFLRRKNTLLLDQDVMFQ >OMO83529 pep supercontig:CCACVL1_1.0:contig09878:30009:33786:1 gene:CCACVL1_11361 transcript:OMO83529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVTPSWGASNRKLRLVDDLNNQGGERRMLSAVSDLVGGLLGMPSGTVEGGLLGGNNQSANSRSNASIEKFNIDVVDSQHFLLRRLVRGDDRVQLDSNGFSCHTDVHSDVCLANKPVRISNKGLTVHVPSDDQLLQANKRLVRPYARQEDETAMKLVTPVEILVHGNNYTNLPACNVTHNVTALVFSSRGFTGNVFHEFNEIVIPLFITSRHFNSQLQFVITDFQLWVVQKYNKILSHLSNYEIINPEADSSSVHCFPGAVIGLKFHDNLALNMTDIPGGYSMFDFRHFLRRAYNLKVKHVSEINHQKPVLMLISRHNSRRFLNEDEIVEMMEELGFQVTRAEPGRMSNLDKFAEVVNSCSVMVGAHGAGLTNEIFLPNGAVVVQVVPLENEWVATNYFGQPSKKMGLHYLEYKIEPEESSLIDTYSRDHPVFTNPQSIISKGYYEFRSIYVDGQDIRINLEKFRKTLIEAKQLIENSTPFNR >OMO83530 pep supercontig:CCACVL1_1.0:contig09878:38171:39104:-1 gene:CCACVL1_11362 transcript:OMO83530 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent metalloprotease FtsH MKKETEGRVGDRDKNAGDSAVGKTEQQTPSQTLLTTLTSMVDLGESIKTSILKSTLESPKAMESAIDKWHLDMEAMPYIQRIRRWSLRQRFLYNITFIVASIGFWESWVSLYAYDYYRNTTEDEIDKNVKAIKDQIDDNAKAIKDHFDDKVSNMVVLKKQIVEKKVTVTPSDLYPFSFSSSFPKVSPADRQPQ >OMP00584 pep supercontig:CCACVL1_1.0:contig06653:2058:2186:1 gene:CCACVL1_03329 transcript:OMP00584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVETVLGPENPVRNRPFNNAPTCSSIGGDLTMIRKLAPKVA >OMP00583 pep supercontig:CCACVL1_1.0:contig06653:1493:1651:1 gene:CCACVL1_03328 transcript:OMP00583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTSHPSPHHQRKRAKSKNMIGILHNPTTKQTVFVPCPCYCIESGLNLILI >OMO80667 pep supercontig:CCACVL1_1.0:contig10295:7897:8046:1 gene:CCACVL1_12827 transcript:OMO80667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALLDDCPALEELSMKRLCGIAKGVAVELRLGVAAASLNLGFLVIFGL >OMO89696 pep supercontig:CCACVL1_1.0:contig08649:4248:4310:1 gene:CCACVL1_07686 transcript:OMO89696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSSSIKSTPSKKHNPTRLLN >OMO93992 pep supercontig:CCACVL1_1.0:contig08021:95086:96882:1 gene:CCACVL1_06237 transcript:OMO93992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQREGTTRTVKIVNMIENQSYCTLMKPTTAPPRNRHVTPPRRSDETPFNT >OMO93986 pep supercontig:CCACVL1_1.0:contig08021:47790:48393:-1 gene:CCACVL1_06231 transcript:OMO93986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAKKLVGLATRAVNSNVVVDVCLVGSFSVLGIRSLNQQKDIEALEAQKESLTKTNKAMKKTIWDSKQQLYAEAAASDSPLVPLANLKAIYGEAPSPPTVDAAKQGSKSAASSIIIA >OMO93985 pep supercontig:CCACVL1_1.0:contig08021:32444:36673:1 gene:CCACVL1_06230 transcript:OMO93985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGCDRVELAVPEYGDDSDGGSGGTGCQATTRIKREADEEEDGWCHWPYIGASRFRVPPSMAPCFLPRCHCALGATMVQGAIAHATSYSNLKLTSLIEKELVTLNPRSSIGSSALQKSRPQSRASLLIPRAQGAVSEAPSPS >OMO93990 pep supercontig:CCACVL1_1.0:contig08021:83090:91142:-1 gene:CCACVL1_06235 transcript:OMO93990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDIDPKPLQSDKEKEQRQNHLSWIKHAGETTDVLIKGLLSKKICFNFLCQNPPNPPPALAATNNLRTRRILQFLAILSAIQSNPTYIATRNRKVKPFSIGDRVRFNWVSSNTKDSRNFFEKIDQTQKSLPTKQPPPTTNLLLSGATVSVTLLLLLPNPNPTEPTAVGSDCLSVTLARAQSTQEEHNREDEENVPAADDEDTDAQVAQALSRPDSQSEILVGSDFRSLSTPSSLCSIDLCCIRNPNDSTLLATFKLSKIRPP >OMO93991 pep supercontig:CCACVL1_1.0:contig08021:92752:92967:-1 gene:CCACVL1_06236 transcript:OMO93991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDLILSELIYPELKTTYRLTYSGTNFHICRSKVDFIIVENQVNYVLTEPKPTIDNPDDIVRHDKWAANQ >OMO93988 pep supercontig:CCACVL1_1.0:contig08021:77599:77730:-1 gene:CCACVL1_06233 transcript:OMO93988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGCRFGPTNQPKPPTSFKWFTDRRFRSVQTANCSALVETNQ >OMO93987 pep supercontig:CCACVL1_1.0:contig08021:48946:64638:1 gene:CCACVL1_06232 transcript:OMO93987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDKKALELISIGTKLGQLTRRNKDALVKSFRQAVTALSQIEQPSVALTQAEDMEKLKDATEPLRDFIVKHDLSNHTDKDVRLLVTICVSEFFRILAPKPPFEDKYLRDIFKLLLGMFMELADTTSTFFSKRVKVLETVARCKCCVIMLDIGCGDLILEMFNIFFSVVRDHHQQSLINDILSIMTHILILNENVSDQLVEVLLRNLIQENKGATYAASRLAASVIQSCEEKLHPFIRRFLASWSFDRDAIGCELKEFYHEIVLKICHYAPEMFNAFIPTLMQELMTDQVDVRLKAVNLIGKLLLQSESRIAQRYHALFLEFLKRFSDKSSEVRVAALQCAEACCLANPLGKRSHQELLAAVEDRLLDFNDKVRMQAVTIACDLARSNLNASSKLIYEVTERLRDKKLPVRKKALQKLMEVYREYCNQCAEGRITMRDDFEQIPCKVLMLCYDKDCKAFRSQNIELVMAEELFPVLLPVEERARHWIRLVSLFSPLHVKALSAILSQKRRFQTEMRKYLAIRKGKEKCPEDMQNKLKSSFVKMSASFSDPSKAEEWFHKLSQMKDNSIFTSLGLLLDEVTLKKAVAIRDEFLKGIGDKDPLFKFLQLLSSKCSFNIFDSEHVCCILNLISTNGLGNKNFEAFSIELLLVIISNFPMLMRSSELKFLLLFEEKYLIHDKIVQILSKAGPHISDKFSDFYPHLKKICLEGTRTQSKYAVSAIASLIDVSKQYVFSELCEGPLDGHANNLSVHVQELVDSLRRGQNIATVLQSLGCVAQYSVSTFEDHDREITQLVHQKIFQAKSLDDHSVVADSSGCTVSCKLKIYGLKMLVKSFLPHQGSQVNRQINPLLSILLKMLQKGDMFDNYISCAGDKPYIRLAAAKSLLRLSRRWDLHISPDIFRSTVLVAKDPLSFVRRSFLDKIHKLLKERAIPLRYACAFTLAASDCLRDLQRDEYNRDAQVRQKSMVKGSVDYPAYVVVFLIHVLAHDTGFPSEDCQDEAIYAQFCRPLLSFFHSTFSSSVVGGDSDLANDAVLYLNYIFRAIKRAKDAIDARITPANLEFLPQSFVKKVVDILMPRGSQPVGSVNKRGRENHEDGAEPGKQFDFSTFGALETNNESTRQEISLGHRQTCAVSPNTPGSVGFHDKDVTKQQEYSASNSYGTALEKGQFSSPSGSITEKPSQTESQVSTQKVERSNAMEGIVGTGKNLTAGASNGRKVKFSNPYSSKEMTNANEALIGQRIRVWSTFDSCFLSGTVDDFNPKINTHKITYDSGEVEKLCLDSGSWETISDCSLTEREVVLSDKSNTMHLRQCVKDSIDKSKDDASRQSKTNLDVDGKIPRKEVPLSGKRKKGRSLSKDSSSISEIVNIEDDAVARRTRRRKALKS >OMO93989 pep supercontig:CCACVL1_1.0:contig08021:81290:81550:-1 gene:CCACVL1_06234 transcript:OMO93989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESTEKKEPKVVIVNGTDQPERMSLHRRLVQSTLILHKSSIILPKVDQKDKEGEDNNNDDEDEEFCNSQCKKRGRKWKSKGTPSS >OMO80248 pep supercontig:CCACVL1_1.0:contig10338:3994:8716:1 gene:CCACVL1_13094 transcript:OMO80248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSRCFPYSIFSLQILFISHVVVILGNPLPLSSPAEMPFLDMYATCQGEEFKCGNVSLGYPFSGGDIPYGCGHPDLELSCDDGAAMLEIKGVRYKVLHVDNHTQTLRIARQDLEFDLCDPVLEDSVLDSTLFNVSLPGYVKATLLYDCQLPSGLFGGLTCKSEEPHHKNISFVRGEMPPGLRPCSASVTVPMLQTSLPATGALDIYYQSVLKSLNEGFAVQWIEDGPECHRCRETHGICGFNFMNQTTCYCRNQFGYFPECSQGFELKCEDDVARIEMGENTLRILDLNHQQQILKVAREDYWDDYCPSKLINNTIDFDHFDYGPSLRNLTLFYGCSSTSLFLFLTNCSIDGVMMDVSYAVSSVLGDIRPGVCHGSVIVPISETAAENLEANPLTMNDALKGGFDLKWQVNNDQCKNCVDSEGACGYNQTTNSFICFCRNQTSETTCPLITQAGVLINAMNGMDMAYKTLSLSLKMCSPVLPTKPWFFITVALTLILHAPKSVFGNDYSSCSKSFSCGNLEDIGYPFWGSDRPASCGFPGFGLTCRSDQVPEMTIGEVTYQVLEINNNTRNIRLARSDYSENICPQYLRNTTLISGLAYSSDTQDIKLYYKCPSIPSTSSVLPTQFNCSINGTDNIIGYFVTRSITDPLIGSDSLGTCNNSVTVPLSTSQVSTVEANPNSANLTQALRAGFDLQWSANASTNCEPCLNSGGQCGQDINSAQFRCYCTNGTFPNNCNGTPLSPAAGTIPFLF >OMO80249 pep supercontig:CCACVL1_1.0:contig10338:12087:16208:1 gene:CCACVL1_13095 transcript:OMO80249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSRSNLYNNPSPCMPNFTNTTLNSTFFTQTPDNQNVTFFYGCYALNSSSYEPPNLFTCNNSGAYYVVGPVPVDPVFNVINCGVSVVVPMLKSAADELVRNRTLLGQVLMEGFNVNYSIPYGDECSECLDSGGECGWFSGRPVCICGDRICDSTEKEVEQFLALSLGLAIAGAVLAGIGIGMLILRLRQRKKKIADQTQSRDLPTPPSSKGAPPSSTTNLSQSTPSYSTSRSYHHDIEKGSTYFGAHVFSYEELEEATECFNPSKELGEGGFGTVYYGVLRDGRVVAVKRLYESNFKRVEQYMNEIEILTRIRHPNLVTLYGCTSRRSRELLLVYEYIPNGTVADHLHGKMSNSGLLPWPVRLNIAVESARALAYLHASDIIHRDVKTNNILLDKNFHLTEKSDVYSFGVVLIELISSKQAVDTNRHRYDINLANMAISRIQNHALHELIDPSLGFESDYAVKTTMTAVSALAFRCLQQDREMRPSMEEVLQALEAIQNKELGAEKAEVVDIITSEAAEVVDIRSDDVGLLKHIPPPLSPDSVNEKWVSSSTIDTTPHRVNEKWCAVAGSPGRSPTTSPVVHLRRRKTLRMLLNRSSTTERRRFYRRHDDNSFDPPPQPPPPPPPTVPSATSTAENKKNSNGKKSRRKLKELFVASPPFEERESNNNNKSCAEETVDLLPGTASSGDGFSSRGSGSLRPITASFRYRLLRRAWRPMLVTIPE >OMP07430 pep supercontig:CCACVL1_1.0:contig04447:205:390:-1 gene:CCACVL1_01303 transcript:OMP07430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYSEQLPCERAYGNDFNQSTGSDSSNQQNKEYNN >OMO80445 pep supercontig:CCACVL1_1.0:contig10321:4856:18051:-1 gene:CCACVL1_12950 transcript:OMO80445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEINPMDLLRSNLSRVRIPEPTNRIYKQECCVSFDSPRSEGGLFVDMNTFLAFGKDYVVWNYEKTANPVYLHIKQTKKLVPEDRPSKKPTLLAIGVDGGFDNNEPEYEETYNIVILPNYVALPFPNVELPEKVRLAVDAILMAEGAERKEQVAAWTADKKLISAYAVDLRQIGNAVIPPSGWKCSKCDKTENLWLNLTDGLILCGRRNWDGTGGNNHAIEHYKETGYPLAVKLGTITADLEAADVFSYPEDDSVIDPHLAEHLAYFGIDFSSLQKTEMTTAERELDQNTNFDWNRIQESGQEVEPICGPGYTGLVNLGNSCYMAATMQVVFSTKSFCRRYYMDQSLKLAFETAPADPTVDLNMQLTKLAHGMLSGKYSVPASEKQEGIPPRMFKAVIAASHPEFSTMRQQDALEFFLHFLDQVERSNAVKPELDPTKSFKFGVEEQELEAFHKNKAEKVSEGKDVPSDEIVRPRVPLQACLANFAAPEEIPEFYSTALNAKTTAIKTAGLTSFPDYLVLHMRKFVMEAGWVPKKLDVYIDVPDIIDISHMRSKGLQPGEELLPESGPGGEAESSQPVANEEIVAQLVSMGFNQLHCQKAAINTFNAGVEEAMNWLLSHMDDADIDAPISHGAQSAEVAVDQSKVDTLISFGFQEDVARMALKASGGDIEKATDWIFNNPNASASSDMDTTTSSSSSVPAPVDAGLPDGGGRYRLFGIVSHMGTSTQCGHYVAHILKDGRWVIFNDNKISPVMENGFDFHHEVEEPPSKGVKSVLSSPEVNMMMGSDSEGMDDVVDSSCRKHKFGRRKFSRYVKAVLFETSLVKKMRNKKSEHNGKAKSKKNPKLPKPNPRPMKLLYLKPRTLLPFTIFSPKLSSSSLRKPSVLSFFSSIETQTKTQAFCYGPSLHKGKIPPETPFQTQNQSTHQSEEEDNLIDEHKFTRIFHLAALRIPSNVCFALENRLRGHLLNWPRIKNIARVPGDEIEEEMMTLLDKRKEDEEDNAGTEGEHSDKGLEELPQAIKAVLLEETREDGSSTIELVRCKLTLFYDYWPMNEVLEALLPDGMIIPSAFETVGHIAHLNLKDEHLPYKNVIAKVVLDKNKPQIKTVVNKIDAIHNEYRTMQLEVLAGNRSLVTTVVENGLRFHVDLATVYWNSRLGTERQRLLSGFNRNDVICDVFSGVGPIAISAAKIVKRVYANDLNPFAVEYLERNCVLNKLERRVKVFNMDGRRFINAMFSSEKARSITHVVMNLPNDAAEFLDAFRGVYRGQPKDEELNYPMIHVYGFSKARDPEFDFHERIRIALQEVAVNVDMHRVRLVAPGKWMLCASFILPESVAFAESTLDM >OMO80449 pep supercontig:CCACVL1_1.0:contig10321:40306:40587:1 gene:CCACVL1_12954 transcript:OMO80449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSARAWVAAASIGAVEALKDQGFCRWNYALRSIQQHAKNNIRSYNSQAKKLSVPSSSALSRKLGDNEIEKLKKSEESLSLLLKKTYTTPFR >OMO80447 pep supercontig:CCACVL1_1.0:contig10321:19626:21571:1 gene:CCACVL1_12952 transcript:OMO80447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFVDSLGAEFRRMWLRIVHHTLEKAGPAFIKWGQWAATRPDLFPGDLCAVLAELHTKAPSHSFSFTKKSIENAFRRKLSEIFSDFEEEPLASGSVAQVHRATLKSRYPGQQTEPIVVAVKVRHPGVGEAIRRDFLIINSVAKISKFIPTLKWLRLEESLQQFAVYMMTQVDLAREAAHLSRFIYNFRRWKDVSFPKPLYPLVHPAVLVETFEHGESVLRYVDEVEGHERIKSALAHIGTHALLKMLLVDNFVHADLHPGNILVRIKDRRSSHTQLFSSKPHVILLDVGMTAELSKTDRINLLEFFKAVALRDGRSAAECTLRLSRRQLCPNPKAFIQDVENSFNFWDTPDGELLHPGECIQQLLEHVRRHKVNIDGNVCTVIVTTLVLEGWQRKLDPQLDVMQTLKTLLFKVDWAESLFYTIEGLMAP >OMO80448 pep supercontig:CCACVL1_1.0:contig10321:36460:39599:1 gene:CCACVL1_12953 transcript:OMO80448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIVKFDNILHDYYIPNYILAPGSEAKNPPWVPSCPILVFINSKSGGQLGGDLLRTYRSVLNENQVYDLFETKPDKVLHQIYATLGSLKQHGDDFASAILDSLRIIVAGGDGTAGWLLGVISDLKLPQPPPVATVPLGTGNNLPFSFGWGKKNPGTDRQSVISFLKQVKAAKEMKIDSWHIIMRMMAPEEGSCDPIAPLELPHSLHAVHRVSQSDKMNMVGYHTYRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFRNQLTNQSTYLKLGCTQGWHCSNLFRPTSLNISQLVKVRVMKKPGQWEDLTIPRSIKSIVCLNLPSFSGGFNLWGQPYRKKFLDKGFTPLFVDDGLIEIIGFRNVLHGLVLLAPKGHGTRLAQANAVRFEFKKGAADHTFMRMDGEPWKQPLPADDDTVVVDISHFGQVSMLATPLCRSRSVTDPSSPATSQDDDLDSTDEGESPDDLEEKRKFGAADTFKLSDEFDISQLS >OMO80446 pep supercontig:CCACVL1_1.0:contig10321:18583:18648:1 gene:CCACVL1_12951 transcript:OMO80446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNSTVTTMRRSSTANHRGHG >OMP05942 pep supercontig:CCACVL1_1.0:contig05121:5378:6822:1 gene:CCACVL1_01782 transcript:OMP05942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MRRRDTKGMGRKNEPEIEAIATALFHMKSITAATIINIFHGTSNLASLLGAFLSDTFFGRCKTLAFASIASFL >OMO60701 pep supercontig:CCACVL1_1.0:contig13680:41557:47767:1 gene:CCACVL1_23942 transcript:OMO60701 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein MAIDCLVLGAGQEVGKSCVVASIHGKRIMFDCGMHMGYTDSRRYPDFSRISNTGDFDNALTCVVITHFHLDHIGALPYFTEVCGYRGPVYMTYPTKALAPLMLEDYRKNMDRRGEDEQFTSDHITECMKKVIPVDLKQTVQVDKDLQIRAYYAGHVLGAAMFYAKVGDAAMVYTGDYNMTPDRHLGAAQIDRLQLDLLITESTYATTIRDSRYGREREFLKAVHNCVAAGGKVLIPTFALGRAQELCILLEDYWERMNLKVPIYFSSGLTIQANMYYKMLISWTSQKIKEAYAMHNAFDFKNVQNFDRSLINAPGPCVLFATPGMISGGFSLEVFMQWAPSEMNLVTLPGYCVAGTIGHKLMSGKPTKIDLDKDTQIDVRCQIHQLSFSPHTDAKGIMDLVKFLSPKHAILVHGEKPKMATLKERIQSELGIQCYCPANNETVTIPSTHYVKADASDAFIRSCLNPNFKFSKS >OMO60698 pep supercontig:CCACVL1_1.0:contig13680:9016:17117:-1 gene:CCACVL1_23939 transcript:OMO60698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMESLIGLINKIQRACTVLGDHGGEGMSLWEALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTESGSEYAEFLHAPKKRFSDFAAVRKEIADETDRITGKTKQISNIPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQPDSIVEDIENMVRSYVEKPNCIILAISPANQDIATSDAIKLAREVDPSGERTFGVLTKLDLMDKGTNAQDVLEGRAYRLQHPWVGIVNRSQADINKNVDMIVARRKEREYFETSPDYGHLASRMGSEYLAKLLSQHLELVIRQRIPSIIALINKNIDELNAELDRIGRPIAADGGAQLYMILELCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDRHLSLKNVQKVVTEADGYQPHLIAPEQGYRRLIDGSISYFKGPAEASVDAVHFVLKELVRKSIAETLELKRFPTLSNDIATAANEALERFREESRKTVIRLVEMESSYLTVDFFRKLHTEPEKKPEKNANPSGPNNDRLGDNYLRRIGSNVNAYINMVCDTLKNTIPKAVVYCQVREAKRSLLSNFYAQIGRREKERLSAMLDEDPQLMERRTQIAKRLELYKSARDDIDAVSWK >OMO60699 pep supercontig:CCACVL1_1.0:contig13680:18088:23205:-1 gene:CCACVL1_23940 transcript:OMO60699 gene_biotype:protein_coding transcript_biotype:protein_coding description:Myosin II heavy chain-like protein MAEIAGEAAEVGSNARSESPVRMTSTTAGVPPPLTVSASFKESGGKGSSRRRAVRPSFDADNEFITLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIRALRMSERLREKAVEELTDELSKMEEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQIALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAIAERTAKSEAQLKDKYQLRLKVLEESLRGPSNSISRSTPEGRSMSNGPSRRQSLGGADNFSKLTSNGFLSKRSPSSQLRSSLSSSTVLKHAKGTSKSFDGGTRSLDRAKVLLNGAGTNNSFNQPSDGTKEGEAPNNEKPNDFQPVDTEDNVPAVLYDLLQKEVIALRKAGNEKDQSLKDKDDAIEVDICVLLMYYNYSASLWTNSLLILVLFQMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVEKEHENRAKRFGNSKGSAAQLLTGS >OMO60700 pep supercontig:CCACVL1_1.0:contig13680:34507:40486:1 gene:CCACVL1_23941 transcript:OMO60700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEIEKSSAHTVMRASNYGINEGFLASDSNIESLLEFCSHLKKNSKFPDRFVFNELLHKLTASNCGTLSLKLLPFFLSKGVGDLELGFKVFRDMKKDRVLLNSIVFTCLINGCFKVGDFEAAFELYREMERAKLALNVVSYTALIDGLCKKGMLERAECLFLRMLEDKVEPNVVVYTSIIDGHFKKRNIRNALKYLGKMYVRGIKLDMKAYGLAEVHATVLF >OMO95045 pep supercontig:CCACVL1_1.0:contig07745:15003:15347:1 gene:CCACVL1_05619 transcript:OMO95045 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative serine protease MTNPWTCTLLMISSNPTRSLDPTEPVHHVVPDSIPFVASSTVPATVLLRIPSLFKLRMQRTICLLTMERRSEFFSSSRSGLLDRDWNDDLYFQLPVGSLDLKLAIAFAILEKKI >OMO95044 pep supercontig:CCACVL1_1.0:contig07745:2267:5475:-1 gene:CCACVL1_05618 transcript:OMO95044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKTIEEKRWGEDEISLVRSEKKMEKDEGLMTMECLRGRLLAERQASNSAKEDAELMGNE >OMO94090 pep supercontig:CCACVL1_1.0:contig07979:229:306:1 gene:CCACVL1_06176 transcript:OMO94090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFGELYEQQRINAPTREFQEGKSKE >OMO77094 pep supercontig:CCACVL1_1.0:contig10824:28538:30245:-1 gene:CCACVL1_15205 transcript:OMO77094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLANYASSDEEEEQEEQQPRQPPPPPSSLFSSLPQPKSSSLFSSLPQPKQPPRNLNIPIANPIRDEQDSEIPKPCISHTKNPSNLFSSLPQPKSQAPPHPPNPPNVKRIVQFKPPLNPKNYDDEEDDDDDDDEEKERRKRRESETLAQGPSVTSFLSSIPAPRNSTTLGAAPSSGSGRRSIIETQVAPAASESNNEPISDQNAPIYESGVAQHSPNYISYGNYESSSHQNAGNYESGFDQNVGNYSSYQSSVDPKIDAATSYESYDNYHGQYENNWVDGSTIPETRATAMADLGVKVKGKRGRNELPTEIVEVKQDELMKNRPREDQVKMTGIAFGPSYQPASTKGKPSKLHKRKHQIGSLLFDMKQKQMELQERRSRGLLTKSQTQAKYGW >OMO77093 pep supercontig:CCACVL1_1.0:contig10824:11283:27920:1 gene:CCACVL1_15204 transcript:OMO77093 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase, ATP-dependent MTEEVKFSVVVSLFTWIQKSKTSAKKRSKFRKFLDTFCKPSDYFSAMRLILPSLDRERGTYGFKESVLAICLIDALGMSRDSPDALRLINWRKGGANTGANAGNFALVATEVLQRRQGTASGGLTVKELNDLLDRLASAENRAEKTAILSTLINNTNAQEMKWIIMIILKDLKLGTSEKSIFHEFHPDAEDLFNVTCDLKLVCEKLRDRSQRHKRQDIEVGKAVRPQLAMRVRDSAAAWKKLHGKEVVVECKFDGDRIQIHKNGAEIHYYSRNFLNHSEYEHGMSNIITQNILVDRCILDGEMLVWDTTLSRFAEFGSNQEIAKAAREGLDSDRQVLILTLTCYGVDVAFDILYVGDTSVIHQSLKERHELLQKIVKPLKGRLEILVPNGGLNAHRPPGEPCWSRLAYSVDDVERFFKETIENRDEGIVLKDLGSRWEPSDRSGKWLKLKPDYVRPASDLDVLIIGGYYGSGRRGGEVAQFLVGLADRPDPNAYPRRFVSFCRVGTGLSDHDLETVVKKLKPYFRKYEYPKKTQPSFYQVTNNSKERPDVWIESPEKSIILSITSDIRTIRSEVFAAPYSLRFPRIDRVRYDKPWHECLDVQSFVELVHSSNGTTQKETEQGDLNGKTRRKEHSRKTAKKNVSVVPSHFVQTDTSSVKGETLIFSNLMFYFVNVPPSHSLDSFHKMVVEHGGSFSMNLNNSVTHCVAAESKGIKYQAAKLHGEIIHYSWVLDCCSQKKLIPLQPKYFLFLSESSKKKLQQEVDDFSDPYYWDLDLADIKQLLNNIQRSENPNTIDYYRKKYCPKDRWSHFHGCSVYFYSSAQSLKADWQVLLTLALRRLKLEVLMGGGKISEDLKHATHLVVLSVPGFDVDFDSLIKSIPSAEKHLLWKKGFHVVASQWLEDCFERGEKLQEVLYSLKLGEVEDTNFLECNIDQNLNEDIPAINSVENQVMSASPESKIENGNSKVQLEVPMSSVATNYGNRKRRRAAGKNTQKGKTVVSKVRRVPRRRGKMSVKIKDDELEESDSDEKMNEEEIEKCEGNSGHYGMVREKSEMLQNKVEDLQFSCMAKLVELEAAENVKKWSDKAPEDEMRELNNDQGSQKAEKLDHMEVDEGNHGLESGKSEKLEVMVDPVQAMLLNMIPSLGVKHVETKESGVENEKPVADESGKVTVVEDDNVGADIITQPVKKKKVSYKDVAGELLKDW >OMO77095 pep supercontig:CCACVL1_1.0:contig10824:45255:45314:1 gene:CCACVL1_15206 transcript:OMO77095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKNLVKQRAASKGIVA >OMO77096 pep supercontig:CCACVL1_1.0:contig10824:59674:61108:1 gene:CCACVL1_15207 transcript:OMO77096 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MAGQNTSLVTDYVLKILGLNICSDIMVGDEMRRGISGGQKKRVTTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMDAADVDNSGTIDYGEFIAATVHLNKLECGQHLVASFRYFDKDGSGYITVDELQQAYY >OMP06753 pep supercontig:CCACVL1_1.0:contig04810:327:869:-1 gene:CCACVL1_01444 transcript:OMP06753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALTGKAIEGDVLTAVEVIPKSEIQQSIWSKYKKDVRYQWFFTPGTGDSKSFEPLPSQRSCSFKVRFEDIGRCLKCECIVTDVFGRASEPAYAETAPVLP >OMO87207 pep supercontig:CCACVL1_1.0:contig09282:19427:22576:1 gene:CCACVL1_09200 transcript:OMO87207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLINPLLSYSKFVIFSPQNPQLSRQNLFFPLTRFISTSSPDNLDGLNDPDDPFSIQNNLWAEPVSAQDFAFLGADSEAEPSQQKLDAGKFSNDAVSIANAILTDNGEFGNKIQSFLRQFRDKLKENLVVEVLNLVKSKPELGVKFFIWAGRQIGYSHTAPVFDSLLHLLESGCSDRIPEKFLCEIRNDDKEVLKKLLNLLIRKYCKNGLWNMALEELGRLKDFGYKPSRATYCALIQVFLQAGRLDTAHLVHREMSDAGFHMDSYTVRCYAYSLCRAGQWREALTLIENEEFRPDTVVYTKMISGLCEASLFEEAMDFLSRMRANSCIPNVVTYRVLLYGCLNKKQLGRCKRILNMMITEGCYPSPNIFNSLVHAYCKSKDYSYAYKLLKKMAKCGCQPGYVVYNILIGGICGNEELPSSDVLELAESAYSEMLAAGVVLNKINVSNLARCLCSIGKFEKACNIIHEMMSKGFIPDTSTYSKVIAHLCNASKVEKAFLLFEEMKKKGIVPDVYTYTILIDSFCKAGLIEQAHNWFNEMVACGCAPNVVTYTALIHAYLKARKVSKADELFEIMLSQGCIPNVVTYTALIDGHCKAGQVEKACQIYARMSSNEEMQDIDLYFKVADSDAKAPNVFTYGALVDGLCKAHKVKEARNLLEAMSSVGCKPNHIVYDALIDGFCKVGKLDEAQEVFSKMSEHGYSPNIYTYSSLIDRLFKDKRLDLALKVLSKMLENSCAPNVVIYTEMIDGLCKAGKTDEAYKLMLMMEEKGCHPNVVTYTAMIDGFGKAGRIDKSLELLEQMGSKGCAPNFITYGVLINQCCAAGLLDEAYELLEEMKQTYWPRHMAGYHKVIEGFNREFIVSLGLLDEIGKSESLPVIPVYRVLIDNFIKAGRLEVALQLHYEIASFSPISAAYKSTCNALIESLSLAHKVNKAFELYADMVRMGGVPELSTFIHLIKGLITVNKWEEALQLSDTLCQMVLMFAKSSTGQDIQWLQEKETSDAG >OMO87208 pep supercontig:CCACVL1_1.0:contig09282:24543:28992:-1 gene:CCACVL1_09201 transcript:OMO87208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycyl-tRNA synthetase / glycine--tRNA ligase MRIFFSFISSSVSFGRNCCSSRLTSRHLHTLSTKSQRSKLATVFNWQSPPMAAAEDSLRKALAEKQSAVEAQGNAVRALKAAKAAKSEIDAAIEALNSLKLEKSTIEKQLQAAVSGADGDGALSREAFRQAVVNTLERRLFYIPSFKIYRGVAGLYDYGPPGCAVKSNVLGFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCNEKLQKDLSISADKAAELKHVLATLDDLSAEELGAKIKEYGITAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKYSEVANLEFLMFPRDEQMSGQSAKRICLGDAVSKGIVNNETLGYFIGRVYLFLTRLGIDKERLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGVPLVAAEKFSEPREVEKLVIAPVKKELGLAFKGNQKNVVEALEAMNEKEALEMKAALESKGEVEFFVCTLDKNVVIKKNMVSISKEKKKEHQRVFTPSVIEPSFGIGRIIYCLFEHSFYTRPSKAGDEQLNVFRFPPLVAPIKCTVFPLVQNQQYEDIAKVISKSLTAAGISHKIDITGTSIGKRYARTDELGVPFAITVDSTKDVTIRERDSKLQIRVDVEEAASVIKSVTDGRRTWEDVWANFPHHTSGSSDD >OMO85052 pep supercontig:CCACVL1_1.0:contig09670:7786:10032:-1 gene:CCACVL1_10453 transcript:OMO85052 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MASSTSFMNMQNNNNMNTSSWGLSDDKFGIEMEIPKFKSLAPSSLPFSPSSFLTTPPSAAAAPPFSPTDFLDSPVFFSTSSSILQSPTTGAFSGQTLNWKSNSNSKDNQQGNNKAELNNNNNNFFDFSFQPNPRPSSSAIQSSSNIVSVEQSTWNFSEPRKQVETTAGVKSEFAPMNNFSSEMAPNMQVSSSAGYNQYNQNQSSSQYMRENRKVDDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRRSSSSHSAACTNSELSDQSGNDQTDSFLMQDDNTSGSIGEDDFDHASPISNQNGADDNENEPDAKRWKGENENEGIIGSGSRTVREPRIVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTIGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSGYAMNRPATANNSNASMPIRPSAVPSQPNNNTSYPNSLQTRLPTSASQVPATLEILHNNGSFGFSGFGRPIGSYMSQAQFSEGAFARAKDEPRDDSFLDGFLS >OMO85051 pep supercontig:CCACVL1_1.0:contig09670:6181:7042:1 gene:CCACVL1_10452 transcript:OMO85051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKADSSAAARLLQNQGYFGGILVDIINIGGDSID >OMO49566 pep supercontig:CCACVL1_1.0:contig16469:2238:8840:-1 gene:CCACVL1_30924 transcript:OMO49566 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MVYFTFIWHKLSLDPDAPRAYHVLEEHIGAKEDHGDVIDASLEEHGHGSTSDRPLLGEVAQHRPHDRPSHTTSHGASGHNRPSLGAPTGHNRTSIGPSGQDRPFDPLAIPQGPMTRARAKRFKEALLGFVTNPIGFKWYKIGDKWSREERSALGVALVRPIEASIMEGEQEQPNVAAMLQTLIQRLDNMDTKFDILTNEVQQVQIATLEFTENALNWWNQLVQTRRRNLERPINTWLGLKSYMRKRFVPSFYTNGLYQELQSLRHGTRSVDEYYSEMMLLMSRAEVDEAPQATIARFMVGLNREIHDIVEMQQHYDVEELLQHALKAESQVKRNKKSFSSSSSSWKTPIKKDDKSSKEKELVAQKCTTPKSNSKSSSSSSSKNYVKCFKCQGFGHFARDCVNKKVMLTNDNGEIVIEDEYIALGSSGDGDDEVEVQTEDDDSDGSTPALLNLVARRTLSAYVKGDVQNQRENLFHTRMYANGKPSSVIIDGGSCTNIASVYLVKELALSTTKHPKPYSLGLFNDREEIKVNKQVLVSLSLGRYNGEVLCDVLPMQACHVLLCRPWQYDNKVHHDGETNKYSFMSGKRPITLIPLSPQEALKDQLKLKEEFAKMESDFRTKEKNKHAKLDVNCDLVEKHASSKKIVKECMLATKSEIKEALNDNSVLILLLLKNTLVATNDLERELPSNIVSLLSDYVDVFPEEIPSGLPPIRGIEHQIDFVPGAQIPNKPAYRTNPEETKELEKQVGELLQKGFVRESLSPCAVPVLLVPKKDGTWRMCVDCRAINNITVKYRHPIPRLDDMLDELHGACLFSKIDLKSGYHQIRMKEGDEWKTAFKTKLGLYEWLVMPFGLTNAPSTFMRLMNHVLRTFIGKFVVVYFDDILVYSRNLDEHVRHLRCVLDVLRVEKLYANLKKCTFCTNKLVFLGFVVSSQGIEVDEEKIKAIKDWPTPTNVGQVRYFHGLAGFYRRFVKDFSTLAAPITSVMKNNAPFNWGDEQQEAFETLKDKLTNAPLLVLPNFNNTFEIECDASGVGIGAVLMQGGKLVAYFSEKLNGAALNYPTYDKELYALVRALQGCKEVIFEPGDWVWLHLRKERFPEKRKSKLLPRGDGPFQVLERINNNAYKLDLPSEYGNVKEHIGAKEDHGDVIDASLEEHGHGSTSDRPLLGEAAQHRPHDRPSHATSHGASGHNWPSLGAPTGDNRTSIGPSGQDRPFDPLAIPQDPMTRARAKRFKEALLGFVRSHLGGLESIEEHLEGIEVDITKNIPIDSKLFTLLEIDEH >OMO83363 pep supercontig:CCACVL1_1.0:contig09892:49:117:-1 gene:CCACVL1_11414 transcript:OMO83363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VCATMRGLTKAKHVYTFRSCDR >OMO51172 pep supercontig:CCACVL1_1.0:contig15946:16374:19340:1 gene:CCACVL1_29958 transcript:OMO51172 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrator complex subunit 3 MASKLIRIASYEAQSQLELSLRQAFDLLESKLRPPFSLAIPDPQQYTQLNRAILYGVLIESHFAKIHIKHLHAIVTDGYKLFLDLLVATVNELYGKLVDSVKDQLIWVTKEMIDVSAVGIDALLVSLLRQIAGGDFSDGNLWLSFELVNLCLSKWDCLLEEQPLVLTSALYTFLRLLADYCRLSNDPKLEMLRQLEVRFCVKMLREQFHLCLKIGRDLVRLLQDLVHVPELRTVWKDLVLNPSEFGTAGFSDISQLYCTRTSSRYFLLRITPEMETQLRFLLTHVMLGSQKRYQTWFAKKFLARPERESLVVDIVRFICCAHHPSNEIIQSSIIPRWAVIGWLLKCCRKNYNEANAKLALFYDWLFFDEKVDNIMNIEPAMLLMVCSLPKYIDLTHSLLEFLLLLVDNYDVNHKNFIVGGVSSAFNTLVQKGVVQSLDVLTHFGALSPSIRARLQNLLLTSQAKVPRDLNPVDPGHSMPSSSLPDVSCVGTPTPSPKEQLTRKIEDGLSRRAVVDSLLVFDNLVNTSSLCVVAGDNEDATKSLVENIGEIIKESYARGLQTLEAILMSLLNHSYQRPKCNLICSADLLSKIAKGFGSNGYQLFTSLGSLPGNIDCDDEIGSASALITRTFIFSRNERIQEMLLLWARNGFPVGARLLSYASRLAYEAYAAGCLENAVDVAKVSGSGMPLLVYHLDGYFTFQNSSKGDSSEAALSVSEMDEKLIASLVDCAFDAYRCFLYSSKSILHKEADTFPSKLLFSDLKYCSSWKTIKAKNLFCSIFRYLSDLSINEEDIIRLLIGKLDYADLTEMQLEIGLKKFSLFGDNVKPIFHLIKNSLNWDNVEQHKLWGLVRSELSVSQVQVEKIILEIFSSGEIDANHSAIAVGGLLTLCSSCAPTPELVGTIMLLPNNMFQDFAAAALATWAMSNTSMLFDSLKEFAEKLKDKNKDSTFLNSTEIMMNQSAIVWLLNYFNAQGMDVCNIFSNFCPNI >OMO51171 pep supercontig:CCACVL1_1.0:contig15946:5591:15426:1 gene:CCACVL1_29957 transcript:OMO51171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE-type MASDLSRTGPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNERSLDLICKDKDEAEVWFSGLKALISRSHQRKWRTESRSDGIPSEANSPRTYTRRSSPLHSPFGSNDSLQKDSGDNLRLHSPYESPPKNGLDKAFSDVILYAVPPKGFFPPDSASASVHSLSSGGSDSVHGHMKTMAMDAFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVLGGGLHKVSSSGIKMDSLLPKALESAVVLDVQNIACGGRHAALVTKQGEVFSWGEESGGRLGHGVDSDVLHPKLIDALSNTNIELVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNQVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRNSVSIPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVAALVEPNFCQVACGHSLTVALTTSGHVYTMGSPVYGQLGNPQADGKVPTRVEGKLSKSFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDVDDRNSPTLVEALKDKQVKSIACGTNFTSAICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHACSSKKCLKASMAPNPNKPYRVCDNCFSKLRKAVETDASSQSSVSRRGSINHATSEFGDKDDKLDSRSRAQLARFSSMESLKQGENRSKRNKKLEFNSSRVSPVPNGGSQWGALNISKSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKRTNDSLSQEIVRLRAQVENLTRKAQLQEIELERTTKQLKEAIAIAEEETAKCKAAKEVIKSLTAQLKDMAERLPVGAARNIKSPSFTSFGSSPASNDVSIVSIERLNGQIVCQEPDSNASSGQLLSNGSNTASTRSSGHNKQGHTETATKSGGRTKEGESRNENEWVEQDEPGVYITLTSLPGGAKDLKRVRFSRKRFSEKQAEQWWAENRARVYEQYNVRMIDKSSVGVGSEDLAH >OMO51173 pep supercontig:CCACVL1_1.0:contig15946:21634:24094:-1 gene:CCACVL1_29959 transcript:OMO51173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidoglycan-binding Lysin subgroup MGISLQSNFVIIYTQMLILILSVNGQQQYLNNQQLNCDESNVSVSKGYYCDGIQRSCASFLTFRSRPPHYDSPETIATLLGSDATKIASINNVSTTTNYKFDADKIVIVPITCSCWGSLYQHVAPYKVNAGDSYFIIANNTYQGLTTCQALDGQNFYNSESLQVGAQISVPLRCACPSQNQMANDHVAFLLSYIVTWGDSVSSIGQVFGAHVQTIVAANNLTEDDLIFPFVPLLIPMKSESCLENPANLLCSCPNGQYAYVLEDGRICASQDKNNEAFPLKLVTILGVGL >OMO71317 pep supercontig:CCACVL1_1.0:contig11669:38592:39269:1 gene:CCACVL1_18294 transcript:OMO71317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWRMTFYVILWTARNVVVFNGSNLEVQQIIDIVGFKVAYWCKAKWTNGAISIDDFIRVSECIQIDSVGGKKRPHLDWFTPNNGQLKFNVDGTTKWQPGEAGIGGILRDESGSTKVVFSKPIGLADSNLAELLAIKEAFLIFAASNWADEKELIVESDLKIALKWVNDPCLGPWRFRQILFQIEGYKKKIIRWFVKHIFKEINSIADCLAKSSIDRGSPITCSFD >OMO71316 pep supercontig:CCACVL1_1.0:contig11669:14384:21185:-1 gene:CCACVL1_18293 transcript:OMO71316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGDATEEMEEIVKGRTDRREYKKIVLRNSLQSAACMSVGVGFFSDPDGLEGLAHFLMRLLPYASGKYPSEASYQKYITEQGGYTNSTVDFDFSDYHFGIKNDCFEEALDR >OMO89896 pep supercontig:CCACVL1_1.0:contig08585:57223:60304:-1 gene:CCACVL1_07575 transcript:OMO89896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKSGFERVRQLTVDVEKVLASLEKELAPVLDKRLKSYGDEEPYFQFDFGHRPTTFAPTFGVSHHNPKSADSFNQIWSSLARATPKPKVVNVDAFGAKADEGTILRQISASNQHGLKSGSCKSSLQVKHIASCKNNLDGFHFTLFQALPLRSFCQVDSEQVGFIHQKKVLRGFDEEIRDFVGEQMALRGIEFHTEETPQAIVKAADGSLSLKTNSEIWTNKSAKVIEEIGNYSFSCQWINISNLCSASTSVNELGFLSRHSKREDIFPLVIFAEAGLPSFSASEVLNQPPLAMSSHAQITQAVLKRNNEEHFQVQVIKQILRIEGIRYELREIYGIENSIVYLDILLYYLNVVQCLYIYIYIYIYFNLNDLNNTN >OMO69929 pep supercontig:CCACVL1_1.0:contig11934:19707:19826:-1 gene:CCACVL1_19198 transcript:OMO69929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGARMRLEPCWRLGRNSALMPRVQHHEGWSPEAQRPGE >OMO69930 pep supercontig:CCACVL1_1.0:contig11934:21049:21129:1 gene:CCACVL1_19199 transcript:OMO69930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGHHRPKPNLALKITPLPEAKFGTM >OMO69928 pep supercontig:CCACVL1_1.0:contig11934:8776:14629:1 gene:CCACVL1_19197 transcript:OMO69928 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MQRNRVNWLRDRDRNTKYFHAQANGRRKKNTIIGIEGEDGRWTTDLDEIQAIATSYFQKLFNSTEPKHFNDVLEKIQHRVTTEMNASLLSEFTAEEIHTALNQMHPTKAPGPDDVNQTHIALIPKVKEPKNMMQFRPISLCNVMYKIISKVLVNRLKVFLPNCISENQSAFVPGRLITDNILVAYELLHTLRSNQKGKQGFFALKLDMSKAYDRVEWDFLEAVMLRLGFDGRWVNMIMSCVCSVSFSVVVNGEVTDGFSPGRGLRQGDPLSSYLFLFCTEALFAMLSDCQNGVAASRNGPRVNHLFFADDSLLFGKANLAESKKVKDILTRYEECSGQKINFDKSAIIFGSNVDQSRRDELLNLFGVIEQDCIEKYLGLPAMVGRNRRQAFGALKDRISKKLQSWNIRMFSQGGREIMIKAVLQAIPTYTMNVFSLPKSLCTEINNMLSRFWWKQNGDKRPLYWSFESLIFSENKFLGSKAWLESLIHLAQYFECTTPAKIWSSVESGLQIADRMVWHYDNKGFYSVRSGYRVLCNLQGVYDDLDPLAIAHDKEVYQTVWKANVPPKVKIFGWRMTQDILPVADNLYYRGMDVDRTCFRCKLDNESGPHAILECEYADAVWRIVREKYMNHDAGDDLRSAGSFFGETKWRDIALLTSWAVWNDENANFHDGLRRDPQHTVEFIGSYIIEFQRCQEAVTSLPKHIVPVRWKAPPIGVVKVNFDASFQAATSLGGFGAVGRDGSGEVLGSIAGRLEQVADAFAAEAKAAVKAIIWAREMGFTDIIVEGDALIIIKKVNSTLEDLSPIGIYTEELKFYRSMFNSCSFHHVGRDGNGVAHALATYGISLTEDLVWMEEVPELILDVLKNDYTGNDLVLNPSTPCARLPYGETFFNRPTGRCSNGLLMIDFLAMSAKFPLLEAYLNKDGSFDRGVNFAVTGATALPMGDPGINSLSEQLEWMFSYFNRTCGLDKDCLERNGRALFLVGEIGGNDYNYAFWDDRKTVEEVKAMVPNVVQAIRDAVKRVIGFGATRIIVPGNFPIGCFPLYLGQFRTNDTGAYDEHHCLKYLNDFSIYHNDYLQQAIEELKQEHPNVIIVYGNYYDSFLWLFSKANMLGFDPKSLQEGCCGIGGATACSIGGATVCSNPDERVSWDGVHLTQRAYELMASWLIRDIYPKLQCKQFDSYSSSI >OMO77659 pep supercontig:CCACVL1_1.0:contig10721:131419:132972:1 gene:CCACVL1_14916 transcript:OMO77659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAEVRELYEIQYSDLILLSSSSSSSSCSYHQEKMIMENLGPTGPGLLAIKNVPDASLLRRKLLPLARKLALLSPDDRKRILREHNLGSDVPLKNPDRNVSSFAMQLKYAQGLESFENKPSHRGCSHLSLEKENTGRISDFEDDEFDGLESMFKELGFCMMEIGLCVARICDRVIGGNELEQSLLESCAAKGRLIHYHSIVDSLVLREAGRRKGSTNRHANNHARSEQRLSKGANLDTNANQVRSCEIHHNLWQQWHYDYGIFTVLTDPMFLLSSQPTESSEVYISSYQECASPSGNSYLQIYDPNKNKVLTVKSSAESFIVQVGESADIISKGRLRSTLHCVCRPARLDNLSRETFVVFLQPAWSKTFSISDYSLEHYNSGCQSLEKAEENTAADQEQNSLGREIQKIVPPLSARLKDGMTFAEFSRETTKQYYGGSGLQSNK >OMO77643 pep supercontig:CCACVL1_1.0:contig10721:17300:21670:-1 gene:CCACVL1_14899 transcript:OMO77643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLIEGLPDAVALRCLARVPFYLYPKLELVSRSWKAVIRSTELFKARQEVGSAEELLCVCAFDPENLWQMYDPLRDLWITLPVLPSKIRHLCHFGAVSTGGKLFVLGGGSDAVDPSTGDQDGSFATNEVWSYDPIMRRWDQRASMILPRAMFACCVLKGKIIVAGGFTSCRKSISQAEMYDPEKDVWISIPDLHRTHNSACSGLVIGGKVHVLHKGLSTVQVLDHVGSGWTVEDYSWLQGPMAVVQGDLYVLSHGLIFKQEKEVRKVVVSASEFRRRIGFAMTGLRDEIYVIGGVIGPDRFNWDIKPMSDVDVLTVGGDRPTWRQAAPMTKCRGTIFGFSKVGREVVSMEDPGIEECEHDNNETNINDKPEEDTQFEESEQNNKDVEVAPALISVHPTQNSVAVAVGSDLRVFNLLEDCAVNLVDGSAGASHNDSIRAIRYGANGNLFVSAGDDKLVKVWSTESWRCISTACSEKRVSAVAISNDGLHVCFADKFGVVWVVDLPVDGSEAPLNKKAVPLLAHYCSIITSLEFSPDGRFIISADRDFKIRVTVFPKKPLDGAHEIQSFCLGHTEFVSCLAFICTPECSQGFLLSGGGDSTVRMWDIISGSLLDTCDVGAKAGHLKTDASEANYSTITDICKIPDCTLIAVAVQSLQGIMLLSCDLQSQTLSVAKLVSIVGESFIPTSLGSSISGGLLWMVTGASKLHGSDVSSLSGVKVISGFKKSSPDPLDQEAVVLEDNEIPGGTKLLEKLQGSISIDEKVFLAAAEAVKTAMCNLLIKKQYSVEKREFRKRTRNDKKVKQ >OMO77651 pep supercontig:CCACVL1_1.0:contig10721:68428:69909:-1 gene:CCACVL1_14908 transcript:OMO77651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDTTTDAENGSLVLVKQGAEARVFESVFVGKRSIVKERFSKKYRHPTLDSKLTVKRLNAEARCMTKARRLGVSTPVLYAVDPVLHSLTFEYVEGPSVKDIFLEFGLTGVVQERLDDIATQIGDAIGKLHDGGLVHGDLTTSNMLIRNETNQLVLIDFGLSFTSTLPEDKAVDLYVLERALISMHSSCGNVMDRILAAYKKSSKQWSSTFNKLGQVRLRGRKRTMVG >OMO77645 pep supercontig:CCACVL1_1.0:contig10721:28068:28874:-1 gene:CCACVL1_14901 transcript:OMO77645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MAIENVKLGFALILVGMLCYEATAQSGCTSALLGLAPCLNYITGNSTTPSSSCCSQLSSVVQSQPQCLCSALNGGGSSFGITINQTQALSLPGACNVQTPPVSRCNGANGPAPAAAPVSSADGPSADTPDETPDTPATSSTPTIPSGTGSKTVPTTQGSTSNGSIMKMPLTLTLFTLFIASFISLINLC >OMO77654 pep supercontig:CCACVL1_1.0:contig10721:88383:88535:-1 gene:CCACVL1_14911 transcript:OMO77654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQKNKKSQEGTSFCLELPFQPGHGGGGLVKTNLGISMKTCQMTQSNTS >OMO77657 pep supercontig:CCACVL1_1.0:contig10721:105813:110726:-1 gene:CCACVL1_14914 transcript:OMO77657 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate anion transporter MGNVDYGYPSANDNQGGHAHHHRVAVPPPQPFIKSFKNSLKETFFPDDPLRQFKNQSPCRKVVLGLQYFLPILEWAPRYTLQFFKADLISGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLVYAMMGSSRDLAVGTVAVASLLTASMLGQEVSATENPTLYLHLAFTATLFAGLLQASLGLLRLGFIVDFLSHATIVGFMAGAATVVILQQLKGVLGLEHFTHSTDIISVLRSVFSQTHEWRWESGVLGVGFLFFLLITRYFSKRRPKFFWISAMAPLTSVILGSVLVYLTHAEKHGVQVIGNLKKGLNPPSFGDLVFTSPYMITAVKTGMITGIIALAEGIAVGRSFAMFKHYHIDGNKEMVAIGTMNIVGSCFSCYLTTGPFSRSAVNFNAGCKTAMSNVVMAIAVMLTLLFLTPLFHYTPLVVLSAIIIAAMLGLIDYEAAIHLWQVDKFDFVVCMGAYVGVVFGSVEVGLVLAVAISVLRLLLFVARPKTVVLGNLPNSTHYRNVEQYQNTSNVPGVLILEIDAPIYFANSSYLRERISRWIDEEEDKLKSSGETSLQYVILDMSAVGNIDTSGITMFEEVLKTTDRRGLKLVLANPGAEVMKKLNKAKFLEKIGQEWIYLTVGEAVEACNFKLHTCKPDSTKEESQPWNNV >OMO77661 pep supercontig:CCACVL1_1.0:contig10721:136617:139956:1 gene:CCACVL1_14918 transcript:OMO77661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASPRTRRRPEQISHLSISGTQLPESPRGPMEFLSRSWSVSALEVSKAVAPPPPHHHHHHSFSSGSAAAAVAAPKSASSSSCTTTSIPEDINGETEELDKAAVSNQFSFTSSATSQLVLERIMSQSEVSPLTSGRLSHSSGPLVNDSPDPVSPSEEFDDVVKYFRTHNSIQPLFNGGRASAGTGVNTPSGAKTVGRWLKDRKEKKKEETRAHNAQLHAAISVAAVASAIAAIAAGTAASSSSFSKNEQSTKTDMAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVRSHDDILTLTAAAATALRGAATLKARALKEVWNIAAVLPADKGISNGFCPNPKGNSSNGHAHSNRNYSGELAPGEDFLTTCSQELLAKGSELLKRTRKGDLHWKVVSVYMNKAGQVILRMKSKHVAGTFTKKKKNVVLEVCKDMPAWPGRHLFHSGEQRRYFGLKTETRGVVEFECRSQREYDMWTQGVSKLLAIVAERKHRRQSSTAWNLAAKDLD >OMO77653 pep supercontig:CCACVL1_1.0:contig10721:81980:86893:-1 gene:CCACVL1_14910 transcript:OMO77653 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment MSIMASILRRKLPNHRTHVFLQLRLFSSSTSHFETTSPQQRIEKILVANRGEIACRIMRTAKRLGIQTVAVYSDADKDSLHVKSADEAVHIGPPPARLSYLNGSNIVEAAIRTGAQAIHPGYGFLSESSEFAQLVQDKGLTFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGSEQDIEIMKLEADKIGYPILIKPTHGGGGKGMRIVLSQNDFADSFLGAQREAAASFGINTILLEKYITQPRHIEVQIFGDKHGNVLHLYERDCSVQRRHQKIIEEAPAPGITDEFRSQLGQAAVSAAKAVGYYNAGTVEFIVDTITGQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGEPLPISQVHVPLSGHAFEARIYAENVPKGFLPATGVLHHYNPVSVSSTVRVETGVEQGDTVSMHYDPMIAKLVVWGENRSAALVKLKHCLSKFQVAGVPTNINFLLKLANHRAFVEGNVETHFIEHHKDDLFIDPNNKVICEDAYHAARLSASLVAACLCEKEHSVSRESYTGDQSLHSIWYSHPPFRVNHHAQSTMELEWENEYESNSSKPLMVSITYQPDGNYLIQMGENSAQSSEVKASHLGNDSYRVEADGVTMHVSLAVYTKDQMKHIHIWHGPHQHHFRQKVGIELSDEDESHHKTNFEGTSHPPGTVVAPMAGLVVKVLVKDGAKVEDGQPILVLEAMKMEHVVKATSGGYVQGLKVTAGQQVSDGSVLFRVKICTVFVSLELEYACLVDNINSNN >OMO77668 pep supercontig:CCACVL1_1.0:contig10721:160898:165001:1 gene:CCACVL1_14925 transcript:OMO77668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tify MSPGETISRSPLDKPLHQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETSSDADAVEAPKKLYIPCPENPPRVISNSTVLVNEATQRNGIPVSVNEPVPCPRPDPSKSDFSGDNSGRTGISGNDSVSPRTAGAAKEPAGQMTIFYCGKVNVYDDIPGCKAQAILQLAASPLSFPQETPADQSTATWSVPCHLPAAGVKISPCSPMVILPSLQTVKGAENCPFPREDNNISYEDSLEGPASRKASVQRYLEKKKDRFKNKRKLATSSSPNIDIYINQVGDQFSNEQLKPSEPYTSTTQPRPPHAPLRCNSIENVPKIASLATHPDVEGDSDPSLTQLVFTN >OMO77650 pep supercontig:CCACVL1_1.0:contig10721:55840:59254:-1 gene:CCACVL1_14906 transcript:OMO77650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate-related phosphatase MLDWLSWPKTLVKKWFNIKNKAEDFHADDVDYGEPLGSHFRFQEIVPLNAGNVLGTEDNGPARKWLALIRKTLNSLPGTSGGCQTPSPIPDPLVELDADFEGSTRQKASSFFHRRSFQSLSRSMRMDNDMTMPEPRLDRRFSVCDRVIFGHRPSDYDPNFRCGSSDDENGPGDSPCYYNQYSQYSPMSYGGSCAMEESNRQMGHSKYCLVASKQMVGIFLTVWVKSDLRDDVRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHGMGDENSPQSILEHDRIIWLGDLNYRIALSYRSAKALVEMRNWKALLENDQLRIEQRRGRVFEGWSEGKIYFPPTYKYSNNSDRYAGEDRHPKEKRRTPAWCDRILWYGRGLHQLSYVRGESKFSDHRPVYSVFSAEVESINRSRIKKNMSCTSARIEVEELLPQAHGYTELSYF >OMO77652 pep supercontig:CCACVL1_1.0:contig10721:70406:78706:-1 gene:CCACVL1_14909 transcript:OMO77652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNGEGAEVVKTEKSTMEEKALGSTGNHSNKDLYLRADKIDFKSWDLQLEKHLSKAWSRDDKDNSTVKEEWEIDLAKLDIRHVIAHGTYGTVYRGAYDNQDVAVKVLDWGEDGIATAAELAALRSSFKQEVAVWHKLDHPNVTKFIGASMGTSNLKIPTKGASTENNNSLPSRACCVVVEYLPGGTLKNFLIRNRRKKLAFKVVIQIALDLSRGLSYLHSKKIVHRDVKTENMLLDTRRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYADLSFAEVSSAVVRQNLRPEIPRCCPSSLASIMRKCWDGHPERRPDMDEVVRLLEAVDTSKGGGMIPEDQATGLAAHHVGDSVNYPLTAGRFDAKTSTNSTAKFQYQHRGRERRPYTNQMEARNVSTTPSLMREFLRKAGGAAVIDGGLATELERHGADLNDPLWSAKCLLTSPHLIRSVHLDYLEAGADIIITASYQATIQGFEAKGFSREKGEALLRKSVEIALEARDMYYERCHKSSSDGKEDGKILKTRPILVAASVGSYGAYLADGSEYSGDYGDAMTLEALKEFHRRRVQVLAEAGPDLIAFETVPNKIEAQAFAELLEEEDLKIPAWFSFNSKDGVNVVSGDSMLECASIAESCEQVVAVGINCTPPRFIHELILAVKKVTNKPILIYPNSGERYDADRKEWVENTGVTNEDFVSYVNKWCEVGASLVGADEVFHFLSFLFYSSVLAPFLFYSSL >OMO77656 pep supercontig:CCACVL1_1.0:contig10721:103169:104738:-1 gene:CCACVL1_14913 transcript:OMO77656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harbinger transposase-derived nuclease MAPVKKSKKTKKSSKKLKKNKSVSVVPVEPRVAEPDWWECFWRKNSKTPVLAKFPSFNMVDNQLGMECLVFDLISIDSLPAGLSIPSDEEEGFKYFFRVAKKTFDYICSLVREDLVSRPPSGLINIEGRLLSVEKQVAIAMRRLASGESQVSVGASFGVGQSTVSQVTWRFIEALEERAKHHLKWPDSNRMEEIKSKFEALFGLPNCCGAIDATHIIMTLPAIQTSDDWCDPESNYSMFLQGIVDHEMRFLDIVTGWPGGMTVSRLLKCSGFFRLCEAGERLNGSTRTLSEGLEVREFIVGGTAYPILPWLITPYETNGHLSAMSGTFNDKHEVARLLAVRAFLQLKGSWRILNKVMWRPDKRKLPSIILVCCLLHNIIIDNGDQLHPDVALSGHHDSGYGEQRCKQIDSAGFSMRENLAQYLLQSKDKAPAK >OMO77666 pep supercontig:CCACVL1_1.0:contig10721:151230:152924:1 gene:CCACVL1_14923 transcript:OMO77666 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyllase MAQLLETKPVLSTDVPVFQPGKYNPSEIDTSSSPSPPPKPVLIYAPSEPGNYPVLLFFHGFLSSNRYYSDLLKFTSSHGFIVVAPQLYNLNPLNPMNLFHLGGCEELKFAVEVASWLKVGLRPPVLPGDVIANLEKVALAGHSRGGKTAFTIALGVGCRSPETPKCTQTFSALIGIDPVAGVKGPFGITNITQPEILTHDFDMSIPVTVIGTGLGPESKVKGLFPACAPEGLNHKDFFNKCRPPCAHFVAEKYGHTDIFNDDSQLDIIGKADCLACVNNKGPRGPMRRCVGGIVVAFLNYYFHDEKEDFMTIVNDPNVAPVKLDEVEFNI >OMO77665 pep supercontig:CCACVL1_1.0:contig10721:148731:149001:1 gene:CCACVL1_14922 transcript:OMO77665 gene_biotype:protein_coding transcript_biotype:protein_coding description:ankyrin repeat-containing protein MGSSIAIEKDLFRSDTALHIAVSNVQENVVEELVELISEQSQPRKALETRNEQGILLCIWRLR >OMO77662 pep supercontig:CCACVL1_1.0:contig10721:141075:142564:-1 gene:CCACVL1_14919 transcript:OMO77662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor 1-related protein MWNRAYQKNDVEGGARPLYPTMLEPVELRWAFIRKIYSIVAIQLLATIAVAATVVFVRPVAHFFVSSPAGLAVYIVLIIMPFITLCPLYYYHQKHPVNYLLLGVFTVSLAFAVGLTCAFTSGKVILMSVILTAVVVVALTLYTFWAARRGHDFNFLGPFLFGAVLVLMVFALIQMLFPLGKISVTIYGCLASIVFCGYIIYDTDNLIKRYSYDQYIWAAVSLYLDIINLFLALLTVFRTVDR >OMO77655 pep supercontig:CCACVL1_1.0:contig10721:95638:102124:1 gene:CCACVL1_14912 transcript:OMO77655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prefoldin MATLKKADSKGMYSWWWNSHISPKNSKWLQENLTDMDTKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGVLRQAHRTMAEAFPNQVPMVFGDESPGGPLTEVDPRTPEMSPPVRALLEPDELQKDAVGLSSHAIKGLKQFHDLFGSEEAAHHVKFAEGRARKGLNFHDIEDKEQSFLNNGGPDLKVRVPSESERVSKAEMEILNLKNALARLEAEKEAGLLEYQQSLERLSNLEREVSRAQEDSQGLNERASKAEAEVQTLKDALARLEAEREGNLARYQQCLEKINNLENSISLAQKDAGELNERASKAEAEAEALKQDLARVEAEKEDALAQYRQSLETINNLQEKLLNAEENARRMTERAEKAESELETLKQVVVELTKDKEAAALQYQQCLETISSLENKLACALEEAQRLSSEIDDGAAKLKGAEERCSLLERTNQSLHTEVESLVQKMGDQSQELTEKQKELGRLWTSIQEERLRFVEAETAFQTLQHLHSQSQEELRSLAAELQNRAQILQDIETHKQCLEDEVQRVKEENKGLNELNLSSAISIKNLQDEILSLRETIAKLEAEVELRVDQRNALQQEIYCLKEELNELNKKHQDTTGQLESVGLNPENFASSVKVLQDENTMLKEVGQRERDEKLSLLEKLSIMEKLIEKNALLENSLSDLNVELEAVRGRVKTLEESCQSLLEEKSTLAAEKDTLISQLQTATDNLEKLSEENNFLENSLFDANAELEGLRVNLKSLENSCLVLGDEKSGLITEREGLVSQLDVSQKRLEDFEKRYQGLEEKYASLEKERESTLYELQELQKSLEAEKQEHASFVQLNETRVAAMESQIHFLQGESLCRKKEYEEELDKAMNAQVEIFILQKCAQDLEEKNLSILLECRKLLEASKLSEKLISELELGNVEKQMEIKSLFDQITTLRMGIYQMLRSLGVDSIHGYDDKIKQDQPVIDLMFGRLQELQNSLIKSLDENQQFVIENSVLIALFGQLKLEAENLTAEKNALHQELKVQSEQFLELQNRAEKLDDMNEELKLKLLEGGQREEVLQTEMGSVRGQLLDLQRAYQSSLEENCKVLDEKKSLMKEIFDLGKDKHKLEEENNAVFVEAISQTNIALIFKDIIAENFEEIKHLRGNLDKLKCLNNDLEGKVRMMERRLEDMQIESSHLKESVQNLENELVFVRSVGDQLNDEVSKGKDLLCQKENELLEAAQMLSASQEERAQLHKVVEDLKSKYEEVKMIGEDQEKQILKLSADCDHKSKESESIQQANHKLEAELSKMHEELEVRKCREDSLNRELKKGRNEVELWETQAAALFGELQISAVREVLLEEKAHELSKECDDLESRSNSKAVEVEKLEECVRILEGENGGLKAQLAAYVPAVNSLRDSLTSLESRTLLHSKPTSVYSEEVKDTYLGTELHTENGQQTSVDQIAPTPDGFSDLQSVHMRIKAIEKAVLEMEKLAMQENSNLNSKLETAMRQIEELRLGSSSRRESVRAKKHVNAIHEGGEFGHGPSNNVKMQRPKPEIYEEDNEMMTKDIMLDQISECSSYGLSKREAAEVDDQMLELWETTDHDSSIDFKVGKAQKKVTAPADFQQIDAVKEHKGKKLSTDSLVKELGVDKESSKRFTEPNQEVSKRKILERLDSDAQKLANLQITVEDLKKKVEITETGKKGKGIEYGTVKEQLEEAEEAIMKLFNVNRKLMTHVEDGSSSLDGKSAVETDESGSVRRRKISEQARRGSEKIGRLQLEVQKIQFLLLKLDDEKESKGKTRITERKTRVLLRDYLYGYGYGGVRTGQKKKKAPFCSCVQPPTKGD >OMO77663 pep supercontig:CCACVL1_1.0:contig10721:145373:146419:-1 gene:CCACVL1_14920 transcript:OMO77663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bax inhibitor 1-related protein MWNQENQKNDVEGAGERLLYPTMLEPVELRWAFIRKVYSIVAIQLLATIAVAATVVTVRPIAYFIVSTWAGLAVYIVIILMACITICLLACYHQKHPVNYLLLGVFTVSVAFAVGLTCAFSFTSGKVILEAVILTAVVVVALTLYTFWAARRGHSFNILFAFLLGVILVLLVFSLIQIFYPLGRLSVMIYGCLASIIFCGYIIFDTVDLIKRYSYDEYIWAAISLYLDIINLFLRLLTILKALNRD >OMO77644 pep supercontig:CCACVL1_1.0:contig10721:25914:26613:-1 gene:CCACVL1_14900 transcript:OMO77644 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MAARRSMINGTMFAAIVAIVAATSLWTGAMAQSSCTNVLISMSPCLDYIQGNSSKPSSSCCSQLASVVKSSPECLCEVLNDGASSLGITVNQTQARAMPTACNVKTPPASQCNASSPSDSPSGTSNSTSGGGSKTVPTTDDGTSTGNSIKLSFSLLSFLLLAASSFFAI >OMO77648 pep supercontig:CCACVL1_1.0:contig10721:35290:36529:1 gene:CCACVL1_14904 transcript:OMO77648 gene_biotype:protein_coding transcript_biotype:protein_coding description:CDT1-like protein a, chloroplastic-like protein MDRSFSMEISVKLTLASSLPSRRVSLERAMASWTVSRSRISDFITAHPEDDEIPEDLPEPFNHSKKKQQLNMIKAQLSSDESSTDIPQSSNP >OMO77658 pep supercontig:CCACVL1_1.0:contig10721:126560:130853:1 gene:CCACVL1_14915 transcript:OMO77658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEILEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRARRSAHQEMELISKVQNPFIVEYKDSWVERGCYVCIIIGYCEGGDMAEAIKRANGVHFPEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTKDQDIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCLYEMTAHKPAFKAFDMRALINKINRSIVAPLPAMYSGAFRGLVKSMLRKNPELRPSASELLSHPHLQPYVLKIHMKLNSPRCNSFPTRWSDSNFIKKTRFVEQDVMVLNDIRRRRSFSNDRALNPSVSGTEQDSFYSTERDQEIPSYLFERFTEFSVGADNEEVTIDKSTTTKFPTVVKTPRLMQGKVSVTPRKHTTPAKMSQNGQKHDVVHTSHTPASKSSYTSRRISLPLATRTAAFATPYKANVGMLRSVDSPDVSVNAPRIDKIAEFPLASSDDPLLPVQRTSSTSAKCSSSSIDSADRSITKDKCTVQILDKVVTTSNCSDRSLGGAQDGSECSEHNHAAASTHSSAESRQRRFDTSSYQQRAEALEGLLEFSARLLQQQKYDELGVLLKPFGPEKVSPRETAIWLAKSFKETQV >OMO77641 pep supercontig:CCACVL1_1.0:contig10721:3814:4449:1 gene:CCACVL1_14897 transcript:OMO77641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVVVAQHRNQYCSRVKPHGPARFGSSPSRNFRGINCRTFQSGAGLLPTPFKYSSEPKTKRAPSPPISSSTTSSSSSPRTPSPVADGANPRRSSPIPINNKRAAANDKPFNEVISREGFLYSELWAGPAYSNSPPPSSLPIPKFSLRAKRTVSLDLPASDPVMDVHPTAKSAPASPTRELSPSVAELFRSADSATKTLRRILNLDNTDE >OMO77647 pep supercontig:CCACVL1_1.0:contig10721:32574:34679:-1 gene:CCACVL1_14903 transcript:OMO77647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokine-induced anti-apoptosis inhibitor 1 MGSTSETSTVLAVTDDASSAAKTLDAIWEFENQDPKSFNPLIIIQASSLAKLLLEPSSVDKVILIFKSVEFPVDAMYAEIFRVLAPGGVFVVSGTFQHGTNEPDKVMSAIVRKLLLAGFLEGERFQLKAIDQSFGVKAMKPSWKIGSSFAIKKTVKNPLKIQMDDDSDLIDEDSLLTEEDLKKPQLPPVGDCEVGSTRKACKNCTCGRAEQEEKVEKLELTMDQLNNPQSACGSCGLGDAFRCGTCPYRGLPPFKLGEKVSLSDNFLKADI >OMO77642 pep supercontig:CCACVL1_1.0:contig10721:8884:9892:-1 gene:CCACVL1_14898 transcript:OMO77642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNRPRAVTSKQALMADHSSQSSPAQNYTKPIIPSFLNSPRFKAFTTTKNLPETETVKSPTSILDNNKSFFPFSNPFGFDILNQPKSPRVFSPSNKQHQNSPEKPESKGIGLALVDTLNDNKPIEVHKTSCQTQTSNNNNKMVLFGTELRVQIPPLPQTSLLSPSASPKSPADFGIKTRNSHYSSSAFGSPNSGMKDSPRIFTGCLPVKEMELSEDYTCVISHGPNPKTTHIFDNCVVENYCTVKEKPKSAPESFLSFCHTCKKNLEQKIDIYIYRGEKAFCSQECRYQEMLLDGEDN >OMO77646 pep supercontig:CCACVL1_1.0:contig10721:29727:31862:1 gene:CCACVL1_14902 transcript:OMO77646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cupin 1 MAAKAKLCFIILVISVLALYSGCAIAKQDPELKQCTHQCRVQQQYDEQQKEECVRKCEEYHREKKEREAEKEGGGHEPDGYWSEENQTSKKLKECQRQCEKLDRGGERQVCVTRCQQKWGVKDRKDQKGEEYEKHNPYVFEDRHFSTKVQTEHGRVDLLTKFSDKSELLRGIDKFRLAVLVANPNAFVFPNHFDADAIFVVTQGFGTIKLIHEDKRESLNIKKGDVIWIPAGTPIYFINRDNNEKLFIVKLLKPVNIPGQHEVFFDAGGERAKSIFTTFSTEILEAAFKTSGDKLESFFEEQDQGPFANASKEQIEAMSKHEESGGARIWPLPFPLPFGRHDTRGSSFNLFENRRPSQSNHYGQLFEVGPNEFEPLEILDVKVTYANITRGCMSAPFFNSKATKIAIVVEGDEGYFEMACPHVSSKSSKDRRSHDPRSFGREKSGPNYQKISSRLRPDTVFIVPASHPLVTVATGNKNLEILCFEVNIKDNVRYPLAGKGNFVQQFEKEAKELAFNKREEEVDRIFKSQNEEFFFPGPRQHGGRAYE >OMO77649 pep supercontig:CCACVL1_1.0:contig10721:36992:48995:1 gene:CCACVL1_14905 transcript:OMO77649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKPVDDDEDDVLSILPESLLHSRSFCKIITGHCDIVDRGEVEEQLKLFQELAPEWISEKLASAGDLPICDQFQIEEDVCGGEVGGSVQGPQNNDVTCPSRARGVKKKNNPAATSEKSTACVSNWYEMTQKCAFALCILHFFALCPSKADVKLRASH >OMO77667 pep supercontig:CCACVL1_1.0:contig10721:153533:156591:1 gene:CCACVL1_14924 transcript:OMO77667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQLYNLVKMINPSRRCFSFHSEEEEMGSSIAIEKELFRSAMKGEWTTVLHIYKAFPKVHVAKMIRSGDTALHITVSNVQENVVEELVELISEQSQPRKALETRNEQGNTPLHIAASIGNVKICKCIAEADPSLLQFRNNDGETPLFVAALHGKRGAFLCLNSLCQSKEVGYSYCRRKDGENALHCAISGDYFDLAFQIIYLYEDLVYYVNENGISPLHLLATKPAAFRSGSHLDRCSHLIYHCIFVDQLTVESCFPQSSSNDQPKGSKEKMKARYPDNYKTCMHLFHLLKQLVQVVTKSNSVNNNQRGNAVENLEAGPKGRKGLHRDEGHQFFPPNYGTFIDFIKLLSKAMLVILGLGSKTMRRLRETKEKHTWAVQIMDELLRHASMYQYEDNGMRPQRSLSDSDGTKPYEALTDDSDQSKELGNYFKEVAKRETLTPILISAMSGIAEMVEQILEIFPVAIHDMNSEKKNIVLLAVDNRQPHVYELLLEKNIMKDSVFRAVDQNGNSALHLAAMLGDHKPWLIPGAALQMQWEIKWYEFVKHSMPAHFFNRYNKENKTPKDIFTETHKQLVDKGGEWLTNTSESCSVVAALIATVAFATSTTVPGGVSSESGKPTLENHPAFDVFAISSLIALCFSVTAVVMFLSILTSRYQEKDFGRDLPRKLLLGLTSLFVSIASVIVSFCAGHFFILKDKLKYAAFPVYAVTCLPVTLFAIAQFPLYIDLAWALFKKVPQRSYKAIPL >OMO77660 pep supercontig:CCACVL1_1.0:contig10721:134177:134931:-1 gene:CCACVL1_14917 transcript:OMO77660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYNEALSYEATEAEAKLAQQNAAHKARLHYRTNKQQI >OMO77664 pep supercontig:CCACVL1_1.0:contig10721:147664:148047:1 gene:CCACVL1_14921 transcript:OMO77664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyllase MSVPVTVIGTGLGPDSKRCGMPPCAPEGLGPEEFFKECRPPCAHFVAENYGHMDVLDDDSQLDITGKVCCSLCVNCKGPRGPMRKCVAGIVVAFLNYYFYDEKKDFMTIVDDPNVAPVKLDEVEFNI >OMO50738 pep supercontig:CCACVL1_1.0:contig16064:3853:7492:-1 gene:CCACVL1_30285 transcript:OMO50738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSDLTLSQHLNKKHQFLNK >OMO85154 pep supercontig:CCACVL1_1.0:contig09659:6916:13257:1 gene:CCACVL1_10380 transcript:OMO85154 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MIPKELIFEIFLRLPGKDLVRFRCLSKEICQEIHSSAFNLNRSKKAKTHRKLFVYDKSDVEDKRGLYVADVDEEDEVSKLPNPLNPDGCLIRDGFDVYGSCSGLLLLYNNKTRKVYDVDEVYSWLLLNPFTRKFTKVIACPGESEILEYCQTLFGFGYDSIRNDYKLVQIFRPYNWKENHDDVQIWVFSFASNTWRKLTVPFCHNKTFPLGHDQIGVFADGALHWLCEGINHEIVTFDISNEVFVNLFHPLRRPICIGFLLVIGGSQTLLRRGFYKLNDVELYLAVKCGEHYNWTKLHNINLELCPSSILTPTILTVMKRSNNEILVPFSDTVAQRGFGGEIDSAAFTLAHLNRSKKTETHRKLVVYHNNGYSKDDNGLYVADFDNENEISLFGNPLNPDGCFFMDGFDVYGSCNEINRDNGTRTVTTTHEIVTFDVSKEVFVNLVHSDSLSPSPLIGKAYLLVIGGSLALLRDLNSNEPLELYLAVKCEEHYYTWTKVYNISSLELRHSCIFTSRVLRAMERSNNEISVPFKDIVAQRDFGGDGAYHLSEPLPEEQQLTRLLPSIPICSISVGSPVFLVGSPVFVWSVCQGIRRAAFVMAYLNRPKKAQLTHGKVVVHKDGDKSGLYVANEDEITTVYDGDEVYYRWLLLNPLIRKFKKLFACLRIEAEAEGTVDLGNGSKASSSSPFDDWDVWENGLDPVVSLRISFGKIGTGLMNLGNTCYLNSVLQCLTYTEPLVTYLESGRHRNSCRSAGFCALCAIEKHVSRALELTGTPLAPRDLVSNLRCISRNLRNSRQEDAHEYMVNLLESMHNCCLTSGESPSSSFVDKIFGGRLRSQVKCTHCHSCSNKFDPFLDLSLEIVKANSLRKALKNFTAAELLDGGERQYQCEGCKQKVKAIKQLMISKAPHVLIFHLKRFRAHDFGQKIDRKIKFGSTLDMKPFVSGSNEEEGDNLKYSLYGVLVHYGRSTYSGHYYCFVRMSSGMWYSLDDHRVVQVSEKTVLDQKAYMLFYHRLRLLQER >OMP01563 pep supercontig:CCACVL1_1.0:contig06412:38717:39124:1 gene:CCACVL1_03057 transcript:OMP01563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEKSMLYDTEEFFEPRAIECYVFAALVSLNLIDLRNTSIRLISLIRTSYVLSLSSKYVCKRMIMV >OMP01561 pep supercontig:CCACVL1_1.0:contig06412:23517:35952:-1 gene:CCACVL1_03055 transcript:OMP01561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESAALGKRKSLENSQVTEDPKQESASKRRALARTCVHEVAVPSGYTSTKEESIHDFRPTPLQHYVFPMGGSGLYLVVDENEQFREDNFLKLQDSFTKQRLSDSSKKSANGKSSGRTAKGGSASGGSDIYKIVKMIMERKFHPVIVFSFSRRECEHHAMSMSKLDFNTQEEKDDVEQVFRNAVLCLNEEDRCLPAIELMLPLLMRGIAVHHSGLLPVIKELVELLFQEGLIKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYMGSGEYIQMSGRAGRRGKDERGICIIMIDEQMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQITAEHVIKNSFHQFQYEKALPDIGKKVSKLEQEAALLDASGEAVVAEYHKLKLEIAQLEKKLMSEITRPERVLYYLDPGRLIKVREGSTDWGWGVVVNVVKRPSAGSGALPARGGGYIVDTLLQCSPGSNENGTRPKPCPPCPGEKVEMHVVPVQLPLISALSKIRISIPSDLRPPEARQSILLAVQELGTRFPQGLPKLNPVTDMKIEDVEIVELVKQIEELEKKLFAHPLHKSQDEHQIRSFQRKAEVNHEIQQLKSKMRDSQLQKFRDELRNRSRVLKKLGHIDADGVVQLKGRAACLIDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPVDKSSEQIQLRTEIAKPLQQLQESARKIAEIQHECKLDINVDEYVESTVRPFLMDVIYCWSKGATFAEVIQMTDIFEGSIIRSARRLDEFLNQLRAAAQAVGEVNLENKFAAASESLRRGIMFANSLYL >OMP01564 pep supercontig:CCACVL1_1.0:contig06412:39715:41238:1 gene:CCACVL1_03058 transcript:OMP01564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRAVDAVRGPRTIQHETVVTEGAAAPAPAATTNSLASSDACGIHSKAFQDTQGMLVEEFGL >OMP01562 pep supercontig:CCACVL1_1.0:contig06412:36328:37561:-1 gene:CCACVL1_03056 transcript:OMP01562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNKDNEIDPKPSNKAAARY >OMO77419 pep supercontig:CCACVL1_1.0:contig10763:13515:15425:-1 gene:CCACVL1_15019 transcript:OMO77419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSPAFALDFLFNNFTTTVNGTNLFLIEDARIDTSTVRLTNDSNQFSFGRLFYPTTVSMKPTSSNSSSLSSFSTSFVFSILPQLSNSPGFGLCFVLSNTTSPPATLAGQYFGIFSDNTESVVAPLLAIEFDTGRNPEFNDPNENHLGIDLNSIISDQIADAQYFNSSNASFVPFNMRTGQNVRAWIDFDGANFEINVTVAPAGLSKPSRPTLNYKNPVIANYVSSEMFVGFSASKTQWVEAQRILAWSFSDTGTLREINTTVAAAPAELLNELARDGSSRVGA >OMO53541 pep supercontig:CCACVL1_1.0:contig15187:66135:67357:-1 gene:CCACVL1_28574 transcript:OMO53541 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein A MEADRRIGVAVDFSPSSRNALKWAVDNVVRKGDHLILLTIQPEKMYEGGEMQLWEATGSPFIPSSDFCNPDIMKKYGVKPDPETLDIATTAARQKEVEVLMKIFWGDPREKLCEAIDNIPLSCIIVGNRGLGKLQRVIMGSVSNYVVNHSSCPVTVVKHHD >OMO53544 pep supercontig:CCACVL1_1.0:contig15187:77033:77701:1 gene:CCACVL1_28577 transcript:OMO53544 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSLEITVVSAKDLKDVNLFTTMDVYAVVSIVSMIREHGTAQRTPVDKDCGSSPEWNYSMKFNIEEALAQPNGFYLYFRLKSDRRLLGDKEIGDVMVPIKELLDHNNGNEKVKSYDVKTPFGWGKGKLEKKGILNFSYKFGEKFENPVPQPTGTYKFGDLFEKPVPQLRRTYKFREEKFEKLVPQPRVPAAGPAMNKDKHRKKKKSSSESDCICCFEFNFDSD >OMO53538 pep supercontig:CCACVL1_1.0:contig15187:52671:54455:-1 gene:CCACVL1_28571 transcript:OMO53538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MANVVFSFDELNVVGVQDKLSSSNKDFQSFDVSTEGKQSSFYGAEDWGETGGIDSLSSDYGFYRDDEGFTFSKYRPQEQPFTDYGLLDGVTFDASSPPIQTCFEEIAKIGQNIPSGNVLEVSKKETLNQYPFSISSLGLLNNYGSGFKRLNGERRIEAVNEIKLVTKTKEEEEERKVLSTEEIMRVAGEMFIQSFSCQTFEHPFNLSFSGISEQEKKDVELAVLLLAAAEKVGQQQYDRAVRLLKQCDFMSSKTGNPVQRVVYYLSEALREKIDKETGRSSSKGSNSKPLFNIDEAMMSPNPTTVACYEEMPFARITQFTGIQAIVENVGEAKKIHIFDLEIRNGMQWTVLMQSLACRYASPLELLKITAVATTAKHLREETGKRLSSFADSLNLPFAFKVVMVSDMLDLKEDMFELDAEETVAVYASFAFRMMLSMPNRIENVMKVIRLINPCIMVVTEVEANHNSPIFVNRFIEVLFFFSSYFDCLASCMKQDCKNREIIESVFFFEGIRNILAAEGEERKIRHVNFDVWRQFFARYGMEEAELSMSAMYQADLILKKFADGNCYTLQMNGKCLLVGWKGTPMHSISVWKFL >OMO53532 pep supercontig:CCACVL1_1.0:contig15187:38560:39747:-1 gene:CCACVL1_28565 transcript:OMO53532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAGSESLLKLVELEGRGRALVASQPLREGQIVLKDSPILLYSAFPLIKSQSSSSASYCDNCFRTLSSTANIASCPSCSHNHLFCSPNCLTMATAASHSPWVCQALTRLRDCPSLVSQPLERQLQARFLIAAYNLALISPSNFQVLLSLQGQGSPSDGPAAQFLHSLIQSLCPPPSLPLSIELTAALLAKDKLNAFGLMEPISSQLDGQRSVRAYGIYPRASFFNHDCLPNACRFDYVDSAPDQNTDIIVRMIHDVPQGREICLSYFPVNLNYSTRQKRLAEDYGFTCDCDRCKVEANWSDNEADVIDDNGNEEDEDGDEEVMDEDVDEQMIAADMENGDAVGETDFPHAYFFLRYMCNRENCWGTLAPLPPSDDAPSKVLECNVCGSLKNDED >OMO53534 pep supercontig:CCACVL1_1.0:contig15187:44483:44914:1 gene:CCACVL1_28567 transcript:OMO53534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNHPLPQMSSGSEAEARGGDGMIMDEKKRKRMLSNRESARRSRMKKQKLLEDLVNEVASLKAEIRKNSDNFEILMQKTLVLESENNLLEAEKMDLAHHLRNLELIQTHMELLQMNNNNLESWQCHGSSQPMPIMASAGMFNY >OMO53540 pep supercontig:CCACVL1_1.0:contig15187:58556:64386:-1 gene:CCACVL1_28573 transcript:OMO53540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MEPKINKTLLVFLFLCITSLFNSAQAICVPRDQSFLDPSSSSSSPPSSQKSPSPSTTPPKSSSPPASSTSSAPTPPKSSSPPPSSTSSAPSPPKSSSPPPSTTSTAPVPPVHLNHQRRHPPSPPKSSSPPPSTTSSSPSPPKSSPPPPSPSPSTTTSPPKSSSPPPSTTTTTTSTSSLFELSEYVNPAVEAQLQAVAELGPKTLNPNALASLSLDIDLGAKKVNDPQIKTLCDQTGHGPLCLAVIAPFFNGKSDLYSLVDTATKTAIEQTKKVMALADTMATDPKFASIPKVADKFAQCKSHYEDALDNMNEAIAAIGRRDPGTIVTMVSAAISDYDSCDGEFTGQPNPNPDGTSPMADIDQILMDTADIVLGLDSWAIMESFGGSEFTDVGCPIRKKRSSISRRPRYPAHQIFIPNFNLVSLPSQAIGSSSNEDQNYNNGSNGLGSENKLKLKLKLGGVTHTIHTKSTSDYASGGIPFFTKSSHFSDAPQTREKSFLLDEKGSYLSDKEKGYNVQWKDYSRGSSGYGKEYFSGGNAPGVSVALNAIDRNEPIRKSKRVPKRRVLDVGLNSDDDGEDEEIRYLGRLNASNGYSNYKDEEDERNGRDTANFEDKDYMDEEEPISDDEPGSKRKKLGRGSIDLFGEGRTESIPTTRNRALQSGKDLFSGSGVSLIEFPDGLPPALPKKQKEKLSEVDQQMRKAEAAQRRRLQSEKAAREAEAEAIRRILGQDSGRKKREEKIKKQRDELAQGKAAKSETLASNTVRWVIGPGGTTVIFSEDIGLPQLFSSMPISYPPPREKCAAPNCTNTYKYRDSKSKLPLCSLDCYKAVQGKTQPLIAC >OMO53537 pep supercontig:CCACVL1_1.0:contig15187:50890:51968:-1 gene:CCACVL1_28570 transcript:OMO53537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MASAHEASAVRLIKIAKASFNKVASLEWFDLSVLNKFFDCSSFSLSGDEIKDVELALLLLASADKVSSRQYDHARKFLNLCDFLSSNAGTSIQRVVHYFSKALRAKIDGEPGETTSNGRESRVGQVSNGHPDETIVSQSPALISCTLKLPFIQVTQFAGLQAITEHVASAKRIHIIDLAIRSGAQCISLMQALATREECPVELLKITAVGTTSKKKIEQTGDQKHCCCRGRG >OMO53542 pep supercontig:CCACVL1_1.0:contig15187:68614:70068:-1 gene:CCACVL1_28575 transcript:OMO53542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTHQLTDIGVQDHIAVEIPTAGSYDPLVLFLKEKMETKTTASCICRVNKKLVEKNADQYIPQNIAIGPFHRDKNHLKTLEEHKWRYVLVQDERDAKLLKAKQILTNYDVIEKKQVSTLFDRLWEDMNVTENVSNFEFYYDGLCEEVKEYKGTSWRQPKWDWKPMKEMKPGLILQRRPLPRIAVVIAVVFILLVLVGALFSIISFFHHRF >OMO53536 pep supercontig:CCACVL1_1.0:contig15187:49369:50316:1 gene:CCACVL1_28569 transcript:OMO53536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotianamine synthase MESHQEKLVAKITNLYEKLSSLEDLSPSNHVNTLFTNLVATCLTPSDIDVTKLSERVQEMRPKLIKLCGKAEGLLEGHFSKLIGSHAYPLDHINVFPYYSNYLKLTHLEFSMLQKDCTKLPSRVAFIGSGPLPLTSIILATKHLIKASIHNYDLDSSANAKAEKLVSSDPDLSKRMFFHTADIMNVSSGLKEYEVVFLAALVGMDKEEKAAVIRHLAEHMAPGAFLLLRSAHGARAFLYPVIDPCDLQGFQVLSVFHPTDEVVNSVIIARKNPRPILSWNQEFDSATLPPTKCSELQCFNPLNHGNLFEELAIDE >OMO53543 pep supercontig:CCACVL1_1.0:contig15187:72065:76344:1 gene:CCACVL1_28576 transcript:OMO53543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWWPIIVLVFAYGICRFLLMLIPPNVPSIDVDASDVLDDGNQAQENSFIYIPPRGRTQQSDRKVQCYEPATMKYLGYFPALTPAEVEERVAQARKAQKIWAKSTFKQRRQFLRILLKYIIEHQELICEVSSRDTGKTMVDASLGEIMTTCEKITWLLSEGEKWLKPEYRCSGRSMLHKISKVEFHPLGVIGAIVSWNYPFHNIFNPMLAAVFSGNAVVIKVSEHASWSGCFYLRIIQAALAAVGAPENLVEVITGFAETGEALVCSVDKIIFVGSPGVGKMIMRNAAKTLIPVTLELGGKDAFIVCEDADIPNVAQVAARAFLQSSGQNCAGAERFYVHKDIYTSFVNQVTKIVKSVSAGPPLAGRYDMGAICLQEHSERLQNLVDDAVDKGAEIVARGIFGHLGEGAVDQFFPPTVLINVNHTMKLMQEEAFGPIMPIMKFSTDEEVIKLANDSRYGLGCAVFSGSKRRAKEIASQIHCGVAAINDFAATYMCQSLPFGGVKDSGFGRFAGVEGLRACCLVKSVVEDRWWPYIKTKIPKPIQYPVAENGFEFQESLVEALYGLNILDRLRALVNVLKLISEQNSGVSSKRND >OMO53535 pep supercontig:CCACVL1_1.0:contig15187:45672:47597:-1 gene:CCACVL1_28568 transcript:OMO53535 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiaminase-2/PQQ biosynthesis protein C MEGKTREEMGKSMITETWLRKHRSLYLGATRHPFIRSIRDGNIDLSSFKTWLGQDYIFVRAFVPFVASVLTKAWKGSDDSSNDMEVILGGVAALNDEISWFKKEASKWGVQLSDIVPQKANQDYCRFLESLMSPEVEYTIAITAFWAIEAVYQESFAHCLEDGTKTPAELQETCQRWGNEGFGQYCNSLRKIADRQLEKASDDIITKAEATFLRVVEHEVEFWNMSHGGT >OMO53547 pep supercontig:CCACVL1_1.0:contig15187:86745:87654:1 gene:CCACVL1_28580 transcript:OMO53547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERVIKTEITLEDYEHFLENPRDDAFSYQQLNQIIYMHGFKKIHKRRKAELYEALSTIDLIKPQRSTLKDDFPPYDDSDSDSALTLDQVKQDLETLKWQECPVQSIQVIHPTVQVASPAPSKGCDGVSTGTGSATSSFTISRKRRISGRKRRVSAVYRGSDEQGNVAVGNMNDGVEFGVARDHDIGPIQPDSSSSLFVDTYSWEF >OMO53530 pep supercontig:CCACVL1_1.0:contig15187:26495:27700:1 gene:CCACVL1_28563 transcript:OMO53530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MAMATSLMAALVLLTGLFTANLDVTGAESVGVCYGMLGNNLPSKWEVIELYKSNNIKRMRLYDPNQAALEALKGSNIEVMLGVPNSDLQSLSNPSNAESWVQRNVLTYWPSVRFRYIAVGNEVNPNDKSLSQYVLPALVNIFNAVRSAGLQDQIKISTAIDMTLIGNSYPPSAGSFRGDVRSYLDPIIGHLAWAKTPLLANIYSYFSYVGNPRDISLAYALFTSPSPIVWDQGRGYQNLFDALLDALYSSLENAGQPSLDVVVSESGWPSVGGFAASVDNARTYLTKLISHVQGGTPKRPGKAIETYLFALFDENQKTGAEIEKHFGLFSPNKQPKYPLSFGGERKIWDFSTEHNETISLKSM >OMO53529 pep supercontig:CCACVL1_1.0:contig15187:4890:14447:-1 gene:CCACVL1_28562 transcript:OMO53529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPLSYDQLVERNPHIETDHLVKLLKQLLLSAPSPSDDLSVKHTPNAADVPTLLGTGPFSLLSYKENEGKREVKCPPVHMRWPHIHANQVRGLALREIGGGFTRHHRAPSIRAACYSIAKPATLVQNMQKNKILRGHRNAVYCATFDRSGRYVITGSDDRLVKIWSMETAYCLASCRGHVGDITDLAVSFNNIVVASSSNDCIIRVWRLPDGMPVSVLRGHTGGVTAIAFSPRPGSVYHLLSSSDDGTCRIWDAVHAHNPPRIFIPRPSDSVAGKNNGSSSTAIQQSHQIFCCAFNANGTAFVTGSSDTLARVWNACKVNTDGSDQPNHEIDVLAGHVTAVNYVQFSCCSVSSRFSTADSLKEESVPKFRNSWFSHDMIVTCSRDGSAMIWIPRSRRSHGKMGRWCKHFQLKVPAPPMAPPTSRGPRQRTLPIPRGVNMLIWSLDNRFVLAATMDYRICVWNFADSSPVHSLTGHTDSTYVLDVHPFNPRIAMSAGYDGRAIVWDIWEGSPIRIYDISHFKLVDGKFSSDGTSIILSDDVGQLYILNTGQGESEKDAKYDQFFLGDYRPLIHDTSGYAIDQETQKNTYTRNMQDLLCDSGMIPYTEPYQTMYQKRRLGALGIEWKPPESENFAVGPDFSQDYPMPLPDLDAIADPLPQFLEVMDWEPENELQSDDNDSEYNVREEYATGGRQGSLGSSSGDTSCSTEDSDIDDTHKDPLRRSKRKNQKAEMTSSGRLVKRRNLDECDGNLFRNSRIRRSRNGRKALRKKASISKSSRPRRAAARSALHLFSKLSGTSTDLEDEDDSEADSSDSESMIRDSYGESDESDTALPNKQIKYLKGKEVLLGESEDVAKSNELPESHNAGNRRRLVLKLPGRDPNKLLPPDNSVGLFCKGSKEATEGGVQDISSLDLGCSSGDAIYSMVGRGTTGKYKIEDHLDLNRGYKNGAIKWGGARARSSKRPRLGETMSLEACTWSRQCFDNHKERDNYGNGYVKPEKVCAIPSTPTEVQTCSNMNGKMLEKNIGNDWEALDDAGNGEERSGPSKQTNYHESPVNSFAEDTPCPTVHPDGSDQPSEVKEGFTLGSTNFMLISERTTSNIGSLGLEVKLSEEDHMSSVSTALNDSSSDMRKNLVSGVNGSNDISLAHEGHGLQDSDAQVDRPISTAHDSMGLSQDSKMYGVVYRRSKTQRDRLNSEGDGTRGESTSNGCNQNMSTVADQNEGSMHGTHNKRSTSLKAGQALQLKDIETSTLGGSTNGSQHPSEECGSSSKMAVRSRSTRNRRSNKYFHDTSPIRKPHQPARKVSWLMLTAHEEGSRYIPQRGDEVAYLRQGHQEYIESMRLTEAGPWILMKGGNMIRTVEFCIVEGLEYSSVPGSGESCCIMTLKFTDTSSSLFNRSFKLTLPEVTGFPDFIVERTRFESSMDRKWSCRDKCRVWWKDDTDDDGNWWDGRIVAVKPKYSKAPDSPWEIYSVQYKSEPNKPLLHSPWELFDKETQWEQPRIDSKIRDALLSVFVKLEKSSQKVKDQYAVNKLNQVSQKSSFKNRFPVPLSLDTINLRLENNYYRSLEAVKHDIEVMISNAESFFDRKAEPTSKLRKLASLFNRTLSSSQPS >OMO53533 pep supercontig:CCACVL1_1.0:contig15187:40907:41254:-1 gene:CCACVL1_28566 transcript:OMO53533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNQVRVHVSDSVEHEFDKLHRIADRYMVYPLAAVEGAVQGVARGFHNLVTHKHPSDDRLVTGRPPPAAPAYQHPVQPYVCYGYPLPPPPPPAAAPPPQFQYHPAYPVPCRPPF >OMO53546 pep supercontig:CCACVL1_1.0:contig15187:83142:84940:-1 gene:CCACVL1_28579 transcript:OMO53546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKPQALLIQSKRKKGPTRISATTILSCNLIVLLLVLSLIATYRHWLQRAREQTGSGLSTSEHVKDVVDSNKYDLPGFAVLNTSKGYITIELFKDASPETVDKFLDLCQKGYFKGMPFHHVIKNYVILGGHSPGTESIEDWTSKVKLHSQLTTSPKHEAFMLGTTKVKEDSKAFELFITTAPVPVLNDKLFVFGRVIRGEDVVQEIEEVDTDEHYRPKSPVGIIDVTLKQET >OMO53539 pep supercontig:CCACVL1_1.0:contig15187:56292:57881:1 gene:CCACVL1_28572 transcript:OMO53539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MAEDKYNLKNPAVKRILQEVKEMQSNPSDDFMSLPLEENIFEWQFAIRGPRDSEFEGGIYHGRIQLPAEYPFQPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTPPNGALGSLDYKKEERHALAIRSRKAPPKFGSPERQKLIDEIHEYMLSKAPPVPQLSSSQATKEQAGNSDGEAEVNQQDSVTVAAGDGMPNQAEGDRVLEEEPVAPAIAMADPLPAEIRLVARGFPPPVLTNQQVQRPQTRVQKSSDDRLLTWAAIGLTIAILVLVFKKFMKSSGHGAVFMDGS >OMO53545 pep supercontig:CCACVL1_1.0:contig15187:78171:82419:-1 gene:CCACVL1_28578 transcript:OMO53545 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MTLSNGASLMEIDNDRNQEGEEDPFLAFIEYARSVLSPEEDDDPNGNEAGNTGPGWSWIVSRVLRTCISYSSGVTAAILLSDLSLAWSEQNRAGAPRKRAEIINQLKRKHRRSKLPNTVTIDSIYEKNFLSLSSVLEAVIVDAFLLPGTNIYMLTLGDYWSSNTIDLYLHRRYYDLVEPPNGILKKGREVFVTGCYLRTAREGPGRPRLLPTEYLVILLDEDLDDDAILIGAQFCSDSFSSISLDAVKNGVSYSFYARIESIGSLEILENCGSIQRKQITLVDNDGVKLKFLLWSEQVILANLFSVGSMLALDRPYIASTAETAIETSADFCLEYGSATQLYLVPFVQYEEQVCLSLTQNRYQGSKLHVAVDPTQGPRVSQVALPCDSQGSIDYSNYPLQSFVVDLRDKMTGISLYGVVTDVFRETNTEEVIFSLKIEDVTGAVWAKLHFSKSWSLGRVSVGHMVYISGLTCSKTKQNGFEVSWFEKDAGANFVNLSCLPALLNSSCLHKLSNLSDLSSSRSSMHICQVWLDQVDHCHVTTRFSHASCGHFVKEMPSGVVECSFCQCQCNCDAEVVRVFYLKITLADENTKIFAWCTGQTATELLQISADEFYELPEDEQVMYHSSLEKDRFTVALVNCKRQEYGSCDSLTPEANPVSWEITRALKCE >OMO53531 pep supercontig:CCACVL1_1.0:contig15187:36840:37388:1 gene:CCACVL1_28564 transcript:OMO53531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAINNGFSHVFNVKTKQVPRENSSMSVDPMFQIELEVTFIVVKKSGRRAVFTEQGRFHSNAMHQFPLDYMVSNASDAVLNMLNSLLVPIDSVAVEDISGSALRLARAMKCGGNSFSRVLKVEIEAVVDKLPDDDDKHGIISFKPIKTCLLISFK >OMO65618 pep supercontig:CCACVL1_1.0:contig12635:19348:21275:-1 gene:CCACVL1_21468 transcript:OMO65618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSVETSSGCKRQRVCEGEEEDRISSLPDSILIHILSFLPTIDAVRTVLVPRFRHLWRFLPTLTFDHSWYTNYDYPQGDIPCFHKKFLKFVRHVLSFHQNAIIDKFVLKLELNLRYSILEGLHLNGFNDYANRETRMASEVDSWIHFAMRKNVKVLDLDFVEAGYSNPTASYRLPSVVFRGKYLTQLELVACEIKLIGEIQLNCLKLLYLKDCVLNYEIEQIISGCSVLEKLSLIGCCSPSRLAFRNPSIKSLILYHEALYKERLEISCPSIETLNLAGAMEFVDFVDVSSILVSSTYFCFGLENSSDKYQKVVELLKMLSRGKIFRTCNWSILVFSEWQLTNQPDLLFGWKHLEFELHPTKWHQPGISCLLRTSPFLETLAMYIYTEPDQLSPPDKFKWMKSHNFDGENFWSSQEGTIDCLQNQLKTVKVYGYITEPYVFDIIEFLLKNAMVLEKLEISTEATFNPSHSALYCSHWKAEYTKEQQVEFAHKLFSLQRASSRAVIHFSP >OMO65617 pep supercontig:CCACVL1_1.0:contig12635:2198:4195:-1 gene:CCACVL1_21467 transcript:OMO65617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIVETTSKRQRVCEEEEVDRISSLPDSILIHILSCLPTKDALRTVLVPRFRHLCNFLTTLAFNHSWYGTGEEGCKDFLDYVRLVLLDHQNGTIDKFALKMEVNFLYSKLVDNANDDDDDGGYIVDYADLYYAGLEKRVASEVDSWIHFAMRKNVKVLDLDFLVYGEPKPNASYRLPSVVFRGKYLTELKLGACEIKPVGKIQMNCLKRLFLKDVVLNDETINQILSGCFVLEELSLISCYGLSRLGFGNPSIKSLILNHARKGERVEISCPNIESLDIAGYMDLVDLVDVSSVVDSSISLGYFTGSLEEYKSLEALFKKPGCCKMFRLCNSCILVFSIWQLRNQTGLLFGWKCLEFVVELTKWHLPGISCLLRTSPYLETLAMYVYPEDDKTFKLDNCEWAKQYDFDGGNFWRLQEGTFHCLEKQLKTIKIYGYITEPYVIDTVEFLLKNATVLEKLEISTKKTLKHSHQANSSCQKVELSAEQRLEFSQKLSSLPRASPRAVIHIS >OMO81675 pep supercontig:CCACVL1_1.0:contig10127:20938:21676:-1 gene:CCACVL1_12300 transcript:OMO81675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKLNHNASERDRRRKVNNLYSSLRSLLPAAAQLMVERISNYNVESEVLREKLLSLFE >OMO81679 pep supercontig:CCACVL1_1.0:contig10127:54914:56950:-1 gene:CCACVL1_12305 transcript:OMO81679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYNFKKITVVPNGKDFVDIILSRTQRQTPTVVHKGYAISRLRQFYMRKVKYTQQNFHEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNLISKIAKDYVKLLKYGDSLYRCKSLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYTIAQQAALFHSIKSLFMNKPLIIVCNKTDLQPLDGLSEEDMKLVREMQAEAMKTVMGQGGEASNEEGVLLTMSTLTEDGVINVKNAACERLLNQRVELKMKSKKINDCLNRFHVAIPKPRDQKERPPCIPQAVLEAKAKEAAQKEKRKTEKDLEEENGGAGVYSASLRKKYILASDEWKEDVLPEILDGHNVYDFIDPDILLRLEELEREEGLRQAEEGDDEFEMDDDDLSPEEREALAAIRKKKSLLIQQHRIKKSTAESRPTVPRKFDKDREFTTKRMGRQLSRMGLDPSLAIGRARSKSRGRKRERSLDRGDNDGGEAMDMDVDRPKKMLRARSRSQSMARSRSTSRPPSEVVPGEGFKDSAQKVKAYKLAKKSVKKRNKDARRGEADRVIPTLKPKHLYSGKRSTGKTDRR >OMO81674 pep supercontig:CCACVL1_1.0:contig10127:7643:10260:-1 gene:CCACVL1_12299 transcript:OMO81674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALAPFPTPSWPLVNPIGSYEREICSIESLFLQIPPPPSQEEIHQVDYQYSPSFTSSDPKMVRKLNHNASERDRRKKVNNLYSSLRSLLPAAAQMKKKLSFPATVSQALKYIPELQKEVERLIEKKEKVLERVSKQGAGLKLEEKQRKSRNNGRSLLGAAVSIKGLTESEVAIHITMAKAHKKSDQLSEILQYLEQDGMFLLLNATSFESFGGMVFYNIHLQVERIENYKVESEVLSEKLLSLNKEETVVESEVRNWLMQVEKTMDEESKRVEGFGDQTRKKCFQGLGLDFSSHYLDSKTSEEDVQAVDVLLQQVQVKQLPCLDKPQDTVAISTEGFGAYDGYGYGYGWRR >OMO81681 pep supercontig:CCACVL1_1.0:contig10127:74887:75006:1 gene:CCACVL1_12307 transcript:OMO81681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQAVIASPKEVDPKTAYRPVLKAITMLTIAMKIKQSAQ >OMO81676 pep supercontig:CCACVL1_1.0:contig10127:24428:27666:-1 gene:CCACVL1_12301 transcript:OMO81676 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MMTEEDDPYPSSSVKMKLPFYVFFKDVRNVFKLDELGSEIAQIALPAALALTADPIASLVDTAFIGQIGSVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDTIGRVSSEEKESEYMETGLCVNNENKELIPQKGCGEDAYRTKESSFDITKSEPERRHIPSASSALVIGGILGLLQAIFLISGAKPLLNFMGVKSDSPMLNPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVTNIILDPIFMFVFGLGVSGAAIAHVISQYLISVILLWKLMSQVELLPPSLKHLQFGRFLKNGFLLLIRVMAVTFCVTLSASMAARQGSTSMAAFQVCLQVWLATSLLADGLAVAGQAILASSFAKKDYDKATATASRVLQLGLVLGLILAVILGGGLKFGARLFTKDIDVLHLIGTGVPFVAATQPINSLAFVFDGVNFGASDFAYSAFSLATSCSNCQHYMFVYSFKSWIHWTLGSFDHIYESSSICRVLEDRDRNRAMEVPEGLNK >OMO81678 pep supercontig:CCACVL1_1.0:contig10127:46022:49342:-1 gene:CCACVL1_12304 transcript:OMO81678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKPDPTAVKGGINGGGSSSNTNKLRKGLWSPEEDDKLINYMLTNGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEEVIVHLHSILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNLSSTPSPNASDSSNSEPNKKDVMAAAGFMSSMQEQGINFPMYMDLSSTSSTSNSSLQSMVLNQTCNSLAPVLEHDLNMFGSAAAGYFNPAAASCVTQVGVNSDNFYGENEIFGSVDNGVEREIYVPPLENIGENLKTENTYDRNINNPFNIISSSNHNIKTENLAGGGAAVGNIWLGEELKVGEWDLEDLMKLDVSSLPFLDFQS >OMO81680 pep supercontig:CCACVL1_1.0:contig10127:58199:59556:1 gene:CCACVL1_12306 transcript:OMO81680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRPLSRIEEQEAKKDMDVMDDSDFVEIRSAHLGSTLPPTNDSHEMDPILGRKSSKCRRVYVGNIHPNVPMQKLQELFESSGAVEKCQIIQNDKSVSYAFVDYHDPRAAHLACVNHNQKKLNGQAIRVKMAYAETHEIYVGNLCSDVSDNTLLHCFKRYSCWKAEVMRDKEGLSKGFGYVSFRLKENAQVAINEIDGKWLGRNQIRCDWPRKAPLSND >OMO81677 pep supercontig:CCACVL1_1.0:contig10127:37324:40834:-1 gene:CCACVL1_12302 transcript:OMO81677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/sulfate symporter MASVALTSSCSLGFSLVKSRDLTHRSGLNSVFRQPSFLKSSSNNSSFTKALSLGPIISNNRVLRNYRKLTVKAAASTPAAATPPSQQPWQGAALKPLMASIATGVILWFVPVPSGVSRNAWQLLAIFLATIVGIITQPLPLGAVALLGLGASVLTKTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNAGDGTENKLGSWLMLTCFQTSVISSSMFLTAMAANPLSANLAFNTIKQQIGWTDWAKAAIVPGLVSLLVVPLVLYLIYPPTVKSSPDAPKLAKEKLEKMGPMSKNELIMAGTLLLTVGLWIFGGMLNVDAVTAAILGLSVLLVTGVVTWKECLSEAVAWDTLTWFAALIAMAGYLNKYGLISWFSENVVKVVGGLGLSWQMSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPPYLGALVLSFLSNLMGGITHYGIGSAPVFYGAGYVPLAKWWGYGFVISVINIIIWLGVGGIWWKAIGLW >OMO93653 pep supercontig:CCACVL1_1.0:contig08084:62142:68663:-1 gene:CCACVL1_06411 transcript:OMO93653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNDFNLDCNNMHTGNPEPETVHLHEEASSSGEGIQEMQNTILSRVELNLAYANEKLVNLQVLLMFLLGSDNDPEAMASGNSDISAQFLEKAFVFDLLCGILDSEVREVESFLDTIQVAIVDARHEIYSCRDLGASFHEMEEKLHDSEESMKNCQELVSEVKMQSTKLQHSFSYFRHDNWNDDKAMDIFEHHQLSNFIGKSKVKSTVEQQKHFLRVLEKSLARELDLEKKLSELGQNEEQLKMKLHYTEQVALRMEEAAEVVWGRFLEAENAAEVLMGISKEMVGRLQIVQFNLNNSIHREADLKSKLEGCIEELNAKDIALKKLEISNAENAAKASEVLTLKKKVKLLEEQLKESELQLENANAANETSQEHLHEMEIVIDSLKENIYEAESRAESAEAKVTELTEANMELNEELNLLKANNDNNTKKVTSLEKQLREKEIQLQHSKASSEASQEQQNMLYSAIWDMETLIEDLKSKVSKAENKTETVEEQCIELSETNFELNNELSMLRDKIECLEASLDQANNEKEANAKEINHRTKLLTDMVSQLATERERIQNQLVLLVKENAILVKKLQSKVKIESRDDDGEEISTYKSDSNIDTCTKAFEKVAPSATSVQAGTLQVDEPSKDEYSDRTEDGNSDTITDADTDTCKRLTQLPIPQNKEARIHRHEVSNEIHYAFFIPVLDKPLSSNCYYVLQSRGQNKGKAFKSCKMEDMPTDRFGNSSDYDTSIKPQPADYRDIYQQFKFYPRDWTKDFSGKSVASDGIPPLLLRVFRAGTLTTPNFMLGEARGLDIELRTRLPEFNFPLSCNTSEPVVVGKWYCPFIFIKDGSPEDQVKKSVYYEMTLEQRWEQVFACDNGGNCEDDAAVVIDVKVEREVFRVGGCEKETLPYGSVRVDDGVMWFKSCNREVKIGLSLAIVERMKWEQERFGWTSKEEMQERIKRVEEFGNEEGKIWKKFGCYILVERFVLRRMDGSLAFTYDFKHTHQIRSKWE >OMO93658 pep supercontig:CCACVL1_1.0:contig08084:126018:126526:1 gene:CCACVL1_06416 transcript:OMO93658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGRVTFLLILLLCTAWISIGQAIQGGIPVSSDLAVTSAATNNKRMVIINRKLLKGFMKGAAEGSYTSKFSSINSKCGNKNLAAGGCEQSVTHFSNEVEDHDQSGFVAFNADYHAPRHHPPKNN >OMO93647 pep supercontig:CCACVL1_1.0:contig08084:26580:40908:1 gene:CCACVL1_06405 transcript:OMO93647 gene_biotype:protein_coding transcript_biotype:protein_coding description:Menaquinone biosynthesis protein MenD MRFDGPMIDANELEHGDLVVETCITRSLPPALTLEHGLQSIKEAVEELKLNPPCSSSGILRFQVAVPPSAKALNWFCSQPESSAVFPLFFLSKEINSPTYKSLYLNTARGVFGIGAAVSFTNSSSVQRELSSIRRLMLFYYDSIVSTYGFLDINFNTESSSVEHEAGSFYFLIPLIELDEHEDISILAATLAWNDSCLHTFEQAIHSYEAALCQASSHFWPTTERCQSKCIRSAIRKLNVDEDKTVQMVYMNAFASGRKDFGAYPMQLRGASSFNQFCFKFSPTIGVACNMLASSSTSSIQDCANINAVWASLIVEECSRLGLTYFCVAPGSRSSPLALAASAHPLVTCISCFDERSLAFHAIGYARGSQKVAVIITTSGTAVSNLLPAVVEASQDFVPLLVLSADRPPELQDCGANQSINQVNHYGSFVRFFYSLPAATDEIPARMVLTTLDSAVHWATSSPIGPVHINCPFREPLDGSPKKWNSGCLEGLDSWMSNAEPFTKYILVQHSYSCNYDTHGQMAEIIEKIQRANKGLLLVGAIHAEDEIWAVLLLAKRLQWPVVVDILSGLRLRKLLSSFREVEENILFVDYLDHALLSDSVRNWVQFDVIVQIGSRITSKRISQMLEKCSPCSYILVDNHPCRHDPSHFVTYRIQASAFEFANILLKAQFPHSDSSKRHGYLQALNMMVGQEISFQICAQHSLSEPHIAHVISKALSSESALFLGNSMVIRDADMYGCNWTSDNLNVADIMLKTELPCKWISVAGNRGASGIDGLLSTAIGFAVGCNKRVLCVVGDISFLHDTNGLAILKQRMLRKPMTIVVINNRGGAIFSLLPIADRTEPRVLNQYFYTSHNISVHKLCEAHGVKHLEVKTKMELNEALLSSRLGETDCIIEVQSSIDANANFHSCLRKFASQAADHAFTILSKLSLPETTPQGCFQGKILSLSYSLYRIPLCAPPTSSSFNRDHTRFYREGFIFSLTLEDGSIGYGEVAPLEICCENLLDVEEQLRFLCHVMKGAPIDYFLPMLRSSFSSWIWTNLGIPACSLFPSVRCGFEMAILNAIAVSQGSSLLNILHPQRAKEEENSEGLSSVRICALLDSGGTPEEVACIANALVEEGFTAIKIKVARRADPVEDAAVIQEVRKKVGFHIELRVDANRNWTYKQAIQFGCLVKDCNLQYIEEPVQDEDDIIRYCEESGLPVALDETIDNCPENPLNMLVKYSHPGIVAVVIKPTVIGGFEKALLIARWAHRQGKMAVISAAFESGLALSTYILFSCYLEMQNADTCKLMNNKLAPSVAHGLGTYRWLEEDVTTDLLGIGRNPRTGFIEGSVADATRILHQFQMNHNIIQRSFTSEEALQYHLTLDSNDFSCSINVQEIGQRTEGSLIIFLHGFLGTNQDWVPIMHAISGSARCISVDLPGHGVTSTNMKNSSDDKATQSPTLSIEIVADLLHKLIECITPGKVTLVGYSMGARIALYMALKFSDKIEGAVILSGSPGLENAVARRNRRAKDDSKARSLVTHGLQLFLNNWYSGELWKSLRSHPNFNQILAGRSLHDDVLGLARVLSDLSTGRQPSLWDDLKHCKTPLMVIVGEKDEKFKAVAEKMWDEIGHGGTGRDGEYSVSELHEMVVVPNCGHAVHLENPLPIIRADAMDAVEKHVKILETRGVSMLPKSQETNDSPSPNASSNNNQDLSVAIFPGLTQAYKKLRSFAGSILRCNPSNHTMNQNNEHPLPPNSHVIDLPCNESSDVSAAANMNPKTCSQSNRGHNGGNTSTVDHYGPLPLSIRIIGIVTVIGFSASLIGILLHKQHPVLAAIAEKLGSASAALGFFVTMSVLLPGSISQLIGWSAASSLSSLLPTPC >OMO93657 pep supercontig:CCACVL1_1.0:contig08084:124703:124789:-1 gene:CCACVL1_06415 transcript:OMO93657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKANQPTTGKHIFTYARSLSHVSNNN >OMO93659 pep supercontig:CCACVL1_1.0:contig08084:127778:128920:1 gene:CCACVL1_06417 transcript:OMO93659 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAALGKLHDPLIHWFNSHPDPPVAIISDFFLVGPLSLTGPDVSGRGNSDSGSNPNDQMVLTWLDGLLVEEMGVSVRVCEGADSVPDSDELGRAIAESMSDGGGLKLKAKELKEKALAAVSNGGSSIKDMDRLVEEINKLGSK >OMO93655 pep supercontig:CCACVL1_1.0:contig08084:86742:104721:-1 gene:CCACVL1_06413 transcript:OMO93655 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MWRSLVKQASNRKKFKFASEPCAGSLSSCSSSGFSQGSVFSNKLSASKARSSGFHRFPVLGFHQYSVFSSQRDDLGRFSLTQSGNPSSFSGKFWDSKTYATSAEAVISTEEDLSGSEEINELIEAMGKELSLNQPKITVGGMTVAKYNMLKKRQIKIETEAWENAAKEYQELLMDMCEHKLAPTLPYIKSLFLGWFEPFKDAIAAEQELCKESSRTSHRLYFNDLSADMMAVVTMHKLMGLLMTSSAGTGGVRVVQAACQIGEAIENEARIQRFLEKTKGKTTVKEPDTESEIGTNEQDKQVKDQEKLRRKVNQLIKKQKVRQVRFLVKGHDTEKPWGQEAHVKVGCRLLQLLIENAYIQPPVDQLGDAPPDIRPAFVHDLKTIAKDGNKGSRRYGVIECDPLVRKGLEKTAGHMVIPYMPMLVPPQNWTGYDRGAYFCLPSYVMRTHGARQQRETIKRTPRKQLEPIFEALDTLGNTKWRINKRVLGVVDRLWASGGRIADLVDREDVPIPEKPDTEDEAEIRKWKWKVKNAKKENNERHAQRCDVELKLAVARKMRDEEGFYYPHSLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYEGRVAFTENHLDDIFDSADRPLEGRRWWLNAEDPLQCLAACINLSEALRSSSPENTISHMPVHQDGSCNGLQHYAALGRDKLGAAAVNLVAGEKPADVYSGIAARVLDIMKSDAEKDPATHPNSLCARLLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERCAIADDTQLFTAACYAAKTTLTAMGEMFQAARSIMNWLGECAKVIVSENQPVHWVTPLGLPVVQPYRQLGRHLVKTSLQVLTLQRETNKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKKAGLNFAGVHDSYWTHACDVDEMNRILREKFVELYEAPVLENLLENFQKSFPSLKFPPLPERGDFDLREVLESASDGFEARHFCVSCIELVEEGMQSEMEASNEASDHQSFSEYMRAAYHSRTGSLEAAAALIWMSIDNKSFACPFESLVEAISEITESITCVEIEQEKFIEIGCYLYRICPAIRELQQTENNPKNVNEILQALSQNINLSKDLVAKCHKGKNPPVSDTELRSIIVQLEGAINDIGECLCLIPSATFEGEEYAEKVVRSLSDEMQNFHFEAKQTQVKQPKELVPQMSFSSEQQKQEQRSMESDLYATDDVEISISTDNSQVLSMPRLVDFLNFTTSQGSKWKQDNINNSMKKLPQVAQYIEPLYDTFFCPLTKQIMDDPVTIESGVTYERMAITEWFGTFNDEEDVICPTTGKKLKSRVLNTNVALKTTIEEWKDRNEAARIKVARAALSLASSDSMILEAIRDLQQICRRKQYNKVQVLSVGIVPLLVKLFGYKERDVRCAALELLRQLAEEDDEGKEIISKVMDISALVELFSSSHQQVRHASLLILLELSKSQALGEKIGSATGTILMLIRIKYNRHVDSFASQIADEILQNLERCPDNIKRMAEYGFLEPLLYHLTEGSEEVQMEMASYLGEIILGHDSKTYVAERASPCLITMVQSGNTIIRKAAFKALAQISSYHPNGRILVEAGIVPIMAEEMFSRRIYDEPMNSKKEAAAILANILESGVECENTQVNTHGHKLSSDYVVYNIIYMLKNSTPDELNINLIRILLCLMKSPKSMERIVSVVNESEASYTLIELINYPHEQLGVAAIKLLIALAPHVGNTLAERLCKTRGQPENLIEGWSETNHITEKQAVSAKFLAKLPNQNLTLNLALLNRNVVPTILQKISLIQRSGTRSGRHASVYLEGLVGILVRFTTTMYEPQILFLARTHNFTAVFTELLTKTSSDEVQRLSAIGLENLSLESINLSQAQPPQLKTTKFKKMFSLPKLLSSSSSKRRNLPTMCPVHRGACSSQNTFCLIDANAVERLLACLDHENDEVVEASLSAISTLLDDKVDVDKSVSLLSEVNAVQHILNVVKEHRQEGLWQKSFWMLEKFLVKGGNSATDISQDRLLPASLNTMASFSVEDFVGNGVLKGLLPKLLEEGWDDVPTLKIMNSEDMDDALEIRTYLHDRALMQYGDKLEASGKCLPELLNLSTEDLSSQFGMKRGHIARFTDRTTACADPLPKSYGPPARKTGTPSRNNSIYKNFASVNSNKMQVPAKSFGRSSTNNGKSLEESLASFKIKDGYVFKGIVAAGPPVPRACGCVQPPPVVENVASYSAIDSISVQKLTPEYKIGMERLVKSKTPPMKASELWRDKPAVLLCIRRPGCIMCRAEAHQLYTKKPIFDALGIQIFAVLHEHIESEVKDFWPRYWGGTVIYDRTMGFFKALGGGKLLKDKFLSGFLFNPRALANYKRAKAMGIEQNFRGEGEIKGGLFIVGQGRTGIAYQFIERNFGDWAPVAEVIEICARLQVIVVNSLSPNCSIADPYYNHLFFNLIQNQQQEQGVSSKSPHEYE >OMO93650 pep supercontig:CCACVL1_1.0:contig08084:51107:51217:1 gene:CCACVL1_06408 transcript:OMO93650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKAEGLSCFASKISLPAKFGTGARHSLPLCSRHH >OMO93652 pep supercontig:CCACVL1_1.0:contig08084:60797:61646:-1 gene:CCACVL1_06410 transcript:OMO93652 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 16 MEFLLTFFFVCILALNSSSSSAFPIPGKVPFLSFKESFSTLFGDQNLHLLDSNGKSVQISLTKSSGSGFKSRNLYTNAFFSASIKLPSNYTAGVVVAFYTSNADVFKTNHDEIDFEFLGNVEGKKWLVQTNFFGNGNMQRGREER >OMO93648 pep supercontig:CCACVL1_1.0:contig08084:43047:48177:-1 gene:CCACVL1_06406 transcript:OMO93648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGTQGREFSHDVNFGMKISCSTNAECNKKCDPSNDVDVNGTGSARAASGVQQLRGAEARGPFCCPRMVNCTEVCQGFPSHCDGCNCICGGAADEAAAAAATINTSPLPIN >OMO93644 pep supercontig:CCACVL1_1.0:contig08084:12686:12769:-1 gene:CCACVL1_06402 transcript:OMO93644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESFCHQLTEEELCFVQKKPEENPR >OMO93646 pep supercontig:CCACVL1_1.0:contig08084:23527:24924:-1 gene:CCACVL1_06404 transcript:OMO93646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MASFQKLHGFSSQFLLLVIVLMFLSTVPLALGQCEEETENSCHNKAEATKLKLIAIAAILLTSMIGVCAPLFSKLIQALQPDRNLFIVIKAFASGVILATGYMHVLPDSFNDLMSECLPENPWRKFPFTTFVAMLSAILTLMVDSFAMSTYKKHCSKGLVADGGDVAGSLENGNSQIQNLGHGHAHVSAFEKNDVASQLLRHRVIAQILELGIIVHSVVIGLAMGASDNQCTIKSLIAALCFHQMFEGMGLGGCILQAEYKFKMKAIMVFFFSATTPLGIVLGMLLEKVYSETSPTALIVVGLLNACSAGLLNYMALVDLLAADFMGPKLQTNMKLQGWSYVAVLLGAGLMSLMAKWA >OMO93643 pep supercontig:CCACVL1_1.0:contig08084:5923:10290:-1 gene:CCACVL1_06401 transcript:OMO93643 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60 MACSPAQIPGISFTNPTLPKAKWVSASHYYKLKIPRAMAKEVYFNHDGSATKKLQAGVNKVAELIGVTLGPKGRNVVLENKYRPPKIVNDGETVLKQIELEDPLENVGVKLVRQAGAKTNDLAGDGCTTSIVLAHGLITEGVKVISGGMNPVQIARGIEKTANALVSELKLMSREVEDYELAHVAAVSAGNDYSVGKMISDAIQQVGRKGVVKIEKGKCTENSLQIVEGMQFDRGYLSPYFVTDREKRIVEFHNCKLLLVDKKITHPKEMFKILDSAVKEKYPVVIVAEDIEQGALAPVIRNKLKGVLKAAAIKAPSFGERKSHCLDDIAVLTGGTVIRDDMGLTLDRAGKEVLGSATKVVITKDSTYIVTDGNTQEAVQKRVSQIERLVENTEENFQKKILNDRIAQLSGGIAILEVGAQTPVELKDKQLRIEDALNATKAAIEEGVVVGGGCTLLRLSGKIDGIKKLLDNQEQKIGAEIFKRALSYPTKLIAKNAGVNGSVVVEKVLSNNDTRYGYNAASNTYEDLMKAGIMDPTKVVRCCLEHAASVAKTFLTSDAVVVNIVDTKEIKPIPKMPKMPKMPNLTNSAFPKQLLPTYQ >OMO93651 pep supercontig:CCACVL1_1.0:contig08084:54606:59580:1 gene:CCACVL1_06409 transcript:OMO93651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKASFVVVASLLVVLIVASYVSESVAAESETKKLETAAVASNDAASINQIQDACSRDTECSNVKAMILVSMVPGTQGQIFLPCKNTQECAAIVCAQGTAQCINGQCQCVTATVASNDAVKDACSRDRDCSLEPTCAGCNVKQCINGVCVCSDCGH >OMO93654 pep supercontig:CCACVL1_1.0:contig08084:79345:82728:1 gene:CCACVL1_06412 transcript:OMO93654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGEKDKYELERRNENHMNYQAPGMSSDWQFGGANLTSTSMNLVPSDNPMAIGSTCASASVVDSFGSNLWEHPSNSQNLGFCDINAHNGPSSSNAMGIGKAGPNPLRNSIDRPFDMGWNAASAMLKGGILLPSPAGILPQSLSQLPADSAFIERAARFSSFNGGNFGDMVNPFGIPDSMGLYTRGIGMMQSPQDILAISGMKSVSGGVESQRSKLNAVEASREASLQVENRAAQESPLKNERRSESLVRSNEEAKQGTGGSGNESDEAEFSGGGGGGQDEPSPMDGTGGEPSAKGLSSKKRKRSVQDTEVGQAKGGQPPVEAAKDNTEDQQKGDQNQTTTTNKSTGKQGKQGSQASDPPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGILGKDIIQTRAGPSSTLGFSPDMSVVYPPLHPSQPGLVQAGLPVMGNTSDVIRRTLSSQFTPMTGGFKEPNQLSNAWEDELHNVVQMNYGTAAPSDSQDVNDGSEKYFVQFSEM >OMO93656 pep supercontig:CCACVL1_1.0:contig08084:113476:121158:-1 gene:CCACVL1_06414 transcript:OMO93656 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MGTATSSMAAKFAFFPPNPPSYKVVVDKASGKLRMIDVENQSENVDIVKLNTKKGNTIVAMFVKNPSASLTVLYSHGNAADIGQMYHIFTELSLHLNVNLMGYDYSGYGQSSGKDTYADIEAAYKCLEESYGLKQEDIILYGQSVGSGPTLDLAIRLPCLRAVVLHSPILSGLRVMYPVKRTFWFDIYKNIDKIPLVNCPVLVIHGTEDEVVDFSHGKQLWELCKEKYEPLWLKGGNHCDLELFPEFLIHLKKFISAIEKLPRLHQAKDIQSDKPNLNNEAEQSNEKSRSSIDHREKGRPSFGQREKSRLTTENRSEKPRASIEKREKSRKSVDRNTKARNSTDHSERARNSFDRLGDMVRSVGLCNVDCLRPTAAEGLASMAPVLSRSLATPSLASLPLTNPNKAFAFRSAFLPQNGLNKAFSCSGLRWKFEKKNNRIAVRCEASAVAEKDAEEASGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGDAGQLEIRIKPDPDNGTITITDTGIGMTKEELVDCLGTIAQSGTSKFLKALKVVVSTKSPRSEKQYVWEAVADSSSYVIREETDPEKLLHRGTQITLYLRSDDKYEFSDATRIQNLVKNYSQFVSFPIYTWQEKSRTIEVEEEEKPKEGEEEKPEGEKKMKKTTKTEKYWDWELANETKPIWMRNPKEVEKDEYNEFYKKTFNEFLDPLGHTHFTTEGEVEFRSVLYIPGMGPLNNEDVVNAKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSDDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQEIADGENKEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEDEVKERETKQEFNLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQALGDTASLEFMRGRRILEINPDHPIVKDLNAACKNAPDSSDAKRAVDLLYETALISSGFTPDSPAELGNKIYEMMAMALGGRWGRSDEDEVETSQGGDAETDTSSGEVTATQVIEPSEVRTESDPWQD >OMO93660 pep supercontig:CCACVL1_1.0:contig08084:130873:133709:-1 gene:CCACVL1_06418 transcript:OMO93660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKWKPISFLAKPHILSPLSSLILFKTSVSVAKLVEEEIPSLSHPLKDPVFEILSGLKRLGFWRFLAGDDFRNLSSSLNQLHVDKIVDFLRVESPDSAVVFFDFMRNEYRFRHSKFSRFVVAHVLAGQRRFNELRFLLEQLVKEEGSGSAPSLCELLLNGFKGWDKNSWIWDMLAFAYSRSEMVHDALYVLAKMKDLKLHATILTYNSLLYNLRHTDFVWDVYNEIKVTGTTQSKQTNSIIIDGLCGQSRLQDAVSFLQETEGKGLGPSIVSFNTIMSRYCKLGFADVAKSFFCMMLKYGLFPDAYSYNILIHGLCIAGSMEEALEFSNDMEKHGVEPDIVTYNILTKGFRLLGLMNGAWKVIQRMLNRGLNPDLVTYTILICGYCQTGNVEEALKLWQEMLSHGFQLSVFSYSVLLSSLCKSGQVNEAMLLLYEMEGNGLEPDHVTYSILIHGLCKQGEVQRALRLHKEMCSKRIIPNSISSGAILLSLCKKGMILEARRYFDSLIMNNWAQDIVLYNIMIDGYVKHGNVEEALELYKLISTKGITPTTVTFNSLIHGFCKRGNFAKAKRLIDAIRLHGLEQTAVTYTTLLNAYCEDGNMHSMIELLREMDARRISPTHVTYTVLIKGLCKQRRLQEAVQLLEDMRNKGLNPDQVTYNTIIQCFCRAPNIKGALKLVNDMSLNNLEPTPVTYNILINCLCVYGKLEDADKLLGSLQERNVSLTKVAYTTIIKAHCVKGDVHRAFMIFSLMVGKGFEISIRDYSAVINRLCKRRLISEARYFYCMMLSYGISPDQEICEVLLNASHQSCDFISVYELLASMIKLGLRVS >OMO93645 pep supercontig:CCACVL1_1.0:contig08084:15549:16154:-1 gene:CCACVL1_06403 transcript:OMO93645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGELTGLHYLAPENPMMIPSNLGGMMQNTIPAFHFNRFLSSLPNSHTPQPAAYEFTPQSSSISNNSTSDEAEDVHQLSIIDERKQRRMISNRESARRSRMRKQKHLDELWSQVIRLRNENNSLIDKLNHVSECHDRVLQENARLKEEASDLRQMLTDLKIGSPFSLALRELEDQVPCNTAHLRAESANQSITNSIDLLH >OMO93649 pep supercontig:CCACVL1_1.0:contig08084:49845:50188:-1 gene:CCACVL1_06407 transcript:OMO93649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKASFNMKFFVLVLVASSMMLILTAPGTQGQKCTSQEQCQPMVKFCISGKIRCNLENGNCECVTATFGRNLSCSTNAECNKK >OMO67100 pep supercontig:CCACVL1_1.0:contig12474:15:2357:-1 gene:CCACVL1_20795 transcript:OMO67100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFSAQGSSRNHCSLLAVLCGGKVSDSKQKQPVPDDKPRYPFPELASAGRLEVQLLNNPSIDEFRRALESSDPNIVYLQGEQDTESEEIGSLIWGDVDLSTPEALCEIFGSTLPTTVYLETPNGDKLAEALHAKGVPYVIYWKNTFSCYAACHFRQALLSVIQSSCSHTWDAFQLAHASFRLYCIRNSNVLSSNSQKQSVKPGPRLLGEAPRIDISLPEVDMQEEEDSPENLPAIKIYDDDVTMRFLVCGSPCVLDAFLLGSLEDGLNALLSIEIRGSKLHSRA >OMO67101 pep supercontig:CCACVL1_1.0:contig12474:7952:16011:1 gene:CCACVL1_20796 transcript:OMO67101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE-type MGTPDNKLSDLVDIVKSWIPRRSEPPNVSRDFWMPDHSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTANSVPAPSDEPRAGREDWERIRVCNYCFKQWEQGVAAVDNGTNAPSPGLSPSPSATSLASTKSSCTCNSSSSTVGSTPYSTGPYHRVNYNSGLSPRESAQMNASPTEQNNETSEMSTNPSSAAVDSSSNHFGFCGDRSDDDDDDYGAYRSDSESRHYAHAEDYYGAINIDDIDHVYGSDKVHPDGENSDAKSLSCSPLPENFDTKSGDGIKNCEELNERENADDGEATGYDGTDVEPVDFENNGLLWLPPEPEDEEDEREAALFDDDDDDEGARGEWGYLRSSNSFGSGEFRSRDKSIEEHRQALKNVVEGHFRALVSQLLQVENLPVGDEDGGESWLDIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGHRNESAVVKGVVCKKNVAHRRMTSKIDKPRFLILGGALEYQRISNHLSSFDTLLQQEMDHLKMAVAKIDAHHPNVLLVEKSVSRFAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSIDHLTSPKLGYCDVFHVEKFLEEHGSAGQGGKKSMKTLMFFEGCPKPLGYTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLKSPITVALPDKPSSIDRSISTIPGFTVPSSGKPLASQPTNELQKSDNVIILNRPSSANVEPSSKFTGASLSSLSKGPHTQNMFKESTSGSIEAIVSLDSLSVWKDISSNNNVSSVNDVFREVHRMDPKESVQTKTASGEAVMDDRFHSLRQSLSNAPEQGGGSNHADGNTLAAHHLGGPELASSKQDTIINNEEVGSSKEEFPPSPSDHQSILVSLSTRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQSFRCRSCEMPSEAHVHCYTHRQGSLTISVKKLPELPLPGEREGKIWMWHRCLRCPRANGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGRMVACFRYASIDVHSVYLPPSKLEFNYDNQEWIRNEANEVSTRAEFLFSEVYNALQKFSEKVLGSGSQEGCVKAPERNICIKELEAMLQKDREEFQESFQEMLSKEVKVGQPVIDILEINKLRRQILFLSYVWDQRLIHAFSSINNIQEVMSSSIPKLGLNSVSSVDKLVEMNISPKPSKSLTSSNSALVETKPDININQGGNTGEISEPGGDHKERGMEQELNNRKEAEPSISDANTSEKSDSLESGKVVRRALSEGEFPIMANLSDTLEAAWTGESHPASTVPKENGYSVPDSVVADTSTALNSDMGNHTSDRGEAETAPSPQSALPTKGSENLEKSMSWASMPFPNFHSSFNKNASFNAQKLSISEYNPVYVSSFRELERQSGARLLLPVGVNDTVVPVYDDEPTSIIAYALVSSDYHSQMSDLERPKDAADSAVSSSIFESMNLLSLSSFNDSSSDTYRSFGSGDESILSLSGSHSSLASDPLLYTKDFHARVSFTDDGPLGKVKYSVICYYAKRFESLRRTCCPSELDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFGPAYFKYLSESISTRSPTCLAKILGIYQVSSKHLKGGKESKMDVLVMENLLFRRNVTRLYDLKGSSRSRYNPDTSGSNKVLLDQNLIEAMPTSPIFVGSKAKRLLERAVWNDTSFLALIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKTSGILGGPKNAPPTVISPQQYKKRFRKAMTAYFLMVPDQWSPPTIVPSGSQTDLCEENQNTQPGSISVES >OMO72756 pep supercontig:CCACVL1_1.0:contig11394:53568:54509:-1 gene:CCACVL1_17614 transcript:OMO72756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 27 MIVRADRNDEWASYAGPGGWNDPDMLEVGNGGMTLEEYRSHFSIWALAKAPLIIGCDIRSMDDETYEILSNEEVIAVNQDELGVQGKKVKMYRHLESFVLINSKSNCVAYLNLVWAGPLSNNRIAVVLWNRSSQYANVTALWTDIGLEPTAAVKARDLWAVS >OMO72757 pep supercontig:CCACVL1_1.0:contig11394:56708:61834:1 gene:CCACVL1_17615 transcript:OMO72757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDESVKQYADRIVALINQIKILGEQDYTNNRILDKILNTLPEKYEAKISALEVQQDVTQLSLQELINSLYSLEQRRATRQQAATEGEFSTKGKEKVQTRGNKKGGWKKKNNKEATTSNNDGEKKKTGLYLDEEQLFVAQCSAVISKSNKKWLIDSGATNHMVHDKKLFSYLDETYTSKVKIGDGKYLDVKGKGNVQVCTPSGTKIILDVVYVPLINQNLVSVSQLVDDDYAIVFKGRTCTIYEPAGNKLISVGMKHRCFSLAWNDPSAYTSSVDDLNLWHQRLGHVNNQSLSNLQKNELVVNLPKFDVDEFICEACRLGKLCRAPFPAKSAWRASMKLQLVHTDICGPVRTSSLNGRYFILFIDDFNRFEEFLAEAGIVHQLTVTFSPQQNAVSERKNRTAMDMARCLLFEKKLPKKFWAEAVNTAVYLLNRLPTKALKNLTPYEGWSGAKPSVTHLKVFGCVCYTWIPNVKRGKLDEKAQIGVFVGYSIEVKGYRIYNPTTNKVYLSRDVKFDENAVLSRMKINGSFLRGTKSLEDVYKRCNIVVLEPSSFEEAMKLPGWMDVMKEELTMIEKNKTWQLVNNLENKKPIGVKWVYKTKLNSDGSINKLKTTLVVKGYAQHFAVDFNETFAPVARLDTIRLLLALAAQNKWKVYQLDVKSAFLNGFLQEEIYVEQPDDFKVKGNEYKVYLLKKALYGLKQAPRAWYDRINAHLLQCGFQRSPSEPTLYIRSVEADLLIVSLYVDDLLVLGSNQDMHGIFIGQQKYTKEILKKFKMDNCKAVGTPLSQGEKLQKEDGSNKVDETLYRSLVGCLLYLTDTRLDILYAVSLMSKFMHSPSELHFRAAKRVLSVFCWCSKKQNTVAQSTTEAEYVAVTVTVNQAIWLKKLLIDLKNAPGGAIKIMVDNQSAIAIAKNLVSHGKTKHFMIKFHFVREMQQAGEVSLNYCTSEEQLADFLTKALPRNREWKSDDLENLFSDEDCFYIRCLPLPIRPTQDGLIWNEDHLIRFTVAKAVAANSTGHLMSSVPIARWSPPAVGSWKINTDAAFDKDLGVAGLGAVLRDFEGRVLCSIIKKMHFIQDPLFAVLYAIQLGLQLARSEGFLTCVIESDCLLAITAINTDSSRFWEGDCLVSSIRDLAREFSLVLFHHIKREVNCCAHAVAKFAMQSSATYVRYGALPSML >OMO99099 pep supercontig:CCACVL1_1.0:contig06958:17602:23551:-1 gene:CCACVL1_03930 transcript:OMO99099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETLNPHSNIYEAYNELHGLAQELEAPFDAPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMYYDPLCDVPLCHLVSDEDPTVANEKSLPEIQAYIEAENMRLEREPSQFSAKEIIIKVKYKNCPNLTIIDTPGLIAPAPARKNRALQSQARAVESLVRTKMQHKEFIILCLEDCSDWSNAMTRRVVMQIDPELSRTVIVSTKLDTKIPQFARSSDVEVFLSPPACTLDGFILGDSPFFTSVPSGRVGSEHDSVYRSNEEFKQAIISREIEDIASLEEKLGRQLSKQERSRIGVSKLRQFLEELLQKRYMDSVPLIIPLLEKEYRSTTRKLTEINKELSTVDEVKLKEKGRAFHDLFLTKLSLLLKGTVVAPPDKFGETLVDERTNGGAFVGADGLQLPHKLIPNSGMRLYGGAQYHRAMAEFRFVAGSIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVSKARDTFEPFLHQLGCRLLHILKRLLPISIYLLQKDGEYLSGHEVFLRRVASAFNNFAESTERACREKCMEDLVSTTRYVSWSLHNKNRAGLRHFLDSFGGTEQSSVVVNSVTPGISQESLPGSVAAEKHDTKLRADVKLSHLSSGIDSCSSVQTTETKLADLLDSTLWNRRLGPSSERIVYALVQQIFHGIREYFLASVELKFNCFLLMPVVDKLPALLREDLESAFADDMDNVFDITNLRHSLGQRKRDTEIELKRIKKLKEKFKVIHQQLSSCQRIPSLLPACSD >OMO99100 pep supercontig:CCACVL1_1.0:contig06958:25790:29763:-1 gene:CCACVL1_03931 transcript:OMO99100 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MESYKESNKLIVLSSNIPKLMISPRTRANYYSSPESIMKYLRSSPLASSGSSSSAKSSFRSSASPQLKTPLKVVEEDVLVVDGVLVASDTNILGSGSSSSSSGTPGFYKTEICRAWEELGHCQYGSRCQFAHGKEEERPTFYPFKSKPEAQMYMSYSSPLSGTYGSKSKSRLLHPAMETTAIMTPKDSTPRPYYPSRNSSTPPTKAEDTINSFFTPQPEHARLTANFTMKPKISKISPFTIRPDLGAATSTNETNWSPQDDGIDVTLPSLSGKTPSRGDVDAYIDKILYGPATRRRFPVFSAFCPEHFSSNIIILKSKKDVWTNGNAQEQPHPNKRMPLSLTLSPSSNFSLLSPTPNRTHYRFSKFTIPHASTQLAPKPPPVLKTAPVIVIGGGLAGLAAATRLNSDNIPFLLLEASDGVGGRVRTDVVDGFLLDRGFQIFITAYPEAQKLLNYNELNLQRFYSGARVYYDGQFHTVADPLRHFSDSLLSLTNPIGSIVDKLLIALTRARVLTKSDEDILTAGEVSTIELLKSIGFSDSMIGRFFRPFFGGIFFDRELETTSRLFDFIFKCLALGDNTLPAKGIGEIPNQLAAKLPSDSILLNSKVVSVDFEDSNSPSVRLESGEVLKSELGVIMAVDEPAVDKILAGRKRPVQTKKPARSTVCLYFTADKNQIPVRDPVLFLNGSGKGIVNNMFFATNVAPSYGPADKALVSVSLIGVFEDVSDDDLTAEVIREVSGWFGASIADSWKHLRTYRIGFAQPNQSPPTDLMKNPNVEPGLYLCGDYMTSATFDGALVSGRRAVEALLKDRALARV >OMO99102 pep supercontig:CCACVL1_1.0:contig06958:39714:56905:-1 gene:CCACVL1_03933 transcript:OMO99102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFGVAGYRYIVSDCDSVDVFFNTQHYTKTPEEAAAIAINSGIPCKYTTPLQGLTASAATTYVPGCSNVACASAQVDPIPAVSHPAQLSRVEPIRLPNLACVLSS >OMO99098 pep supercontig:CCACVL1_1.0:contig06958:1885:4319:1 gene:CCACVL1_03929 transcript:OMO99098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGISGLISSRSSKDRNTSSRHESSVPSSSSSQFLS >OMO99101 pep supercontig:CCACVL1_1.0:contig06958:31369:33297:-1 gene:CCACVL1_03932 transcript:OMO99101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNKNVQFSYVSELSKNENCGDTTLRLNFLGYGGSNLARCGSTQSNLHAELSSAPDDGCKLVLGLGPTPSVYCDNYYNVGLNKNKSTAALFSQKLLPEDDSILKLGLSGGAKDSMSLFECSLSTETDVSMPLSNQFSAEDSRFSIPVVDEGSTSAKKSGGYMPSLLLAPRMESGKIVARSEELFQFGAKSNCHQSCEPSSQTDFSGDALSEQTTTLTSSDNRTGNSKKCKFAGCTKGARGASGLCIGHGGGQRCQKPGCNKGAESRTAYCKAHGGGRRCQHLGCTKSAEGKTDFCIAHGGGRRCGFPGGCTKAARGKSGLCIRHGGGKRCKVEGCTRSAEGQAGLCISHGGGRRCQFQGCNKGAQGSTMFCKAHGGGKRCIFAGCTKGAEGSTPLCKGHGGGKRCLYNGGGICPKSVHGGTNFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCRFENCGKSAQGSTDFCKAHGGGKRCSWGEGKCEKFARGRSGLCAAHSSMVQEREANKGGLIAPGVFHGLVSAASTAGSSFDNNHSSSGTSAISDCIDSLRKPAKRQQLIPPQVLVPLSMKSSSSYSSFLGSEKQDDGRNGYGIGIGGAVGNKGFDFLIPEGRVHGGGLMSLLGGNLKNPIDGI >OMO54719 pep supercontig:CCACVL1_1.0:contig14908:25152:27447:1 gene:CCACVL1_27631 transcript:OMO54719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-ketoacyl-CoA synthase MAAESRNASEPTVRVQAANNSSSEEERRSSNNKLPNFLLSVRLKYVKLGYHYLISNAMYLMLVPLLCIASAHLSTLTFIDFVQLWDHLKFNLVSVTLCSGLLVFLATLYFMSRPRKVYLVDFACYKPEADRTCTREIFMERSGLTGSFTDENLAFQKKILERSGLGQKTYLPEAVLRVPPNPCMAEARKEAEMVMFGAIDELLAKTGVKAKDIGILIVNCSLFNPTPSLSAMVVNRYKLRGNIMSYNLGGMGCSAGLISIDLAKQMLQVHPNSYALVVSMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRSSDRRRSKYQLIHTVRTHKGADDRCYNCVFQREDDTKRIGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFLTLVARKVLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLDLSEWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRRGDRTWQIAFGSGFKCNSAVWKALRTINPAKEKSPWIDEIDQFPVHVPRVAAVGSSSSS >OMO54720 pep supercontig:CCACVL1_1.0:contig14908:39216:41112:1 gene:CCACVL1_27632 transcript:OMO54720 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-ketoacyl-CoA synthase MMNSEKPKIPDQKIETRTISSISSLSLSVRLKYVKLGYHYLVTNAFYLIVIPLFVVILTHLSTFTIEDFIQLSANLKFSYLTTSLMTISVVFLATFYYMSRPRNVYLVNFACYKPGKGQMMSKELFMQLSAGNKCFAEESLAFQKKILERSGFGQSTYVPESLMQVPAKQTMEEARKETETVIFGAVDELLAKTGLNPRDIGILVVNSSLFNPTPSLSAAIVNHYKLRGNILSYNLGGMGCSAGLISIDLAKHLLQVHRNSYALVVSTENLTYNWYFGNNRPMLVTNTLFRVGGAAILLSNRPSDRPRSKYELVHTLRTHKGADDKSYNCVFQQEDEFKKVGVSLSKELMAVAGEALKANITTLGPLVLPLSEQLLFFATLVARKVLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDEMEKSLDLTQWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIKKHDRIWQIGFGSGFKCNSAVWRAIKTINPTMEKNPWMDEIDKFPVQVPQVAHITY >OMO54717 pep supercontig:CCACVL1_1.0:contig14908:7319:8623:1 gene:CCACVL1_27629 transcript:OMO54717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLGTSKGVLEIAKFGVYVTVPIFLMYTFANNSKNIQRFMGNRSYVVYPQETIRPPSPEELREMARELAKKRTSS >OMO54716 pep supercontig:CCACVL1_1.0:contig14908:3201:5412:-1 gene:CCACVL1_27628 transcript:OMO54716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKWDRSEIVCWESLGAMPPHGLSPIIILVGDLAQAAVPVIRLTHHNQTRNDAGRIRISQSKTLDTG >OMO54718 pep supercontig:CCACVL1_1.0:contig14908:10908:14970:1 gene:CCACVL1_27630 transcript:OMO54718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAMELIPIGTILAVVTNQVLKTAQAAKDVVIEKDSFKVLSKHLFDIEPVLKELQLRELDDSQAARLALQSLEADVKKANNLVEKYKNRGRFYLLVKCRHIVNEVQEVTRDIGRSLASLSIANTEVLSGISDQVNRLQNEMQRVEFETSHSQLQIVDKLNQGLRDQKRDQGFANDMLQEIARAVGVPVEPSEISKELASFRREKEEAGNRKERAEVLFLEQVIELLSQADAARDYEEMKRQYFQRAQVIERYDAREEYIPPLKPFICRITGTVMVDPVSLSTGTTCERSAIEAWLGRKEDKTDPETGDVLQDISLRSNLRLRQSIEEWRELNYCLKIRACKSKLSSGVDSSVVEALNQMQELIRENSINKDWVSIGGLTDTIISILGSSHNRDVKKKILVTLKDLVEGHARNKAKVIEHQGLDHIIPCLGRDRSISMAAVELLFELLQDRSDWNISVCRQLSQQCSGIIFLVTLLKGPVMESAVYAEKILNKLFDIDEENISRAAKAGWYKPLVDRIVQGPDSSRMLIMKALVTMEVVDSNLKLLAEEGIIPPLLSMVASGNFESKELSLSVLVRLSGCHANKELIAAAGGVSLVLKQLFSPHTRAILVVRCCEIVEKLSSEGDGVKFFVDERGIQLELEPIVKELLALQQNANSSNNCRKPALRALLGICKSEAGLVKTAVLNASGVSVVLPLLDDPDSEVREIAINLLFLFSQHEPQGVVEYLLKPKRLEALVGFIENGNNSDVQMAAAGLLANLPKSEISLTTKLIELDGLNAIINLLKSGTMEATENALSALFRFTDPANVESQRSVVQQGAYPLLVSFLKVGSVTARARAAALIDAIKPIINILSWGTDSLKEEALGLLEKVFLSKDMVDIYGSQARYVLVPLTGSNDGRPGRKVAKVLSLLERYSKSSTSIIPGLF >OMO66259 pep supercontig:CCACVL1_1.0:contig12564:11162:13345:1 gene:CCACVL1_21235 transcript:OMO66259 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MGNSFRKLCHCCSCNGGSHEMMTHVMPHHPSQDFVGHSFCYVRPDPTRLSSSKVHSLNNDSSTTSTSSSSSSSNKTSTTFRSISGASVGANVTSVPSAAALPSSSPLLPERSSSTFESSELFASLTLQPVPRGTTHCWSGPMESGFLSGPIAKFQRSLSHGSLKSKEKGLTLIKSFKRAISNKMLKISLASDHHKETSSDTERSTENFLVNTNPSSRGSLSVDDHGDDDQNDDEGDQFPMKIQNLQWAQGKAGEDRTHLVVSEEDGWIFVGIYDGFSGPDATDHLLSNLFNAIFEELKGLLWNDEKDENKEKSGKRLKKWKCELDHSERMEVSRNPSENFEHSDVLKALSNALSKTEEEYLQVADLKPVLHVMGSCVLVMLMNGEDVYLMNVGDSRAILAQKTKPDIVPPFNLIPVQLTMDHNADVEEEVERIRKEHPDDDEAIVNERVKGYLKVTRAFGAGFLKQPKWNDALYEIFRINYVGTSPYIISSPSLHHYKLNPDDKFLILSSDGLYQYFTNQQVVSEIEWFTASFPEGDPAQHLVEEVLIRAAKKAGLEFHELVEIPPGQRRMYHDDVSVIIISLEGRIWGSSVNGNIS >OMO66258 pep supercontig:CCACVL1_1.0:contig12564:1053:5771:-1 gene:CCACVL1_21234 transcript:OMO66258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIARHQHDSRQVFWIFKEDPVFPVQEVIDYVVLLRFDAICPPVERKYLPASDIPQMLEELLSTLDPASVCSESFKKSLKVFLERQTNEMNRVEHLDENYVDEIVCHEISGITERQLELFVRTCISRYRPKLIEPGTAVVAAAAHSIGEPWTQMTLKTFPFAGVASMNITSGVPRIREIINVAKKISTPIITAELEFVNNLIIARMVKGRVEKTVLGQVAESIEPEMTQKSASGIETVERAVIAQKKNEENNQKEQEAGKQYHLLVEGLGLKAVMGIEGIDGWKTISNHVMEMEQVLGIEGARTCIINEIAQTMASHGISIDIRHLMLLADVMTFTGQVLGNTIQGVHKMGKSELMLASFERPADHLSGAAVNGRKDKIEGVSERIIMGTPMHIGTGMLKIILRVDPPPLLRYGPEISFQFTVTFS >OMO66260 pep supercontig:CCACVL1_1.0:contig12564:36318:39394:-1 gene:CCACVL1_21237 transcript:OMO66260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKKLNYAFVIAAVAMAIVLLVITNIPTAVAARNQVMPFPTATLLIPIGGKLQRYVWQLHCLDDFPDATYGPIRISVAAAFATFDFKCSPSDLIEVARSYGSRTTNPNRPTSCDWNLDPISKYPQIIMVKPSFGIPQNAGSSSTIVGSD >OMO66261 pep supercontig:CCACVL1_1.0:contig12564:45926:46420:1 gene:CCACVL1_21238 transcript:OMO66261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSEIFLVEDEEQGIEFEIMKRRPPLEFHDDQEECTQAPTADNSSYDIERECNVAASKESEKKIDVKPDDNNDQEEEDGFKTPTSFEHKIPVTKQCPPAPRKPKPRNFSSNKRKASPTPTTTPTQRRTRQQLDLSQEVESLFPAPLLADLHRKIKKARTDQDK >OMP03549 pep supercontig:CCACVL1_1.0:contig06044:352:420:1 gene:CCACVL1_02372 transcript:OMP03549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRANKEFDFAEEIVSNPLQTHVM >OMO49396 pep supercontig:CCACVL1_1.0:contig16516:46558:52920:1 gene:CCACVL1_31030 transcript:OMO49396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFALTNPDPTRVAYLVMVGESPNRGNCVRKISNLRPA >OMO49398 pep supercontig:CCACVL1_1.0:contig16516:104352:111505:-1 gene:CCACVL1_31033 transcript:OMO49398 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MNIEVLTSQAWDILLERVGDGCMVYLLRHTSLFLPISDKKHLQVAGSPINNLCKKLSKNEIKPQSVFSGAVKKRKLPENSSSVSKRQQYIPPSVCDISSNSVDCANSKTGEAVAKSDEGSSGELKKCSSPTAAKRKKFRLFHWKRNKKRRLLNTPENKEETILQTVSSDANCLPGSLKCPVNTNQMPVHCSCYLMLKAPQSVSYFNEINRQSMFYNLESSSSVLPREHVLNDFVPNFKSSKCLMENIFGLSDANARWQQHLSTMLEIVPFIYTNGSLDLAYEHCRKGYHSFLKLLKKLIRRSRRFKPSKLLEKYCPLPSLDQKVMGNSISVAESNVRVLEQFHGVGAKGNNNILEADNKKLESNGSYCLHSQVPKNGTGQKFGEASCSLHGVKHKLLVNWIFWFFSSFVVPLVQANFYVTESEHGKLDTFYYRKPVWEMLTDHSITGLKDQSYLELDEAAVRAIIDKRQFGFSRLRLCPKQNGVRMLANLKASSRLPGRFSSKHKCPWMQKSSKACYRKKYKRFKSVNSVLRGTHAVLKGLLLKEPEKFGSSVFDYNDVYRKLSLFLTTLKSEPKTMPSVFVVVADVSKAFDSIYQDKLLGIMEDVVTKDEYSLDQINQVVCSNKCFWDRENLILVDEAVNTGFANLMSSVPFRSLPGVFVNRGSSRIILREELFSNLYEHVKRNVLLLDKKFYLQGMGIPQGSVLSTLLCSLYYGHMEKHVISPFLEKTIEAAAKDIDTLRIVSDSSKNEHSSHAFVFPPTYLLVRFIDDFLFISTSKEQASGFLSMMLQGVPDYNCFMNEEKFCFNFDIERQSGLLSNRVYVVDDGTSFLRWSGLLINCNTLEIQGDYTRYLANHLSSTLTIHWQGKPGNRLRWKLYNFMKPRCHPLFFDSNINSTSVVRLNIYQAFLLCAMKFHCHVSEMSYIFKPRRRYCLKMIEKSLSYMYGLIKKRMCSTRIGPGKFPVLKLMKEEVVWLGYRAYIEVLKRKQSRHSGLLSLLRSKYFNHKLTGNVSSELRYAVERSHSSSLWKIKY >OMO49394 pep supercontig:CCACVL1_1.0:contig16516:3981:10102:-1 gene:CCACVL1_31028 transcript:OMO49394 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MNLCSGSGRSGSEGDDQPTLISLDEAQGQADLIRALTDAVGRMGITPGGQSLAPVNQPLPLQQRPQNEAPLGVVNAQEHQVDPPLPPSQEIADNMEDVAQVDARNIPVYVPPPGHVGNGNGHNGNSNRNGNQRHATKAQVHPQDRAAYEVFKQEVSGLDCNQIIDIVQEMFVLDSEGRVDLYFVRTVDDRAYLSRLSQRKGEPAEDYLAQFKKLKNRCRFALPEEEFVHMAQNDLDIELRKKFVWEKIERGILKFLEAPKKELVVDKDPFATAVNMVSVNFPTCGHLTKETVQRKLDFAAEDAKPLMIITSIVQGTSKPMVTKSKITASAPHVLKPMVQFPTRSRSELRPCLERRKKEIRTMSIENEVRIQRDHAIKFNWSKMVRPTVRALVGVWQKDEHPIFPSPPIQRNRKTWRRRELRRRAKARKELQKAAMEEEAKLDEREALLKKTEESLNEKIAFLQKREVDGQYKTNNEGPFYSYGSKNEDKESENDTLQADDFLEEPSVDKRWSDEPLPIGNVEEIIHVSQKNDEDSGGGGDKVEQPKEIVFGKPNQRATYHIKPLYIKVHLDGVPMNRVLVDNGAIVNLLPYASLRRLGRGDDDLIESILTVSDFLGAITETRATPKDEYPMPVVNLLGDGAAQQKFLSFMDGDSGDNQIYIAEEDVRKTAFRCFGEIGIFEWVVMPFGLKNAGATYQRAMNVHSSTSNKRSGGCLFLSRSSLLGEDFGDAAEVMEIAFVPWVLELDVSSTLESARAGIIISSPQGTWTMMAFHLDFESTNNQAEYEALIIGLEMLREIKASSVLIKVDSLLVVNHLTGEFKWTSPSLLPYFALATQLLEEFDDVSVEHVPRYMNEGANVAAQLASGIIYRDNIMRKVINVERRSMPSVLGRENIFNVCNINTRTIATDWRDPFIQYLKDPTQPSSRRTRSLAVHHTVLADELYRKSVDDVFLRCLDGEEAKVVVKDVREGLCGAHQAGRKMRWLIRRHSFYWPSLMKDYMEYAQLCQACQKHGQRVPSEDLHSIVKPWPFRGWAIDLVGKLYPLAKGGVRFIIIATDYFTKWVEARAMKSTKHTDIQKFIEEHIICRFGKPETLTSDQGLNFNGEITEVLGRKYVIKSMKLTPH >OMO49395 pep supercontig:CCACVL1_1.0:contig16516:43178:43420:-1 gene:CCACVL1_31029 transcript:OMO49395 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MKKLCRGTKITLLMKENKLEHFDKCHLKDLIKKHSEFIFYPISLWIEKATKEKISNDEAEDKMEEILMGQREGRAENEVD >OMO49397 pep supercontig:CCACVL1_1.0:contig16516:57081:61578:1 gene:CCACVL1_31031 transcript:OMO49397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFETHPPKSGVHATRIESELVKANL >OMO97077 pep supercontig:CCACVL1_1.0:contig07293:58:1364:1 gene:CCACVL1_04662 transcript:OMO97077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHIEIDRIQCGFHSIVDNGGEAVG >OMO54813 pep supercontig:CCACVL1_1.0:contig14895:3334:6003:-1 gene:CCACVL1_27548 transcript:OMO54813 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-grasp, succinyl-CoA synthetase-type MVRKKIREYDSKRLLKAHIKRLANIDIPICSAQVTKSTDFTELLEKEPWISSKRLVVKPDMLFGKRGKSGLVALNLDLAQVIQFVKQRLGHEIEIEGCKGPITTFIIEPFIPHKEEYYFAIDSERLGCNISFSECGGIEIEENWDKVNSIFLPTDSPLTDGELAPLIASLPLEIRGKIGNFIKGVFTVFQDLDFSFIEMNPFTLVDGEPYPLDMRGELDENAGFKNEDKWGNIEFPLPFGRVLSPEETFVEELNEKSKGSLKFTLLNPGGRIWSMNAGGGASLIYTDTVGDLGYASELGNYTEYGGITEEQMVKLARVILNFATANPDGRKRALLVGGNIANLTDVGATFDGIIRALREKKTELQKANMHIYVRRGGPNYKTGLAKMQAVGDELGVPVEVYGPEAVMTGICNRATEGF >OMP11772 pep supercontig:CCACVL1_1.0:contig00895:218:906:1 gene:CCACVL1_00275 transcript:OMP11772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQGGPTEGASGSKTLGNTDLGQVSAQGNPLATGSTPIQVGSFNLDWVVTILTGLGRDFRGGLNYAASEVSGSKREKPTVNDDFGPDIYSVPATESRDREAGMGTDFARLVDGSGAIASGAGVDGSINGIVDARLGATSDTLDAAKGSTDRV >OMP11774 pep supercontig:CCACVL1_1.0:contig00895:6435:6650:-1 gene:CCACVL1_00277 transcript:OMP11774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSNYSQILDPIIENNYQLFMIERLILMGAKTFIRTFKEDETDLSLTDDPKKNTKIWQIPVYTLDGEGS >OMP11773 pep supercontig:CCACVL1_1.0:contig00895:5238:5732:1 gene:CCACVL1_00276 transcript:OMP11773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L21e MPAGHLLRSCTWDLFAKPFRKKGYIPLSTYLRTYKIRDYVDIKVNGAIHKGMPHKFYHGHTGRVCNVTKHAINVEVNKQVGNRIIRKRIHVRVEHVQPSRCTEEFKLRKVKNDQLKAEAKAKGVVISTKRQPEGPKPGFKVEGATLETVTPIPYDVVNDLKGDY >OMO96984 pep supercontig:CCACVL1_1.0:contig07337:334:606:1 gene:CCACVL1_04727 transcript:OMO96984 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase FVRRDGVWINPFRVDEQELDDSDEEWVESEEEEEENQVIAPAAANAEELSDRELLMNLIASVNALGVKVDHMQGTLDQLVANQQQQPPSP >OMO63806 pep supercontig:CCACVL1_1.0:contig12891:4249:10030:-1 gene:CCACVL1_22254 transcript:OMO63806 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MEGPAPSNSPVIKPINKGAVHRICAGQVILDLSSAVKELVENSLDAGATSIEVALKEYGEEWFQVIDNGCGISPNNFKVVALKHHTSKLADFMDLQFLTTFGFRGEALSSLCALGNLTIETRTKNEPVATHLTYDHSGLLIAERKTARQIGTTVTVKKLFSNLPVRSKEFHRNIRKEYGKLISLLNAYALIVKGVRVICSNTSGKNAKSLVVKTKGSGSLKDNIIQLFGTNVISGLEPVSICISDGCMVEGFLSKAGQGCGRNRGDMQYFFVNGRPVDMPKVSKLVNELYRGANSRQYPIAIMNFTIPTRACDVNVTPDKRKVFFSDESSILQSLREGLQRIYSSSNANYFVNKVEENSKEDNFSGFDSLLEKSSTLSEQLSPAAINSKVSMLEHSAEGNTSLRTVKIRSQSLPSSKESIASDHDNSIRTDLNIRAQETMNVDGVRESNGGQLTTGIDGTMNRNLPGGSVLSQSENLSRRDFTLRVHGTNKVTGLTASTDGKFTTHNSSASTAIAKGISGSKDSNSLSGHRFQSSLDKFVTLSKRKHESISTVLSEMPVLRNQSLHCRSKKSHSEINALGTGDQVDEVPEVSENEPCKILRTEIILDETEISHSPGANANDGKPGELLPIQELEDQEKAAPSEDIELTDSFCNDPEDIPKKASRVPKPESSSAFVLDAPKPSSGVLSVDATELFSKFSALTIRLVIGQFNLGFIIGKLDQDLFIVDQHAADEKYNFERLAQSTILNQQPLLRPLRLELSPEEEAVASMHMDIIRKNGFILEEDFHAPPGHHFKLRAVPFSKNITFGVEDVKDLISTLADSQGECSMISSYKMDTCDSVCPTRVRAMLASRACRSSIMVGDPLGRNEMQKILEHLADLKSPWNCPHGRPTMRHLVDLTTLSK >OMO63807 pep supercontig:CCACVL1_1.0:contig12891:10874:23564:-1 gene:CCACVL1_22255 transcript:OMO63807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSSSSAKRPLASPVASPPTSSKRSKASEPASSSTNGAAVSGPLNEALGPLKESGSDSRVTDPRSSDLRVSDAAKAVDASVPDKSADADVENVTLVSPQSLGEAVMEDGEKPKPVAAGHTGRVNKKKPTKSAKSGSKVPWGKLLSQHSQNPHMVMCGTLFTVGQSRQCNLCLKDPNISTILCKVRHVENDGASIALLEITGGKGSVLVNGRSYRKNASLILNAGDELIFTSTGNHAYIFQQLTSDNLAAPGIPSSVSILEAQNGPIKGIIETRSGGPSAVAGAATILASLSTKENSELSTVPSGCDVSDDRVAEVDMKESTSNEDPATASSRDKTVAPPPEAANENSNLDRLGLDDSMDADNTKVPGAGVPLRPLLRILAGTSTEFDLGSITKMFDEQREIREVLKEYEPPTVLISTKRQAFKDSLQEGILNPDNIDVSFENFPYYLSDTTKNVLISSTYVHLKCNKFAKYASDLPTMSPRILLSGPAGSEIYQETLAKALAKHFGARLLIVESLVLPGKRPTSSVEADITGGSSLSSQALPKQEVSTATSKHYTFKKGDRVRFVGGNAPSGLSSLQPALRGPGLGSRGRVVLAFEENGSSKIGVRFDRSIPEGNDLGGLCEEDHGFFCTASSLRLDGSGGEDVDKLAVNELFEVALNESKNSPLILFVKDIEKSVGGNTDVCAALKSKVENLPANVVVIGSHTQMDNRKEKSHPGGLLFTKFGANQTALLDLAFPDNFGRLHDRSKEAPKTMKQITRLFPNKVTIQLPQDETLLLDWKQQLERDIETLKAQSNIVSIQSVLNRNGLDCPDLETLCIKDQTLTNESVEKIVGWALSHHFMHSSEALVKDAKLVLSTESIKYGLNILQGIQSESKSSKKSLKDVVTENEFEKKLLADVIPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEELSSGVDLEAIANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKEKASAVAENRPLPTLYSSADVRPLKMDDFKYAHEQVCASVSSESTNMNELLQWNELYGEVPFAMELMHHSSSFLLPTIPPHHRPSLTYALILLNQNLPRFTPLLWKHAQLRLCADGGANRVYDEMPLLLPHEDASDVRRRYKPDVIKGDMDSIRTEVLNFYKSLGKEIIDNSHDQDTTDLHKCITYIRDFAPQLDKSNLCILVAGALGGRFDHEMGNLNVLCNFSSTRIVLLSDDSLIHLLPRTHRHEIHIQSSIVGPHCGLIPIGVPSRSSTSTGLQWDLNDTEMRFGGLVSTSNIVTGDKVTVQSDSDLLWTISIKKL >OMO63810 pep supercontig:CCACVL1_1.0:contig12891:34406:35785:1 gene:CCACVL1_22258 transcript:OMO63810 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative glycosyltransferase 2 protein MIDKCLGPQRSRRIQRALRHCKVTILCLVLTVVVLRGTIGAGKFGTPEQDFIELREHFVSRKRAEPHRVLEEVQTTSSNDHASTDTATDTNNYNEFDLNKILVDEESDDAKPDPNKPYSLGPKISDWDEQRSQWLKENPNYPNFLGPNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEVFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEVPWERYKDSNLVMHGWNEMVYDQKNWIGLNTGSFLLRNGQWALDLLDAWAPMGPKGKIREEAGKILTRELKDRPVFEADDQSAMVYLLATQREVWGDKVYLESGYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQIYGFTHKSLASRRVKRVRNETDNPLEVKDELGLLHPAFKAVKVSSS >OMO63812 pep supercontig:CCACVL1_1.0:contig12891:37765:37845:1 gene:CCACVL1_22260 transcript:OMO63812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEVHEWRGISGGDYIEESEFSGLS >OMO63813 pep supercontig:CCACVL1_1.0:contig12891:38685:42559:1 gene:CCACVL1_22261 transcript:OMO63813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase, ALG3 MAAKSSASSAHAKRSRKKDAGASSLISKFLKTPKKAMALALLFVDAILVSLIIAYVPYTKIDWDAYMAQVSGFLGGERNYKNLKGDTGPLVYPAGFLYVYSAIQYVTGGQVFPAQILFGILYIINLGIVLLIYVKTDVLPWWALSLVCLSKRVHSIFVLRLFNDCFAMTLLHAAMASILYHHWHLGLIIFSAAVLIKMNVLLYAPPLLVLMLQAMNISGVISALAGAALVQIVLGLPFLLTYPIEYISQAFNLGRVFIHFWSVNFKFVPEPIFVSMQFAVSLLIVHLVLLAMFAHYKWYKESLIPSSFIGILTLVEMNPDIATTMFVGNFIGIVCARSLHYQFYSWYFYSLPYLLWRTPFPTLLRSGGVLECVSVKLLFICSTSLSSLSHIVGLMVFSTRKSLLE >OMO63811 pep supercontig:CCACVL1_1.0:contig12891:37163:37741:-1 gene:CCACVL1_22259 transcript:OMO63811 gene_biotype:protein_coding transcript_biotype:protein_coding description:TspO/MBR-related protein MESQTLKQRLVRDDDPVVTKDKDNNEKNRGNRRDKRMAMAKRGIRSLAVAVSFPLSLTLLNIYMFGSGHNYGALPKPFWFPPAWLLHITCMASSFLMGLSAWLVWAEGGFHARPTALSLYMAQLGLSLLWTPIVFWLRASWVGLVVLLAAFGALVGCWRDFRAVNPIAGNLVKPCLAWAAFLAIVNLKLVFL >OMO63809 pep supercontig:CCACVL1_1.0:contig12891:31223:32508:1 gene:CCACVL1_22257 transcript:OMO63809 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MESHKELLKEVFGESSDSEDYDPQHKQIGDPIPSWERIEQINGLWLCKDFLSPQHQSSLISSVLNEGWFAEDSHNQAMRFGDLPSWAVELSNCIREAVLVGDHESDSTDLSTSNGGIGSCLFPSDLLWREPLFDQLIVNVYHPGEGICAHVDLMRFEDGIAIVSLESSCVMHFTQVEEGSDIIEQGEKHSHIGKIPVLLTSGSLVLMSGEARYLWKHEINRKPGVQMWEGKELMQERRISITLRKLRQVE >OMO63808 pep supercontig:CCACVL1_1.0:contig12891:28657:29584:-1 gene:CCACVL1_22256 transcript:OMO63808 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rubber elongation factor MAESDPKQPTEAVEANEKKLKYLDFVQVAAIYAVVCLSSVYEYAKENAGPLKSGVQTVEGTVKTVIGPVYDKFHDVPFELLKFVDRKVDDSLSELDRHVPSLVKQASVQARAMASEVQRAGVVDAAKTITKSVYTKYEPTAKELYSKYEPVAEQYAVSAWRSLNRLPLFPQVAQIVVPTAAYWSEKYNRVVYYTNEKGYAVGSYLPLVPVDRIAKVFEESGREPAVSTNGGSVLTQ >OMO98142 pep supercontig:CCACVL1_1.0:contig07159:12143:15577:1 gene:CCACVL1_04319 transcript:OMO98142 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MQGVVAIGGGGSESGSMSTVSREENMVVSSEDSSYPDESGLELGLGLSLGGFKVNQVSKGGGQYARILTAKDLPSVVSSAGGSASSSLSPSSSSSSSSSSLSRANITAGTKRTADSVAAAIGSSQVVGWPPIRAYRMNSMVNQAKAVATEGFNATEENHKSETSMVEKNTIGSYQSSGNAKIRKSLFVKVNMDGIPIGRKVDLNAHESYEKLAKTLEDMFLKTNTSVNPVVSRAREHGLMNQITRPSKLLDGSSDFVLTYEDKEGDWMLVGDVPWEMFLTSVKRLRIMRTSEATGLGTQIRILC >OMO98144 pep supercontig:CCACVL1_1.0:contig07159:42188:44270:-1 gene:CCACVL1_04321 transcript:OMO98144 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiaminase-2/PQQ biosynthesis protein C MNATVKYIDFLLATASGRIEGEKVPGKIATPFEKTKVAAYTLCAIAPCMTLFSFINKEIQALLDPNDSSHIYKKWIDDYCSQNFEAYALQIEELLDTLSICLTGEELDVMEKLYQQSMRLEVDFFSSQPVLQESMVPLSRMLDPAAGGQFTIFCDFDLTCTAFDSSAILAEIAIITMQRADPDGNESQLAQMSLTDLRSTWDALSTQYTEEFEQCVESIMLAETVKSFSYEGLCEALQLFEDFEKKVNSRVVQSGVLKGINQEDIIRAGQGLILQDGCKGFLQKITKNEKLITAVNVLSYCWSGDLIRSAFSSGDLKALNVQSNELAYEENITTGEIIKKLESPMEKLQAFSNIINSRGNDCHNLTVYIGGSVGDLLCLLEADIGIVMGSSPTLRRLGEQFGFSFMPLFSGLVAKQREVVGGGISTWKKLSGILYTVSSWDEIHSFILGS >OMO98141 pep supercontig:CCACVL1_1.0:contig07159:9589:9666:1 gene:CCACVL1_04318 transcript:OMO98141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPPLSGGNQTHDSLSKFDGHR >OMO98143 pep supercontig:CCACVL1_1.0:contig07159:16727:19920:-1 gene:CCACVL1_04320 transcript:OMO98143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVKKNNNMQLKIHLLFFFCYCIIGSCPLGLARLNEEVPALLSIKAGLIDPLNSLDDWKLAGNEALKHSAHCNWTGIWCNSDGAVERLDLSHMNLSGRISDDIQQLKSLTSLNLCCNELSSTLPKSVANLTSLQSIDVSQNFFTDSFPVGFGRASGLTLLNASSNNFSGLLPEDLGNATSLETLDLRGSFFQGSIPKSFKNLHKLKFLGLSGNNLTGQIPGDLGQLSSLETIILGYNEFEGGIPVEFGNLSSLKYLDLAVGNLSGEIPAELGRLKLMETVFLYKNSFQGKIPPSIGNITSLQLLDLSDNNLSGEIPGEIGELKNLQLLNLMCNQLSGSVPTGLGGLTQLEVLELWNNSLSGPLPDGLGKNSPLQWLDVSSNSFSGEIPATLCNGGNLTKLILFNNAFSGPVPISLSTCQSLVRVRMQNNLLSGTIPVGLGKLGKLQRLELANNSLTGAIPDDIASSTSLSFIDLSSNHLLSSLPSTILSIPSLQTFIASDNNLGGEIPDQFQDCPSLSVLDLSTNHFTGSIPASIASCEKLVTLNLKNNHLSGDIPKSIAMMPTLAVLDLSNNSLTGGIPDNFGTSPALEVLNVSYNKLEGPVPANGVLKSINPDDLVGNAGLCGGVLPPCNRYSPISSRQRSLRAKHIVTGWLIGISSVLAAGILLIVGRLLYKKWYSHGVCFEERFEAGNGEWPWRLMAFQRLGFTASGILACIKESNVVGMGATGVVYKAEMPQANAVVAVKKLWRSGTDVETGNSGDFVGEVNLLGKLRHRNIVRLLGFLHNDASMMIVYEFMPNGSLGEALHGKQAGRLLVDWVSRYNIALGVAQGLAYLHHDCHPPVIHRDIKSNNILLDANLEARIADFGLARMMVRKNETVSMVAGSYGYIAPEYGYTLKVDEKIDIYSFGVVLLELITGKRPLDPEFGESVDIVEWFRRKVGDNNALEEALDPNLGNCKHIQEEMLLVVRIALLCTAKLPKDRPSMRDVITMLGEAKPRRKSSSSNDGNASNKEKPVFSTSPVNGLA >OMO54203 pep supercontig:CCACVL1_1.0:contig15036:2532:8015:-1 gene:CCACVL1_27976 transcript:OMO54203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Male sterility, NAD-binding protein MTVELSNSISIFSILNNLASFRPSRRAQNSARKFEAMPIYRTQPEIHSPLWSLIMALAPAGPGLPLEEPSVLSLNQPRSVFVEKVLRLQPNVNKIYLLLRAPDAKSATKRLHSEVIGTELFRILRDKWGSKFDHFISTKVIAVPGDISSINLGVNESKLREEMFKEIDIVVNSAATTDFIERYDVAMCINTFGPFNVMSFAKRCDNIKLFLHISTAYVCGEGTRLILEKPFQMGETLKKTCNKLDINEEKRLMEEKSEELISQCASNEAITSTMKELGLKRAKLYGWPNTYVFTKAMGEMILGNFKGDLPLVIIRPTMISSTYKEPFPGWIEGVRTIDGAIVGYGKGKLTCFPGNPNSPLDVIPADMVVNAMVVAMVLHTNHHQTYDDDSGQKKTYDDDEANIYHVSSSLRNPLQFSDLHNIVYNYFTKNPWINKRSGERIKVGKVTVLSTANRYFLYMNIKYVLPLKVLCLVNTLSWQYFREVYVDLNRKIKFAMGLAELYKPYVFFKGIFDDTNLKKLRMAAKEEGIDPSLFNFDSKTIDWEDYIMNVHVPGLSTHVIKS >OMP11068 pep supercontig:CCACVL1_1.0:contig01606:1303:1407:-1 gene:CCACVL1_00688 transcript:OMP11068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KAEQPLISFLSSFKEESTVTNTVGNVGFEDGEIK >OMO70486 pep supercontig:CCACVL1_1.0:contig11820:7285:7638:1 gene:CCACVL1_18878 transcript:OMO70486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTLVEFDEDEALRMLGVALLCTQASPMMRPPMSRVVAMLAGDIEVSSVNSKPSYLTDWDFKDITGTFTSEDTQTSTSSDYSGIKNSKNKTVTAETEPLHSPLDASDFSDIIGEGR >OMO70494 pep supercontig:CCACVL1_1.0:contig11820:45889:54666:1 gene:CCACVL1_18886 transcript:OMO70494 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXTL2, alpha-1,4-N-acetylhexosaminyltransferase MGLKEGFRFRDLICKFGGYSVPVAASTEVIEGGENCEASLGFSFSKSKIFEIDSTGISSLGGHGDRRTRCVNKFVAEFGQMPLIRNNESAGHRPSRTNHKSSPKPKAQPLFLTFSFPTKCLLRNPSFTSNLPNLTSNPLNGDG >OMO70492 pep supercontig:CCACVL1_1.0:contig11820:28553:31504:-1 gene:CCACVL1_18884 transcript:OMO70492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLSAVNGIKIKATGLHEKFLQSGNDDNEVRASLMEFTLNRSSSTENAAALRLENGVSAGTSKKTVRFRGVGCSKELEDLTEAKESGLAYMMGSTCVLATETSSYFKKFVINIVYGFLRQNCRRPATSLGVPHTSLIEVGMVYRV >OMO70490 pep supercontig:CCACVL1_1.0:contig11820:23616:26842:1 gene:CCACVL1_18882 transcript:OMO70490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYARHRSPGNGYRSNSMGMGLGASRISPETSGRGHGFYNSEYRSFKRGFGRGQNHPKSFQPHPPTPRKGNDIFMEAGRLAAEYLVSQGLLPPSVLPAKWQNGSLKKQMADYQDYRLQDGENLNLPPDGRTSALARLGNATSDVGLGRRRYFDDYNSTGSKNLSKGRRKEGYNRSYSSDWGREYGRSGSWSDRIRGSPDMEIEDDTAFGHNEEPQVGKDVDNGFQKPVSSEFAPKSDELDNEELDDKYNLQDEVGSKASSSGAQKDLKLETDGEHLKRSDDSTNSSSEAGVLKDGSNNNETDKEDTMKDLPYQQSSAENDPSGKTTANLLALCNFAKVPTRTRSSLALKNFRADSASVNEQESVSDIVTPSDPQVLVEDTSLGSSSGDMPSNKSHDSKCPELSKDSSVHSSGGVGDLDHIYGVEQGKCTRSRSFPDRAIFHNSEQELDHRMLGLQRSNSVAVECAVKERGEKRGSESDLRGGVKKPREWLQPAKAEFLPFSDFGDQKGGTVEGTDSLSEKDGVTVDQEIQDSLVTNPLFPDGDAESSVNYAQEKQLFPNAFKICDLNLMEASDINENHHNDPLIMYSTASETKNEATTIDIDLSMSNSNSSGGGNRHTSNCKEIEVIDLENDSNQEDKAVDNLERKSEPMFANMNGDMTDVPDQYDGLMITEFFKNFSNCPTEGINPHPLQNENISPLQTQDINPLQNEMGLHNGEGALGDDDSIYMSLGEIPLSFLPPWEQQPAQEYEKPF >OMO70493 pep supercontig:CCACVL1_1.0:contig11820:41858:45487:1 gene:CCACVL1_18885 transcript:OMO70493 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSTTWKKLKKSLIPKISSNHDRRRSSSTSSTNGIASSPSPTPSHTSVSRSSSLLSYSFNFRSSKKICAICLGSLKKGEGQAIFTAECSHPFHFNCIATNVQHGNRICPICRCEWKDIPFQAPNGAADTTRRNNTMGRARVSPYNVSLQDSFISGLPLHLLQPPPPPQSEPDLFSDDEPLPAIQAGPAAASTRAQPITIKALPEFPAVLASEAASKFAVLVGIRAPPFHVDLQQLDRAPIDLVAVLDVSGSMSSKLRLLKRAVCFIIQNLGPSDRLSIVTFSASAQRILPLRRMSSSGHDDAIRAVNGLTSSGGTNIVEGLKKGVRVLEERREHNPIASIILLSDGHDTLNGDVHGLYRSIQNQSTVNPRQNLQYLNLLPASICPRNEHAPRDESRQLAIPVHTFGFGFEHDSNAMHAISDISGGTYSFIESIDMLQDAFARCIGGLLSVVAQDVQLKMQLISPEVQIVSISSGRYKSEIMSEGQTAIIEVGSLYADEEKEFLVYLSIPASTHSESEGENNMSLLDVMCSYKASTTEIIQSRCDHAVEIRRPEVLSPTDSMVCLEVDRQRNRLSAAEAIANAQRMAEGGDLVHAQAVLSEQRTALLSSASGLAGDALCNWLEEELNETRLRMANKDLYEHIGRAYVLAGLSSHSWQRATTRGQGNQPSTSSSISYETPSMVNMVSKSQILNLGSSSQNPHPSLNKSCSLIQRNK >OMO70491 pep supercontig:CCACVL1_1.0:contig11820:27598:27810:1 gene:CCACVL1_18883 transcript:OMO70491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVVVISLPLILFCILLGAGCYFLGRHKGRQDFRNNPQIYGVPAPPPGVGVTASFPSPPHTKPDNSANV >OMO70488 pep supercontig:CCACVL1_1.0:contig11820:19221:20768:1 gene:CCACVL1_18880 transcript:OMO70488 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl/Asparaginyl-tRNA synthetase, class IIb MAETDEAAPPTDQLGTMDLTTDTSLNHAFSDRVLIRSIVGRPDGGAGLAGKRVRVGGWVKTGREQAKGTLAFLELNDGSCPANLQAVVDAGVAVPKKLVAMGTCVVVDGILKIPPPPPQKQGTKQRPQRIELGVEKVLHIGEVADPAKYPIPKRNPTLEYLRDHLHLRSRTNTIAAIARIRNALAFATHSFFQEHHFLYVHTPIITTSDCEGAGEMFQVTTLINEADKNPNPQAKQLGIPKKKDGKIDYTKDFFARQAYLTVSGQLQVETYACGLSNVYTFGPTFRAEHSHTSRHLAEFWMVEPEIAFAELNDAMNCAEAYVKYLCKWLLDKCLDDLQFMDDKTCVDRLRMVAETPFVRISYTEAVKILEESGQRFEHRVEWGIYLASEHERYLTEVQFQKPVIVYNYPKGIKAFYMRLNDDLETVAAMDVLVPKVGELIGGSQREERYEVIRERILEMGLPLEPYEWYLDLRRYGTVKHCGFGLGFERMILFATGIDNIRDVIPFPRYPGRADL >OMO70489 pep supercontig:CCACVL1_1.0:contig11820:21682:21990:1 gene:CCACVL1_18881 transcript:OMO70489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVLIVSLPMVIVFILLCFGCYVMGRNKGQQEGRAMAAREQAINNNPQAMFTDGAHMATGTPASPVPHQYPPAAFPSPSPHQPNGNGNPIYLKQQNGMNIV >OMO70487 pep supercontig:CCACVL1_1.0:contig11820:9142:17256:1 gene:CCACVL1_18879 transcript:OMO70487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKPPFSSKCLLFFLIFFFIGCCKSNAQTTTQNVTTDPSEVSALNSIFQKWQLQAPDTWNISGEICSGLALSESDSVFEDSSNNPSIRCDCTFQNNTLCHITRLRVLALEARGQIPEELTALKFLNFLKIDQNFFTGPLPPFIGNLTRLGLLSVAYNSLSGPIPKELGNLKDLYLLSLGINNFSGSIPPELGNLVELQQLYISGLSGEIPSTFANLRNLQIVGASDNAFTGKIPEFIGNNWTKLESLRLEGNAFEGPIPSNLGKLTSLTILRITGIYNGSSSLDFVRNLTGLTELVLRNVLLTGSIPSYISELQSLQKLDFSFNNLTGQIPSEVFNINTLKFLFLGNNSLSGSIPGQKSQNLQTIDVSYNNLSGTLSSWVDSKLQLNLVANNFTLNSSDLSLFPGLQCLQRGFPCNRNAPRYANFAIKCGGPQMTADGIKFEAENSTLGAAAFNVTSEQKWAVSNVGLYEDRVNPLYVQNTFAQVKGTNTPAMYHTSRISPVSLRYYGLGLENGPYTVNLFFAETAFADRATQSWKSLGRRVFNIYVQGVLQVRDFDISKAAGGAERAIIRNFTANVTDNHLEIHLLWVGKGTCCVPELGYYGPSISAISVVPDFIPTVSGLPPGNSKKKNHTALIVGIVVPLAVLASILICVIVHIKRRKAYDDEEVLLAIGPRPNTFSYAELKAATEDFSPSKKLGEGGFGAVYKGTLSDGREVAVKQLSVSSHQGKSQFIAEVATISAVQHRNLVKLYGCCIEGNRHLLVYEYLINKSLDQALFGKSNLHLDWPTRFSICLATARGLAYLHEESRPRIVHRDVKASNILLDAELCPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGIVALEIISGRPNSDNSLEEGKIYLLEWAYTLYENNQSLDLVDPSLSKFDESEVLRVLGVALLCTQGSPSMRPPMSRVVAMLAGDIEVSGVITRPSYLTDWDFKDLTSSFMTEDTQTSLASENTDNNNRRHKGQQEGRAMFAQSQTVVADGVHMAAGGIPPPPASPHYQHPPAYPPPSPHHPNPIYPKQQNGVNVV >OMP12114 pep supercontig:CCACVL1_1.0:contig00414:2214:5400:1 gene:CCACVL1_00121 transcript:OMP12114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREETDSTETELQQQKSDCSFVWDPQTRLYFHSSSGFYHDPDAGWYYSSRDGLYYKFEDGNYVLLESYDKDGAVSVNPDQNEPCANVNHNGEEHCSSPQVDGTDDDNAEMRNIDDESRCAGQTEGACDQLPENPQPPSKWLEDTLIDLYLSGNTTVDSAADATVSLETDNSESFQFPSNGIDDSYEMEEGEWIPEENHGLDDSSETVPYEGDTWDEENWRAQYGQVMQSEEVAMPEFPAVDLWDWLMVTEPRKDGKRQVARLVGRLVKRSAKVHPSMPSGGRLLKTAPICEVHLDLVRVKTGQVYKLRSPSPRYLASLSTYDSSDPTKDWCFPDLSVDRDVSLQFKSRQKHKSEATGEDLPIFSDQPSASVKRVYRDRAAERRTLHGGFGLAPGQKDVAVDHETDTCIEDAKAEALNMSFGAGSYARRILEGMGWKEGDALGSSTKGLTEPLQPIGNMGNAGLGWPQTRRY >OMP12113 pep supercontig:CCACVL1_1.0:contig00414:772:1658:-1 gene:CCACVL1_00120 transcript:OMP12113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQRPKPSNDNRNRFSDLPESVILHIFSFMDTIEQALWQQTGDRWMNILAQKHVRQLHLEAASILAYLLDHDFVPNEEFAVADHVLKILRGVCHAEVLNLDMSILK >OMP12115 pep supercontig:CCACVL1_1.0:contig00414:6367:12289:-1 gene:CCACVL1_00122 transcript:OMP12115 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative FKBP12-interacting protein of 37 kDa MASHTHLEDDDDFGGDFHGSHSTRRSGNKRSFGDLEDDEDDIFGSKKSNSKVEETAPGVATGMILSLRESLQTREDALAKCQTELEAAKSEIQKWRSAFQNEHFLPPGTTPEPKLVINYLQTLKSSEEALKEQLEKAKKKEAAFIVTFAKREQEIAELKSAVRDLKVQLKPPSMQARRLLLDPAIHEEFTRLKNLVEEKDKKVKELQENIAAVSFTPQSKMGKMLMAKCRTLQEENEEIGTQAEEGKMHELAMKLALQKSQNAELRSQFEALYNQMEGLTNDAERSNETVYILQEKLEERENEIKRLKVELQQKTQVKEDEMVTDVDVAIDTSKVKDEMVTDETGNSEQIE >OMP12116 pep supercontig:CCACVL1_1.0:contig00414:13435:13590:-1 gene:CCACVL1_00123 transcript:OMP12116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKERPSPPCFPVETEVAEASAAMVLNWKENVVWKEAGVLVRGSKRRSGEE >OMO73372 pep supercontig:CCACVL1_1.0:contig11244:4847:6175:1 gene:CCACVL1_17297 transcript:OMO73372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MTTILQNSPSATFTLDHVFKFPQIFTANPDNVRHILKTHFDNYPKGNFFISTVFDFLGNGIFSVDGESWKFQRQVSSHAFNTKSLRKFVETVVDTELHDRLIPILSDSDKTVLDFQDVLQRFAFDNVCNIAFGFDPACLLPSLPETEFAVAFEEATNLSSERFRSVWWRWKIFRFFNVGWEKSLKIAVSKVHGFAKKIVREKKQELAENSCLESPDLLSRFLSSGHSDEDFVMDIVISFILAGRDTTSAALTWFFWLLHKHPEVENEILKEIKEKSDMPVFEEVKDMIYTHASLCESMRLYPPVPADNKQAINDDVWPDGTVVKKGTVITYHPYAMGRMEKIWGSDWAEFKPERWLKRDEEGKWSFVGRDSYSYPVFQAGPRICLGKDMAFLQMKRVVAGVLRRFKVVPAVEDGSEPVLIAYLTTKMKDGFPVRIEERGKLD >OMO73373 pep supercontig:CCACVL1_1.0:contig11244:20280:20708:1 gene:CCACVL1_17298 transcript:OMO73373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYTASLFVTLLVLAVSLSLPFEISADDHYSYSSPPPPPPKKPYKYKSPPPPPLVYKYKSPPPPPKEPYKYKSPPPPTPVYKYKSPPPPKEPYKYKSPPPPPPVYKYKSPPPPVHSPPPPYKYKSPPPPPPFTSTSPLPSS >OMO94507 pep supercontig:CCACVL1_1.0:contig07852:28489:28845:-1 gene:CCACVL1_05958 transcript:OMO94507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESWRNRNRCFHELVHSIRRNLATVSVAEDVEVIEAVVNPCSWSPLPQGVLIFNVDAAFNPASRVAVLVMVLQRSNGSVLACGILKKEFVPSALHAELLAIYWAWKSRRKLDSTMSL >OMO94509 pep supercontig:CCACVL1_1.0:contig07852:62268:73188:1 gene:CCACVL1_05960 transcript:OMO94509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIESQDMLGFLDGSITMPSRILPPDGDTTNGINPPKENPKFAEWRKSNRLLLGWITRTLSEETLGLVVELDTAAEVWKVAHSYDGSTQEHEFALEQKLHKHHRDRFSSMNEYIQVFKETCNEFAAIEKPLQDKDKVFTLPTGLGKDYEAFLTTMLKPPRPTFYELMSHLKSHEIIRSMNTDLVLSQSNNQVFLAQRHDRGGFRGRGSSRGGKHNASLTSKGQGFSHSGSVLPQQTVTLSQNTALQQSDVPPPLQQLSQIDPLPYIATLPQLQITAPLPQQSQITTPLSQINALLDAATLPQNTTQRTSIP >OMO94510 pep supercontig:CCACVL1_1.0:contig07852:76859:77212:-1 gene:CCACVL1_05961 transcript:OMO94510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSSERVIIPISDPRFVYCVLAGSLQIAWAN >OMO94508 pep supercontig:CCACVL1_1.0:contig07852:38643:42157:-1 gene:CCACVL1_05959 transcript:OMO94508 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MDSSVAPAVTMDSKLSSPKKPSKGGWHAAIFVIFVEMAERFAFYGLAGNLITYLTNNLGQPVATAAKNVNTWVGVSAIFPLVGAFIADSYLGRFKTILASSFIYFLGMVLLSLSVSVIPEHSRKAVFFTALYILAIGEGGHKPCVQTFAADQFDENNPEEKAAKSSFFNWWYLGIVTGASVAIVVVIYLQDNVSWTAGFGVLAGSLAVALAIFLIGINKYRKQRPTGSPFTTMAQVFVAAVKKWRVSETHGGRGICYEDDRGGDHIQGQTRGSNLIRTKQFRFLDKAMIIDNKDAMSKTRDPWRLCSLNQVEEVKLVLRLIPIWLGCLMFCAVITQLHTFFTKQGSTMERSIGPNFQVPPAALQSLVGVTILIAVPVYDRILVPIARKFTGHPSGITMLHRIGFGLFVSILNMVVAGLVETERVKTASKHGLLDNPKAVVPMSVWWLLPQYVLMGIGDVFTIVGLQELFYDQMPEEWRSIGAAAYISIVGVGSFINTAIISAVQMITSRRGNVWLGNNLNRAHLNYFYWVLAGLSAVNLCVYMWIASGFVYKKVESEETSEEKELEIEGYWEGKV >OMO51270 pep supercontig:CCACVL1_1.0:contig15905:28281:30495:1 gene:CCACVL1_29896 transcript:OMO51270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYSSTSKRMGSLKVMELESDPMKLRSGDESEAATHEEVRGDREERRGRERHRDF >OMO51269 pep supercontig:CCACVL1_1.0:contig15905:1994:2950:-1 gene:CCACVL1_29894 transcript:OMO51269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVEQRIRLRIVRAWERRSSTTNAVVEAGFLGTDSNGDAIHVQIQPRALHIHKHLIIEGSIYILSNFRVTMPQVRFVAVTTQMMIWLQRTSIINPIRGDISIYPEHWFDLNSETRLDQMVDEERFLAGMVVRRSINGNHLISCYATRLYINLPTNETAMVNAL >OMP00361 pep supercontig:CCACVL1_1.0:contig06682:1948:2220:1 gene:CCACVL1_03366 transcript:OMP00361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKGSETLKMRSLSVHLNWLPQAADEVVASGSFPESDKGSGVSLPNSQVSKIDCFIPSRKRSIPAIYKNRDSSSTNSLSMGCHSSLGCL >OMP00363 pep supercontig:CCACVL1_1.0:contig06682:3476:3601:-1 gene:CCACVL1_03368 transcript:OMP00363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSISLSKDSSFFKQERPAKAKSERPLEANLVVASIFSTKP >OMP00362 pep supercontig:CCACVL1_1.0:contig06682:2652:2994:1 gene:CCACVL1_03367 transcript:OMP00362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKVLAIVPSVSKPAVGDVNEDMDWQQIQSSHSSDRLLTNELRHRRGFEFKGDLYGTDQVS >OMO59143 pep supercontig:CCACVL1_1.0:contig14050:558:629:1 gene:CCACVL1_25052 transcript:OMO59143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRRKSQLPCVHQHFFFVEATLAR >OMO62615 pep supercontig:CCACVL1_1.0:contig13232:5811:5897:1 gene:CCACVL1_22720 transcript:OMO62615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNLNKHRAGFQSIPVIGNISASLGPN >OMP07200 pep supercontig:CCACVL1_1.0:contig04592:22:1066:-1 gene:CCACVL1_01352 transcript:OMP07200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem antenna protein-like protein MGRLEYYRGHYNKSGYLELRRRGRSPYCLFRLVLLGSYLALGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQPVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSAGLAENQSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPIFRDKEGREAFVLSAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKIC >OMO86373 pep supercontig:CCACVL1_1.0:contig09496:6543:10755:1 gene:CCACVL1_09616 transcript:OMO86373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MATSSSRPEDLPSSPYFIHPSENPSLVLVSSVLTGPNYYQWERAMQMTLLSKNKLDFVDGTISVPSSTDPLYLAWKRCNNLVISWLVHAVSASITQSILWLDSAPAIWKDLKARFGQTNSFRICDLQTEIHSCSQGILNVHDYFTKLKILWDEFQLLRHIPSCACQPICFCGLSKIREYFDNDQVMVFIKGLNESYSTVKSQILLMDPLPSLNKAFSLVLQQERSIKPVFVNVLAVKGNSPFVPKKGFNPTKKPMVCTHCGREGHTIEKCYKKHGFPQNYSSNYKGKRTMHHANAVETLDDQYSAPTMNGFINTVPASIIQTSEASSPATVAIQPSSHANAAVTIPPPPESTSYGNYSLTNCVFDPKISLNVFLTKSNSVSDWVIDTGATDHITCSLNSFKSYKAVHNVFVGLPNNTKDINHSRMIGTAKRINGLYKLQHDNALNDSDSFAFMKTLNSIGHSISCNNVNSTLNSMICNNVDVSPFKVQFVAKVKCIRSDNGQEFNMPAFYQSQGILHQTSCIKTPQQNSVVERKHQHILNVARSLRFQANLPIEFWGECVLHAVFLINRIPTPILGNVSPFEKFFNEPPNIQTLRVFGSLVFASNHSNIKNKFDSRCIKSIFLGFVPGVKGYKLYDLHLNKIFISRDVTFYEHVFPYSAQYAKTDKLQPPKHKAAENLVLHVLHNSTGFDDSIAFIPTTNQSQLPDQILPGLHDHVSQSAVQPQLQPESSSITDSQPVLPVITEPVSSAASEPVSLIPTTNPTSNQQLRRSTRNKYTPPYLSSFECNQVQRTRPHNLSTVFSYDKITPKHKAFTVAVDHDKEPRTYKEAAQYPQWQKAMNEELEALEKTRTWKLVDLPPGKQAIGCKWVFKVKRKEDGSIERYKARLVAKGYTQQEGIDYLDTFSPVAKMATVRTLLAITAMKGWYLHQCDVNTAFLRGDLSEETMDIKLTEALLKYGFKQSQADHSLFTRSANGSFVALLVYVDDIIIASNNFDEVTNIKGYLHDLFSIKDLGELKFLLGLEVARSQQGINICQKKYTLDLLKDMDFLDCKPTPTPILPETRLIKDSGEPLKDTTQYRQLIGKLQYLTTTRPDISFAVQQLAQFLDKPTSEHLQVAHRVLRYLKGTIGQGILFSSQGNFQLKAYSDSDWGTCPDTRKSVTGYCVFLGNSLISWKTKK >OMP12193 pep supercontig:CCACVL1_1.0:contig00293:2071:5784:-1 gene:CCACVL1_00079 transcript:OMP12193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRKVGKYEIGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSTIIKHKMVDQIKREISIMKLVRHPYVVRLHEVIASRTKIYIILEFITGGELFDKIVHNGRLSEVEARRYFQQLIDGVDYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSALPEEGVSLLRTTCGTPNYVAPEVLSHKGYEGAVADVWSCGVILYVLMAGYLPFDELDLTTLYSKIERAEFSCPSWFPVGAKSLIQRILDPNPQTRITIEQIRNDEWFKKGYVPVRVLEYEDINLDDVNAVFDEPEEEKGNEQPGNEDMGPLILNAFDLIILSQGLNLATLFDRGKDNMKYQTRFVSQKPARVVLSSMEVVAQSMGYKTHIRNYKMRVEGLSANKTSHFSVILEVFEVAPTFLMVDIQKAAGDAADYLKFYKTFCSNLDDIIWKPPNESSKSKIAKSKSKRR >OMP12195 pep supercontig:CCACVL1_1.0:contig00293:10360:11742:-1 gene:CCACVL1_00081 transcript:OMP12195 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MENFSYSSYPDSGDSSPRSREIDCENQSWDEQPANNNTIGNVNYKVKFMCSYGGKIQPRSHDNQLAYVGGDTKILAVDRSIKFSAIMAKLQSLYGGDSKVCFKYQLPGEDLDALISVTNDEDLEHMMLEYDRLYRASAKPARLRLFLFSLNPPPTVASGFGGTEPKPERQWFVDALNSVQIQNLDGSSPPAAAVPPVNPDFLFGLDKVKLPDSVPPPVTNVVQEAGTKDVTAGSDCGSEDRHVIGDPVVSPSEIQRQIQELQRLQITEQRKIDESNARAYNTQDYYKMSDKTASSPAPGSVPLQMPIPTAYYQDRHLTTAYQVPTVAAAAAQGTDQPVYLIPASAAGVYQQPPTLRAVPGAAPQPYYGVQRVVQDVYREQPVYNAVPNTKVGAYPEGISMMQPKGGVPESGYLQVAYDGAGRQVYYQVMTPAVAGGGVAAVNQDGKVTVNAKAPPQTSSV >OMP12194 pep supercontig:CCACVL1_1.0:contig00293:9239:9334:1 gene:CCACVL1_00080 transcript:OMP12194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESQCVIGVAESINIKVKTLLAWGRRCGVS >OMP11467 pep supercontig:CCACVL1_1.0:contig01272:198:560:1 gene:CCACVL1_00507 transcript:OMP11467 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S11 MAKPIPKVGSRRNGRSGARKSARRIPKGVIHVQASFNNTIVTVTDVRGRVISWSSAGTCGFRGTRRGTPFAAQTAAGNAIRAVVDQGMQRAEVMIKGPGLGRDGALRAIRRSGILFRFVRD >OMO61557 pep supercontig:CCACVL1_1.0:contig13446:69677:87245:-1 gene:CCACVL1_23429 transcript:OMO61557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAVSFNSCTRSKKSCSLIATTASLSAISAQVSASFALACSSLDFFFNVISRFRKCSIHDKVSKDEVRRALFDMHPSESSGFDSIIGITFAATVVVLGLIFTNGGKAFLDSVIFYLTYNLYGKVQLQPILTGGGGGRRALRGNILTNLGSSEGPGQAQDPSQAKGGHDGLAPKTRSCKISLCHKSPMHAATSPEQYRKRVGKLARGTPVLGWV >OMO61549 pep supercontig:CCACVL1_1.0:contig13446:12135:14980:-1 gene:CCACVL1_23421 transcript:OMO61549 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MGIYLSTPKTEKFSEDGENDRLRYGLSSMQGWRATMEDAGPHSDFAGPTSGCTACVAIIRNNQLVVANAGDSRCVISRKGQAYNLSRDHKPDLEAEKDRILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTANPDINTVELCDDDDFIVLACDGIWDCMSSQKLVDFIHEQLKQESKLSVVCERVLDKCLAPSTATGEGCDNMTMILVQFKKPIKSASSSDEQSSHSKSVDTESGAEGSQES >OMO61554 pep supercontig:CCACVL1_1.0:contig13446:46898:54434:-1 gene:CCACVL1_23426 transcript:OMO61554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biopterin transport-related protein BT1 MMEEGNNIEACKKLAVEEEDDDEPKGLGYCFWGPILWFKMLAMQTHWSFVFGVVSVYGISQGLGGALGRVCIEYYMKDVQKVQPSESQIYTGITSIPWIVKPIWGLLTDVVPIRGYRRRPYFIFSGLLGLVSMLLISLHSKLHLVFALLALTAGSAGVAIADVTVDACVAENSRIHPSLAADMQSLCALVSAIGALLGFSISGVFVHLIGPKGVFGLLTIPAALVFSVGIVLAEPHVPNFAYKEVSQKFLDAGKAMWTTLKCPEVWRPCLYMYLSFAVSLNINEGLFYWYTDAKGGPSFSQETIGYIFSIGAVGAILGAILYQNMLKNHPFRDLLFWIQLFFGLAGMLDLMLVLRVNLKLGIPDYLFVVFGEAVSQMIARLKWMPLLVLSSKLCPSGIEGTFFALLMSIDNVGLLSSSWGGGLLLHMLNVTRTKFDNLWLAILIRNILRLSPLGAKLCNSSSGIKIKEMGSQMPATNDRTRTYWTPTMERYFIDLMLEQMHRGNRIGHTFNKQAWTDMLAVFNAKFGSQYDKDVLKNRYTNLWKQFNDVKNLLGQSGFSWDESRQMVVAEDHVWNAYIKAHPDSRPYKTKAVLNFNDLCLIYGYTTADGRYSRSSHDLDFDDEVQGVNIGDGMGNLPSTNNECPRTEWNADMDQYFIELMLDQVGRGNKVDNTFNKQAWTDMLASFNAKYGPQHGKRVLRHRYKKLWKYYSDVAVILKQDGFSWDETQLMVTADNDVWDAYIKAHPHARTYRMRTIPYYNDLVLIYGDTIDEGTHNNLPQEEARASEVKGNVTPAGDRTRTFWTPPMDRYLIDLLLDQVHRGNKLGQTFITQAWIEMVTSFNFKFGSHYDKDVLKNRYKHLRRLYNDIKILLEQSGFSWDETRDMVTAEDNVWDAYIKSHPDARSYRVKTVPSYHKLRVIFGQESFDGRYNRLAQNISSDVDVTVLMTSYDNEKNDHFPSSVHHLGLEWTATMDQFFIDLLLEQVREGNKTDRTFSEQAWGYIVNSFNENFGLQLDKNVLENQYVCLVKQYDDINDLLNHSGFVWDEAKQMVVANDDVWEVYIKENPGAISYRDKFSGSYSGLCKIFEDEFIGERSHDQVLGLETDHSAPEVVLDTAGGNLQTQSGDIHSSAQHRKRSTTATELGRASKTPKTNQEMQKMLSKMAGAVSKLASQKGNNKNYSTIETAVDALQALTDMDDELLLDACDLLEDERKAKTFLALDVTLRKKWLLRKLRS >OMO61547 pep supercontig:CCACVL1_1.0:contig13446:2517:3923:-1 gene:CCACVL1_23419 transcript:OMO61547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPQPLPAEGCNPNPSAEYVRASEYVASLISVSDPHADPGVRKEGAGRLWAERLPRDAWERWCKHDGVVCFSLSSQYYLKIYFVNPRNPSRIYHVVDFDYTKFHRKTFANTGKVGHILVGSKIYVIGGSYDYSCGPLDVHYCDVNAAANGGYNYLQWMPAPYLNSRKPMPCLFTLAGNIYALSVSFKTDDPDFKPFEVLKNTSDDHDHDNSSSHSHWQVLDCPFDLENDHSINGTLVLEDTQKFLVHHTLPSKRCELVSIYDAKLDRWTTLDVPSTFSSANLSACLSADDIPSLLAGSDAVFLLSSFNSFFFRLMLKEDTFNSRSFNTSLLTRLGLDEATLLGKLRKYPYDLGSSARTMCWHLLPLARGSRGTSTISRRFFFIGFFNKRDPGFLAHSRFYIRFGTLDLVQRNSAPHHHHHHHHKAKRRKLGGGHYYEVANYHKIKTIVFGDEYDPLLLPKPFFSVSH >OMO61553 pep supercontig:CCACVL1_1.0:contig13446:35085:45635:1 gene:CCACVL1_23425 transcript:OMO61553 gene_biotype:protein_coding transcript_biotype:protein_coding description:SWAP/Surp MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYEEFVASFQGDNTPGSKAFVRGGTINPNDRVKSDSEGEKSKDGVSVPKKGSRYVPSFIPPPLAAKGKEPEKKLKHEQEMRERRNQERDHWRDSRYSDNSAPSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGQMAIRSKEGGSIILSGPSGPPITTVPNQNSELVLTPNVPDIMVAPPEDAHLRHVIDTMALYVLDGGCAFEQAIMERGRGNPLFNFLFELGCKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPPLPTSKSPELEKDSSATYAAGRTRRLEPERTLTDPQRDEFEDMLRALTLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEATLPDIMESFNDLYRSVTGRITAEALKERVMKVLQVWSDWFLFSDAYVNGLRATFLRSGNSGVAPFHSICGDAPEIEKKTSSEEAADGNKGNQDAALAMGKSAAMKELMDLPLTELERRCRHNGLSLVGGREIMVARLLSLEDAERQRSYELDDDLKLAQSRSNSSRYSSGQRDTDAEPGSAGLSGWTHYAEDEIPSQRQGPVPLAETLPIPQPELKAFVKKDKSDPVLPASKWAREDDDSDDEEKRSSRGLGLSYSSSGSENVGEGPSKADEMEFGTDASIPAGPAPSEIARSEEQRQKLRRLEVALIEYRESLEERGIKNAEDIERRVAAHRKRLESEYGLSDSRRTSSERRDKRDDVRDLSRKRHRSQSRTMASLNFTRVGYKNSNSFAATRHAPSPPSLTRPTRVGFKVFASASASESQAEPDLSVRVNGLQMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDAAKVINVTPRYARLRAGANGSAKGQIIGWENIELISDRPLETMLKEFKQLKEEYPDRILIASIMEEYNKAAWEELIDRVEQTGIDAIEINFSCPHGMPERKMGAAVGQDCALLEEVCGWINAKASVPVWAKMTPNITDITQPARVALSSGCEGIAAINTIMSVMGINLNTLRPEPCVEGYSTPGGYSCKAVHPIALAKVMSIAKMMKSEFSDEYSLSGIGGVETGGDAAEFILLGANTVQVCTGVMMHGYGLVKKLCAELKDFMKKHNFSSIEDFRGASLPYFTTHTDLVRRQQEAIRERKAIKKGLQNDKDWTGDGFVEETESMVSN >OMO61559 pep supercontig:CCACVL1_1.0:contig13446:94059:100350:-1 gene:CCACVL1_23431 transcript:OMO61559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAVNSNSNMPGLSTNPSTIGASLIPVINKLQDILATSGAELSDISMPQVAVVGSQSSGKSSVLEALVGRDFLPRGCDICTRRPLVLMLENRPKSDDDSREWGEFRHLPGRRFYDFSQIRREIQAETEREAGSNKGVSDNQIRLKISSPNVLNMTLIDLPGITKVPVGDQPSDIEARIRKMIMAHINNENCIILAVSPANSDLATSDALQMAKLADPTGSRTVGVITKLDIMDRGTNACNFLLGRVVPLKLGYVGVVNRCQEDINKNRSIQEALAYEERFFREHPVYNGVSDRCGIPQLAKKLNQILEQHIRKDLPRLKAVLNSRLRAVMKELQTYGEVLESKAEQGAILLDILRRYSDDFSAMVDGKSTDMSTKELCGGARIHYFFQSMFVKPLEEMDPCENLTDDDIGYAIKNSSGLRNVLFVPEVPFEVLVRRQIALLSDPCHQCLRIVYDELIKISKACESTGLQRFPTLRRWLNEVVRKCLDDAAKPADIMIRNLIEMEMDYINSSHPRFIGGNKAVELAVQQMRSSQERVETERVSTPERSQVSQTVAAKSVVNGVPKQGNQPQSNNERPAVAGGSSSTRTWGISSLFGSRASSVGSSANGSTAETLNEVEQISASIQLKEPPSILRPLEMSENEATEIVVTKLLVQSYFDIVRKNIQDLVPKAIMHFLVNNTKRNLHNTLIQTLYREDLFEELLQEYDDIVGKRKHAQEMLRVLRQAVKTLAEVGSDVESQHRTSSPGAGASAESSKFPETNQWNGSSYLSTSSKPRARKLLYSEEPSLFISSNGGHKY >OMO61563 pep supercontig:CCACVL1_1.0:contig13446:132620:133189:1 gene:CCACVL1_23435 transcript:OMO61563 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MAAASSSPSTQSPTTFVQADANTFRDLVQKLTGFSSDNSDQKLPVTLPGGKHSSKPTSLPVSDPTTAPRRSPFKLQERRQHTMRKLEIKLGLTTLRNPSPNSTHCCQLARRVESPIPSPVTPLGVEPLFYSSSGTVSPSSPVVPEEEKAIAEKGFYLHPSPLNTPRGTEPPELLTLFPLTSPSQERRVN >OMO61560 pep supercontig:CCACVL1_1.0:contig13446:100900:103713:-1 gene:CCACVL1_23432 transcript:OMO61560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEERVLENQLELQLKEQRDSLSAINDALASDPSDPELLAVHEELVEAIKEAEEGLLNLKRARLLREADTALNVSNQVAVEEVKAEPLDPSDVEVEPLEEQEERSYRVGSKCRFRHSNGRWYDGQIVTLNGSDSAKISFLTPTSESMLMCKFFLQQRCRFGASCRLSHGVDVPLSSLKNYVPTMLDPSMVGSSIWAVSDSKVGIWKEAELESWNDELRTGKVVFRDNGSSAELGIEALTLSEFAQMSDEEDSELSSEGSDSSDYEDDSPRGLGFLESTALQRGIQTETAIFAKWENHTRGIASKMMANMGYREGMGLGASGQGILDPISVKVLPPKLSLDHALESHESDERKEKKGKKRSRGGKRKREKKFAEAARAAKDEEEARPDVFSLINNQLAMHNDAVNGVSTKKPQNKGSGEEKKVDRKALVAYDDEVKELRLRVAKLEEMVSRNRKEKAVYEAAMRKLNETRKALAEAEAAHASASNAVVSKEKEKKWLKF >OMO61555 pep supercontig:CCACVL1_1.0:contig13446:55301:57253:-1 gene:CCACVL1_23427 transcript:OMO61555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biopterin transport-related protein BT1 MVEEGKIVEGYEKGVEENDDEGPKGICYCFWGPIHWLKMLADEMHWSFVFGYVSIYGINQGVGGALARIGTQYYMKDVQKVQPSESQVYSGITSIPWVIKPLWGLLTDVIPIFGYRRKPYFILSGILGVISMLIIALSGKMHLVFLILALLAQNVAVTVADVTVDACVAQNSITYPSRAADMQSLGALSYSIGGLLGFSVSGVFVHLMGPKGVFGLLTLPAALVSLVGILLLEPKVSNFAYSEVSQKFLDATKAMWTTLKCPDVWRPCLYMYLSFAVSLNINEGLFYWYTDAKDGPSFSQETVGYIFSMGAIGALLGAILYQNVLKEHHLRDMLFWTQLLFGLAGMLDLMLVQRMNLRFGIPDYFFVVIGEAVSQLITRLKWMPLLVLSSKLCPSGIEGTFFALLMSIDNFGGLSSSWGGGLMLHVLGITRTKFHNLWLAILIRNILRVSPLCLLFLVPGGDPNTFVLPTELLNETETQQEPDNIELVSLVNSVDDGR >OMO61565 pep supercontig:CCACVL1_1.0:contig13446:146200:156025:1 gene:CCACVL1_23437 transcript:OMO61565 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MQNPSAVAPESSSPAFDLVTQLGHFAFNKSFYSPNSDGFYPFKPNYVYFPGLSGSIAHKRRRRVTAAALLALGARNSVSSSVRSFVTEFNKAIKFHCSRIPIGFGFASVRVGSEDSNGLREDGGGVLEAEGLPLNGVETEGPKKVLILMSDTGGGHRASAEAIKAAFYEAFGDEYQVFVTDLWSEHTPWPFNQLPKSYNFLVKHGSLWKMTYYGTAPRVIHQSNFAATSTFIAREVAKGLMKYQPDIIISVHPLMQHVPLRILRAKGLLKKIVFTTVVTDLSTCHPTWFHKLVTRCYCPTAEVAKRALKAGLQPSQIKVYGLPVRPSFVKPIRPKVGMDEDLPAVLLMGGGEGMGPIEATARALGDALYDENLGEPTGQILVICGRNKKLASKLLAIDWKIPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPMILNGYIAGQEAGNVPYVVENGFGKFSKLPKEIANIVADWFGPKADELKAMSQNALKLAKADAVFKIVHDLHELVMGWKYNAGLGLIGTVVFIWVASAEITQRIFTDYKQPFALTYLGVSLMVVYLPLAVLKDWICNLFRKLYGGSSVITSSIGVDIPLKNNELPQADLRSCLITDKDLSESEEGQPLNSSIDEKDEPLLTKYGGGLSSWEIAKCSLYLTPIWFMTEYLSNSALANTSVASTTVLTSTSGLFTLFFGVLLGQDTINVAKVVAVFISMAGVAMTTVGKTWAADEMLSASEKRRHAISGDIFGLLSAISYGLFTVLLKKSSGSEGEKVDVQKFFGYIGLFTLLGLWWLVWPLNAVGIEPPFTFPHSASVGEVVLFNGFVGSVLSDYFWALSVVWTTPLVATLGMSLTIPIAMLADMFIHGRHFSAVYIFGCIQENENMEKGKGVMGSGRRWAVDFSDHSTAPSSRDFPDPPGFTRASVDQDDSAVSRQKKDAEANWKAQKAWEVAQAPFKNLLMMGFMMWMAGSTVHLFSIGITFSALWQPISALQGVGKVFEPYKDNRVDLLGPKLLFIALNLGGLALGVWKLNTLGLLPTHASDWVSSLPPAQEVEYAGGGIPLR >OMO61552 pep supercontig:CCACVL1_1.0:contig13446:28624:31190:1 gene:CCACVL1_23424 transcript:OMO61552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biopterin transport-related protein BT1 MLSSQLNPTFIFGIVLVYGLGQGFAHSFFKVVTDYYWKDVQKVQPSVVQLYIGLYYIPWIMKPIWGLLTDVFPIKGYKRRPYFVIAGVLGVAAALMVALIGNLPAAVALGCLIGVSAGLAIADVTIDACIARNSIEIRSLAPDMQSLCGFCSSSGALIGYSTSGFLVHHLGAQGALILLAVPFFFFTVLGFVIHEMRSTGLQYEKQKAMENLGVAIKGMYKTIKFPQVWKPSLYMYLSLALSFSTHEGQFYWYTNPKAGPAFSQEFVGVIYAIGALASIVGVLIYHKTLKNIPFRNLLFCAQILYGSSGMLDLIFILRWNLALGIPDYFFVIMEECVSRIISKIRWIPMIVLSTKLCPLGIEGTFFALLMCIDSLGSLTSKWGGGIVLHTLHVTRTDFTNLWLVIFIRNILRFATLGLIFLVPRADQSDALIPPDILTKNSAVHVNPDDEGLELVPKHEKSEV >OMO61558 pep supercontig:CCACVL1_1.0:contig13446:90445:92466:1 gene:CCACVL1_23430 transcript:OMO61558 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase MALSLSPSLHYATYLSKPNSTSKLTTPNLIWNYPLLCFRPVNLRNNQTKLHAVTDLRSNDAPGSLHQAKDEADDHKVLVGPSSEEESKGDRIVADYDWTEEWYPLYLTKDVPDDAPLGLTVFDQQLVLYKDGNGVLHCYQDRCPHRLAKLSEGQLVDGRLECLYHGWQFEGNGQCVKIPQLPADAKIPRSACLKTYEVKDSQGVVWVWMSQKTPPKPEKLPWFENFARPGFQDVSTIHELPYDHSILLENLMDPAHIPISHDRTDWTAKREDAQPLLFEVTERTDRGFAGWWGKEKDGSMPNFLRFDAPCVLQNNRELVDKDGQKNYFTGLFLCRPTGQGKSMLIVRFGSTKRSPLAKLFPKWYFHQNASKVFEQDMGFLSSQNEVLIKEKVPTKDLYLNLRSSDTWVAEYRKWMDKVGHGMPYHFGHSTISPPKLPAVVEHAPAGLVAGTSASSPAKGGIGSMHAPNLANRYFRHVIHCKGCSSVVKAFTAWKNGLSAAALVFTALAILASSRQWKSFLLVSATLCLGGVYACSTAIAMNTTNFIRVHRRL >OMO61550 pep supercontig:CCACVL1_1.0:contig13446:18314:22423:-1 gene:CCACVL1_23422 transcript:OMO61550 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIF4G-like, type 3 MQTDQTVISFRPGGGGGPRSTRFFTSSFSSSSSGSQPLRPPFKAGDLRFESHEYVRYTREQLLQLKEVADIPEDILRTKQEIESEFASEDQTWAQANGNLQAQHQSRYSEQDNRDWRGRTPAPGDERSWGTVRDNKEFSSNRQEQLNSQFARAQISSSQWGGPTPALVKAEVPWSARRGNLSEKERVLKTVKGILNKLTPEKFDVLKGQLIDSGITTPDILKGVISLLFEKAVLEPTFCPMYALLCSDLNEKLPPFPSDEPGGKEITFKRILLNNCQEAFEGADNLREEIRQMIGPEQETERMEKERMVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGHDGKTCPVEENVEAICQFFNTIGKQLDESPKSRRVNDMYFGRLKELTMNAQLAPRLRFMVRDVLDLRANNWVPRREEVKAKTITEIHSEAEKNLGLRPGATVIIRNGRNNGALGGMTPGGSPITRPGAGVMMPGIRKMPGMPELDADNWEIPRSRSMPRGDGLGPQPVGRTQKPFISKSPSISSKFLPQGSGGIIAGKSSPLLQTSDVPATRPPSFEPVTPKPVTSAAAAVNSPEKPIGLAAKSNPADIQRRTKSLLEEYFSIRLLDEALQCIEELKAPAFHPEVVKEAIGLALEHSPPCVDAVAKLLEFLLNKSVFTARDIGSGSLLYGSLLDDIGIDLPKAPNNFGEVLGRLIVAGGLDFTVIKEILMKVEDERFRASIFGAAIGSVNSFPSGPNLLAMQQADVQACESLVS >OMO61551 pep supercontig:CCACVL1_1.0:contig13446:25193:27204:1 gene:CCACVL1_23423 transcript:OMO61551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase MASMSFSATFPSTLHDFSQIKKNSGFPSSLPFSIPSIKSSLGSSKSAFLQHGFSLNSPSVSGSLFKSRSFGIYARAATEKSLYDFTVKDIDGKDVSLSKFKGKVLLIVNVASRCGLTTSNYSELSHVYEKYKNQGFEILAFPCNQFGGQEPGSNPDIKKFACTKFKAEFPIFDKVDVNGPNTAPVYQYLKSNAGGFLGDLIKWNFEKFLVDKNGKVVERYPPTTSPFQIEKDIQKLLAA >OMO61562 pep supercontig:CCACVL1_1.0:contig13446:106372:107532:-1 gene:CCACVL1_23434 transcript:OMO61562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSCASCSRPIIVGKSIDKKDMSFLLTNRVAFGAGVVPQGTITTARFKGSRVLGIRASAVDSYESSSDFVKRMEKAWVISQQPRPIACSSCNSKGHVECKWCGGTGFFILGDNMLCQVPSRNTSCVICAGKGAKCCSDCQGTGFRAKWLDEPPIPK >OMO61566 pep supercontig:CCACVL1_1.0:contig13446:156589:159575:1 gene:CCACVL1_23438 transcript:OMO61566 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MDLTPQQLSQYNGTDPSKPIYVAIKGVIYDVTTGKSFYGPGGAYAMFAGKDASRALAKMSKNEEDVTPNLDGLDEKQIGVLNDWVQKFQAKYPVVGRAGLSFNFYATSCPAAEFMVSNTVRSASSADPTIPGKLLRLLFHDCFVEGCDASVLLQGNGTERSDPANTSVGGFSVIDSAKRVLEIFCPGTVSCADIIALAARDAVAIAGGPEIAIPTGRRDGKVSSALNVRPNIVDTSFTMDEMLKLFNSKGLSLDDLVTLSGAHTIGVAHCNAFSDRFGQNSKGKLTLIDKSLDTSYAEELMKKCPAGVSSSTTVNNDPATSFAFDNQYYSNLLAHKGLFQSDSVLLEDGRTRKQVEAFANDQESFFRSWGESFLKLTTIEVKTDDEGEIRRSCSFATN >OMO61556 pep supercontig:CCACVL1_1.0:contig13446:59306:65680:-1 gene:CCACVL1_23428 transcript:OMO61556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MKIAIEGCMHGDLDKVYETIKFIENARNIKIDLLLCCGDFQAVRNEKDMESLHVPHKYKEMKSFWKYYAGLEVAPVPTIFIGGNHEASNYLWELYYGGWAAHNIYFLGFAGVVKFGNIRIGGLSGIYKAYDYHKGHHERPPYNEKTINSVYHVREYDVHKLMQVKEPIDIFLSHDWPLGIADCGDSKALVRQKRHFEDEIQKGILGSKPAAQLLEKLKPSYWFSAHLHCKFSALVQHEGGQVTKFLALDKCLPGRSFLQIVDIESDPGPYELQYDEEWLAITRKFNCVLPLTMNHGNFRNTQLDMQDCRQWVRNRLEVRGAKPCDFSQTVPPYNPSHPDSNTTFSGCPRNPQTVSFLELLELPYVLDNASESRELANSPAPLTHRGKNYDYNEDIPIDDVDEPEDDAEVYDPDFDKVVVSKQVKDLPVGTSNGFELCSSGRSSKRRRLDSGIELDLKKSKQLISMRDRRAKSCYPLGLRGLNNLGSTCFMNSVLQALLHVPPLRNYFLSDRHNRDQCKKRSGEKLCLLCDIDAISSAMFSGDRTPYSPAQFLYSWWQHSSNSASYEEQDAHEFFISVLDGIHEKDSKVRNPSKGDGDCLCIAHRAFSGLLRSDVTCTTCGFTSTTYDPCVDISLNLDTRNLSAADLANKPVKSNENMDVSTLSGCLNLFTRAERLGSDQKLHCQNCQELRDSTKQLSIKRLPLVLCLHIKRFEHSLVRKMSRKIDRYLQFPFSLDMTPYLSSSIIRSRFGNRIFTFEYDNSDSSAQYEISAVIAHSGMLESGHYVTYLRLKNQWYKCDDAWISEVDEGIVRASQCYMLFYVQKTLYHKANEDISCVRISPRRDPFVSTGCC >OMO61564 pep supercontig:CCACVL1_1.0:contig13446:135337:143353:-1 gene:CCACVL1_23436 transcript:OMO61564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESANPTDLTVHHHHSTPIQVFWRSSHDQASPPYDTHNCINFSHVNAVTIYSCVQNFPGREVDFLGDIDLT >OMO61546 pep supercontig:CCACVL1_1.0:contig13446:645:1676:1 gene:CCACVL1_23418 transcript:OMO61546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRASINSRQQYNSVDEEAKARLKHQNLLQEFLELQKEFVGKKKKLQAVKQKRETLLAEVQFLRQRYSYLSMIRSQEYEVEQDLVQSQNPYMQTQMLAKDHGIDVPVEEKPCSPPNPYAVYEEGVGRSQVDVQASTRKEKKLKNKLMNGKRVGKKKISWQDQVAVNV >OMO61561 pep supercontig:CCACVL1_1.0:contig13446:103978:105748:1 gene:CCACVL1_23433 transcript:OMO61561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRNLQGENHHQAADNLLNLFTKANNDLLVVQYRLEKEFQQIYPDNANPMKLVSRIKKIQEELSSLTEQCRELLSAKQDLIDKASTTLVGNRNLIQRMQASTGTHPTSDSDDPAFANFNQIIDEWTVQVRSRIGDETQQSESEDINKLLFSAIVQSN >OMO61548 pep supercontig:CCACVL1_1.0:contig13446:7026:9522:-1 gene:CCACVL1_23420 transcript:OMO61548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNAAERAGAKKDIATVGSGGDKKKPEKPVVVRPVSVGSSCSNISTSPAKNGSPEESKKMKNQDRVRFRVSAKRSLLSDLNLLAGGSENGGSKKDITTVGRGGDMNKPVDRADVDLHGRVASFTSPTKNGSPEETKKPPNSNARKSSILDSILGKVSEAAGDKDITTVGSGGDMNAASDVDHYGRVASITSSHVKTGSPQETKRRSRKTGFSSRSTEEVDQYCGSGTAEKKKHIVDYYDDLHFKLRSFLESPPHYPNLDKPKGNLNCKPEAEVQFIKAIFWGRGVYGFVMSEEGKRIIKGLLRWLVAQIEVRRKIVSNFTLANVHFNAKGEVNIVGVETRSLNAEELGEKKMIEIYQDPLDCILSLIVEMCKGDFASIPLDLAHLMLYLKDCSVFWKAKKFILNHPFFWDDMKLYRVIYSLDNLSKDNSHVMELLQRGISPGFKWKHILWSRFLNNDGVEKSVKNVRGVEDFKGKVKTAYYYGSDGRSSLYTDNGSGFNKFVRNLLQHYKKPALDDDGNPMKNNLYTGEVMVLLNMLYPSFIPHLVSVLSSEEVFQSVLFESGG >OMO80997 pep supercontig:CCACVL1_1.0:contig10252:8361:13247:1 gene:CCACVL1_12661 transcript:OMO80997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FQKTSNDCFSFLTVRTERDRKSNFHLLESDVAYSSYEMR >OMO77150 pep supercontig:CCACVL1_1.0:contig10809:9848:10423:-1 gene:CCACVL1_15189 transcript:OMO77150 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MKNFCTFLAFLFLLIVSVRSDVIKGSCDEAAKDDPDHNIIKSDFCVASLKANPKSKTATIIEDLVPIAIEVAIANATATGSTVSKLLQNTTLDKFTRSCLEACSELYSNAGSDLQSGGEAFKSKDFGTAKFDLSAAMVAPVTCEDGFNEKQGVVSPLTKENENMRQLAVIPLAFIDIWSNDDKIPRNMFLW >OMO77149 pep supercontig:CCACVL1_1.0:contig10809:2035:4241:-1 gene:CCACVL1_15188 transcript:OMO77149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANFWTSSHYKQLLDPEEVDVVHQQDKDKGITLEEFKLIKMHMANYILKLAQHVKVRQRVVATAVTYMRRVYTRKSMSEYDPRLVAPTCLYLASKAEESTVQARLVVFYIKKIYSDEKYRYEIKDILEMEMKILEALNYYLVVFHPYRTLS >OMO88364 pep supercontig:CCACVL1_1.0:contig09031:1602:5337:-1 gene:CCACVL1_08440 transcript:OMO88364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANGVLGLGSGGIELSGLGLRKKKVEPGKKVLVE >OMO88365 pep supercontig:CCACVL1_1.0:contig09031:7368:14831:1 gene:CCACVL1_08441 transcript:OMO88365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDGGPSSGGGPLVSQKKDPAWIYNFLSNTNDPNSCCIFCQKTTKGGIYQAKQHQVGNFKNAKACLKVPDHVKEELLAYMNEKKTQKENYDKASVASKSVSFKDAVLKEGTTVSSLGVKLNFDLQSLKSQKDKMGPSKLVVPPTSDSTPKYIAKAKENERKS >OMO88363 pep supercontig:CCACVL1_1.0:contig09031:819:974:1 gene:CCACVL1_08439 transcript:OMO88363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKPQNQQSNPDDFLAHITAAVAASFEELQSSLDANMMQYTIPSLPIALV >OMO88366 pep supercontig:CCACVL1_1.0:contig09031:23857:30229:1 gene:CCACVL1_08442 transcript:OMO88366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDERNVNAYVREINENEKWNGSRRVSWRGISVISVSQGSDSKGQKRTNLGLKHFGRDPLRFGLM >OMO88368 pep supercontig:CCACVL1_1.0:contig09031:42169:42512:-1 gene:CCACVL1_08444 transcript:OMO88368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLKLTEYEYVRASLPHRDPLPTLNVAIKEIIFEETRLGLVKSHPSDVVLVAATTSETG >OMO88367 pep supercontig:CCACVL1_1.0:contig09031:34847:37955:1 gene:CCACVL1_08443 transcript:OMO88367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAEGMRSFTAHQFSSARSNMIILDYSLQQAEVLEQLQSKTNTHLQLA >OMO88369 pep supercontig:CCACVL1_1.0:contig09031:43422:45599:-1 gene:CCACVL1_08445 transcript:OMO88369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIYKSWIKRFKITGPLHPSAFLFPTAIDAFNAAMQCLLWFRPCFSPPCFGHHILTSLPSLGSSAVDLHFSDIIRAPTHWSNVTRQSLPVTRRQTSVDHHSATTPLTTPLLTAYYGDISKPIRESTMIDTKFAGRMEE >OMP10815 pep supercontig:CCACVL1_1.0:contig01894:795:1650:1 gene:CCACVL1_00780 transcript:OMP10815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MSRPGDWNCRACQHLNFQRRDSCQRCGESRSGDFSGFGGRGGSSFGFTTGSDVRPGDWYCTAGNCGTHNFASRSSCFKCGAFKDDTAGGFDCDIPRSRAFGGNRSGWKSGDWICTRSGCNEHNFASRMECFRCSAPRDFSNRTSY >OMO64183 pep supercontig:CCACVL1_1.0:contig12854:10314:19582:-1 gene:CCACVL1_21972 transcript:OMO64183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEGKVSGSNGSSYWLDACEDISCDLISDFVDFDAPVVQESVDNASNQDFFGGIDHILDSIKNGGGLPLVDGNNDNSSAVNGNGTHDSIVGGECLKNEPPAVSQNLCEKSVSTPNGVEKNGPESKGQEKSCDNSSSQLFDHPTKDNGVHREEKRSYDSRDRGLDSEERCGKRARTNGCKSDGQNSSRGQYYPRDRERFSAKKRVRDWDETDRRDREHGRRRENYNGNRRDGRDREPRGYWERDRLGSNEMVFRLGAWEADKYKEGKAANEKSQETNGDMEKKVELPKEKVLEEQARQYQLDVLEQAKRKNTIAFLETGAGKTLIAVLLMKSICEDLQKQNRKLLSVFLVPKVPLVYQQAEVIRERTGYQVGHYCGEMGQDFWDARRWQREFDTKQVLVMTAQILLNILRHSIIKMEAIDLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPSVFGMTASPVNLKGVSSQVDCAIKIRNLESKLDSVVCTIKDRKELEKHVPMPLEVVVEYDKAASLWSLHEKIKQMEMAVEEAARSSSRRSKWQFMGARDAGAKEELRQVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVALSFLTALQNDERANYQLDVKFQESYLSKVVSLLPCQLTEGAVADKDMNSPGVENNKAQDANPDEVEEGELPDSHVVSGGEHVDVIIGAAVADGKVTPKVQSLIKILLKYQHTEDFRAIIFVERVVAALVLPKVFAELPSLSFIRCASLIGHNNTQEMRTGQMQDTIARFKDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMIERDNVSHAQFLRNARNSEETLRKEAIERTDLSHLKDTSKLISVDMIPGTVYQVESTGAIVSLNSAVGLVHFYCSQLPSDRYSILRPEFFMTKHEKPGGPTEYSCKLQLPCNAPFEELEGPICCSMRLAQQAVCLAACKRLHEMGAFTDMLLPDKGSGEEAEKVDQNDEGEPLPGTARHREFYPEGVANILQGEWILSGRDGTDDSKILHLYMYTIKCVNSGSSNDPFLTKVSDFAVLFGKELDAEVLSMSVDLFIARAMITKASLVFRGSIHITESQLASLKSFHVRLMSIVLDVDVDPKTTPWDPAKAYLFVPVVGDKSVDPVKEIDWDLVDNIITTNAWSNPLQKARPDVYLGTNERTLGGDRREYGFGKLRNGMAFGHKPHPTYGIRGAVAQFDVVKATGVVPSQDGIQVQEGDFTKGKLIIADGFTDAEDLVGRIVTAAHSGKRFYVDSIHYEMTAETSFPRKEGYLGPLEYSSYADYYKQKYGVELRWKQQPLIRGRGVSYCKNLLSPRFEHSEGESEESLDKTYYVFLPPELCFVHPLPGSLVRGAQRLPSIMRRVESMLLAIQLKHMIQFSVPALKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALTKGLQSYIQADRFAPSRWAAPGVLPVFDEDTKDGETSLFDQEQTTVDIGGKEHGDEFEDEMEDGEIEGDSSSYRVLSSKTLADVVEALIGIYYVEGGKWAANHLMNWIGIQVESDPDEMESTAGPSEVPESILRSVNFDALEGALNIKFKSRALLVEAITHASRPSSGISCYQRLEFVGDAVLDHLITRHLFFTYTNLPPGRLTDLRAAAVNNENFARVAVKHQLHVHLRHGSSALEKQIRDFVKEVQDELLKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGRDTAVVWRVFQPLLHPMVTPDTLPMHPVRELQERCQQQAEGLEYKASRSGNLATVEVFIDGVQVGVAQNPQKKMAQKLAARNALAVLKEKETAEAAKENAEESGKKKKNGNQTFTRQTLNDICLRRNWPMPFYRCVNEGGPAHAKRFTFAVKVNTSDRGWTDECIGEPMPSVKKAKDSAAVLLLELINKLYSQ >OMO64181 pep supercontig:CCACVL1_1.0:contig12854:2398:5334:1 gene:CCACVL1_21970 transcript:OMO64181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQLLLTLFCLSQPGNGFQQRQQIQSPLLPNPCNEKCGNLRIPFPFHLNASCASVSIISNAFHLSCLNSTTLFLHINTETYRVLEFFSDGLLVDFPGSSICRQYNDLNAFGFQGNAYFGISADNVIGLYDCEDSSLCKADCETNDLPGCDGKGGGALACCYPLSDHSIWHSGDGFSSFSKFGCRGFSSWVVPRGTNTGKRGVKLEWAVPGNTSERVCSNNADVVNATTVEAGVRCSCQDGFVGDGFANGAGCLKSCIKEGKEAYGQECVNSPRHSQRKLVIVAGVLAPVFILASLFLFLCILKRPVKPGGFDLDQAHYHSTISFRKACRTRLFSYRELDDATRAFEDGQKLVDGTNGTIYAGVLGDGSHIAVQKVQCENERDLIHALSVIELLSAVLHRNLARLLGCCIECGYTLMVVYQYPANGTLEEHLHHSRGTKFGLDWYKRLTIAAETASVLAYLQFEISPPIFHNGLKSSGYIFLDVDFSVKIAGFALLSPSLGDGSNLCNNGENPHIHKNNDVYDFGLLLLEIISGSKYVDSPSIALQKIKSGKLEEIVDPSLYYHEQPIYRREQIEIVADIATRCLLFGGDGKIGMFDVARELVHLAKESIDGGSKTKKGSALEETFSNSSLLQMISMSPDSIYVP >OMO64182 pep supercontig:CCACVL1_1.0:contig12854:6965:8922:1 gene:CCACVL1_21971 transcript:OMO64182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic pyrophosphatase MSQEGEKEAKEGVVERPRLNERILSSLSRRSVAAHPWHDLEIGPGAPQVFNCVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDCLVIMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYKHYTDIKDLPPHRLMEIRRFFEDYKKNENKEVAVNDFLPSSTAFEAIQYSMDLYAEYILHTLRR >OMO87137 pep supercontig:CCACVL1_1.0:contig09316:22569:27033:1 gene:CCACVL1_09247 transcript:OMO87137 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MSKSTEDLEEITSAGSQSTEIDVMSPFYLHASDNPGQIYVSDLLHDGNYGEWVNDMSNALFAKNKIGFVDGTIPRPGVDSPNLQHWMRCNAMVKGWLKSAMGKDVRGSVRYASTAREIWVDLEERFGKGSDPRAYEIRRAVTLLRQEKMSVSSYYTKLKGLWDEMQSIFPLLKCVCNGCKCNISKQLVDMREKEQLYDFLMGLDDEFGIVKTQILSTKPTPGLGHAYHLVAEDEQQKQISANRKPIAEAAAFQMQGGQDGGNRGFGKKDKPRCEHCQKAQLAKLVQFLNVDGESSKQTQRSTTSAVNMAGKIETDKSWVIDSGATDHITNNGELLAEIEKAIGGSPVTVPNGDKIPDLPSRRLIGVGRLRDGLYYLEPVRNGGVTMSVNKGKDSSVWHRRLGHASNEKIRQIQLSCCEVRVWVYLMKYKSEVSHYLIMFCNMIATQFGKKVKQIRTDNGPEFQSNCMLDYYKEHGIVLQTSCTDTPQQNGVVERKHRYVLETARALRFQANLPIRFWGECVLTATYIINRLPSKVINNKTPFEMLFGKKPEYDHLRVFGCLVYAHDNSKRGDKFSERGKPCVFVGYPNGQKGYRVYDLKEKKFYTSRDVTFFENIYPFRPNDCYSGETELTAGGEYCRPVLNAADNDCEEVMTLPQVKGLGNAADRFIAEEIPETAAGDITAGVTASQETTVTESTAEEPVVSSAVPISGQSRVEVRRSARERTQPKRFDGFDVQLPPSTVPAQPALPSADSSAVKHKHWREAMEKEIQALEENGTWDLVPLPQDKRAIDSKWVYKVKFKPNGEIERYKARLVAKGFTQIEGVDFHETFAPVAKLVTVRCLLAIAAKRRWEVHQLDVNNVFLHGDLEEEVFMKIPQGFAKAGETRVCKLKKSLYGLRQASRNWYHKFTKALEDVGFRQSKADHSLFLYDKGETFLTALIYVDDVILAGNNGDKIQEVKSYLNDKFGIKDLGPLKYFLGIEAARSPAGIVLSQRKYALDILEESGMQGCKPSAFPMEQNHKLRADSNGPIIDAAQYRRLVGRLLYLTVTRPDLTFAVNVLSQFVSAPRQEHMDAALRVLRYLKKAPGQGVLLSAKGDLFLIAYCDADWGGCLTTKRSCTGYFITLGGSPISWRTKRQEVVSKSSAEAEYRAMAVTVSELLWLWWLLTDLQSPQTEPTPLFCDNQAALHITANPVYHERTKHVEMDCYFVREKAQSREIAPRKISTCAQLADIFTKALGKDRFESLVFKLGVANLHAPT >OMO96690 pep supercontig:CCACVL1_1.0:contig07399:160:2078:-1 gene:CCACVL1_04828 transcript:OMO96690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPYPLSTGDNCGDPRYKIYCNNNVLEFMSAQEKGSLPGL >OMO96693 pep supercontig:CCACVL1_1.0:contig07399:14712:15463:1 gene:CCACVL1_04831 transcript:OMO96693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIIITRRRNGSSSPDESDEKGDEVDENGDEVDKPVVETKELVVKKMARKRFETDDDSKRVVQGTAVATGFGVAKSLLVKKETTSDQAGDEGKERQEQEGNVVSKSRRTRRGNIIINKAGDDHGKNNSLSIVLAGDRTGSQKVAGKEESDDLSPSPPSKVPLVTRNGSSSRRRLKVSFMTVKKLMGRDSGKNELCKKRILMGGKCRPLGAIQYDENGFLLPEIIT >OMO96695 pep supercontig:CCACVL1_1.0:contig07399:25364:25498:1 gene:CCACVL1_04833 transcript:OMO96695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRPLLSPPPHATWLSDRRVTTRLFKSSVEVRKEMCGGARSES >OMO96692 pep supercontig:CCACVL1_1.0:contig07399:7143:12954:-1 gene:CCACVL1_04830 transcript:OMO96692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFAKPENALKRAEELINVGQKQDALQALHNLITSKRYRAWQKPLERIMFKYVELCVDMRKGRFAKDGLIQYRIVCQQVNVSSLEEVIKHFMHLSTEKAEQARSQAQALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRSHLANLNKYKDQRDRPDLSAPESLQLYLDTRFEQLKIATELGLWQEAFRSVEDIHGLMSIVKKTPKASLMVVYYAKLTEIFWISGSHLYHAYAWVKLFTLQKSFNKNLSQKDLQLVASAVVLAALSVSPYDQKRGVSHLELENEKERNLRMANLIGFNLDTKLENREVLSRSSLLTELVSKGVLSCATQEVKDLYHLLENEFLPLDVASKIQPLLTKISKLGGKLASAASVPEVQLSQYVPALEKLTTLRLLQQVSQVYQTMKIESLSQMIPFFDFSMVEKISVDAMKHNFVAMKVDHMKGVVLFGNMGLESDKLRDHLTILAECLNNARAMIYPSAKKASKLGGILPGLGEIVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESKRQMLQKKTEEAEKKRLAAMFEQQRAERIRKEIEERELEEAQALLQETEKHLKRGKKKPLLDGEKLTKQGLLERAMSEQLKERQEQEKRLQKVAKTMDHLERAKREEAAPLIEAAYQQRLVEEKVLHDREQQMEVESSRQCHDGDLKEKNRLSRMLEYKMIFQERVISRRQAEFDQRRVEREDRIKQIIQARKQDRDIKRKKIFYVSSEEERIRKLREEEEARKLEEAERRKKAEAEYKARMDEIAERQRQRERELEEKERLRRSGEIELKALVQLHLLNPIDGSGLPPQNLIDGLEAAGPLRCNLIAGLVAAGLHLRILIGQAAQVAGPYLQILIVRLALAAAASQNPGDLQEQGIHVVDSISKLQVVC >OMO96691 pep supercontig:CCACVL1_1.0:contig07399:4735:6362:-1 gene:CCACVL1_04829 transcript:OMO96691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primosome PriB/single-strand DNA-binding protein MSSVASRCAKLLRVSVPTTPSSSLVAGVQRTSKLWCSTDSLKGNNDEGKGDETEEEIDDFLVEKPAKREPQIQGVMPGKGWNFRGVHRAIICGKVGQAPMQKILRNGRTVTIFTVGTGGMHDQRIADRNSPRPAQWHRIAVHNDTLGAYAVQQIIKNSSVYVEGDIETRVYNDSINGDVKYIPEICVRRDGKIRLIKTGEGASSISFDHL >OMO96694 pep supercontig:CCACVL1_1.0:contig07399:15898:23207:-1 gene:CCACVL1_04832 transcript:OMO96694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLEEEKFKDSVHRLEKESGFYFNMKYFEEKVQAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRQEKGKAVEILVGDLKVFSTFNEELYKEITQLLTLNNFRENEQLSKYGDTKTARSIMLIELKKLIEANPLFRDKLAFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCSPTNGPLAPTPVNLPVAAVAKPATYTALGAHSPFPPTAAAAAAAAAAAAANAGALAGWMANASASSSVQAAVVTASSIPVPQNQVSVLKRPRTPPTAPGMVDYQNPDHDQLMKRLRPTPSVEEVTYPTQRQQAWSLDDLPRTVAFTMHQGSAVTSVDFHPLHHTLLLVGSANGEITLFELGMRERLVSKPFKIWDMSTCSVQFQALMVNDTPISVSRVTWSPDGNFVGVAFSKHLIHLYACPGSNDLIQRLEIDAHVGGVNDLAFAHPNKQLCIVTCGDDKLIKFIFSTAVDGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKDGESFLVEWNESEGAIKRTYVGFRKKSAGVVSFDTAQNHFLAAGEDSQIKFWEMDTINLLTFTDAEGGLPSLPRVRFNKEGNLLAVTTADNGFKILANSVGLRSLRAMEPSSFDPLRTPIVSAAIKASGSPAVANVGPVSCKVERSSPVRPSPILNGVDPLGRSVEKPRVVEDATDKVKPWQLTEIMDPVQCRVVTLPESTDTSSKVVRLLYTNSGVGILALGSNGVQKLWKWPRNEQNPSGKATANVVPQHWQPNSGLLMTNDVTGVSLEDAVPCIALSKNDSYVMSATGGKVSLFNMMTFKVMTTFMSPPPASTFLAFHPQDNNIIAIGMEDSSIHIYNVRVDEVKSKLKGHQKRITGLAFSTNLGILVSSGADAQLCVWSIDTWEKRKSIPIQIPAGKAPTGDTRVQFHSDQIRMLVVHETQLAIYDASKMERIRQWIPQDVLPAPISYAAYSCNSQSIFATFCDGSVGIFDADSLRLRCRIASTVYLSQATLKGTQAVYPLVVAAHPTEANQLAIGLNDGSVKVLEPTESEGKWGVNPPADNGMLNGRTTSSSTTSNHTPDQLQR >OMP01489 pep supercontig:CCACVL1_1.0:contig06438:905:6289:1 gene:CCACVL1_03088 transcript:OMP01489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MPPRKSFGRGRGRGRPPLQRVPLRQYEEESSVYSTPSVDRGGNDDLPSPPQGQQVPPPPCSPYDAFMDWRQRYGAQVPPFGAQVPHYGAAQPSAGFGYQFPPPPTDFTSGAGFDAKDWLYSVISAFEDMNLVEPQRVKIASRLLDEEAKAWWVSVKDRTQGEVTWEMFVSEFLKECNSGFEKTRISSEFLELKEGDMLVSEFSSKLRRLARNMPTDVSRIVPYSHLVDTAKQAELVVEAARKTKQISGFFSRHFKGKGKRHDTDSSYEPSSKKSRSSDSSGGASLGGSVGSPQASRFGKCFQCGSQVHLRKDCPHVQGTQSQAGQGGRFFNTRQHTTAAHKASDRGTSGLGSNTQGRVQTRLYTMTREAAQDNPNSITGTVVLFRNYARALVDTGSEHSSHSIGDYDFDVILGMDWLSMHGAQIDCQTKTLTLKKPDYPVIEFQDLSLPSEDCSNIPVVNEFFDVFPEELPGLPPDREFDFAIDVVPGTNPISIPPYRMAPAELRELKIQDSHEVDEKLSAKLEKLYLGMLSEYSLRDDGVLQKLGRVCVPDNEALKRAILEEAHSSAYALHLGSTKIVPAGKGRTSKAHWNPTTIANTGMESERTIQTLEDMLRACILEFQGSWDNYVALAEFAYINSYQASIGMAPYEALYGRKCRTPMCWNEVGERKLFNVELIDDMVENVKMIRDRLKVVQDRQKSYADHRRRDLEFEVGDAVFLKVSPWKGVILFRKGGKLAPRYIGPFEIVERIGPVAYRLNLPSELGRIHDVFHVSMLRKYVPDPSHVLQALPVELNEKLNFKVQPVGILDRQIKNLRIKQVPIVKVLWKSQAVEEMTWELEEAMRKQYPHLFDSGRPKAAGGGWLATGQAWACAGGPCRTGLHGLLRFGPASRPSLCWPVGPAAACKATT >OMO86655 pep supercontig:CCACVL1_1.0:contig09443:8873:9130:1 gene:CCACVL1_09538 transcript:OMO86655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PHQRSPDSRPQQQHQIRLLRRVRAGILERFVS >OMO96628 pep supercontig:CCACVL1_1.0:contig07421:14798:15007:1 gene:CCACVL1_04855 transcript:OMO96628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASSFSSPPPAPILTTSQPPFQPINHRHLLLTSLTIAVSSSFALVDSSIPVANGRGLLKMPPSRLSNR >OMO55760 pep supercontig:CCACVL1_1.0:contig14591:45956:48763:1 gene:CCACVL1_27027 transcript:OMO55760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCATSKKEEEDNVVSLCKERKRLLKLAVERRYGLADAQCKYNQSLYAVAAAIRLFVARHSSPSSPFLITFPSTETETSLNTQMFLKQRPTEPDTHETIPCQSSVFLKSGIQVQGREQVPRNQEDKQEECLEESSEEEEDEVVCEHFYGEEALPPAMPAPEMEFAWDFFNPFDGVRSTEVVSSFSDSCDEELRAVREKEGIPELEEDGIGERVMSERKVENVKIADGGLDGKSKSRDLRNGDDDDDDDANVSQVEKSSFRMINNTPTNERELLEALKDVEDHFLRAYDSGLDVCRMLEANRVQLQSGLEELKESSNKLIRSITWSRSPLSRSSSCKSLASSSSRSSSTWTDLKIDVFDDFGGMEAGSHSLTLGRLYAWEKKLYEEVKAADQTRKTYDQKCSQLRKRNAKHDGLYGGDKTRAEVKDLHSRILVAIRSAETISERIEKLRDEELQPQLIELLHGLMRNWKLMLESHETQSRIMFEVTSFNSPAYGKFCNDSHRLATLQLEAELHNWRSCFVANISAQKAYIGALSGWLSKFIAPEVEFCSKNRSSAPPVGVNGPPLLATCHEWLASLEKLPNEAVMYAFKSFGKDIRALWIQQGEEQKQKRKVDGLAKELEKRILAFQRTETRILGSKLSEQELELNVRNRIENLAERKTQLDMFKKRIEAEKVRHHASMEETQQITVKGFQTGLYSVFESLAEFSKASVEMYADLVTYSETAKSPEDDHKESEPSYVEEMSSYLWG >OMO55766 pep supercontig:CCACVL1_1.0:contig14591:76669:85367:1 gene:CCACVL1_27033 transcript:OMO55766 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II, heptapeptide repeat, eukaryotic MDLRFPYSPAEVAKVRCVQFGILSPDEIRQMSVVQIEHSETTERGKPKVAGLSDPRLGTIDRKMKCETCTANMAECPGHFGHLELAKPMFHIGFMKTVLSIMRCVCFNCSKILADEEDHKFKQALKIKNPKNRLKKILDACKNKTKCEGGDEIDVQGQDTEEPVKKSRGGCGAQQPKLSIDGMKMIAEYKAQRKRNDDQEQLPEPVERKQTLTAERVLSVLKRISDEDCQLLGLNPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHALAMIIRHNENLRRQERNGSPAHIISEFAQLLQFHVATYFDNELPGLPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIRIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPAILKPRPLWTGKQVFNLIIPKQINLLRTSAWHSESETGFITPGDTQVRIEKGEVLSGTLCKKALGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADAATMEKINETISKAKEEVKHLITQAQSKDLEPEPGRTMMESFENKVNQVLNKARDDAGNSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIETQKLDSLKMKKSEFDKVFRYNIDDESWNPVSYMLPEHIEDLRTIQELRDVFEAEVQKLEADRFQLGTEIAVTGDSNWPLPVNLKRLIWNAQKTFKVDFRRVSDLHPVEIVDSVDKLQERLKVVPGTDPLSVEAQKNATLFFCILLRSTLASKRVLQEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVFLSPEAGKTKEKAKNVQCALEYTTLRSVTHATEVWYDPDPMSTIIEEDIDFVKSYYEMPDEEVAPEKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELNDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKHSKASKFNENDGYKTAEEWVLDTEGVNLLAVMCHEDVDPRRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAESDHLRGVTENIMLGQLAPIGTGDCALYLNDEMLKNAIELQLPSYMEGLEFGMTPARSPVSGTPYHEGMMSPSYLLSPNHLRLSPITDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPSSPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGVSPDYSPSSPQYSPSAGYSPSAPGYSPSSTSQYTPQASNKDDGKDDKGVLTTSTTLLYLRNALLFCVPSTCIERPNTIYYLFVSHSLALLDRMERPVKQRNTILAFLPKAASAVTFQISPPISPVGKGSSSSVPIVSLIPKEARRKSKNGSFDAREPTSPKVSCMGQIKSKKKKKETVSKSRLASPTAQALAVEVKRTPLSLMVKALKGTKGGRESHVSYAEAPVAERVPSLCQMKQFSSARGTLSNFDWRACDDEGCVSINPLYEEEKGLKSEKEKKVAIKGNLWKRRTTAPLIPILFNHSVPVRKSPIQSNEGGGLPSWKRILGFQ >OMO55755 pep supercontig:CCACVL1_1.0:contig14591:14927:16442:1 gene:CCACVL1_27022 transcript:OMO55755 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide N-methyltransferase-like protein MSSEDDDGDKEGLANPEMTTVSRHDFGDSEKIAFSISIIENMKEDYGLFVWPCSIVLAEYVWQQRLRFSGNSVVELGAGTCLPGLVAAKVGSHVTLTDDANRLEVLDNMRRVCDLNKLKCKVLGLTWGVWDASIFSFHPKIILGADVLYDARAFDDLFATVAFMLQSNPGSVFITTYHNRSGHHLIEFLMVKWALKCVKLLDGFSLLPSNKAAKLSGNIQLAEIVLNHKQVEETSCL >OMO55759 pep supercontig:CCACVL1_1.0:contig14591:39570:42066:1 gene:CCACVL1_27026 transcript:OMO55759 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MLSRLVNFLRACWRPSSDPYVHKGSDSAGRQDGLLWYKDSGQHINGEFSMAVVQANNLLEDQSQLESGSLSTLESGPYGTFVGIYDGHGGPETSRYINDHLFQHLKRFTTEQQSMSVDVIKKAYQATEDGFYSLVTKQWPMKPQIAAVGSCCLVGVVCNGILYIANLGDSRAVLGRLVKATGEILAIQLSAEHNVAIESVRQEMHSFHPDDPHIVVLKHNVWRVKGLIQISRSIGDVYLKKAEYNREPLYQKFRLREPFRKPILSSEPSISVHELQPHDQFLIFASDGLWEHLSNQDAVDIVQNSPRNGIARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFLDSNLVSRASSVKGPSLSVRGGGVHLPAKTLAPCSTPMEVNIS >OMO55767 pep supercontig:CCACVL1_1.0:contig14591:87315:87386:-1 gene:CCACVL1_27034 transcript:OMO55767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSIQAKQIKESCNGSYRQTDS >OMO55757 pep supercontig:CCACVL1_1.0:contig14591:25370:30935:-1 gene:CCACVL1_27024 transcript:OMO55757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MQHFPVKNNLLDSGSDTTLGFGIGGSTEQPLCPKPRRLGPPVPDFLNPLRCTKHSQPNADGRGVLNMITEKTIDGRESSCSGCWPSCYAGSPPGRTGNPLLCYGRIFTFKMHHRFSEPVKNWSNSTGELSHWPVKGSFEYYAILAHRDRLLRGRKLSDINAPLTFSDGNSTFRISSLGFLHYTTVQLGTPGVKFMVALDTGSDLFWVPCDCNKCAPTEGTTYASDFELSIYDPKGSSTSKRVTCNSSLCAHRNQCLGTFSNCPYTISYMSAQTSTSGILVEDVLHLTTEDGHPELTEAYVTFGCGQVQSGSFLDVAAPNGLFGLGMEKISVPSILSQEGLTADSFSMCFGHDGVGRISFGDKGSPDQEETPFNINPSHPTYNITLTQIRVGTSLIDGEFTALFDSGTSFTYLVDPTYSNLSEKFHSQARDRRRPPDSRIPFEYCYDMSPDANTSLVPSMSLTMKGGSHFPVYDPIIVISTQSKLVYCLAVVRSTELNIIGQNFMTGYRVVFDRERFVLGWKKFDCYDIEEPNTSLVESAAASTPPALAAGIRNYSNPEATKDIRNNSSHASVALQSSHPHASLLYCIGVILVLSLLV >OMO55768 pep supercontig:CCACVL1_1.0:contig14591:87723:95598:1 gene:CCACVL1_27035 transcript:OMO55768 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MAEEGSKPVIYLHGDLDLTIIEARRLPNMDLLSNHIKSCVTLCGTCKTPSEAAAQRENSDTKVQQQHRKIITSDPYVTVSVPQATVARTRVLKNAQNPRWNERFIIFLAHPMAELEINVKDNDLFGSEAIGTAKISAQRIATGEHITDWFPLLGPSGKPPKPDTAIHIDMKFTPCDKNRVYKQSIASDPEQVGVRHTYFPLRRGHRVTLYQDAHVPDNMLPKIELDDGKVYNQGRCWEDICYAVSEAHHMVYIVGWSVFHKIKLVREPTRPLPRGGDLTLGELLKYKSEEGVRVLLLVWDDKTSHDKFGINTVVGTMFTHHQKCVLVDTQAAGNFRKITAFVGGIDLCDGRYDTPEHRLLRDLETVFKDDFHNPTFSAGTKAPRQPWHDLHSKIEGPAAYDILINFEQRWRKSTKWKEFSLLFKGKSHWSDDALIRVERISWIQSPDLAHTEDGSTVVPEEDPKLHVLSTDDPENWDVQIFRSIDSGSLKGFPKYVKKAEQQNLFCSKNLVIEKSIQEAYIQAIRSAQHYIYIENQYFLGSSYAWPSYKNAGADNLIPMELALKVASKIRARERFAVYIIIPMWPEGDPKSATVQEILYWQYQTMQMMYDVIAQELKSMQITDSHPQDYLNFYCLGKREEVTKEMLDSNGQSVSDSAKFGRFMIYVHAKGMIIDDEYVIVGSANINQRSMAGTKDTEIAMGAYQPHHTWAKKKGHHPRGQVYGYRMSLWAEHLGELNKCFKEPESLECVTAVNEIAKENWKKFTDDEYSPLQGHLLMYPLQVDVDGKVKPLTGHENFPDVGGKADSPRKRNSQSPSPWREQSRSRSRSRSNKSRSRSRSRSWSRPRHRSRSNSRGRSRSRSRGRGDTRGGDTSNPGNTLYVTGLSQRVTERDLHEHFSKEGKVASCFLVVEPRTRISRGFAFVTMDSVEDANRCIKYLNQSVLEGRYITVERSRRKRARTPTPGHYLGLKNTREYGRGGDRGGDRGRYRGGREDYGYRRSPRRSPYRGRDYSPHGGRSRRERSYSPYSR >OMO55762 pep supercontig:CCACVL1_1.0:contig14591:54394:56076:-1 gene:CCACVL1_27029 transcript:OMO55762 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MDYYEYPSRFSMSSLSLFGDFIEKVKDFCNFAVSAIIGNIFSAILTFFFALVGTLLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLVLWQSDESGIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAAETTFEEVQNIFDTGGAKGLAGDLVEKIPKIIITNNNNIDDSGEKVSCSVCLQDFQLGETVRSLPQCHHMFHLPCIDKWLLRHGSCPLCRRDL >OMO55753 pep supercontig:CCACVL1_1.0:contig14591:10451:11570:1 gene:CCACVL1_27020 transcript:OMO55753 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MESRKDYVDPPPARLLDMEELKSWSFYRAILAEFVATLLFLYILVATVIGHQKQAAACDGVGPLGIAWAVGGMIFVLVYCTAGISGGHINPAVTLGLFAARKVSLFRALAYMVAQCLGAICGVALAKSLMKHDYNRLGGGTNSVAPGFSKGTALGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFVVHLATIPITGTGINPARSLGAAVIYNREKAWDDQWIFWVGPFIGALAAAAYHQYILRATAMKAFKSFRSNPTN >OMO55764 pep supercontig:CCACVL1_1.0:contig14591:69220:71254:-1 gene:CCACVL1_27031 transcript:OMO55764 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MAKPRYPRAPARKSSSSTMFLTALIMFTFVILILLALGILSIPSTHSGNSHKPNDLSSIQHNVVDSDEEEGRAEQWVEVISWEPRAFIYHNFLSKEECEYLIELAKPRMEKSTVVDSDTGKSKDSRVRTSSGTFLPRGRDKIIRDIEKRIADFTFIPVEHGEGLQVLHYEVGQKYEPHYDYFMDQFNTKNGGQRIATVLMYLSDVEEGGETVFPAAKGNVSAVPWWNELSECGKGGLSVKPKMGDALLFWSMKPDASLDPSSLHGGCPVIKGNKWSSTKWMRVNEYKV >OMO55765 pep supercontig:CCACVL1_1.0:contig14591:72644:76049:-1 gene:CCACVL1_27032 transcript:OMO55765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide methionine sulfoxide reductase MrsB MASIYCCKECGTNLNLSTSHLFPPDFYFEAGNKGTLSFASIDATKFKLEKEDKIRPFFETLDYWGIQRTRTKIKCNSCAKLVGYVYDDGPPLTDSPGQFHFGPSQVIPRAPRTSGLASGVLGALLATDNRISSGGYWKEMKVLETESINIKPKEANPPPPPPPLPLPRFWAKKRSQESVTNREIAKFWKQKKIVEEDHLLAAIKAAARIRARNLSEEDYKRFEESLTDEDGNDQKTVAPTNSISKGDEKKKEIRVGIKDWWTKSKYAYLNQPAVESVDNPKRRASTFIPNCFNYKPVPLYPTSLGVF >OMO55751 pep supercontig:CCACVL1_1.0:contig14591:1195:2289:-1 gene:CCACVL1_27018 transcript:OMO55751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAATRATTDYFSRHGHFTCLAWFKRTPPPEQSHLINVSLYEPLGLMAASNGQ >OMO55758 pep supercontig:CCACVL1_1.0:contig14591:32433:34841:-1 gene:CCACVL1_27025 transcript:OMO55758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETLPPPPSPSPDGDDVIDGAWYGNIQYLLNISTIGLLCCVLIFVFLKLRSDHRRIPGPSALFSKLLAVWHATGREIARHCGADAAQFLLIEGGSFAVLLSVAVLAVFVLLPVNLYGGTALIDDQFSKTTVSHISKGSGLLWIHFMFVVFVVIIVHFGMSAIEQRLKITRFRDGNGNLSDPDANSTAIFTIMVQGLPKTLGSDESVLLEYFQYKYPGKVYKVILPMDLCALDDLATELVKVRDEITWLVAKIDSHLLPEEDEDYGNEAEWFWARIRWFGRKLQRVLDQVMENFGFTDEEKLRKLQELRAELETDLAAYKEGRAQGAGVAFVMFKDVYTANKAVQDFRNEKKRRFGKFFSVMELRLQRNQWKVERAPLATDIYWNHLGSTKLSLKLRRVFVNTCLLLLLLFFSSPLAVITALQSAARIINAEAIDNAQSWLAWVQSSSWLASLIFQFLPNVIIFFSMYIVVPSALSYLSKFERHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESAILRMGRCYLDGEDCKRIEQYMSASFLSRSCLSSLAFLITSTFLGISYDLLAPIPWIKKKLQKFRKNDMLQLVPETSEEYPLENQNLNGLRRPLIPESMFDSPRMSEFDIQGQDLSVYPISRTSPIPKQTFDFAQYYAFNLTIFALTMIYSSFAPLVVPVGAVYFGYRYVVDKYNFLFVYRVRGFPAGNDGRLMDTVLCIMRFCVDLFLLSMLLFFSVKGDSTKLQAILTLGLLVIYKLLPSDSDSFHPALLEGMQNIDSIIDGPIDYEVFSQPRFDWDTYNL >OMO55754 pep supercontig:CCACVL1_1.0:contig14591:13037:14578:-1 gene:CCACVL1_27021 transcript:OMO55754 gene_biotype:protein_coding transcript_biotype:protein_coding description:UspA MALAHYLVAVPVETSKNARTSVLSSSLSSLAFTSSPRFSPWYKARNLSIFPRRTHRIRHKAKAEPEESEVNLAADAFTQFKHLLLPITDGNPYLSEGTRQAAATTAALAKKYGADITVVVINDKQKEALPEHETQMSSIRWHLSEGGFQEFKLLERLGEGSKPTAIIGEVADDLNLDLVVMSMEAIHSKHVDANLLAEFIPCPVLLLPL >OMO55769 pep supercontig:CCACVL1_1.0:contig14591:96783:96935:1 gene:CCACVL1_27036 transcript:OMO55769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSSSSERVAPKNPRGAVYGRGFDDVAAFFNGDNYQPADKKSEGNAFLGW >OMO55761 pep supercontig:CCACVL1_1.0:contig14591:49149:51179:-1 gene:CCACVL1_27028 transcript:OMO55761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRFLLLEDSHTSDHAHSQKKMWVFLIIAILTVLLGSSLFFFFCRRKLSLRWSSKESLKAENLKLRSFQLEELQKATNNFSADCLLGSGAFGNVYKGSFESEGTLAIKRAHAESYQSLEEFRNGQKGAKILVYEYVPHGSLLEYIMGKGGRNLTWRQRVNIAIGAAKGIAHLHDGIKPSIIHRDIKPSNILIGDGFEAKVSDFGLVKLGPIGDQSHVSSQVKGTPGYLDPAYCTSFHLSPFSDVYSFGVILLQLVCARPAVDSTRNLPNYHIIDWARPSIEKGTIEEIIDASLLSEPCNMEMMLKMGELGLRCVVKKPKDRPTMTQVWQELEDALYSADNFNKRPSRASRRTIGKFPRPTEQGHRKSLDPDYSQSFVSIDGVGYQKFRVEMDSISFQSTSLRCFEVNSAGLIVDNNKNLRGTSEETDREQVR >OMO55752 pep supercontig:CCACVL1_1.0:contig14591:2801:6740:-1 gene:CCACVL1_27019 transcript:OMO55752 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein Lupus La MANINTANFNTSAAVSAANHSPSSPNQSHRTRVVSSPWTQIVRGESEPIAGVPLGVPLSPSSPPPSSTSTTVTVIESVATAMVEDEGVENGSAGSNGNAGKRPAWNKPSNGAAELGPVMGAHMWPALSESARVSSKSSSDSSKGSSDGSSSPTVAPVSQVGANASPSSPQKQVTDNVNTNSNSTPNHTMPARQRPMKRNGNNSASNGGLSQPPPQGPVVEAPMNSPSSRENMQRGVFVSQPHSGSNDHPHPRNSFRNRPHQRGDGSHHQGYGRRNQDHGNQEWSGRNRDNHMQPRGFRMRTQPPPPPPPSTAQFIAPPPVRPFGAPIGFPELVSPFYILPAPPPESLRGVPFVSPMPPVYFPAPEPQDHQLHARIVSQIDYYFSNENLIKDTYLRQNMDDQGWVSIKLIAGFKKVSLLTDNIQLILDALRSSTVVEVQGDKVRKRIDWARWIMPPSVQFPTMSGQDVLVTRVQNISLDQRTMNQSGARKQGGAYADGLLGRSSSGDLSNQSLLFNKEGAAVSVQGGAASDSN >OMO55763 pep supercontig:CCACVL1_1.0:contig14591:62513:67885:1 gene:CCACVL1_27030 transcript:OMO55763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitase A/isopropylmalate dehydratase small subunit, swivel MAQENPFKSILKTLEKPDGGHFGEYYSLPALNDPRIDKLPYSIKILLESAIRNCDEFQVKSADVEKIIDWENTSPKQVEIPFKPARVLLQDLTGVPAVVDLACMRDAMKKLGGDSKKINPLVPVDLVIDHSVQVDVARLENALQANMELEFKRNKERFAFLKWGSNAFDNMLVVPPGSGIVHQVNLEYLGRVVFNTNGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLLGKLKDGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMSELSLADRATIANMSPEYGATMGFFPVDHVTLQYLQLTGRSDETIAMIESYLRANKMFVDYNEPQIEKVYSSYLELNLEDVEPCISGPKRPHDRVPLKEMKADWHACLDNRVGFKGFAIPKESQNKVAKFSFHGTPAELRHGDVVIAAITSCTNTSNPSVMLGAALVAKKACEFGLEVKPWIKTSLAPGSGVVTKYLEKSGLQKYMNQLGFHIVGYGCTTCIGNSGDIDESVSSAIAENDMVAAAVLSGNRNFEGRIHPLTRANYLASPPLVVAYALAGTVDIDFETEPIGTGKDGKQVFFRDIWPSSEEVANVVQSSVLPDMFKATYEAITKGNPMWNQLSVPSSTLYAWDPTSTYIHEPPYFQDMTMSPPGPHGVKDAYCLLNFGDSITTDHISPAGSIHRDSPAAKYLMEHGVDRRDFNTYGSRRGNDEIMVRGTFANIRLVNKLLQGEVGPKTIHIPTGEKLSVYDVAMRYKAAGQDTIILAGAEYGCGSSRDWAAKGPILLGVKAVIAKSFERIHRSNLVGMGVIPLCFKAGEDADTLGLTGHERYTIDLPSSASEIRPGQDVTVTTDSGKSFTCTIRFDTEVELAYFDHGGILQYVIRNLIGAKQ >OMO55756 pep supercontig:CCACVL1_1.0:contig14591:18762:22057:-1 gene:CCACVL1_27023 transcript:OMO55756 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MTEFSSYSYMLLLVMLGLSAGSCYGSGTFGLDIHHRYSDPVKKILALDELPVKGSPEYYSAMVHRDKIIKGRRLATANDQTPVTFLDGNETYRLDSLGFLHYANVSVGTPALSFMVALDTGSDLFWLPCDCSSCVQGLKTADGQEIDFSIYSPNTSSTSSNVLCSSDKCEQAKRCPSSQSDCPYQVLYLSNGTSSTGYLVDDVLHLVTDSDKTKAVDANITFGCGQVQTGSFLNGAAPNGLFGLGMDNISVPSILAKEKVTSNSFSMCFGSDGVGRITFGDKGTSDQGETPFNLRKTHPTYNVSVTQISVGGNAHDLEFGAIFDSGTSFTYLNDPAYTLISESFNKLAIDKRYASQSGDLPFEYCYELSANQTSFKYPVVNLTMKGGANYFVNDPIVVISMKGGDVYCLGVVKSDNVNIIGQNFMTGYRIVFDREKMVLGWKQSDCYDIEASNTLPVKPPTAIPPATAVDPQATSGNGNTSHASGAAPPMANQSPHLKTLTYALAAALIPFLALI >OMO98859 pep supercontig:CCACVL1_1.0:contig07001:1065:1226:-1 gene:CCACVL1_04026 transcript:OMO98859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHILTRFKLYKLAQSLAENVAFNMPEDGISPSICPRMKREISSFNALRNLPF >OMP09817 pep supercontig:CCACVL1_1.0:contig02954:71:220:-1 gene:CCACVL1_01032 transcript:OMP09817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAEPIPSSMNTRPAPPCLASGTFCHGLKVPRGNLSCTGRKFYILGFGVPL >OMP07955 pep supercontig:CCACVL1_1.0:contig04113:5183:5281:1 gene:CCACVL1_01187 transcript:OMP07955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQFKEINARPAKKVAEAKARKKRIAMKKLEK >OMP07954 pep supercontig:CCACVL1_1.0:contig04113:3591:3815:1 gene:CCACVL1_01186 transcript:OMP07954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISASRASIVFSSSPPSPEKTVDETFRKAIRPPSISVGFRINRYKMTETDAFRPTSPGHSPGVGHNSPPSSP >OMP06677 pep supercontig:CCACVL1_1.0:contig04858:946:1923:1 gene:CCACVL1_01473 transcript:OMP06677 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MSIPLAPITDPYEFLQITLNADGTLTRRYAFPLSSAEPNSNISQVLSKDIPVNPSKGTRARIFLPKQALNPISPNKLPLLVYFHGGGFITCSLDLTMFHEFCSNIASELQVIVVSAGYRLAPEHRLPAAYDDAMEALHLIKTIPDDWLKDYADYSNCFLMGTSAGGNMAYQVGLLAAEEIDHLSPLKIKGLILHQPFFGGVQRTESEMRLINGTIFPQCVSDLMWELSLPIGVDGRDHKYCNPTAADGFIDLEKIKKVGWRVLVTGCDGDPLIDRQIELVRMMEKIGIQVVGHFGVGGFHGLELIDSSKANALHVVLKNFIWPSM >OMP10711 pep supercontig:CCACVL1_1.0:contig02003:1301:1411:1 gene:CCACVL1_00808 transcript:OMP10711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FQEIISSTKTFTEEAETLLKDAIQEQMERFQLQEQL >OMO63074 pep supercontig:CCACVL1_1.0:contig13059:1040:2086:1 gene:CCACVL1_22498 transcript:OMO63074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASLKFREEKRPVLRAKVPLSILGLPFQSGIVAGESKELTLNLSTFFESGPSIKIAYRPNDAWNPFSLIVKTGTGPFGSPISSSMLMSAEFNLLGHGNPSFMLHFKPQFGDFSIKKSQSSVFDKVVKPRNGVVMEEDSSIEVVDSPVVNGGGGFFAEKRKLAALNTGDIAGILSGMQVAAKTVVPVKGKALVKCRWGMRIPAEIKSGVSGFSDPTAGISFRKIPFLVMDKIGIEHVDSSDAKQAISTASKAVPELASNADVAEACYTVKRQLEALHTENGLLKRAVDDLRREISGGRFGDLSSGMYREMERNGISKLKTERRNNEKKSMEGDVNEELKKALKGAAGA >OMO55958 pep supercontig:CCACVL1_1.0:contig14570:13329:14114:1 gene:CCACVL1_26867 transcript:OMO55958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSRPRSSAATSTDIDESSTTTPPTPSTPSAAAPTTPKHYAPVSLVQPSSAKSKRRKPKAIRVFRSIFRSFPIITPTCKFPSLPGTGLPDSHKTITSGTRVTGTLFGYRKGRVTLSVQENPKCLPSLVVELAMQTAVLQKELGAGMVRIALECEKRPEKDRIKLFEEPLWTMYCNGKKSGYGMKREATEEDLNVMELLKAVSMGAGVLPGNSEAEGQDGELAYMRACFERVVGSKDSETLYMVSPDGNNGPELSIFFVRI >OMO55957 pep supercontig:CCACVL1_1.0:contig14570:3400:5383:-1 gene:CCACVL1_26866 transcript:OMO55957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKLKFQAADFNLTSTNRAFPANILPPRRSNNLRLPLRRYASLSVRSASPSSSSSEPSVSTGYIPSVGSSPLQLSQWTLTNRHIFVLNVVACAVAVSTTWLFLSAIPTLLAFKRAAESLEKLMDVTREELPDTMAAVRLSGMEISDLTMELSDLGQEITQGVRNSTRAVRVAEERLRRITEIAPKASLQVVTNQKIEASGPALARNARSIREGIVKGRAIFQMFFTLTRFSKMAFKYFASRGKR >OMP01907 pep supercontig:CCACVL1_1.0:contig06354:727:1039:-1 gene:CCACVL1_02982 transcript:OMP01907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDHHSVDHHSGKAFSNQMF >OMO95629 pep supercontig:CCACVL1_1.0:contig07639:36928:38076:1 gene:CCACVL1_05343 transcript:OMO95629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMDDLTCGACQQWTAVKEELLLVNA >OMO95630 pep supercontig:CCACVL1_1.0:contig07639:69212:69448:1 gene:CCACVL1_05344 transcript:OMO95630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKFPPQTPSIIRFVLCPIITTLLPVFPGAQRVDLDGARLSDPPLPASGFEVRLPDLKFEVKKGVEMQNLLLDLKLVL >OMO86098 pep supercontig:CCACVL1_1.0:contig09533:295:3050:1 gene:CCACVL1_09801 transcript:OMO86098 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid binding, tRNA/helicase-type MFSSSQFDGTSAFSGGGFMPSQPSQLANSTPSPAKNCETQGLLSVTVKQISEASQSGDEKSNFIIDGVDVNNITVVGMLFNKNIRSSDIRFHLDDGTGRVECIRWVTEHFDTSQLEALEDATYVRVNGHLQSFQGQKRLSAFSVRPVTNFDEVTCHFIECIHSYLQNSKVQSQSGSLSQSQPADSSLSTPVRGASNGYQPSLMNDLSMQYSADGLKSFDKLVLNYLQQPSNIDREMGVHVDELSQQLKAPKEKIKDAIEFLEREGLVYSSIDDYHYKAVEGC >OMO86099 pep supercontig:CCACVL1_1.0:contig09533:5233:5538:-1 gene:CCACVL1_09802 transcript:OMO86099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVWVFDSTTGVMRRGTCPRTRVLVHLPTDEVVTSYDKLERILRGLGWERYYGGDPDLYQFHKHSSIDLISLPRDFSKFGSVHMYDIVIKTPNVFHVRDM >OMO86103 pep supercontig:CCACVL1_1.0:contig09533:25176:27224:1 gene:CCACVL1_09806 transcript:OMO86103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLHNCELLLPSQFFTPQDNNDNCSNKPIKQNASCLLFGSSDSGSDLSSPIGSEVSSSSSTESSEEEEDDYIGELTRQMAQYMLQDEDKHEKPWSCGLSGSPESTLWSQLGSNLNSPVGPSREPSPTLTIMTGNFEKMKTNAESVRYNHAASRGIQNAEIQTKQALIDVQIRAIQLQRLKQDQAMNQMDQKPKIKHFQSKGRVFGSFDNGQKVAPDSNNPWYTLQQQQQQQQQQQQSNQQTGSDMRAVFLNSSGSRTSSCGTGVFLPRGVGTPCETRKKQGCATVLIPARVVQALKLHFEKTGVPSRFSSSGFPLEHDACGSGRKNNINNMLSQQKRQNRAVPAMNHHQEIGLPQEWTY >OMO86100 pep supercontig:CCACVL1_1.0:contig09533:13150:13983:1 gene:CCACVL1_09803 transcript:OMO86100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKASLLFAVALLLAFSTTASAFNITKILGDYPEYGALRDLLSQTKINVQISTRQVVTLLALDNDTISSISNRSLDEIRKILMNHVVLDYFDTEKIRTWGKKTALLTTLYQTTGVALNQQGFINMTRISRGNVAFGPANPGAPLFTKLLGQVLAQPFNLSILQVSTPIISSGFGDPILAPPPPPASPPPAPAPKKAEPPSQSDDESDDEEAAPGSSPSPAPGPAADAPSGKKASAPKAADDDEEEEKPSASSRVGSSSITVAAFMGLVAVASLVAF >OMO86101 pep supercontig:CCACVL1_1.0:contig09533:14879:17527:-1 gene:CCACVL1_09804 transcript:OMO86101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Selenoprotein, Rdx type MAPKKRKTTDGGEEEQAKPQADATFRRVTRSMSKQGGSSSSGPAQPVKKPKAPRGKAKATAKEETESAAKVEEADAAVAEEEGLKEDAVAEDEAVAGEGANNKTVIVEHCTSCREFKTRASQVKDGLEEGVPGITVLLNPVKPRKGCFEVREEGGETFISLLDMKRPFNPMKALDLDDEISKIIEKIK >OMO86102 pep supercontig:CCACVL1_1.0:contig09533:21593:22395:-1 gene:CCACVL1_09805 transcript:OMO86102 gene_biotype:protein_coding transcript_biotype:protein_coding description:Universal stress protein A MTKDRKIGVAVDFSKGSKLALKWAIDNLLDKDDTLFLIHVKPKLSDESRNLLWYATGSPLIPLVEFREKEVMKQYEVDPDSEVLDMIDTASSQKEATIVAKIYWGDPRDKLCEAIADLKLDCMVMGSRGLGTIKRVLIGSVSNHLMVNATCPVTIVKDPSHGH >OMO86104 pep supercontig:CCACVL1_1.0:contig09533:33213:33680:-1 gene:CCACVL1_09807 transcript:OMO86104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ctr copper transporter MNHDHGMAPPPPSTNGTAGMHHHMSTAMMHMTFFWGKNAEILFSGWPGTRSGMYALALIFIFVLAFLVEWLSHSRLIKPGSRDVPAGLFQTLLHCIRVGLAYLVMLGVMSFNGGVFLAAVAGHTLGFFLFGSRVFKKNTESLSYEKHSDLPPMSC >OMO50509 pep supercontig:CCACVL1_1.0:contig16149:3707:6141:-1 gene:CCACVL1_30396 transcript:OMO50509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rossmann-like alpha/beta/alpha sandwich MADQWPLTDKTPPSYNLQLSLEKRFQIIRSVNEECIHEDELLNLLKHKPEPICCDSFEPSGRMHIAQVYLFMVQKYGTNQVGKLLSGSRSW >OMO50510 pep supercontig:CCACVL1_1.0:contig16149:12953:13427:1 gene:CCACVL1_30397 transcript:OMO50510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTNSSSTTKEVSAPAVYHPWSFSEAIRAIFRCLGLENHDQFHQNPSTPKKEDDVKLKNITNVEADGCQETPAVAYTEEGADPPSTTDDPPSTTANDPSVIVVLATPTRRGTRTGSGPQIN >OMO93727 pep supercontig:CCACVL1_1.0:contig08077:170:441:-1 gene:CCACVL1_06372 transcript:OMO93727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID, 23-30kDa subunit MNHYHNHSPQSSDGKHDGDAALSDFLVPLMDYTPTIPDELVEHYFAKSGFQCPDVR >OMP11015 pep supercontig:CCACVL1_1.0:contig01706:3739:3945:1 gene:CCACVL1_00728 transcript:OMP11015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSYFLAVLLIQGLLLSPAGVVAVEAGSLKYVMLAKGARPSPPPPKYNGIIHQAPMSPPAPAPPSP >OMP11014 pep supercontig:CCACVL1_1.0:contig01706:669:2840:1 gene:CCACVL1_00727 transcript:OMP11014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGGPRREGNYTNPCLTMHQPWASLLVYGIKRIEGRSWPAPVRGRLWIHAASKVPDEATIKAMEDFYREIYAVDGITDLKFPEHYPVSKLLGCVEVVGCLKCEEVTQWEVLPQGVRLEGQTDFCWLCEQPQKLIVPFEMRGYQRVYNLEKKIYEAAVRGLVPVEGPAPVKFPLPNPRDLFSLKPGSIAESFPDSKASAIEKSSSLSAAIAGARAAATQFSKDQNLHNNTIQKTTLDSTSSTTQTILTEGNRIPDSNLDEELRKENSPFEQCDQEDRSSSDKRPLANLKQHSGAPSK >OMO87035 pep supercontig:CCACVL1_1.0:contig09349:6927:14853:1 gene:CCACVL1_09301 transcript:OMO87035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIRGQRECSWSNSDSSSVGSDEEFTSPYSNQPAPDARMRALRIPIVHPNLSNLPSRREYWQQCLVVVLKDFRKFSSHTLQRHVDREWRLRGRATVLGREGNNYLIELTEDIDRNYAVVATFTTRCETTVWILTASETLAAHSPRLKLPPPLGPPFLAASKLCSITDGAQKLQIASLLCPEFESSVVSFKSRRKTFQSRPCQCKAQPLRSRFPANRFPPSFVICMLCEGLCAFALPNPSCLCMSHGLVDTSGAYEAILSPIIDLAKTKIPQAPVSLLYACCHDLLKQFSYPVCSFLAAFCCLAFFPAYFPVLCVICLELDMSPINGAHVLSLGIYLFHFVASSLLYKDPSFKKRPEDVDALVLYLLNMPMKCKTKLNMDMVQKRVSTAVQESPWLLDGGMLVVEPWRPNTALRDVEVRHTNMWVQLWGMPLEYFQEEIAVSLAQVIGPVERVDWDNTNIRFMRVEVLVDLTRPLIPDCSMVRDDGVRERVRFRYERVEKFCAGNENFGQQTDQQHQQQQGGEQLQNLEGTDINLPPLPEILLNLPQVEYEENRVTVEAFHQSEGSQQEEPQLLPEESQEAHINQGKGLAETVFEIWQEQQSKIDEMFNEMNKQGGRYLDDLLQRVYGSPYTQLADNTPRWVQLPSGNLLYTNGRITSNNNQERLESSAMGARRMGRTTHNRRIPSFGLEATISEEEHLEMMYNFTVQANPEPMLKTIPEALIELKLCMFL >OMO63693 pep supercontig:CCACVL1_1.0:contig12917:19370:20517:1 gene:CCACVL1_22349 transcript:OMO63693 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone isomerase MVMVDEIPFPPQITTTKPLSLLGHGITDIEIHFLQIKFTAIGVYLEPEVVGHLQQWKGKPGNVLAEDDEFFEALINAPVEKFLRVVVIKEIKGSQYGVQLESAVRDRLAADDKYEEEEEEALEKVVEFFQSKYFKKNSIITYHFPADSATAEIAFTTEGKEEAKIKVENANVVEMIKKWYLGGTRGVSASTITSLANALSTELCK >OMO63694 pep supercontig:CCACVL1_1.0:contig12917:23812:24288:-1 gene:CCACVL1_22350 transcript:OMO63694 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MVIILAALLCALICALGLNSIVRCALRCSRRFAFESPDETTARLATTGLKKSALRQIPVAIYSSGINLKATDCPICLGEFSDGEKVRVLPKCNHGFHVRCIDTWLLSHSSCPTCRQSLLEQAATSSDDGADIEAGVRQHGNSQGGQADVPVAATDEVG >OMO63692 pep supercontig:CCACVL1_1.0:contig12917:1246:6969:-1 gene:CCACVL1_22348 transcript:OMO63692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MIFNKTREAFIVKEKGKRVAESLALALEQRMKKQKDRLELRSETEPEAPRRSTATVETRGYLLRTGGHSGKKLPPGSLGFPLIGESISFIKAHKHNKTVDWIQDHVNKYGPVFKSSLMGSNAVLLIGQAGNRFIFSGKDNGIASNQVGTAAGILGKHSIFELPGPRHKIVRGAIMSFLKPEAIQRIVSAMDSLVQQELFQVGCSLLFRLPESKEKDELFEDFIVAIKGLWALPLKFPGTAYHKALQARGRICRLLSKLIKERKKEIEARSIGLEDNINDLISSLLMLRNENDEPLLEEEIIDNFIAVMIASHDTTSVFLSYFMIQLTRDTEVFEKVLQEQKEVAKAIEGKDGKFMTWSEIQMMKHTWRVGQELLRLNPPVFGNFKCAKRDINFDGYDIPKGWKVFWFAAGTHMDEKIFNDPDKFDPGRFEVSSKLLPPYTYIPFGAGPRICPGAEYAKIEALLIIHHLIMNYQWTAMIPDEPILRDPMAYPAMGLPVKLQKRSFHHAND >OMO60452 pep supercontig:CCACVL1_1.0:contig13719:120295:121799:-1 gene:CCACVL1_24143 transcript:OMO60452 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MSIPSTASVLSAYTTFTATVMLIRGVLSEVRAIISQLIPTQLQAYLLSKLGLLFSNRPSSRMTLLIDENDGYSMNELYEASEIYLQTKINPSMERLRVSKGTGKSSLVAAMANYLKFNVYDLELASLYNNSDLRRLLVSTQNRSIVVIEDIDCSIELPDRYGGGGNGQLTLSGLLNFIDGLWSSCGDERIIVFTTNHKDKLDPALLRPGRMDMHIHMLYCTPSGFRVLASNYLDITSHDLFHEIDQLLMEVEATPAELAEELMKSEDVDIALEGLIKFLQNKKLGSVKFDSDGEEAGRFNEENDQSNE >OMO60459 pep supercontig:CCACVL1_1.0:contig13719:150965:153742:1 gene:CCACVL1_24150 transcript:OMO60459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVHGSSGGGFIGRKLVFPVDYEDEVSQRLVDALHGNDVKLASDCLADPFVDVNFVGTVSLKAKKTEILLHEEAAHEVLVEYEEFKTEVTPLFLAAHAGNLFVVKKLLSLGANVNHKLFRGYATTAAVRDDHMEILEALLNAGASQEACEEALLEASHLGFARHARQLMATEMIRPHVALRALVSACCRGFVDVVDTLIKSGVDVNATDRVLLRSSKPSLHTNIDCNALAAAVVSRQTSVVRLLLQAGSKMDLKVRVGAWSWDIDTGEEIRVGAGLADAYSIAWCAVEYFEATGVILQMLLRHLSPNTLHNGRTLLHHAILCNNAQAVEFLLNCGADVEFSVKTTSKTELRPIHLAAKLGYAEILQCLIVAGCNINSQTAFGDSPLMICARYKHEDCLKALALSGADFGLVNSAGQSAISIAGLNRWTNGFQNAVMDVIQAGKTPQSSNPSVFSPLMFTIQANDIEALKKLLEQADIDLNEQDDDGYSALMMAASGSHVEAFRLLLSVGANIKLSNKFGETAISLLELNQINGDIFDQIMLDYALEDPDFPISFYALHRAANRGDLNLVHILINRGCDVNAFDADGYTPLMLAARGGYGAICQLLISFGAKCDIENARHETALLLARKKGYGNDAENVILNELARVLVADGSRVKKHTRGGKGSPHGKILRMMESNGVLSWGKSSRRNVVCKAAEVGPSDKFRWNRRRKPDVEEVGMFHVVTTKNKEVHFVCDGGVEMAELWVRGIRLVTREAIFGKEKQV >OMO60447 pep supercontig:CCACVL1_1.0:contig13719:92942:96146:1 gene:CCACVL1_24138 transcript:OMO60447 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MDISEVEENLFAVSDAKLHGEMCKTLSAIYCKVLSVFPSLEAARPRSKSGIQALCSLHIALEKAKTVLQHCSTCSKLYLAITGDSVLLKFEKAKYSLIDSLRRVEDIVPQSIGCQILEIVSELEGTVFSLDPSEKQVGDEIITLLQNGRKFDECNDNSELESFHQAATRLGITSSRAALTERRALKKLIERARAEEDKRKESIVAYLLHLMRKYSKLFRSEVSDDNDSQGSAPCSPTVFGSLEEGGAGGNGQAFERQLSKLSSFNFKPSISIRRSGQIPIPPEELRCPISLQLMYDPVIIASGQTYERICIEKWFSDGHDTCPKTQQKLPHLSLTPNYCVKGLIASWCEQNGVPIPDGPPESLDLNYWRLAFSESETANSRSTDSVGSCNLKGIKVVPLEESVTIEEVEGNEAEDEPSCPQEEDSEFNVLERYQQFLSVLNEEENLRKRCTVVEQVRVLLKDDEEARIFCGANGFVEGLLRFLESAVREGNAMAQEIGAMALFNLAVNNDRNKELMLASGVIVLLEDMLCNSDAHESATALYLNLSCLEEAKPIIGSSKAVPFLVQQLGAEIDPQCKLDALHTLYNLSTVHSNIPYLLSAGIVNGLQSLVVSGDNAWAEKSIAVLLNLASTQAGKDEMSTGPTGRCDSGIGVNFSKWDGKREGEVTKTSDALPGATTTGSSTYGHTPEARKQSRTHD >OMO60455 pep supercontig:CCACVL1_1.0:contig13719:126859:135347:-1 gene:CCACVL1_24146 transcript:OMO60455 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MKGNSVFRPFLLRRSLNFRPFSSSSSSFTIPLIRNPQTDSSRSENPYPDYARDHPAFADYLSGYPRSFEPPKFGFEAEMEEPPVPPNVVMVNSDEEFEAALNKTEGESKLAVFYFTKKGCVPCRFIGPVMEELARRNPHVTTYKMDTEAKELAGTVKKLDITTVPTVHFFVDGEKKDEVIGSDVARIVLTTRDLKSGLAVGVPPNIVPIKSQQEFEAAFTKTQDESKSAIFYFTAVWCGPCRTIAPIVEKLARQYPHVTTYKVDIDEESLASTLMKLQISSVPTFHFFKEGKKSSEMIGADLTGMVQRMKELYENGLNVHRKGACSLCYYKVWIRDRTSLHSSVSSRLTMAPPSLLGPPEVHVPPPQPQTQIPADPFMDLMVANFNKVNNTNTVLPPMGFTENNSATFLSSGNPCLDFFFHVVPDTPPESLKERLRLAWDHNPLTTLKLICNLRGVRGTGKTDKEGFFTAAFWLHQHHPKTLACNLDSLADFGCFKDLPEMLYRLLEGQEIRKTRKAEWEQRKTRKVGGFKRRSIRPSIYRHHNSQTKSKKKSVLPKEVRILNALERVKIEKEKASVLRKEKKVAMAKKILERYSRDPDFRFLYERTSDVFAECLKEDMESMKSGKLRKIGLAAKWCPSVDSAFDKSTLLCEGIARKIFPRENYPEYESMEEAHYAYQHDKERFSKYLEDVKSGKSSIAAGALLPHEIIESLNDSDGGEVAELQWQRMVNDLLQKGKLRNCMAVCDVSGSMSGTPMEVSVALGVLVSELSEEPWKGKLITFSENPQLQMVQGRNLKEKTDFVRDMEWGANTDFQKVFDLILDVAVKGQLKPEQMIKRLFVFSDMEFDQASGHRSWSYYGYGHGHGRETDYQVIVRKFTEKGYGESIPQIVFWNLRVSKATPVPGTQNGVALVSGFSKNLMKMFLDQDGDINPEAIMEAAISGEEYQKLVVLD >OMO60460 pep supercontig:CCACVL1_1.0:contig13719:154110:157309:1 gene:CCACVL1_24151 transcript:OMO60460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLPIVQYEKKILETVEQNQVVVIIGETGSGKSTQLSQMLHRKGYTDSGIVAVTQPRRVAAVSVSRRVAQELGVKLGEEVGYAIRFEDRTSESTKIKYLTDGVLLRESLSNPELNQYSVIILDEAHERSLNTDILLGLMKRLVKRRASNLKVLITSATLDGEKVSKFFLDCPLLTVPGKLFPVEIFYSKERPTSYIESSLKTALDIHVREPEGDVLIFMTGQDDIEKLVSKLEDKVRSLAEGSCMDAIILPLHGSLPPEMQVRVFNPPPPNCRRFIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPATGMYSLDVVQIS >OMO60443 pep supercontig:CCACVL1_1.0:contig13719:63575:63865:1 gene:CCACVL1_24133 transcript:OMO60443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCKGIEAVAIRVSSFRREDYNNRRAFLRSYPLDWGDDEGNNEDTVRVKKESTRKKQAKKIIESVFDWSGEKVVILRKFKHKLTVYVIACIPIRFK >OMO60446 pep supercontig:CCACVL1_1.0:contig13719:87979:89200:-1 gene:CCACVL1_24137 transcript:OMO60446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPCRSTDAGISYSVLIIIIMKGPPALPRG >OMO60453 pep supercontig:CCACVL1_1.0:contig13719:122661:123500:-1 gene:CCACVL1_24144 transcript:OMO60453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRRRRRPLHTCGVSAFAIAHKSYKKAQDSNDFLGSKAKKITTLILALVSSLVNAQQHLWLAIFSYIDNCILTLEDAIEYVLPPSKHVFNKIDEVVKIIETLPAKYDDVLDNFPLIIERVPLLNLAIAHAISWLKFLTSILNHWGTENAREKEIVVDTAYNQSNVGSVSAESPSHIGLKNEEIFPPVSEKPGIADRAKPATAKGTYKEVLERGKAEDHLDKKDANKDEKSKGNNKASNEEGTQLKNDSILALFDSGWLMNPTGKNNIGNSLPRSVSYT >OMO60442 pep supercontig:CCACVL1_1.0:contig13719:56670:59052:-1 gene:CCACVL1_24132 transcript:OMO60442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVTVYKNKDPSAAAAMNLSAKIESPTKENIVRMEKSVAELDSKPQVSSVEQETSFRGKTEDFFDSQPWLESDCEDYFSVNGDSTSSCGNSPTHQKSFRENPLHEKVNSMDRAKSAVPEHSPTETKKQQKQLIELFRESFNDDTVNNQLSLKGQLELEDNPTNLNLPPKSTGRSPYESMPNSVHSSEATPYNKGFSKKEKSTDSAQCCLPSLVRNLSFGERRRRLSPAKTG >OMO60448 pep supercontig:CCACVL1_1.0:contig13719:98594:99950:-1 gene:CCACVL1_24139 transcript:OMO60448 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MFSVKSIPSTTSVLSTYNTFTATTMLVRGVVSEVQAMASQLIPKRLQALLLSKIAGLYPNLGSQMTLLIDEYNGYSINELYEASQTYLQTKITPSMERLKVTKGPRDKKVAVTMAKGEKVIDLFEGIQLKWEVTCVETKDQNQGKNETRVIELSFHSKYMEKVINSYLEYVIETSKAIQEKNQVVKLFLLGDMGGGFMDGSWGSTNLDHPATFDKLAMDPAMKKELIDDLDRFVRRRDFYRKVGKAWKRGYLLYGPPALSCKIDKQRDMKRLTLSGLLNFIDGLWSSCGDERMIVFTTNHKDKLDPALLRPGRMDMHIHMSYCTPSGFRVLASNYLDITSHDLFHEIDQLLMEVEATPAEIAEELMKSEDVDIALEGLIKFLQNKKLGLGKLDSDGEKDNSEEDDVSKVMQRIFKNF >OMO60451 pep supercontig:CCACVL1_1.0:contig13719:116777:118304:-1 gene:CCACVL1_24142 transcript:OMO60451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVKTIPSTTSVLSTYTTFTATTMLIRGVISEVKAITSQLIPTRLQAFLLSKLGGLYSNRSSQMTLLIDEYNGYSINELYEASEIYLQTKITPSMERLKVSKAPRDNKVTVTIEKGEEVIDVFEGIQLKWEVTCVETNENHQGKNEKRVIELSFHNRFMEKVIGSYLEYVMEISKAIQEKNQVVKLSSLGDMGGGGMDGSWGSTNLDHPATFDKLAMDPALKKELIDDLDRFVRRRNFYKKVGKAWKRGYLLYGPPGTGKSSLVAAMANYLKFNVYDLELSSIYNNSDLRRLLVSTRNRSIVVIEDIDCSIELQDRQAGGYEEGNRQLTLSGLLNFIDGLWSSCGDERIIVFTTNHKDKLDPALLRPGRMDMHIHMSYCTPSGFRVLASNYLDITSHDLFHEIDQLLMEVEATPAEIAEELMRSEDADIALEGLIKFLQNKKLGSGKLDSIGEEAGLKEENESNE >OMO60457 pep supercontig:CCACVL1_1.0:contig13719:138117:142844:-1 gene:CCACVL1_24148 transcript:OMO60457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLRSKNKKGSSFQVDYIVYIKEISPWMPSKSLRSVQSVLVQWENGDQSSGSLTSTSIGNGKIEFTESFRLPVTLCREASRKSTNRESFQKNYLEFYLYEPRKDKVAKGQLIGSAVINLADFGIIKETITISTPINLKKSSRNTEQLVLHLNIQPFDKDSSGSSTRGSLSKEASLDKDGSESVSESISEANDVESEIASFTDDDDDLSSHSSQTISSSVFDPSRESHSQHDKNGSDSANGGTGRLGPTLRSGGTYENSGVSSVVEAFKKVNGNTSPLSSMDLPSNQVNPVNDSMAKVAYSEAHVSIPVDMKLDNVKNKDLHTKNEDDRKTWRQEKGLVDRSLSNNLHVVQRKESEEKTLLELELDSQILDANEYSLKDRLGFRPPQDPTRKQLKLRSSTFASSRTTTELQGSSIAGERQKHVTPAQLHFDKAKNDGPSNKLQVMEKATENDILESRDALGKREEITSSFSNSKADSAQSYGLLNKTQFVEKAKEADIFEKIHNGTKSDAPNESEKSANSLSNGKVDLESKIEMLEEELREAAVVEASLYSVIAEHGGSTHKIHAPARRLSRFYLHACKASVQDKRANAARAAVSGLILVSKACGNDVPRLTFWLSNAIVLRAIVNRAIGEMPLSSGGGKVLEENNSNGSSDYWVEPQKFLLALEKFEAWIFSRIIESVWWQTLTPYMQSAAAKSSNSRKTSNRRYGLGDQEQGNFSIDLWKKAFKDACERLCPIRACGHECGCLAVLAKLVMEQLVSRLDVAMFNAILRESAEEMPTDPVSDPISDSKVLPIPAGKSSFGAGVQLKNAIGNWSRWLTDLFGIDDNDGPDDSNEVYDDNNGGSDFKAFSLLNALSDLMMLPSEMLVDKSTRKELCPKFSAPLISRVLNNFVPDEFNPNPVSEAVFKALDEDLLEAGEESITSFPCIAAPTVYTPPSPASLAGIIGEVGSQALQRSKSSVLKKSYTSDDELDELDSPITSVIIENPRDSPTPKAPDWMQMGKGGRKVVRYQLIREIWKDSE >OMO60449 pep supercontig:CCACVL1_1.0:contig13719:100940:103554:-1 gene:CCACVL1_24140 transcript:OMO60449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRGVISEVQAITSQLIPTQLQAFLLSKLGGLINYSNRSSQMTTLLVDEYDGYSMNELYEASQIYLQTKITPSIERLKVSKGPKDKKVAVTIKKGDEVIDLFEGIQVKWGVTCVETKDENAGKIEKRGIELSFHSKYMEKVINSYLSYVMETSKAIQEKIQVVKLLSLGNMCGGERDGLWGSTNLDHPATFDKLAMDLVMKKELIDDLDRFVRRKNFYRKVGKAWKRGYLLYGPPGTGKSSLVAAMANYLKFNVYDLELSSIYNNSNLRRLLVSTRNRSIIVIEDIDCSIDLEDRQAKGRHGQGKGQLTLSGLLNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRMDMHIHMSYCTPSGFRVLASNYLDITSHDLFHEIDQLLMEVEATPAELAEELMKSEDVDIALEGLIKFLQDKKLGSAKFDSDGEEAGVKEENESNE >OMO60445 pep supercontig:CCACVL1_1.0:contig13719:75141:78401:-1 gene:CCACVL1_24135 transcript:OMO60445 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase T1A, proteasome beta-subunit MKFDTSGLESATPAFGAPSLLGDEFSAAPSFPVPNTTDFDGFQKEAIQMVKPAKGTTTLAFIFQGGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGMRFSVGSGSPYAYGVLDNGYRYDMSVEEAAELARRAIYHATFRDGASGGVASVYHVGPEGWTKLSGDDVGELHYHYYPVTPSTVEQEMAEVAGA >OMO60458 pep supercontig:CCACVL1_1.0:contig13719:146376:148877:-1 gene:CCACVL1_24149 transcript:OMO60458 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH ubiquinone oxidoreductase, F subunit MAPIKGILSLQRAAFLRNSSARWGLGIRSFSTQGATTAGAPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGALKRGDWHRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRATAAYIYIRGEYVNERINLERARKEAYEAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAIIPGGSSVPLLPKHICDDVLMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAEKELLEASA >OMO60456 pep supercontig:CCACVL1_1.0:contig13719:136315:137184:1 gene:CCACVL1_24147 transcript:OMO60456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNYKNSFLKYDQERFEEYLGNVKKGNSKIAAGALLPHLIIKSLKKGTGGEVAVLQWQRMVDDLSAKGKLKNCLAISDVSGSMMGTPMEVSIALGLLVSDLSEEPWKGKVITLSRNPKLQIIKGDNLGAKVESIDRMGWDMNTDFQKVFDKILEVAKEHNLTEDQMIKRLFVFSDMEFDQASGVALPPHYALGFSPKKFSPVNWETDYQAITRKFHESGYSSVPEIVFWNLKNSAATPVPSHQKGVAMVSGFSKNLLKLFLEEGGLMDPVSTMESAIKGKEYDALVVVD >OMO60454 pep supercontig:CCACVL1_1.0:contig13719:125075:126307:1 gene:CCACVL1_24145 transcript:OMO60454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MEYDEGIPGDGRCLFRSVVHGAWLRAGKQSPSESLQKQLADELRAKVADEFIKRRADTEWFVEGDFDKYVVQIRQPHIWGGEPELLMCSHVLQSPITVHMRDKNSGGLKTIAEYGQEYGKENPIRVLYHGYGHYDVLRSPVTNASSKL >OMO60444 pep supercontig:CCACVL1_1.0:contig13719:67682:71569:-1 gene:CCACVL1_24134 transcript:OMO60444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQFALFLLFFCFFLSWNLNPVLSLTPDGLSLLSLKSAVDQPAGGLVFADWNENDETPCRWSGISCMNITENPDPRVVGIAVSGKNLRGYIPSELGNLKYLRRLNLHNNNFYGSIPDQLFNATSLHSLFLYGNNLSGSLPPSICNLPRLQNLDLSNNSLSGSLPENLKNCEQLQRLILAHNKFSGEIPAGIWPELDNLVQLDLSSNEFSGSIPSTLGELKSLSGTLNLSFNHLSGKLPKSLGDLPVTVSFDLRNNNLSGEIPETGSFANQGPTAFLNNPLLCGFPLQKSCKNSNISPSGSQNSGPNSGESPKKGLSPGLIILISAADAAGVALIGLIIVYIYWKKKDSSNGCSCTGKGKFGQNDKGKLCSLCSCVCINGFKNEDSEFEDQEKGERSGRGEGELVAIDKGFSFELDELLRASAYVLGKSGLGIVYKVVLGNGIPVAVRRLGEGGEQRYKEFQAEVLAIGKVKHPNVVKLRAYYWAPDEKLLISDFISNGNLATAMRGRNGQPSPSLSWSTRLKIAKGSARGLAYLHECSPRKFVHGDIKPSNILVDNDFQPYISDFGLNRLINITGNNSSSSGGFIGGLPYKSIQTERNNNYRAPEARVPGNRPTQKWDVYSFGVVLLELLTGKSPEMSPTTSTSTEVPDLVRWVRKGFEEENPLSDMVDPLLLQEVHAKKEVLAVFHVALACTEADPEIRPRMKTVSENLERIGT >OMO60450 pep supercontig:CCACVL1_1.0:contig13719:112453:114232:-1 gene:CCACVL1_24141 transcript:OMO60450 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MFSIKTIPSTASVLSTYTTFTATAMLVRGVISEVQAITSQLIPTQLQDFLLSKLGGLYSNRSSQMMNLLIDESDGYSMNELYEASEIYLQTKITPSMERLKVSKGPKDKKVAVTIEKGEEVIDIFEGIQLKWEVTCVESKDQNYQGKSEKRVIELSFDNKYMEKVINSYLEYVIETSKVIQEKNQVVKLFSLGDIYGGDTDGTNLDHPATFDKLAMDPVMKKELIDDLNRFVRRRDFYRKVGKAWKRGYLLYGPPGTGKSSLVAAMANYLKFNVYDLELASIHNNSDLRRLSKIDKLGEMKRVTDSTPSGFRVLASNYLDITSHDLFDEIDQLLMEVEATPAELAEELMKSEDVDIALEGLIKFLQNKKLGSGKFDSNGGEAGLEEEMKAINVMEVAGNKNINRRSTTKIYRANFKNF >OMO54551 pep supercontig:CCACVL1_1.0:contig14959:112:2811:-1 gene:CCACVL1_27747 transcript:OMO54551 gene_biotype:protein_coding transcript_biotype:protein_coding description:Male sterility, NAD-binding protein MHTGRKLQQESIDVEENVSTNCGLINTGDHENDFNGIGVENFLKGKVIFITGATGFFGKVVVEKILRTIPAVSKIYVLIRAKDKQATNQRLKKEIVDIELFKCIRKMYGEHYEAFMMNKLVPVVGNVCESDLGLESNLAMAIMQDVQIILNSAGETSFDQRYDVGLKINAMGPYNLLAFAKKCKKLELFLHVSSNDDIKDETFSSQNSGRFHPTVSFVENEMKLALDYVRKTIKDDKVDHNMRELGLKRAKKFGWLNTYSLTKAIGEMLIEENRGEIPIVIARASGILSTYKEPFPGWIEGI >OMP00331 pep supercontig:CCACVL1_1.0:contig06686:12328:14603:1 gene:CCACVL1_03377 transcript:OMP00331 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH interacting protein 1 MMSQPSPDHTQIPVTNQSTISSESTPPPPQPQPAVAAATHSSDYAPYPKLDPKDVTPPPPENWSNVAMGSQTQPNPGPAPISTSAATTMPVESNPYVSPAPAPSSTVKNTMETVKDVLGKWGKKAAEDTKKAKEIAGNMWQHLKTGPSFADAAVGRIAQGTKVLAEGGYEKIFRGTFDTVPEEKLLKTYACYLSTSAGPVMGVMYLSTAKLAFCSDNPLSYQVGDQTQWSYYKVVLPLHQLRAVNQSASKANPAEKYIQVISVDNHEFWFMGFVHYDSAVKNLQGALQNRS >OMP00333 pep supercontig:CCACVL1_1.0:contig06686:22345:27134:-1 gene:CCACVL1_03379 transcript:OMP00333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKAREFMATLSSLFLSSLLS >OMP00332 pep supercontig:CCACVL1_1.0:contig06686:21271:22017:-1 gene:CCACVL1_03378 transcript:OMP00332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAVPPGYDSSLGNSSAARSKMGPASGGEGAASATPRKVGSTSIRYRECLKNHAVSIGGHAVDGCGEFMAAGDEGTLDALKCAACNCHRNFHRKEAEGEANIYNNPHHQQHHPQFSPYYRAPPPAGYLHLTPPPQHRPLALPAASGGGGGGGGIGGGGYSREDEDVSNPSSSGGGGGGGSGGLKKRFRTKFTPDQKEKMLDFAERLGWRIQKHDEAAVEQFCEESGVKRHVLKVWMHNNKHTLGKKP >OMP00330 pep supercontig:CCACVL1_1.0:contig06686:936:10448:-1 gene:CCACVL1_03376 transcript:OMP00330 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSSSGYGADVPTYLQDMLSKIMAAFDDKTKAIDERANERMIAMEERFVQLAKGVHGDNGKTLEVPAASETNNGAIDTTIPTLNNTTGAKDGIASASADTTYVTKDQLQSLDDDLKLKEFSKSLTGKAYTWYVNLIPGSIESWNQMCTQFGEKFFPTQEKLTLIDLGREHQKSGEDLMEYIQRFRERVLDVHDAYNERELVKVCMQGMFDEYRVHLENLPLYTFAALVEAARRTNSSVQRQKESRYARRNTPPVHAVQFQKRNNDRPRNDRFQKRPKTDFRRGDDAPPFPVPVEKVRALLQEWIRDGQINLPFVSRMPTGQEKIDPKYCDYHRVVGHPFAECWSMRRLIQNRVRKGELVINSNDTVQVNLLPTHGACAVIHSLRDEQYENEDAYDTHVAAVMTSTIASSLLKTPNVRHFFDMLGFCDDARKEAAEALVQVANKYHDMCTPDPNHNKPLYVESTINGVYIRTTFIDDGSGLNLMPLKTLRALGIDQRSLRHPMIINAFDNKGTRTLGYVTVNMKVGNIQEQTCFHVLDADVAYHVLVGRKWLHAHYLIASTLHQCIKGYWNDKEVSIPATKAPFEQNEVRYAEASFFDELADDGEGALGRPIGVSLPPWSNYDGISHCNVKRTKKGNKMRCGNATGGSRGADVTSYTRADGRIDKPKPQEEELEELNIADEGGTPKPLFLSKNLSAEQKSVLIELLKEFEDVFAWSYEQMPGLDTNLVTHELHIAPGSRLVKQSARLFQPEIETKIKEEIEKLLRVDSSSLFTILHGHEMFSFMDGFSGYNQIKMAQEDAEKTAFRTPIGNFYYTVVPFGLKNAGATYQRAMTAIFHDMLHECVEDYVDDIVVKSKKAADHLTDLRKVFERCRKYNLRMNPLKCAFGVTSGKFLGGSGAGIVLVPPEARCEHEEALSLAFKLDFPCTNNQAEYEALVLGLHTARIIGVEELCIIGDSNLVVKQTNGEFSLKEPTLAPYRDLVRSFLDKFQSVRCEHSPRSSNRYADALATLASKINMPDGEQTIPLTVKRWSIPSPHALWMETPKGEEEQDWRDPIIQQLGDPTSNLLPSLKKYVLIHGTLYYRGANEVLARCVSSKEADCRLKAAHRQWCGQEGPPLYRRLQRAGYYWPTMMKDATHMESLCAKCSEPPNVHECHFVGSVGDCRRPYIDFLQNGVLLTNYQDARRIKRRAERFFLKGNELFRTSFAGKPLKCVSPADMTALLEDVHGGVKMAFDTAIVRFHYEGRFSGVDEELQYVGGYVDDLVFDPDKISKNEFEQLCQRAGYKNIVRMFYQRPGFLLCDGLKPIINDASIIDMTGELFLNDGVIDVYVEHGVEVVPEIAGLLENGENLADPPVVNLGDPPVVNLGDPVEDVGVNLQDVHVEDNGDINDDVGEVEVDEVNLEGLHDEDGEDEGPAAGENGENDLESDGEGISEFHIDSEYDDSDDPLEIESDEELIVNEATTMRGRFPRFDSTADVPYIYKSMLFKNSDEFKLASKEMIVESYVVNYEEEFAKLWSYKDMILLSNPGSTVKMDTFRADPDGPPVFERMYICLGALKEGRDGNNQMFPVAWALVEDETTLTWSWFIECLQEDLGIGDRFGFTFMSDQHKAIQRSIEDNVPQAEHRFCARHVWVNWQGRGHRGDEMNDFFWRLVKAPTLREYYEILDKLKQKSSQAATDFEAYTPPAKFCRTFFRLESMVEVADNNLCEAFNKTLLKARKMPVISLFEMMRREMMKRIVKKNNELSRWRDGLGPRIWQSIEKSAKIAQYCRVIFNGADGYEVEHGESRYVVRLEEKTCTCRIYKLSGVPCAHAICAIRERRGNVAEFVSSWYSKEVYMQSYSNPIQSMPGLKDWPTSDLPPNSTTPSHEEVNCSSPPQNPAKTKKKAHSSSQASVSVQIPDLNANANSQAASSGCGDAEASASVILPSQQSGTDPTTVNSSSKAKSGQSKKKKQTLMLDLGRKRDSTVTDNNGRVLECVWVKGQVRLSQAKYANQRRITATFLQRAAQKKFRARLEALKKGLQPAASGDNVPLQGTQESVTTASASSKGKNKQQN >OMO55558 pep supercontig:CCACVL1_1.0:contig14666:3839:4120:1 gene:CCACVL1_27188 transcript:OMO55558 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcription repressor MYB4 MNNINVVACNNNKPPLPKGSLADNDRVSDAASCLEDEKSAAAVSNLDLDLTIAFPSIKRNEENNYKQQTSKALIGTRDLQEENYATPTLLLFR >OMP11848 pep supercontig:CCACVL1_1.0:contig00770:719:890:-1 gene:CCACVL1_00236 transcript:OMP11848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQTSTSVSSTNFTSHNMPQAPSSSEATPIVTKTSNKKTRSTTASVGKNVARASVNFS >OMO53193 pep supercontig:CCACVL1_1.0:contig15302:4045:4128:1 gene:CCACVL1_28816 transcript:OMO53193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSPRQRKEATRGRNKHHRAVIRREAK >OMO61833 pep supercontig:CCACVL1_1.0:contig13404:47309:64826:-1 gene:CCACVL1_23222 transcript:OMO61833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWIKLAKPTSLSDVEKRLNELHIMAHPIIDDNPDILVASTSFHSLGSESMLCLIKLAKTTSPSDVEKLLNELHIMTHLGIVDCRPNVAHIKAGKNEVGDSSLSIICP >OMO61832 pep supercontig:CCACVL1_1.0:contig13404:43708:45125:1 gene:CCACVL1_23221 transcript:OMO61832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAEETDTRSHLMDLLRVRKGQMVDRGKVL >OMO61831 pep supercontig:CCACVL1_1.0:contig13404:38333:38416:1 gene:CCACVL1_23220 transcript:OMO61831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDIRREEASIPALKSARIDREEWINA >OMO61830 pep supercontig:CCACVL1_1.0:contig13404:29668:33023:-1 gene:CCACVL1_23219 transcript:OMO61830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRRLLWRRHEGSGLPL >OMO61829 pep supercontig:CCACVL1_1.0:contig13404:4711:25957:1 gene:CCACVL1_23218 transcript:OMO61829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKETELLSRLAANHLHLAQFEPLRATLLSLRTKNPDLALAILQTIVANSGRFDNIVWSPSCPSPSLLAHLSTLELLQFNDPTSIWSFDPDTLRLRAEFVLLVQILIDKVLASLRRDVDLEQIEKEKECVSEGFEEDKPELLDRSEDLKEGSDELGDCIRVLDRFLELGMMRLIPDVVMEGVDGDGAKESKAVPEKVLIEENEMGCLRKVIMDYEDAFDALCGNIQRQLKGLEGIDLGMAIMVRREEKVRVDACDEEHKTVLGSIQKSVQLAHLDAIKDCMKDDDIEGVVSRIRFLHLDYGVDEVEYRKLLQALLQRVLSKRETVGGSRRSSEEKLLWIYEEALSSNNRHLVQMIQVIHDELLSQEIEAHRALDNSQIPPPLEHFQKYLVEFKPHADMNNKQLPLNMASSSCMRDMFHFARISGLHILECVMNTALSAIKREHIQEARNVLVLFPRLRSLVAAMGWDLLSGKTMLRRNLMQLLWTSKSQVWLEESSLYGNQSDEVSCVEHLCNSLCYYLDLASFVACANSGQSWSSKFSLRLSGDENIASQSDEDAQLDTFVENFVLERLSVQTPLRVLFDVVPGIKFRDAIELISMQPIASTLQAWKRMQDIELMHMRYALESTVLALGAMERSMASEKETYQMALCHLQDLKNHLASIKNIPRKILMVNVIISLLHMDDISLNLMHCASPGSLFEPSAECDWEHVDLTTYEGGNKMVISFTGLLLDIVRHSFPSSIIEEEHTSNDGLSMSGRQALEWRISIGKRFVEDWEWRLSILQRLLPLSERPWSWKEALTILRAAPSKLLNLCMQRAKYDIGEEAVHRFSLSAEDRATLELAEWVDSAFRKVHVENSVSRAADGTSPVQDLDFSSLRSQLGPLATILLCIDVAATSARSANMSQQLLDQAQVMLSEIYPGGSPKVGSTYWDQIHEVGVISVLRRVLKRFYEFLEVDSRPALQAILTGEISMDSHRQGQRERALALLHQIIEDAHMGKRQFLSGKLHNLARAITDEEVEVSFTKGEGSGTDRKVLSTLDKDGVLGLGLKTIKQTSLTMTGDSSMQPVGYDMKDTGKRLFGPLSAKPTTYLSQFILHIAAIGDIVDGTDTTHDFNFFSLVYEWPKDLLTRLVFDRGSTDAAGKVAEIMSADFVHEVISACVPPVYPPRSGHGWACIPVIPTCPRSGSENKVLSPSAREAKPSCYSRSSATPGIPLYPLQLDIVKHLVKISPVRAVLACVFGSSMLYSGSDSTISSSLDDDLIQAPDADRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFAVTAMQRDDDNKVKPETRTVIKRMREPDSDTESEVDESVGNSNISSTLDLNVKDCSSQDSWQNCLKPEVAEGDNTVFLSFGLENEDPYEKAVERLIDEGKLMDALALSDRFLRNGASDRLLQLLIERGEESHSTSGQPQAYGAHGIWSNSWQYCLRLKDKQLAAGLALKYMHRWELDAALDVLTMCSCHLPQNDPVRNEVLQRRQALQRYSHILSADHHHGSWQEVEAECKQDPEGLALRLAGKGAVSAALEVAESAGLSIELRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDSDDALPVAMGAMQLLPNLRSKQLLVHFFLKRRDGNISDVEVSRLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLESASLILKEFPSLKDNGLIISYAAKAIAVSISSPIREPRISVSGTRPKPKPRSGVPTRSSFTSSLSNLQKEARRAFSWTPRNNGEKSAPKDVYRKRKNSGLSPSEKVAWEAMAGIQEDRVSSYVDAQERFPSVSIAEEWMLTGDAGKDEVVRTSHRYESSPDIILFKALLSLCSDEFVSAKSALDLCVNQMKTVLGSQQLPDSASMETIGRAYHATETFVQGLIYAKSLLRKLTGGNDLSSNSERNRDADDASSDAGSSSVGSQSTDELSEVLSQADIWLGRAELLQSLLGSGIAASLDDIADKESSARLRDRLIVEERYSMAVYTCKKCKIDVFPVWNAWGHALLRMEHYSQARVKFKQALQLYKGDPAPVIVEIINTIEGGPPVDVSAVRSMYEHLAKSAPTILDDSLSADSYLNVLYMPSTFPRSERSRRSQESTNSNSPYGPDSEDGPRSNLDSARYVECVNYLQEYARQHLLGFMFKHGHFNDACLLFFPPNAVPPPAQPTTMGVVTSSSSPQRSDPLATDYGTIDDLCDLCIGYGAMPVLEEVISTRISVSKQQDALVNQYTAAALGRICTYCETHKHFNYLYKFQVIKKDHVAAGLCCIQLFMNSSSQEEAIRHLENAKMHFDEGLSARYKGGESTKLVTKGVRGKSASEKLTEEGLVKFSARVAIQVEVVKSFNDSDGPQWRHSLFGNPNDPETFRRRCEIAETLVERNFDLAFQIIYEFNLPAVDIYAAVASSLAERKKGSQLTEFFRNIKGTIDDDDWDQVLGAAINVYANRHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLSQYM >OMO90628 pep supercontig:CCACVL1_1.0:contig08455:5719:9446:-1 gene:CCACVL1_07330 transcript:OMO90628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-hairpin glycosidase-like protein MMWRLFFILVLSFSQWGAANCKVCTNFNTDIAEDKRKAAAAPHHEHPADIHSLDEFNWAKMKRKLERFKVPDHFLKQVPLHNVRLDPKGLHGRAQKMTLDYLLMLDVDRLVWSFRKTASLRTRGKPYGGWEKPEEELRGHYVGHYLSATALLWASTHDQRIQDKMSKVVNALHECQQKLGSGYLSAFPSEQFDRVEALKDCWAPYYTIHKIMAGLLDQYKYAGNQKALKMLTWMVEYFYKRVQNVISKHSIERHHLMLAEEHGGMNDLLYRLYNVTGDPKHFLLGRLFDKPCFLGALALQEDELSGYHSNTHIPIVIGAQMRYELTGDPLYEAIGTYFMDIVNSSHSYATGGTSVREAWSDPKRLATTLETQNEETCTTYNMLKVSGNLFRWSKEMAYADYYERALTNGILSIQKGPGVYIYFFPLGRGVSKAISHWGWGKPFDAFWCCYGTASETFSKLGDSIYFEEEGKIPTLYITQFISSSLVWESGNAEIHQIAHPVFSWDPIFRVEFSFPVKGTANEATLNIRLPFWSSSVGAKAEFNAQNLPLPPPGSFLSVTKKWNAGDKLTLELPINLRLEHIQDDRPDFASVQAILFGPYVLAGHSNGDWDIQTKKYSSTKSLSEWITPIPQTYNSLLVSFSQAIRNSTFALSKHGDDHHHHETMISMLNYPQPGTNGSVNATFRLIIDDPNFKGFSSVKDVIGKSVALEPFDLPGMAVAHQGPGKNLTVVRRNSETSKCSSTFRLVAGLDGKKNSVSLEADTSKGCFVVTSGAKTSVKLNRSKSATAPCVRLSCNFKLKKSKKKASFLLSKGISQYDPISFVAKGATRSFLLQPIHTIRDQHYTIYFNITA >OMO90629 pep supercontig:CCACVL1_1.0:contig08455:21094:21865:-1 gene:CCACVL1_07331 transcript:OMO90629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQYVSNPELLAPLNDVTFILKLPVDPTLLKVSPKAVLNRSERELKWHVPEIPLKGTPGKLRARMPVDSNEDDEELEVVAYVKFSMQGATTLSGVCLRPASEVHLILVKTVTMKAGLLISVFLQRISEELLVLTAFALFILLKRNSSVSCL >OMO75969 pep supercontig:CCACVL1_1.0:contig10943:7877:7987:1 gene:CCACVL1_15961 transcript:OMO75969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGTKHINALDNTIYIEDLPQFQPIQSHHIINSLRQ >OMP06391 pep supercontig:CCACVL1_1.0:contig04952:2835:4487:1 gene:CCACVL1_01596 transcript:OMP06391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MKAPENDPIALSNQLLSSLSEQIQNINNFKGKWAMIKSKLSGLQAQLADFSDFPASSSNPLAVDLLYSISNTLKDAVSLAQKCKCADLTEGKLKTQSDIDAVLAKLDRHIKDSEILIRSGVLQDGAVSTSSSKKEAVRVESRNLITRLQIGTTESKNSAMDSLLGLLQEDDKNVMIAVAQGVVPVLVRLLDSSSLEMKEKTVAAISRVSTVESSKHVLIAEGLLLLNHLLRVLESGSGFAREKACIALQALSFSKENARAIGSRGGISALLEICQAGTPGSQAFAAGVLKNLASFDEIKENFIEENAVFVLIGLAASGTALAQENSIGCLCNLVSNDENLKLLIVKEGGVECLKNFWDSSPNPKSLEVAVELVRQLASSPPIAEALVADGFVARLVTVLNCGVLGVRIAAARAVYELGFNSKTRKEMGEYGCTVALIKMLDGKAVEEKEAAAMALSTLLLFAGNRKIYRKDERGIVNAVQLLDPSIQNLDKKYPVLILSELVHSKKCRKQMVAAGACLHLQKLVEMNVEGAKKLLESLGRGKIWGVFARP >OMP06392 pep supercontig:CCACVL1_1.0:contig04952:9395:10021:-1 gene:CCACVL1_01597 transcript:OMP06392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALAATWVMVTNKQTVLVFSMSFEARYSFSSALKFFAFANAFACGFTFLSLLYLILFGRHGLTPVNYFVLFLHDLFMMSLVLSGVAAATAIGYIGQYGNMHAGWLKICDRVTEYCHKSTISVALSYVSLICLLTLTIISASKSRQIKV >OMO61097 pep supercontig:CCACVL1_1.0:contig13600:11861:11923:1 gene:CCACVL1_23744 transcript:OMO61097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LMTVLLTGSYWRSSSKFLPTK >OMO51093 pep supercontig:CCACVL1_1.0:contig15975:11543:18213:-1 gene:CCACVL1_30010 transcript:OMO51093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKKVSASIKSAAASTLAIGIFMLKRIRARKHIACKPYINRDYEKEIYINSILYGGDNKCLHQIRMRPIAFFNLCDILTRNNLLCSTGTVNVQEKLLMFLHITGHNLRLRVVGSYYYRSIETVHHYFRIVLRAILKLYTQLIKLPDDITPPKIMCNPRFYPYFKDCVGALDGTHVRASVPLETQGRFRGRKGGTTQNVLAAVTFNLKFSYVLAGWEGSAHDSRVLSDALSRPMGLKIPEGKYLMLDMNMGKGKKDVVGTSKQFRWTKPMERVMLEILAEETQKGNKPSNVFKPTSLHRVAATISERFNVVCESNHVENHLKTVKSTWQLITTIRGSSGFGWDDTLKMIVAAKNHMKKPWRSFAKSFGDIGLDDIDVDGTLPVDLEIDTSERVKANASSSFGTSNVELEDFDSDGLDYSSAFMTDETFLTDDAAIQWVKDIAVIYHFQLVISSHKDGGKKKLLRCNRGERYRGLLRDLDAAVRRNTNTKACRCPFRVKVQEIRECGHWRIVTYPGIKGMHNHALSVYPEGLRQMSGLSEPSKKLARDMNLSRPSAIHAAIMDQHPEDNITRKQVYNYRRVHREEEAEGRDVMGQFFNQARENNYVCNVYADSETRVVTHLFCAHPESVALFRKFPLFIGMDSTYKTNKYKMPFFDMTEMTPCNKNFMIAYAIMKTESDDSYRWVLQNLRLLIGDDVHPAAIVTNRELGVMRLILEFFPGTPHLLCTWHINKDVEHNVYKTCAKNKAIAQSFKNGRWRQILEAPTELEYNRQVNFMRDRWSRHRPQVVTYIDETWLVHKEKFVHAWTNRMRHLGNTTTCRVESAHSMIKQWLHSSIGAMDTVWRTFEESTYQAAPVYSSFPFNTLTCRVSHYCLTLLDAERGRMRELADEVRDRCGCVLRITHDIPCACEIKGAMESGILISVDSINMFWRTLTMGDPVEQSDFVGTSDFAGDDDMPEHERYWESLVQEIRESEPAVIHRASLILHSQLHPDEANYVEPEVNTRVRG >OMO51092 pep supercontig:CCACVL1_1.0:contig15975:275:6800:-1 gene:CCACVL1_30009 transcript:OMO51092 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSGGYPQPPPGYGYGAPPPAQPYSAAPYTAGAPPPPQPYGAQYGAAPYGAPSAPYGAPPGQKPPKDKPHAHGVVSAPPAPGGGYPPAPAGGYGSPFASLLPSTFPPGTDPNVVACFQMADQDGSGLIDDKELQRALSSYNQSFSLRTVHLLMYLFTNTNTRKIGPKEFTSVFYSLQSWRGIFERFDRDRSGKIDMNELREALLSLGFSVSPVVLDLLVSKFDKTGGKAKAIEYDNFIECCLTVKGLTEKFKEKDTTYSVTELDFSTVSYWIQIHNIPRDMFSKPNADKIASRPVQGPDYPLKPLRSSFPLESREVTPGVVLIAVAVFRNRKGRWRVGSILENRVRWRVVGYQQSPPPPENVGDKGEKLAMVTPTVQHNNQNLGADLVQESSSQMPLHQIVGLANQKRVQLDRPRRNLNSGIIIKEIDDLGHDIIEDGASAKPRFLLGVMANTGAEGNPADGGMQALRQEVRTIGERVDQLAQRMDQLAQLVAADREGNNARNRDRGLAPIQPAARANLGNQIVARQLHDSSSDDEDGGYAGYVVGGDNHEERGNSFKLKVVIPNFDGNLGIEDTLDWISEVE >OMO63118 pep supercontig:CCACVL1_1.0:contig13037:9385:13366:1 gene:CCACVL1_22471 transcript:OMO63118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKHYLAAAGNPHIRLFDINSSSHQPVMSYDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGNQTMTNFEPLHKLQAHNEYILKCLLSPEFCEPHRYLATASSDHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDTTAKLWSMSTGEEIKTYQGHHKATVCCALHDGAEPSPS >OMO63125 pep supercontig:CCACVL1_1.0:contig13037:95103:96147:-1 gene:CCACVL1_22478 transcript:OMO63125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRRWEDLDFDVLATIFSAVPTKDLFRNVSFVCRSWRLACWDVLFWSETKTLDFNDFAACLAVQFWKLSKPKPKLGDLKRKWMRQVESIMEGNGYDRNGFPLEIWRRSITRIFIPDHFILLENNHLLASIAQRGDWQKLPSTHNAGNAKH >OMO63119 pep supercontig:CCACVL1_1.0:contig13037:14098:39847:-1 gene:CCACVL1_22472 transcript:OMO63119 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase, alpha subunit MAIAAAAVIVPLGLLFFISGLVVNLIQAVCFVLIRPVSKSTYRKINRVVAELLWLELVWLVDWWAGVKIKVFIDNESFKLMGKEHALVVANHRSDIDWLVGWVLAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDENTLKAGLQRLKDFPRPFWLALFVEGTRFTQAKLLAAQEYATSQGLPIPRNVLIPRTKGFVSAVSHMRSFVPAIYDMTVAIPKSSPSPTMLRLFKGQSSVVHVHIKRRLMKDLPEADEAVAQWCKDMFVEKDKLLDKHIAEDTFSDQPLQNIGRPIKSLLVFTSWACLVVYGALKFLQWRSVRLLPRLHQVSPRMTVILQRQEETNSNRSSELPFKISIQQEPYPQRYIHKPKGATDSVEAIWFNFMTTEEVRKHSVLKVTNANLLDLMERPMPGGLYDPALGPLEDRVPCKSCGALKLHCPGHCGHIDLVSPIYNPLLFNFLNMLLQRTCFFCYHFRAERTEVERCVSKLKLIGKGDIVGAKMLDSDSTDASSYPEYGEGSQELGSTVHDSETINPKEWTSLQLREAISVLNNFLKQKYKKCKNCDAKNPTIEKPIFGWLYTRGMSGAQMRENVIRGCTMVDTFSGEAGSGLEDANDAVVSSGSVDTDEMDTTETGSAAAEHSGPKARKKKAQIPLEFMKQKNLFSGPLLPSEVKKITKLLWENEVELCSLITDIQQQGFGRKEGYSMLFLETILVPPIKFRAPTKGGDSVMEHPQTVLLNKVLQANISLGNAYTNELKSSKVVVRLWMDLQQSVNLLFDNKNATGRRDQSSGICQLLEKKEGMFRQKMMGKRVNFACRSVISPDPYLAVNEIGIPPNFALTLTYPERVTPWNTAKLREAIVNGPQIHPGATHYIDKLSTQKLPPDRKARITFARKLPSSRGAITQPGNNFDYEFEGKTVLRHLRDGDVVLVNRQPTLHKPSIMAHIVRVLPKEKTIRMHYANCSTYNADFDGDEINVHFPQDEISRAEAYNIVNANNQYVRPSNGEPLRALIQDNIVSSVLLTKRDTFLSTDEFNQLLYSSGVSSLSQCSFSSKPGQKVSISSSEEGMLSTHPAILKPVPLWTGKQVITAVLNHITRNCPPFTMEKTGKIPHDFFRNRSTENKPKKTKNSKKKAPDEEKMEREPDEEKILIHKNDFLRGVIDKAQFADYGLVHTVQELYGSNAAGILLSVFSRLFTVFLQMHGFTCGVDDLLIMKGKDIERKKQLEDCEKKVTEAHYELFGVEVDTEKAPTELQLKIERNIRRDGENALTALDRKMISVLNENSSRGVLAGLLSEGLVKSMGKNCIAIMTTSGAKGSKVNFQQISSYLGQQELEGKRVPRMVSGKTLPCFHPWDWAARAGGFISDRFLSGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLECLKISYDHTVRDADGSIVQFNYGEDGIDVHQISFIAKFEALALNQDMMSEKFGSQLEEPDSHIKLPKGLKQEAVDFIKKLGGKQQQKIKQKDFLKLLNRKFLSSLAQPGEPVGVLAAQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEIVMTASADIRTPVMTCPLRKGKTKEEAFCLADKMKRITVADILESMKVSVTPFAVHNGDICSIYKLKMRLGKPGQYFRNSDITVEDCEHILKFVFLRDLEDAIHNHLVLLSRISGIKNFIADSRKSANEAEEDIPENRSHGNENDDDDDDDHEEAGEDLGLDAQKRKLQTIDEVDYEDGSEEENGGASSAGFLSEIDMSEDEDEDENENGTIEDKVIGSDHGKDEISLNSPNIEKRSKSREDETKSEPKRKKKRAKFARKETDRAIFDDVRGLHFEVHFKLIDEPRILLAQIAEKTAKKVYIQSFGKIDQCRVTDCSENQVFYYGENPKERKKIEKKNEIPALHTTGVDFGALWQMDDSLDVRYLYSNSIHAMLNTYGVEAARETIIREISHVFSSYSIAVNIRHLTLIADFMTQSGRYRPMSRLGGIADSISPLSKMSFEIASKFIVEAAKHGLVDNMEAPSARICLGLPVKMGTGSFDLLHKVDI >OMO63123 pep supercontig:CCACVL1_1.0:contig13037:87314:90025:1 gene:CCACVL1_22476 transcript:OMO63123 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MATNNATVAPVCPAPMKVTSNGAFQKEDPLDFALPLLIVQIILVVTLSRVLAFLLRPLRQPRVIAEIIGGILLGPSALGRNKEFLNKIFPKRSLTVLDTFANIGLLFFLFLVGLELDLRAIRRTGKKALVISIAGIALPFVLGIGTSFVLRSTVNKGVGELVFLVFMGVSLSITAFPVLARILAELKLLTTDVGRIAMSAAAVDDVVAWVLLALAVALTGSNNSPLISVWVLLCGAAFILMAIFVLEPILTMMARRSPEGEPVKELYICITLSLVLVASFVTDTIGIHSLFGAFVVGILVPKDGPFAGVLIEKIEDLVSGLFLPLFFASSGLKTNVATIKGGQSWGLLVLVIFNACFGKIVGIVSVSMLFKVPFREALTLGFLMNTKGLVELIVLNIGRDRKVLNDQSFAIMVLMALFTTFITTPIVMAIYKPARKGGKPYKHRRIQRKDLDTELRILACFHSTRNIPTLINLMESSRGIRKRGHLCVYAMHLMELSERSSAISMVHKARKNGLPFWNKKREDQDRMVIAFEAYQQLSSVTVRPMTAISALSTIHEDICTSADRKQAALILLPFHKHQRVDGSMESLGHSFHLVNQRVLQRAPCSVGILVDRGLGGTTQVVASEVSYWVVVPFFGGQDDRESLAYGMRMAEHPGIKLTFLKFSITGDIIAEATADELDKDNEIVTEFVSLSKSNESIKREERKVESKEDIIGALKSMSKANLFLVGRNSPTMPLMETSTDCPELGYVGCYLASSEFSSTSSILVIQQYDPSKCIVEQAQYETSEVADSTLQSAV >OMO63124 pep supercontig:CCACVL1_1.0:contig13037:91799:94317:1 gene:CCACVL1_22477 transcript:OMO63124 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate synthetase MNFSSLALDPSPVATSARWVNQKPILHFHHHSSRRNFVVCSLKPAASSSLSVAESAASESLKRIGSLSQVSGVLGSQWGDEGKGKLVDILAEHFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEDTLCVIGNGVVVHLPGLFKEIDGLEANGVSCKGRILVSDRAHLLFDFHQVVDGLREAELAKSFIGTTKRGIGPCYSSKMIRNGIRVGDLRHMDTFPQKLDLLLSDAASRFPGFNYTPEMLKEEVENYKRYAERLEPFIADTVHVMNESISQKKRILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVVGDLIGVVKAYTTRVGSGPFPTEILGQGGDILRFAGQEFGTTTGRPRRCGWLDIVALKFVCQINGFSSLNLTKLDVLSDLPEIKLGVAYKQPDGTPVQSFPGDLRLLEQLKVEYEVLPGWQCDISSARSYSDLPKAARQYVERIEELVGTPVHYIGVGPGRDALIYK >OMO63122 pep supercontig:CCACVL1_1.0:contig13037:69125:75854:1 gene:CCACVL1_22475 transcript:OMO63122 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MFSVQKWKCSWSLVATVASVIVLVSVVHLFMFPVVPSFDYFSARQAPYKCVPINASTEQGVGHVWENIQPGLDLDHRFPSDLHNGIVYHNAPWNAEIGRWLSGCDAVAIEVKIVETIGGKRCKDDCSGQGVCNFELGQCRCFHGFSGEDCSERLHLSCNYPKTPELPYGRWVVSICSAHCDTTRAMCFCGEGTKYPNRPVAEACGFQLNLPSEPGGPKLTDWAKADLDNIFTTNASKPGWCNVDPDAAYASKVHFKEECDCKYDGVWGRFCEVPVESVCINQCSANGHCRGGFCQCHTGWYGTDCSIPSVISPMGEWPKWLRPAHIDIPSNELTGSLVNLNAVVNKKRPLIYVYDLPPEFNSLLLEGRHFKFECVNRIYDDRNATLWTDQLYGSQIAMYESMLASPHRTLNGDEADFFFVPVLDSCIITRADDAPHLSMENHTGLRSSLTLEFYRKAYDHIIEKYPYWNRSAGRDHIWSFSWDEGACYAPKEIWNSMMLVHWGNTNSKHNHSTTAYWADNWDKISPDRRGNHPCFDPAKDLVLPAWKHPDVTALTAKLWSRPREMRKTLFYFNGNLGPAYTSGRPEDTYSMGIRQKLADEFGSTPNKEGKIGKQHTEDVIVTPLRSENYHEDIANSTFCGVLPGDGWSGRMEDSILQGCIPVVIQDGIFLPYENVLNYESFAVRIREDEIPNLIKVLRGFNETEVEFKLSNVQKIWQRFLYRDSILLEAERQKTVFGRVEDWAVQFLQQTEDDVFTTFLQVLHYKLHNDPWRRQLAYLKKEYGLPQECLTKTK >OMO63120 pep supercontig:CCACVL1_1.0:contig13037:47561:52396:-1 gene:CCACVL1_22473 transcript:OMO63120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLANRKMYFEEFCAAAFHICHLEGVEGGEQILSAAFEHFEQEGNQVISEEELCQLNTLFECPSKSPTVDPESHLQFHHHKTLIKF >OMO63121 pep supercontig:CCACVL1_1.0:contig13037:58038:59102:1 gene:CCACVL1_22474 transcript:OMO63121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENENIDWESIESIFEEDETYENINAPKWVDLSAPCDTETTDDEAWFCKPGCKHPKCAEDYLKSKHQSKVKLLRSMTISEILPFRDRTQSQREAKAKNGGKGSKSSQQLNEDNENRNPNLSSTPPLAAKSTTQKKLTKKSPVEEKTLLENLGESSSKSTRKQGLKSTFSARNLVAGREILSQITEFCAQVKKMARKGSKKGASDKTLGEVKERVREKERVPLLVIKERKSGGEICK >OMO62771 pep supercontig:CCACVL1_1.0:contig13164:134:2466:-1 gene:CCACVL1_22642 transcript:OMO62771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylpurine-DNA glycosylase (MPG) MNPTRQFKRVASKPSKSTQLSLSNNELETRTTRPPKPVTVRTKTKPKRTQKQTQPQPKLRIEPSAVSDPTFIQRTILSPEFFQIDALDLAPRLLGKFLRKDDVVLQITEVEAYRPNDSACHGRFGITERTAPMFGPGGHAYVYLCYGRNTMLNVVADKKGIGAAVLIRACAPVSGLETIQQRRGQQTDKPKLLDGPGKVGQALGISTEWSNHPLFTPGGLELLDGPEPDKMLVGPRVGIDYALPEHVSALWRFAIAGTPWISAPRNTLRPS >OMP12123 pep supercontig:CCACVL1_1.0:contig00407:3136:3291:-1 gene:CCACVL1_00114 transcript:OMP12123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKHAFGWLLVVAQPCSCSSPQSNSSLPLPLCTLCTAQQPSDLQLGDHLF >OMP12124 pep supercontig:CCACVL1_1.0:contig00407:3806:12618:1 gene:CCACVL1_00115 transcript:OMP12124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGNPNSNSNPNPSPTPNPHPQGGGGGGGGGGGGGFDMNKLFKPSSSPMVQHHHQNIQVIATPPSPSPSTTNLTTSPSFPAQSSTPPPPPYLTPSSSYPPPTGPYPFHHHPHYLPYPPPPPAQHQHPLHPHQPQLNINRPTPYQAQPQPSPPATPTSGNDLLMAFFGTPTQTQTQSQSQPSAPVPSAPPLNMNVNPSAPSPSPVRLLSSKAPKGRHLFGTNLLYDIHVRLPGEVQPQLEVTPITKYASDPGLVLGRQIAVNRNYICYGLKLGNIRILNINTALRSLLRGHTQRVTDMAFFAEDVHLLASASVDGRVFVWKINEGPDDEDKPQIFGKVVIAIQIVGHEKSIHPRVCWHPHKQEILMVAIGNRILKIDTMKVGKLEGFSAEEPLSCSVDKLIDGVQFVGKHDGEITELSMCQWLTTRLASASLDGMVKIWEDRKALPLAVLRPHDGHPVNSATFLTAPHRPDHIVLITGGPLNREVKIWASASDEGWLLPSDAESWQCNQTLELRSSAESKVEDAFFNQVVALPCAGLFLLANAKKNAIYAIHIDYGPYPAATRMDYIAEFTVTMPILSLTGTSDSLPGGEHTVQVYCVQTQAIQQYALDLSQCLPPPMESTDLEKTDSNVARVFDATNSDGSASQESSQGFKTIEMTLSSSVPIPPIHSSSSESATVVSRAQKLDSSEVTSISESTLSGIESKPGTLPSHSSAETMLTASPPIPLSPKLSRKSSGFRSTSTADNVGNHSAHEHSVDQRVDAVKENKVDIPLGEKLRKGENDIAQNDISMIPDPPAVFKHPTHLVTPSEILSTVASSSENAQISQDINVGEARVQDVVVNNDTESMEVEVKVVGETGFCQTIESECPRDSHTTVADKKEKAFYSQASNLGIQMARDFCAETYDVEGALQSNDVAIAGQADRTMNAGFGEDHNVTKDVPPKGESAITVSPSLASGRGKKHKGKNSQLSGPSSPSVSPYNSTDSSNEPGCSSGALSADAAFPQLLAMQDVLEQLVSMQKEMQKQMNVIVSAPINKEGKRLEASLGRSIEKVVKANMDALWARFQDEHAKHEKLERDRTQQITNLINNCVNKDLPAMFEKSLKKEIAAVCSIVARSITPTLEKSISSAITESFQKGVGEKAVNQLEKSVSSKLEATVARQIQAQFQTSGKQALQDALRSSLESSIIPAFEMSCKSMFEQIDVTFQKGLIKHTTAAQQQFENAHSSLAVSLRDAINSATSITKTLSGELADGQRKLLAIAAAGATSKAGSPLVTQLSNGPLAHLHEMPEAHVDPTKELSRMIAERKYDEAFTAALHRSDVSIVSWLCSQVDLQGILSMKPCPLSQGVLLALFQQLACDINKETSRKLAWMTDVAVAINPLDPMIAVHVLPIFRQEVSWYALVGMVAMRRLALSDDAYSLVPVLHFNRWVLAYVLLCEMRNLVASKILKDF >OMP12125 pep supercontig:CCACVL1_1.0:contig00407:13129:24073:-1 gene:CCACVL1_00116 transcript:OMP12125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMYHPRLQAHLHHHQEEMLKNLNHNGGIGEPCLVLTSDPKPRLRWTADLHDRFVDAVTQLGGPNKATPKAILRTMNQKGLTLFHLKSHLQKYRLGKQSGKDMSEGPKDGISASYLLESPNNSAPSLPSSDINDGYEVKEALRAQMEVQSKLHLQVEAEKHLQIRQDAERKYMAMLERACKMLAGHFIGGGAVIETDDLGFGSKMTRNYTVDALGFYSQSAEVVNACGQDEEMPSGLHSQRADCSTESCLTSHESPGGLTMEGSPVEGEKKLQNLDSTSGSLVWGDAKKYRLAKYLPDSSSDGKKADKKETGDMLSNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLAEAPGSGASVPALGDNGLESDKKTDPATPAPTSESPLQDKAAKERAPTKSHSIEESFSSHHEPLTPDSGCHVGSPAGSPKRENLMKKQRVSMAGGFAKPEMVLPHQILESSISASYQQSHSVFMTREEFDPSSGISIRNDDQLDKASGAEL >OMO58075 pep supercontig:CCACVL1_1.0:contig14277:27550:27633:1 gene:CCACVL1_25596 transcript:OMO58075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLAKANFKGRRLCDTSLPNGVGGGD >OMO58078 pep supercontig:CCACVL1_1.0:contig14277:43821:46141:1 gene:CCACVL1_25599 transcript:OMO58078 gene_biotype:protein_coding transcript_biotype:protein_coding description:IMP dehydrogenase/GMP reductase MEDGFAASRLFTQGYSYTYDDVIFLPHYIDFPTDAVSLSTSLSRNIRLSIPCVASPMDTVSEVYMAAALAVLGGIAIVHSNCTSAQQASIIRSAKSLHLPITPSVAFASPQDALPAAPQGRYVFVTESGTLPSKLVGYVDCQNLKDEEVKIFDYMRDCKSVEYVPWNYDLGKIEAILGEKKSDFVVLERDGAALGTRESDKERLEHVVKAGANVVVLDSSQGNSIYQIEMIKYIKKTYPQLDVIGGNVVTMYQAQNLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSIAAQHGVPVIADGGISNSGHIVKALVLGASTVMMGSFLAGSTEAPGAYEYKNGQRVKKYRGMGSLEAMTKGSDQRYLGDTAKLKIAQGVVGAVKDKGSVLKFIPYTMQAVKQGFQDLGASSLPLAHDLLRSGTLRLEVRTGAAQVEGGVHGLVSYEKKSF >OMO58077 pep supercontig:CCACVL1_1.0:contig14277:36873:38795:-1 gene:CCACVL1_25598 transcript:OMO58077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRSGRVSRGQRSKQFQAEGPNWILIAGGALLSTLSIRLGYKLKQALDTKQKNNATSSLKGNGNASRRRSSGCPLHSNMYSFAQEDDGCFNCISGTESIGEKHPPNGQMLPESEVALPLVTVPTSEFNKDNGVMWASSPDRLELPPKPFHHSNCSDSPCVSESGSDIFSKREVIQKLRQQLKRRDDMILEMQDQIMELRNSLNSQVAHSNHLQSQLDASNRELFDSEREIQRLRKAIADHCVGQVGTNEKTSTVTAWPSDMRNGHVNGYLDGESNLGSPEKGRGDGERIEMLRREVGELKEVIEGKEYLLQSYKEQKAELSMKIKELQQRLDSQLPNIL >OMO58076 pep supercontig:CCACVL1_1.0:contig14277:32353:35914:1 gene:CCACVL1_25597 transcript:OMO58076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKAMAHHQQQFLLQQQQQQQQHQQQQQFLLLQQLQKQAQQQQQHQHQQQQQQQQQQAISRFPSNIDAHLRTTPGVLPHRPINIPQNPNSSPNPNPNSSSNLQPPPQQQPPQQQQQQQPPQQQQQPQQQQQQPQNQQQQQQQQQKQIRPLNQAELQMAYQDAWRVCHPDFKRPFSSLEDACERYYEDLEKIGGSVRSAISRKIFHPFFRPLRIFLVVKTSFNTCRLLPYHVVADYEAEEDDRILDSDTTGQMPSRSQQWDHNIAAKVAEFTATFEKQALAFNIISRKRAMGEFRSEERLMVEQALLQEEKKAMFDLRAEIESREKAGREAHEAKLRMAAMVQAEQARAESQAHAEIMARGPIRANALGSQGGNLAMGHDIGEQGQGVNPDEMMNGWGNNAQRDEKEPSEDFLNDEETENGDTGTQNEWHEVGEFDLNSR >OMO58074 pep supercontig:CCACVL1_1.0:contig14277:12731:13427:-1 gene:CCACVL1_25595 transcript:OMO58074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSAMPPCTCTAPPSMGWGGDKAKHDMCGVEAEDLPSLLLII >OMO86709 pep supercontig:CCACVL1_1.0:contig09429:20575:20838:-1 gene:CCACVL1_09515 transcript:OMO86709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIVEQRKVRNGQWNNYKRLCLKEFKMYGFCGRKTDVEILAYLLDTAIMLEKILIITETAAAQTLAQQLLENHSSSLQAEIVFENH >OMO86712 pep supercontig:CCACVL1_1.0:contig09429:52557:52679:-1 gene:CCACVL1_09518 transcript:OMO86712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FENHRHNRTYPKVPAFVSTASRPVDCIGFRKRGGESVQTP >OMO86704 pep supercontig:CCACVL1_1.0:contig09429:459:3345:1 gene:CCACVL1_09509 transcript:OMO86704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MLTRTMLTRNKSLWLLLLFLSEFLLGIAVAADEPKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDTQRLIGEAAKNQAALNAERTIFDVKRLIGRNFNDPEVQRDMKFLPYKIVNKDGKPYIQVKVKDEIKVFSPEEISAMILTKMKETAEDYLGKKIKDAVITVPAYFNDAQRQATKDAGTIAGLNVARIINEPTAAAIAYGLDKKGEEKNILVYDLGGGTFDVSLLTIDNGVFEVLATSGDTHLGGEDFDHRVMDYFIKLIKKKYNKDISKDNKALGKLRRKCERAKRALSSQHQVRIEIESLFDGVDFSESLTRARFEELNMDLFKKTLGPVKRALEDANLKKSDIHEIVLVGGSTSIPKVQQMLKDLFDSKEPSKGINPDEAVAYGAAVQGGILSGEGGEGTGDILLLDVAPLSLGIETAGGVMTKLIPRNTVIPTKKSQVFTTYQDKQTTVSIRVFEGERSLTKDCRELGKFDLTGIPPAPRGVPQIEVTFEVDANGILHVTAQDKAAKKAKSITITNDKGRLSQEEIDRMVQEAEEFAEEDRKVREKIDSRNKLESYIYNMRSTIDDKDKLADKIDSDDKEKIETTLKEALDWLDDNQNGEKEDFDEKLKEVEAVCNPVIKQVYEKHGGSSSGSQEEDEPTDDEL >OMO86705 pep supercontig:CCACVL1_1.0:contig09429:4527:10028:1 gene:CCACVL1_09510 transcript:OMO86705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANNASSDQVIEKLIEMGFEKSDAIEAVKAVGASVDEAVQYVLNGSRRNSHSASTGSQSSSGNGKCLGKRATSSSDGKMRQSRIWDRFQPKAEPKGPKRTRGNGIVDAVISGSQVLPSHVVEDMGQSPSINNQLETAPYPLQVCFSEELDCGSNWEPKANSLLRKHFGYSSLKSFQKEALAAWLSHQDCLVLAATGSGKSLCFQIPALLTGKVVVVVSPLISLMHDQCLKLSKHGVSACFLGSGQPDSSVEKKAMRGMYSIIYVCPETILRLVEPLQRLAENCGITLFAIDEVHCVSKWGHDFRPDYRRLSVLRENFSARNLKFLKFDIPIMALTATATVRVQQDILDSLCMPKETKIVLTSFFRPNLRFSVKHSRTTSSSYETDFSHLIDVYARKKKIGERKQTLIAQEFHGQSDSDQSSGSDLNDSEDSDIDKSDDENSNQKNGSISSRERQMSVEYLENEVDVFQTVEDWDVAYGEFSGQPHIEEWDLQGSVETTDPPNKPEERLRLLQEPLEEGPTIIYVLTRKETVNIANFLCKFGVKAAAYNASLPKSHLRRVHKEFHENSLEVVVATIAFGMGIDKLNVRRIIHYGWPQSLEAYYQEAGRAGRDGKLADCVLYANLSRVPTLLPSKRSEEQAKEAYKMLSDCFRYGMNTSCCRARTLVEYFGEDFHNEKCLLCDICVDGPPKMQDVREEANILMQILAARYGSSFMDCSYDDPLIEQQKFLERPNLRTFVNKIREQSQKFLATDLLWWKGLARIMEAKGYIKEGDDKIHVQIKFPEPTKKGLEFIQSGNEEAFHVCPEADMILSKKREPGAYSTWGKGWADPEIRRQRLTKRRLNRKPRKPRKPRIRNSKKHFSDLSTARGRISAKISKQKR >OMO86708 pep supercontig:CCACVL1_1.0:contig09429:17751:20072:1 gene:CCACVL1_09514 transcript:OMO86708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTDQATPQPKPEKSSSPASTESESQVQNPPTIHHVSFNQDNTCFSAATDRGFIVFNTEPYRPLLRRDFDTGLSFVSMLYRFEVFALVGSSPTADANTKALLWDDHASRCVGELSFRSQIRSLRLRRDTIIVTLLHKIYVYNFSDLKLLHQLETTSNPNGLCEVSQLAGPMVLACPGLQKGTVRVENYGSKRSKFINAHSSNITCLALTHDGRVLATASSKGTLIRIFNALDGTLIQEVRRGADRAEIYSLAFSSTAQWLAVSSDKGTVHVFSLKVDSVVLGDDRSSSPSDSPVSPVSNHSALSSLSILKGVLPKYFSSEWSVAQFRLSEGSRYVVAFGQEKNTIMIVGMDGSFRRCQFDPVKGGQMTQLDNCNFLKPEDILPKSN >OMO86711 pep supercontig:CCACVL1_1.0:contig09429:33278:35967:-1 gene:CCACVL1_09517 transcript:OMO86711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCDSKVRDGISGLPDEILCNILSLVPSKKEAIRTSVLSRRWRNVWKQCRSFNFDGSIITYEHLTTPNDLGTFDMSRGEVEEKINSVIQSYEGFSIGELRISFDFDKNSQSYIDKWIEIALTKKVKKLELDFTPPPFSFLNPHLDLYYPFPQQGFSNFVTCLSLTYLGINAETLGCIFSNFPMLEILQISGSPWLRNARVSSSSSLRLKHLEILDCHRIRSIKVIAPNLGYFSYSGAAKKVRFDIRNAPKLHQLHLGMSLNYATPQPQAFLQLANVLQDQLVTLTLRMNISKNEVNSGLNNAMARSELVEVYLSLPMVLVYQQRTKVINGKPNKYLKEVEIGEFWGTMEEAEIVTYLLKSAIMLEKIVIVAKKDQKLAHQLVEKYACSSQIQTLIF >OMO86707 pep supercontig:CCACVL1_1.0:contig09429:13473:16615:1 gene:CCACVL1_09513 transcript:OMO86707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSIWQRKACFSSSRSSLIRIIAIGTAGSGLLYWNANPDSSLSSSSVLNLSLPHSLDFITWIVSFVIYESYVLHTGNFSLFSSRVSPNPVGDVTKEAPVAAGDGAKPCCGCLGRDSIANAAARVGPAVVNLTVPQGFYGLATGRSMGSGTIIDADGTILTCAHVVVDFQGRRITSKGKVDVTLQDGRSFEGTVLNADLHSDIAIVKINSKTPLPHAKLGTSSKLRPGDWVIALGCPLSLQNTITAGIVSCVDRKSSDLGLGGMRREYLQTDCAINAGNSGGPLVNIDGEIVGVNIMKVAAADGLSFAVPIDSVSTIIQHFKKSGRVVRPWLGLKMLDLNEMIVLQLKERDPKFPNVEKGILVPMVTPGSPADHAGFRPGDVVVEFDGKPVESIKEIIAIMDDRIGKPLKVVVKRANDEVVKLTVIPEEANPEM >OMO86706 pep supercontig:CCACVL1_1.0:contig09429:12198:12808:1 gene:CCACVL1_09512 transcript:OMO86706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A METTSKPAGGRRGGERKKAVSKSAKAGLQFPVGRIARYLKKGRYAQRYGGGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRINPRHVLLAVRNDEELGKLLQGVTIASGGVLPNINPVLLPKKTAASSSDPDKASTPKSPKSAKKA >OMO86710 pep supercontig:CCACVL1_1.0:contig09429:25153:26741:-1 gene:CCACVL1_09516 transcript:OMO86710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVEELSNSKKVKIEGNTRVGINELPDEILCHILSFLQSEEAVGNSLVSRRWRNLWKLCRSFNFYGSNTKHGRITPIMSLRSEFEERVNNVLQSYQGFNIPELRLCFDLDKSSLSHINKWIEIALTKKVKKLELDFTPFSFDPRRPKDLYYPFPQECFPCTNFLTCLSLSYLNVNDEILGSILSNFPMLEVLLISKSPLLRNAIVSALRLKQLSIFSCRRLLANAFPYDQLVTLTLRIIRFDTQVINWQSIPKLTSLRHLTCEVTPFPKNSLLLTSLIEASPFLHKFKLNTILNGRLMEGIVEQKEVNGQPNKYLKEVEIIGFCGSNADVEIVTYLLKTAIMLEKIVIKTKSAAAKALAHQLVKNHACELRLES >OMO83819 pep supercontig:CCACVL1_1.0:contig09850:38231:38875:-1 gene:CCACVL1_11164 transcript:OMO83819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEAPATMEIESNPLPPKPKFEPLKPHEMSDGRVQFRKVSVPPHRYSPLKKCWMDIYTPVYEQMKIDIRMNLKARKVELKTRPDTPDVSNLQKCADFVQAFMLGFDVPDAIALLRLDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENATKTRIVIADSKIHILGSFANMKIARDSLCSLILGSPAGKVYSKLRQVSARLAERF >OMO83812 pep supercontig:CCACVL1_1.0:contig09850:2527:2931:-1 gene:CCACVL1_11157 transcript:OMO83812 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin MAEGSYEQQKVSGRSMVWASVAGVAVTAPLLGMMGLSFLATVTLLVVSSPLLLIMSPLLVGVGLVFGFALAGFGVAAAMALAGVSTLAWMYREVRSGFMNRGGVKFGIGEQGKDRAGYGYGYGYGSWQQNSPMI >OMO83816 pep supercontig:CCACVL1_1.0:contig09850:21752:29868:-1 gene:CCACVL1_11161 transcript:OMO83816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGNPNQPIPFDMQKFFKPSIPNPSPNQPNPSAPYPTPTSSYPPPSPAFFHPQYQQFYMPPSSAPHPNFQNAPQPQPQPQDAKSLSFPSPPLAPFNAGTQILALINSSPQNPDFPPPQPSQPPPVEFLPSGAPNVGPLRLPSCKVPRGRRLSGAQLTYDIDSRLSGEVQPQLEVTPITKYGSDPQLVVGRQIAVNKSYICYGLKGGNIRILNINTALRSLFRGHTQRVTDMAFFAEDVHLLASVSLEGRVFVWKISEGPDEEDKPQITGKIVIGVQILGDEEYVHPRICWHRHKQEVLVAGIGKHILRIDTMKVGKSGVFSTDSPSPLQCPIDKLIDGIQLVGKHDGEITDLSMCQWMITRLVSASTDGTIKIWDDRKSVPLAVLRPHDGKPVYSATFLNAPFRPDHIILITGGPLNREIKIWTSASEEGWLLPSNTETWTCTQTLELKSSAEPQIEEAFFNQVVALSQAGLFLLANAKRNAIYAVHVEYGSCPAATCMDYISEFTVTMPILSFTGTSDPPDENIVKIYCVQTQAIQQYALELCQCIPPPLDNAGLEKSESSISRDANIEGFDALDPPGNKPSELSLYGSVPKPGTHVSSAESSTIARYPSSSPVEANTAQAALASTASDADSCVASPPPHPPSPRLSRRPSGFHSPATSFEPTPQLSDHVGNQMAADYSIDRQMDTFRANLSDMHSSEDGSRNDEKKVVSDEKSNVCSPPIIFKQPTHLVTPSEILMATSSAEITNVTEGKNEGEMNIHDVVVNTDVRNAELEVKVVDEARSSLNDEFDSPEETQNRNVGNRERFFCSQASDLGIQMARDHCAISRDEYIGESHQADGVTTSGSSVQPNVGEEDIHDSRKDLSGKVSESAMPSTFPQSPVPSTKSKKQKGKSSQASGQSSPPSSAFNSADSSAEPGGNPNLPTPGAAFPQIAAMQEMLSQLITTQKEMQKQMSNIVNLPVTKEGRRLEAALGRSIEKAIKGNTDALWARFQEENAKNEKLSRERTQQIMSLITNFVNKDLAVMLDKAVKKEITTIGPSVIRTITPAIEKTVTSAITDSFQRGVGDKAVNQLEKSVNSKLEAIVARQIQAQFQTSGRQALQEALKSSVEALVIPAFEMSCKAMFEQVDAAFQKGMVEHTNAAQQQFESSSSSLAIALRDSLNSASSIAKTLSGEFADGQRKILALAAAGANSNVATPLASQLSNGPLSALHDKVEVAMDPTKELSKLVSERKYDEAFTMALQRSDLSIVAWLCSQVDLRSILSTAPFPLSQGVLLSLLQQLACDLNKDTPRKLAWMVDVATAINPGDQMIAVHVRPIFQEVYKRVHEISSSPLLTGADHASIRALFYVINYVLMTLKEKDEILVRSSPLYLESESSASKIKTSKMSSFSISSARFFASKFPISFQFHPIKPFLKPPAFSLFYNINRSISFQKIPTKSPSPLQSSSSSSTQLQPMEELPPKLQEIIKLFQSVEEPKAKYEQLMFYGKNLKPLDTQFKTKENKVEGCVSQVWVRAYLDQDKNVVYEADSDSVLTKGLAALLVNGLSGRPVQEVLRVSPDFAVLLGLQQSLTPSRNNGFLNMLKLMQRKALELLIDSDKGSGSSSSNGQVVGDGLSPPSGSSEKPADGLSPSSGSSESGSQKGSEESLSDLGSRGKRIREKLERELSPVALEVEDVSYQHAGHAGVRGSDGETHFNLRIVSKEFEGKSLVKRHRLIYGLLDEELQTGLHALSIVAKTPSEVEAK >OMO83817 pep supercontig:CCACVL1_1.0:contig09850:31659:31835:1 gene:CCACVL1_11162 transcript:OMO83817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVICVVLVVIAIGLVFGFGVFKNGFHKLKDTFHEDCDPRFSSCAGGRPFLGYAAPPPF >OMO83818 pep supercontig:CCACVL1_1.0:contig09850:33459:37561:1 gene:CCACVL1_11163 transcript:OMO83818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITVMTPDEQILSLDVDPNETVENLKALLEVESMVPLQQQKLLYNGREMKNSEKLSALGVKDEDLIMMVSGAAPSSSAPTDDLSFNPDGSAVNPGAFQQHIRRDPNLIGQLFQADPELAQAVVGNDLNKLQEILRLRHQRRAEMRRQEDDELALLHADPFDVEAQKKIEAAIRQKGIDENWAAALEYNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYKGIAHGVGQSEILGRIHVAQIKIGNIFYHCSFLVLDSPNMEFLFGLDMLRKHQCIIDLKENVLRVGGGEVSVPFLQEKDIPSRFLDEERYSKQASSSGAAPTTGTTENKTNLQSGGQSSGGARGDVTPGSDFEAKVAKLVELGFAREMVVEALKLCDGNEEQAAGILFGGF >OMO83815 pep supercontig:CCACVL1_1.0:contig09850:19921:20373:1 gene:CCACVL1_11160 transcript:OMO83815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVISSSSSSSIAAAPINSFSPISRRRKAATAISCSQTQTASFKSATATDYKNTTVLPLAGSLYEILRVERTASLNEIKTAYRSLAKMHHPDATGSSSDGRDFIEIRNAYTTLSDPMARAMYDMSLGAGVRWVRSPVYPSRRWETDQCW >OMO83814 pep supercontig:CCACVL1_1.0:contig09850:7181:16208:-1 gene:CCACVL1_11159 transcript:OMO83814 gene_biotype:protein_coding transcript_biotype:protein_coding description:Raffinose synthase MSVGAGICLSDGKLTVFGNSILHHVHHNVVLTPASSDNANANGAFIGVTSDHKGSTTLFPLGKLEGLRFMCVFRYKFWWMTQLMGASGKDIPFETQFLLVEVPGHPDGSRDEAQPSVIYAVFLPIIEGDFRAVLQGNEHNEIEICLESGDPGVDKFEGSHLVFVSAGTDPYDVITNSVKTVEKHLQTFSHREEKKMPDILNWFGWCTWDAFYTNVTAEGLKQGLESLDKGGTPPKFVIIDDGWQSVGMDPTGIEYRSDYSANFAERLIHIKENHKFQKDGKEGHRVDDPALGLGYVVSEMKERHNLKYVYVWHAITGYWGGVKPGIVEMEPYEPKLQYPIPSPGVQSNEYCEVLQSITRNGVGLVNPEKVSDFYNDLHSYLASAGIDGVKVDAQSILETLGAGHGGRVKLTRKFYQALEASISSNFHDNGIIACMSHNTDTLYSAKKTAVMRASDDFFPRDPASHTIHIASVAYNTVFIGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDRPGHHDFDLLKKLVLPDGSVLRAKLPGRPTRDCLFSDPVRDGKSLLKIWNVNEFTGVIGVFNCQGAGWCGVRKKMVSHEDQPGAGNAIISGVIRARDVEYLRQVAVAEAEDGCTWTGDTILYSHRAGEVAYVPRNASVCVTLKPREYEVYTVVPVKVALNGAKFAPIGLIKMFNSGGAIKELKYDDQGTSIRISMRVEGCGVFGAYASTQPQTTLLDSHQVEFEYEGGSDALGIQYVAENGRESNGPDLMMDGRIKNIWCGGTGQFVDVMEMDGANWEKMSEDGKLMVSGNCILHDVPDNVLLTPAFPNGAFLGVVSDHIGSRRVFPIGTLLGLRFMCVFRFKMWWMTQRMGTCGQDIPFETQFLIVEARDGSHFDIANDRDESAVYAVFLPILEGDFRAVLQGNQRNELEICLESGDPAVDEFEGSHLVFVATGSHPFDVITTAVKSVEKHLQTFSHREKKTMPDMLNWFGWCTWDAFYTNVSSENLKQGLDSLEKGGIPPKFVIIDDGWQSVAMDPNGTEFIADNAANFANRLTHIKESHKFQKDGKEGHRVEDPALGLGHIVTEIKEKHALKYAYVWHAITGYWGGVRPDVAEMEHYESKLAYPISSPGVESNEPDQALDSIIKNGLGLVNPEKVFNFYDELHSYLASAGIDGVKVDVQNILETLGAGHGGRVKLARKYHQALEASIARNFRNNDIISCMSHNTDGLYSAKRTAVIRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAVYVSDKPGQHDFKLLQKLVLPDGSILRAKLPGRPTRDCLFNDPARDGKSLLKIWNLNEFTGVIGVFNCQGAGWCKVGKSNVIHDLQPGTISGHVRARDVDYLPKVANDGWNGDSVIYSHLGGEVNYLPNNASMPITLKAREYEVFTVTPVKILSNGSKFAPIGLIKMFNSGGAIKDLRYDNSGSGSGSSAKIDLKVGGSGVFGAYSSSPPKRIRVDSEQVDFEYEDGSGLVTLSLRVAQEELYHWSITIEL >OMO83813 pep supercontig:CCACVL1_1.0:contig09850:3485:6396:-1 gene:CCACVL1_11158 transcript:OMO83813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme A synthase MFQSRVVASILKRNKALLNNLTSNLKGTSTPRFYSSYSTANAVARSSFYGFRFLPKGHHIPSFRKMSTVASVGTEKEGLKLLVTAGPRAQKMVGIWLFGSAAWVFSMVVLGGVTRLTRSGLSMTDWKFTGRLPPLSDEEWLEEFEKYKQSPEYKRVNKGMSVEDFKFIYWMEYAHRMWGRALGVMFALPFSYFLRKGYITLRLGLRLSALFALGAGQGLIGWWMVKSGLEEPATEYVQPRVSPYRLAAHLTSAFVIYSGLFWTALSVVMPEPPAASLAWVKGAAKVKRLAIPVSLVVGITAISGAFVAGNDAGRAYNTFPKMGDSWIPDDIFDMKPVIRNFFENTSTVQLNHRILATTTLISICGLWWATRKLDIHPAIKSLVGSTLGMAALQVTLGVSTLVSYVPVSLGTAHQAGALTLLTLMLLLNHTVRRPSMALLKTLPQVAKTCDRLAL >OMP10438 pep supercontig:CCACVL1_1.0:contig02516:282:1200:-1 gene:CCACVL1_00968 transcript:OMP10438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYRGPRFKKIRRLGALPGLTSKRPRAGSDLRTQSRPVSSIGELGEGTEREGFEPS >OMP06433 pep supercontig:CCACVL1_1.0:contig04937:23466:26350:-1 gene:CCACVL1_01580 transcript:OMP06433 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain, type 1/2 MDGAELELERRSKFLNSLIQKKKAIQQHEQNERLNVKVRASDMPLPLQDKAFRCARDQLDSMPGKLDSKRLALALKKTFFNVPKRKTSREVVQYMLE >OMP06430 pep supercontig:CCACVL1_1.0:contig04937:2266:12976:-1 gene:CCACVL1_01577 transcript:OMP06430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med23 MDQTQRSVAAAANSRTYQFHPARAAITDLFNLYLGRSSRQKADDSIREPPNKTQKRVLALNRELPPRNEQFLVDFEQLQTQFGDQDQLRSVTESVIISLVIQCCSHAPRAEFLLFALRSLCSVGYINWDTLLPSLLSSVSSAEMPVGQGSQGVSSVPSTSLSQSGIMPSTGVIANTSNFQSSNPVSTLTSVHGIGSPAPSSIEPSSGATLSPVKSSDISCNGQPSATRMNSSIRDNTISNLRQLCCKIILTGLECSLKPVTHAEIFHHMLNWLVNWDQRQQGNEECDGKSSRPDKALIEWLHSCLDVIWLLVEENKCRVPFYELLRSGLQFIENIPDDEALFTLILEIHRRRDMMAMHMQMLDQHLHCPTFGTHRILLQTTPNVSVEAVANLRYSPITYPSVLGEPLHGEDLAASIQKGSLDWERALRCIRHAIRSTPSPDWWKRVLVVASCYRGAQPPTPGAVFTSDMICEATIDRIVELLKLTNSEINCWQEWLVFSDIFFFLMKSGCIDFVDFVDKLGSRLTESDPHILRTNHVTWLLAQIIRVEHVMAALNNDSRKVETTRRILSFHREDRSSDPNNPQSILLDFISSCQNLRIWSLNTSTREYLNNEQLQKGKQIDEWWRQVSNKGERMMDYMNMDDRSIGMFWVVSYTMAQPACETVMHWLSSGGVTELLPGANLQPNERLMVMREVSPLPISLLSGFSMNLCLKLVFQMEDSLFAGQVVPSIAMVETYTRLLLIAPHSLFRSHFSHLAQRNPALLSKHGVTLLVLEIINYRLLPLYRYQGKCKTLMYDITKIISALKGKRGDHRVFRLAENLCINLILSLRDFFSVKREGKGPTEFTETLNRITVITLAITIKTRGIADADHLLYLQTMLEQILATSQHTWSEKTLRYFPSLLRDALMGRVDKRGLAIQAWQQAETTVINQCTQLLSASADPNYVMTYISHSFPQHRQYLCAGAWILMQGHPENINSINLARVLREFSPEEVTANIYTMVDVLLHHIHMELQHSHSLQDLLIKTCSNLAFFVWTHELIPLDILLLALIDRDDDPHALRIVISLLDRQELQQRVKLYCVNRGPPEHWLYTGIFKRTDLQKALGNHLSWKDRYPTFFDDIPARLLPVIPLIVYRLIENDATESADRILAMYSLFLAYHPLRFSFVRDILAYFYGHLPGKLIVRILNVLDLSKIPFSESFPQHIGSTNPAMCPPLEYFATLLLALVNNVIPPLNSNSRSGSMGDASNNSMRGPHNKTPATPQSGPANASEGQKAFYQIQDPGTYTQLVLETAVIEILSLPVSASQIVSSLVQIVVNIQPTLIQSSNGLHGASNGVGQCSVLPTSPSGGSTDSMSAGRSTPPVSGINTSSFVSRSGYTCQQLSCLFIQACGLLLAQLPPEFHLQLYTEASRIIKESWWLTDGKRSLGELDSAVSYALLDPTWASQDNTSTAIGNIVALLHSFFSNLPQEWLEGTQVIIKNLRPVTSVAMLRIAFRIMGPLLPRLANAHSLFNKILSLLLTTLVDVFGKNSQTPVPVDASEITDLIDFLHHVIHYEGQGGPVQANSKPRPEVLALCGKAAESLRPDVQHLLSHLKPDINSSIYAATHPKLVQNPT >OMP06431 pep supercontig:CCACVL1_1.0:contig04937:15264:18926:1 gene:CCACVL1_01578 transcript:OMP06431 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MAIFLVLLLLLASAVSGDEDAFIGVNIGTDLSDMPSPTQVVALLKAQNIRYVRLYDADRAMLLALANTGIQVTVSVPNDQLLGIGQSNATAANWVARNVLAHVPATNITAIAVGSEVLTALPNAAPVLVSALKFIHSALVASNLDRQIKVSTPHSSSIILDSFPPSQAFFNRSWDPVMVPLLKFLQSTGSYLMLNVYPYYDYMQSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAVVDAAYFAMSYLNITNLPIVVTESGWPSKGDSSEPDATLENANTYNSNLIKHVLNNTGTPKHPRIAVSTYIYELYNEDLRPGSVSEKNWGLFDANGMPVYILHLTGAGTVLANDTTNQTFCVAKEGADPKMLQAALDWACGPGKVDCSPLLQGHPCYEPDNVVSHSTFAFNAYFQKMAKSPGTCDFKGVATITTTDPSHGSCIFPGSIGKNGTIINGTSLAPSSNSSTSGCPSQNFYGDSSFTTSVIIAVLLMTAVFL >OMP06432 pep supercontig:CCACVL1_1.0:contig04937:19689:20868:-1 gene:CCACVL1_01579 transcript:OMP06432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAQSCNEHSSGNEEQEQEVAGLPHEALFLVLPYLPLLELLVMSEVCMPLKDAVKKDILPWLNIIVERPLNLRFSDEILMKVASKANGRLKTLALINCARITDNGLQRVIDENPLINKLHIPGCTGLTPDGVISAVQKLCQNPHHSLKSIQINGIYNMKKEHLETLTSYLLTNQKEQQVQRKQQPDV >OMP06434 pep supercontig:CCACVL1_1.0:contig04937:31293:32323:-1 gene:CCACVL1_01581 transcript:OMP06434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKQQSGYEKYEAAPPPQYGQAASTGIPLSSSNNYYSESSHAHLQTKTRVGWSTGLCDCFSDCKNCCITCWCPCVTFGQIAEIVDKGSSSCGVNGALYTLIACVTGCACCYSCFYRSKMRQQYMLKKSPCGDCLVHCFCEYCALCQEYRELKSRGYDLSIGWHGNMEKQNREVAMTSIPPSVEQGMTR >OMP06435 pep supercontig:CCACVL1_1.0:contig04937:34607:36012:-1 gene:CCACVL1_01583 transcript:OMP06435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTNQPKSPLVAGQEVPATGIPIASPNQQYHPPPPTTQSTYVQSPAPVGHQQHAAAGTRVGRWSTGLFDCFSDVPNCCITFWCPCITFGQIAEIVDQGSTFNDDVLSILRSEWSPVRGAGLGDRVRLSLLLFLSIQIEESIHVGGWTMLRLLRSFLLRGLRLVSRISRAQEPRIRHVPRMAWKHDEDAKPAAGNGAAADGSNGGNGHEKIKS >OMO81789 pep supercontig:CCACVL1_1.0:contig10103:38855:43267:-1 gene:CCACVL1_12216 transcript:OMO81789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYDITNVVFSKIKGLDPENASKIMGYILIQDLPDRDMMRLAFGPETLLQSLVLKAKAHLGLSSNTFSTTPSLNPISRPNSSNSNNSQNPLPQSSPRLIPSNDLLEFSRKVPSWSPSSSPKSSPFLSYENIRSGSILVPPTTGDNNIDLIDENQMSDYFSFLNDSSSSKNEDFVGHRRSFSASDACFGTAEETGGFGGLMGYYKPCLYFAKGFCKNGDNCKFSHGFGGLGDNVDANGVIVGSPSKMDLLYHQHEEMMRMRAAAHQQRLAAAQLMAGVSSPLSYEKSMNFLLQQQNDAQSFRAAALMLGEEICKFGPSRSERNDFFAMGLAEKANSASRQIYLTFPAESTFKDEDVSNYFSMFGPVHDVRIPYQQKRMFGFVTFVHSETVNLILARGNPHFICDSRVLVKPYKEKGKVPEKRQHLQQQFERGNFSPCSSPSGLDSRDPFDLHVGAKMFYNAQEMMLRRKMEEQAIELQRRKFMNLQLPDFKNDGINHHQRSQSVGASVALPTYSPTGQNIHPSYSFKQEVSEVNGDTKAAEAPSTLSAAEQEEVNSACVQEDGVGNTNGYPNPEGCHESAVEHALPDSPFASPKKSTDNYHSSIPASVEVNGSVAFDATSSSPENDASLPITSAGEMASKLSSS >OMO81785 pep supercontig:CCACVL1_1.0:contig10103:14020:21635:-1 gene:CCACVL1_12212 transcript:OMO81785 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide TPR-1 MSPAMLDPGGASSSAPKGQASSVPFKVDSIGSFSVSQSKLSPETMNPSSSFGFGGDFSSGFSNSAQNNTNFSFNTPSLQRPSGGLARPRFVKIRKQFSSQNLKSSGNLEAGVGPGFNPFRPVSSAPQLNPSDGSDMGGNLEREVVEEMRNLRVGKSSGFDDQSLFLKLPEDIRKLNIKDGSKGDQGNGNDGNVGSSVRRGVETEKLPNELQTKLNIKGGEGAYGGAMKDFVFKGSEKCRNPLVGSMTDVYDRIKNLKLKGSDDSNANERAGFVSTSSKSAGPFGGETEKVLSTEMERKLNMGSVMGDSSKVFGKDVQTEKFGDKKLPEFGKSGQTESTFQAATPGLYPSSKVPAFQLKNDSRPGGATESATLFSSSAMHFQPGSNVFGMPSDKPDNKDGFNFTAKQDGTETPFAEFKTPDPRTNIFSGLNRNLEFNSKREAGTSTKVKKWKGKLKQPTPVQLWHGQDFISSKTGSQDNAEATESYSPMDVSPYQETLAHTQCSRESSIDSDEPFGIDNTYASCVSQSAASIDLLDEDLVAATQHMNINEGEEKDEERGSGNVFDKGIAAEAFQEDYVSGAETESFVSAAEEIDNNTDAALSSAETEASSRSNIERQDSDGQMYFSSASTSEHTSGFDFTFAASSSAQSQLSSSKRQSKKKNLAKIPFDPPNSSLNMRIPYVSSSAQFSPYPGASLLLSPGRGQKMDVSTLESKVGDNIAVDKGPQVKHESNLTSASTAAQESCEKWRLRGNQAYANGDSSKAEEYYTQGINCIAASETSKSCLRALMLCYSNRAATRMSLGRMKDALGDCIKAAAIDPNFLKVQLRMANCYLALGEVENAMRYFRKCIHSGSDACVDRKIPVEASDGLQKAQKLSACMHQSSELLQRKTMDDAESALKIIDDTLQISLYSEKLLEMKAEALFTLRKYEEVIQLCEQTFDSAERNSLLFNTDGQPSNLDGFSKDSTFRIWRCRLIFKSYFHLGKLEEAIASLEKQEELQSATDRDRSNSLESSIPLTATVRELLRHKAAGNEAFQSGRHSEAIEHYTAALSCNVESRPFAAICFCNRAAAYKALGQVTDAIADCSLAIALDGNYLKAISRRATLYEMIRVYGQAASDLERLISLLMKQMESKTNQIGTTDRSTNLANDLRQARVWLSEIEEEAKKEVPLDMYLILGVEPSVSAAEIKKAYRKAALRHHPDKAVQSLVRNENGDDRLWKEIREEAYKDADKLFKIIGEAYAVLSDPLKRSRYDLEEETRNAQKKRTGGTPRAATDAQSHSFDRSGSRRSWREARRPYAYASSKGSEATRTNKYY >OMO81787 pep supercontig:CCACVL1_1.0:contig10103:28955:30202:1 gene:CCACVL1_12214 transcript:OMO81787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MGFVHATTSNKTFIVAIILLLGIFMSNGQNSTGAPSIGVCNGRIANDLPSEQDVVTFYQINGIEAMRIYDPNQLTLQALKGTNIQLILGIPNAVLQSLTNPLAATDYVNTNIVSYFPAVKFRYISVGNEVKPTDPESQFVLLAMQNIYNALVTANLHDRIKVSTSVEPSLLGQSYPPSSGVFSQIACPYITPIINFLTNTQSPLLANIYTYFSYIGDTKNIDLCFALFMSPGNVVQDESLGYQNLFDATLDSMYSALEKSGAKDMEIVVSESGWPSAGGMAATVDNARTYYQNLINHVRNGTPKRPGRPIETYLFAMFDENQKGPAATEQHFGLFSPNKQPKYPIKFI >OMO81786 pep supercontig:CCACVL1_1.0:contig10103:24162:28097:1 gene:CCACVL1_12213 transcript:OMO81786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MAMDSSNRRSNTRASMAAILLICGFLLSHLEITGAQSIGVCYGRNGDNLPSAAEVVSLYQSNGIGRMRIYDPNQETLNSLRGSNIELILDVPLDKLEELTNAAAANEWVQTNVVSFSPDVKFRYIAVGNEVSPSDQRANFVLPAMTNVHNALAAAGLQDQIKVSTAIASSLLGDSSPPSDGSFSDTSISFITPIINFLASNGSPLLANIYPYFSYTGDPINIGLDFALFNAPEVVVQDGEYGYKNLFDALVDSLYSALEKTGGANVNIVVSESGWPSEGGDAANVDNAGTYYRNLINHVTQGTPKRSGQAIETYLFAMFDENLKEAGVEQHFGAKPIGVCNGRIADNLPSEQEVVNFYTSNGIGKMRIYDPNTATLQALRGTNIELILGVPNQDLQSLATPSAANDWVQRNVVAFSDVKFRYISVGNEIKPGDAAAPFVLPAMQNINNALASANLGQIKVSTSIDTSLLGNSYPPSAGSFNENASPHITPIINFLATTGAPLLANVYTYFPYISDPVNINIGYALLASPAVVVQDGTFGYQNLFDAMLDALYSALEKAGGASVDIVVSESGWPSSGEASATVENASTYYKNLINHVVNGTPKKPGKPIETYLFALFDENQKGPAETERHFGLFYPTKEPKYGVSFT >OMO81784 pep supercontig:CCACVL1_1.0:contig10103:8876:13117:1 gene:CCACVL1_12211 transcript:OMO81784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Saccharopine dehydrogenase / Homospermidine synthase MAPSLLHLKTATIAAAASVVKGAEPDNISRVQLPEKTRNSRVLVLGGTGRVGGSTATALSKLCPDLRIVLGGRNREKGAAMVATLGKNSKFAEVNIDDKDSLEAALSDVDLVVHTAGPFQQAEKCTVLEAAIETKTAYLDVCDDTSYAFRAKSFKDRAIDANIPAITTGGIYPGVSNVMAAELVRVARSESKGKPERLRFSYYTAGTGGAGPTILATSFLLLGEEVVAYNKGQKVKLKPYSGMLNVDFGRGIGKRDVYLLNLPEVRTAHEFLEVPTVSARFGTAPFFWNWGMEAMTNLLPGEYLRDRSKVQELVQLFDPIVRAVDGIAGERVAMRVDLECSDGRNTLALFSHRKLSVSVGTSTAAFALAILEGSTQPGVWFPEEPEGITIEAREFLLQRAAQGTIRFIMNKCWKFRAMKNWRVQLGSWNAILWRC >OMO81788 pep supercontig:CCACVL1_1.0:contig10103:33672:33902:-1 gene:CCACVL1_12215 transcript:OMO81788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELETLQKQHEEKTQKIQELKKQIENAKQCLEEQKKKKKENPDEKKETFNNLSQKYNSLRDEYNAMLSERSRDQN >OMO53979 pep supercontig:CCACVL1_1.0:contig15086:30597:30689:1 gene:CCACVL1_28166 transcript:OMO53979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSQYGAEQNLRQMMNAHYHPTSTTSSSAL >OMO53978 pep supercontig:CCACVL1_1.0:contig15086:11713:22884:1 gene:CCACVL1_28165 transcript:OMO53978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTEGVGESSSPPRSFGSFNSYDVRNDVFNRLVETGHEEAISNPDFRDRLDSHFNRLPASYGLDVNMEKVEDVLLHQTLLASAKDPEKRPVYHIRFLENLCTKADSNDNQEFVNSFSSQRPSNDGESEEVVPSHERDRPVDFEPCSKLEDLNLDVRKNSKCVKDRYLSENFSKRQDTIHIPIHEVIFSTTDRPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPVEDTDDLYKAMEKAVAKNKGSLSGSVHSRSGIDDASATHEKPGDWEIDRRLLKIGEKIASGSCGDLYHGFYLGQDVAIKILRSEHLNDALEDEFAQEVAILREVQHKNVVRFIGACTKSPHLCIVTEYMPGGSLYDYLHKNHNVLKLCQLLKFAIDVCKGMEYLHQNHIIHRDLKTANLLMDTDNVVKVADFGVARFQNQGGVMTAETGTYRWMAPEVINHQPYDQKADIFSFAIVLWELVTAKVPYDTMTPLQAALGVRQGLRPDLPENAHPKLIDLMKRCWEADPDRRPSFSEITAELETLYEEVKETTETVNGN >OMO53977 pep supercontig:CCACVL1_1.0:contig15086:2893:7831:-1 gene:CCACVL1_28164 transcript:OMO53977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALLDNCPALEELSVKRLCGIAEEAAVELRLGVVAASLNLVQELKSIFDPISSNRVLGAPISARARLLA >OMO97201 pep supercontig:CCACVL1_1.0:contig07262:3191:3842:1 gene:CCACVL1_04632 transcript:OMO97201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEIRLVINDSGTLDMINVWEKYGDLNLYVKHDVNYHEFAPLYLAYGENAENSPVDGEGPEIVENAEDRETAEVLDGNENADGTSGVGENDINAADVEELIADGLELEDEVSRRKRAAEFSESSSTDSDDYPLDVQGDQMSTHGAREFRGRNDDDEYDSDDHGNVEEDNDGKVYLRNCGNVQYNSKE >OMO57915 pep supercontig:CCACVL1_1.0:contig14295:3207:6364:1 gene:CCACVL1_25652 transcript:OMO57915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALAGDDLARSMSSRSMSRRMSLGSGSRRALSCVIYI >OMO74223 pep supercontig:CCACVL1_1.0:contig11153:14097:14495:1 gene:CCACVL1_16901 transcript:OMO74223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFTKVLTETDVNRKLSFPENCVIPALQAFKAEVLLVKDDTGTLWRFVCAIRYGVSPKPVIISGWIQFVQNKGLQEGDTVYFYREDDVISGAHYKIEVRRKWNNDTNANKILQAFGSPRVAMGYPWNSEFC >OMO74220 pep supercontig:CCACVL1_1.0:contig11153:6294:8962:-1 gene:CCACVL1_16898 transcript:OMO74220 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl-phosphatidyl inositol-anchored, plant MVLALIFLFLLSSLSLSYGYDPLDPRGNITIKWDLMQSNGGTNDIRVSLLNFQLYRHIERPGWRLGWDWIGDEVIWSMQGAEATEQGNCTRFKGGALPHCCEKTPAIVDLLPGAPYNMQTSNCCKGGVLTSMVQDLSKYVSVFQMNIGAGKDSDFAMPENFTLGIPGYSCGAAFRVAPSRYSSDGGRRWTQALETWNVTCVYSQFLSSSSPKCCVSLSAFYNSSIVPCPKCSCSCQGLPGSKCVKFGDTPPLLQQLKDPNQVQPSLVRCSQHMCPIRIHWHVKQSYKEYWRVKITVNNMNIIRNYSQWNLVALHPNFKSLTQVFSFNYQPLNQYSIINDTGMFWGIQYYNDMLLQEGKSGNVQTEMLLHKDPGMFTFREGWGFPRRILFNGDECVMPPPDHYPRLPNSGISNKLSLPAFFFSLLFLLIILC >OMO74224 pep supercontig:CCACVL1_1.0:contig11153:17588:17971:1 gene:CCACVL1_16902 transcript:OMO74224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFKQHFGTLCDDDENGIWFEATDDDLNTDLQKKWKFHVSTRKGSHSHPKPVISAGWLPYVRAKRLREGDRIILHVQKVIKGGEARFTIQAQRKVANFKLFGTELWNNIIVEDLKPDIASSSIILS >OMO74222 pep supercontig:CCACVL1_1.0:contig11153:11154:13088:-1 gene:CCACVL1_16900 transcript:OMO74222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MAADAAIASSSVTVEELTLTVKWSGKEYTVRVCGDDSVAELKRRICEVTNVLPKRQKLLYPKVGNKLSDDSLLLSQLPLKSSLKMTMIGTVEDELLIDPVETPEVVDDFELGEDEAVLDIKDKEVNKQKLKRRIDQYKIELKNPCREGKKLLVLDIDYTLFDHRSPAENPLQLMRPYLHEFLTAAYAEYDIMIWSATSMKWVELKMGQLGVLNNPNYKITALLDHLAMITVQSDSQRIFDCKPLGLIWAQFPEFYSSKNTIMFDDLRRNFVMNPQNGLTIKPFRKAHANRNSDQELLKLTQYLLAIADLDDLSALDHSNWQLFTEDNAKRRRHA >OMO74219 pep supercontig:CCACVL1_1.0:contig11153:1318:4015:1 gene:CCACVL1_16897 transcript:OMO74219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSKGSTNIRNLMFAGKHALLPPKSPFPTVSPAYTDYIPNNLIGSKTAQKPREGNPNHQRTSSESLLIEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYIDVANTPNLDYAAQDEYRYQNMISAPSWASQEYDYHGRKDSRLTSFYTDVNLVKQKNRAWDSSLNAVTHPSGHLSVRENTILQGLGSSCAPQEVEGAPSTASEKQDSADSATPDTKSSSEKKDSHSKSSASDSDTTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFLNQQNLILSMENKALKQRLESLAQEQVIKYLEQEVLEREIGRLRVMYQQQKHQQQPKQQQKPSSGHRRSSSRDLDSQLANLSLNHKDASSSHDSVTGPLHI >OMO74221 pep supercontig:CCACVL1_1.0:contig11153:10386:10586:1 gene:CCACVL1_16899 transcript:OMO74221 gene_biotype:protein_coding transcript_biotype:protein_coding description:pyruvate, orthophosphate dikinase (IC) MDANSKPFSFAYAKKLLKTLALAHVNGKFSFSISAACIKRHETNGLAIIQADRRPDVLDFASDRFI >OMO51912 pep supercontig:CCACVL1_1.0:contig15682:9518:9733:1 gene:CCACVL1_29509 transcript:OMO51912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ESSHSSSSACCLLENYCYSSKVMFSQHTIFSNIGTNLPDLVSHPLAAKSIGTVALISEWFQVTTEFNTKAS >OMO89800 pep supercontig:CCACVL1_1.0:contig08605:4319:4420:1 gene:CCACVL1_07622 transcript:OMO89800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWGTRKCKANLLDSNQWYNFLNSLLCFAAEDA >OMO73352 pep supercontig:CCACVL1_1.0:contig11253:609:2467:-1 gene:CCACVL1_17318 transcript:OMO73352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPLLPQSKAMSLKDTQDLASSNALNLSNTMRIMKNDTNLRWFKPFFANLQMFSSTS >OMO86202 pep supercontig:CCACVL1_1.0:contig09519:25239:25570:1 gene:CCACVL1_09735 transcript:OMO86202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYCGQEVGRENGQWGGGPLPSADPGRNMALSKWTLLCSEFLNVVISPSPRRFMHA >OMO86199 pep supercontig:CCACVL1_1.0:contig09519:1439:1519:-1 gene:CCACVL1_09732 transcript:OMO86199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTKGLIPKGQGQGYAAAAAASSPKM >OMO86201 pep supercontig:CCACVL1_1.0:contig09519:15964:19474:-1 gene:CCACVL1_09734 transcript:OMO86201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSELYRMARRKLDSSQDKNPSGSTDQSFVPENDFVELVWENGQISMQGQSSRARKISASSTLPSYLSSSNTPKARDKDRTNTKVGKFGAIDSVLSDMPMSVPTSEMSLNQDDEVVPWFDYPVDQSLQNEYSDFLPELSGVTVNEIPTHSNFALLNRRSQSIRDSCTVSLNNSAGYEQGNLSKVPTPADAEAKPRSATTQSSTLPSLPCQTSSPYLRSRVLENTGNSVGHKSTHRAICGESIGVQTSDIALPGIKTQKLDSVQPCNNTVLMNFPHFSRPSAVVKASLQNISAMTSIERIGSKEKGSATGISAPPDSTLFDSSINIQKDRISHCQPKIVPAKTNIKESEAKSLDEPVAVKPTNAISEDNVLKNDKGPSQVIGENASKGLPDGDKTIEPVLAASSVCSGNSVERASDDPVHNLKRKNRDNEESECPSEDAEEESVGVKKAFLARGGTGSKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGLYMPPMMLPSGMQHMHAAHMAHFAPMGVGMGMGMGMGFGMPLQDVNPASSACPMVQVPPILGAPFSGAGPQMSGPTALHGMAGSNLQLFGLPGQGLPMSMPHAPLIPISGGHLMKSSIGTSGSVQVGALNNIDSATASSSKDPIQNINSQVAHNTNVHSSMNQAPSQCAQTNQSFEQPVAVQENGKASEITGGMPYRSADENEKVPDRS >OMO86203 pep supercontig:CCACVL1_1.0:contig09519:29494:33960:-1 gene:CCACVL1_09737 transcript:OMO86203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinacetylesterase MKLFWVGVVTAFLFYQGQGVAALFDFNETELSMIEAYEFGVSKLDYNPLMVGLTLIHTAGAKGAVCLDGTLPGYHWHRGYGSGANSWLIQLEGGGWCNNIRTCVYRKKTRRGSSAYMEKHIPFTGILSDKAEENPDFFDWNRVKLRYCDGASFTGDSENKAAQLQFRGQRIWLAAMEDLMTKGMRYAKQALLSGCSAGGLAAIVHCDEFRNLFPRTTKVKCLSDAGLFLDTVDVSGGRTLRSLYSGVVGLQGVQHNLPRICTNHLDPTSCFFPQNLINNIQTPLFILNAAYDSWQIQSSIAPPSADPHGYWHDCRLNHAKCSASQIRFLQGFRTQMLNVIKGFSMSRENGLFINSCFAHCQTERQDTWFADDSPEIRNKPIAIAVGDWYFDRAGRDDAVQFNESGYKTIKRRLLRKMQMQTANLPDIAIAYCEGLYWPLISNCCQLPSPIGY >OMO86200 pep supercontig:CCACVL1_1.0:contig09519:4645:4960:-1 gene:CCACVL1_09733 transcript:OMO86200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteolipid membrane potential modulator MAGDSTANCIDILLAILLPPLGVFLKYGCKVVEFWICLILTIFGYIPGIIYAVYAITK >OMO50537 pep supercontig:CCACVL1_1.0:contig16139:3335:3403:1 gene:CCACVL1_30382 transcript:OMO50537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYDNFKVGRMKIWEREKTRQEQT >OMO83717 pep supercontig:CCACVL1_1.0:contig09861:2088:2219:1 gene:CCACVL1_11245 transcript:OMO83717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKDFQLTYHLTPILLQPSPENLGANPTNSRALTRRQVKLQS >OMO83719 pep supercontig:CCACVL1_1.0:contig09861:6973:16006:1 gene:CCACVL1_11247 transcript:OMO83719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRNAGGNPFVAGGINPSSKGKDVSGASIPKVEQLSQGVSDWVGVDNDQFLDDFRSDGAIRAWQSYDRRDGHHLGMSVLAFNSTGMGYKKAEHLHKRFAEVRRGRKDWELVQGNIDPHVKPRIYGYMAVKEDLDHFNKYSKGENLTFEMKSYQNMVVKQIRQMSENKVAKEQRVKHAVEESFGIMSEKIRKAMEENRIVRQRTLMQHAENKEQMDKLEESFNERIKKIRDARDEKEEKFEKLQQEEREKVIRSSPRHQEEIKPGQYKWIGITDKELREVLNSFEYDRVRHSYDSNGHRGMSVLIFKETAKAYIEAKRLDKHFADQGADRKAWDLWGHLPHPGGKRQLYGYMALEKDLVEFNTKSKGKSPLQFQMISYQDKVGKEISQMSENKVAEEQRVTHAILESFGIMGEKIRKAMEENRISRQGTLMQHPENKEQMDEEEKEFNERIKKIRDARDEENRKFEKFMLSRVLDELAISKEFQDEQMKFFDDEKEKLTRLHEKEITKKRQDYWADLVKLERKLDNELEESCVMKVNINCETCRKKVMEVLQNLHGIYSVVIDADSGQLKVSGKVNPYIILKVFEKYGKHGEVSCVKFDGEVREPFYNPYYGGNGYIPYGPGAPYPHIGGPIDYYHRPYGPPPPFPHMPPPPLAPPYLRPRPPPPPPPVYPKPAAPPPPPKPVINYFPPKAPVVAPPKELDCEWCKIM >OMO83720 pep supercontig:CCACVL1_1.0:contig09861:18869:19648:-1 gene:CCACVL1_11248 transcript:OMO83720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTENQKKQSLSQIIKSQFHKKLTKLLLSVSVFSLFCSHSYWISLFRSLNFHNTLPFQLFSHTIDKNCIFLLCNGLLVFVAKYSGLISSSSKYEDQSNFKSYEDVPQSEPVNLEEKAPLLEKEIALESADQALENSIMVEGRHEEEKEEAEAETEIGNFTVQEEEEEEEEKWGLMTLEEEENGGFVEEDEGEVKGSENDLFVQEFEESENYGRDYEEEEVVEGNRVLSTEELNKRFDEFIRKMKEELRIEARQQLVMV >OMO83725 pep supercontig:CCACVL1_1.0:contig09861:35425:37245:1 gene:CCACVL1_11253 transcript:OMO83725 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MNLGDLHKVWEVKALKRKPGEEEARKMLEKIAKQVQPIMKKHKWKVKLLSEFCPNNPALLGLNVGAGVHVKLRLRRPNRDWDFYPFDQVLDTMLHELCHNAHGPHNASFYKLWDELRKECEELMSKGITGTGEGFDLPGRRLGGFSRQPALSSLRQTALAAAENRKRLGSLLPSGPKRLGGDSTIKDALSPIQAAAMAAERRLQDDIWCASHCSEIAGDEETSADTLQDDLDFQQSAGSLVKGGSGGHARGETSNKRSHEPDENMQYMNNHLGSSFVDLIADSPAPGSVVNRSTRRSPKRSCKSDNLTSNQAQSGSSSSAFLLNNDSPESQWECESCTLLNPPLAPICEVCFTEKPKDIGAKYKVWSCKFCTLENSVKLDKCSACDQWRYSHGAPISTRAPNVGT >OMO83722 pep supercontig:CCACVL1_1.0:contig09861:24774:27655:1 gene:CCACVL1_11250 transcript:OMO83722 gene_biotype:protein_coding transcript_biotype:protein_coding description:NLI interacting factor MSSIVLRSRIISKACRSKIINRRVYSSEAVSSSSSSNPSKETIIASQSILSDHSAPPPPPPPPPAALEVAPEVSGRKSWSFLKYGLIASITGAVGYTGYLSYKCSYEEIEQKAKALRAAANYTPSEDASDVDKYRGLLYSAAMTVPAKALEAYLDLRRLVEENVLEFTEPTSDKLLPDLHPAEQHVFTLVLDLNETLLYTDWKRERGWRTFKRPGVDAFLEHLAKFYEIVVYSDQMNMYVDPVCERLDPNHFIRYRLSRGATKYQNGKHYRDLSKLNRDPAKILYLSAHAFDTSLQPENCVPIKPYKLESEDTALLDLIPFLEYVARNSPADIRKVLQSYERQDIAKEFLERSKDYQRRMQEQRQQGRFWRR >OMO83721 pep supercontig:CCACVL1_1.0:contig09861:22082:22414:-1 gene:CCACVL1_11249 transcript:OMO83721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKWLLSSAFTQVLGHTAVVACPNEGLNMPANLKGCKETVGSIKLSKEDYPNGNFQMPIHYPRYSKSDYEKMEEWKLDMLLKEYGFSCQGSVDEKRAFAMGAFLWPDQF >OMO83723 pep supercontig:CCACVL1_1.0:contig09861:29087:30551:1 gene:CCACVL1_11251 transcript:OMO83723 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc/iron permease MKLSRFLFFLFLSLSLFLSATSHGGSDDEESSNQSPHNLRSKSLILVKIWCLILVFIGTFIGGVSPYFLKWNQGFLVLGTQFAGGVFLGTAMMHFLSDANETFEDLTTKQYPFAFMLACAGYLLTMVADCVVSYVYGKGKSTNSSPNGDLELQGDEQTKTNPHGDPSVGHGHGTDTACAQSSSSLTSVSSFGDSVLLIMALCFHSVFEGIAIGVAETKADAWKALWTISLHKIFAAIAMGIALLRMIPDRPLFSCIAYAFAFAISTPVGVAIGIVIDATTQGSVADWIFAISMGLACGVFIYVSINHLLSKGYTPQKTVSVDTPHHKVLAVLLGVGVIAVVMIWDT >OMO83724 pep supercontig:CCACVL1_1.0:contig09861:32362:34586:-1 gene:CCACVL1_11252 transcript:OMO83724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIAILLDLCKKNPSFCAPRSFHSSGFFSASTAAASAAATFAAGTPFASRFLFGEPKIAHCDAAAALLEDYIANIRRASEDIFKTDAIKYNVKEYDIELKPIYSAFEWKQFSLITLRSLLLSYLPLLEPAENREEDDDDFLQDNQEERRVDLVVPLVGSVKQIFRETTVITTRRILERLAVLYVSQRMAWKLLKDVPRSAVRKSQRGLPSTVYFFRVCRTTFRGHLLGVTAAWLVQSGIEIYRWFSRITNSEESEEVDKIEQAKLLGKKISLIAIKCGASLICGSIGAGIGATLIRPSFGQRFGCAIGDIAGPVIVSICLERSFHLDV >OMO83718 pep supercontig:CCACVL1_1.0:contig09861:4267:5654:-1 gene:CCACVL1_11246 transcript:OMO83718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLLLQLRKKKKPIKKNPQFLSQLSTQISPRSLSPSDSNAMRSPNKLTIFPKRSTLSFVYPSSTNYISTIYGCLVRRPPSAILSSLSVRVRRAVWVSFGKFQIGVSVEDLAALPSLDVAAEKKIERLALKVGENLFNFMLSFCGVDGSKLVVPMDILDRWFKQFQEKAKRDPEYLKGFAL >OMP06209 pep supercontig:CCACVL1_1.0:contig05026:4568:4660:-1 gene:CCACVL1_01680 transcript:OMP06209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EALNLGIRTLLFPTEGFKSKVITTKEEEKS >OMO88020 pep supercontig:CCACVL1_1.0:contig09123:5194:5566:-1 gene:CCACVL1_08588 transcript:OMO88020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DIELAATSAAQESLLGGSPLSIDMNIADMPRKRRYLMVIRISTDFSSRKKETQFMLRGCHKVRRGRNWRKKSASSASLLVI >OMO63062 pep supercontig:CCACVL1_1.0:contig13062:32991:34665:1 gene:CCACVL1_22504 transcript:OMO63062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESWQQKQRRLIISHAITTSTPFEQLASAFCQSTDDQSNYKKLIDFPAAESFNIDDEAIPQAIPININQAEDTLLSVVNPNPWRNQNQCHRFNEKSSINAKSSYWSQQGSLLSLDHTKLLAEAKLVSFFSATTEEESSRSCGSHVSSVSSQGKPSRTKTRLKWTADLHEKFVNSVNLLGGAENSTKFAASNRGTRKTDKNDATAAKGDK >OMO63060 pep supercontig:CCACVL1_1.0:contig13062:11765:12073:-1 gene:CCACVL1_22502 transcript:OMO63060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTGVPSVRTSCSIGPYTLSESNCALGRTYFEVHLHAPPLLAWPWRHSSKLGRRTLFNFQGATLHQALGHRGFVLGLVGYLRGIVSMDPHKVEHFATLMMNLD >OMO63061 pep supercontig:CCACVL1_1.0:contig13062:22793:25308:1 gene:CCACVL1_22503 transcript:OMO63061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTQKLGCQEHLDQSLGFSSRDCNFEYVNHIGFQQPNWNNMGIRIPMEGGLQQQNSGNKSSNTIIGGFQSPASAFYATERCMGFSQYGTSSSSFQGSGDNFSMESVGQDEPNYELRNTLQSLVKSQICYNQFQKSSPEKGYKNIPGNNLQGSHQVSSHDQSNLIKNQFSVPFRNQDQRGYCNSYNSPLAHLSIFQQEKQSSNYPSGNFSVSSGNPVPSGAVLASKTRIRWTQDLHDKFVECVKRLGGAEKATPKAILKLMDTEGLTIFHVKSHLQKYRIAKYMPDSAEGKSERRNSSSDVTQFDVKTGMHLTEALQLQLDVQRRLHEQLEIQRNLQLRIEEQGKQLKMMIDQQQKTNESLLKKQKLDVQSLDHDPSFSLDDIEVSIAESSGQVHFPSKIS >OMO63063 pep supercontig:CCACVL1_1.0:contig13062:40402:44295:1 gene:CCACVL1_22505 transcript:OMO63063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKKRKMEEMGNNGEFSTQEQLRSLLDPLAKSQLVDLLSRLGSQYPSIAEEIKSIASSDPVHRKLFVRGLAWNTSSETLCAAFRVHGEIEEGAVIYDKATGKSRGYGFITYKHMESAQTALRAPSKLIDGRLAVCNLACEGLSGASTTPDLAQRKLYIGGLAPDVSSEVLLNYFGRHGEIEEGSVAYDKDTNESRGFGFVTYKTVEAAKKAIDDPQKILGGRTIIVKLADTHKSKPVQTPLPAAAVVPVALPMAPAYAQPGKAHPHVAPAGYTYPQAVAPYPTASSYASPPAAPAPYPTQPPIPYAPLAAKKDPPGMPPTAPMGMGGYPYYIGKQ >OMO63064 pep supercontig:CCACVL1_1.0:contig13062:46459:47025:1 gene:CCACVL1_22506 transcript:OMO63064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MLKSASTLLVKLSVGKKRLCKCIQENPQELSKWVIGTRVAPLPDSEDEIESRRLKMKFLLDLGYGENPVMMKKALKIFRGRGEDFLERFDSIVNAGFDKKDVSEMIKLSPHILNLSRDTIQAKIDILVNELGYPLRSLITFPGYLGYTTQRVRLRLAMYKWLQDQGKARPNLSLSTILSGTEKKFFSRY >OMP00832 pep supercontig:CCACVL1_1.0:contig06609:671:754:-1 gene:CCACVL1_03287 transcript:OMP00832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKTIQNSSNGLRKARNN >OMO74497 pep supercontig:CCACVL1_1.0:contig11120:8661:17232:-1 gene:CCACVL1_16662 transcript:OMO74497 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo METRSRKRAEASSTAPSSSSSPSGPTTRSHKRARLSSSSAAAATTTRSRTSRAAAAAALMDPTTTESSSGSRRDRRSSKANQTTTSDSPNLASDKGKEKEHDLRIRDRDRDRDNNNNNNSNHPERSLGLNMDTSGGDEDDNDSEGGVGILHQNLTSASSALQGLLRKLGAGLDDLLPSSAMGSASSSHQSGRLKKILSGLRADGEEGKQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSCFCARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADYVMEAVPLLTNLLQYPDAKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISTSNSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSGVSANSSVSPALSRPAEQIFEIVNLANELLPPLPQGTISLPASSNIFVKGSIVKKSPASSSGKQEDPNGNAPEVSAREKLLNDQPELLQQFGMDLLPVLIQIYGSSVSSPVRHKCLSVIGKLMYFSSAEMIQNLLSVTNISSFLAGVLAWKDPHVLVPSLQIAEILMEKLPGTFSKMFVREGVVHAVDQLILIGSQSSTPAQASSAEKDNDSVSGTSSRSRRYRRRSGNSNADGSSVEESKNPASVNIGSPPSSVEIPSANSSLRTAVSACAKAFKDKYFPADPGAAEVGVTDDLLHLKNLCAKLNAGVDDQKTKAKGKSKASGSRLGEFSASKEEYLIGVVSEMLTELSKGDGVSTFEFTGSGVVAALLNYFSCGYFSKERISDTNLPKLRHQALKRFKCFVSVALPSSVDEGNIAPMSVLVQKLQNALSSLERFPVVLSHSSRSSGGSARLSSGLSALSQPFKLRLCRAQGDKSLRDYSSNVVLIDPLASLAAVEEFLWPRVQRTDTAQKPSVSVGNSESGNTPSGAGASSPSTSTPASTTRRHSSRSRSSVNIGDTTRKEPSQEKSTSSSKGKGKAVLKPAQEESRGPQTRNAARRRAALDKEAPMKPANGDSTSEVLRDDSLPLCMPEKVHDVKLGDSTEDGTPPPAASDSQTNAASGSSSRAAARGSDAADFRSAYGSRGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPPFGSSTEPPKLIFIAGGKQLNRHLTIYQAIQRQLVLDEDDDERYAGSDFISSDGSRLWSDIYTITYQRADSHTDRTSVGGPGSATASKSTKSGSSNSNSDPQSHRMSLLDSILQGELPCDLERSNCTYTILALLRVLEGLNQLAPRLRAQIVADNFAEGKISDLDELSTTGARVPNEEFINGKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGVDGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKAGLGMWRSNSTWDKSSMEIDGDEEKKGKTTGSGTIEGDIIQAPLGLFPRPWPPNAEASEASQFYKVIEYFRLVGRVMAKALQDGRLLDLPLSTSFYKLVLGQELDLHDIMSFDAELGKTLQELHLLVCRKQYLESMSGDNSEAIANLRFRGAPIEDLCLDFTLPGYPDYILKPGDETVDINNLEEYISLVVDATVKTGIMRQMEAFRAGFNQVFDIASLQIFIPQELDYLLCGRRELWEAETLADHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSASAAAPNGTGASESADDDLPSVMTCANYLKLPPYSTKV >OMO74501 pep supercontig:CCACVL1_1.0:contig11120:35726:35924:1 gene:CCACVL1_16666 transcript:OMO74501 gene_biotype:protein_coding transcript_biotype:protein_coding description:histidine triad nucleotide-binding protein 3 MAYGLLARLATLTDRARASLVESPEPEGPNPGRHESNQVCVACVFARAMVDNAYQKNMKNTRGYFL >OMO74496 pep supercontig:CCACVL1_1.0:contig11120:3289:7239:1 gene:CCACVL1_16661 transcript:OMO74496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSRRLLLRLTLFSLLLTSTFADDDDKDDSGGLQRDPKWAHLKDLHKALNLCKRALLWGSVASVQKLGPDQEVRIFEQPGSSICAAFIANNDTKSGQTINFRGHDYNLPARSISILPDCKTVVYNTQMITAQHNARNFVRSQTANKNFNWQMYQEFVPTQLGSMSKEAMELYGLTKDKTDYGWFTTTIELGSRDLPMKKDTLPVLRVASLGHGLLAFVNGEFVGAAHGSKVEKSFVFQKPVKFKSGTNQISLLGYLVGLPDSGAYMEHRYAGPRSITILGLNTGTLDLSINGWGHQAGLDGEKKQLFTEKGSKKVEWKAPSGGPALTWYKGYFDAPEGNNPVAIRMTGMGKGMVWINGESIGRYWMSYLSPLLQPTQSEYQIPRAFLKPNNNFIVILEEEGGDPKNVEILTVDRDTVCSYVTEYHPPSVRLFETKAGKLKPKSNDLRPKAELICPNKKQVVAVEFASFGDPFGACGTYDLGNCTSSVSKEVVEKYCMGKVSCAIPLDTPEFEKNDACPQMKKALAIQLKCAFKN >OMO74498 pep supercontig:CCACVL1_1.0:contig11120:19557:19658:1 gene:CCACVL1_16663 transcript:OMO74498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNLNEHRGSLVSGFQLKAWASLVACFVDLSL >OMO74499 pep supercontig:CCACVL1_1.0:contig11120:23601:29378:1 gene:CCACVL1_16664 transcript:OMO74499 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGFISTVLGFCGFGVGISAGLVIGYYLFIYFQPSDVKDPEIRPLVEQDSETLQRMLPEIPLWIKNPDYDRVDWLNKFLEYMWPHLDKAICATAKNIAKPIIEEQIPKYKIDAVEFETLTLGSLPPTFQGMKVYVTEEKELIMEPLIKWAGNPNVTIAVKAFGLKATVQVVDLQVFAQPRITLKPLVPSFPCFANIYVSLMEKPHVDFGLKLVGADLMSIPGLYRFVQELIKDQVANMYLWPKTLQVPVLDPAKAFKRPVGVLHVKVVRAMKLKKKDLLGASDPYVKLKLTEDKLPSRKTTVKHKNLNPEWNEEFDIVVKDPETQALELRVYDWEQVGRHDKMGLNVIPLKELTPEEPKTFTLDLLKNMDLNDVQNEKSRGQLVVELTYKPFKDDEVPTTFAESKEVQKAPESTPDGGGVLVVIIHEAQDVEGKHHTNPYVRVLFRGEEKKTKHVKKNRDPRWEEEFSFMLDEPPTNDRLHVEVHSSSSSRIGLLHPKESLGYVDINLSDVVNNKRINERYHLIDSKNGKIQVELQWRTK >OMO74500 pep supercontig:CCACVL1_1.0:contig11120:30125:35377:1 gene:CCACVL1_16665 transcript:OMO74500 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme peroxidase, plant/fungal/bacterial MAFPVVDTEYLKEIDKARRDLRALIASKNCAPIMLRLAWHDAGTYDASTKTGGPNGSIRNEEEYSHGANNGLKIALEFCEQVKAKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSNICTKEGRLPDAKKGAPHLRDIFHRMGLSDKDIVALSGAHTLGRAHPERSGFDGPWTNEPLKFDNSYFVELLKGESEGLLKLPTDKALLDDPAFRPYVELYAKDEEAFFRDYAESHKKLSELGFTPRSKLMIVKDSTVLAQSAVGVAVAAAVVIFSYLYEVRKRMK >OMO49814 pep supercontig:CCACVL1_1.0:contig16393:10898:11388:-1 gene:CCACVL1_30797 transcript:OMO49814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNFVASVGSKRAGRDEVLLLPWTNNKALRFTWEGCHVV >OMO76317 pep supercontig:CCACVL1_1.0:contig10909:45980:52781:1 gene:CCACVL1_15780 transcript:OMO76317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo sac development arrest MAFHGGKMKSVSINGVKMYTISSHQRSVATWLSPKKQRSLRKDKNYMERLELIQDLRFETATSKIKITPDGEFLIASGIYPPQVKVYELRQFSMKFERHLDSEIIDFQVLADDYSKLAFLCADRSINLHAKYGKHYSLRIPRMGRDMAYDCWSCDLLCAASSPDIYRINLEQGRFLSSLNTQSPALNVVSRSKLHGLVACGGEDGAVECFDMRMRSSIGRINAVSPAADGDEEVTAIEFDSNGGFLMGVGSSAGKVLIYDLRSSSPIRVKDHMYGSPILDIKWHSTMNFERPKLITTDSHIVKIWDPATGEAMTSIEPTAGAINDICVFNDSGLLVLALDSSQIPSYFIPALGPVPKWCSSLESLTEELEEGGQTSIYDNYKFLTKEDLEKLNLTNLIGTNLLRAYMHGFFIDYRLYKKAKALADPFAYETYIEQRKQEKLEAERRNRITIKRKLPKVKVNQHLAERILENEEAENEKIDTDGNETKKTSKKKKGALSTEIFKDDRFAQMFENKDFEIDDQSQEYLALHPMAAKKQPSLVEEHFEPVMENEDQSASDSDVSEASEGSPVNHKSKRQKSQGPRMYEVKDKHHAEAFWNNVSLAKEDSLPMGERVKALQDDQRVSGLPSDVKLGPGGSRQISFITKSSAKYEEDDGDKMPRREKRGVQSLGLKPDRSMFGGRGRGRGRGGGRGRGGGGGRGRGGGRRGRR >OMO76309 pep supercontig:CCACVL1_1.0:contig10909:11285:12659:1 gene:CCACVL1_15772 transcript:OMO76309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYNNLGQGSDALETLAQRLRLYKPSVLHQIPETTATESVSDSREINSNGKSEKRKRAAVLVCIFRGNNDDLRVILTKRSSTLSSHSGEVALPGGKREETDADDVATALREANEEIGLDPSLVDVVTVLEPIFTKGSMIVVPVIGILSDAKAFSPTPSADEVEAIFDAPLEMFLKDENRRAEERDWMGEKYLLHYFNYEAENENYLIWALTAGILIRVASIVYQRPPAFLEQRPPFWDMAVSKGHS >OMO76316 pep supercontig:CCACVL1_1.0:contig10909:41861:45154:1 gene:CCACVL1_15779 transcript:OMO76316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEETEGRGWPSSSSSIKA >OMO76308 pep supercontig:CCACVL1_1.0:contig10909:8282:10733:1 gene:CCACVL1_15771 transcript:OMO76308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSLNGGSVSFSSQRLSNLAQQLRHYKHPPCSLDDAEEQIMEETAGKVVTQVGEVSLPGGKAEEGDKDDCDTATREAKEEIGLDPSLVNVVTVLEPFLSKHLLRVVPVIGILTDKKAFKPTPNPAEVDAVFDAPLEMFLKDENRRVEEREWMGEKYLLHSFDYETENKKYVIWGLTAGVLIRAASVVYQRPPAFLEQSPKFKFPRVVDNNTVMR >OMO76311 pep supercontig:CCACVL1_1.0:contig10909:15857:17472:-1 gene:CCACVL1_15774 transcript:OMO76311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MKVQITETAPIRPSPLPFSDDHTLALSHLDNDHSLNVTFRYLRAYVNNNNTTDRNPFQVISSAVSAALHHYYPLGGSLCRASNGRYELVCKVDQTIPLIHASADCTLESVNYLDDPDMNSVEQLVPDPNPEETMVNPCILQVTMFKCGGFTLGAAIHNSLCDGLGATQFFCMAADLARGIEQIKFQPVWDRAALLGPRNPPRVEGPIKEFVSLEKGFHPYKQNLGHVVRECFYVEDECLDQLKALLLEQSGLNLTTFEILGAYIWRAKVKAAKIPGDETVKYAYLMNIRRIVKPPLPAGYWGNGCVAMYAKLSAKELIEQPLWKTAELIKKSKSNAGDEYVRSFIDLQELQNEEGISGGKGVSGFTDWRHLGHSAVDFGWGGPVTVLPLSSNFLGSMEPCFFLPYSSFDTGKNKGFKVLVSLRESAMPAFREEMEKFTRKEFGI >OMO76312 pep supercontig:CCACVL1_1.0:contig10909:20274:25575:1 gene:CCACVL1_15775 transcript:OMO76312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPKNATSSAVGSKSKEKGLLLDEDFGKDFLGSWKSMSVTEDDAMDFSFGTISKGKKKAFNFDKLDMDFNVDGDFDKLPSFKMDMPDLDFSSPSKGTAKDKENSKEETTSGKRQEKKDRFSFSFDFNELDGFDFDSSLTKGEKTCKKSEESKAIALEISEISNIDQALQGEKTSKKSQDSMAGALESSEILNIDQAFQEEKTCKNSQDSKALEGSEIFDIDQAFQGEKTCKESQDSKAVALESCAVSNFEQALDDDCIAAKLNGANPKAETSKGGMDPCNSIDDSISVKLVPLQGLAHGDPVAGQGSGIPPQKIVDTLVEERCKSRPLSNSTVSSELDDQQLLQSSPMDFLSGNISNQETVFDMQAEVCTQGTRTNTSSDAEQNVDDRIITTEGSIHQKYTSSTLSNMAVTSELPDQQQSLQSSPLDSLSGNNTNQETVSNIQTEVCSQGIHTSSEAEQNINDKVITTEGSKMHWKNSSPPSESDKDDNNMASGNVLAEIHETQSVQGDIVLKDISTASSSEEIPENTGAKNDIQNPTHKLPLVSSNRCSDPTVSQTEKRDRESGSIRSRFFRRSEETISHLAKPSQSEKEVSTFSNKEIGAMHSCPTITNEKRDDSDGGQAQNGRKLVGLSKLSSQNLTKGRLVLQQTEKNAVSSSDISLRANPPNCTEKTIESSIQTSVNPKPQVPKLASLQTLNVVSEAPKSPKEAPAVSRFKSTRTIVPNREQLNCQRETIPLRNLEQNKDSPMNTSKIAPPVGNAENQAPKLPSLKRKAVQVQNQKNSMEVSPKAIQSDHLISRSEVLMEVNMTELGSPSVMENDENVEKAEAYGKELDEIFNMLKKKHEEAKELLVQALVNNNNLLMLNHSMVKEKISFPFLYMLS >OMO76306 pep supercontig:CCACVL1_1.0:contig10909:787:1476:-1 gene:CCACVL1_15769 transcript:OMO76306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGSEEERDDGINFGVSRKIMFAAIASLLGVVVVIILLHLYARYLLKRQERRRRAAAAIHSQRAQIAPIDGSSIIELPPTKSSGLDPSVIASLPTFTYKVTTTANHQVDDDEDHEECSVCLGATEAESTVRLLPNCKHMFHVECIDMWLGSHTTCPICRTQAEPMVQQQEDKDLGARVQPTAPPIEENVSHGSGSRFGSFRRMMMLGRDRSSSRIRSCGDEIATQDLERQ >OMO76310 pep supercontig:CCACVL1_1.0:contig10909:13362:15042:-1 gene:CCACVL1_15773 transcript:OMO76310 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium chelatase, ChlI subunit MASVLGSSSTAILASRSLLSPSSKLAIPSISISAGQSSGRKFYGGIGIQGRKGRPQFQFAVTNVATEINTVEQAQKADAKESQRPVFPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIRVVFGDPYNSDPEDPESMGIEVREKVTKGDELTVVMTKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDKNPKEFRDSYKAEQEKLQEQISSARKTLSSVQIDHDLKVKISKVCAELNVDGLRGDIVTNRAAKALAALKGRDKVTAEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >OMO76314 pep supercontig:CCACVL1_1.0:contig10909:34236:37161:1 gene:CCACVL1_15777 transcript:OMO76314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWRILACRLNPTTNSSWMLYEELIIYAKNGTVWPAHQVAQWIHPIVY >OMO76307 pep supercontig:CCACVL1_1.0:contig10909:3900:5895:1 gene:CCACVL1_15770 transcript:OMO76307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNLLKTIINIVKDDSSKPAKGSSASKKSNGFKWKKSQKKASGKTSFISRNPNTLGMPIEDLAAIRIQTAFRAYRARKTLRRLKGAVRLQAKTQTLSIKKQATSTLHHLHSWSNVQSQIRARRLYMVTEGRLRQKKIANQLKLEAKLHDLEVEWSGGPNTMEEVLTKIHQREEAAVKRERTMAYAFSHQWRAPNSNYNGLGNYELAKANWGWSWVERWIAVRPWERRLPIQSITPKKVQNKQINKAGKNSNSPKPKGPTAVKAPLSNGKGTSLSNGKGTMKPRRLSYPGAEKPASRQEKDKAEELKNKKEEIAT >OMO76313 pep supercontig:CCACVL1_1.0:contig10909:26675:26995:1 gene:CCACVL1_15776 transcript:OMO76313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSLCENDNKENIPPFSSKQPASVLPKPSSSIKKRRIRKPLEDITNLILPEINSNPSLAQANTTIVLSSQPLVSQWKCLKRRAEDGLQSVCKRTHLAYRSVNFR >OMO76315 pep supercontig:CCACVL1_1.0:contig10909:37832:41388:1 gene:CCACVL1_15778 transcript:OMO76315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRLSVYLVIVVILLVSLFSWSSDGRPLAPYTEGRNLIMSIRAMGAREAFKIGQTEKNLYESKRVSPESQSRPLIEKRNVNQAISELREYLDEEAKKINYKQPERTSPGGPDSQHHTIHN >OMO50168 pep supercontig:CCACVL1_1.0:contig16283:2015:2218:-1 gene:CCACVL1_30589 transcript:OMO50168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein REVREAEAERLKNGSVSSSIGDGFGVDDQVRLLTPPEGEEEFIDDDGTRYKWDKNLRAWAPQVSALF >OMO50167 pep supercontig:CCACVL1_1.0:contig16283:548:903:1 gene:CCACVL1_30588 transcript:OMO50167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRWVAGDEKAEHFSVHKVIGDGRCLFRALIKGMAFNKGVALSPREERDDAGE >OMO54146 pep supercontig:CCACVL1_1.0:contig15050:7781:8288:1 gene:CCACVL1_28017 transcript:OMO54146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGISAKKMVVSLMVLMMVVLAQGQAEAELGFKNPNADTGLCASSCGARCLPRLYPPRIALCFGICMIGCKLRPSEAVFKCTNGCANSMVNSYKPTAAERVENIVGSCYQTCKQNSIN >OMO54150 pep supercontig:CCACVL1_1.0:contig15050:30673:37427:-1 gene:CCACVL1_28021 transcript:OMO54150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSFEVTELPVRSAKFVARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYIKGSRRIVIGYDEGTIMVKIGREVPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESSSKIKIFSKNFQEKRSVRPTFSAERIFGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDSSFYILKYNRDVVQSYLDSGRPVDEQGVEDAFELLHETNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLASQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERANEILPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFELAIQLGKLDIAKEIATEVQSESKWKQLGELAMSTGKLEMAEECMKHAMDLSGLLLLYSSLGDAEGISRLASLSKEQGKNNVAFLCLFMLGKLEECLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYSNLFEDWQVALSVESKAAETRGVYPPAADYLNYADRSQMTLVEAFRNMQIEDEEPLENGELDHEAAEPNGHDQDAEEQNGEDGSQEEAVVVDADSNDGAVLVNGNEHEEEWGTNKEGTPSA >OMO54151 pep supercontig:CCACVL1_1.0:contig15050:44406:44627:-1 gene:CCACVL1_28022 transcript:OMO54151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKSSSPTNMILMTQPREKVAQRKGLQTSKAVQVQQENEEQSSTESQPEEQQPPRHFPAPVPNGASKERPN >OMO54149 pep supercontig:CCACVL1_1.0:contig15050:25748:27103:-1 gene:CCACVL1_28020 transcript:OMO54149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAENALPPSKKRAAGREISRDNPGLDDEEDSSEQEAGTFKRASEEVLANRRIVKVRRNQTPSTPSSNPFSGIRLVPPTEPTVTPAAPSGPESTAATTEVTAEAPIATEKEVSEDGKNDDSKSQKNEDGDNQQSEIKIDETEPESAKNESENVKQSESKGDEPVSEAVADKETAVDKDSNVANEETEKVANDEKLVASGKTEDEDKKDDKTSNEENKEKSSENTESTAEGASLSSFQQLSSSQNAFTGLAGTGFSTSSFSFGSASKDGSTSSVPIFGQKSDQPSFGFGFSTNGNSSLLAGTPIVSKSEGSGFPAMPEVPVETGEENEKVVFSADSALFEFIDGGWKERGKGELKVNVSTTGTEKARLLMRARGNYRLILNASLYPDMKLTNMDKKGITFACMNSTGEVKEGLSTFALKFKDASIVQEFRATVTTHKGKTADVLKTPENSP >OMO54145 pep supercontig:CCACVL1_1.0:contig15050:5564:6344:-1 gene:CCACVL1_28016 transcript:OMO54145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRINLITAFALLLISSSAIAMAARESDFLGLDSFGTDDFLTFDAAMAPEAPLAPSPESETPLPPEPDTPAAPTTPSPPETPLLPPSTPQTPPLLPPATPQTPPLLPPATPQTPPLLPPATPQTPPFLPPTIPSTPETPLPPAGNSCDEKCAKKCPKIPFIFNICFKTCMAGCNLLHEEQTYNCTNKCAKSMPAGLHSDKEKMGSYVNSCYQKCKSYGVF >OMO54148 pep supercontig:CCACVL1_1.0:contig15050:15034:16772:-1 gene:CCACVL1_28019 transcript:OMO54148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNFHSAREQSLVLNFSMIIGFPSLQAVRTTLSNTCAKTSMKKACCYVTYS >OMO54144 pep supercontig:CCACVL1_1.0:contig15050:2120:2345:-1 gene:CCACVL1_28015 transcript:OMO54144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRQLQRHKNNASMSARSAVINCHQHKKPIASKNVRKSVPHFLQLLPKK >OMO54147 pep supercontig:CCACVL1_1.0:contig15050:13031:14215:1 gene:CCACVL1_28018 transcript:OMO54147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAPGASPVRRIAHLFTKTSLSSTTKSTIRAATATSTKPSSKSEKRARNRQNLVERFKKNCDSDKFRNDCKNEYYNTVRRLANMKRFSLIDDILQHQKKYQEISQEHFVIRLMLYYSYAGMSGHSKKLFDEMPELKCERTVMSFNALLSAYIHSKKFDEVDKLFKELPRKIGIEPNLVSYNTVIKAHCEMKSFASALSMVDEMEKKGIEPDVITFNTLLDGLYRNGKIADAEEIWGLMEKKNVVPNGRSYNSKMRGLVYENKISKGVELLEEMRSKGFEPEIHSYNALIKGYCNDGNLEQVKKWYGELKNNGLSPNRVTYYRLIYFLCTKDEVEMAAELCKEVIDRWGRAGASLLQRNIIDELVKDSRIEEAVQLVELGKLKFHYNLKCPRSE >OMO89269 pep supercontig:CCACVL1_1.0:contig08802:10891:11061:1 gene:CCACVL1_07952 transcript:OMO89269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSTSPTVLSLVLPKSNLKCGASISNSIIDGNTNKKQIRARVVTAFAAKSGPFNS >OMO89268 pep supercontig:CCACVL1_1.0:contig08802:6030:10083:1 gene:CCACVL1_07951 transcript:OMO89268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoporin protein Ndc1-Nup MMLSWRSPAAIFMSFFSFHLSQLLFSISLSAVSSPQPKFRLILPVFLAAAAVSGYLSAVSFCGLNGRVGFKGFASGLFYAFLYIYKRRWVLNFPNIQRPPFFSFKMEIPSATARALKLSAAAYLFSSLLLVLLTERFIFAPPIGSAAAEINPSEPLLAALEESSPTSLLKYLAYLDLCMVCENNVDYWRRAALFEESGETYRRVVAVCLRPLEQIASNLGQTLEVSSDGGKAYQKFDQLQPSTEPQQNSKCYELINNFQLYTWSARAIASLTAHSRKEDRFGVAQLSGSNAAVISTLLSCLLAVETFMGKKTSLQPSHPLMGPAGIKWATLSTGRRDVRTGKKRGGPLYSKADAMADVLRSSINCIVCAFHDDMLTNAKAGTLEKDWITSGRPPFGSREMLLQKLNLFLDAQAS >OMO89267 pep supercontig:CCACVL1_1.0:contig08802:2221:5280:-1 gene:CCACVL1_07950 transcript:OMO89267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEAEAKKIIIDTDPGIDDAMAIFLALRSPEVEIIGLTTIYGNVYTTLATRNALHLLEVAGRTDIPVAEGSHVTITKGTKLRIADFVHGADGLGNQNFPPPKGKPIDMSAAAFLVEQASLYPGKVTVVALGPLTNIALAIQLDPSFTKNIGQIVLLGGAFAVNGNVNPAAEANIFGDPDAADIVFTSGADVLAVGINVTHQVVLTDADRDKLASSTGKFAQYLCKILEVYFSYHHDAYNTKGVYLHDPTAMLAAINPSLLTYTEGAVRVQTNGITRGLTILYNKQKRFAEITEWSNQPSVKVAVTVDAPTVVKLVMERLMDS >OMO66311 pep supercontig:CCACVL1_1.0:contig12558:6694:7914:-1 gene:CCACVL1_21222 transcript:OMO66311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKEKGNSFEPFNSFPDPEIISWAQAHDRNVQQHPVPPKNNGKPIFPENKNENGSKAESFEMGLRKDKELASSGNSESDLEEPPKKLTFLIDPAAILHQPPQYGGRSFPDVLYRRFKQPSEMQLAVTKESTPLTTDAAAADANDHIPQPRFKVLLPEALGGFKKPPESTKPEAPIAKKIKKASDYEFDFRSPPKKVRWEATSVGDTDQINWEPFVGVRPVPEAIKELWAETFESMTKPKDKAPKV >OMO66312 pep supercontig:CCACVL1_1.0:contig12558:10994:11296:-1 gene:CCACVL1_21223 transcript:OMO66312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVSSGNEFLVRTMENPFRQIRKMKMATKPSEMQLAVTKESTPLTTDAAAADACQ >OMO66313 pep supercontig:CCACVL1_1.0:contig12558:16215:28058:1 gene:CCACVL1_21224 transcript:OMO66313 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, N-recognin METPGESVAGPVRNRDRVVRRLAALGIPAEYLDRGYGGVVDFVTHNGTLLQEVVSAILPTDEEVADAIQDARFKSKKLMSVNMKNRFRESMVWLQWLMFEGEPVNALQNLAKSSTGQRGVCGAVWGSNDIAYRCRTCEHDPTCAICVPCFQNGNHKNHDFSIIYTGGGCCDCGDETAWKREGFCSNHKGAEQIQPLPEHLANSVGPVLDALFICWKNKLVSAESIFLENSRATDHGSEQRKIANELTYVVVEMLLEFCKYSESLLSFVSRRVISLDGLLGILVRAERFLGDGVVKKLYEFLLKLLGEPVFKYEFSKVFLSYYPTVVSEAIKEGNDGILTNKYPLLSTFSVQIFTVPTLTPRLVKEMNLLGMLMGCLEEIFVSCAREDGRLQGAKWGSLYETTNRVVVDIQFIMSHDDVSKYATHEQQDISRTWLKLLSFVQGMNPIKRETGLHIEEENESMHLLFLLGHSIANIHSLVVKGAVASSEGANSLSYTYNLDADDGDSMRHAKVGRLSQESSVCSVTGRSASKVTEVGSDLGSNLLIPSGVIWLVHECLRTLETWLEVGDSTSAALQRVSSPNSQGTSDSNFLAIKKTLSKFRKGKYFGKLTGSSENHSSQSVYTGHQARDDMEIAKDLGSEGDTTVLAEFGLVACGSMGLDVNDTETDNGTGLAILRVLSSCEWPDIIYDVSSQEISVHIPLHRLLSLLLQKALKICYGELVMPNITNACSARGIYADFFSHILTGCHPYGFSATVMEHPLRIRVFCAQVIAGMWRKNGDAALVSCEWYRSVRWSEQGLELDLFLLQCCAALAPPDLYVKRIVERFGLLNYLSLTLERANEYEPVLVQEMLTLIMQILQERRFCGRSTADCLKRELIYKLAIGDATHSQLVKSLPRDLSKCDQLQEILETVAVYSHPSGFNQGMYSLRWPYWKELDLYHPRWNSRDLQVAEERYLRFCGVSAMTTQLPRWTKIYPPLEGIARIATCRTTLEIIRAVLFYAVFSDKFTESRAPDGILVTALHLLSLALDICLQQNQFSGVEHNFGDLNSLLAFAGEEISESFNYGAGKQSLLSLLVALMGMHRQQNQNIYLESSNCSFSPLIESLLKKFAEVDSQGITKLQQHAPELFSHSPHSTPNGETSLSGSASDIETRKAKARERQAAILAKMKAEQSKFLSAISTADDDEKSEAERSSSDAEQEKEVAVEAVCSLCHDSTSKNPVSFLILLQKSRLLTFVDRGPPSWDRWSYKDQASVPANRVTDQSGSNPTSSSSGLASQSVQLTENTVVRNGQGQRREANGILDYVKSRFPSVGSIQAPFSSSNARGRGSTVYNLETLEEDMYIHIRKEMHNNLLSVSSKEDEVSSAAEGSLESSREAESVLLQNYIAAVSEGTSENALGFENSNGERELTESTSQPLLYDGFGPLDCDGIFLSSCGHAVHQGCLDRYLSSLKERYVRRNFFEGAHIVDPDQGEFLCPVCRRLANSVLPAMHGAGRQSLTSIDPLSTLGPSSASSEETCPLVLQQGLTLLKTAAKVVCRPEFVEALSLQRKESTSQNLEPISRVLSKMYFAKKQDRVLGSQRPSHPIILWDTLKYSLMSTEVAARSERTALTANYTLASLYKEFKSSSEFIFSLLLRVVQNLSSTNSLHALQRYRGLQLFAESICSGVSFDYHSSRHKQEGDVDIFKHDGKEALYPDIQFWNRASDPALARDPFSSLMWVLFCLPCPFMSCDESLLSIVHIFYVVSVVQAIITCFVRHGYKISEVDPHDCLITDICGFLGGSDCARQYFASQDVDRSSDIKDMIRRLSFPYLRRCALLWRLLKSSVPAPFGDRDNLWESSYWTSDMMDSNESASLELNEVQKLENMFKIPSIDVILKDEVSRSFVLKWLHHFRKVYEASTFQNVFYCNPAVPFKLMSLPHVYQDLLQRYIKQCCPECKNVLDEPAVCLLCGRLCSPSWKPCCRESGCIAHAMTCGAGIGVFLLIRRTTILLQRCARQAPWPSPYLDAFGEEDLEMHRGRPLYLNEERYAALTYMVASHGLDRSSKVLGQTTIGGNALDQIINKWTENDSAKLKVASV >OMP10474 pep supercontig:CCACVL1_1.0:contig02453:288:371:-1 gene:CCACVL1_00953 transcript:OMP10474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASLFAKLDDTAFSFNQTRMTIRYLPDC >OMO70016 pep supercontig:CCACVL1_1.0:contig11901:674:1306:1 gene:CCACVL1_19146 transcript:OMO70016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAHDQKADFVVPSFESEATPHFTVSWSNMWAKVGGENIAELAKRLHFPPESVEPSFKKKKEAQETNKGSAKNHAKKNFVEPTVPLEASPLQAIKPSAETSKSLAASMGPTDLTGQAADRSLGRFKPWIGYKNHA >OMP07309 pep supercontig:CCACVL1_1.0:contig04531:803:889:1 gene:CCACVL1_01332 transcript:OMP07309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDELPELNPEEYEADRYPSPGRTRLRP >OMO73967 pep supercontig:CCACVL1_1.0:contig11168:34004:39766:1 gene:CCACVL1_17047 transcript:OMO73967 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-hairpin glycosidase-like protein MAELWNPSSMGSSYSYSESSSRPLKVTFNEPAKYWTDALPIGNGRLGAMVWGGVASELLQLNEDTLWTGVPGNYTNPDAPKALLEVRNLVDSGEYAEATAAAAKLVGNPEDVYQLLGDIKLEFDDSHLNYAKETYHRELDLDTATIRIKYTVGDVEFSREHFSSNPNQVIATKISANKPGSVSFVVSLDRKLDHWCQINGKSQIIMEGCCPENRIPPQVYGNGNAKGIKFSAVLDLQISEGNGMIHIIDDKKLKVEGSDWVVLLLVASSSFDGPFTKPLDSKKDPTSYSLSALKLIRNLSYANLYAHHLNDYQNLFHRVSLQLSKSSLPHFCLKQNQDDKASTAERIKTFEMDEDPSLVELLFQFGRYLLISSSRPGTQVNYEANGWVVHHKSDIWAKSSADQGDVDWALWPMGGAWLCVHLWEHYTYTLDTDFLKDKAYPLLEGCGLFLLDWLIEGQGGYLETNPSTSPEHDFIAPDGKIASVSYSSTMDMAIIKEVFSAIVSAAEVLDKHEDDLVKRVHEALSRLYPPKIAKDGSLMEWAQDFQDPDVHHRHLSHLFGLFPGHTITIEATPDLCKAAEQTLHRRGEDGPGWSTTWKAALWARLHNSEHSYRMVKHLINFVDSEHEKPFEGGLYSNLFAAHPPFQIDANFGFTAAVAEMLVQSTLEDLYLLPALPRDKWANGYVKGLMARGGVTVSICWEEENLHEVGLWLKHQKSSKRLRLHYRETVVSVNLSSEMLYTYNRDYSRRATTTVVHKTFAAKMAWRSAGSLSRSVMSAARAPSLRTAPPMPRLRPSVSSAPRLQSRRISFAPSRNLGELGCVQSFLPLHSVVPTARLTSHLTVNARGCCELSHGTFCRTCQDR >OMO73968 pep supercontig:CCACVL1_1.0:contig11168:45729:46346:1 gene:CCACVL1_17048 transcript:OMO73968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFQREEEAVETENISTCKQQTESIDLNEDSNEANYEEDENPGEWLNLSLGGNSVSSAAGDSDPHSRPVSATKVFSCNFCMRKFYSSQALGGHQNAHKRERGAARRYQSQRMMSMMGLPLSGHMVRSLGVRPHSLVHKTSRDGAAAASVARFNYDTFTGFGMAGMQFPGDDGMDFMWPGSFRLEHPQQPKPQQSEPSKLDLNLRL >OMO73969 pep supercontig:CCACVL1_1.0:contig11168:48202:50184:-1 gene:CCACVL1_17049 transcript:OMO73969 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIP41-like protein MEVEIDEKDLKLAGAELLTDGRRGLRIHGWEIESRKGSILNSSAREQWEKDLQTSHLPEMIFGESRLVLKHVGSGAKIHFNAFDALAGWKQEGLPPVEVPAAAKWKFRCKPSQQVILDYDYTFTTPYCGSEMVELDADKHGSGGSSGIHWEDCKEQIDVAALALKEPILFYDEVVLYEDELADNGVSLLTVKVRVMPSSWFLLLRFWLRVDGVLMRLRDTRVHCVFNESANPVILRERCWREGTFQALSAKGYPTDSASYSDPSIISKRLPVIMNQTQKLRVPGIL >OMO73970 pep supercontig:CCACVL1_1.0:contig11168:50971:54859:-1 gene:CCACVL1_17050 transcript:OMO73970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILIDWLMEVAEEYKLVSDTVYLTVSYIDRFLSSHSINRDKLQLLGVSCMLIASKYEEITPPRADDFCYMTDNCYTKEEHEDLQVEFLSCYIAELCLLDYEFVQFLPSVVASAALFFSRFMIKQHRHPWSKALECYSGYEPSELEECVLAIHGLYLRRNLSSGLTQKYLQHKIFIDSKVFYAPQHEDNLQVDFLSCYIAELCLLDYEFVQFLPSVVASAAFFFSRFIIEQHKHPWSKALECCTGYKPSELEECVLAIHSLYLRRNLSSAVTQKYLKPRFKAVAALSAPSEVPQRYFETAHE >OMO73961 pep supercontig:CCACVL1_1.0:contig11168:1538:1660:1 gene:CCACVL1_17041 transcript:OMO73961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTAAGAGISSSYAKILYSRERRVFIFEESRALNMHGV >OMO73966 pep supercontig:CCACVL1_1.0:contig11168:27649:33190:1 gene:CCACVL1_17046 transcript:OMO73966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-hairpin glycosidase-like protein MTINSSKKFSPFFLPFSAFCFCSFFFTWLLVSGGCCLGAAVGAADDGDSVLARKTAEKDIWKPTFSSLIQSSKPLKVTFSGPAKNWTDAIPIGNGRLGAMVWGGIASETLQLNEDTLWTGVPGDYTDPDAPAALSEVRKLVDNRDYAKATKAAVKLSGNPSDVYQPLGDIKLEFDDSHNNYTEGTYRRELDLDTATATVKYSVGDVEFTREHFVSNPDQVIVTKISGSKPGSLSFTVSLDSKLQHDSKANKQNQIMMLGSCPGKRMAPRGSEENPKGIQFTAVLSLQVSQGGVVHILDDKKLKVEGSDWAILFLVASSSFDGPFTMPSASTKDPTSESLETLKSIEKLSYSDLYARHLDDYQNLFHRVSLQLSKSSKSNLKDGSREKSEAAVSTAERVKSFQTDEDPSFVELLFQYGRYLLISSSRPGTQVSDLQGIWSEKLEPAWDCAPHLNINLQMNYWPSLSCNLKECQEPLFDYMSSLSINGKKTAKVNYEASGWVAHQVSDIWAKTSPDRGEAVWALWPMGGAWLCTHLWEHFTYTMDKDFLQNKAYPLLEGCASFLLDWLIEGPGGYLQTNPSTSPEHMFVAPDGQPASVSYSSTMDMEIIREVFSEIVAAAEILGRKDDALIAKVRQAQPKLLPTRIAKDGSIMEWAEDFKDPDIHHRHLSHLFGLFPGHTITVEKNPDLAKAVNYTLYKRGEDGPGWSTTWKIALWAHLHNGEHAYRMVKHLVNLVDPNREGDFEGGLYSNLFTAHPPFQIDANFGFSAAVAEMLVQSTMNDLYLLPALPQQWANGCVKGLKARGGVTVNMCWQDGDLEQVGLWSKDQSIVKRLHYRGTIVSAKIASGKVYTFNRLLKCVNIYNL >OMO73963 pep supercontig:CCACVL1_1.0:contig11168:15525:16812:-1 gene:CCACVL1_17043 transcript:OMO73963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETDDQGFEGDQETSAKTSSFSQLLFGGDDDVVVGLDLGQRFNYTCSSFPGLHLEKTPKMLCFGDYQNHADHAEIVTFGESAANNATTKTAVSTPQRSGVTCSNDSSSASSGNNSKSVKPAPSKSNNRKRNGGRESEHCGDANTVTAQPPAQKPNKKSKAENPASSSGHAKVRKEKIGDRITALQQLVSPFGKTDTASVLHEAMGYIRFLHDQVQVLCSPYLQHLADDGENVSEESRNDLKSRGLCLVPVACTMHVANSNGADFWSPAMGNNNVTKQ >OMO73964 pep supercontig:CCACVL1_1.0:contig11168:19831:20187:-1 gene:CCACVL1_17044 transcript:OMO73964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Complex 1 LYR protein MEKALKVYAHVLRLVRRLPKDSRPYYAKYARENFVNYRDFDVSDPKALDELFHRAYNHSLWVLNKYSVDESAARKLKDICFNG >OMO73962 pep supercontig:CCACVL1_1.0:contig11168:5928:13688:-1 gene:CCACVL1_17042 transcript:OMO73962 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-repair protein, UmuC-like protein MAWGSNSLSSSRSSFRSSPFSDFGSYMVEKNRKLQHQFDAEASNSSHSDSSAKPLFHGVSIFVDGFTVPSSQELRRFMLNYGGRFENYFSRHRVTHIICSNLPDSKIKNLRSFSGGLPVVKPSWVLDSIAANRLLSWVPYQLDQLASNQPTLSAFFTPKISPASEGAFTNAICEVKYETDDLCLKDASKDVKSFEAGESSELRNKITEEHDSLMHENSNAQVIEEPSSSYSEESGEVKVVEPSNLQEDDERMENNGLQSSPEQPSASVSSHCFDNDSIKVSSRSTTSGPLMQRHSTLGDPNFVENYFKNSRLHFIGTWRNRYRRRFPSLSNGCKKPHSEVSADTQKTPIIHIDMDCFFVSVVIRSRPELHDQPVAVCHSDNPKGTAEISSANYPARDYGIKAGMFVRDAKALCPQLVIVPYNFEAYEEVADQFYNILHNHCNKVQAVSCDEAFLDVTDLEGKDPQVLASEIRKEIFEATGCTASAGIAGNMLMARLATRTAKPNGQCYIHPERVDEYLDQLPIKALPGIGHVLEEKIKTKNIRTCGQLRMISKDFLQKDFGIKTGEMLWNYSRGVDNRLVGMIQESKSVGAEVNWGVRFRDLQHAQHFLFDLCKEVSLRLQGCGVQGRTFTLKIKKRRKDAGEPAKYMGCGVCENLSHSTTVPLATDDVEVLQRITKQLFGFFHIDVKDIRGVGLQVSRLESADTSKQVLERNSLKSWLMSASSSLEERCDFNHNIKDKVGTVEVKPNLLCYWCHLANSEGKDVGGSSDVLDTDQVGDSVHRTNSTSIGEGCSNQSSTVPPLCHLDMGVVESLPSDLLSELNEIYGGKLVDLIAKSKGQGEDSSSSLCILPPKLARDQAESSHNSGPDSLIRTAVQMKDKQHISEELQTVPESGAGSNSFAISVPGDLMPSSLSQVDTSVLQQLPEELRADIFELLPAHRRQEISTMGPNADDLHHPLGDNSTDNQPGSSGSKLSTDLWTGNPPLWVDNFKISNSLTLKFFADMYYKAKSADNLSSILQCTISESLHPLDARCDAWSKAVNSFSELLMGYIKLKIEVDIEEIYVCFRLLKRYEVVH >OMO73965 pep supercontig:CCACVL1_1.0:contig11168:22040:23662:1 gene:CCACVL1_17045 transcript:OMO73965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIWSWISELPNSEESWAESNSPLTFTLSSAAAGKSHGNVDSARSIQLRAERYTFESNSEAFVTFNICFEGFQPSKDIKNPVWVSDACSLSSEQPFLPLVLQLLQEIINRSPAVPESTCPKSQLERLKPEPISWIMESHSPDSFSSFFNLVFLTRLFWLCACDAPSEVGSFYFQSLLGPNIEALTCKEAPVLRTFLVSSGVDTELCFMRTLGYMLAKWIMLREVTVGLQALTPLSGRQQFGISYSKEAHGFWTLKGFAPVNAMKLTHSSDQRSKFPVIGAEESLLRYALAHQQLEAVIQLEYSVEFQDGYIQVNARVDNLRFHVAKLGFSKKEDDMDYFEEKHFPSRIRVWVGPEVGATYVSGLSLGRSTNNGETEVETQRFVKGDFGKTKTPHIKAEARVATKNKMKNWRWDQDADGNAAVFDAVLCDNMTGHELATWKSYGNGNGNSGNGNNGFQNRYSGVNRPFTKAGGLVFAGDDYGQKVGWRLSREMEGSVLKWRIGGEVWLSYWPNNVRSSYVETRCVEWCDEIDLPLIPAKEK >OMO91759 pep supercontig:CCACVL1_1.0:contig08302:1097:1648:1 gene:CCACVL1_07035 transcript:OMO91759 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MGKRVKWSWTSAFVGAASATAVAALLGAKPKDPTFHLISINLTSFKLNLPHIDAELILTVHVTNPNIAPIDYSSTTMSIFYEGSLLGAAQVKAGSQPPRSCQLLKLPTRLAGVELAHHAGKFFADVSKREMVLDAKVDIGGTAKVLWWDHRFKVHVDSHVTVDPVFLDVIDQENKSQLEVFLT >OMP07412 pep supercontig:CCACVL1_1.0:contig04458:219:329:1 gene:CCACVL1_01309 transcript:OMP07412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHERLWDPPEGEFLGVNVPLFGRRARSRPLRSLPEK >OMO89480 pep supercontig:CCACVL1_1.0:contig08704:4024:21936:-1 gene:CCACVL1_07805 transcript:OMO89480 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type MENLAQLEALCERLYNSQDSAERAHAENTLKCFSVNTDYISQCQYILENALTPYALMLASSSLLKQVTDHSLALPLRLDIWSYLFNYLATRGPKLQPFVTASLIQLLCRVTKFGWFDDERFRDAVKESTNFLSQGTSEHYAIGLKILNQLVSEMNQPNPGLPSTHHRRVACSFRDQSLFQIFQISLSSLRHLKNDVASRLQELALSLALKCLSFDFVGTSIDESSEEFGTVQIPSSWRPVLEDSSTLQIFFDYYSITKAPLSKEALECLVRLASVRRSLFANDAARSKFLAHLMTGTKEILQSGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIRLVAEFTLQSLQSWQWASSSVYYLLGLWSRLVSSVPYLKGDAPSLLDEFVPKITESFLTSRFNSVQAGYPDDLSENPLDNVELLQDQLDCFPYLCRFQYESSGLYIINMMEPILQSYTERARLQTCDKNELSIIEAKLTWIVHIIAAILKIKQCTGCSMESQELLDAELSARVLQLINVTDSGLHSQRYGELSKQRLDRAILTFFQHFRKSYVGDQAMHSSKQLYARLSELLGLHDHLLLLNVIVAKIATNLKCYTESEEVIDHTLSLFLELASGYMTGKLLLKLDVVKFIIANHTREHFPFLEEYRCSRSRTTFYYTIGWLIFMEDSPVKFKSSMEPLLQVFLSLESTSDSVFRTDGVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHLPLILKGITHWTDTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKLLVAYGSRILSLPNPADIYAFKYKGIWISLTILARALAGNYVNFGVFELYGDRALSDALDIALKLTLSIPLADILAFRKLTRAYFSFLEVLFNSHINFILNLDAATFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEAPTSPSSVKLAQHIADCPSLFPQILKTLFEIVLFEDCGNQWSLSRPMLSLILISEQIYADLKAQILASQ >OMO53251 pep supercontig:CCACVL1_1.0:contig15263:139387:140079:-1 gene:CCACVL1_28782 transcript:OMO53251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAGYQSLGQPALDWPTRLKIVKGVAKGLGYLYKELPSLIAPHGHLKSSNILLNQSLEPLITDYALIPVINQESAQDLMVAYKSPEYALHGRITKKTDVWALGVLILEVLTGKFPANFLHKGKASEDQDLATWVKSVVGEDMSGAHQVFDKDMGATNTISGPDGEMLKLLNIALSCCEVDVDKRLDMKLALDQIEGLKDPKEDEDFYSSVASDAGDKRSSRGMSDDFSL >OMO53244 pep supercontig:CCACVL1_1.0:contig15263:23622:25693:1 gene:CCACVL1_28775 transcript:OMO53244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVTLNHNVRNRVTKRRFNHSTQTVHFYFAKEMIVPTPSTGDTVNTQHRRLREIFKGPPAHQRLNVARPGWIQWQQVLRRNGLMRKIASK >OMO53246 pep supercontig:CCACVL1_1.0:contig15263:33172:39911:-1 gene:CCACVL1_28777 transcript:OMO53246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGDFKFSSFKAVQVPKGWDRLFMSIISLENGKTIAKTSKAAVRSGTCQWTEILSESVWVSRNEASKEMEDCLFKLVVAMGSARSGILGEATVNLTSYITSTAIVPVSLPLKKFERISFDDLYGPLYNLYDDELKQKKNGPLYNLYDDELRKGLVAEPDYSLPMPLNCGQLSDAMKEELHMDICNFGEVKLVSDVALLCNSSRPSDRPSMEDALKLLSGWKTCGKE >OMO53247 pep supercontig:CCACVL1_1.0:contig15263:58407:61093:-1 gene:CCACVL1_28778 transcript:OMO53247 gene_biotype:protein_coding transcript_biotype:protein_coding description:UspA MIHTRELTNGGQRIEGRERLVAVAVDRDKSSQRTLKWATENFITRGQTVKLVHVLPRQPSSIPNPADHPVGIDENPVMDLFLPFRCYCTRRQIHCEAVVLEDPDVAKALIEYVTHCGIETLLLGSPSKSGFSRLFKATDIPSSILKWAPDFCNVYVISKGKVSAARTATRPVPNIRADGFRSLQNNEAPSVIENDMYDEMGALEDQNDVSWASTGSWASDSSFVSFYETLLNPHNMDPNARRSTSTNPNSSTKPRDIDAATIPSSSECLEDTNDEMRRLRIELKQTMDMYHAACKEALAAKQKELFIK >OMO53245 pep supercontig:CCACVL1_1.0:contig15263:26651:29796:-1 gene:CCACVL1_28776 transcript:OMO53245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRNGEKRDESVAVAIDKDKGSQYALKWAVDHLMSRGQSITLLHVKVKSSNSPTGNSDDEVAKAYKQQLDNQAREVFLPFRCFCSRKDIKCIEIVLEDIDISKALIDYVSSNPIETFVLGSPSKSGFVRRFRTTDVPTNVTKGVPDYCTVYVIGKGKISSVKSATAPPPAKPPRNHPSIPNTPTTPEPAEPPLPFPAAYNPRNRGAQVDFRSPFTRPGRSPIKFESSINPDSDISFVSSGRPSTDNMLYENLEFGTPRMSTSSDQYDNRSFGSSYSGNRSIDYNSSQYDHFSSSSMESGWTSWSSQTQSVDDVEAEMRRLRQELKQTMDLYSAACKEALSAKQKANELHSWKLQEQQKIEEARLSEEAALSLAEKEKAKCRAAMEAAQAAQRIAELEAQKRINAEMKANDDKKNMALSRGPGRDLMYRRYSIEEIETATEEFSPSRKIGEGGYGPVYHCKLDHTPVAIKVLRPDAAQGQSQFHQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDRLFRRNNSPVLPWQVRFRIAAEIATGLLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSVADSVTQFHMTSTAGTFCYIDPEYQQTGMLGTKSDIYSLGILLLQIITAKPPMGLTHHVERAIEKGTFAEILDPAVHDWPLEEALVFAKLSLKCAELRRKDRPDLGRVVLPELNRLRALAEDNMPYMMLGGSAGPSPVHSQASASSFQDSFTETSHSLQSQSDHSSRSRSNPSSFIGRRHLSNIE >OMO53248 pep supercontig:CCACVL1_1.0:contig15263:78494:79694:1 gene:CCACVL1_28779 transcript:OMO53248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTMVAKRPQCHQSNLTTMVKEEGHEIAREDYDKDTDFEEVDEGDEEELGSF >OMO53249 pep supercontig:CCACVL1_1.0:contig15263:89508:90236:-1 gene:CCACVL1_28780 transcript:OMO53249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSGDSRPQRIEKVEVLM >OMO53250 pep supercontig:CCACVL1_1.0:contig15263:108539:119656:1 gene:CCACVL1_28781 transcript:OMO53250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKPNVVKLCILSKEKRNRVKYGNMKTWLNSIGDDSMIIKVAHEAISKSRIHLYNGEQQTCNKLPYVVYWEKPTEGFKVNSDGSFGVKRGAYAGLVIRDTSEHVMRQRQDKLSLKRLYRSKDEKHKA >OMO71788 pep supercontig:CCACVL1_1.0:contig11553:6281:9002:-1 gene:CCACVL1_18071 transcript:OMO71788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEKSPHKSKSFKSVSNVGEENGENSSSQDQPKSDKGDAKLIKEEERETGKVSLQVYKTYCTEAFGWWGVAAVLLLSFSWQGSQMAGDYWLSYETAADRATSFDPTLFISVYAGIAVLSFVLIVFRAIFVTLVGLKTAQIFFGNILRSILHAPMSFFDTTPSGRILSRASNDQTNVDIFIPFIMGITIAMYITLLSIFIITCQYSWPTVFLIIPLVFLNYWYRGYYLSTSRELTRLDSITKAPVIHHFSESIAGVMTIRAFRKQDAFCHENVDRVNSNLRMDFHNNGSNEWLGFRLELIGSVFLCLSTMFMILLPSSIVKPENVGLSLSYGLSLNGTLFWAIYLSCFVENKMVSVERIKQYSNLEPEAAWHIEDCVPPTNWPAHGNVELKDLQVRYRPNTPLVLKGISLSINGGEKIGVVGRTGSGKSTLIQVFFRLVEPTGGKIIIDGIDIRMLGLHDLRSRFGIIPQEPVLFEGTVRSNVDPIGQYSDEEIWKSLERCQLKDAVASKPEKLDSPVVDNGDNWSVGQRQLLCLGRVMLKRSRLLFMDEATASVDSQTDATIQKIIREEFAACTIISIAHRIPTVMDCDRVLVVDAGRAKEFDTPTRLLERPTLFSALVQEYANRSAGL >OMP02882 pep supercontig:CCACVL1_1.0:contig06172:933:1004:-1 gene:CCACVL1_02670 transcript:OMP02882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLSKLPAACPVMDFMDSNAK >OMP03165 pep supercontig:CCACVL1_1.0:contig06133:72884:76572:1 gene:CCACVL1_02528 transcript:OMP03165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAGVQTSKVLILMGAGLTGSIVLRSGRLSEVIAQLQELLKGVDEVEFSSYKYDHSHITAQIKQLAQELKELTMSNPVTILNGNSNSGGIASYLVPAAALGALGYCYMWWKGLSFSDVMFVTKQNMADAVLAVSKQLDNVSEKLNSTRRHLSKRLESLDWKVEEQIETSKLIANNVDEMKSNLSQIGFNVDMIHQMVAGLEEKIDLLESKQDVANSGLWYLCQFAEGVKDGVNTKFLQDVGAIDSAVKFEEKSVKGLQFLAETNESPVSEKPTVNAEENGPTSPVKKVPAMKTKIHRSYPVGISWARDIIGTDT >OMP03169 pep supercontig:CCACVL1_1.0:contig06133:84834:87866:1 gene:CCACVL1_02532 transcript:OMP03169 gene_biotype:protein_coding transcript_biotype:protein_coding description:TATA box binding protein associated factor (TAF) MSIVAKETIEVIAQSIGINNLSSDAALALAPDVEYRMREIMQEAVKCMRHSRRNILTTDDVDGALSLRNVEPVYGFASGGPLQFKRAVGHRDLFYIDDKDVDLKDVIEAPIPKAPLDTTVVCHWLAIEGVQPAIPENAPVEVIAAPPNGKTNDKKDELPVDIKLPVKHVLSRELQLYFDKITELTVRNSDSALFKEALVSLSTDSGLHPLVPYFTFFISDEVSRGLNDYSLLFALMRVVRSLLQNPHIHIEPYLHQLMPSVVTCLVSKKLGNRIADNHWELRDFTAKLVALICKR >OMP03163 pep supercontig:CCACVL1_1.0:contig06133:63722:66561:-1 gene:CCACVL1_02526 transcript:OMP03163 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ribonuclease z, chloroplast MQVSLSISPSKLPSIFPFHHPISPPHQPHKSLTFQTQASPFDSLKTAGYLSTISRAIEEEEEYRKARATVTRKGVEVEGYFIEGLSVGGHETCVIVPELKSAFDIGRCPSRAIQQNFVFITHAHLDHIGGLPMYVASRGLYNLKPPTVFVPPCIKEDVEKLLDIHRTMGQVELNLDLVAIDIGETYEMRNDIVVRPFRTHHVIPSQGYVIYSIRKKLKKQYIHLKGKQIEKLKKSGVEITDTILSPEVAFTGDTTAEYMLDPCNADALRAKILITEATFLDEGYSIDHARQHGHTHLFEIIENSEWIRNKAVLLTHFSSRYHIEDIRQAVSKLQSKVSAKVVPLTEGFRSKYS >OMP03162 pep supercontig:CCACVL1_1.0:contig06133:6533:10750:1 gene:CCACVL1_02525 transcript:OMP03162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPCWRKIKTTCRFQLARFLSSSTSLPPPPLSPPRRVVVTGLGMVTPLGCGVETTWKHLIQGKCGIRAVTPEDLKMNAFDKETQMLTFDHIEGALSVWKEEHRSIARFISYALCAADEALEDAKWLPTEQEQKEKTGVSIGGGTGSISDILDAAQMICEKRLRRLSPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGAHSIGDAMRMVQFGDADVMVAGGTESSIDALSIAGFCRTRALTTKYNSSPVEASRPFDCGRDGFVIGEGSGVMILEELEHAKRRGAKIYAEVRGYGTSGDAYHITQPHTDGRGAILAMTRALKQSGLHPNQVDYVNAHATSTPLGDAIEANAIKSIFTDHATSGALAFSSTKGAVGHLLGAAGAVEAIFTVLAIHHGIAPLTLNLTKPDPIFNDAFIPLTASKEMAVRAALSNSFGFGGTNASLLFASPS >OMP03167 pep supercontig:CCACVL1_1.0:contig06133:79616:80047:1 gene:CCACVL1_02530 transcript:OMP03167 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor 1A (eIF-1A) MPKNKGKGGKNRKRGKNEADDEKRELLFKEDGQEYAQVLRMLGNGRCEAMCIDGIKRLCHIRGKMHKKVWIAAGDIILIGLRDFQDDKGDIILKYMPDEARLLKAYGELPEGTRLNEGIIVDDEDEGAGDDYIEFEDADVDKL >OMP03164 pep supercontig:CCACVL1_1.0:contig06133:68368:72348:-1 gene:CCACVL1_02527 transcript:OMP03164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLTFFLLLISSLFLSSQAHLHHRLQPSETQDESFTSILVSQQGLDFIKDLLINKAISSIIPLQLPATIEKSARIPFLGGVDMVVSNVTIYKIDVMSSYVKPGNSGIVIVASGTTCNLTMNWHYSYNSWLLPIEISDGGSASIEVEGMEVGLTLGLENHEGTLILSLMDCGCYVKDIIIKLDGGASWLYQGMIDAFEEQIISAVENAITSKLKDGIVKLDSFLQSLPKEIPVDDIASLNVSFVENPLLSSSSIEFDINGLFTARKKVPVTKQYRQTWQPSVLCTGQSKMLGISLDEAVFNSASALYYDAEFMEWIVDEVPDQALLNTAGWRFIIPQLYKKYPNDDMNLNVSLSSPPVIRISEHSIGASVYADVVIDVIEADQVIPVACISLEISGSGSVKIVGNNLGGSVKLEDLSMSLKWSKVGNLRMYLIQPVMRTLVQTVVIPYANSHLGKGFPLPIIHDFTLQNAEIIFSSSKVTVCSDVAYSDSDNLNQLRIRSE >OMP03166 pep supercontig:CCACVL1_1.0:contig06133:77474:78409:-1 gene:CCACVL1_02529 transcript:OMP03166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTQSDSLVSDVLHHHGDNRDVTNTKDDKNRGYLADIDDDLESIPKLQKTQKQMNIMQKVEYALALEKVSKQGLGIMAVDDIDRLILDSLLVDIEKEIVSIHEFICDKYRFKFPEPESIVNHLIDYVRVVKKIGNEMDLTLVDLEGLVPSPISMAVTVAALNTSGKPLPEDALQETMDACDRALALDSAKKRVVNFVETRMGCVAPNLCAIVGNPVAAKKKRLAAAGFSSAASSSKVRVGYINGETEMFESLRSRDSRLLALKATLAAGIDSTRSWSAAGIITHLKEEIRNHNKIDKWQRPAAPAPAPAI >OMP03168 pep supercontig:CCACVL1_1.0:contig06133:80591:82285:-1 gene:CCACVL1_02531 transcript:OMP03168 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGLTGELVRSVFSRNRSFGTQDHSNARSNASDKKKWSSVRSYLCGDEFNSVLAEEDSASVKSSEATVTQPIPEGLRDKEEIQSDETKPNLPGEKHNSISKLFHEEDAALVIQSAFRSFLARRQNEEIKAKDDGQEEPPAGTGTPSRESIGTSIEVQTGNSVEVFSVHEESKTVHHRIQQKPKAQILRIKEDWDDSTVSSNISKMRIQNKLEAMTRRERALAYAFSQQLRICSKKKQAKPEEGTPEQNMSWSWLERWMATRVPESSFVENSTSKEFAPVECSRKFVARRRIIDVAGEEKESCGSNEVSVQLDNLSAVTPNESDGYQPPKNRFKATRSISRRKTVPSYQLSKESGKVSKKECARDSVKRKKNKSEQLGCKKEVECNNAFDIVV >OMO63159 pep supercontig:CCACVL1_1.0:contig13018:55166:55542:-1 gene:CCACVL1_22445 transcript:OMO63159 gene_biotype:protein_coding transcript_biotype:protein_coding description:arabinogalactan peptide 23-like protein MDMKKISCAVIVAAASMSAALAAGDAPAAAPGPASDAASALPVIGSVVGASLVSFLAYYLQAQFPVLGFNLFGDSYLLLIYVMTI >OMO63163 pep supercontig:CCACVL1_1.0:contig13018:75915:76118:1 gene:CCACVL1_22449 transcript:OMO63163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVSFSNAMMIAIVAAVLSIATTVSAQDSASAPTPSMDTGAAFSMPVSGIAVTFSLIISLLALMKQ >OMO63156 pep supercontig:CCACVL1_1.0:contig13018:41364:41882:-1 gene:CCACVL1_22442 transcript:OMO63156 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, AN1-type MESHDETGCQAPEGPILCVNNCGFFGSATTMNMCSKCHKAMILKQEQAQLAASSIGSIVNGDSSGNGKEAAVASPWGVPSGKLEFIFPTVTTDIDPSLMTFGGMKTKEGPNRCTTCSKRVGLTGFSCKCGNLFCAAHRYSDKHDCPFDYRTAARDAIAKANPVVRAEKLDKI >OMO63153 pep supercontig:CCACVL1_1.0:contig13018:7739:8104:1 gene:CCACVL1_22439 transcript:OMO63153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQPNKEVGGNKGLSSKLILLKV >OMO63155 pep supercontig:CCACVL1_1.0:contig13018:32177:41033:1 gene:CCACVL1_22441 transcript:OMO63155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGQWGCCCCTKTVTDLK >OMO63158 pep supercontig:CCACVL1_1.0:contig13018:49219:54132:1 gene:CCACVL1_22444 transcript:OMO63158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDNGDGKSKTEDYEVIEQIGRGAFGAAFLVLHKLENKKYVLKKIRLAKQTEKFKRTAHQEMELIAKLNNPYIVEYKDAWVDKGNCICIVTGYCEGGDMADLIKKARGMHFPEEKICKWMTQLLLAVDYLHTKRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLNTEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLINKINRSSISPLPILYSSTLKQIIKSMLRKSPEHRPTAAELLRHPHLQPYVLRCRNASTVYLPIKPTNSPKGKTPRKSSSSKPGSVSDRVVKDAGVSNGQENVHAIRRMSDLNLSSSPSRVKPASTASTEDSLVTKRVDPTSCTIEVSNSISDSKDMSTDSEVSVSNGEKQARFNSTPEKDAEVESTLETAAFNPQHEQGPTLEKTRNLPEADVKSVSRKDEDIFCDVEVVEAALEVLDMPVIDGSGDYSKLTASSISCDDKNAFHDDGSSSSTVYETDVERRCSSNKTSSPHAKTEGDTSYLSSESNGILQCKNEAGTPSDNNNSSSLTEKDGGKQIQLTASDVSLLSRLTALSGDEIRSAWENPSQERADALESLLELCARLLKQDKLDELAGVLRPFGEEVVSSRETAIWLTKSLMSAQKFAEGT >OMO63157 pep supercontig:CCACVL1_1.0:contig13018:43739:46091:1 gene:CCACVL1_22443 transcript:OMO63157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKKSRVSRDEDEEEEVVQEEDNNSSSTEKSLYEILGVEKTASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDEEKRAVYDQTGCVDDADLAGDVVQNLKDYFRAMYKKVTEADIEEFEANYRGSDSEKKDLIDLYKKCKGNMNKLFCSMLCSDPMLDSHRFKDMLDEAIAAGEVKETKAYQKWAKKVSQMKPPTSPLRRRGKSKRQPEADLYALISQRQSERKGRLDSMFSSLVSKYGGSAESEPTEEEFEAAQRRVESRKASNKSKRK >OMO63154 pep supercontig:CCACVL1_1.0:contig13018:19391:22401:-1 gene:CCACVL1_22440 transcript:OMO63154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATACKYPFLLSLTFTFFMFTSASTSEADALLNFKSFIDDPKSSLSSWSNTSGLHHCNWTGITCIPTPILYVSSVNLQSLNLSGQIAASICELPYLSHLSLSDNLFNEPIPLHLSQCSSLETLNLSNNLIWGTIPDQISQFDALKVLDLSKNHIEGKIPETIGSLVHLEVLNLGSNLLSGSVPFVFGNFSELVVLDLSQNAYLVSEIPTDIGKLEKLEHLFLQRSGFVGEIPESFVGLQNLTTLDLSQNNLTGKLPQTLGSSLKNLVSFDISENKLFGSFPTGICDGLGLKFLSLHTNFFNGSIPNSISECLNLEIFQVQNNGFSGDFPDKFWSLPKLMLVRAENNRFSGELPDSISMAAQLEQVQIDNNSFSGKIPQGLGLVKSLYRFSASLNGFSGEIPPNFCDSPVMSIINLSHNTLSGQIPELKKCRKLVSLSLADNSLTGQIPPSLAELPVLTYLDLSKNHLSSSIPQGLQNLKLALFNVSFNKLSGRVPLSLISGLPASFLEGNPGLCGPGLPNSCSDEQAKHHSSGLTTLACALISIAFVIGIVIVAAAVFVFHRYSKRKSQIGTWRSVFFYPLRVTEHDLVMGMNEKSALGSGGPFGRVYTISLPSGELVAVKKLVNFGSQSSKALKAEVKTLGKIRHKNIVKVLGFCHSDESIFLIYEFLQKGSLGDLICRPDFQLQWGVRLRIAIGVAQGLAYLHKNYVPHLLHRNLKSTNILLDADYEPKLTDLALYRIVGETAFQSTMASEFAHSCYNAPEFGYSKKATEEMDVYSFGVVLLELITGRQAEDTESLDSLDIVKWVRRKVNISNGALQVLDPKISTSSQKEMLGALEIAMRCTAVMPEKRPSMFEVVRTLQSLNTSPCFANMELSCTSEDQSPTV >OMO63161 pep supercontig:CCACVL1_1.0:contig13018:62126:64100:-1 gene:CCACVL1_22447 transcript:OMO63161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSSQSSRSGNCLGVERKREVAAQRGYILETASIAVDFVEAEIIKEYARLKNEVKVLDNKIKEGKSGKWVKLLFAPLSFVILSRGSGNIHTWNRDPLPWSTRVQIALDSARVLEYIHEHAVPHYIHHDIKSANILIDRTFHGKVADFGLAKAKLSSKAGSAALISSRLVGTFGYLAPGMLDVVKLHLKWMFMPLGLCSVSLSLQSKIKFHLHTSGEIQPIQIDLHNAL >OMO63160 pep supercontig:CCACVL1_1.0:contig13018:59407:59607:1 gene:CCACVL1_22446 transcript:OMO63160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFGSSSTQVVMAMFVVALFYVSGIMAQNIAPSPAMDTGAGFSLPVSGVVACSSVLVSLIALLLQ >OMO63162 pep supercontig:CCACVL1_1.0:contig13018:70607:70798:1 gene:CCACVL1_22448 transcript:OMO63162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVSMLLKAFLVALVMAFLTAASAQVEAPSPSPDAGAGFSVGVSGAAVAFSLIVSLLAFLKH >OMO80659 pep supercontig:CCACVL1_1.0:contig10298:37003:48706:1 gene:CCACVL1_12828 transcript:OMO80659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSSTSNTGTENDDVSAGTYFRRAFSYFGAINGVRLGGGYS >OMP03367 pep supercontig:CCACVL1_1.0:contig06088:2697:9819:-1 gene:CCACVL1_02454 transcript:OMP03367 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPCR 89-related protein MARLLSSREIEESEIKALERQLMQSIETCVAKKKKIILCQMEMERIQGSDENLKARSFFKRIVGTVVRSVQDDQKEQDIKILDAEVQALEELSKQLFLEIYELRQAKEAAAYSRTWRGHMQNLLGYAGSVYCVYKMIKAGSVDPVTMSLTIFLQFFDIGIDATLLSQYISLLFIGILIAISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFLSSILLIRKSLRTEYRLIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQADKHPID >OMP03368 pep supercontig:CCACVL1_1.0:contig06088:10177:13054:-1 gene:CCACVL1_02455 transcript:OMP03368 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPCR 89-related protein MGWGWAIYEGTVVMGSLVLLGWGGLWFLNRRLYKEYEEKRALVQIIFSIVFAFSCNLLQLVLFEIIPLLSREARVINWKVDLFCLILLLVFMLPYYHCYLMLCNSGVRKERAALGAILFLLAFLYAFWRMGVHFPMPSPDKGFFTMPQLVSRIGVVGVTVMAVLSGFGAVNLPYSYLSLFIRI >OMO56423 pep supercontig:CCACVL1_1.0:contig14525:28529:29368:1 gene:CCACVL1_26566 transcript:OMO56423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MQESKVVLVTGCAKGGIGYEYCKAFSEQNCHVIASDIPQRMNDMLDFDSDQIEAIELDVSSDESVATAVNNVISKYGHIDVLLNNAGIGSTGPLAELSLDAIKKAWEINTLGQLRMVQQVVPHMAARRIGSIVNVGSVVGRVPTPWAGSYCSSKAAVHAMTETLRVELRPFNINVVLVLPGSVRSNFGNSSMEKLGNHDWKLYKEFKDAIAERARASQGSKATDATIFARHVVQKVLSPKPPKHIVFGHMTGLFAALSLSPLWVRDLFFRTRFNLNKKV >OMO56421 pep supercontig:CCACVL1_1.0:contig14525:19625:21212:1 gene:CCACVL1_26564 transcript:OMO56421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MATLPSQNLQSVRLSSLNSPKFPSRIQIHPSKIPISSPIRIKPSTKLCTGNNGISNSRLVGRASASGFSADVADILGDVSIFTAAGEPVLFKDLWDQNQGIAVVALLRHFGCPCCWELAMELKQAKARFDSAGVKLIAIGVGAPNKARILAERLPFPMDCLYADPDRKAYDVLGLYFGIGRTFFNPASIKVFSSTRFEALKKAVENYTIEATPDERSSVLQQGGMFVFKGNELLYARKDEGTGDHAPLDDVFDICCKVPAA >OMO56420 pep supercontig:CCACVL1_1.0:contig14525:11822:15274:-1 gene:CCACVL1_26563 transcript:OMO56420 gene_biotype:protein_coding transcript_biotype:protein_coding description:ion transport protein MASVGVNSTDANSAGVNSADVNSAGSNSVDVNSAGSNLAGSNSAGLHSAGANSDLQSLDALLH >OMO56422 pep supercontig:CCACVL1_1.0:contig14525:21511:25192:1 gene:CCACVL1_26565 transcript:OMO56422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATISSKILLCNPTPKSQFPATHCCPSRFLTPKKINNKPSGLITFTSNGGSRFITRASSSSAAEFTANVGDVLGDVSVFTAAGQPVFFKDLWDQKEGMAVVALLRHFGCFCCWELASDLKQAKPKFDAAGVKLIAVGIGTPDKARILAERLPFPMDSLYADPDSKAYNVLGLYYGLGRTFFNPASAKVFSRLDKVQKAMENYTLEATPDDKSSVLQQVIVRAAKARISDRKIFVGGLPTTITLEEFQEYFGKFGTMIDSVLIYDKVSNNFRGFGFVTYESEEAAKDVLRQRFHNLKDKMVEVKKAKAKQQQENNNNPYMFCGPYGTSFLPPPQFHQPYYVGTICILDPKEWGEQCTCTYRVRESEDPGRR >OMO56419 pep supercontig:CCACVL1_1.0:contig14525:2793:6027:-1 gene:CCACVL1_26562 transcript:OMO56419 gene_biotype:protein_coding transcript_biotype:protein_coding description:Growth-regulating factor 8 MDFGVLGFEGNIVGPDNGAASQTQTQPFDSKSKLAAGSGFTKQERSSVSAEDRWKSSKIPRTDDLSTQKTMPLHQLTPLLRSNSLVSPPDTRQQEHMLSFSSLKSEVPFITKDGVFSYYQHPPSTYSRNAGYGSGSLNASMHGPLSGVRGPFTPSQWIELEHQALIYKYITANVAVPSNLLIPLKKSLYPYGFSSSSAGSLPPNSLGWGSFHLGYSGSTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHINRGRHRSRKPVEGQSGHAASGTGNSKVVPMSAAMSASVITSGGATNSLASAQQHQFKNLQSAAAANPSADTLVNRVQDPRGLSMVSSTTNLKSNNSKFTITKQGIPFVEASQSDFGLVACDSLVNPSHRSPYMNSKEYGPFLDFSDQETQDQNPLRQFMDDWPKDQSSRSVITWSEELKPEWTQLSMSIPIASSEFSSSSSSPAQEKLALSPLRLSREFDPVQMGLGVNGDIGDHHQKQANWIPISWGSSMGGPLGEALTNTTSNGGNCKNSSALNLLPEGWDGSPQLGSSPTGVLHKAPFGSLSNSSSGSSPIAENKKPHDGASLCDEVLRSTLVSSASIPSVIRS >OMO49688 pep supercontig:CCACVL1_1.0:contig16435:1323:3908:-1 gene:CCACVL1_30851 transcript:OMO49688 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MHDLHGNDTIKVCVYFPPKINSAGIWESKTSSPSVILNYSLPVLELHMTLAFFVTQLVHFILNRLGVGIPLLVSQIITGLILGPILMLANVDAFKAIMFPPDFGIEGIDSIAIFGLTLFLFLVGVKMDVKRAFKTVKTAKLIGFLSFVLPLIFGLIVFGIMGDKNQSTELTAERVAITVTESLTSVSVISCLLSELKILNSELGRLALSSALVGDFSSLIVFSAVSLDKNWSKSIGMALLNVGGMILFGIVLVFVLRPFMFWMIRRTPQGKPVEDKYIYIVMLLAFGSVMYLHLLHRSPVFGAFLFGLAVPDGPPLGSALVDKFECFVSGSLVSLYVATTSMRADPMKLFADLAGVQFSVILGVVTFLAKFIGTFIPLFFTKIPLKDSLAFALIMTSKGIVELAGFSNLKDTKVIQDPNYCVLVIGVLLNSTIVPILVKLLYDPISRKYAGYQKRTIMHLKPNSELRILACVHKPENVATLIGFLNTTYPTEESPNVVYVLHLMELIGRDTPMVIAHQKEMISGSSYENFIMAFNQYEQNNCGLVTMNAFTAISPPDMMHEDICTMALDKQTSLILLPFHRKWSIGGSIETENNAIRKLNCSILETCPCSIGILIDREDASTRMLTKSPSRSSCYSVCMIFIGGKDDREALSLAKRMSKDTRLSLTVTRFVSGADSKTNYMLDWDQMLDDKVLKDVKHSQTGEYGEITYMEEIVRDGHHAAEIIRSIVDGYDLFIVGRRYGVQSVQTQGLSEWSEFPELGGIGDLLASTDLQSRASVLVVQQQHYVDVDVDKS >OMO79874 pep supercontig:CCACVL1_1.0:contig10370:46013:49955:-1 gene:CCACVL1_13351 transcript:OMO79874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGQTISKASAARWSAKQ >OMO79871 pep supercontig:CCACVL1_1.0:contig10370:31504:32319:1 gene:CCACVL1_13348 transcript:OMO79871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARREQPHAAATVKLEVGLPGLDLDTFNPVQNIRPSSKNKRRFSDEQIKSLEFMFENDSRPESQIKQQLANELGLQPRQIAIWFQNRRARSKSKQIERDYNILKESYDALASNYESLKRENQSLRIQLEKLKSQNEKEHGNKTCESNRSGNSGDCESQNRSGMLDTDEKITFLFEGHDHMLSTGNTSTSKKVENTDGDRVVLEMMEGTDGSLTSSEKWCSFESNCFLDESSCSSNWWEYW >OMO79875 pep supercontig:CCACVL1_1.0:contig10370:50618:53360:-1 gene:CCACVL1_13352 transcript:OMO79875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cys/Met metabolism, pyridoxal phosphate-dependent enzyme MAEVRTIRGFVYPKKRTAGADEFDDDEVIKAKKSGLPTAWDNSPDAALASARHEFGEHGGVNMSIEASATFTVMEPETMRRMFTGELGPDRDFFIYSRHFNPTVLSLGRQMAALEGTEAAYCTSSGMSAISCSLLQLCSSGDHVVAATSLYGGTHALLGEFLPRACNITTTFVNITDHEAVNNAIVEGKTKVLYFESVANPTLTVANIPELSRIAHDKGVTVVVDNTFAPMVLSPIRLGADLVIHSVSKFISGAADIIAGAVCGHASLVNAMMGLHQGTLMLLGPTMNPKVAFELSERLPHLGLRMKEHCSRAMAYATRMKKMGFKVIYPGLEDHPQHELMKSIGNMEYGYGGLLCIDMETEERANKLMYLLQNYSQFGFMAVSLGYYETLMSCSGSSTSSELSDEEKVLAGISPGLVRMSVGYIGTLEQKWSQLEKALIRMQDKDCDNLFNKN >OMO79872 pep supercontig:CCACVL1_1.0:contig10370:33448:35097:-1 gene:CCACVL1_13349 transcript:OMO79872 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med10 MDSSQASMLGPGGSGGNGIVSSQASDTANATPNDDPKQNLTQVINSIQKTLGLLHQLYLTVSSFNSASQLPLLQRLNSLVTELDNMSKLSEKCNIHVPMEVLNLIDDGKNPDEFTRDVLNSCIAKNQVTKGKTDAVKSFRKHLLEELEQAFPDEVESYREIRASSAAESKRLAQAQSMLPNGDVKVKPEH >OMO79870 pep supercontig:CCACVL1_1.0:contig10370:30684:30758:-1 gene:CCACVL1_13347 transcript:OMO79870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLDHSVRTKPGVKQALTKQPIN >OMO79873 pep supercontig:CCACVL1_1.0:contig10370:43078:44887:1 gene:CCACVL1_13350 transcript:OMO79873 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MALCFWLYPGGPAWGKYWLRNRVSAKKPHKIIPGPKGFPVLGSLNLMVNLAHHKLSAVAKSFGAKRLMAFSMGDTKVIITCNPDVAKEILNSSVFADRPVKESAYSLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQISSTEGQRSEIASQMVSIIACRGGDQFCVRDLLKKASLNNMMCSVFGTKYELGSSNTETEELSQLVEEGYDLLGKLNWSDHLPWLAGFDFQKIRFRCSELVPKVNKFVTKIIQEHKLQSGRKIHDFVDVLLSLNGPDKLSDQDIIAVLWEMIFRGTDTVAVLIEWILARMVLHPEIQSKVQAELDGVVGKSRPLMESDIQSTVYLQAVVKEVLRLHPPGPLLSWARLAITDTTIDGYHVPEGTTAMVNMWAITRDPDVWVEPLKFMPERFVSKDSGDVEFSVLGSDLRLAPFGSGRRTCPGKALGLATVCFWVGSLLHEFQWVQSDDTNSVDLTEKLRLSCEMANPLQVKAHPRRNT >OMO79869 pep supercontig:CCACVL1_1.0:contig10370:5226:6650:-1 gene:CCACVL1_13344 transcript:OMO79869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSSSLITCAQETSPTLQQRLQFIVQSRPEWWVYSIFWQASRDAHGHLVLSWGDGYFRGTTRDFSGKSVNKLIQPKPKRSGKDLPTSLFNEEMDIQMDGGDVTDYEWYYTVSMTRSFAIGDGILGRAFGSGSYVWLSGDEQFQLYECERVRDARTRGIQTLVCLSTSFGVVELGSSEKIEEDWGLVQLSKSIFGPEINNCLGLNSNSKQPQVPISTSPRSVPFLDFGMVNSSADHQKEWNILQQGGEATTKKESTVFVRSSSDSEADSDGNFPSFTDREFNARMKKRGRKPGTGKLESPLNHVEAERQRRERLNHRFYALRSVVPNVSKMDKASLLSDAVAYIKELRSKVDELEGKLQLQSPKYSKLNAFDMQNSSTSTFESASPNYGVRTSMEVDVKIVGSEAMIRVQCPDVNYPAARLMDALRDLELHVHHASISNVKELVLQDVVVRIPPGFISEDVLRTAILQRCRLN >OMO98584 pep supercontig:CCACVL1_1.0:contig07083:25737:25865:1 gene:CCACVL1_04179 transcript:OMO98584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIKATPIGITISALLPEPPPYQQKLSAELNQGNKSTEIKI >OMP02227 pep supercontig:CCACVL1_1.0:contig06294:6836:10167:-1 gene:CCACVL1_02864 transcript:OMP02227 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MPSPFSFFSRKKSTTLPFKEYYDDWLNTLKNTLLPLLRQSLSSPSPTLLPFRRDLLLRHFLSYYDFLDLAASDDVSQILFPSWRNSLEIPFLFLGDVHPYLLTNLLRSLIDVANDEEHAPDLPKASVLENLESTPWQVLTAWVNPSATLMLSIEQIECGLRLMVPALVSRMKKVQAGFVGRVAEKWVACDGKKIGMEESVKVEMEEMLGVFLDANRLRKSVITDIVNATDVYQGALFLDGLAQFLVGFKDPELLGSCQDFRFSDGSNSIHFSNWFEIWNSSSSGQQKRFISDYQFSSTSSQRILNTESTSNPTTTEDCNNRWIHIRNLPSRFNLDLLSNCSEYPIFDDFCPYLANHGLGQKTHPKSRSWYRTDSLLLELIFHRRILEYPCLTNDPNVANAIYLPYYAAIDSLRYLYGPDVNSSFLHGLELFDFLQSDEPGIWKRNMGHDHFLVMARPAWDFSQPLSNDPPIWGTSFLELPEFYNVTALVPEGRAWPWQEQAVPYPTSFHPHNLAFFEAWIQRVKRSRRTNLMLFAGGGGIGATPNIRRSIRNECENSSISSNNNSNSSNFNILEKNGMYSKICDVVDCSNGICEHDPIRYMRPMLQATFCLQPPGDTPTRRSTFDAIIAGCIPVFFEEMTAKLQYGWHLPEEKYAEFSVFIPKEEVVFKGLKILDVLMGIPRSEVRRMRESVMELIPRVMYRRHGSSLGLRTKKDAFDIAIDGTLQRIKDRLANILDR >OMP02226 pep supercontig:CCACVL1_1.0:contig06294:1602:6224:1 gene:CCACVL1_02863 transcript:OMP02226 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-ketoacyl-acyl carrier protein synthase III (FabH) MANASGFFTPSIPSLRRKIQPSIGVSRSGFSFSEGICRRVVCSSGIQGAEKNVSPSESRLPKLVSKGCKLIGCGSAVPTLSVSNDDLAKMVDTSDEWISVRTGIRNRRVISGKESLKYLATEAARKALEMADVDPNDLDLILMCTSTPEDLFGAAPQIQRELGCTASTLAYDITAACSGFVLGLISAACHIRGAGFQNILVIGADGLSRFVDWNDRGTCILFGDAAGAVVVQACDAEEDGLLSFDVHSDGEGGRHLGASIKDSNMDDVLGSNGSALEFPPKRSSYSCIHMNGKEVFRFAVRCVPQSIESALEKAGLTASNVDWLLLHQANQRIIDAVATRLEFPQEQVISNLANYGNTSAASIPLALDEAVRSGKVKPGHTIAAAGFGAGLTWGSAIIRWG >OMP01498 pep supercontig:CCACVL1_1.0:contig06430:787:5786:1 gene:CCACVL1_03085 transcript:OMP01498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIALKCPDIQVAVVDISVSRINAWNSDALPIYKPGLDDAEMANVM >OMP01499 pep supercontig:CCACVL1_1.0:contig06430:8210:8359:-1 gene:CCACVL1_03086 transcript:OMP01499 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycosyl transferase MEGEDGVAGAVNAFYKHFPGKKAKEEPKPTPAHHSGIFSIRQCIGHGLV >OMO86748 pep supercontig:CCACVL1_1.0:contig09425:138:3015:-1 gene:CCACVL1_09479 transcript:OMO86748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLGAAKKILGMEIWRDRKTSLFALPSSDDEVKCMSRIPYSSAVGSLMYVMVCTRLDLAHDVSVNVCLVYGSDGNSGLIGYVDSDYAGGLIKRRSLTCYISTLYGCATSWKATLKAIVALSTTEAEYMSLTEGIKEGMWLYGLVDSLGLNVSKPVTNFDSQSALSLAKNPVYHERSKHIDVRLNLIRDALDDKVTTIEKIHTADNPADMLTKPLTTDKFKHSLDLEVLGKQTVEEAEIHGKILKYSKDMGTCEKNQTNNLKKLVVMKLRNDGYEASLCKTSWVCTSTHQKVFQFPGGYEYIDVMVEENGRSAKRVIVDMEFRSQFEVARPTLSYKEMINNLPLIFVGTEEKLKRIIPLLCSAAKSSNRMASMFLPGEGLLICTPNGSPKTAKRFQFHHKTLT >OMO86749 pep supercontig:CCACVL1_1.0:contig09425:5607:5783:-1 gene:CCACVL1_09480 transcript:OMO86749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VASLNNEDQANNVATCLRQYWNKSNDSYQGLALVHRLSMWWSKIKLVGYQKTRVREED >OMO69626 pep supercontig:CCACVL1_1.0:contig12008:36038:39099:-1 gene:CCACVL1_19371 transcript:OMO69626 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MEGERGVGNYELQVSFSSTPQAIHEMGFVQFDQENQAAVMSFLAPAAAAAQPPSHQISQPLNTSSISNTTNSTAMGFSHNDQVGTLDPKAVNDENCASNANDGNNSWWRSSASDKNKVKVRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMDMSILQKKPDDLKPFVVKVN >OMO69629 pep supercontig:CCACVL1_1.0:contig12008:57234:60162:-1 gene:CCACVL1_19374 transcript:OMO69629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPCLHFSKNYCPGFQFHQPNTPNFTSTLSITSKFPKPKSATRRLVTQCQNRPSNGQNRTNPKGRVTVKGNKENIWSVDNEKAKESSEREKERGKRRRRKGKRVVRSRKNKMGRVLVSGAMLMEVETVLQTQEPVIKPFWSTFASSVSGIWKGVGAVFSPITAEMEPIEIGSRDENLYDCYTLTRIQQVPSLDGKASEIHRKINWVTLNPHGEFPEHIGVNTEKKEATLSLKEDVLPKFESFDLATSDVMEEDVMDNEPGLVFFEDGSYSRGPLDIPVGDVDDSKYYLSPTFKFEQCLVKGCHKRLRIVHTIEFGNGGSEVQIMRVAVYEEQWVSPAHIRDESDLDFDLKPFSQRKRTKPSELTGPWKVFEISAIPIYGDETVDEESNGTPYVYLCTETLKKRSLPESSVYFGEEEMLDMQDVTVLWLPGGVTGYVDVNKDGILTIGVGWYSEEGINLVMERDYDIDGKLKEVRMKTEVKRRWPDPLPL >OMO69627 pep supercontig:CCACVL1_1.0:contig12008:45227:47352:-1 gene:CCACVL1_19372 transcript:OMO69627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKNVIGFHLIFFLLLLCLGDAGNNSISCPVAACSENGPLIRFPFWLKGRQPENCGYPGFGLSCSNKNETMIEISNSGLLLVNHIDYNFQVIYVSDPEGCMARRLINVTGDFFKILLCENLTLLNCSSSINEAPYLWPIHCLSTSTSYVYAAYASIPPSPVILSSCARGFIANIEASYTYDCGSYSYDPGLPADFSDFFNSFSWVVPGCDNCDFEGGKCRFKSNSSRELECDEGFIESPSPSTPTYDSQPIFEGNSPNTNMRGVLIGIPLVLLIFPTATLLILLYLRSRRAKAEEIRKRINKFLEDRKSLTPTRYSFSDLQKMTNEFKKKLGQGGYGSVFKGELENGVAVAVKLLDNSKGGNGQDFTNEVSTIGRIHHVNVVRLLGYCADGSERALVYEFMQNRSLDKFIFSVNNSKRPKLSWGKLQDIAIGIARGIEYLHLGCDQRILHFDIKPQNILLDSDFNPKISDFGLAKLCPKKESVVPITAVRGTMGYIAPEVYFSGNIGNVSYKTDVYSFGMLLFEMVGGRKNKDPTVENTSQVYFPQWVHNRLADGEDLGIKEEKEGDAEIAKKLSVVALWCVQWDPTNRPSISAVIQMLEARTGSLPLPPDPFASLGPDPEESDMNVQ >OMO69623 pep supercontig:CCACVL1_1.0:contig12008:10359:19131:-1 gene:CCACVL1_19368 transcript:OMO69623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFLQLYGYDLLLGSIAAFYVLMVPYTKVEESFNMQAMHDILYHRHHLDNYDHLEFPGVVPRTFIGALLVSILASPIVSVMQLLHLPKIYSLIIVRLALGCIILSTLQFLRIQVRNKFGHQVEAFFVILTAIQFHLLFYCTRALPNILAMGVVNLAYGYWMKGSFYTALNYLVFATIIFRCDIVLLLGPIGLELLLTKAISLWKAFKCCILISFLSIGLTILVDSIMWKRFLWPEFEVFWFNSVLNRSSEWGTHSIHWYFTSALPRSLLAAYPLCLLGVLLERRLLPFVLPVVSFVVLYSKLPHKELRFIISSVPIFNLSAAVAASRIYNNRKKSFWKLLNLIVLGLLLFSLGCTMITFMASYENYPSGHALKELHQIGHLGNRTNELWVHIDSFSAMNGISLFCEDEFLWRYSKEEGITLEEFGQRNFTYLINEHSTVDGYKCLFNVNGFSRIRIQPGFPPVFLPSSLLNHRVSLSSTTSYSRQLPCQVPQFIPSKTLTYQKLPITCSISQIHSYGTVDYERRPMIKWNGVYRKISLMEDPELGSATVLNDLEKEGRQLTGWELRRVVKELRKYKRYKLALGVYEWMNNREERFRLSGSDVAIQLDLIAKVRGVSSAEEFFLQLPDTLKDDKRIYGALLNAYVRAKMRDKAESLIDNMRNKGYVMQALSYNVMMTLYMNLKEYDKVESMVSEMMEKNIRLDIYSYNIWLSSCGSQGSVEKLEHVYEQMKEDRSINPNWTSFSTMATMYIKMGLLEKAEECLRNVESRITGRDRMPYHYLISLYASAGNKEEVYRLWKVYKSSFPSITNLGYHAMISALIKAGDVEGAENIYEEWLSVKTYHDPRVTNLLIVSYVKEGNFDKAESLFNQISEGGGKPNVSSWEILAEGHLQEKRMEEALSCLKKAFATKGSGGWKPKPIFVSTFFNLCEEKADTASREALVGLLRESGCLRNESYASRVGLAEEAVSEDRKSGYSSSDENQDDESGVLLNQLQETV >OMO69628 pep supercontig:CCACVL1_1.0:contig12008:48046:53087:1 gene:CCACVL1_19373 transcript:OMO69628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MPSGPNLLIIIISLLFLPVLCNSSKLLSCSSSCGNVSIEYPFRLTTDPEHCGHVLYELACEHNRTVLKIDSGSFYVESISYNQLTLAAVDPGLKKNDCSSLPRYSWTRDNLSSWHGHYDRTPYSLSLETEDTMIFLNCSMPMDPLKYLDASASPCIANSSLPRTKQLYIFSGILNVSSFQDLSSCNFLSMTPAVFQTIGNHTYSDIYKKLTLGYELSWSRYGCEICDYNGGYCSCDDYDPYNYGGDSNCSCQTYLEFEDPCKWPLANVHYGIRLSSSSPLIHSLRFSAPSFFTSFAFTSSSPQYSVPNNQNLDKITYPLSSSYSAALASLAFTPRNQSLSFKVHATIDKTEQPKWWERNAGPNMIDIHSTQEFLSALSQAGDRLVIVEFYGTWCASCRALFPKLCKTAEEHPEITFLKVNFDENKPMCKSLNVKVLPYFHFYRGAEGQLESFSCSLAKFQKIKDAIEMHNTARCSIGPPKGVGDLNLGSVSAPIDKPTGST >OMO69631 pep supercontig:CCACVL1_1.0:contig12008:68865:72828:-1 gene:CCACVL1_19376 transcript:OMO69631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase MAAEEESGEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPAALKECRRIISESEIMKEDDKLWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQRRNKEAIRHSTKKEKMEQTFIMIKPDGVQRGLVGEIICRFEKKGFYLKGLKFITVDQSFAEKHYADLSAKPFFAGLVEYIISGPVVAMIWEGKGVVATGRTIIGATNPAASAPGTIRGDFAIDIGRNVIHGSDSVESARKEIALWFPEGPVNWQNSLHPWIYE >OMO69622 pep supercontig:CCACVL1_1.0:contig12008:107:6594:-1 gene:CCACVL1_19367 transcript:OMO69622 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-like protein MAELENPNLMSNLITFLSSLLQKVAESNDVNCRFQPQKISVFHGLTRPTISIQDYLDRIYKYANCSPSCFIVAYVYLDRFSQRQPSLPINSFNVHRMLITSVMVAAKFMDDMYYNNAYYAKVGGISTTEMNFLEVDFLFGLGFHLNVTPNTFHTYYSYLQREMMLQPPLNIAESSLSLGSAKHQEIGEGWLYYQEVYQDSLPFSCMHNKGGQEKGGRLRERCKAEKAREKTLSDQFEAKHAKNKASRERKRSEGRCSLLRFSMMYSLNIRQSQSLKLTGISIMKENNLSYPKDNMGLMEGVVLRSNLFSLLISLQQGLRKSYRCHYPPLFTQPLDRQEQSLKILLPPLMLQHLCFLDSSLLLLSLYWRS >OMO69624 pep supercontig:CCACVL1_1.0:contig12008:21284:24151:1 gene:CCACVL1_19369 transcript:OMO69624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPIAPLLQRPKLSDDDPMDNRNKFSDLPEPIIHHIFSFLETIDVIRASAVATNWRFLWTRMPHLSFNGGGSWLEPSRQELTDVTVYERYKDLINWVLMTHDKSVSIQSFRLVCRNNDDDHSVYRWINILAQKHVRELHLQVTSQTETPFTLPRYLIASDSLEILGLELHQSVLTIPSHVGFSRLKSLKLMDTKLLDQVLFQNFISSCPLLEALSLRGCLFHDFKVLDISLRNLRKLVIDNGYCGDPFEEGLRECDLKIACPNLVQFTLWGPLAKNISWDKNPYSLQAAMIFSYSWEWDELDDVSLHEEFADHMLKILRGVCHAEVLTLQMSILEYIHPAVARPECFTTFYNLKELVLSILMLEGHLQSLIYLMKCAPNLQCLSVFIEEYESACDYILEIPDEAIECLTCHLKRVKLIDIGYYDDHDLELIRFFLKNGHVLEEMSIIWLKHLKQEFRRETTQEVMRFPRSSSNVTVTFSEPTQFGWNLSENYPKQI >OMO69630 pep supercontig:CCACVL1_1.0:contig12008:64626:67982:1 gene:CCACVL1_19375 transcript:OMO69630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Engulfment/cell motility, ELMO MDDRGGSFVAVRRISQGLERGNTCHSTSAEVVAGSAAWLGRGLSCVCAQRRESDARPSFDLTPAQEDCLQRLQNRIDIAYDSSLPEHQEALRALWNAAFPKEELRSLISDQWKEMGWQGKDPSTDFRGGGFISLENLLFFARNFPKSFQDLLWKQEGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRTLVGATFLKFLAENESAFDLLYCITFKLMDHQWLAMRASYMDFNTVMKATRRQLERELLLEDITRLEELPSYSLLSR >OMO69625 pep supercontig:CCACVL1_1.0:contig12008:24918:30966:1 gene:CCACVL1_19370 transcript:OMO69625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPITQRPKLSDDDVDAVAMDNRNKFSGLPDPVFHHILSSLETIDVIRASAVAKKWRHMWTSMPHLSFDYEADWLDPLRKEFSFTRVSEKYKDLINWVLLAHDKSVSIQSFRLSCLNNHDDHSVYRWINILTQKHVRELHLKVTSHTDKPCPFVLPRYLLVSDSLEVLGLDLQHSVLKIPSHVGFSRLKSLKLEHTQLLDQDFFHNFISSCPLLEILSLEGCLFQDFKVLDISCSNLKKLVFDNVGWGEPFDQGLSKCELKIACPNLVQFALFGALPQHLSWGKNPSFLKVASIFAFWGERNESDDVVLNEELVNYVFKILRELLAEPSKCNYISEIPDEAITCLTCHLKRVKLIDLQDDELEIVRFFLKNGHVLEKMSIIWYGGVQPKTQRAAIQKVMRFPRASSYVSVTFSEPKQVGYEELGMGGWLKPSRASFYERYKDLINWVLLTHDKSVSIQSFRLVCVNNDDDHSIYRWINTLAQKHVRELHLEVTSLTKTPFDLPRCLIASDSLEVLGLDLNQSVLKIPSHVAFSRLKSLKLVNANLWDQILFQNFISRCPLLETLILQGCLFRDFEVLDISLRNLRKLVIDNGCEGLFDQGLWECDLKIACPNLVQFELLGPLAKNIFWDKDPSFLQAAIIFAVSWEWNGSDDEVLHEEFADHMLKILRGVCHAEVLKLHMCILQHIYPAVGKPECFTTFYNLKALVSSIRLLKYHLQSLIYLMKCAPNLQCLSVLIDDEESARGSILEIPDEAIECLTCHLKRVKLIDIGCNNDELELIRFFLKNGHVLEEMSIIWLKHLEQESKRETIQEVMRFPRSSSCVTVTFSEPRQSGWKELGIFK >OMO69632 pep supercontig:CCACVL1_1.0:contig12008:73841:74513:-1 gene:CCACVL1_19377 transcript:OMO69632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MAAVAAFLILLLATPAAYAVDYTVGDSSGWTTGEDYSTWVQGKTFTVGDTLLFTYGGTHSVDEVSKSDYDNCNTGNSIKSYNGGDTKITLSSPGAMYFICPTFGHCGQGMKLAINVVASSDNSPTTPSPPSGSTTPSGTPPTGSSGTPSPPSGGNGAASIGNNGLMLGFSLVLGAILAIIS >OMP11695 pep supercontig:CCACVL1_1.0:contig01022:2607:3410:-1 gene:CCACVL1_00334 transcript:OMP11695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat 4 MGLLILFLLTCAALPLASSDPNDEACLTHLSESLKDPLKNLQNWTKSTFANPCSGFTSYLPGATCNNGRIYKLSLTNLSLQGSISPFLSNCTNLQSLDLSSNSISGTIPTDLQYLVNLAVLNLSSNRLEGEIPPQLTLCAYLNVIDLHDNLLTGQIPQELGLLARLSAFDVSYNKLSGPIPAYLGNRSGNLPKFNATSFIGNKDLYGYPLPPMKSKGLSILAIVGIGLGSGLASLVLSFTGVCIWLKITEQKMANEEGKISQLMPDY >OMP11696 pep supercontig:CCACVL1_1.0:contig01022:13221:16534:-1 gene:CCACVL1_00335 transcript:OMP11696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMSSNDDNNNNNNNTNWLGFSLSPQMKMEVTNHQTQSSSSSVAVAAAAAGSVTTAIPSSSFFQSPSSSHHLNYGLYYGVEGTEYSNFPVMPLKSDGSLCLMEALGRSQPQAMVPTTSTPKLEDFFGVATMGTHHYESSDREAMALSLDSIYYHQQNAQQANNNQNSQQQIHQVQQYQQYYSGFRNQDMLLGEETRQTHVPTMADDDVASASTGLKTWVSRNYPTTEHAMHQKMMGCCMGDNNGAAESGSVGAMGYGDLQSLSLSMSPGSQSSCVTNSHQISPPVNEYVAMETKKRGPEKVDQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQKELEGMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDITRYDVERIMASNTLLAGELARRNKDIGPGNEAISHNLLSESSNGAETNITPKNNIENQPDWKMVLYQSSQQLDQQKQSSMIENYKAQTFSLAPENNVVGIDTMNSGQRDLDDSSKMGTHHFSNASSLVTSLSSSREESPDRSSLPIPFAMPPPGSKLFTTANSAVNSWIPSAQLRPALSMPHMPVFAAWTDA >OMP07221 pep supercontig:CCACVL1_1.0:contig04580:1:312:1 gene:CCACVL1_01345 transcript:OMP07221 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14b/L23e MCIRVIGASNRRYAHIGDVIVAVIKEAVPNTPLERSEVIRAVIVRTCKELKRDNGMIIRYDDNAAVVIDQEGNPKGTRIFGAIARELRQLNFTKIVSLAPEVL >OMP03681 pep supercontig:CCACVL1_1.0:contig06008:5501:6529:-1 gene:CCACVL1_02314 transcript:OMP03681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNEIYSNAKEVTNLSTKLGKSLIKLTPGSLLQHSNLNHINCSFFFLLSTRNKTFKQNSTETAKPTILLSDLPLEILAQILSRAASNSAEDYGNAVLSCKRAWKASTCFMIFKEVSLANIGPVPRRNVEVELVQRCAEQGNIDALFRLGLATFFQSRLCHNDAIDMLRKSYDGGHLAAGYMLRLILVICCGPDRMEEGLGLLSGFKVFLGSNLRSQVWKCRATAAGVLTNLSALFPNWAPCEEIDRQLCKNCRTNHEIIITRGVVSSPLPNTWLRPDFHDYEHPHLWQLHVRVPDPLDWAFCHRCFWITEAKFFFTYVRDRCGLDDLPFFTRLQDEFKCFW >OMP03680 pep supercontig:CCACVL1_1.0:contig06008:784:1297:-1 gene:CCACVL1_02313 transcript:OMP03680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAQPKLKFAKILVRADLLPGAWLNPGQNFLESCGLDTTQDCSFRLKGRRRSFAVGLERRGNTLQFSHGWNVFARESCLKKGDKCSLRFLGRDSQGVVKILVKRTPKHMLPSTENAAPVVVLREGAWKEAGKEATS >OMP03535 pep supercontig:CCACVL1_1.0:contig06048:4241:4393:1 gene:CCACVL1_02380 transcript:OMP03535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRCSEKPALRHPNNGLMTKDHTSLRIAKVPPPGCPHTGHRLALPSPA >OMP03534 pep supercontig:CCACVL1_1.0:contig06048:1906:3648:-1 gene:CCACVL1_02379 transcript:OMP03534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRGVRPDKHTLPRVLTASRLCFNLAFGKQVHAHAFKLGLSSDLYIITALMEMYGRLDSVDVAKWVLDNAPSTNSVAWTILSKLYLMDNKPHLAIEIFNQMLPLKADIDPVGLATAIGAFSHLKSLKQAKKLHQIAKECGLESHILVGNSLLKMYVGCDSIEEAQSVFEAMPSKDVISWTQMIHGHVKKGGYNEGLKLFRRMISAGIKPDCFTISSILPSCGRISAHKQGKEIHAYLLRNGIDMNLTVQNAVMDMYVKSGFIELASNMFMCMMEKDIVSWTIMMYGYSLHGQGGRGLDLFFEMEKDSSLGTDEFTYTALLHACVTACRVDVGTHYFNCIRAPTVTHCALMVALLARAGLFNEAHKFMEEHQIKNDAEVLRALLDGCRIHQQVKIGKQVVEQLCELEPLNADNYVLLSNLYADCAKWDMVDNLKATIRDMGLKSKRAYSWIEFQNKVHVFGTGDVSHPRSEMIYWELQRLLKKMEDEGHGRPSSVFSLHDVDEERECSPIGHSEMLAISFGLISTQGRETIRVTKNSRICRGCHDTAKAITKIVGREIIIKDPNCFHHFRDGFCSCGDLW >OMP06703 pep supercontig:CCACVL1_1.0:contig04838:4187:7025:-1 gene:CCACVL1_01464 transcript:OMP06703 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-2 MGLFSGKGNLGPGRHRAFAVTSENRASDLILRFFECCQTYKDFRKSQGPALDKLKEPILSEITSALVKRYELNFTRQDMSSLWFLCKQESSLLDITDQACSLFSPSEVALLEWTDDLEVFIVKGYGKSLNYRMGVPLLRDVVQSMEQAIKAKEDNQALGSYEKARLRFAHAETVVPFSCLLGLFLEGSDFQRIQKEEPLDFPPKPPKKRNWRGSTVAPFAGNNMLVLYSCPANSSSNYFVQVLHNEHPIPMPGCDGSDFCPFEVFKEKIVHPHLKHDYNTLCNVNLDQPKQKPQPSKLSQLFRWLFWPGTDDTPSHRVDL >OMP09679 pep supercontig:CCACVL1_1.0:contig03088:865:2191:1 gene:CCACVL1_01052 transcript:OMP09679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMAASSCMRDMFHFARISRLHILECVMNTALSAIKKVLVLFHRLQPLVAAMGWDLLSGKTMLRQNLMQLLWTSKSQVWLEESSLYENRSDEVFPISLKFGSANFGCGGSTRFPMTNFDELDPFCAIAIRSYNEKNNSKYEFLKVEKADGEGITYFVMYYHITFLACENAITRTFQTKACMDYRNRSIIEIEFCDLLPKMSVSLEKQGGFGDPDPLRFDCPGNIPMACSVRTGGDKNHGVNVILDIKDVR >OMO79116 pep supercontig:CCACVL1_1.0:contig10487:38463:39884:1 gene:CCACVL1_13907 transcript:OMO79116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKSNVKRGLWTAEEDARILAYVSNHGIGNWTLVPKKAGLNRCGKSCRLRWTNYLRPDLKHESFTPQEEQVIINLHELIGSRWSLIAKHLPGRTDNDVKNYWNTKLRKKLSKMGIDPITHKPISQVLSDYGNINNLPRTENFSNSFGKNFRNKSIPNRNIQNNCMVQKPMMEQVQDTNKHVPWDISSQFQAVISIQPNMLSTDQVTSSCSSSSASTTAFKASSESQITPTSSSPFSWSEFLLTDPLLHETSPSHQGQEQKFPPMSSPRTSSTMKHKEESHCRANGEVEAFGAYDDSSASSFVDAILVRDSEIRSQFPEFLHVSFDD >OMO79114 pep supercontig:CCACVL1_1.0:contig10487:1336:2151:1 gene:CCACVL1_13905 transcript:OMO79114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQSPKNVKLETFPITNSLKELCLSSNSVSISGSDSSVSSSNTGSSSSFQDISFNKSSVSISLCSSISESGNKVSVSGNADSVTTDCEGSEKGSLDANECCSFRISCPSKPHRGNDIRWDAIQHIKGKDGDLGLAHFRLLKKLGCGDIGSVYLAELRGMGCLFAMKVMDKGMLAGRKKLMRAQTEREILGLLDHPFLPTLYSHFETEKFSCLLMEFCSGGDLHILRQRQPGKHFLEPAARLVSNLLRSNMFYTRLLVGLSLVLRLASLCS >OMO79115 pep supercontig:CCACVL1_1.0:contig10487:31794:37494:1 gene:CCACVL1_13906 transcript:OMO79115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MDGTLVRGRSSFPYFALVAFEVGGILRLLFLLLTSPIAGILYYFISESAGIRVLVFATFVGMKVSDIESVARAVLPKFYSVDLHPETWRVFSSCGKRCVLTANPRVMVEPFLKDYLGADLVIGTEIHVIGGRASGLVETPGILVGKNKADALDKAFKDDPTVPDIALGDRKTDFPFMKLCKEKYVVPKKPEVKAVSLDKLPKPVIFHDGRLVQKPTPLMALIIVLWIPVGFLLACLRIAAGTLLPMPLVYYAFWALGVRVYIKGTPPPPAKKSIGQSGVLFICSHRTLLDPIFLSTALGRPIPAVTYSLSRLSEFISPIRTVRLSRDRATDASMIKKLLEEGDLVICPEGTTCREPFLLRFSALFAELTDELVPVAMANRMSMFHGTTARGWKGMDPFYFFMNPSPAYEVTFLNKLPYELSCSAGKSSHEVANYIQRMIASSLSYECTSFTRKDKYRALAGNDGTVVEKPKLSPNKIEANQGVFLMDSRCFLGFFLLIFLHLCLVSAEINGSVIKMKRGTSSVPFDPTRVTQLSWHPRAFIYKGFLSSDECDHLITLAKDKLEKSMVADNESGQSIESEVRTSSGMFLQKAQDEVVADIEARISAWTFLPVENGESMQILHYEHGQKYEPHFDYFHDKANQELGGHRIATVLMYLSDVESGGETVFPNSEGKLAQPKDDSWSDCAKNGYAVKPRKGDALLFFSLHPDATTDANSLHGSCPVIKGEKWSATKWIHVRSFDKLERRSANGDCVDENENCAMWAKAGECEKNSAYMVGSEESFGYCRKSCNACSS >OMP11572 pep supercontig:CCACVL1_1.0:contig01143:4729:8394:-1 gene:CCACVL1_00435 transcript:OMP11572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKSGEEGGSVNTPKKTDEQEGSGNSKMKGTGGISSKDMIFRADKIDLKSLDVQLEKHLSRVFSRSIENQRPKEEWEIDLAKLDLRHVIAHGTYGTVYRATYDSQDVAVKLLDWGEDGIATTAETAALRASFRQEVAVWHKLDHPNVTKFVGASMGTSNLKIPSKNPSGDGQSTLPSRACCVVVEYLPCGTLKQYLIRNRRKKLAYKVVVQLALDLSRGLSYLHSRKIVHRDVKTENMLLDAHRNLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRRCDVYSFGICLWEMYCCDMPYPDLSFADVSSAVVRQNLRPEIPRCCPSSLANIMRKCWDANPEKRPEMDEVVRMLEAVDTSKGGGMIPEDHTPACFCFTPARGP >OMP11571 pep supercontig:CCACVL1_1.0:contig01143:1073:4275:-1 gene:CCACVL1_00434 transcript:OMP11571 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homocysteine S-methyltransferase MSNGSIETTSKQGGSDLAELAGSGLKKLNVTPPPFPLGKKILEAGLEPSLFVSDFLAKCGGYGVVDGGFATELERHGQDLNDPLWSAKCLISSPHLVRR >OMO51084 pep supercontig:CCACVL1_1.0:contig15979:29733:29885:1 gene:CCACVL1_30019 transcript:OMO51084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLLGVEEEGQSNISSPSVDNSDVLSLPEENKSNLNLYKAELRSVLPV >OMO51082 pep supercontig:CCACVL1_1.0:contig15979:9597:24641:1 gene:CCACVL1_30017 transcript:OMO51082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAIATISKGTTSALKEMNVHITTILNSTYPMIKSMIYIRCGVEYKVDNTSYKNFESRVGS >OMO51081 pep supercontig:CCACVL1_1.0:contig15979:831:2689:1 gene:CCACVL1_30016 transcript:OMO51081 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MYKVANASEYLVITGVGIPDIKLAKKAWVLPGQSCTVFDVSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDDEASLLKYAKLISPHDKLSNHVKELVQGVIEGETRVLAASMTMEEIFKGTKDFKHEVFEKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKVDVAEAKMKGEIGAKLREGQTLQNAAKIDAETRIVSTQRQGEGKKEEIRVKTEVKVYENQREAELAEANADLAKKKAGWTKEAQVAEVEATKAVALREAELQREVERMNALTRTEKLKAEFLSQASVEYDTKVQEANWELYQKQKQAEAVLYEKEKEAAAQKALADATFYGRQRVADVELYAKQKEAEGLMALAQAQGVYLKTLLDALGGNYAALRDYLMINGGMFQEIAKINAEAVRGLQPRISIWTNGGSEATDGNGSNAMKEVAGVYRMLPPLFKTVHEQTGMLPPPWMGTLSTESKHPTTD >OMO51083 pep supercontig:CCACVL1_1.0:contig15979:28605:28721:-1 gene:CCACVL1_30018 transcript:OMO51083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTNGEEREDRKELEIEKEKEKKKFIQNMVMDAWVVR >OMO51085 pep supercontig:CCACVL1_1.0:contig15979:32143:33936:1 gene:CCACVL1_30020 transcript:OMO51085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase, NAD-dependent MVGSIETLHRSLYSNGSVHNCNGLEEKLDELRRLLGKAEGDPLRIVSVGAGAWGSVFAALLQDSYGQFREKIQIRIWRRPGKAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLFADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTDTREVFEEISNYWKERITVPIIISLSKGIEAALEPVPHIITPTQMIMRATGVPIENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGA >OMO99928 pep supercontig:CCACVL1_1.0:contig06770:2660:2731:-1 gene:CCACVL1_03548 transcript:OMO99928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIWINLEQGLIRDAMGRKEQGPN >OMP10675 pep supercontig:CCACVL1_1.0:contig02051:821:1036:-1 gene:CCACVL1_00824 transcript:OMP10675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-like endoglucanase ISRCGKLISIRASNGRDVLAKVVGECNSRRGCDITNGFQPPCGNNVIAASPAVFRLLRLQGGGNTTVTVSWK >OMO93813 pep supercontig:CCACVL1_1.0:contig08056:3847:5607:1 gene:CCACVL1_06338 transcript:OMO93813 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein (NAP) MFSNEAKASGGNGSDLPNQPILVGSVLSGGSRYNVVNGVGEEAEGIINGVDEKLKESSMGSVGVGEKAEENPEEEPAAMKQKEDKDSEEKGVPEFWLTAMKKKNNKLGETGLKLVVWVFEVCSGRFGFLVVDFDSSKFNVFYAEIFEDPRRKYQVASRKKTRKLQVPQVDERKEVVENKQ >OMO53277 pep supercontig:CCACVL1_1.0:contig15255:13298:13465:-1 gene:CCACVL1_28754 transcript:OMO53277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIPFPSECSWTDSSTGSYDSGESTNNIAQGMHQPLSMAERKNRTTGRDLYRRL >OMO53276 pep supercontig:CCACVL1_1.0:contig15255:4709:5608:-1 gene:CCACVL1_28753 transcript:OMO53276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKTKALPIFLSLLIVLALIEVSHAGGIAIYWGQAGSETTLNVTCNSGLYKYVNLAFLNKFGSGRTPALNLAGHCNPANGGCRVASSAIKNCQSKGIKVMLSIGGGIGQYSLASKADAKKVADYLYNNFLGGKSASRPLGSAVLDGIDFDIELGSTKHWDDLARYLAAYSKPGRKVYLSAAPQCPFPDRFLGTALSTGLFDFVWVQFYNNGPCQYSPGNTSKILASWNRWASMKWMKKLFLGLPAAKAAAGSGYIPPGVLMNQILPRIKNSPKYGGVMLWNRYYDRVNGYSAAIKSKV >OMO71599 pep supercontig:CCACVL1_1.0:contig11592:3368:12515:-1 gene:CCACVL1_18140 transcript:OMO71599 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class IV MNGTRFVFSNGVVLGSAEAPPVATFLESLPGAYTTTRTHENGTTLLFWERHLKRLANSTRILLNSKPELIFKPNKKNPLFFSPLSISSSSKWDSWFRSLINNSMNQVLPIALNERSKGQELAVTALVSGDLEKLKEMKNVDNNGVLGVLDVHFHIGSYVPPVFGIEENGAHLALVGPGRDVAAAKYSDWVRLRKPLDKFRPPLVTELLLSNDGDRILEGCITNFFVICQRDKSEAQWNYLHDYDNAYPVEVQTAPITDGVLPGVIRQLVIEVCLSKGIPVREVAPSWEKHELWEEAFITNSLRVLQHVETIKVPRSWESLQSKCSEKISWMEKIFEGPGTITKVIQVSISLSSLINPCNYVLFSMYGYDMFVGDMADAMGPGSPAGGSHESGGEQSSPHSNVREQDRYLPIANISRIMKKALPTNGKIAKDAKDTVQECVSEFISFITSEASDKCQKEKRKTINGDDLLWAMSTLGFEEYIEPLKIYLARYREGDTKGSARGGDGSLRRDASGGVAAQNAQVQPGY >OMP06744 pep supercontig:CCACVL1_1.0:contig04813:277:663:1 gene:CCACVL1_01446 transcript:OMP06744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISAAFESGLALSTYILFSCYLEMQNADTCKLMNNKLAPSVAHGLGTYRWLEEDVTTDLLGIGRNPRTGFIEGSVADATRILHQFQMNHNIIQRSFTSEEALQYHLTLDSNDFSCSINVQEIGQRTE >OMP04263 pep supercontig:CCACVL1_1.0:contig05767:3954:5267:-1 gene:CCACVL1_02174 transcript:OMP04263 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor eRF1/aRF1 MADAHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYSKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDINRYTLKNSVTGEVVIKHLNKEQDADQSNFRDPASSSDMEVQEKMPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDELSDDGEVCDDSE >OMP10714 pep supercontig:CCACVL1_1.0:contig01996:839:904:-1 gene:CCACVL1_00805 transcript:OMP10714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLLLKGEVIGRDDQDLNP >OMO83789 pep supercontig:CCACVL1_1.0:contig09852:2288:8974:1 gene:CCACVL1_11175 transcript:OMO83789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQESNSNPIFTSPSKNLRGLKALASTINVDASHTEDVFNDNELAQRKAEEAALRRYQAAEWLRQMDQGASETLPKEPSEEEFCIALRNGLILCNVLNKVNPGAVLKVVENPIIPVQSTEGAAQSAIQYFENMRNFLVAVKDMQLLTFEASDVEKGGSMNKVVDCILCLKGYYEWKQAGGIGVWRYGGTVKITSFPKGSLPSLVGSESADDSLDGSESSQYEQLLEFLHLSNEVAIEESKTANALAFLFDRFGLWLLQAYLRESNGIEELPLNATVIDTLISKVVKDFSALLVSQGTQLGLFLKKILKADINSLSKSDFIEAISLYLGQRTNLASNDFSKFCICGGKREVIRHTVSNSGAHAEILHLQQRELEDIKIDFQETKLEVREIHSNWEEELKRLEHHVKGLEVASSSYHKVLEENRMLYNQVQDLKGTIRVYCRVRPFLEGQANGQSTVDYIGENGNIMIVNPSKQGKDARKVFSFNKVFGPNVSQEQIYIDTQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPDLTTEQTWGVNYRALRDLFQLSKERAGVVKYEVGVQMIEIYNEQLNGLNVPDASWVPVSSTRDVLELMKIGQKNRAVGATALNERSSRSHSVLTIHVYGKELLSGSILKGCLHLVDLAGSERVDKSEAVGERLKEAQYINKSLSALGDVISALAQKSAHIPYRNSKLTQVLQDSLGGHAKTLMFVHISPEVNAIGETVSTLKFAERVASIELGAAKSNKETGDIRQLKEEISNLKLSLEKKEAEIEQLKAAHVRSVAEPQRARAVSPFQIPRHGLSASAKAETSQRPGDDYRISEARSSSSGKQRRSRFPSALADKEPLPKMPILAEERLTSAGKARSPSPPVRRSISTDRGALMRSRTKADTVENLPVSRVPFPARVPVNKSFATTTVTPSTENNNPRLVHTTMSSQEPTKQEDAFYHLQRLGMKKHHSEHEDIEQFRQALNVRQGGIRKSKAESKAKMKHQLPARLQRVDVAITLLSDMDAVDKMEEPRKSDSSEPENEHSLVGSPVHSALKMKKIRQNFSRNSQNLEPRGAVQAVVEPLLGGKTDRIPHSNGVTRQAKEASNTNTLMPEFRRSRSTPRGKFLVLP >OMO83791 pep supercontig:CCACVL1_1.0:contig09852:16019:16850:1 gene:CCACVL1_11177 transcript:OMO83791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNLTRAELANIPRPPPSKEKHKEATTDDVAQCSVASIYHTKIAGLSRKVTATWCKTLVNHSFIISVENPCDDQSHFSCKIDLKAWQFWGRKGLKSLEVDSKRVDIYWDFRLAKFSGSPEPCSDYYVAMVSDEEVVLVLGDMKTDALKRTKKAPSLVDPTLMCKKEHVCGKKLFCCKARLEEGKQEHDIMIEISLSGPDDPEMWVQATACLFSRQSLVKVTTASMAMA >OMO83797 pep supercontig:CCACVL1_1.0:contig09852:44725:51284:1 gene:CCACVL1_11183 transcript:OMO83797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKERRLAAQSNAGRRVKLDLFAEPSEDLGGSSVHEEVDGELKHRAGLPNSPSSSGQQSPNPLLLLGQYSDDDLDEESDKRLENGILDKSLSDHDDQAKGPLSETSKVTEVGAGESVDTLKISQQNMEKEPSPVAIQNVIGVDNKDGDINVSSVSVKKNDSTEQVSFTGTSEVPGDVGSGWRMVMHEESNQYYYWNIETGETSWEVPNVSAPISQLTSDQMTSTVESMETTPSAQATGDDNFILQNERHNNETQLDERDEGCKSEVHEDKNLNSDVIRSEFQSSSDAVDDQLTNQSPRNNDEDKTGINLSTHLLEQGEKLLERLKSLKTSEDNLRSQGWISNCILEVEIRLSDIKSLLSYGSSLVPFWVHCEKKLKQLEGTVNDKIYQLAKSAVMDEDEETPGSTGEKGKTEESSQNEGEADDGNNYNPISSTPNISYISTDDGTLTIVNSESQNQVPSSNAACNVNSFGPPTEQFENKAQVGELVDEANFKTGLHVVEDIDMDVDMEVEDAIPVSSVPLRDVPPTSLDQLNQPADYSAIPLPPDEEWIPPPPPENEQVPPPPPPDNELIPPPPPDEPPEQSYPPLPSYVETVPLTYADQYNLTYSDSNYQYYGHAASEVLVGSFYGHTDVSQVAAPPASIYYQAAPNTYSEGAPVTVNPVEALTFYDLQGKGASSTIIAVGTNSSQLQSEAGSIGYNTLGPGKDGSDDELVVARPGVRGEVPTSREKTEVASEGASSTVDTTEAVATISAKESFAAASSSAPKVQSKAVRSKKRTVAVTSSLRSNKKVSSLVDKWKAAKEELHEDAEDEPENPYEKLEKKRQREIEEWRAQQIASGEAKDNANFQPLGGDWREKVKRRRAQKAKEASENPSEVLPDGNEQPDLDELSRDLPSGWQHGFNHLIQFDKCNGIATRCVTHTSFFGKEKEENKGMGEREEHKPPKIDVKGKNLEVSVGEINLDDIKRHPIPIVHVSPRGSSGNINSTMTKLQQPSSARWNCLCSPTTHAGSFRCRHHRSSGGMIRGGSVGSNLSLLASTKSHDFFESPLR >OMO83793 pep supercontig:CCACVL1_1.0:contig09852:21170:24570:-1 gene:CCACVL1_11179 transcript:OMO83793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATLRNLLLSSNIFSFTLLVSINSLFFHHCYSVDEQGQALLSWKNSLNSSADALKSWNSLDPSACNWFGIHCNSNGQVVEISLKAIDLQGSLPSNFQSLKSLKKLTLSSTNLTGPIPKEFGDYQELTFIDVSDNSLSGEIPPEICRLSKLQSLALNTNFLEGEIPSAIGNLSSLVYLTLYDNQLSGEIPKSIGELRKLEVFRAGGNKNLKGELPWEIGNCTNLVMLGLAETGISGNLPSSIGMLKRIQTIAIYTSLLSGPIPEEIGNCSELQNLYLYQNSISGPIPRQVGQLSKLQSLLLWQNSLVGTIPDELGSCTELTVLDLSENLLTGSIPRSIGNLFKLQELQLSVNQLSGTIPSEISNCTELTHLEIDNNGISGEIPVLIGNLKSLTLFFAWQNKLTGNIPDSLSQCQDLQALDLSYNSLFGSIPKEIFGLRNLTKLLLLSNDLSGFIPPDIGNCTNLYRLRLSGNRLGGTIPSEIGNLKSLNFVDLSKNRLVGGIPPSISGCQSLEFLDLHSNGLTDSLPDTLPSSLQYVDISDNRLTGPLTQSIGSLTELTKLNLGKNQLSGRIPSEILSCSKLQLVNFGDNGFSGEIPKELGQIPALEISLNLSCNQFSGEIPSEFSGLGKLAVLDLSHNKFTGKLDVLASLQNLVSLNVSFNDFSGELPNSPFFRKLPLSDLESNKGLYISNGVVTSADIGHARRARPAVKLAMSILISASAVLVLLAIYMLVRAKFATNGLMDDDTWEVTLYQKFDFSIDDIVHNLTSANVIGTGSSGVVYRVMIPNGETLAVKKMWSSEESGAFTSEIQTLGSIRHRNIVRLLGWGSNRKLKLLFYNYLPNGSLSSLLHGAGAGKGGADWEARYDIVLGVAHAVAYLHHDCVPAILHGDVKAMNVLLGTGYEPFLADFGLARVLNSNDDDDKVSKLSPRPHLAGSYGYMAPEHATMQRITEKSDVYSFGIVLLEVLTGRHPLDPTLPGGAHLVQWVRDHLASKRDPSDILDPKLRGRADPAMHEMLQTLAVSFLCVSTRPDERPIMKDVVAMLKEIRHVDTSRSEADISKGALTAPRSSPPPRIVVSQGSSNCSFAFSDDSIH >OMO83794 pep supercontig:CCACVL1_1.0:contig09852:30430:35215:-1 gene:CCACVL1_11180 transcript:OMO83794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKQPKDVRAKHSHHERHPSLTVKSLPRRTLLQLMGLTPISLCNHPVFAAPMQDMMEPQVIRTLKLSNGVRVQEIIEGEGPEAHDGDIVQVNYVCRRSNGYFVHSTVDQFSGESSPVTLPLDENQIIKGLKDVLTGMKVGGKRRALIPPSVGYTDENLKPIPEEVSLSFWGGTGGGEQTKEGSSLLADWNSYAASRDADEGSASGFGFDLESAVRSANDTVSGTFNVVSKGVRDLPGNLQSATSSVPSGKALMYFGLFLATGVFFVFIAFTMFLPVMVLMPQKFAICFTLGCCFIIGSFFALRGPKNQFDHMTSKERLPFTLGFIGSMAGTIYVSMFLHSYIFSVLFSVLQVLALAYYAISYFPGGSAGMKFLTSALTSSVTRCFGS >OMO83790 pep supercontig:CCACVL1_1.0:contig09852:9922:15200:1 gene:CCACVL1_11176 transcript:OMO83790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAWIEGKVLTLDESEAGELNGRRLALVGKIIADKPLSKGGVQGVFKRAWGEYRDFTVTELSENTYLFTFKEARAAEQILEDGPWSVMGYCICLHRWQPGLTLDELDFSQVAYWVQVHGLSLDQMSPKNAKKVGDQIGRVLEIEDPISSHGIRRGFFRIRVLIDVTKPLPSGFWASRPGKSNVWASFKYEHLADFCYNCGCLGHVEGHCVKDTVMSIPDPSLPKYGPWMRANPSVNAIKALAEMDKAPHKAKQVLASRRYQAAEWVRQMDQVALEILPKDPSEEEFRRALYNGFILCNILNKVNPGAVPKVIKTSMTSAQIMEQTTDSSKHGFENMRNFLAAIKDKQLLAFEASDLEKGGSINKVVDCILCLKGYHEWKKSGGVGVWRYGGTVKITSSPNDFAHPLTASERADESVEELQLSKYEQLLEYIQLSHDAAIEESKTANALTFLFDRFGLWLLHAYLKDSNNTEELPLNAMVIDSFLSKVVNDFSTLLVSQGIQLGLFLKKLLKGDNAPVSKSDFVEATSEYICKRTGLHGTTDSPKGYICNGKNEAILNSVCRTPGRVEILIDLIQRQIEELKLFFRETRLEVRQFHSYWEADLKRLDNHVRDLEVASSSYLKILQENQMLFNEVLDLKGKIRIYCRVRPFLPGESKDHSTVDHIGENGSIMIVNSLKKGKDVRKVFTFDKVFGPTVSQEQIYTTIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGYDLNTRETWGVNFRALCDLFQISKTREDVIEYKVGVQMIEIYNEQVRDLLVMDDIYNNTQWNGLTVPDACWVSVSSTQDVLDLIRIGQKNRSVGPTAFNERSSRSHSVLTVHVHGKELVSGSIFKGSLNLVDLAGSERVDKSKVQGDRLKEAQYINRSLSALGDVISALAQKSTHIPYRNSKLTQILQNSLGGHAKTLMFVHISPDLEAIGETLSTLKFAERVASIELGAARSNKETGDILELKEEITNLKLTLEKKEAEVEQLRVGNIGSITGSQKAKVLRFGITSNMKAETWQRPNDDTKSSEARNVTAKQRRSKISSAHIGKEISPKMPSVPEERPVRPAKPKSPTPPVRRYLSSDKGASTRNKVKFDVVETQPISKVILPAKAHTTKSLAPVPETPSTDNNSGVHADHKSDDSKLNSLMHSTASSLGKVSQNMKKSSFF >OMO83796 pep supercontig:CCACVL1_1.0:contig09852:41291:43163:-1 gene:CCACVL1_11182 transcript:OMO83796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recoverin MLQCIEGLKQLFASVLQCCDIDLYKQTRGLDDPEILARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGRIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >OMO83799 pep supercontig:CCACVL1_1.0:contig09852:55381:55488:1 gene:CCACVL1_11185 transcript:OMO83799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKAQKGGGGMVVMELWCVAKNNAEDAPLQGVLD >OMO83795 pep supercontig:CCACVL1_1.0:contig09852:38508:39134:1 gene:CCACVL1_11181 transcript:OMO83795 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein Hsp90 MVDAIDEYAVGQLKEFEGKKLVSATKEGLKLDESEDEKAKKEALKEKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDNSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGNRIHRMLKLGLSIDEDAGEAEADADMPPLEDVDAEGSKMEEVD >OMO83788 pep supercontig:CCACVL1_1.0:contig09852:1001:1156:1 gene:CCACVL1_11174 transcript:OMO83788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TDPAVQSNDRMVPPQTTLVTTLIFPSMLATWSPYIKSNKLLVSIHSLKSNP >OMO83792 pep supercontig:CCACVL1_1.0:contig09852:17564:19900:1 gene:CCACVL1_11178 transcript:OMO83792 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulatory protein RecX MSTFAGNIGFRISSNLQFRVFSIPWVNGKKAIFCLKEREYSSSVPVRYIPKKTLETNVPETSLHSKSLRNNNSCTSSPSNTFGGKSANDGSSFVDQKSQTRNRMTKKNVPYGDVKHGMLKSYITFSDLEKINCSVLIDHERKENPMEALKEMHQGLVARGNNVLQVCKETLDAEKLAIELLAVRAFTTVELRKKLLGKRFQPHIVEAVIDNFQNRGFINDGLYAEAFSRSKWSSSAWGPRRIKQALFKKGVSESDAEKALKLVFKGKVGDSEDDQELALGLSKLSMDHLLIQASKQWLRGQDVPKEKRKARIVRWLQYRGFNWGVIGSIIKKLELEYPP >OMO83798 pep supercontig:CCACVL1_1.0:contig09852:51624:54241:-1 gene:CCACVL1_11184 transcript:OMO83798 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MGGSKFTAKFKEVYNVLKSELLQDPAFEFTEDSHQWVANMLDYNVPGGKLNRGLSVIDSYDILKDGKELSDDEIILACALGWCIEWLQAYFLVLDDIMDSSHTRRGKQCWFRLPKVNMIAINDGLILRNHIFRILNNHFRGKPYYLDLLDLFNEVEFQTASGQMIDVITTLQGEKDLSKYSLSLHRRIVQYKTAYYSFYLPVACALLMAGEKLENHIEAKNVLVEMGTYFQVQDDFLDCFGDPAVIGKVGTDIEDFKCSWLVVKALERANKDQKQVLYENYGKPNQECIARVKELYKILDIEGAFLEYEKQSHEKITKTIEAHPSEKVQAVLKSFLKKIYKRHK >OMO95924 pep supercontig:CCACVL1_1.0:contig07571:13922:15215:-1 gene:CCACVL1_05169 transcript:OMO95924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETRNKQPRESDVKVSNNKRKLSVADDDDVQISYFRIRCLLRQLRPHVIQVLQTPDFRNCKAAHEIRENLKLVSDLCKQMMGEGDSNVSEQQCQEGKKEAKLLKTEHCVENPNPAGIKLPIPSSSSEQKLNDGEFRGSYVIGGSVFGLNFITYRGSKPVYYGPSKDSYLSRKMKSC >OMO95922 pep supercontig:CCACVL1_1.0:contig07571:3695:4456:-1 gene:CCACVL1_05166 transcript:OMO95922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDYSTEISVFSSLSLDHAIPSEFSIAANDPGRAGDQAEVK >OMO95925 pep supercontig:CCACVL1_1.0:contig07571:19991:21263:1 gene:CCACVL1_05170 transcript:OMO95925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSGRGSIVFVWIGLVQEQKERLAKVTEKSDK >OMO95923 pep supercontig:CCACVL1_1.0:contig07571:7364:13285:1 gene:CCACVL1_05168 transcript:OMO95923 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase III Rpc82, C-terminal MSDKPDLCDIVTSDKTADDSAVRLRGQNLQVTTSNFAAIDEILKATKSSSLFNKAELKTLVSPTRPESSPLYFRGAIETSHRIQQRMVTQYGIKYAVHLITTHFGDLVANVCECLLRRGTLTLQALVKFTELSSSQVKNSLLVLIQHNCVQAFLPEPGEDVILDATQANLIKLLNARFVERCPAAEPVLAKPTEEESSARKRGPKSAKILEENETLEYRVMDAAAPSEALRFLLVTQTESAADGGLDESNTSSLKAGVKRKSDALESETESGAADEQVLLWRANFEEFMRRLRHEACIENLRARLDDGAVIVLRAMLEATRSAEKKVKTEHSVPLSLNSIYEEVIKSVEGRNITFDRVRASLVQLSCPPFVIAINESYSIDFKKIIELAQNDEVESVVLKRYGPEAYKMFRLLSITGRFVETDKIADGAFIEKKDTPMVLYKLWKDDYLHMEKLQLTGTRQSHFLLWKVNKNTIREHVLDEMFHAALNLNLRLAYEVEQEKELLSLSQENRDKRLRKVKLLSQSQMKLDDAIMIFHDF >OMO72786 pep supercontig:CCACVL1_1.0:contig11374:30410:31072:-1 gene:CCACVL1_17594 transcript:OMO72786 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MSKLVEESDGNGGDKGLLGRMSTLESKLESMMDFLKQSTQAKDVIEPAKIEPVAQPVVEQRVVELFKMEVRIEIPIYDGELDPEKLNRWIKQLEEFTAAIKNQFYPLGYEEELKGKWQFLRQKKGQTVLEYTTEFRKQAMLLGVSLRGAETLAKYKAGLHYSLCTELALFNV >OMO72787 pep supercontig:CCACVL1_1.0:contig11374:37901:38524:-1 gene:CCACVL1_17595 transcript:OMO72787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSISPCFQPNSKSLVKLIFWEGYTRILTGKHIAGEVMFEFPHMMVCHADSFFIGQPIPALAIDDDLTPGQTYFVLPLDRFACTTVLSASSLAALNSSPKPNSPINFGSGGGGDCPFEYIKGSNGRVLIKVMPEFITRLIYRCKEEEAMGNHSFLCSTPELKKHYEMLVGSKEQIWSPKLETISEYKIRFSPCRFIGLEWKQKEKQ >OMO49847 pep supercontig:CCACVL1_1.0:contig16380:24075:26884:-1 gene:CCACVL1_30785 transcript:OMO49847 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan fucosyltransferase MKRFRRNPDENDPGTNPDVEGEGLFLRDQERKCGLSSMRLMGFLVVALMVFSVVFSVSVVLKDPPSDGVLESGKEIRFLDVLESSKEETVLEVKPQKGPEDDYAPSLEARKDKLLGGLLQAGFDERSCASRYQSALYRKESQHKPSPYLISRLRSYEALHKRCGPYTESYNKTLEKLKTNHQLEPTDCNYLVWISFSGLGNRILTLASAFLYALLTNRVLLVDPGTDMVDLFCEPFPEVSWFLPSDFPLKNQFNSFDQKSPHCYGRMLKNSTFANSSRLISSSFSFVYLHLVHDYDDQDKLFFCDEDQTSLMEVPWLIMKTDNYFVPSLFLVPSFEQELSNLFPRKETVFHFLGRYLFHPTDPVWGLVTRYYQAYLAKADERIGIQIRVFDTGIGPFQHVLDQIIACALKENLLPDISREKPIINQSQKSKAVLITSLSAGYFERVRDMYWEYPTVTGEVISVHQPSHEEYQQTEKHFHNRKAWAEMYLLSLTDVLVTSSWSTFGYVAQSLGGLKPWVLYKPENRTAPDPPCRRVMSMEPCFHAPPFYDCKAKRGIDTGEVVPHVRHCEDMSWGLKLVDNE >OMO49848 pep supercontig:CCACVL1_1.0:contig16380:29456:40612:-1 gene:CCACVL1_30786 transcript:OMO49848 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate-related phosphatase MSPHPLSTQTQDSGMSSDMEANDDVPSPSPRIFDRYASSSDDDSQPSYYHSLHSTNRRLDYMIQFLDRNLQPQHASRPNAFLPEFVAKGGGQGIFTLPDRRALHPNRPPHLEVRPHPLRETQFGRFLKTIATTDRQLWAGSESGAVRVWEFKDLYEEGEGEEEGAAPYRESSELSSNGNGNGSGNAAVMCMVGDEGSGVVWSGHRDGRIRGWKMDLVSGGFKEGLSWQAHRGPVLSIIFSCYGDIWSGSEGGNIRIWPWEAIDNALSLTMEEKHMASLLIERSFVDLRSQVAVNGFSSILNSDIKCLLSDNIRAKVWSAGCLSFALWDARTRELLKVFNIDGQIENRADLSLVPDFAVEDEIKMKIVTSSKKEKTQSSFGFFQQSRNAIMGAADAVRRVAAKGGFVDDSRRIEALVITMDGMIWAGCTNGLLIQWDGNGNRIQDFQHHPSAVLCLCSYGSQIWAGYASGMVQVLNLEGDRHGGWVAHSSPVLQMAIGAGYVFTLANHGGIRGWNIMSPGPLDGILRSELTAKGFLYTRIENLTILAGTWNVGQGRASKASLESWLHSAVSDVGIIVIGLQEVEMGAGFLAMSAVRETVGRDGSAVGQWWLDMIDETLCDMMERKSRDMIGKKLHEQRAFHRVGSRQLAGMLIAVWVDVKLRPHVGDIDAAAVPCGFGRAIGNKGAVGLRLRVYDRIFCFVNCHFAAHLEAVGRRNADFDHVYRTMTFSRPSNMFNAAAAGSSSAVQMLRGANPEGLPELSEADMVVFLGDFNYRLDGVSYDEARDFISQRSFDWLRERDQLRAEMEAGNVFQGMREAVITFAPTYKFDKHIAGLSGYETGEKKRIPAWCDRILYRDSRQNSGSECSLECPVVSSVSQYESCMDVTDSDHKPVICIFSVQIARIDELARRQEFGDIMRSNEEIRCKCDELCKIPETIVSTNNIILQNQDTSILRITNKSVEGNAFYEIVCQGESAIKDDGQASDHHPRGSFGFPHWLQVTPAAGIIGPDHVAEVSIHLEAFHTQQEFIDGFPQNWWCEDDRDNEAILVVKVHGMYAMETRDHRIRVRHCPAAKMKKIDPKPNDSVKVQGSLLHRADYQRLGVSYDVVDHLCNLHSP >OMO49845 pep supercontig:CCACVL1_1.0:contig16380:8520:19000:1 gene:CCACVL1_30783 transcript:OMO49845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDFVAKIKAYSVPLILFSLSMLYQLVILPRSFPPSHYDVLEIKMYSSMEAVIEAYENLEFKWNSGLEVPTTNEFIKIRYAYELLTNPIWKRNYDVFGIDEQPHVVEKVSQQHEGGKYSDVELPLLHADAYDTGDNVFSVITSNDFQSMFEDSKPWLLQVYSSGSNRSAQFLNSWRRIADLLNSVANIGMVELGEIQIAAHLAERKPTGQFFFRNGLPSVVAFPSGCKTSDCLIRFEGELSVDAVTDWFAIAVLNLPRIFYYSKESLGPRFLAKSSPHKVKVIFFSKTGERATPKMRQAAKDYWNYATFACVLWREEEFSIWWNTFGVESAPAIVFLKDPGLKPLVYHGSINDSWFIDVLEQNKQQELPQLRSLTSEELGCNARGYSRAGRDTLTWYCAILAGRLGPELDSMRETMRRVQETLSKSSELNAAGEDEHSITSTIALKNKRLTFTWLDGEAQKNYCFFYLHSENSYETCGPRSVPTDVPRLFIVRYERNASEDTAKVEKKAKTIWDLNQHEVDPAAQLSVTYNGSAEITEIIQWISNIIKDGDSRKLPFYRVKTPELVPEDAEPFWSRGPQGILSKSTGAKQKIQSIMIRTYDYLGDPRIGPALLLGSLMSFGSIWLMRSKQNRPVQSSQPSEADDNDKPRQRERPRRRNASNKDIPPSVTDSEPRDSYQMPLSDSD >OMO49846 pep supercontig:CCACVL1_1.0:contig16380:21732:23101:1 gene:CCACVL1_30784 transcript:OMO49846 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase, PpiC-type MGKDSKAKEAKGKGKQAGGGSDESASKGKGKAGKGDGLGTCTYVKARHILCEKQGKINEAYKKLEEGWLSNGDKVPPAEFAKIAQEYSECPSGKKGGDLGWFPRGKMAGPFQEVAFNTVVGATSAPFKSTHGYHIILCEGRKN >OMP04063 pep supercontig:CCACVL1_1.0:contig05840:2173:8427:-1 gene:CCACVL1_02198 transcript:OMP04063 gene_biotype:protein_coding transcript_biotype:protein_coding description:UbiA prenyltransferase family MALFRFTRASRSLLRPSLTSSLSILSSSQSSSTSIANPHFSNRVTGISHLIPNYENSHDHFKISKENLFLLPNFRPGIAWISTSSGVAGSPSKSDQQRNSNTSSDSKNAVEVPSWIEVYLPRGIQPYAKLARLDKPIGTWLLAWPCMWSITLAATPGHLPDFKMMALFGCGALLLRGAGCTINDLLDRDIDTKVERTKLRPVASGLLTPFQGIGFLGFQLLLGLGILLQLNNYSRVLGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWSAIKGSLDPAIVFPLYFSGVLWTLVYDTIYAHQDKEDDMKVGVKSTALRFGDSTKQWTAGFGIACISSLALSGFNADIGWPYYAFLTAASGQLAWQIWTVNLACRADCNRKYVCIQQVVWCARFQWDFIWKSLLLEKGPKLTLDAPDLVDDFYLNLLDWGSSNVLAIALGNTVHLWNASDNFISELVTVDDEIGPVTSVSWAPDGQRIAIGLSNSEAQLWDFAYKRQLRNLRGCHNSRVGSLAWNINTLTTGGMDGHIVNNDVRIRSHIVETYRGHTLEVCGLKWSASGQKLASGGNDDLVHIWDNSMASSNSPQWLHRLEDHTCAVRALDWCPFQSNLLASDGGLELKGHTSKVLYMAQSPDGLHCTVASVAGDENETLRFWNVFGLPEIPKPTTKPERFPHWMRMRVLSVPWKF >OMP04064 pep supercontig:CCACVL1_1.0:contig05840:13522:15471:1 gene:CCACVL1_02199 transcript:OMP04064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECESQSSSPTINSYAKLPVSQLPSPIGDVPTTSTATPTSYMVNQNEEDGTSWLNNVFDENLARKELAHMIMLLDLPLSVVDDMGFRRFTAGLQPFFNIPSQDTIRSDILNIYKEEEFKTRRMIEANESRIAITTNLLTSDHHEKGNMAVTVHFIDSSWTLQKHILRYCHVPPPHTKEVIAEELQKIFSECNLDCKLSTLTLDNCLVSDGVVELLIDNICPDSLMLDGALLNMRCCAHVLNLIVKDGLDVIGDGIDRIRDLVSFWIATAERMERLRDSAQQLGLPNSKELFLDSESEWQSTYLMLETALDYKDVLSHAQQREPLCEIVPTEEDWNISKWVCDSNVTIARMTSRMMEKLEKYWNSVYGIMGVATVLDPRYKKYMLEYCFSKIHGPSCDVEVERIDKICRDLFREYEKKFTDTVVERNNSDIPGVNLDGYDEFIRKEYKKTEVRSEFDYYLEEDVWPRSPDFDILEWWQSYGLEYPMLRRIARDIYAVPVFTKASESTFDSSLCLELTDEE >OMP04065 pep supercontig:CCACVL1_1.0:contig05840:16002:19220:-1 gene:CCACVL1_02200 transcript:OMP04065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alanine-tRNA ligase, class IIc MDWFKIFDTGSIDGSFGSFEVCNVQIFGGFILHIGSVSGVTGKFSVGDKVTCKVDYDRRTLIAPNHTCTHMLNFALREVLGNHVDQKGSIVLPEKLRFDFSHDPNRDGTITAEHLRKIESIVNEQIKAELGVYSKEATLVEAKRINGLRAVFGEVYPDPVRVVAIGKKVEDILADPENKECSSISAELCGGTHITNTREAKAFALLSEEGIAKGVRRITAVTTEDALKAMELADQLLKEVDDASKMEVSLLEKTVASLKTRVDAASIPAAKKADIRAKIAQLQNQLKKAQKKLAEENMQKAVKKAIELAEVAASEGKTFCVSRIDVGLDAAALREAVSKVMAQKKMPIMVFSTDETANKAIVYAGVPEKSEQSKLLEVSDWLTNALGPLKGRCGKGKGGLATGQGTDASQVNEAMELATSFASMKLK >OMO50552 pep supercontig:CCACVL1_1.0:contig16133:16760:16867:1 gene:CCACVL1_30378 transcript:OMO50552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSSGTSPFERIESIGESTQREESALSAFAFGVA >OMO61491 pep supercontig:CCACVL1_1.0:contig13466:16024:16647:1 gene:CCACVL1_23481 transcript:OMO61491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGRSKTDFGEAFLITLLISSPSLSFITAQNISYPSVAYPPNSWVNIPNVNIDYGRVRPILITSAASTADSTMICLFGVSNIFQAHNIFSLSRRVVWSANRNNPVEIGASLQLSQNGDLILQDVDGTPIWNTNTLGKFVSRLELTEQGNLVLYDGNNKTVWQSFDHPTDILVPGQVLVPGQKLTSAVSLSNSSSKSASSCRISNPR >OMO61488 pep supercontig:CCACVL1_1.0:contig13466:1403:2136:-1 gene:CCACVL1_23478 transcript:OMO61488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTISGEGLELSAVAAAKLVLKKEIAKRQVSGVDNVQWKPQKKFPLTRMGLIPAASIEPL >OMO61489 pep supercontig:CCACVL1_1.0:contig13466:4867:7103:1 gene:CCACVL1_23479 transcript:OMO61489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLECRMYEAKYPEVDMAVMIQVNYIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSHIKVGRTEPVMVLRVEKEKGYIDLSKRRVLAKKIFRLVKKGIIRDLYIKIGWPLYRKYGHAFEAFKIIVTDPDSVLNTLTYEVKKTGPDGQEVTEVVPAATEEVKDALVKNIRRRMTPQPLKIRADIEMKCFQLDGVLHIKEAMRKAEAAGNDDCPVKIKLVAPPLYVLTTQTLNKEQGIATLNKAIAACTEAIEYYKGKLVVKEQPRAVSERDDKLLAEHMAKLHNDNEEVSGDEGSEEEEDTGMGEVDVEHAGHGIMG >OMO61490 pep supercontig:CCACVL1_1.0:contig13466:11846:14321:1 gene:CCACVL1_23480 transcript:OMO61490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKAFSGNTMTLKDFHGGSIPTDLPLPSAPGVIVRPTDRSGYDRATSWGNPIGRADHRPRPNSSPATRHFDDKTPFLTNSVHIGRNFDEDERKPLDGVSAPRRTISDESFRVPASRVELKPESAYAGRASGRYGSAPVSPLSSGAGNSYSSMVSEAVGVSSHSSWGNHGQAAFGSYPNAWAARKEVSASVTEPVQSAWSEQTAVSKLAHASALEKVSSGRWQSKQSVQYQKDVDASKHSERENGLHSHGYDDKIYGRMNAVGGREYASATLARHVESGLNIEDGQGGRKEFPDYERNRTNSLEVKERKSTIHVEGIQPTRSDGKFGGSELPASPSEASERPKLKLLPRSKPLDNPESPVIDPKKGHQQPSESVLSHAEMVNDSKPGLAGNESVNQTVERPKLNLKPRSQPTEQLEVNIEKERNALFGGARPRELVLKERGADDRNHEPVQNLDRVKHNVQRTEKVAEQAAPSRHGERVENHPVDQRAGRKFERNYRVDNERGDMQRRNWPSAVELAQAFSKSVSDQKNDDRYAGQRGLPGNGRTQMTFSRLMDPTPRPQINGY >OMP04088 pep supercontig:CCACVL1_1.0:contig05825:915:1049:-1 gene:CCACVL1_02191 transcript:OMP04088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVLLCLCIPHHATYLFNKATRPTNNNKPHMGKIRKSNSPKGY >OMO81945 pep supercontig:CCACVL1_1.0:contig10083:569:9327:-1 gene:CCACVL1_12136 transcript:OMO81945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALSRLIFGFSALLSVVYCTVIPLERAFPLNKRVELSQLVARDQLRHSRILQGFVGGVVDFSVQGSSDPYLVGLYFTKVKLGSPPREFNVQIDTGSDILWVTCSSCTDCPQTSGLGIQLSFFDSGSSSSARLVSCSDPMCSSEFQTTATQCSASNQCSYSFQYGDGSGTSGYYVSDMLYFDAILGQSLIANSSALVVFGCSTYQSGDLTKTDKAVDGIFGFGRGELSVISQLSSRGITPRVFSHCLKGDGSGGGIMVLGEILEPGIVYSPLVPSQPHYNLILQSIAVNGQLLPIDQSVFATSNNRGTIVDSGTTLAYLVQEAYDPFVSAITATVSPSVTPTISKGNQCYLVTASVNDIFPPVSLNFAAGASMTLRPEEYLIRSGFYDGATMWCIGFQKVQGGITILGDLVLKDKIFVYDLAHQRVGWANYDCSLSVNVSITSSKDFINEGQLSWYYITMAVPSAVSMAAPAVSLYVGDLHPDISDGQLYEAFNEFKSLASVRVCRDSSTGRSLCYGYVNFISLQEARQAIEAKNHTMLHGKTIRVMWSLRDPDARRSGVGNVFVKNLGESIDNVGLQELFHKFGNVTSCKVATSEDGRSKGYGFVQFETEESANAAIENLNGSTIGDKQIYVGKFMKKSDRVLPGTDVKYTNLYMKNLDTDITEEFLLEKFSQFGKIASLAVAKEENGASRGFGFVNFENPDDAKRAMEAMNGKQLGSKVLYVARAQKKAEREQILRRQFEEKRKEQIMKYKASNVYVKNIDDDVTDEELREHFSQCGTITSAKLMRDDKGMSKGFGFVCFSNPEEATKAVSTFHGHMFHRKPLYVAIAQRKEDRQAQLQLQYAQRMAGLAGPPTAVLPGGYPPLYYTAPTGIAQVPPRPGMMYQPLGLRPGWRSNGFAPPTRPVFQPSALPMVPSVPRQTRQNRGRMNGHALLQGGSHAVSYVPQLQQPTQPYSSSKDQNNPQRVGQAKYIANGRAARDVNKSSRVQPAASNSVSAVTSQGSEMLSSMLAAASPEQQKTILGERLYPLVQKHQPDLVPKITGMLLEMDNSELLLLLESPESLASKVEEAVEVLKLSNTKVPGQDAALHSSFMSAGVAVN >OMP05665 pep supercontig:CCACVL1_1.0:contig05293:400:768:1 gene:CCACVL1_01854 transcript:OMP05665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCSLLGRLRRAVKKVKFLLNFNMNRWRIANMIGARSSRRLSFTDKPGLRACVADDLYDSDDSSSHSSRRLSRTTSYPSEDDIDKRAEMFIANFHKQLQIERQVSLQLKYLRGNSFNYYSP >OMP10543 pep supercontig:CCACVL1_1.0:contig02249:367:609:-1 gene:CCACVL1_00884 transcript:OMP10543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLGLLSGEPKYPETVIPFRLTSSSTYVSSTSSPDSVKSRPETRLGNRKETSSSSGTGTLSLLTAPLDSEQLSSSDYGKT >OMP07695 pep supercontig:CCACVL1_1.0:contig04264:95:214:-1 gene:CCACVL1_01246 transcript:OMP07695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTDTYTKTDDTDTYTKTDDTDETDDTDDTNEPKDEEPPAE >OMO81116 pep supercontig:CCACVL1_1.0:contig10237:11498:12663:1 gene:CCACVL1_12596 transcript:OMO81116 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MLQSSSAQTVHVVGDALGWLMPPGGAAAYTTWAANKTFRVGDILVFNFATGSHDVAKVTRADYNACSSRNPILVLSNGPANVTLNETGDHYFFCNFPGHCNQGQKLAINVSSAASSPAPQPATPPPSPTPQPSTPAPQSGSPSPTPAPARSPVTYTVGDTLGWTVPTGGASVYETWAKNKTFFVGDILVFNYVTGAHDVAEVTRQAYQSCNGSNPLSNFTTGPTRITLRNSGEHFYICAFPGHCSAGQKLAINVTGSSTATPPSSSPSPTPSPSTETPPSSSPTTPPPFPSSPSPSGEATPPPPAGNSATTLGVAGFSTTFLSLIVAFFM >OMO81114 pep supercontig:CCACVL1_1.0:contig10237:4102:6068:-1 gene:CCACVL1_12594 transcript:OMO81114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MDYGASRLDEKFLQVKHEESLMRLLRFTSNGRVGEDDVAEVTETAYDYCTTNDRIHHYKESPVSITLKDPKDYYFLCTFSNHCAGGQKLHVQVLNSTANTDILGSASSLVPTLYLVLFISIVLLLLF >OMO81118 pep supercontig:CCACVL1_1.0:contig10237:35547:36330:1 gene:CCACVL1_12598 transcript:OMO81118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQAKKYRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDQAAILMSGRNAKTNFPISQTGNGDSKSGNEINNPNSSSSSSSSIISAPNDLSELLHAKLRKCSKAPSPSMTCLRLDTENSHIGVWQKRAGQSSDSNWVMTVQLGKGSNPNGDHDQVSANNAILMPDIIPNSSSPEELATESSAEMRGEIDEEERIALQMIEELLNRNTSCSSFGVDDQEGEDI >OMO81115 pep supercontig:CCACVL1_1.0:contig10237:7438:8536:1 gene:CCACVL1_12595 transcript:OMO81115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MAKTSKLAILAIAFCAIFQATAAQTTHVVGDELGWLVPPGGPLAYATWAATQTFTVGDVLIFNFTTGDQDVARVTKEAYETCNSTNPITLITTGPANFTLDSIVFEFINGTQDVAVVTKEAYEKCNTTNPITILTNSPANITLTTKGEHFFTSTYGGHCELGQKLAINVTASSSGTATPPSTGTSPVSEGPSGSALPPVSSAPYRVGGGFLVTLFSIAIVFF >OMO81119 pep supercontig:CCACVL1_1.0:contig10237:63694:65909:1 gene:CCACVL1_12599 transcript:OMO81119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKSLSNQIEETLPEWRDKFLSYKELKKKLKLIEPKSGERPNKRLRLDENSRDAVDSGDKVAVGDGDGMSREESDFINLLEDELEKFNSFFVEKEEEYIIRLKELQDSVAKAKNSNEEMIKIRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQRVLQQPFFTTDLLYKLVKECEAMLDHLFPKNENPTSTEDKPAGDNSRGGEDAGCDPSTSSASANEDLFKIPKELAEIEYMESLYMKSTITALRVLKEIRKGSSTVSVFSLPPLQISGLDETWKKVPILEQEAK >OMO81117 pep supercontig:CCACVL1_1.0:contig10237:20479:27571:1 gene:CCACVL1_12597 transcript:OMO81117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDSDSDSDVEIQIAIDSDSDSDSDYEMEKRINDAVTKRRNRKPEYSEGSKIVPKTEQCLAKTPALEIDHHFRSVPYQKKKKKKDRKLWIVIQILTLTLKN >OMO96133 pep supercontig:CCACVL1_1.0:contig07519:15068:15412:-1 gene:CCACVL1_05063 transcript:OMO96133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HSAMGSAEINSRRSSSLFHHCNGSSHECLGQGPEEELYGFEFLMESETSQIVVEETRRMLQHGGSSTTNTLNLAAAACGRDKFGYLCTPQSNKDVKRPENCKGNTFNRGCHQQR >OMO96131 pep supercontig:CCACVL1_1.0:contig07519:2871:9940:1 gene:CCACVL1_05061 transcript:OMO96131 gene_biotype:protein_coding transcript_biotype:protein_coding description:CRS2-associated factor 2, chloroplastic-like protein MAPSPPPSFISNGIVNYNFLLRIRILRLTACLVDFFFLQFWVFGFSYISVKNGVYVSLVKDGRDAFEGSPLVRIGCEGMHASDYKKIGAKFMADTKHRHFPCRKSQLAAYESRSDLSDI >OMO96132 pep supercontig:CCACVL1_1.0:contig07519:11456:14016:1 gene:CCACVL1_05062 transcript:OMO96132 gene_biotype:protein_coding transcript_biotype:protein_coding description:receptor-like protein kinase FERONIA-like protein MAKLTITALGLVTVMENSHPPNNRAIASFQQHNNRCLPVSIRSPSPQLVSLTQTGIIVLSLLGFFVFRRKMRVKDSDSNIDDESPLKTVTFEDDSGEVFSSIGDHVLNSTSTTTFSLTTSDEQSFASKDSDRLVSKAVFSQIGDPQGR >OMO59159 pep supercontig:CCACVL1_1.0:contig14044:2090:3159:1 gene:CCACVL1_25038 transcript:OMO59159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome assembly chaperone 3 GNSTDIFICRYDDHFLVMATQIGTMGTILHARKEEGVSTEPTFSVSVILGKRDEPILLATARQLIEHISSSGSSMPLVLSLGLKDHSAETLRGIVSAVTENRLW >OMO59160 pep supercontig:CCACVL1_1.0:contig14044:4352:9833:-1 gene:CCACVL1_25039 transcript:OMO59160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPSEKRYPLNAKDYKLYEEVGEGVSATVHRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLRAHCSFTAGHSLWVVMPYMAGGSCLHIMKSSYPEGFEEPVIATLLREVLKALVYLHAHGHIHRDVKAGNILIDSNGAVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKELVATCLVKDPKKRPTSEKLLKHQFFKHARSYDYLARTILDGLAPLGERFRVLKAKEADLLVQNKALYEDKEQLSQQEYIRGISAWNFNLDDLKSQAALIQDYDDVQNTEDREGSKKQIDRHDEVGLPADRMSPETASNSVAATGQEDGLSDLHDLEGSLASFPIKPLQALKGCFDIGEDDESGNSPNWKGVTSSESEQFITKPSRALEQDAGRNEGENSAQSSSLPRQVTPEHKKFLSGSLLPDNSFSLKKVTGDGDRDFPQPKFQTERNYSGPLLYRQRRDTNNISSEDASEGAVVQRGRFKVTSADLSPKGPTNCIFNPALGGSSSPTSLNLAANAVLPSLQCILQQNTMQREEIIRLIKYLEQTSGKLGDLTDVGTNDLLQIPPSSARERELQAQVIQLQQSIGNLVEELQRQKMKNVQVCGLSFTYDIAKLRNSD >OMP07619 pep supercontig:CCACVL1_1.0:contig04309:95:574:1 gene:CCACVL1_01270 transcript:OMP07619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHLRSIFPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQVALDSGVPAIADHEGKIISADTDKIKIIFSGNGDTLSIPLVMYQRSNKNTCMHQTPRVPRGKCIKKGQILADGAATVGGELALGKNVLVAYMPWEGYNFEDA >OMO54586 pep supercontig:CCACVL1_1.0:contig14950:8899:9442:1 gene:CCACVL1_27727 transcript:OMO54586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MASHLQNPLPLPPLNDLTCIVTGSTSGIGQEMARQLAEAGAHVVMAVRNPKAAHELINQWSASWRGLPLNIEVMELDLLSLDSVVAFANSWNARLGPLHVLINNAGIFSIGEPQKFSKNGYEEHMQVNHLAPALLSVLLLPSLIRGSPSRIINVNS >OMO54587 pep supercontig:CCACVL1_1.0:contig14950:24015:24530:-1 gene:CCACVL1_27728 transcript:OMO54587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLENEKIAKKRIRKNLELCCLVVAMAYLEGVLHGLGGKCKLGVALVHTYREKLIYNV >OMO54589 pep supercontig:CCACVL1_1.0:contig14950:73962:79970:1 gene:CCACVL1_27730 transcript:OMO54589 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L21e MPAGHGLRSRTRDLFARPFRKKGYIPLSTYLRTYKIGDYVDIKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEVNKQVGNRIIRKRIHVRVEHVQPSRCTEEFKLRKVKNDQLKAEAKAKGVVISTKRQPEGPKPGFKVEGATLETVTPIPYDVVNDLKGAEFGPVFAKHGVYAARIPEHTCHKSGYQCIKLMDKKCAMGEFLEMVIAMLKLAAINVLNPTKAALEFALKLLLTALPVNVPGLASSERMILLM >OMO54588 pep supercontig:CCACVL1_1.0:contig14950:59804:63436:1 gene:CCACVL1_27729 transcript:OMO54588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWRDRYSTDCLLMGTEHRPLSDGNQLSPIDDIGFVTIGTTEEHF >OMO89491 pep supercontig:CCACVL1_1.0:contig08702:4241:12660:1 gene:CCACVL1_07799 transcript:OMO89491 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MHYWVRASSSDFSGTLPQPRSGHTAAPIGKSKVVVFGGLLDKKFLNDIAVYDIENKLWFQPECTGSGSDGQVGPSPRAFHVAVSIDCHMFVFGGRSGSRRLGDFWVLDTDIWQWSELTSFGDLPSPRDFSAASAIGNRKIVMSELSAGMVVGMVKSGCQMLSLEWTELSVTGSPPPPRCGHTATMVEKRLLVYGGRGGGGPIMSDLWALKGLIDEGLYLNVLSILEENETPGWTQLKLPGQTPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYYNDCIVLDRLSAQWKRLPIGNEPPAARAYHSLSHIGSRYLLFGGFDGKLTYGDIWWLVSEEDPIAKRFIESPPKTLTDNKGMTAANDNTQSAFKESQREDGVIAELQRKLGISVSFSGPGLQIIDESEDKEFIELGSRLIGERVSNSEHDFLNQTIEVLRDHWRKSRPSSIPLKELGPLLRDYQRLITRHHL >OMO96742 pep supercontig:CCACVL1_1.0:contig07386:27184:29362:1 gene:CCACVL1_04796 transcript:OMO96742 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II subunit A MEAHSLFKKQGFDVCSYGTGVHVKLPGPSLREPNVYDFGTPYKYMFEDLRRKDPELYKRNGILPMLKRNLGVKLAPQRWQDNAADGSFDIVLTFEEKVFDMVLEDLHNRDQVLLKSVLVINLEVKDNHEEAAIGARLALDLCEQIEAVESWEESIDDVIASFENRHRRKLLYSISFY >OMO96740 pep supercontig:CCACVL1_1.0:contig07386:15271:16137:-1 gene:CCACVL1_04794 transcript:OMO96740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMIYGARIRLLGSGSYGKVHLVKIISSDSSCGRLIAEKSSEEELAETLVKEKEILDQFRGSPHIIQTYGCSTSIDYETKVFSLFLELANGGSLLDLMSDYGDKIPEQHVKSYVEMILKGLVEIHSRGLVHSDLKPANILVFHQGDCSVVPALKIADFGLAKLSGVKDTDPWKYGFRGTPPYMSPESIHGGISGALDVWSLGCIVIEMITGQIAWKYRGRRNLRDRLLSGERPEIPENLSIWGQDFLNRCLVRDPNERWSARRLLYHPWLLLQPVPEMVLLPHELMRL >OMO96741 pep supercontig:CCACVL1_1.0:contig07386:16749:16847:-1 gene:CCACVL1_04795 transcript:OMO96741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRYFIFHSRTTTEENHRREWRQQRRSDGES >OMO96743 pep supercontig:CCACVL1_1.0:contig07386:30937:31680:-1 gene:CCACVL1_04797 transcript:OMO96743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTQKKTVKKDSKNLPMKLRVVYNDPDETDLSSDEEHEINHRKNQNMGCVVKKISTTSAMQNHVTSGSKKPRKFSSIYKGVRRRPSGKFSSEIRDPFNKKRLWLGTYCTEEEAAAAYQAKKQELAKMIMAAEEDNNNNFSQLSPSVLEHAVSSNPMVNADRAIMKQCGEDEESIKDLWKDEPSILDLLEVPLPSAFESIDQLLSPYGYEDCLSFNSVNNQGLLPKKSDGMLIDLAWVDEILNLEGN >OMO96744 pep supercontig:CCACVL1_1.0:contig07386:36140:36616:-1 gene:CCACVL1_04798 transcript:OMO96744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEMNSESMNLRSSTPTISLRIRSLRRSSHSMNLRSNSTPTSLRITRRRIRNSPVPSSRRRPVGIYSAEIRHPFTNDRVFLGPFSTDQECCAAYQAKRREFSDLMLASAASAQENNNIIPSSSSLEVSPSSVTEGVSSVNLSEGTRANNIILFPSRL >OMO96746 pep supercontig:CCACVL1_1.0:contig07386:53435:55499:-1 gene:CCACVL1_04800 transcript:OMO96746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVSNNVYLELAKLDYNNCQALHQREWDSMQKWYLEMNLDDFGVTRRSLVLTYFIAAASIFEPERNLDANRTVEKLMDILLRTLNHLSSDAFVAHGRDISSTIRRAWEKWMMKWVEEGDRHQGVAELLVLTINLSGGRSLLSHPKYQRLSNLTNSVSHQLCQYQKQKVQENGCYDADTDNIRTQKIDAEMQELVQLVLESSSDDDDDISSDMKQTFLTVTRSFYYAAHCDLDTITFHIAKVLFEKVR >OMO96739 pep supercontig:CCACVL1_1.0:contig07386:11031:14429:1 gene:CCACVL1_04793 transcript:OMO96739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGIKKQKLIPRRRKRKGTPTKLVLPDLSSISESSNQRPDEYFSKQEGLHQNIIGGNFVAQMFIVKAGEDIIVKILLHCGSCCYNSSNSCNAYIVSAVGSVARASIRQGANVLTYEGLYGIVSLTGHVRVCSTHGDSYQVMVSLADTNHTVFGGYAANLVAATNIQIVLLMEFTDPPSDETSEDPASKTKAREQETNIKI >OMO96745 pep supercontig:CCACVL1_1.0:contig07386:38705:41084:-1 gene:CCACVL1_04799 transcript:OMO96745 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MGTVVANSVELSSANKENSIVQSPEELDAGALFVLKSRGSWLHCGYHLTTSIVGPVIFSFPFALSLLGWVPGLLIIALQAMVTFYSYNLLSVVLEHHAQFGKRQLRFRDMARDILGPKWGKFFVGPLQFAICYGAVIACILLGGQSLKFIYLLYNESGKMQLYQFITIFGAVPLFLAQMPSFHSLRHINLASLLLVLAYSACITTGSIHIGNSRNAPRKDYSIKGSEENRILGAINGISIIATTYGCGIIPEIQATIAPPVKGKMLKGLCICFGVILSTYFSVAISGYWAFGNQSKPTILYNFMGEDRPLLPIWFLLVINIFTLMQLVAVTVIYLQPTNEIFEKWFANPKMDQFSARNVMPRLFFRSLTVIFATLIAAMLPFFGDIMALFGAFGIIPLDFILPMVLYNVTFKTSKKGIIFWANTLIAVASSTIVVMGAVASVRQIILDAKTYSLFANM >OMO54165 pep supercontig:CCACVL1_1.0:contig15046:13540:14343:-1 gene:CCACVL1_28003 transcript:OMO54165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMCSSKFHLWDDSGEERISQVWIKVEGVPLFLWHPNFFQEIASSWGKLIKVTDVTLERSTMVAAWFLIEVQNKACFPPIFVGSWRGFRFVLRISIDEKFTDEHPFESGGDGIVNVDGGSSNACSNSEEGMKSFCLEKETLEVRRTINDDSIEMVRETVSNGKHVESPKNALSLALGEVLLVGGGFIAENGKEVDSQNVSARNKEFSIGVGEDGYLQNLEVGPKKLSEECNSYTEALMCAGLEGMEESGAKHDGQRSEEGVSRGEVV >OMP06662 pep supercontig:CCACVL1_1.0:contig04865:6318:6497:1 gene:CCACVL1_01478 transcript:OMP06662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKGVIHNDLTSSNILLDQESHIKISDFGLAKHLDEKKFTIEIRDDEVDITFMLHQKS >OMP06663 pep supercontig:CCACVL1_1.0:contig04865:8526:12162:-1 gene:CCACVL1_01479 transcript:OMP06663 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative E3 ubiquitin-protein ligase MAECRMGFIGLKTSYVHKMDEKTNNHGPAGKLGSSSSVLPQDKGSKNKRKLDDPSLENPVYVPSSGTELPLHELPPEIVQSPVLGALTAKSSGVPLKEDFELADWDDPIACEYEELLLSNLHTIFHNAIKKIVECGYKEDIAEKAISRRGLYQGGKDLVANVANDALASLKQGQEGDMSTHVFEDFRQLVEYTMLEMIGVLGEVKPSLSIAEAMWWLLVCDLNILVACEAEGDIFHNFGSTEIPSENYSNSNPQLRSETQIPETIPSSNEPNVSSHPYPVSRNHLPETLKFGSFPNLPNTKNPLAYERTILEKESLVSMGASGDYLPVASHSESWTGRKGRSKKDLAALRQKSFNMEKYRGYVKGSFRAGRLSAAFSSFVVEKRMKSPSELPAVNMKKASSKMNAEARALPNESHRVFTISSSGLILDDNSSKLPTKGTKSTVPPANTEISQSSSLGKKSVSKSEGRTSVSSKTPDNDGEKKPTSKAESSSSVSSKMPDYYAGIPYDESLGKYMPQDEKDELILKLVPRLQELQNELHSWTQWANQKIMQAARRLSKDQPELKSLRQEKEEVEQLKKEKLAMEENTMKRLSEMEFALNNATSQVEDAHFTVQKLEREHSLLKQELEVATLQAAHAAASCQVSLLREQTAMKDAQSWDGQRSVLQEELTSEKQNLVELQRKVGKAKNIYNQTEMRLKQESTAKEKFLAQAASIRKEQERLEAAAKAEEDKIKQKAEKDMQKYVEEIKELENKLSELKLKLDSSKIAALRRGTGGVKGQCSSVNQGNEITSFSTRVVDIKDYSGNRGLKQEHECVMCLSEEKITVFLPCAHQVLCVKCNELHEKQGMKDCPACRTPIKRRLCARFAKP >OMP06661 pep supercontig:CCACVL1_1.0:contig04865:114:3207:1 gene:CCACVL1_01477 transcript:OMP06661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sas10/Utp3/C1D MAYELLVLLFSSAPELVGLLSELNAALEELESKVNPILRKAKEGNITLEGGMHYLEVKQILLLAYCQAITFYLLLKSEGQPVRDHPVLGRIVEIQGLLDKVKQLDGNLPSEWEEILKNKGAEMGQKLVKESAGLVSDSGTRNHGPSLVGDLQPEDKKVESASNHANKGVKLKRENDQVGMQSREMLKVRAALEEKLKQGMFSSNTQKPDKTKKHLKPVNGQLETYDDFADDTMDVEGAARGLSNGHAGSQQSSKVSQLITAKQNKSKVVSGDDDLPKRDDIGERRRKHELRVLAGAAVNSEDDHGNDASEDDRGVSVEENGDTEDSEDLEDSEDEFYKQVKENRAAKLAAKAEKYTRTSEPSSLPETVDGKRHISHQIEKNRGLTRQRKKDTKNPRKKYRLQSKKREKQRKGQVRDIRKPTGQYGGETTGINVGISRSIRFKS >OMO60503 pep supercontig:CCACVL1_1.0:contig13714:5029:6991:-1 gene:CCACVL1_24077 transcript:OMO60503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHVHITSDSVRAANTGAIVSGPWSPPVRRVW >OMO60518 pep supercontig:CCACVL1_1.0:contig13714:96073:98133:1 gene:CCACVL1_24092 transcript:OMO60518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDADEDDVDIYNVLLDVKYSSGEDDPSSSYVPTDDLDSFWEETPILSDSDIDEESLQLQATKRDYDQLIENLDDDHAPIKLEGEHILYYTRDFRAKISWGSFGEVYMGWVLDENSKIIHRLAIKSSHKTTNEKELARREKEWEAEKKFLPLQNHPNIIRLIGYARDERRMYLVYRYMEHGSLSRRLKGETTKPGDIYSMGVLLLQLITKKTKEPWKIKGAIEKRFVTEKVSVVHSSLKEGGCNDEDGNKITTLGLRCLDMDDTKRPTIQEVIEELEQLNAH >OMO60511 pep supercontig:CCACVL1_1.0:contig13714:56498:59029:1 gene:CCACVL1_24085 transcript:OMO60511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEANRAKELAEKKFFAKDIVGAKKFALKAQNLFPGLEGIPQMIATLNVHISAENKINGESDWYGILGVNPRADDEAVKKQYRKLALMLHPDKNKSVGADEAFKLISEAWSLLSDKARRSAYDQKRNGKAIHKVSTPSGGSTASKAANGFYNVAKTTTSSVKTSKSNSRAGQSSNPAVRSSKPAGQSSNPAVRSSKPAGQSSNPAGRSSKPAGHSSNPSSSHKAKLNTFWTVCHRCKMQYEYLRVYLNHNLLCPNCHEPFLALETAPPTTSNRTPWKFSQQQQNSTSQPAQKSTSSSGRNHASSSGAAGFGSHDSYGHSNFQWAPFSRTGGTGASSAAQAASVVQQAYEKVRREREEAQAATKREEAMRRKHHATKRASGASSTAYASAKKRRSTEDGASTHGTNITNKMGVVNGGTTNLSGSKLGSLDAGWISGNIKHNKSRNIPQIDIQNLLIEKAKREIQKKLHELNSLSAADTAPKGILGNVNANEKQNKSLIDNEAQDQSKSAGFVDKINGDHCIKSFSSTCKVDIDAQTLEAMSINVPDPDFHDFDKDRTERSFGDNEVWAAYDDDDGMPRYYAMIHNVISLDPFKMQISWLNSKTNSELGPLNWVGSGFSKTSGEFRIGKHEINGSLNSFSHKVKWTKGIRGSICLYPRKGDVWAIYRNWSPDWNELTADEVIHKYDMVEVLDYSEELGVTVLPLVKVAGFKTVFHRHLDHREIRRIPREEMFCFSHQVPSHLLTGQESSNAPKGCWELDPAATPVELLQVIKDVEEEEILEKDKEVNEANVADVEKANDEGVVENCKKASEEQDSGAKVLEEIDSVANVGKPQDDDRMMED >OMO60510 pep supercontig:CCACVL1_1.0:contig13714:52868:53431:-1 gene:CCACVL1_24084 transcript:OMO60510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFFSVLERLTCPIQVHRVRPSIFDQWTLAFFRLAIDVDVVKGL >OMO60512 pep supercontig:CCACVL1_1.0:contig13714:61920:62547:1 gene:CCACVL1_24086 transcript:OMO60512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum, stress-associated Ramp4 MDPTTSRRLADRKVERFEKNITKRGAVPETSTKKGSNYPVGPVLLGFFIFVVIGSSLFQIIRTATSGGMA >OMO60520 pep supercontig:CCACVL1_1.0:contig13714:125968:128762:-1 gene:CCACVL1_24094 transcript:OMO60520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSKTDSLSLNIYSPEELRTFTDNFSENNLIGKTQFGQVYRGKIEETGKETQSVTIKTWNDQEEWYMYHDDRKVLLEDSNPLIFDFGLMSGGIIGKKSLAKENTPMTPGYCDMYGCQSGFWTRWSDVYSYGVILIELIAKRITDMEDYGRGCKFTDLWALESVKSGKHPLELVHPSLQEDQDYNASDALRLAELGMSCIEFYPTKRPKMRQIVKRLKELALVKEHGNQICL >OMO60513 pep supercontig:CCACVL1_1.0:contig13714:63632:64822:-1 gene:CCACVL1_24087 transcript:OMO60513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKSGNSKMLEPFKPPPSKKPRRERNRGKLLGSTPTSEVMEQEIWKEFPEDLFEAVIARLPIATFFRFRSVCRKWNSVLDSQSFSQHCSQVPQANPWFYTITHENMNVGAMYDPSLRKWHHPTISFLPAKMIALPVASAGGLVCFLDIGHRNFYVCNPLTQSFKELPARSVKVWSRVAVGMALIGNSTSGGYKILWVGCDGEYEVYDSVKNSWSRPGSMPSNIKLPLSLNFRSQAVSVGSTLYFMRSDPEGIVSYNMVTGVWKQYIIPAPVHLNDHTLAECEGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLDFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYDVMSREWLKVPGCVVPRGRKRQWIACGTAFHPCLTATA >OMO60504 pep supercontig:CCACVL1_1.0:contig13714:11449:12171:1 gene:CCACVL1_24078 transcript:OMO60504 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pheophorbide a oxygenase MVKHNSFIADNFSPLFYTGYGRRDRAKPLTFMVEYSGLRVFGGSNEGNPKISAKFVAPSYSMNKIEIDTKLSIVGEQKWKIWICSFNIPMAPGKTQLIVCSDRNFFQFRVPGPAWWQELEVYS >OMO60505 pep supercontig:CCACVL1_1.0:contig13714:16362:22505:1 gene:CCACVL1_24079 transcript:OMO60505 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MAQSGFDINHLFQEAQVRWLKPAEVHFILQNHEKYQLTQEPPQMPTSGSLFLFNKRVLRFFRKDGHSWRKKKDGRTVGEAHERLKVGNVETLNCYYAHGEHNPSFQRRSYWMLDPAFEHIVLVHYRETNEAKPSSGSIVQSPVSTSALSPNPNSYTSQNPVSNSLASDLHESYQNLSSPEVSSNIVIKNEGVDNTVEYASPEVSQALKRLEEQLSLNEDSFKEMNPFCGLDGDTDHPELLEYGREIANHDTQADQLYKPNDIVQDHLYSQHAMVENYSNRLAVLPDGAKSGEQSQVYSNDSSDGSKESLYWKDVFDLYKTQSGVDSQGKSLSYSRGRPAEQQEQPRWLNFNAPNIEDSSKLLHQEVGNVGIPSYASEIEAIDNNSDYYMMMLNHDGMGIPLAEDSSLTVAQKQKFTIREISPEWGYSNEATKVIIVGSFLCDPSESAWACMFGETEVPLEIIQEGVVCCKAPPHLPGKVTLCMTSGNRESCSEIREFEYRINTSSSAQCDLSRTEASKSPEELLLLVRFAQMLLSDSSLQKDSAESEIYLLRKFKADDDSWSHVIEALLVGSGTSSGTVDWLLQELLKDKLQQWLCSRSKGAGDQSGCIMSKKEQGIIHMAAGLGFEWALSPILRHGVSINFRDINGWTALHWAARFGREKMVAALIASGASAGAVTDPTSQDPNGKTAASIAASSGHKGLAGYLSEVALTSHLSSLTLEESELSKGSAAVQAEMAVNSVSKGSLATCDDQLSLKDTLAAVRNAAQAAARIQNAFRAHSFRKRQQREGAALASLDEYGISPDEIQGLSTMSKLAFGNARDYNSAALSIQKKFRGWKGRKDFLALRQKVVKIQAYVRGYQVRKNYKVICWAVGVLDKVVLRWRRKGVGLRGFRSEPEPIDESEDEDILKVFRKQKVDVAVDEAVSRVLSMVDSPDARQQYRRMLEKYREAKADLVNTNEPAASTSIGDTYDMESDDFFQFP >OMO60517 pep supercontig:CCACVL1_1.0:contig13714:91872:94877:-1 gene:CCACVL1_24091 transcript:OMO60517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDPSTEPKVDDRDLPAAKRAKTDGLKIYSPEDLRVFTDNFSTNNLIGKTQFGQVYRGKIEETGKETQSVTIKTWNDRVERYRVHDDRKDLLEDFNPLIFDFGLMSGGIIGKKSLAKKTTPMTPGYCDMFGCLSGYWTRWSDVYSYGGILIELIAKRITDMEDYGKVRILNTSRWALNEVESGKRPIDLVDLSLQKDKDYNVSDALLVAELGMSCIELYPEKRPRMRQIVRRLKELSIVKEHGN >OMO60515 pep supercontig:CCACVL1_1.0:contig13714:76832:79688:-1 gene:CCACVL1_24089 transcript:OMO60515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSKTDSLSLNIYSPEELRTFTDNFSENNLIGKTQFGQVYRGKIEETGKETQSVTIKTWNDRAEDYIVHDDRKVLLEDFNPLIFEFGLMSGGIIGKKSLAKKTTPMTPGYCDMFGCESGSWTRWSDVYSYGGILIELITERITDMEDYGRVEIETENTSCWALDEVESGKRPIELVHASLQKDQDYNVSDALLLAELGMSCIEFFPEKRPRMRQIVKTLKELSIVKEHGNQICL >OMO60519 pep supercontig:CCACVL1_1.0:contig13714:112352:125577:-1 gene:CCACVL1_24093 transcript:OMO60519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVDESDSPTIKPARTSTDESPKPQSKTDSLNLNIYSPDDLRVFTENFSTNNLIGKTQFGQVYRGKIEETGKEPRSVTIKTWNDRVERFRVHDDRKDLLEDFNPLIFDFGLMSGGIIGKKSLAKKTTPMTPGYCDMFGCLSGYWTRWSDVYSYGGILIELIAKRITDMEDYGKVDDRDPPAVRRAETDEDFIAFTDNFSTSNLIGKTQFGQVYRGKIEETGKEPRSVTINTWNDQEECYMYHDDRKVLLEVDERDSPTIKPARADEELRTFTDNFSENNLIGKTQFGQVYRGKIEETGKETQSVTIKTWNDRAEDYIVHDDRKVLLEDFNPLIFDFGLMSGGIIGKKSLAKKTTPMTPGYCDMFGCLSGSWTRWSDVYSYGGILIELIAKKITNMEDHGRVEIKTTSRWALDELKSGILHIELVDASLQKDQDYNVSDALLVAELGMSCVELYPEKRPRMRQIVKRLKELSIVKEH >OMO60507 pep supercontig:CCACVL1_1.0:contig13714:29119:29872:1 gene:CCACVL1_24081 transcript:OMO60507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVQDIERINLAIQKLLEEKRIHDTTSGNKLSEDDDDQLLSRLLSQLETLKGDSELKQASNLLEEVSSPTPRVDKRNAESENGNKVESMEEIMKEIKAVKKQNTITHCLLSVMIVVTLTWQLSEGFLFLKVKNGFANPFRTIGNFVSGMLRFNIQQDADNNFTSTPNHNSNHFLDPAVKLPEIPRLELPLLGSNGDGH >OMO60521 pep supercontig:CCACVL1_1.0:contig13714:130295:132881:1 gene:CCACVL1_24095 transcript:OMO60521 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MGTQSLIQHSEQISDEHIFRSKYPPVSVPDNLTLPEFVLQDAELYADKVAFVEAVSGKSYTYREVVRDTGRFAKALRSLGLKKGHVVIVVLPNIAEYGIVALGIMASGGVFSGANPASHASEIKKQAEAANAKLIVTNGPSYEKVKDLEIPVIVLGEERIESAMNWDDLLEAADRAGGATRCSKDEVVQTDLCALPFSSGTTGVSKGVMLTHRNLVANLCSTLFSVGQEMVGQVITLGLIPFFHIYGITGICCATLRNKGKVVMMNRFDLRTFLNALITQEITFAPIVPPIILALVKNPVVEEFDLSKLKLRAVMTAAAPLAPELLSTFENKFPGVQVQEAYGLTEHSCITLSHGDPIKGHANARKNSVGFILPNLEVKFIDPDTGKSLPKNTPGELCVRSQCVMQASNVANYKKVRVLQFVETIPKSPSGKIMRRLLKDKMIENMGNKTQFQS >OMO60522 pep supercontig:CCACVL1_1.0:contig13714:138240:141494:1 gene:CCACVL1_24096 transcript:OMO60522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MFNPTPTEIPRKLRPLEWDADGDGGMDSGSLYSSGAAAGSGGSGSDLGLASLSKSSKSASINSSSMGEVKASKFTLEAFEAIPDDISNKKEVSKTEPAGTSPTLEASVGSGEPLLSLKLGKRTYFEDVCAGSTAKTSSYSATPSQSPTPAKRSKANCQSTHVPRCQVEGCNLDLSSAKDYHRKHRVCESHSKSPKVIVSGVERRFCQQCSRRRKPQTEAVHFNTSSLSSSSYDGKQQLGFVWNKVPFLHARSNETFTWEGTFDPKSSQMKGFAPTKGGNVNGQIQLPGNQLLNSITMRCHDSNRFLPAKGKHNTAEVLNQGVEESTLTSHMGTTQEFHRALSLLSNDSWVSCEPKHGSLGYSMQATNPTGMSQPLMNTISQGFPRASSENWQMEQQTAESQVHTTLHSDGDNHFQEFQLLKAPYDNGFYSNEMN >OMO60506 pep supercontig:CCACVL1_1.0:contig13714:24680:27395:1 gene:CCACVL1_24080 transcript:OMO60506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTQVLLNAQSIDGSVRKNAEENLKQFQEQNLPGFLLSLSGELANEEKPVETRKLAGLILKNALDAKEQHRKYELVQRWLSLDANAKSQIKACLLKTLSSPVSDAGSTASQVIAKVAGIELPQKQWPELIGSLLSNVHQLPAHAKQATLETLGYLCEEVSPDVIDQDQVNKILTAVVQGMSGSEGNADVRLAATRALYNALGFAQANFSNDMERDYIMRVVCEATLTPDVRIRQAAFECLVSISSTYYEKLAPYIQDIFNITAKAVKEDEEPVALQAIEFWSSICDEEIDILEEYAGDLTGDSEVPCFYFIKQALPALVPMLLETLLKQEEDQDQDEVAWNIAMAGGTCLGLVARTVGDDIVPLVVPFIEENIAKPDWRQREAATYAFGSILEGPSPEKLINLVNVALSFMLSALTKDPNSHVKDTTAWTLGRIFEFLHGSAVEAPIITPANCQQIVTVLLQSMKDTPNVAEKACGALYFLAQGYEDVGPSSPITPFFQEIVQSLLTVTHREDAGESRLRTAAYETLNEVVRCSTDETATLVLQLVPVIMLELHNTLEGQKMSSDEREKQSELQGLLCGCLQVIIQKLGSSESTKYVFMQYADQIMGLFLRVFACRSATVHEEAMLAIGALAYATGPDFAKYMPEFYRYLEMGLQNFEEYQVCAVTVGVVGDIARALEEKIVPYCDGIMTQLLKNLSSNQLHRSVKPPIFSCFGDIALAVGEYFEKYLMWAMSALQSAAELSTHTVGDDELVEYTNTLRNGILEAYSGIFQGFKNSPKTQLLVPYAPHILQFLDGIYIEKDMDDVVMKTAIGVLGDLADTLGSHAGSLIQQSLSSKDFLNECLSSEDLMIKESAEWAKLAISRAISV >OMO60514 pep supercontig:CCACVL1_1.0:contig13714:72638:74374:-1 gene:CCACVL1_24088 transcript:OMO60514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQKPQSKTDSLNLTVYSPEDLRAFTDNFSENNLIGKTQFGQVYRGKIEETGKEPRSVTIKTWNDRAEHYMYHDDRKVLLEDFIPLIFDFGLMSGGIIGKKSLAKENTPMTPGYCDMYGCNSGFWTRWSDVYSYAVILIELIAKRIVDMEDYCRGCKFTDLWALESVKSGKLPLELVHPSLIEDQDYDASDALLLAELGMSCIEFYPRKRPKMKQIVKRLVELSIVKEQLLLF >OMO60516 pep supercontig:CCACVL1_1.0:contig13714:87748:90772:-1 gene:CCACVL1_24090 transcript:OMO60516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVDDRDPPAVRRAETDDVSDSTNRFRMNENCTGNTTSNLIGKTQFGQMYRGKIEETGKEPQSVTIKTWNDQEEWYMYHDDRKVLLEDFNPLIFDFGLMSGGIIGKKSLAKENTPMTPGYCDMYGCKSGFWTRWSDVYSYGGILIELIAMRITDMEDYGRVDIKSTSRWALESVKSGKHPLELVHHSLQEDQDYNASDALRLAELGLSCIEFYPTKRPRMRQIVKRLKKLAIVMEYGN >OMO60502 pep supercontig:CCACVL1_1.0:contig13714:1700:1909:-1 gene:CCACVL1_24076 transcript:OMO60502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPVVIAVVLFVLLSPGLLFQLPGRSRVVEFGNMQTSGISILVHTIIFFGLITIFLIAIGVHINTG >OMO60525 pep supercontig:CCACVL1_1.0:contig13714:154514:155570:-1 gene:CCACVL1_24099 transcript:OMO60525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKGAKGFMGLALVAVAVSCLLVVEAADDGLASECSKDFQSVMTCLNFAQGKAATPSTECCNSVSSIREDRPKCLCFILLQTKTSGAQNLKNLGVQEAKLFQLPTACHLKNSSVSDCPKLLGLAPNSPEAAIFSNTSTAATTTPATGTSSSASEQSDSNKSSGTKLVAGNQLFGYTLLIVSAVFFYGFASLF >OMO60508 pep supercontig:CCACVL1_1.0:contig13714:35813:41149:-1 gene:CCACVL1_24082 transcript:OMO60508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCWIASMISMNWFINGEFKDAKAGLLGDSSSSKMWFKCWDKISNYIFKIHHHYYQFIGSKRVSKTWWRKLLFSWVIGWTIVSIWTFCYMSSQATEKRKETLASMCDERARMLQDQFNVSMNHIQAMSILISTFHHGKNPSAIDQRTFARYTERTAFERPLTSGVAYAVRVLHSEREQFEKQQGWTIKRMDTLEKDPVHKDDYNPDLLEPSPIQEEYAPVIFAQDIVSHVVSLDMLSGKEDRENVLRARKSGKGVLTAPFRLLKTNRLGVILTFAVYKRDLPSNATPNERIQATDGYLGGVFDIESLVEKLLQQLASKQTILVNVLDTTNHSHPISMYGLNASDDGLEHVSYLNFGDPFRKHEMRCRFKQKPPWPWLAITTSIGILVIALLVGHIFHATVNRIAKVEDDCHKMMELKKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTVLDDTQLDYVRTAQASGRALVALINEVLDQAKIESGKLELEEVQFDLRAVLDDVLSLFSGKSQDKGVELAVYISDQVPEMLIGDPGRFRQIITNLMGNSIKFTEKGHILVTVHLVEEVVDSIEVETESSWKSTLSGFPVADRRHSWKGFRAFSQEGSIHCFSDSINLIISVEDTGEGIPLEAQSRVFTPFMQVGPSISRTHGGTGIGLSISKCLVNLMKGEIGFVSIPKIGSTFTFTAVFSSGCSSSKEYKGQQVNNQSNTVSSEFQGMRALVVDPRPVRAKVSRYHIQRLGIHVEVVSDWNQCLSSISKGNSAIHMVLIEQEVWDRDLNSSTLFINNLDKINHVTPPKAFLLSNSISSSRANNATSGVCNLTVIPKPLRASMLAATLQRAMGVGNKVNPRNGELPSLSLRNLLLGRKILIVDDNNVNLKVAAGALKKYGADVVSATRGIEAIELLAPPHEFDACFMDIQMPEMDGFEATRRIRDMEQNINDRIQFGELSVDNYKNISNWHVPILAMTADVIQATHEECLRCGMDGYVSKPFEAEQLYREVSRFFQ >OMO60523 pep supercontig:CCACVL1_1.0:contig13714:143401:146566:-1 gene:CCACVL1_24097 transcript:OMO60523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHASKPAAKPVQPPQNYSSSAPYVGSGPPSSMYLGVPPYGSSLFNGSSIPPYDVPFSGGSAYHYNYGSRISGGSPYRPLHMSGPPPYSSGSMIGNGGMYGMPPPLMDRYGLGLPMGPPPMGPRPGFFPEDKSQKKGVDATRDNDWTCPKCGNVNFSFRTVCNMRKCNTPKPGSQPAKPDKNSKQKMPEGSWKCEKCSNINYPFRTKCNRQNCGADKPAESKKSPSPTANENDQVCTWNQLIYSSENVTVDLDMLLVQKDTAGCTFLVSIHFHVVWVELSLFQQQAL >OMO60524 pep supercontig:CCACVL1_1.0:contig13714:148964:153835:1 gene:CCACVL1_24098 transcript:OMO60524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQTSDRLISADSAEALNVLVMDRNNNLANLNMLTDKDLVTVGPLRDGVDQSRFLPSMLDSNTTYLSNACSPEAHFHHDAHKQGSFLPYVNTEGLENGFHGIYNESASLGFHGYRHNPQMSHGPYAPVSQLPLVGDPSRLPTARHFPTSDSSYRQPSGPINIPHVTSKTQFSHLEFPVNMEQQVGGKRFGLRPNYLPSSGSYGGGSNFFGNSGKLCSSYQGFGTGGFCSDWSKPFSGKSSLFQMSYPTASTKRIGSLEFPSKDLGMASFRKGSFYGSCSGSRSCYPGNRSDQNSAYGSVSTSSLGINGQNWPTLDEARQVGSCNDFLCSCTVTLDTLSERNRGPRAFKPKSQAITKALVIDSSNNGTTKGISNGSYNRHNFVTDYKDAKFFVIKSYSEDNIHKSIKYGVWASTPSGNKKLDTAYHEAKEKDGATPVFLLFSVNASAQFCGVAEMIGPVDFDRSVEYWLQDKWSGQFPVKWHIIKDVPNSQFRHILLENNDNKPVTNSRDTQEVELEQGLEMLSIFKSYESHSSILDDFYFYEERQKAMQERKARQQTNLVASPDDLVGESQNLVSLPNDFVKKMSKSFAEALLLDENEKAGKMLSASCGSLGR >OMO60509 pep supercontig:CCACVL1_1.0:contig13714:49803:51999:1 gene:CCACVL1_24083 transcript:OMO60509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAESTCSNCKKARSQAASAVRTYFKINESES >OMO49324 pep supercontig:CCACVL1_1.0:contig16537:26796:35919:-1 gene:CCACVL1_31073 transcript:OMO49324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVTSCPFYANLKVKLAIQSYEIRHNSPFLFPAIASRRNSSSVLGFGWSGKSQFNFCKSPEIGFGVDDGVNKSKCRRTAVIRAAGSDYYSTLNVSPDATLQEIKASYRKLARKYHPDMNKSPGAEDKFKEISAAYEVLSDNEKRSLYDRLGEVGLQREYSGSGGASSGVDPFEVYNAFFGGSDGFFGGMGEPGGFNFDLRNKGNTSLDIRYDLHLNFEESVFGGEHKIQVSCLETCDDCGGTGAKSSSCITSCSGCGGRGVVMKSQRTPFGMVSQVSTCSNCGGNGKIITDNCRRCNGCGKVKVKRSMSVIIPPGVSDGSTMRIQGEGNFDKRRGLAGDLFIVLNINEKPGIWRDGLNLYSKINVDYTQAILGTVVKVETVEGMKDLQIPSGIQPGEKVKLSRLGIPDINKPSVRGDHHFIVNVLIPKDISNKERALVEELASLKASSKSYHSSDGMHEVSELEECASSKRINRVALLWNSLKAFLGYSLLPVP >OMO49323 pep supercontig:CCACVL1_1.0:contig16537:9950:12548:1 gene:CCACVL1_31072 transcript:OMO49323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKAFFIPIVVFLVLLQVTKPDLAADRAALLALRSSVGGRTLFWNISSQSPCAWAGVRCEQTRVTGLRLPGVALAGEIPLGIFSNLTQLRTLSLRLNSLSGQVPSDLARCENLRNLYLQGNHFSGEIPEFLFGLHDLVRLNLGGNNFSGEISAGFNNLTRLRTLLLDTNALSGSVPDLGALENLGQFNVSNNLLNGSIPKTLQKFGSDAFLGNLLCGQPLEKPCPATAGSTNASEPANPTDGNQQGKKKKSNLSGGAIAGIVIGSVLGFLLIVMILILCRKKSSKRSRSIDIASIKNQELENIPGEKSGGDMENGGYGNGYSVAAAAAAAMTGGGGVKGGEANGAGAKKLVFFGNAARVFDLEDLLRASAEVLGKGTFGTAYKAVLEGGNAVAVKRLKDVTISEREFKDKIEGVGAMDHQNLVPLRAYYFSRDEKLLVYDYMPMGSLSALLHGNKGAGRTPLNWDIRSGIALGAARGIEYLHSQGANISHGNIKSSNILLNKSYEARVSDFGLAHLVGPSSTPNRVAGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPTHSILNEEGIDLPRWVQSVVREEWTSEVFDLELLRYQNVEEEMVQLLQLAVDCCAQYPDNRPSMSEVTTRIEELRRSSLREDFDAQPDKVNDAAEDSR >OMO49325 pep supercontig:CCACVL1_1.0:contig16537:50731:54323:1 gene:CCACVL1_31074 transcript:OMO49325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRRFLWGGSEGKKALHLVHWDDVCKPKVYGGLGLQKMEYHNRVLLQKTAWRFLTQPSSLWVQCILVKYRIHGDIFDFIKGAGSKKLIWSSSWRGLASALLELSGSLRKRVGSGVSVKFWTDTWLDQLIADSLEVLPSFVDPNVLVKDFIMSNGAWNADLLFAQLPYDIATQILGYPLPTVVNLDDSYVFADMSLLSDLVNLNLSESTEKVIAEYIWIGGSGMDLRSKARTLPTPVSDPKKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRCNAEKIFSHPDVVAEEPWYGIEQEYTLLQKDVKWPIGWPTGGYPGPQGPYYCGVGADKAFGRDIVNSHYKACLYAGINISGINGEVMPGQWEFQVGPAVGISAGDELWVARYILERITEIAGVILSFDPKPIQGDWNGAGAHTNYR >OMO55550 pep supercontig:CCACVL1_1.0:contig14670:1219:3377:1 gene:CCACVL1_27191 transcript:OMO55550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDHGNAVKDMKEKHSQANFHKSCDQAEDNTSSKSSYVTSLENKYKPGYGVEEAEQKWSSSSAFITKLESIYRPDYGVLEDEEKIARLESKYKPGYIAVDEVEERIVRLGNKYKPGYGVDEAEGKSSSSAYITKLESRYKPDYGVLEDEEKIARLESKYKPGYGVDEAEKSSSSAYITKLESKYKPAEGKSSSSAYIAKLESQYKTSYIVLEDDEKMAHLENKYKPDYGVDEAEEKIEEPHNMAHDHGHDDDIGSEDVGVFTVDDVRTFQVGRKLTTFFSIRKPSLSPGFLPRDVADSIPFSSSDIPRILQFFSISPDSPKGKFIKDTIRRCEVKLVKGETKICATSSESMLEFLKNAFGEADFQLISTSHPTMTTPILQSYTVMGPPREIESPRKVACHPEPYLYTIYMCHYDETETKLFKVPLVGDNGDKVDALIVCHMDTSAWSPKHAAFSLLGTKPGIPVCHVFTEGHGVWIQSSTKNVAAM >OMO69044 pep supercontig:CCACVL1_1.0:contig12128:12849:13109:1 gene:CCACVL1_19674 transcript:OMO69044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRRLQALRFFFFHISTKPSPPLLIQVGLYFPLISVDLLLFNVKLEALTAAAARSRYPHFNFKLKAQSILTILVGIDSPPPPKSKPI >OMP05338 pep supercontig:CCACVL1_1.0:contig05431:17833:21569:1 gene:CCACVL1_01946 transcript:OMP05338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine beta-synthase, core MDCALRLSTSHLSPPLFSSSPSPPLCKTPPFPFRTSISITIPNPNQRLRFISSPPAALPDSAEKQTLVNPPADSDASEDNFDNSGVILSACLVGVFTGIGVVLFNNGVHEIRDLFWDGIPYRGASWLREEPLDSVWPRVILVPACGGLIVSVLNVARDAASKVSFGAKAALGSFFKALAACVTLGTGNSLGPEGPSVEIGSSIAREIHSIFDKNPQTKLSLLAAGSAAGISSGFNAAVAGCFFAVESVLWPSSPADSTVSLTNTTSMVILSAVIASVVSEVGLGSEPAFKVPEYDFRSPGELPLYLLLGIICGLVSLSLTKLTSYMLGVVDNLNKDVGIPKPVFPVVGGLSVGLIALAYPEILYWGFQNVDILLESRPFVKGLSGDLLFQLVAVKIMTTSLCRASGLVGGYYAPSLFIGAATGMAYGKFISFAIAQSNPGFHLSILEVASPQAYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGFSSLITSGRMERKDVKETKELKDRNTRTNQQPETSGNRADLSSIDVPPQNAPDMNNLCEVESSLCVDDSSIRTKELEKRTFVSEAMRTRYVTVMMSTSLTEAVTLMLAEKQSCALIVDNDNLLAGLLTLTDIQNFSMFVKDESLQSKELLVSEVCSSDSAKCKVSWTATPTMDLLSAEMIMNKHGLTQVPVISDHVKDCRGELVGLLDRECISLTCRALATRESLLDYDTVKAMKERG >OMP05337 pep supercontig:CCACVL1_1.0:contig05431:2006:7725:1 gene:CCACVL1_01945 transcript:OMP05337 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposon, En/Spm-like protein MDKSWILKPRTRIAYLNGVDKFLDFAFAKLAEGKEILCPCVNCVNGSWLERKEVREHLICKGINEKYTEWNMHGEESFDEDIDVDNNHNIHDDMHDDKHDDMHEMLNDVFNDGMDDGNGFSSGPDENAQKFYNLLKKAEEELYPGSLMWTISDFPAYAMLSGWSAKGKLACPCCNYDTCYQYLRHSKKMCYMGHRRLLEPSHKWRHDRTSFDGSIELRQAPQPLSGSVALEHIKNQRKNPNEKGPWKKKSIFFELLYWEHNSLRHNLDVMHIEKNITDNILGTLLDIPGKSKDHAKAMFDLKDMGIRRNLQPQESYDSRRTIIPKAYQEDVVIYCGLYNRDPTQTCEAPLWEGQIREAFGPKQTIPRMLGRVFTVGIIALTGRVVGAKCYTVGVRWEPPLESLRPELSATPWESPLKSWRPVGLGDCVVWACRDDKPIRALGRVSTVGNRTLTGRVVGAKCYTVGVRWEPPLESRRPRSNPTCEVPFGKGKSVRRFGPKRTIFCRLGRISTVGIRAFTGCVAGAKCYTVGVRWEPPLKSRRPVGPGDCVVWACRDDKPIRGLGRISTVNIRALTGRVVVAKCYTVGVRWDPPLESRCPRFNPNLWGAFWEGRIREAFGSKRIIPRRLGRNSTVSIRALTGRVVGAKCYTVGVRWEPPLESRRPVGPGQIREAFGSKRTIPRRLGRISTVSIRSLTGRVVGAKCYSVGVRWEATSRIPGAWLVLMIVGLVGDDKRIRRVDCDAPRSQIKRMLLSIVVYIIEIQPKLVRHLLGRANP >OMP02667 pep supercontig:CCACVL1_1.0:contig06211:8547:12411:-1 gene:CCACVL1_02734 transcript:OMP02667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSSSSSSSQKSMGHTGLTRYGSAPGSLLTTAVDSVIGADPTLVGHYFSADSSSVTSESTCKVSLSNDPQAPKVSAAAAPHHGSYAGPSSSSLVRQRSSPAGFLSHLTTENGFSVTRGNRSYTSHGGANGGPGVSRLKSQLSFTRQDSLSQISEVSENLEGVSSSGNHQNAAHSFAGFGMDSWDNTNSIVFSAPSSKRAKNIDGDFYTCLNGLETQFSLPQTTLEMATVEKLLHIPEDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKKLQELVPNMDKQTSYADMLDLAVQHIKGLQNEVQ >OMO92900 pep supercontig:CCACVL1_1.0:contig08159:8962:9144:1 gene:CCACVL1_06736 transcript:OMO92900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAQIPIHLSHVLDAHFDQTQVAKFDNSYQRNNKTQKEYNLSSHQAINYFPIITSMIPLIM >OMO92901 pep supercontig:CCACVL1_1.0:contig08159:11544:11618:-1 gene:CCACVL1_06737 transcript:OMO92901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSFQKPHVVSVVLFDARLKLE >OMO68154 pep supercontig:CCACVL1_1.0:contig12258:254:4785:1 gene:CCACVL1_20058 transcript:OMO68154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNFSTWEGSPNPNPNKSFEVWPCPNDSVSSLSFSPKANFLVATSWDNQLTCWEIARNGSDVGCMPKAFITHDQPVLCSTWKDDGMTVFSGGCDNQVKMWPLMSGGQPMTVAMHDAPIKEVAWIPEMNLLVTGSWDKTLKYWDTRQSNPVHIQQLPDRCYALTVKHPLMVVGTASQNLTVFNLQNPQTEYERIVSPLKYPTRCIAAFPDLQGFLVGSIGGRVGVHHLDDQKQSKNFTFRCHRDNNDNMYSVNSINFHPVHHTFATAGSDGVFNFWDKDSKHRLKAMSRCSQPIPCSTFNNDGSIFAYSVCYDWSKGAAKHNPATAKTHIFLHLPQVYFYLVSPDYEVKKKAR >OMO68155 pep supercontig:CCACVL1_1.0:contig12258:5866:11832:-1 gene:CCACVL1_20059 transcript:OMO68155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPPLIDCLFLYETGDALNALKTNLADPNNVLQSWDPTLVNPCTWFHVTCNSENSVTRVDLGNANLSGQLVPQLGGLSNLQYLELYSNNISGIIPEELGNLANLVSLDLYLNNLTGHIPTTLGKLSRLRFLRLNNNSLTGTIPFSLTAVNALQVLDLSNNKLVGDIPVNGSFSLFTPISFANNQLNNPPPAPPPPIQPNTPTPSGNSATGAIAGGVAAGAALLFAAPAIVLAWWRRRRPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPFMSNGSVASCLRERSENQAPLDWPIRKRIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKERKLEILVDSDLQGNYIEDEVEQLIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWEEWQKEEMFRQEFNQTHHPNANWIVADSTSHIPPDELSGPR >OMP02532 pep supercontig:CCACVL1_1.0:contig06226:2130:3375:-1 gene:CCACVL1_02773 transcript:OMP02532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQEQHLYVSGFDCFSKCFKAYTIATVS >OMO96704 pep supercontig:CCACVL1_1.0:contig07396:886:2467:1 gene:CCACVL1_04819 transcript:OMO96704 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein (ACP) MAAVRGALLKHLRVNVTPLSSNPSPSLFSLTFNAVRRRFSDEVKGSFLDKSEVTDRVISVVKNFQKVDPSKVTPNAHFQNDLGLDSLDTVEVVMALEEEFGFEIPDNEADKINTINLAVEFIASHPQAN >OMO96705 pep supercontig:CCACVL1_1.0:contig07396:3498:6522:-1 gene:CCACVL1_04820 transcript:OMO96705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNRTLVFRKYRDALKSVRIPTSSSAAASSMAAAATSSGGGPVIEMVSTSLLHPNRSYAPLSTEDPGNSSKGATTVGLPPAWVDVSEEIAANVQRARMKMAELAKAHAKALMPSFGDGKEDQHNIEALTHEITNLLKKSERRLQKLSAAGPSEDSNVRKNVQRSLATDLQNLSMELRRKQSTYLKRLRQQKEDGGVDLEMNLNGNRSKAEDDDLDDMVFSEHQMAKMKKSEAFTVEREREIQQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVATTVEEGLKQLQKAERTQKQGGMVLSRKMMPQNLYRAHDVFFVPSVRSCIDSLRVLGSFLGGSHIL >OMO96703 pep supercontig:CCACVL1_1.0:contig07396:194:328:1 gene:CCACVL1_04818 transcript:OMO96703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVRTLSLVLQRLTFDLKLSLSNLVARSSTALDYMNKKKKNSG >OMO96706 pep supercontig:CCACVL1_1.0:contig07396:8611:10915:1 gene:CCACVL1_04821 transcript:OMO96706 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought-responsive family protein MDAESWSARLSSASKRYQSALQSRSDMFMGFEEIDGEDDIREEFPCPFCSEYFDIVGLCCHIDDEHPVEAKNGRKRKSRKGGSHSTLSLLRKELREGNLQSLFGGSSCIMSSSNSAPDPLLSSFILPMVDDFVSVQPHFSSETSTTKKSADVNKSERNVQASPLSVKDQEEKAKRCEFVQGLLLSTILDDSL >OMO96707 pep supercontig:CCACVL1_1.0:contig07396:11693:12319:-1 gene:CCACVL1_04822 transcript:OMO96707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIVTFPFHFSDKSNSFVDLNSKPETKKKIIIIIISMLVHSNPSVHFSPFRYQNMTEKEPYLHLSCVLTISLPKPNIRFKIPKALAKIMLRKNHVCSDSDDEESSKNKNKNKKKKQWRVKGNLKKKVGAMFCNVFGNNLNEGMQGKKGDEKGIKRSVVTSKWLRKVIKISNNWSEKEELCKKRILMGGKCKPLAAIRYDENGNLLPEM >OMO57162 pep supercontig:CCACVL1_1.0:contig14434:4612:5372:1 gene:CCACVL1_25945 transcript:OMO57162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTPPFIDFFRRSSSTGGDSMECVSPEPKTEKPLKDPRPFIDFFQLSAPTRGESMEPGSPVPETQLLLKDSRPFIDFFRQSSSTKGESVEFRSAVPKTHKLLKGSQHFIDRRSASTR >OMO57164 pep supercontig:CCACVL1_1.0:contig14434:8596:8924:-1 gene:CCACVL1_25947 transcript:OMO57164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDQRSPGIIALSVKDAFSIIQEGSFK >OMO57163 pep supercontig:CCACVL1_1.0:contig14434:6927:7055:1 gene:CCACVL1_25946 transcript:OMO57163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHWRRIFGPSGWRTKPKPSVRREILAISRGSEDCEIEFIS >OMO98335 pep supercontig:CCACVL1_1.0:contig07122:33010:37896:-1 gene:CCACVL1_04245 transcript:OMO98335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSGVLKTLIRSSNLGSTTRNFSLVGSQISKHTAKWMQDTSKKSPMELINEVPPIKVEDRIVACEGDTNPALGHPIEFICLDKKEPAVCKYCGLRSRQQKVVISKLVLRRMEFGCLTWIQHFLYQLAFSLGIRNEGDVRYLPHDVIVDILSRLPADDVLKCRKVCKNWRALTSTRQFIEKHLKHASKDASHQLLFLQHIRQNKLEFYFIDEKLPGRILKNIYEEVCTGFMDDTLKFRPKLVDSYDGLLVFEEGYLVSSKIFICNPITQEVVTLLKPSFYHSFCGIYFHSSTKEYRVLSALCGRIYTEYFVLSLGSKSWTRLETFPHTLNSNKFLFWVAQRDTTPCCDRLLVFNTDSEKFKAVPHPGGRLMRCDFSGRLLRCNCSMLLIKIEIDSFSICIRYTYSMVIWVLKDYEKLSWSSMHEIPLNTITVRGESLKIFSDGEVEIGNIQDNELLLVGREGGVFRYNLCTKKIRKLRGKIDRSGISIPYGYLRIRSYIKSLISLKDRYGC >OMO98337 pep supercontig:CCACVL1_1.0:contig07122:58249:59516:-1 gene:CCACVL1_04247 transcript:OMO98337 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MAAVFHCVGDGRGEAGQSNELILPPGFGFSPKDHELIEWFLVNKIMGIPIPYNIIKDIDVYECDPRQLPTSDLKHGKTKNEAYYFTKAGKTWRRTTKGGYWKATYEQDINGRGGKIIGMKTIQWVVCVIRNKDDDIEKERKWSFYEEKAEEEEDDGDEDDDSD >OMO98334 pep supercontig:CCACVL1_1.0:contig07122:13456:13779:-1 gene:CCACVL1_04244 transcript:OMO98334 gene_biotype:protein_coding transcript_biotype:protein_coding description:sodium/hydrogen exchanger 7 MEEVKENLYVLPLRLLEESSVESSSSSNPVDAVIFVRISLVLGIASRHLLRGTRGPHTVALLIIGIEAKRKGCGGEKIRARKKHGLRKGNEEGGGERGGARACCAFL >OMO98339 pep supercontig:CCACVL1_1.0:contig07122:69755:72877:1 gene:CCACVL1_04249 transcript:OMO98339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLAEESDGNGGDKDLLGRMSALESKLESMMDFLKQSTQAKDVIEPAKTEPVVEQRVVEPFKMEVRIEIPIYDGELDLEKLNGWIKQLEFLRQKKGRTVQEYTTEFRKQAILFGVSLRGAETLAKYKAGLHYSLRTELALFNVKDIDDASVKAMHMEKRAKPFRDQQGSRREAEKEEIECSENPDEKLVCMAYRVKQKHLSVATTSTDTGKAVVCVPDRVKEGLFWVYVQIQMSKVVALYDSASQRNIISHQLVKQLNLKSTPHPEPYPLGWLNKDAELQVMEQCTFKFSINEKFKDEVTCDVMPLDICQVVFGSPYLCDRDAIFYRRENMWRIVKDGVGYRICPAKDARKLSLVSAQQAKHLVNTSKRPPLKVANVVMYREPSDSVIYKEQYIDDSCFQNTYRQLEAGNNIYGYHLEDGLLFKGNK >OMO98333 pep supercontig:CCACVL1_1.0:contig07122:5609:9636:1 gene:CCACVL1_04243 transcript:OMO98333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSSNGQRFKVSNNRELLSNSGGIVMAAC >OMO98338 pep supercontig:CCACVL1_1.0:contig07122:64698:67321:-1 gene:CCACVL1_04248 transcript:OMO98338 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MRRASLNSSSTGIEELVGQKHVCMQFPLKVSSPGLGALIFGNHAQNDLHKFMEYTGPSLFSQMVLTFVLTHLFHNILLIHLGLPILFSQILAGIVLGPMVLGKASVVMTSYESVKILGTLGSMGFIFFLFLSAVKMDIRMVYKAGRKAICIGVLTVLVPIAACLITDRIITGETDLIRNKNFFFSITYSGTSFPVIHILLSELKILNSELGRLGLSAAIVSDMVSVLLVNVGNWVNNVGVKGPKVVLEDMGLTIALVVIVFLVLRPGMKWFARRTPEGGRINDVFLYAVVLLFMLSPTVTSLFHASILFGPFFFGLATPDGPPLGSTLVEKFEPLVSGMFLPLFASTCGMRIDLKFLLKSNKYAKDQIVAALVTLIVKFFVSLALPLSFKMPKQDSLALAFIMITKGIFEIGVYSIFFDAAVISEDLFNIMSIMIVLLASIVPIAVRKLYDPSRKYLSYKKRSILNSKVNEDLRVIGCIHVPGNVNSIIDMLNTCSPSKESPIALDVLHLVKLSGRATPLFIAHQKHGHGIARFESSYSENIVLAFNQFERDNWEAVSVNVFTAVSPRNLMYEDICNLAINNLTSFIMLPFHRRYNADGSIESEDQAIRSLNCSILDKSPCSVGILVEGHRSINHSNSIESTLSSDSSFGIAVIFLGGKDDREALAFARRISIGQRVGLTVIHIKPSKAIRIILADDDSDKILNDEMLRITKEIRNIRYIEKEVNDGPETSTFLRPLLNDYQLVIAGRRYGMEDPQTFGLEEWIEFKEIGIIGDLVSSQDFGGNYSVLIVQQQQLQLIGNIA >OMO98336 pep supercontig:CCACVL1_1.0:contig07122:51341:56716:-1 gene:CCACVL1_04246 transcript:OMO98336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLKSTFLSSTINLYPSFSRPKLPPAKPKLHIHSSIHPDPFSLSDGNPSKPKPRSRNPKKPLSDDNARRIIKKKAQYLSVLRRNQGPRAMTPKWIKRTPEQMVKYLEDERNGELYGKHVMAAIKTVRAMGEKKAGEVDVRKVLGSFVMKLSFKEMCVVLKEQKNWKQVRDFFAWMKLQLSYRPSVIVYTIVLRSYGQVGKIKLAEQTFLEMLEAGCEPDEVACGTMLCTYARWGRHKAMLSFYSAVQERGIRLSTAVYNFMLSSLQKKSLHDKVIYLWRQMLDRGVAPNRFTYTVVIYSLVKGGHCEEAFRTFDEMEKCDFVPEEATYSLLISSCTKDGNWSDALRLYDDMRSRGIVPSNYTCASLLTLYYKNEDYSKALSLFTEMERNKIGADEVIYGLLIRIYGKLGLYEDAQRTFEETERLGILSDEKTYLAMAQVHLNSGNAEKALAIIQSMKSREIWFSRFAYIVALQCYVMSEDLDSAEVTFRALAKTGLPDAGSCNDMLRLYLGLNLTERAKKFIVQIRTDQVVFDEELYRTVVSIYCKEGMLKDIEQLTEEMVTNDLYKANKFIQTISRAMFGEYMGHQKFEVNVASNQLDTTALGYLLRLYLECKDFSKTENILKLLLECASSMSVLTQLISKFIEEGDISKAKALDNQVVKPGRCADDVTITYMTGLDGKEQKIKQAHDVFTSVADSSTFGKLIYNSMIDVYVKCSKPEAAYSLYKEAIEKGHDLGAVAISKVVNSLTNFGKHQEAEEMINTSFKDNLILDTVAYNTFIKAMLEAGKLHFATNIYERMLSMGVAPSIHTYNTLVSVYGRGRKLDKAVEMFNMARSSGMALDEKAYMNLIWYYGKAGKTDEASLLFRRMQEEGINPGMISYNIMINIYAGAGLCHEVETLLQTMQRDGLSPDSSTYLSLIQAYTEWMMGEAERVYKELITAGLSPDLACYRTMLRGYLDYGLVEEGINYLEQIRDTAEPDRFIMSAAVHIYKYAGKEQEARSVQDSMKNLGIPFLEKLKVGYKMTSP >OMO94777 pep supercontig:CCACVL1_1.0:contig07801:41367:42225:1 gene:CCACVL1_05834 transcript:OMO94777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRRKLVSLEKTKTETFNSLERLGPKTANKERHPGQEIVGCLPWVAKPQCRGAG >OMO94774 pep supercontig:CCACVL1_1.0:contig07801:24646:25524:-1 gene:CCACVL1_05831 transcript:OMO94774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate kinase MFKVPEHQVAGHIAIDGKLGPLIDDSGRFYKPLQDDGRGSKELNFYKSFSSDIRVPDNIRKFFPVFYGTQLLEASDGSGLLPHIILQDLTSDRLNPSIMDVKIGSRTWYPEASDAYIQKCLEKDRATTTVSLGFRIAGLQIYESKESGFWKPARKEVQSFTVDSVRSVLRKFVSSNVSVGSDVNPDCSFASRVYGGSAGILEQLLELKEWFEDQTLYHFHSCSLLILFDKQSLLEGRTPVAEVKLIDFAHVLEGKGIIDHNFLGGLCSLIKFVSEILSSLKESSIKSGSTES >OMO94775 pep supercontig:CCACVL1_1.0:contig07801:27523:32122:1 gene:CCACVL1_05832 transcript:OMO94775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MPLAVMKGPRPSAATSGNMAATVLDTCPNNMFVLRLTRFMSTLVLLLFFYLAVCSAHQDFINDSPVSPASHRLLASTCPKMDSKNSSECSKKVVEASQNRCALTLLDADFFNDAKVEEIAKGAKELNIPIIRANRKLVASVNGGLHNPSPLVFNPEWSTDKSHYNKSKFNHPSPSGIQRPEHEEDIAFMSVLELAELIKTKKITSEELTSLFLKRLKRYNPVLEAVITYTEDLAYEQAKEADKLLSQGVYLGPLHGIPYGLKDIISVPHYRTTWGSTTFKTQVLNVEAWVYKRLKSAGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAACTSAGMVPFAIGSETAGSITYPASRCGVTALRPTFGTVGRTGVMSLSESLDKLGPFCRYAADCAVILDAIRGKDPDDLSSRDIPFPDPFSVDITKLTVGYLEDADKEVVNVLESKGVKMVPFKLNYTVDSVQGILNFTMDVDVLAHFDEWQRSGKDDDYEAQDQWPVELRRARVVSAVDYFQAQRARGKLIQEVKESFTVDAFIGNAIDWERVCMGNLVGLPVIVVPTGFKMISNPPSSGTRRRTTVNTGIYAPPNHDHIALALAMAYQSPTNHHKQRPPIDDLGPNDKIPNPPTVTIPPRRLHLH >OMO94778 pep supercontig:CCACVL1_1.0:contig07801:42688:45502:-1 gene:CCACVL1_05835 transcript:OMO94778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calreticulin/calnexin MMGRFALLLLLSFASLQLLCFAADDKTVFYDSFDEPFEGRWVVSDKDDYKGVWKHSKSEGHDDYGLLVSEKARKYAIVSELDEPVSLKDGTTVLQFETRLQNGLECGGAYIKYLRPQEAGWKPKEFDNESPYSIMFGPDKCGATNKVHFILKHKDPKSGEYVEHHLKYPPSVPSDKLSHVYTAILKPDNEVRILVDGEEKKKADFLSAEDFEPPLIPAKTIPDPEDKKPEDWDERAKIPDSDAVKPDDWDEDAPMEIVDEDAVKPEGWLDDEPEEIDDPEATKPEDWDDEEDGEWEAPKIDNPACATAPGCGEWKKPMKRNPAYKGKWSAPLIDNPNYKGIWKPRDIPNPNYFELDKPDFEPIAAVGIEIWTMQDGILFDNILISKSERVAESYRETAWKPKFEAEKEKQKAEEEAAGSDGLSGYKKVVFDALYKVADIPFLSEYKLQILDLIEKAEKQANLTIGVLVSIVLVILTIFYKLIFGGKKTPRVEKKPEVAETSNDQGTSGEKAQEEEKEETAAAPRRRRRDT >OMO94770 pep supercontig:CCACVL1_1.0:contig07801:319:6964:-1 gene:CCACVL1_05827 transcript:OMO94770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosyl-DNA phosphodiesterase MVVTLQRSGSGTGQQQQQQQAGSRADGQVGFFAVRLSTRYASNRTGLVPLVEPGQPATSRSTVGDEVLLVCGNARECSLNVQVGFVIHGIVFKEEVVPDLDKSSGMIPQLHGAMASSRLSEGTVSSGNRNKRVFAIPTNEMTSGCEGSKPADVLERAKFILSHCRSILHSDDPISYIRQCDIVDSGHNKFLGLTLSKRMKFAIGSEEEPSSLKEVAASEIKRSLIGDLSHGGSPCRKGIVEGSGEEYTTANDRPGMASLNCSEKENVPDIDSICASKMCPNSCSASAPGKMFYLNRLAYMDCGSSNHHTVVSLKELLHPVESISKIFIATFTSDILWFLSLCEIPCHLPVTVACHNVERCWSSSPDARSSMPFPDFPNLVVVFPPFPEVIAFGNDRKKRGIACHHPKLLVLQREDSIRVIITSANLVAKQWENVTNTVWWQDFPRRSKPDYLSLFPLSYQNSRSDFAAQLAGFVASLIVDVPSQAHWIVELTKYDFTGAMGHLVASVPGIHSDRTLKVNQFRPTSLDSKLLGLVEASVVGLSHLFRTAEDTNGARLKKLALFIGKTCDNEYGMLYVVLRRNKNIPADENAVSVLVPNPDELSGEDCIQLGFLPRDVAKWVSPLWDLGFFEFHGYVCREEALAATFGGNNKKVQLILHVSQGPNLLDMSNTLQAPNIVALCYLIASVQRCTGLWRLQEVLGQYKWPESQESDFIYGASSIGTSVGAQFLASFAASVGKKSLQNFDSQESDPEWGCWNASEELRNPSIGIIFPTIERVKNACNGISPSRQLLCFSE >OMO94771 pep supercontig:CCACVL1_1.0:contig07801:9223:15252:1 gene:CCACVL1_05828 transcript:OMO94771 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b245, heavy chain MSAEEGNYNYHHHHSDTEVDHKVPHSGPLSGPLNKRAVGRKSARFNVPDSTSSKDDGYVEVTLDVRDDSVALHSVKAANGGDLPEDPELTLLAKGLEKKSTVGMGSSIKRNASAKIRQVGHELKRFTSFSKKPTARFDRTKSAAAHALKGLKFISKTDGGHGWAAVEKRFDDITASNNGVLPRSRFGECIGMESKEFAGQLFDALARKRNLEGDSIDKAHLKEFWDQISNQSFDARLQTFFDMVDKDADGRITEEEVREIISLSASANRLSNIQKQAEEYAALIMEELDPDHLGYIMINNLEMLLLQAPSQSVRGESRNLSHMLSQKLKPTYETNPVRRFIKDAKYFLLDNWQRVWVMALWIGVMIGLFTYKYVEYKRREDVFKVMGHCVCFAKGAAETLKLNMAIILLPVCRNTITWLRNKTKLGVAVPFDDNLNFHKVIAVAIAIGVGIHAIAHLTCDFPRLLHATPEEYKPMIQYFGEQPDNYWHYVKHVEGITGLVMVVLMAIAFTLAAPCFRRGRMNLPKPLKKLTGFNAFWYSHHLFVIVYTLLVVHGIKLFLTKKWYKKTTWMYLAVPVFLYLGERLTRMLRSSIKAVAIQKVAVYPGNVLALHMSKPHGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLRTVFSEVCQQPTNGKSGLLRADFMQGKNSPDFPKVLIDGPYGAPAQDYKKYEVVLLVGLGIGATPMISIVKDIVNNIRANEEEEMNALENGNGVGMNSKTSPPNSKKKENFKTRRAYFYWVTREQGSFDWFKGIMNEVAEMDHNHVIELHNYCTSVYEEGDARSALIAMLQSLNHAKNGVDIVSGTRVKTHFAKPNWRSVYKQIAVNHNNSRVGVFYCGAPALTKELRQLALDFSHKTSTKFDFHKENF >OMO94773 pep supercontig:CCACVL1_1.0:contig07801:22400:23089:-1 gene:CCACVL1_05830 transcript:OMO94773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Germin MNSTLFFFIVFCSASIRICLADCDNLQDACPTDMTAKKAVFINGFPCKNPSNIIAADFKTSGLNHAGDTDNFLHSSMNIITAADFSGLNTLGLSIARTDLDVDGVIMPHSHPRASEMFFLSKGIVLAGFLDTNNTLFQSIIKGGDVFLFPRGLLHFCLNSGYEPAVGYSVMNSQNPGVVSIGGAMFETDSELRDKIARQLNSIPASELKHINNATLAKLFSRIHIKESL >OMO94780 pep supercontig:CCACVL1_1.0:contig07801:51323:54083:-1 gene:CCACVL1_05837 transcript:OMO94780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVMKGHKQFSLGILLAPQGSRLKAQGKTQ >OMO94779 pep supercontig:CCACVL1_1.0:contig07801:50405:51011:-1 gene:CCACVL1_05836 transcript:OMO94779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRSTIGYLKQLLANRLRGTSAATYATSTQPKMKSYSPAADFGYGQDHSKTPKKVRGDFVAVYVAIGFITLSVSLGIHTAMQELKNVPNVRVNKKRRETLPEVEDPDRVLDESEKFVKQSFFRKVAHVQENDHDYATMQDSGHADFFAVMPKKAETLKSVGVDPKFRALDH >OMO94776 pep supercontig:CCACVL1_1.0:contig07801:35490:40812:1 gene:CCACVL1_05833 transcript:OMO94776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTAGWFKAKVKAVPSGDSLVLMGLPSNNKPGPLPEKTITLSSLIAPRLEVTFRVEYTVPSIGREFGSVYLGDKNIAMLVVSEGWAKEPGAREAAVRDLPPSAIGDPSNLDALGLLNANKGRPLQGIVEQVRDGSTVRVYLLPDFQFVQVFVAGIQSPSMGRRVAAETVIETNLTHDDSNGDASVEPRAPLTSAQRLSASSAAAVSDADPFAAEAKYFTEVRCLNRDVRIVLEGVDKFSNLIGSVFYPDGETAKDLALELVENGLAKYVEWSANMMEEDARRRLKTAELQAKKSRLRMWTNYVPPASNSKAIRDQNFTGKVVEVVSGDCIIVADDSLPFGSPLAERRVNLSSIRCPKMGNPRRDEKPAEHAREARDFLIARLIGKQVNVQMEYSRTIGMADGAPAPAASADSRVMDFGSVFLLSPVKGEGDDASAAVTSRAGSQPGLNVAELVVGRGFGQVVKHKDFEERSNYYDALLAAEARAISGKKGVHSANVGTHSANLPSGRQHINDLTMSSAKKARDFLPSLLRNRRISAVVEYVLSGHRFKLYIPKETCCIAFSFSGVRCPGRDEPYSNEAIALMRRKIMQRNVEIEVETVDRTGTFLGSLWEGKTNMAVTLLEAGLAKLQTSFGTDRIPDAHLLEQAEQSAKRQKLKIWENYVEGEEVSNAPATAENKQKEVLKVVVTEVLGGGKFYVQTVGDQRVASIQQQLASLNIQEAPVIGAFNPKKGDFVLAQFSMDNSWNRALVVNAPRGGVESKNDKFEVFYVDYGNQEEVTYSQLRPIEGSVAATPGLAQLCSLAFLKVPSLDDEFGDEAAQFLSEQTLGSSLQFRAMVEERDTSGGKVKGQGTGTLLVVTLVAEKSDLSINAAMLQEGLARLEKRKRWESKDRKSILDNLEAFQNEAKTARRGIWQYGDVESDDEDELPPLSAAKKTGGRR >OMO94772 pep supercontig:CCACVL1_1.0:contig07801:15941:21428:-1 gene:CCACVL1_05829 transcript:OMO94772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVCFLLDLRSLSPPLLKDLKQSLLQLANFYAISSNWRNKSDSLRDRIGLCYVIKNRISSSDELKVAYGPRGDFSLRDFHHAVNSLPSDSFSPEINDSGSLSCHDMKLASVLSDKVLYSWGGKDFIRKVIVLSSTLPDNIDSALKETLMEAADKCVSIEFILLEQRSNHLGDIRECINRFSRCVSDLDNCSFHSYLPEVKVFHGLVKQWLQDLRDDAEEPLQARFIFNSNLAGSLKQISCNLSASVNHIIDGFNPCKTCRCHGVPLGIAGKSRIERPSCQVTCHQLGEFDVNEHSVKVGETTILFMPSFQGCVKLQQASSPVDFYIIERTNLGSLSEGVIFGNPHFVTPSASPEIEAASDEMDQLELNAQLFKGLCSALHSLDQGLVCSSNCNVETMREAIFNCYYILQPSDNGPMLLRRIAGSEEVFPFSDVNRFIDHLVPKEIETSIQSTLFKLDSRDYNPFLYDRGFHQKLNLLVKESLQFGSVPLKSNEATSELDSTNTDSTKVITPSTSSADVVDVDEEMSQADQKAGQDKATATIAEEWEQLVVNEVPVIYSPTCKTKPKCDQSVLILSPPDSSRQLDINTTRILERLEVPRQLKSKAVSPSITSSVNLAMKKPLIPFQASQNQAADQGLTSSQLIRPSFQRLKRKHR >OMO53180 pep supercontig:CCACVL1_1.0:contig15307:5184:5243:1 gene:CCACVL1_28822 transcript:OMO53180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPRKDKGGHARKGPWPS >OMO53181 pep supercontig:CCACVL1_1.0:contig15307:10308:11702:1 gene:CCACVL1_28823 transcript:OMO53181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIALKCPSIEVAVVDISVSRIAAWNSDQLPIYEPGLEEVVKQCRGKNLFFSTDVEKHVFEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSNKIVVEKSTVPVKTAEAIEKILVHNSKGIKYQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGQKAIKALKDVYAHWVPEDRIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHAIGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKNRFVNRVVSSMFNTVSGKKIAIMGFAFKKDTGDTRETPAIDVCKGLLGDKARLSIYDPQVTEDQIQRDLSMNKFDWDHPIHLQPMSPTAVRQVSVAWDAYEATKDAHGLCILTEWDEFKTLDYQRIFDNMQKPAFVFDGRNLVNVDKLREIGFIVYSIGKPLDAWLKDMPAMA >OMO53182 pep supercontig:CCACVL1_1.0:contig15307:25626:30135:-1 gene:CCACVL1_28825 transcript:OMO53182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCESKGADSKANRVSRWRSTGIVALRDAKLKTFPDEVLDLDRSVRTLDLTHNKLVEIPMEISKLVNMQRLILATNLIERLPINLGKLQSLKVMILDGNQITSLPDELGQLVRLEKLSISGNMLVSLPETIGSLRNLSLLNVSNNKLKYLPESVGSCFSLEELQANDNLIEELPASVCNLVHLKSLCLNNNKISQIPPNILKDCKALQNISLHDNPISMDQFQQMEGFQEFEARRKKKFDKQIDSNVMISSKGLDEGVDL >OMO53183 pep supercontig:CCACVL1_1.0:contig15307:38795:42467:-1 gene:CCACVL1_28826 transcript:OMO53183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAVIITGTAPRDLSLRGQWTQQLAVSIWNNNTAGLLLSVTEVGQIRVSAGESVDQKDLCIKLLRSHYIE >OMO71196 pep supercontig:CCACVL1_1.0:contig11709:11804:13475:-1 gene:CCACVL1_18369 transcript:OMO71196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMEYTEATPTTPSPRSLSPNSSNSSSSSAPPQTPPVVISPCAACKILRRRCADKCVLAPYFPPTEPAKFTIAHRVFGASNIIKFLQELPESQRADAVSSMVYEASARIRDPVYGCAGAICQLQKQVNELQAQLAKAQAEVVNMQLQHANLLALLCMEVAHSPHQPNQSVDTFINSPQSYQSNPSNFLEDNTNLGSLWDHPLWT >OMO71197 pep supercontig:CCACVL1_1.0:contig11709:15421:19673:-1 gene:CCACVL1_18370 transcript:OMO71197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADEELKSTLGVIGSQFQNFKAVKVIGVRKIALSEKGRGGSKPNNSLKGTAFN >OMO71198 pep supercontig:CCACVL1_1.0:contig11709:27840:27935:-1 gene:CCACVL1_18371 transcript:OMO71198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYCLVRGQTPMKLAIPIQHYGANGTARLAI >OMO71201 pep supercontig:CCACVL1_1.0:contig11709:49885:49968:-1 gene:CCACVL1_18374 transcript:OMO71201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTDYLEHKTKKIKALGEQEVDVSVV >OMO71199 pep supercontig:CCACVL1_1.0:contig11709:31388:35675:1 gene:CCACVL1_18372 transcript:OMO71199 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol transfer protein MGMKVWKSWITDLFKMKILEKVNILLKFIVCRAKHQFGLHLLHQKMLLSCKRKHGMHTQDVKQCPYFTKFSLTVETVHRADNGTSENAHGLNEEQLAARQVETIDIASVATDYWTYAIGSSNFDFSKFKSAKTGRGPLSDGWQDKCHPVMTAYKLVTVDAPYWGFGYRVEQALLAGERALFLESHRNCFGWIDEWFGMTMQQIRELEQKGLMKPRWKGKGSEAKAIADPMSKIVSQLQSSLMQSEARGLLSSCSVLVEVDAEVADLLNRACFGRPRITAEKEKQWFQLDMEEAFYLGFSLKCLEVVSKDGCTMSDEELWEYMKSKKEVFPISYKAYSHLRNKNWVVRSGLQCGVDLVAYRHHPALVHSEYAVLALSEGENDLNGRLSVWSDVHCTVRLCGSVAETLLILNVNDKGQGAISPSCLEHYTVEERTITRWNPEQSRENQGVLENQTK >OMO71200 pep supercontig:CCACVL1_1.0:contig11709:37057:41051:-1 gene:CCACVL1_18373 transcript:OMO71200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin/Peripherin MASAWAHDTSREGYLSRANNTDCLKFLQWPLIVIGASIMVVSLAGFAGACYRNTFLMWLYLFVMFFIIGALIGFIIFAYAVTDKGSGRPVMNKGYLEYYLPDYSGWLRDRVVDQSYWAKISACIRDSKVCSKMGRSFNGVPETYDMFSMRKLSPIEYVRSQAVYVQSREQRQSNSASSSQAPSPSIASGCCKPPTDCGFVYVNETVWTPGGGLVGADLDCQRWSNDQQLLCYQCDSCKAGVLGSLKKSWRKVSVINIVVLILLVIFYVIGCAAFRNNRRIDNDEPYGEARMTKARPSHFQL >OMO71203 pep supercontig:CCACVL1_1.0:contig11709:61928:77935:-1 gene:CCACVL1_18376 transcript:OMO71203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MQELKGNAKGSIPSQPKRNKGWVLNNPDPTRVAQLVMMGRSLVRCVLVWVMVVVGGGDLNLGVDPAEMPNSSLENMLGCSSKGQQERKPRPQPEQALKCPRCDSTNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSSSKIRTQDQPNSNPLITTLPPLTYDTNDLSLAFARLQKQSSGQLGFDDHELSILGNPTTTHSHCDILGNPSLNSAAANSPAFLDALRNGFLGTTTHNNNFQNFYYGFGNHDNNNMGEVVDNNGEMMLPNYSEEMSSGSSNATTTAVTVTTMKQEFCNGRDHQI >OMO71202 pep supercontig:CCACVL1_1.0:contig11709:54683:57008:1 gene:CCACVL1_18375 transcript:OMO71202 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative ATP binding protein MLNRNGSSEVPQRVSPRAVRQLKPTTLETDAVSTSNPASRTSKERSPKLVERRSPRSPASEKKRPSRISELEAQVSQLQEELKKAKDQLSSSESCKNQAQQDAEESKKQLLAMSAKLEESEKQLLKLSASEETRVDELQKISHDRDQEWQSEFEAVQKQQSLDSAALLSAVNEIHRLKVQLEMVAESEAAQTKQAESAHLELQSLKGNLVETLSLVENMKNQLKDSQESEAQAQALASETLVQLEAAKKTVETLRSEGMKAVEAYNSIASDLDQSRERVHSLEGLVKKLKLELLDASGNPSLESDDDCIVVEHQAEETGKAEESHHLEAEISSLKSEVGRLRSALEAAEIKCHEDNIQSTVQIKSAHELVEQIKSEASLREAELLAEIKKANLDIADLKANLMDKETELQGISEENEELHMKIEKTLSRQRELELENELKVLREAVVDLKGNMMDKETELQNISEENEMLRLEISKRDMDKGKTNDKVTSELEMARAAEREAIMKLGLAMEEADKNNRKASRVAEQLEAAQAANSEMEAELRRLKVQSDQWRKAAEAAAAMLSAGNNGKFMERTGSLDSNYNPVTGKVGSPFAEDIDDDLLKKKNGNVLKKIGVLWKKPQK >OMO92802 pep supercontig:CCACVL1_1.0:contig08167:6029:9049:-1 gene:CCACVL1_06742 transcript:OMO92802 gene_biotype:protein_coding transcript_biotype:protein_coding description:KIP1-like protein MGIVDMEEKVASTLKLIEEDGDSFAKRAEMYYKKRPELIHFVEESFRAYRALAERYDHICAELQNANNTIASVFPEQVQFMDDEDDDSPRSPRFPKKSSDSKGNIPKVPKLPKDLKGLISSAKKKMQPGKKSSKASANTVSKSGLTKSEGIAEIDHLQKRILALQTEKEFVKSSYDNGLAKYWELHNEITETQEKIGTLEDEFGEGRVIEDDEARRIMAATALKACKQTLAELQEKQERSAEEAEVEQKKIKEARDKLDFLKSTFLANKVSQEKPSTGDQSRTEKPKILEEVSGTMQKKKEMESLREKIKEHFEVSLGDSLTVTEMAEKIDELVNKVVNLETAVSSQTALIQRLRSETDELQGYVRTLEDDKANLIDGKNDLRKKLKEMEDKLNGIQDLNQSIEDQNDNLQTHFTEAQCNLDHLSEKVDTVKLDEEPEKEKSSSLEVKSSKKKKCKDREKKSKEVKTSKELKVSDTSEKEKSPAEVKSQKESDKQESKNVDSNNGSMQSAAPEKVVAAAATAAVSGSLQKEDDSQVSVEPPKQSEDKGGKLDHEDGENEKTDLKKEENAEERNDAKEQECVTTSTNEGSFNRETSTPSEERKDLIEDHKVDKKASSQTTDALSKVESKEEEKEQEDEPDWKQLFTTGMEDREKNLWSQYTTTLRNYKDTKKKLTEVETKNQNGLFEITLQLRELKNSNAMKDDEIRSLRQKLSLLQTGLGENGNTDQNVEPRVSSVKSEVTETSTTPAEKKAEDDIGALFITPSQPSEIEEKFRMSIDELLEENLDFWLRFSTAFHEVQKFDTAVKDLVAEASKLEERHKNEGSSTSKYSIKSDVRPLYKHLREIQTELTVWVEKSVMLKKELKNRFSSLCEIQEEITTALKTSAEDDDFKFTSYQAAKFQGEVLNMKQENNKVADELQAGLDHITTLQLDVERTLAKLSEEWGLTGNKSRHSGLLQHSESRSRVPLRSFIFGVKAKKQKTSIFSCVHPALHRKYNGFRSGLSSNR >OMO96512 pep supercontig:CCACVL1_1.0:contig07457:8441:13027:-1 gene:CCACVL1_04921 transcript:OMO96512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEAYIDTKQNDLTQLKKIWGKVTNGEAFVRRYGNIAALLDVEVDHQMIKAMLPFWDPSYRCFVFGDIDMVPTEEEYEELIWPVCPTMKEIKEIAEKGVDKKNEDTVYWRNFNPQAQKKLAELTGIDSATWGREITPILEGVRGVKTSLLIEWAEKHANHEKGQRALALLIYGWVIFPRNQGFIDDVVVDLFYQMHKHQCNPVPAILAETLRSLNYCRRTGSGCLYGCAQLLCVWLKSHLRPLKGKFRMSYIPGGNPLRRAPWFSVIRPPICRANNEPWVYLMGLWGTITYSPLLVLRQYDVEQFIPLTHGLNSLEVSFDDKPVTDKMRRLAHGWKNAKYKRLYLPRASENSTRSYKDWHRDRVKDLVLPPEKDPHYPVGPDSLDELTHLKYEVSRLREGVELKEVEEKNELARTAMNQELDQVFDMNLVLMSNLGKEQLERTLLQKKHDELKKKHASLLKTTSFLRNDSGKMKTNWLSEKKRKLTILDADSRVITWKKQGEKSEAEKEQAQAELKAEKEKNLALQTENTQGNIRIRQMERALTLEKKKGKKLELQKAQLKNKANGLEQVVRERENQYQLDEFLDRLSDVKDKFLDLGRGINSLIDAWDKSEDSWKDMLARMRKGVAVARELKKHVEKVYGEAYPTGPTGQKLVNCLQNVISCLDFFIGFKIAHSHRYNTRSRARIMEEDQQNEVQLVKRKQEEMSEQMKEIREMLAQILKAKGTMEALPAVNDQEEPEYPPCFTPNQGHGSSTMAGQTFNMPTPLLNTYAFQPQYASQGQYSNPPQATDPTFAPRPPADTSYLFRQGISAARPINVPDTEDGRKMVMEENQKLRNMMEERFKSIEGGKGYFGTMDMTKIALVSDLVIPPKFKVPEFEKFNGSKCPREHVTTYVRKMQPLTSDEKMFIHYFQDSLTGAASTWYNQSDGTRIYSWDDLANAFVAQYQYLSDLAPNRGKNIMRKPSETFTEYAQRFRDKASLVRPTMGESEISLAILDNLPPAYYERLFPVAAESYARLIVVGELLEAGMKTGKIGNAEDTGAKRGQSSYAKKKDSEVHAVGYTNFRPRNQGQYQHAHPPQNYGYAHPLRPPSPHPIQLSPHQGNQAIAPRASDPVKERVPIDPIPYTYTKLLPQLLQQNLMERLPYSLPMPQGRRPRWYKENTHCDYHSGTEGHATEECLRLKYVVQELVKAGKLSFPNAASTDHRQNPMPGQGGAQVSMIGGRMLQPGEYEEVQFDEVCPFHGISGGGRRSWPAVAVTGGGRWLAAEVVAGGNRFPGWVECS >OMP11718 pep supercontig:CCACVL1_1.0:contig00971:6989:7054:-1 gene:CCACVL1_00315 transcript:OMP11718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQMLVTIWVSTKQVWSLVKQQ >OMO64345 pep supercontig:CCACVL1_1.0:contig12837:19095:20066:1 gene:CCACVL1_21836 transcript:OMO64345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPASSSSRVKYTEERRVISKTVKSRWFPMESPSPSQANAKVPKVVRISFTDGDATDSSSDECEQVKHHQRVKRHINEIRIEDCSPVDFIKPANKQNKSNNPAATLRSNKKQQQPQCLSNGNGIKYRGVRQRPWGRWAAEIRDPASRTRVWLGTYDTAEEAALVYDRAAIRIKGPDALTNFVKPPVRASPPEIEVETVSGYDSGQESHSLCSPTSVLRFQSNEEAELQTESKDDSFELTESEWRPEQGIPIPKESSNLSDEYLLTDPDALCDYFDSGNNPAPIFFDEMRLPEESINLAQDFSDISFKLDVDFGSCTWDVDNYY >OMO64346 pep supercontig:CCACVL1_1.0:contig12837:25696:26187:1 gene:CCACVL1_21837 transcript:OMO64346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFINNKKLQSLIERAWALHDKLNDEIENSISFCRFCSDHGRYCDIKQTPFEEKERLITIRDSLKDVENLLLHLQALGF >OMO64344 pep supercontig:CCACVL1_1.0:contig12837:7965:10112:1 gene:CCACVL1_21835 transcript:OMO64344 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-conjugating enzyme, E2 MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >OMO64342 pep supercontig:CCACVL1_1.0:contig12837:2764:3489:-1 gene:CCACVL1_21833 transcript:OMO64342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MSEPPLKPALQRPPGYKDPTAPNGFQPPPRKPVLPPSFHPKKKRSNCCRVCCCCLCVFILILTLLILISGAVFYLLFDPKSPGFHVQSFRIPRFNVTRKADGSYLDAQTLTRIEAKNPNEKMTYYYDKTEVDVSVGQGDDETDLGSGTLPEFTMRKQNTTSLKVETKVSNKLVDDRIGTRLQSRFRSKSLVVNVEARTKIGLGVGGLKIGMVGVTVKCDGITLKQLDSGDMPKCVINMLKW >OMO64343 pep supercontig:CCACVL1_1.0:contig12837:4710:4829:1 gene:CCACVL1_21834 transcript:OMO64343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHVRPRGAGASLPLSTNLSISKLPPTLKPGHSLGPCG >OMO64348 pep supercontig:CCACVL1_1.0:contig12837:31699:32318:-1 gene:CCACVL1_21839 transcript:OMO64348 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arabinogalactan peptide, AGP MAMVSSRAFMSVVAIFALVFAIVSPYVEAQSAAPAPAPASDGTSIDQGIAYVLMLVALVLTYLIHPLDASSYSFF >OMO64347 pep supercontig:CCACVL1_1.0:contig12837:27874:30999:1 gene:CCACVL1_21838 transcript:OMO64347 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MVSSRPGLPSWVSAAAATRVDFEGNVETMLREDHRDSTTQLHTQNSKAVTDVNLSFAERAFSAAGAAFISAIIVNPLDVAKTRLQAQAAGVPYQTCIETSMTFPELRGSQSCARSVVGSEPVCPPECNRYKGTVDVLYKVARQEGFTRLWRGTNASLALAVPTVGIYMPCYDIFRNSMEEFTTKNAPTLTPYVPLVAGMAARSLACVTCYPVELARTRMQAFKETQSGAKPPGVWKTLGGVINPVRSTNGCQNLQSYRVLWTGLSAQLARDVPFSAICWSTLEPMRRKLLGLMGDEAGAGCVVGANFTAGFVAGSIAAAATCPLDVAKTRRQIEKDPTKALTMGIRQTLLGIWRDGGIKGLFTGLGPRVGRAGPSVGIVVSFYEVVKYALHHRHEDHY >OMO86907 pep supercontig:CCACVL1_1.0:contig09393:7960:11049:1 gene:CCACVL1_09396 transcript:OMO86907 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-ACP thioesterase MLKLSSSNVADQIQALAQCRFLGTPLPLSISRRSPTVVSCSPSIRPSLAPVQAVLAGQQQAGTELVDSGLGSLADRLRLGSLTEDGLSYKEKFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTPTMRKLHLIWVTARMHIEIYKYPAWSDVVEIETWCQSEGRIGTRRDWILKDYATGQVIGRATSKWVMMNQDTRRLQKVSDDVREEYLVFCPRELRLSFPEENNKSLKKIAKLDDPVQYSRLGLMPRRADLDMNQHVNNVTYIGWVLESIPQEIIDTHELQTITLDYRRECQQDDVVDSLTSPEPVEGTETVSELRGTNGSAAAREHSQDCHQFLHLLRLSSDGQEINRGRTEWRKKPGK >OMO54143 pep supercontig:CCACVL1_1.0:contig15051:61174:62617:-1 gene:CCACVL1_28033 transcript:OMO54143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNAMADPDSESSLQSDTLGSRHISSSLFNIPGFLVGFSTKGSSDSDTVRSPTSPLDLRVFANFSNPFSVKSPKSSSQSGYQKKWDSHKVGLSIVNLLADDSKSDGEDLDSPKRKNIIFGPQVKTKFPYSSSYSHEFLGNSMKSSSLPRNYIISQLFQARKSNTKSGNSSPVFGNEEVPLEPKPNSIWPSPSFVSSAQNSNLSSRSFCYENGTTSINSSSLPIGRALQVDDSLVSKPSSLPIPLGHSTGSLSAREIELSEDYTCIISHGPNPKTTHIFGDCILECHNNELTNFDRKEEPGNKVPQMDKSTETLAPYPSDEFLSFCYTCKKKLETGEDIYMYRGEKAFCSFDCRSEEIFAEEMEETCNNFSDGSPEQSDDDDLFLMAMDDHSINHESWIAQHRV >OMO54135 pep supercontig:CCACVL1_1.0:contig15051:5956:10384:-1 gene:CCACVL1_28025 transcript:OMO54135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 1 MAVSALFLSATKLAGIIMTLSIAANAFSFSRYRKKNLRRFKSPIDESSDTLADFNVHGEGGNEFFFGLATAPAHVEDRLHDAWLEFAEENPCHKSESADEPPQADAVIGASTADGTSHPALPNKKTKNKKPLKIAMEAMVRGLHKFIEVEEEGKMPALNEECHHNVAAWHNVPHPEERLRFWSDPDTELKLAKDTGISIFRMGIDWSRIMPQEPVNGLKDAVNYAALERYKWIISRVHSYGMKVMLTLFHHSLPPWAGDYGGWKLEKTVDYFVDFTKLVVNSVSDMVDYWITFNEPHVFCMLTYCAGAWPGGHPDMLEAATSALPTGVFKQAMHWMAIAHLKAYDYIHEQSSCLSNKVGVAHHVSFMRPYGLFDVAAVTLANSLSIFPFVDSICDKLDFIGINYYGQEVVCGAGLKLVETDEYSESGRGVYPDGLFRMLIQFHERYKHLKLPFIITENGVSDETDVIRRPYLLEHLLAIYAAMIKGVPVLGYLFWTISDNWEWADGYGPKFGLVAVDRANDLARIPRPSYYLFSKVVKTGKVTREDRETAWNELQKAAEEKQTRPFYRAVNKYGLMYAGGLDKPIQRPYIERDWRFGHYEMEGLQDPVSRLSRWVLRPFFLKKTKRKSKKVEPELVLQPLELTV >OMO54137 pep supercontig:CCACVL1_1.0:contig15051:26364:30294:1 gene:CCACVL1_28027 transcript:OMO54137 gene_biotype:protein_coding transcript_biotype:protein_coding description:sulfate anion transporter MGVNSHRVEDFSSHNNGSLKISTEIPMPPTEAMEIHHVCLPPKKTTFQKLKHRLSEIFFPDDPLYRFKNQTWCKKLVLGLHFLFPIFQWGSEYNLSLFRSDIISGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLVYSVLGSSKHLAVGPVSIASLVMGTMLSESVSPVEEPILYLKLAFTATFFAGLFQASLGFLRLGFVIDFLSKATLVGFMAGAAIIVSLQQLKGLLGIVHFTTKMQFIPVMTSVFEHRKEWSWQTIVMGFSFLLFLLTTRHISMKKPKLFWVSAAAPLTSVILSTLIVFCIKSKAHGISTIGHLQKGLNPPSANMLYFNGQYLALAIKTGIITGILSLTEGIAVGRTFASLKNYQVDGNKEMMAIGVMNMAGSCTSCYVTTGSFSRSAVNYNAGAQTAVSNIVLATAVLVTLLFLMPLFYYTPNVILAAIIITAVIGLIDYPAALKLWKVDKLDFLACACSFFGVLFISVPLGLAIAVGVSVFKILLHVTRPNTLVLGNIPRTQIYQSLNRYRETERVPSFLILAIESPIYFANSTYLQERILRWVREEEEWIKANHESILKCIIIDMTAVTAIDTSGIDMLCEMRKMMEKRSYQLVLVNPVGSVMEKLHQSKILESFGMNAFYLTVGEAVGDISASWKPQP >OMO54142 pep supercontig:CCACVL1_1.0:contig15051:54410:57284:-1 gene:CCACVL1_28032 transcript:OMO54142 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAR-binding filament-like protein 1-1-like protein MEILLTMAIEQHCYDCLFASQYCDYEFQNFSIASLYVQETKRDSPSNPFVSLLNGLGIIGAGVLGALYALVQNEKKAADETIESMKIKLDEKEAALFSMTKDFESKLLDEQERRTKQLKEAKEEQLSLMDRLESANNTISGLGQELKNEKRLIEKLKVEIDSLQSNLTKAGEEKRSLEEELKEKLDSVYLLQEKINLLTSELNDREENIQKLNSSLAAKELELKNLSTTYKQTKEELGKAYSEIEKLKEELLRNQSELESKNSLVDELNARISSLLVERDNSKQELGALQEGYDDLKLSSEKKAAADSKLLGEREKEIHQLRDKLDLALTDVSENKAIIADLNKEKEHLKRAVEVELHNVKNLKEELQLAVENLAKSRSEVSDLSKQLKQSRDHCKELESEVSIVRAEFDATKLRLQDSLDEANQRNEVLASELMTTKDLLKFTREEKQAFAHELTVVTENRDSLQKELVDVYEKADTLANALKEEKNKASSLNKELKALEKQIVKDKEARKSLETDLEEATKSLDEMNRNILKLSRDLESANAKISSLEDEKMVLYKTLTAQKNATKEARDNMEDAHNMVMTLGKERESLEKRAKKLEEELASAKGEILKLRSKINSSKVPVNDQPQQKDETEAKVNVSKVPVNDQPQQKDETEAKVTVSARKGTRRKRSSSSQ >OMO54140 pep supercontig:CCACVL1_1.0:contig15051:37315:37524:1 gene:CCACVL1_28030 transcript:OMO54140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKIQSISQNHPKIQKHSNQNNPKAKTANKVIKKLAFNPSALLVGATAGPSISVGEGAGEIPVNLLCL >OMO54136 pep supercontig:CCACVL1_1.0:contig15051:12131:13949:-1 gene:CCACVL1_28026 transcript:OMO54136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGQAQSIKFEKEFIQTSERVKSVELHPTKPWVLAALHSGNVCIWNYHLQKIEKSFKVIESVPVRSAKFIVQENLIVVGADDGFIRVYNSDTLEIIKEIQAHTDFIRNLAVHPTLPYVLSSSDDKLIKLWDWEKGWICSKVFEGHEHYVMQVAFNPKDLNTFASASLDGTIKIWNMDSDSPSFTLDAHSKGINCVEYLLAGDKLFLISGSDDYTAKVWDWDYETKSCVQILEGHTNNVTAICVLQPELPNIITCSEDGTVRIWDRTNYRLENTLEYGLERVWTVAYMKGSNKAVFGCDKGMIMVKISRSHGSDSTI >OMO54133 pep supercontig:CCACVL1_1.0:contig15051:2961:4331:1 gene:CCACVL1_28023 transcript:OMO54133 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S13 MSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELSAQELDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >OMO54141 pep supercontig:CCACVL1_1.0:contig15051:45005:49394:1 gene:CCACVL1_28031 transcript:OMO54141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVLGGRDYLKSPSGLGISEARALIKDAKLLTSGHLPTCPNAHTTRLRLPNTKTIRIPRGDGDLGTPLIAARLLQAVRIRNICLVFLVYK >OMO54134 pep supercontig:CCACVL1_1.0:contig15051:4731:5292:1 gene:CCACVL1_28024 transcript:OMO54134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAALADSLLYGIPELSMEMVGNTDWVTT >OMO54139 pep supercontig:CCACVL1_1.0:contig15051:34793:35073:-1 gene:CCACVL1_28029 transcript:OMO54139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIEPIGGINSDGYASKLLNTDFHHSGRPIPLIRGEIE >OMO54138 pep supercontig:CCACVL1_1.0:contig15051:30876:31973:-1 gene:CCACVL1_28028 transcript:OMO54138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMALLGEYLCIKRELKEIPITRYRTSNGEVLRKMIGRIHKCEERVEEELKPPLSLLSVTASRGLEP >OMO62886 pep supercontig:CCACVL1_1.0:contig13129:16:108:1 gene:CCACVL1_22588 transcript:OMO62886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFLTEVNFFWLQTLKKPNSADMKPKRWF >OMO78710 pep supercontig:CCACVL1_1.0:contig10547:23548:27148:-1 gene:CCACVL1_14180 transcript:OMO78710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MALKRGLSGVSVHRNRSSGSRLPILILVFFSVLAPLVFFVGRGLYISDQNDIQGASRKQNVDWRERLALQSIRNLITKEVIDVVTTSTADMGPLSLDSFRKGNLSASWKVIGVESSVEDNASSESNQKATDLKQDSPQVKDAKILDDDHSHDAPARTLRRQLREKRREKRAAELVQKDVEATVKLENAAIERSKAVDSAVLGKYNIWRKENENDNPDSTVRLMRDQIIMAKVYISIAKMKNKPELQEELQNKLKESQHALGDSASDADLSRSAHEKIKVMGQVLSKAREQLYDCKLVTGKLRAMLQTAEEQVRSLKKQSTFLSQLAAKTIPNAIHCMSMRLTIEYYLLPPEKRRFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKDPSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLETAAMKEYYFKADHPTTSGASNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTGLWSVDLHGKVNGAVETCGESFHRFDKYLNFSNPHISRNFDPNACGWAYGMNMFDLKEWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLITFYGLTHPLEKSWHVLGLGYNPSIDKREIERAAVIHYNGNMKPWLELAMTKYRSYWTKYIKYDHPYLRNCNLSE >OMO78707 pep supercontig:CCACVL1_1.0:contig10547:12765:13098:-1 gene:CCACVL1_14177 transcript:OMO78707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDEQEKALMANGVEAGTIWSERPQEVRNRMDLDCLS >OMO78709 pep supercontig:CCACVL1_1.0:contig10547:18343:20565:1 gene:CCACVL1_14179 transcript:OMO78709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MVQRPGSYSNNPSMSDQKPNSLPTSGAKNDQQPVLGSESNIPSQFIWPDHEKPCFDAPELKIPIIDMAVLSSGDPLAVSKAAELVNEACKKHGFFMVSNHGIDSGLADNAHEYMDKFFGLQLSEKQKVQRKAGEQYGYMNSYVGRYASKMPWKETLSFRYCPDVQDIVVEYLVNKMGEDFRQFGRIYQEYCEGMGKLSLGIMELIGISLGLDDQAFFRDFFQENDSILRLNLYPPCKNPDLVLGIGPHSDPNSLTILHQDLVGGLQVLTDENWHSVTPVPGTLVVNIGDTFMALSNGIYKSCLHRVVVNNQTERKSLAFFLSPKVDKHVTPPASLVTSENPRMYPDFTWVTLRDFTLKRYRPDMQTLDAFAKWVQEQEQEPNNKTLA >OMO78711 pep supercontig:CCACVL1_1.0:contig10547:28374:30519:-1 gene:CCACVL1_14181 transcript:OMO78711 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sin3 associated polypeptide p18 MAGAAEAQKRQSGRPLPQSARGPPPPPRPRFEPVDREKTCPLLLRVFTKIGSHHSSEDFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVAPAARRRDARLSFAFVYPDKKGRFVMREVGMTFSYGNARRVDDIKTLAELNFQIGDYLDVAIM >OMO78712 pep supercontig:CCACVL1_1.0:contig10547:38703:41321:1 gene:CCACVL1_14182 transcript:OMO78712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MHAVKSYKLYFALNIAKTLERYQRCCFTPQDNSLERETQSWYQEVTKLKSKYEALQRSQRHLLGEDLGPLNVKELQSLEKQLEGALALARQRKTQIMIEQMEDLRKKERQLGDLNKQLKIKLESEGQSLKTIQGLWSTSGAAAAENSNFALHPSHAAHPMECDPEPVLQIGYHHQYVQAEGSSVPKSMGGETNFIHGWVI >OMO78708 pep supercontig:CCACVL1_1.0:contig10547:13832:15167:1 gene:CCACVL1_14178 transcript:OMO78708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDHHHQEGSNHLPQVLIIKPPPVFTLFEDKFISTKFQFLKAWESTLPLDQFLTTYAGSVRAVLCSGASPISRDTIRMLPSLKLVVTASAGTNHIDLTECRRLGIAVTNLADVFSDDGADAAVGLLIDVLRKVSAGDRYIRQGLWSVKGEYPLGSKLGGKRVGIVGLGGFGLSLAKRLEAFGCSVLYNSRKQKPCVPYPFYSNVYELARDSDALIICCSLTPETRHLINREVMLALGKQGIIVNIARGAIINEKEMVECLVNGEIGGAGLDVFENEPNVPKELFELDNVVLSPHRVVFYTGILHCCL >OMO87013 pep supercontig:CCACVL1_1.0:contig09361:26978:34092:-1 gene:CCACVL1_09322 transcript:OMO87013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidase MRRFCLAYLSALRTPNSFLCKHNPIPGNLFTVARIQHHLIPHFTIASFCSNATAFDSSLSDVAKFYATIMDNSNAYDNMEKSLDQLGLPLTTPLVLDLLQRLSLEEKIAFRFFTWAATQPNYAHEPPAYNQMIDILSSTKYKVKQFRIVCDMLDYMKRSNKNAVPVEVLLLILRQYTEKYLTHLQKFAKKKRIRVKTQPEINAFNLLLDALCKCSLVEDAQALFKRMKKRVKPDANSYNILFFGWCRVRNPKRGMMVLEEMIQLGHTPDNFTYNTAIDTFCKAGMVSEAAELFEFMRTNGSTTSSPIAKTYAIMIVALIHNNRMQEGFELIGHMINSGCLPDVSTYKEMIKGMCLAGKIEEAYKFLEEMGNKGYPPDIVTYNCFLKVLCDNKKSDEALRLYQRMINVGCMPSVQTYNMLISMFFQMGDVDGVFETWQEMDKRGCANDIETYCIMIDGLFSCNRVEDACLLLEDVVNKGLKLPYPKFDSFLMQLSAIGNIQAIHKLSEHMRKFYNPSMARRFALNQKRMSTRLRGKKPIMSKTLNLIKTNASNPKVLIVAGITVAGFIVLAETSRRRRKAVIFNKEDFGAFLERFELIPFPQPPPPAAKLPLSDLTFAIKDIFDVKGYITGFGNPDWQRTHEPADKTALVVTALLKNGAKCIGKTVMDELAFGITGENKHYGTPTNPNMPSNVPGGSSSGSAVAVAAELVDFALGTDTIGCMRIPASFCGILGFRPSHGAVSTVGVVPNSQSLDAIGCFARDPSVLHRVGHVLLQLKAAERRRVGRIVFADDLFQLSKVPKEKTVYVISKAIEKLSGYQPPKHIKFVQYIASNVPSLKGFGQHSTNLQNGISTLKALSSVMMSLQSYEFKTNHEEWVKDAKPRLGPEISDRVRAAINTAYENVKDLYKVRTEMRAALQSLLKDDGILVIPTVADSALKRNSKKGYSAEFHDRAYILSSIASMSGGCQVSVPLGKHEDCPVSVSFVTYHGADKFLLDTVLDMYASLQEQVNIASNSEPLPDANDMDASELLKEKGNAAFKGMQWNKAVKYYSEAIKLNGTNAAYYNNRAAAYLALGCFQQAEEDCSKAISLDKKNVKAYLRRGTARESLLCYKGALEDFKYALVLEPQNKVANLAEKRLRKLIT >OMO87012 pep supercontig:CCACVL1_1.0:contig09361:7629:9301:-1 gene:CCACVL1_09321 transcript:OMO87012 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MQMAAYCNGTTNACTKKFAVEKQESVLVRPAEKTFNGLYFLSNLDQTFPYPIEIAFAYKNGIENAAEIIRESLAKILVKFYPFAGCLSKTWDTRMIVRCTGEGVQFVEAVSNNTLEDLGDISRVDPPKFRQLIHYLDHFESILDVPLLTVQITKFKCGGIVVGIAMNHVLVDGKALADFLNSWAEITKGIPLSLTPFLDRTIFSARQPALVDAPHPEYIRNEELVKNIPLQFQEPIINQSFCFEPNKLRLLKQLAQDESNTPFTSFEIISALMWIMRTKAFNIEPHKTTKLLTAVDGRPKFKPSLPECYFGNGIVWSCAQSTAGDLIEKPFSYAVKIVQEAIKEVTEDYVKSAIDYYELTRAQLEMENTCWISKWSRLTFYDVDFGWGKPQQVAPASMVDNLVLTLGQEKDSKNIILSLGLPESVMKIFQELIHAELQRK >OMP06822 pep supercontig:CCACVL1_1.0:contig04796:110:1007:1 gene:CCACVL1_01437 transcript:OMP06822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSLPRCGSAPPKETEDFIHPSSRVGAGRSFDQIPLKTVRAGLPACGSRHSRWPSPAFIRKSCSEIETARPRKRIRGSAFCQFMHFPLLHRAFYNRGLPFPSNDPASPGSSTVRAPFPPSAMLPRRRLTTLRARGVFARRSLASSAILPAGCMGGLSLAAAFCTPGPANAHENPGRSSIHPTGSVDNEATFTTFSLLSVPML >OMO53345 pep supercontig:CCACVL1_1.0:contig15224:12713:12802:1 gene:CCACVL1_28704 transcript:OMO53345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIFMYVTSPKISNFGMIPKLNAYEGFAVCV >OMO87113 pep supercontig:CCACVL1_1.0:contig09327:10483:10557:1 gene:CCACVL1_09260 transcript:OMO87113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDVSEEREEKVYVKRRAMREKELE >OMO51279 pep supercontig:CCACVL1_1.0:contig15894:3788:7524:-1 gene:CCACVL1_29883 transcript:OMO51279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-dependent bilin reductase MTINYNGNSHWRKRKKRRNCLLQISAISYRDFIHFALNETKSRTHLLPSPLQERYSSMTAVDGQTKIEMLSLEAPKIRLLRSMMIEGNAMQVLDFAAFPKPEFDLPIFCGNFFSTANTNIVVLDLNPLHDVISRGDYKEKYYDSLMTLGLKYTELLPWGGKLTSESIKFFSPIVIWSKFTSSKSNHEALYSAFMEYYKTWLELMEQAVEDTDPSQIMCNLEAQHRYLTWRAEKDPGHQVLKRLIGEKLAKDMLRNFLFSGVDELGSKTFLDYFPEYRSDDGRINEKRSIIGKSFETRPWNAKGEFVGNNLRN >OMO51282 pep supercontig:CCACVL1_1.0:contig15894:34650:35003:1 gene:CCACVL1_29886 transcript:OMO51282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEGLVEMKNSMNSEQERLEESSTEEAAMVRTPIPELSMKLWYLPKLELPESGCEMTGATLVLIKPVDGMVDQKRNIDGDLMVGSWDFEGDCKEFSEAVREMIKVKKSYLMTMSSF >OMO51283 pep supercontig:CCACVL1_1.0:contig15894:36740:40373:-1 gene:CCACVL1_29887 transcript:OMO51283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal RNA assembly KRR1 MELDNVEEVNLQQKKKHKGKHDKPKPWDEDPNIDRWKIEKFDPSWNPDGLLEVSSFSTIFPRYREQYLQEAWPKVKSALKEYGIAAELNLVEGSMTVSTTRKTRDPYIIMKARDLIKLLSRSVPAPQAIKILDDEMQCDIIKIGNLVHKKERFVKRRQRLVGPNSSTLKALEILTGCYILVQGNTVAAMGSFKGLKQLRRIVEDCIENRMHPVYHIKILMMKKELEKDPSLKNENWDRFLPKFKKKNVKTKKVKSKEKKPYTPFPPPQPPSKIDQQLESGEYFLSEKKKLAKKWEEKQEKQAQKTAENKRKREEAFVPPKEPVNQDSNKSENDKEDVAALAKSVKQKAKEFGKQKSLENINAEEYIEAPAGERPSKKKKKSKHT >OMO51281 pep supercontig:CCACVL1_1.0:contig15894:30265:32281:1 gene:CCACVL1_29885 transcript:OMO51281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class V/Cysteine desulfurase MDYVYGPGRNHLFVPGPVNIPDPVIRAMNRNNEDYRSPAIPAMTKTLLEDVKKIFKTTTGTPFLIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLNFNVDVVESDWGQGANLDVLAEKLAADSAHTIKAICIVHNETATGVTNNLATVRKLLDHYNHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGIGMVCASPKAIEASKTAKSVRVFFDWKDYLKFYKMGTYWPYTPSIQLLYGLRAALDLIFEEGLDNVIARHSRLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSAEIVKRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVVLGSGVAAACAYLQNNTPMIPSRI >OMO51280 pep supercontig:CCACVL1_1.0:contig15894:13280:27360:1 gene:CCACVL1_29884 transcript:OMO51280 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MAFFRNYSNEHSVLEEKNQGQSAGRIHSTVGNEDVDGTYSEREFDINMDAQYQSDGEPDDAARLHNEVAADNGAGVSSSNFQPAGRRIAPGKWGSTFWKDCRPMDRQGGSDSGQYSKYDHKNLEGSEYNSLDDRDDRLESEDEEAQQEVGKAQRGHSDVPADEMLSDEYYEQDGEEQSDTMHYRGYNNSAGLSTRPQSKPVSVSTEDDPDDADFEPDYGVASAHTGSKDKDWDGEDSDEEENSDGDVDVSDEDDSYYKKKPKVTQRGKVGRSVKPSRERKSFSRQRRGRSSFDEDEYSAEGSDSESDGDFRSMAKRGGNLRKNNARSNMLTSIGRHNEVRTSSRSVRKVSYVESEESEEIDEGKKKKTLKDEAEEEDGDTIEKVLWHQPKGMAEDAVRNNRSTEPVLLSHLFDSEPDWNEMEFLIKWKGQSHLHCQWKSVFELQNLSGYKKVLNYTKKVMEDVRYRKMLSREEIEVNDVTKEMDLDLIKQNSQVERVIVDRISKDAFGNVMSEYLVKWQGLSYAEATWEKDIDIAFAQDAIDEYKAREAAIAVQGKMVDHQRKKSKASLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIPGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFYNDKKIGRPIKFNALLTTYEVVLKDKAVLSTIKWNYLMVDEAHRLKNSEAQLYTTLSEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFKSKDEFVQNYKNLSSFNENELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDTSMNDISKLERIVLSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDILAEYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLERKETKKGSYFDKNELSAILRFGAEELFKEDRNDEESKKRLLSMDIDEILERAEKVEEKEGEEEGNELLSAFKVANFCSAEDDGTFWSRWIKPDAVAQAEEALAPRAARNTKSYAETSQPERSSKRKKKGSDPQELQERVPKRRKAEYSAPSAPIIEGATAQVRGWSYGNLPKRDALRFSRAVMKFGKESQIHMIAEEVGGAVAAASPDAQIELFKALVDGCKDAVEVGNAEPKGPLLDFFGVPVKANDLINRVQELQLLEKRISRYEDPIKQFRVLTSLKQSNWAKGCGWNQLDDARLLLGIHHHGFGNWEKIRLDETLGLTKKIAPAELQHHETFLPRAPNLKERANALLEMEVAAVGGKNAGTKTGRKASKKERENPLNVPMSRGREKKGKPGSPKVSIKMSRDRPQRPQKVEPLVKEEGEMSDNEEVYEQFKEVKWREWCEDVMADEIKTLRRLEKLQTTSADLPKEKVLSKIRNYLQLLGTRIDQIVLEHEDELYRQDRMTMRLWNYVSSFSNLSGERLHQIYSKLKEEREEEGGVGPSHVNGSVSGHLDRDGGTNHFSKQRGYKNAMAHQTAQPIHKGFDPEKFEAWKQRRRAEADNIYPQLQPPSQRPMNMNNGNRVIDPNSMGILGAGPSDKRLVNNERPHRTRPAGFPQRQGFPSGIK >OMO51278 pep supercontig:CCACVL1_1.0:contig15894:1945:2112:-1 gene:CCACVL1_29882 transcript:OMO51278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENYDTKKEKSGEEQEQPEVAAAVVLDELPEGCIAKIVSLTTPADACRLSCVSTA >OMO61269 pep supercontig:CCACVL1_1.0:contig13547:2347:3548:1 gene:CCACVL1_23632 transcript:OMO61269 gene_biotype:protein_coding transcript_biotype:protein_coding description:WD repeat protein DQSKCPAPTKHLPTVPAQKKANRGRTSWRPCILRTKWCPLQRGHMGVAPLVLGLFQEHSSSWAQTCCSFGTKGSGFPFRLYGKLSEAFRAQTSGTCGSSLSRVAIGGPCCEFSLFAHKLLQPNLTSLLRKGKKLVDLLDAFTPIATIGQVRQLEENVFRYKNERDDAVKELGILRPKHLALQKFFDNLDTQHKNTLNTLKEKDNLLKNEKADFEVYKLHKEREVCFEPSLSVKSLFLKALIMPSLKLLSTRVDPEVVNMTGSDHPDATASGEPIIIPNDDDLFASLNIELMRNVDIERIVFGEDVGLTHQPQQ >OMO71347 pep supercontig:CCACVL1_1.0:contig11665:1876:3562:1 gene:CCACVL1_18271 transcript:OMO71347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFACPNLTQIGQNMETSIAMINAKTMQKLRNFSYPEFLFARGIKFNPRELEEALTILQLDAEKKNQGEGVDVDDGEVGGRLGELEDQDNNPILPSTRLIYNQLPPSLKQCFAYCWTFPRDYEFRASELVSFWMANGLLPSLGVVEDPEGVGLQYLKMLRSKSVFNYLGVDDFDAKFKMQGSMHDLASLVASNEFGLKKNFTNDFGHSGSTHYFSISNPKALKLEEDAHKLQNHIQSLMFFQSMATPFRKSLIEAYISKCQYLRTLILMDCGFKELPKGIDDLKHLKYLNLSGNEELSEIPNSLCKLQTLQTLMLQGCLNIFKSMAGLRMLTITTKQSYLPENGISSLNSLWYLSIIGCRNLKYLPQGIYLLTSLRTLVIRDCEELLKLPRSIKYMVVLETLVIENCKMLQLNSKDELLGFRVEDHDEHQIQDQTGFKISRLYKNSKSTIALFCSIRISFAILGSVVRIVGKLHMCIGFI >OMO63131 pep supercontig:CCACVL1_1.0:contig13032:6703:10474:-1 gene:CCACVL1_22469 transcript:OMO63131 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MHLAKFKTAKEVWDYLANLYVQSNFAKRYELEKVIRSEGQKDRSIQDFYNFMNGVWDQLDMMDPPELSSFPAYMKLREEQNLVQFLMALRNEFEQLRGSILHRSPLPTVHNVVSELIAEETRLKTQTPPVMNTQEVLIASSQVKPANLNSGARGNQRIAIDECGYCHEKGHWKKDCPKRNKSRGILPNPSQGFQQGKTASRTMLPRQNSAFTAATSGYEPKQTSFGGNVNHDDLESIIARQIQQIMGSCIRNDLANSSAMSAVDQGINRAPSSGTSPSPWVLDSGASYHMTSDSSILENCLDLSQPLDIQTANGSLMQISKVGSVTTKSYPSGKFSIPNIFYAPQLSANLLSVGQLADLGCDIWFSDVFCVVQDRHTGKQIGIGRREGGLYMLEFLFVPPIKQNFVMPAFVLDKNSSSFHLWHSRLGHVSSSRLRYMSSVGLLGNVKYSDISDCKACKLAKFSALPFNKSTSVSNAAFDLVHSDVWGPSPVATKGGSLYYVLFVDDYSRYSWIFLLRHRSEFFQIYSKFVAMVKTQFSSKIKVFRSDSGGEYTSTQFEELLASEGTLFQSSCTDTPQQNGIAERKHRHIIETARSLLLSSSMPSSFWGEAVLTSVYVINRIPSSITSGISPFESYLVNSENLQNSTENSTGDSSSTNMEGNDRELAALEKNHTWDLVSFRKVKKLIGSSREWPLFQMDVKNAFLNGDLHEEVYMQPPPGYSCPVKKVCRLRRALYGLKQAPRAWFEKFNDALKQIGFLQSNNDSALFHLSSKKGTILLLIYVDDMIITGDDSDGIEKLKQHLFHQFEMKDLGSLRYFLGIEVAYSPRGYVLSQSKYANDVINRARLTDERTVDTPIELNVKLRPTDGTLLPDPKLYREIVGCLVYLTVTCPDIAHAVHIVSQFVSAPRSVHWSAMVRILRYLRGTLFQGLFFPSSSKLELCGYSDADWAGDANDRRSTTGFCIFLGDSLISWKSKKQNVVSRSSTEAEYRAMAHTSAEIV >OMP10645 pep supercontig:CCACVL1_1.0:contig02078:777:851:1 gene:CCACVL1_00836 transcript:OMP10645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYALSASGYNRRDLIDRMEEYIDK >OMP11897 pep supercontig:CCACVL1_1.0:contig00731:2906:2992:-1 gene:CCACVL1_00224 transcript:OMP11897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARQKTIAVEQLVTSDGDRFAFGCSIG >OMP11896 pep supercontig:CCACVL1_1.0:contig00731:25:639:-1 gene:CCACVL1_00223 transcript:OMP11896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGYTMNPEDYKLLEIIGDGATATVYKAIHVPTTNVVAVKVLDLDLCNDGNLDKIRRETQTMNLINHPNIIKAHCSFVVERKLWSAIKSILKETLKALDYLHKQGHIHRDVKAGNILLDKQGTVKLADFGVSACMFDAGDRQRGRNTFVGYSMLDGAGGFAESAEEVGIITRLIYGRLG >OMP01538 pep supercontig:CCACVL1_1.0:contig06417:14212:19397:-1 gene:CCACVL1_03065 transcript:OMP01538 gene_biotype:protein_coding transcript_biotype:protein_coding description:bifunctional biotin operon repressor/biotin synthetase BirA MTAADPLPQSANTKLTAPTRTTSRSFLKLILFSSMKNGRKIIIYDRYSSKLIRKTRISLFALLLRPLLRTRPWLKMRHWLSFRRCGSITFSGAPGTFESYVPGRVNEKSIINGITCNPALDPFFRISVPADFLVVYNIASPVCPITFDRERISNRRTSI >OMP01536 pep supercontig:CCACVL1_1.0:contig06417:5908:7691:-1 gene:CCACVL1_03063 transcript:OMP01536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MRYIATEKTIFQEELIAWMDSNLVCSDGTGLDALRYGFQVFRAFKYFHVSQASLEDAARTKCFVCAVKEVNIWTEKWIPELDRGRLGHPGNGLSQIAEKVEAGEEQGRKRGYRKPWRKALIVKQLGKAMGYGLLSPKIEHLWKLEGEYKITDLEDDYFIIRFEKKSDYIHVLEGGPCIIGVHYLTVRQWRPKFMPCSDKIEKIVAWVRFPNIPIEYFNSIALSKMGECIGRVIKIDSVTSDGSRGRFARVCVELDLTKPLLPRVVVERKDVKVEYEGLSMICFECGVFGHRKDDCPKLKVS >OMP01537 pep supercontig:CCACVL1_1.0:contig06417:9763:11758:-1 gene:CCACVL1_03064 transcript:OMP01537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQTAKYGTDRRYVSPIGEDKAFSSITGPDRQASQSPNSLAETEDGLRIARSPGPVQSDRRMYIRRKGTKEILNKEKRANPVFKHLRMTSYHYANQGFRRTKGI >OMO87033 pep supercontig:CCACVL1_1.0:contig09355:9:1330:1 gene:CCACVL1_09302 transcript:OMO87033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPFGLLSKPTEPNVNPSSLTSQNRKPRALNPKARVLRLIWVLFVAELAIGTKDDCAVNANEEMLYSLSYVTETVNVHVESECMNALLVRLVMS >OMO87034 pep supercontig:CCACVL1_1.0:contig09355:28435:29862:1 gene:CCACVL1_09303 transcript:OMO87034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEPSPRSNRLGHLNKKVFLWVIERFNRFNRRVDPPYACRQAGGHEQATTKGLLAWKVGV >OMP06528 pep supercontig:CCACVL1_1.0:contig04903:1885:3033:1 gene:CCACVL1_01529 transcript:OMP06528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASTSALSISSSSSLVDAKAIRQPTAASPQCVTRPTLPPPPLPSQSRPWKSAAYCRKIARNVMAMATGEAPAEVATVETPEIVKTIQEAWDKVEDKYAVSSLAVAGVVALWGSAGMISAIDRLPLIPGLLEVVGIGYSGYFAYKNFLKGSDREALIKKVKETYKEIIGSSS >OMO55868 pep supercontig:CCACVL1_1.0:contig14577:16389:18251:-1 gene:CCACVL1_26947 transcript:OMO55868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSTFPENNTGADDNVSLENEEEAGVKNEEIDRNFPGNRWPRQETLALLKIRSEMDVAFRDSGIKAPLWEEVSRKMAELGYKRSSKKCKEKFENIYKYHRRTKDGRSGRSNGKNYRFFEQLEALDHQPSLLPPSSETVNISIEPLNVIHDAVPCSIRTPAMNFNETSTSTTSSSSKESDGTRKKKRKLTEFFERLMREVMEKQENLQKKFIEAIEKSEQDRIAREEAWKMQELARIKRERELLVQERSIAAAKDAAVLAFLKKFSDQATPIQLPETPLPVEKVAERQENSNGSESYMHHLSSSRWPKDEVEALIRLRTNLDLQYQDNAPKGPLWEEISAAMKKLGYDRSAKRCKEKWENMNKYFKRVKESNKKRPEDSKTCPYFHQLDALYREKSKKADGSVNSGYELKPEELLMHMMSAQEERPQQESATEDGESENADQNQVENGNTEEGDAYQIVVNDPSPMAIIG >OMO55869 pep supercontig:CCACVL1_1.0:contig14577:22492:23964:1 gene:CCACVL1_26948 transcript:OMO55869 gene_biotype:protein_coding transcript_biotype:protein_coding description:BolA protein MGVTKEQVESSLTSKLNPSHLEVIDTSGGCGASFAIEIVSEQFEGKRLLERHRIVNGALEEEMKEIHALSIKKALTPEQWKQQQESEKSKSDA >OMO55871 pep supercontig:CCACVL1_1.0:contig14577:26408:27886:-1 gene:CCACVL1_26950 transcript:OMO55871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISHFSRVNLNLRRFPYSLSSLLASIFSKSLASLSSTPQTLLLPNPSLPPIFCFHHFHSNPPSDSSTSSISSTGYSSSLNPTANPSSQNFKVYNLRWFRSFSNSSSGLNPSRRQISEIIILIQSNQKDLESKLDGMNVSLSEASLNSIFGTLNSLKVSAVRFFYWVRRSHPLFYRSSNICSLVIDNCGRLDDFDSMLDLLNDFRLNGICLNQNAFGFLTAMISRKTKTENSVLKTVGVLNSIGGECGVSGIHALIEMFSALGYTEMARYVVSKSEKRLSNYNILIRDCCHRGKFEEAREILDGMIKNGCNPNSHTLNYILSCLCKNNKAAEASQLLEEMQECHFQPDALTFEVFIHYWCGLGNLDMAFEGLDKMISRGIEPRLTTHAAFIKGYFRLKQYKEAHRYVIVYSDKYKSLSNALYSLLANLHRKGGKPVIAHSILSEMMEKGLKPNHSVYIIVRKRLQQFGREDLARNLERSFTSLISQPSTDNR >OMO55864 pep supercontig:CCACVL1_1.0:contig14577:2931:6867:-1 gene:CCACVL1_26943 transcript:OMO55864 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase MDNVDNNMDIVDDIDDGVERFEPNKITGLLITVMMARFWPQANVTVADHCTSVLHIWQFLIANKQPPIRELRFTDYFNLVQDRINFIEMDQHSEDELIKNARLIILAIEKEPKTIGYGQKGVSDLTDCEIAVKRIASPPPPPKHLHKEDPPLNRGVNWEDEGGMILASLRFALKPFSKTKSQNLNLCSNAGHLLV >OMO55865 pep supercontig:CCACVL1_1.0:contig14577:7356:7508:-1 gene:CCACVL1_26944 transcript:OMO55865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSIEDFGFDSLVTGHSRQSLKSRKLNPSPTQQMKIGLGFDFWVIQILA >OMO55870 pep supercontig:CCACVL1_1.0:contig14577:24638:26035:1 gene:CCACVL1_26949 transcript:OMO55870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNYFPSPRHESGLSKIEGKATLLAPMSAFISISNKLIKPAIFSLQVVPRKMSKVKERMKICRVVSATNPISKSNTRFRSTHTTKVAEHSTGLVGDEENFETIPHLKTKLYQELQGINRGIFGVPSSKKSDIEALVKLLESQNPTPDPTLNLDKVSGCWKLVYSTITILGSKRTKLGLRDFITLGEFFQTIDVEKRVDINYDNSTITPDQG >OMO55866 pep supercontig:CCACVL1_1.0:contig14577:7777:11426:1 gene:CCACVL1_26945 transcript:OMO55866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPRWRNVLVLKNSLIPALLHSKQTATAATNHVASFHSTPVTSEKWRNKFNFDERTHSSQQPSKNYIRYTVRQKRADTKRALKDLLLNNGSPNIPYEDEDPLWKFDGTEDLDSDGSDKKRHPKFSRRHTKKSTRNKAKRRFKKERSSEDFDHPESETSFRATFGNKTYTWSSTGDSSFQSSESGFEWRENSGWTNQRTKFWETISDVESESEDESYDVGSCSDRTILGLPPRGPLKLEDVKNAFRSSALKWHPDKHQGPSQAMAEEKFKLCVNAYKSLCTALA >OMO55867 pep supercontig:CCACVL1_1.0:contig14577:13257:13847:-1 gene:CCACVL1_26946 transcript:OMO55867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYEPTHNQTHHHHHHHHQTIPKETALQALNTIIQLHFEKTLEKKRAIDLQKKELHKLFQLFFIFLGLVFMGQAQSTRLQCRHCWAPITLLSLSHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATEKLREMKLRINNGEFVDGFGEEGEFEIHYQEPPESYFAKFKRNWALHFGFLILIYAFMVTSSVVMLCF >OMO55863 pep supercontig:CCACVL1_1.0:contig14577:1337:2384:-1 gene:CCACVL1_26942 transcript:OMO55863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLRKQRRCEDMDLLNKRQRKDVDLVDKNKRRWEDMDRDISVNIMVRVLHNERDFSRFYHLSICRSWLDALLDVYFPYGHYVFDLRPLAALDTKVLAKGFFISVKLVLAFRPTTHYSKMIVGNNGLLRNYKLGISNSKIRGSLIPYMFDRLVPFLRELDLLAFDSYYRNKHEFGPYCEKLEAIFCSALTVQTLSRYSKYIHTLRLYGIINHGAAELIGTSFPMLKHLEIPSCVLSVNALPIILNGHQNMHTLDTRHCFLASENRIRDFLFCFHYNSVPDANPYPGVKALEWKLEEEESFQGVK >OMO50235 pep supercontig:CCACVL1_1.0:contig16249:37911:38090:1 gene:CCACVL1_30558 transcript:OMO50235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSVTAATGASSNRLWQPQPNYLTLYPTISITLYRQDHRNFHQSQIHSSIWMSFGPKI >OMO50234 pep supercontig:CCACVL1_1.0:contig16249:24522:25409:1 gene:CCACVL1_30557 transcript:OMO50234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTCNDLELGVKCQLIQFGSLEPVLVQFPEENSIGGLITKGGYDNGNEAWTFVKQQNSRRRYHQPKISTVEGRYQGKDLLSPTKKGYEEHSMEVSNNETSEQNFRKPIMLKEFFPQEFFNEDQGTVSGHMVSFEELSEDEKPRVSAFDRLGVTQTRKSVFNRLNVRLSRQKEVVQIGGSVFDRLSSSKDSTTKTLLENEWKDDKETCSRIPSRMKRHLFLEIETNGPLKVKRRVVVRTGSFVQRNSGGKVSEHVSQVEPSQVEAEKDLTAVGGKHPLSKFITTCFLKTMLILSS >OMO50236 pep supercontig:CCACVL1_1.0:contig16249:39780:39917:1 gene:CCACVL1_30559 transcript:OMO50236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWNYRKGRVKGIERRIEIEKGRGNKNAKEMEIENEIGKKKVIA >OMO50237 pep supercontig:CCACVL1_1.0:contig16249:40283:40477:-1 gene:CCACVL1_30560 transcript:OMO50237 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAE1/Type III polyketide synthase-like protein MEIFMERSVLTESFTKENLAFEKKILERSGLGQKTYLPEAVLRVPPNPCGRDKERGKDGDVWSH >OMO50238 pep supercontig:CCACVL1_1.0:contig16249:41764:51372:-1 gene:CCACVL1_30561 transcript:OMO50238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgin subfamily A member 4 MYQPGKKERKTGPPHPIRAEDSIRKPEEKKTEMGERKETMADLASSLSDITSQVPQTIAAPIDPSPPSSAVHSQHESSDTQLTNK >OMO74266 pep supercontig:CCACVL1_1.0:contig11150:12380:13300:1 gene:CCACVL1_16868 transcript:OMO74266 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, NADP-binding protein METPYPTPISPTQTRIGWIGIGVMGAAMASHLLSAGYSVTIYARNPSKAASLQSQGAHLANSPQQLARQCDVVFTMVGNPQDVRQTVLESDGILSGLKPGAVIVDHTSSSPSLAREIYASARKKGCWSVDAPVSGGDIGAREGKLAIFAAGVCAVVEWLKPLFDLMGRVTYMGEAGCGQSCKIGNQIMVGANLMGLSEGLVFAEKAGLDLKKYMEAIRGGSAGSMAMELWGRRIIERDFKPGGFAEYMVKDMGMGVDVVKEEDDGKVMVLPGAALGKQMFSAMVANGDGKLGTQGLITVVERINGK >OMO74263 pep supercontig:CCACVL1_1.0:contig11150:711:1613:1 gene:CCACVL1_16865 transcript:OMO74263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HSHGSEVKEMADVNGNEIKKEVQDLENVMDIERINVLRLHSMDSVRSKEDIGHQHAMADHNHPSSHMNPSLRVFFTLNQLKVGKTMPIYFPKNDPSKSPQLLPKEEADSIPFSLKQLPYLLRFFSFSQGSPQAIAMENTLRECETKPIKGETKFCATSLDSMFDFARTIFGLNSQFEIVATTHLITKSINTHFQNYTILEKPKEISTPKMKMVACHTMPYPYAVLYCHSQETENRVFKVSLGGGENGDRVEAVAVCHMDTSQWTPNHVSFRVLGIEPGTPGVCHFFPADNFVLIPLPAHP >OMO74264 pep supercontig:CCACVL1_1.0:contig11150:2894:6433:1 gene:CCACVL1_16866 transcript:OMO74264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISTVLSVGFHQHILTHQLPSSFPIIPSLSPPTSRASCIANLTPAAATSTTATTSIKEPNRTHPHSKSYLQRKSALLEVQQSSDLNSALQTFGEILKPQDLNVILRHFGKLGKWNHLSQLFDWMRQHGKTNGSSYSSFIKIMGKKLTPVKALEIYKSIPDESTRVNVFICNSFLSCLVRNGKFESGIKMFDKMKQDGLIPDSVTYNTLLAGCIKIKHGHSKALELIRELKYNGLQMDSIMYGTLLAVCASNGLPEEAQNYFNQMREEGHTPNLYHYSSLLNAYSYDGNYHKADELVEEMKSSGLVPNKVILTTLLKVYVRGGLFEKSRNLLAELEALGYAEDEMPYCLLMDGLSKSGRLDEARSVFGEMQEKCVKSDGYSHSIMISALCRGGLIEEAKVLAQDFEAKYNKYDLVMLNTMLCAYCRAGEMDSVMQTMKKMDELAISPDYNTFHILIKYFCKEKLYLLAYKTMEDMHSKGYRPDEGLCSVLIFQLGKMEAHSEAFSVYNMLRYSKRTMCKALHEKILHILIAGQCLKDAYLVVKDNAEVISKPAITKFATAFLKLGNINLINDVLKVIHGSGYKIDQGLFRMAISRYLDQPEKKELLLQLLQWMPGQGYVVDSSTRNLILKNSQLFGRQQTAEILSKHHMMSKIQRSS >OMO74267 pep supercontig:CCACVL1_1.0:contig11150:15514:16780:1 gene:CCACVL1_16869 transcript:OMO74267 gene_biotype:protein_coding transcript_biotype:protein_coding description:11-S seed storage protein, plant MELSPKFPRTFFESEAGGYYNWSSADSSILSEAKVGAGKLVLKPQGVCTVGLVSPNKKDKMSFVCLKKGDIIGSPQGSVSWWYNHGSDSDFVIVFLAETSKAYLPGEITYFLLTGPIGYLSAFSPEFIAKSYRINQEQAQTLAGSQQGILLLKLSEEEAKIVPLPHQDALNKWTKNLDSSLPDVAVKKGGKSTTVTGGEFMLLEEIGLSANRLELEPNAIRTPNYATDTQVFYVVKGSGKVQIFGLNGKLVLDTEVETGQLFVVPRFLMVSLLAGGEGIECFSVVTSTRPDIGELAGKDSVLNTIPSFLQVVLNLTPEFTQVFKQMLETGTVIVPPMN >OMO74265 pep supercontig:CCACVL1_1.0:contig11150:6690:11641:-1 gene:CCACVL1_16867 transcript:OMO74265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQTDSVARESVIVVMDANRNKGMVDAVDWALKHVVRPKDAVIVVGVLRDIGKKTPSCFPMGISISGIWEKLEFSSGHAEVDPRELGEEIERVREQYQANLQPFYRQCKRNEVKLEVKLAAGLCPGEVTLKEAQNSNVRWIVLDSHLKKHKMIIYGHISCNVAVMKGKDVATLMPSRAPKTDPSAARCEQADDRTGPNDQTFNDQKNRDPNIIEEGDLTPLPQGSCWYSLQWSADFPREFSLSEIEVITNDFADMLIEEENLKFYEGLFQNTPVIVTSFEDDERFWSILTILSRVRHRNIRNIIGFCCTGTNRLIISDYPFLGNVELNLQCDASACNLPWRARWYNAMEIASSLRYLHEECPDGPIVHHSLSSENVSYSDGYTAMLCNFKSAKWLKDDVSCNGISTAKYPNLEEEKCLFVDVYDYGVFLVELITGKPAKCFPHESEGQSLIDWALPLLESGALTQLIDPRLKDKDDDSKVVQYMARAALLCLKNDQGRMISISEVLAVVRADQIPFDIFLISSAFIIMVLLYTTTRSVNCVYLLDFACYRPPDSLRVVIATFTEYLQITDIFDRESVDFQVRVLERAGVGNESCVPPAAFEIPCNTSMREGRLEVEEVLFTAVKDLLTKHKINPKSIDILISNCSLFNPIPSMTTMVMNKFGFRSDIKSFHLSGMGCSAGILSISLAKDLLKVHKNSLALVLSTEATGPSIYEGKNKSMLVTNTLFRMGGVAILLSNRKQDRNRAKYKLQHIVRTHMGFDDQSYNSVFQQTDEEGFKGVSLSRALLHVAAKALKTNMSELGPLVLPYSEQLKYGWSVIRKKIIRSADDQEKGTYVPNFKTAFEHFCIHAGGRAVIDAMEDNLRLQKEDIEASRMTLYRFGNTSSSSLWYELCYLEAKGKVKKGDRVWQLAFGSGFKTNSAVWKCISDLDPNETNAWSDRIHRYPVDVPSILEH >OMO66839 pep supercontig:CCACVL1_1.0:contig12510:26847:37845:1 gene:CCACVL1_20971 transcript:OMO66839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKILIDRGALADLDQLQPDNVASALADLDQLQPDNVARCNA >OMO68078 pep supercontig:CCACVL1_1.0:contig12287:4498:7419:1 gene:CCACVL1_20090 transcript:OMO68078 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MNFRRSQTSSSELLPYDSETERTCKANRARRRAAMAEEDNLNNLNNLNDDENNGENNGNNNHIVPEVLPQAARVLMGDIQMPVISASPSCIKLSQRARNYELKQNNVDNAAPGMVSTKTTEELKEIVETLVKNSLMKAGRSSRAMVNQYMSSIDAKLDNLGRLDKTVKSNSASIRNLEMQVSQLSKVVGEGEQGKLPSNTEVNPKEGVMAITLRSGKILEPTTPKEGAVRKSPKGGNEDEVVEVPHPNPVQIGVEENKLSSPPKVQTYVPPIPYPQRLRKRRDDSKFQKLLDIFKKLHINIPFAEALAQMPAYAKFLKEIISNKSKLEEYATVALTEECSVVLLNKLPLKQKDPGSFVIPCHFGSSNVYKCLCDLGASINLMPLSLFRKLQIGELKPTTVSLQLADRSVRHPTGIVKDLLVKVGKLIIHVGFLVMDMDYDTEVPIIVGRPFLATRGAMIDVANGAGYLKDSLHAIEDLGERKPIPLPSSQEAPKPELKLLPSHLKYGYLGEDNTYHVIISSALSSVQEGKLITILREHKMAITWSISDIKSISPSICTHCILMEENHKPSVENQRRLNPNMKEVVCKEVIKLLDAVIINAISDSPWVSPVQVVPKKGGLTVVKNEKNELIPTRPTAG >OMO70697 pep supercontig:CCACVL1_1.0:contig11797:22671:24329:-1 gene:CCACVL1_18699 transcript:OMO70697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDEDMTPFWLQTTDNRRHRRRVQPSSFFFNSGVLIILLLVVAFAFIFVIIPSFLSFTSQIFKPQLVKKSWDSLNLVLVLFAIICGFLSKSNSNNNDNDSRSGYEDYKFSSTPKHVRSSSNPSTPREWYEYSSASDRTAFNSLQRLRSSSSYPDLRQESSWVMNGEDRWRFYDDTRLYSFRSRSRREYDEDQVYRNNVKDIAVDTVQTPPPPPSPPPPALTHQEPEAASPPRPPQSPPLQPPKVARRKPKRTYEDPKPKEESESWEVINNTEMKIKHSLPPTPSVPALPPPSPPPPPPPPPPAVFEKRSHKSEKKRGGVTKDFLISLRRKKKKQRQKSVENLDEFFNLSTLPLYPPPSPPPPPPPPPPPLPSFYQNIFSSKKTKARKYHSVPPAPPPPQPALEARASKREPQRPPVTTHKPPLPVKIRNIKSVEESVESGNESPLNPIPPPPPPPPFKMPPLKFEVHGDYVRLKSIRSGSPDFDDPLSGGEGSPSDGNRNSTGGPLFCPSPDVDTKADNFIARFRAGLKLEKLNSVKGRSNLGLDPGPSTV >OMO70692 pep supercontig:CCACVL1_1.0:contig11797:315:494:1 gene:CCACVL1_18694 transcript:OMO70692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLILHRLKEPNVNNIVCRFSTKGGFNAFMGKTQVGWQQQALVEDIKISSGHVQIRRV >OMO70693 pep supercontig:CCACVL1_1.0:contig11797:1551:2504:-1 gene:CCACVL1_18695 transcript:OMO70693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYFLSRFMQAVSDTLRPQPSPLPLHSLLISVLVIAAHLRSPTL >OMO70695 pep supercontig:CCACVL1_1.0:contig11797:11706:14050:-1 gene:CCACVL1_18697 transcript:OMO70695 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-directed RNA polymerase II subunit MARQKKASEISFSKKSDELSLSSTDFKKLKIHLNQLVKKADGKPAAMVYRLYGAGYDCDYDWEKENLIGGNPWLKHQKDRPVDYLKNLALMVYITAGSAAYPILEFLEEWGTENFEESVEEGGCHDLIAKGFIEYILTQTTMISMTMSLMLFFCSCSPMSIIAITVEEEDIVMLKDYSPASDTGAPPPKEPVRLPFCSTRAYKSSLLICTLQRVILKSTL >OMO70698 pep supercontig:CCACVL1_1.0:contig11797:24712:30282:-1 gene:CCACVL1_18700 transcript:OMO70698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18a MAILVANTVHFFTCSSWSRSLKAERGAEDHSTEAVEHKKGEYALIRDAEDTQLGMYDKPLPCFGCGIGWFSLLLGFVFPVMWYYATILYFGNYYHKDPRERAGLAASAIAGREDIIFFAFAVILTKTGSM >OMO70694 pep supercontig:CCACVL1_1.0:contig11797:3577:11193:1 gene:CCACVL1_18696 transcript:OMO70694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKKTTMSKLIETSKRKKKMEADVDLNKFCVAASCGEWLVYKQLERVRTEATWRSYRAIETKEWQYYCYSKKYWCDYKNLESQVQLEVYPYIHPLQRELYKLSGQASHFHRGKRELRQSWP >OMO70696 pep supercontig:CCACVL1_1.0:contig11797:17954:20306:1 gene:CCACVL1_18698 transcript:OMO70696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFVLLTYMNHFSEISYDTYPHPGLDKNNTIGYELASTLNGSSKPDDPV >OMO78374 pep supercontig:CCACVL1_1.0:contig10591:86461:87696:1 gene:CCACVL1_14451 transcript:OMO78374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF2/IF5 MAFVNVDPENRDDPFYRYKMPQMQTKIEGRGNGIKTNIVNMVDIAKGLARPASYTTKHFGFELGAQSEFDEKTGTCRVNGSHGTAKLAGLLDKFIKKYVLCYECRNPETKMLINKNQMIQLKCAACGSVSYVDMTDKLTTFILKNLPKQNKGSEDVKQRKLNKQEKKQGSSSKEGISKASSTKKKASGCHSQVDEKEEVEVDDNDDDDVHFQTDTSPEAARQRMQDQLSAATANMVMLSTNESEKKEKVINMVSNKSNGNSNHHQTLVEELKANLNNGISARQLKSLMGTHSHEKISALLEALFDGIEKGFAKEVVKKKNYLAAILTQEKGSQLLLLESIESFFEKVSSTALKEIALVLNALYDADLLMEEYILQWYQEGLKGVNKDSRIWKKAEPFIEWLQSAESESEEE >OMO78359 pep supercontig:CCACVL1_1.0:contig10591:24494:25369:-1 gene:CCACVL1_14434 transcript:OMO78359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNDGTEVNLLCASGCVHVAASASAPPIPLVIWVHENYPQNPPLVFVSLDPVNPIHRHHPFVDTSGVTSPPYILTWKFPSCNLSGLLRNLVQLFSYDHPFSDSPPSPPPPCSGFSHPSFVSKKEALDRLVGMVYYDMAALQESTAKEIEELSLSQEKLKSRDVFITNMISEMEEERAKLKERAYNWAEEADRLVNWLKVNDGRPGMALDAGEVEIEGAFEMDEKSNLRLDCSAADLAIDDVLYKLDKALELEAVSFDLYLKQVRILAREQFFLRARELKLDGMNILPLSPN >OMO78377 pep supercontig:CCACVL1_1.0:contig10591:101068:102142:1 gene:CCACVL1_14454 transcript:OMO78377 gene_biotype:protein_coding transcript_biotype:protein_coding description:Recoverin MADTMTDDQIAEFREAFCLIDKDSDGLITMEELAAVIQGLDGNPTKEEVQEMLSEIDVDGDGTIDFEDFLNIMGRKMKENVADELKEAFKVFDRDQDGYISANELRQVMMNMGERLTVEEAEQMIREADLDGDGLVSYEEFAKMMMAF >OMO78369 pep supercontig:CCACVL1_1.0:contig10591:68484:72072:1 gene:CCACVL1_14445 transcript:OMO78369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSGFAPCEPYLALTGTDGD >OMO78378 pep supercontig:CCACVL1_1.0:contig10591:102913:103530:1 gene:CCACVL1_14455 transcript:OMO78378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEPSSSFPQNMEEYSASATIFKLDSPIPLLRGPIPAGPSDDPESGPYLLAFKDLPSWAAAYKSSESKIISQCDEGARIGCAIAASNKCKPPWWQSLMGHKSMDLKERERCEVREMEECLVTAKEKCVSFAKEKCGKPFLQARIAVARREVRNKVVRKLIQIASMPEESAWRDLIGLDKLGECEIPATNCIARQFLSSGAQLQT >OMO78373 pep supercontig:CCACVL1_1.0:contig10591:83972:84073:1 gene:CCACVL1_14450 transcript:OMO78373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNPIAASRSNAIHDENWLELELYMIFSKEFY >OMO78371 pep supercontig:CCACVL1_1.0:contig10591:78294:80121:-1 gene:CCACVL1_14448 transcript:OMO78371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESETASSADEQQEQAAGSAADTRGKHRILAELKRVEQESRFLEEEMEELERTDNVSTLCEELLLSMEPKPDPLLPV >OMO78372 pep supercontig:CCACVL1_1.0:contig10591:81720:83642:-1 gene:CCACVL1_14449 transcript:OMO78372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MSNFTLPQNLQPDAASPDWLNKGDNAWQLTAATMVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVLVCWVGWGYRMAFGDKFVHFLGMPNVSLDAQYLIDKAFLGWLPNATMIYFQFVFAAITLILIAGALLGRMNFLAWMLFVPLWLTFSYTITAYSIWCPDGWLSKQGIIDYSGGYVIHLSSGVAGFTAAYWVGPRTTKDRERFPPNNILLMLAGAGLLWLGWTGFNGGDPYTVSTDASLAVLNTHVCTATSLLTWLMLDIIFFGKPSVIGATQGMITGLVCITPAAGVVQGWAAILMGIMSGSIPWYTMMVLHKKIWLLKQVDDTMAVFHTHAVAGSLGGILTGVFAVPKLSRLFYLVDNWQHYTGLFYGFQMGRTTAGFKQFGIQILGIVYVVTINILVTSIICLLISVVVPLRLAEDELQTGDDAIHGEEAYALWGDGEKYESKMNSVYDEFPPASKGGEVEMA >OMO78361 pep supercontig:CCACVL1_1.0:contig10591:33960:34049:-1 gene:CCACVL1_14436 transcript:OMO78361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPAADDLCVNFQGNNVTFSCRRVFREL >OMO78358 pep supercontig:CCACVL1_1.0:contig10591:16187:21636:-1 gene:CCACVL1_14433 transcript:OMO78358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISSRVFVVSILLLFLASGSFSIDNFHQAFPIVEPDPGHTKLRLSREGLEAISRITNPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPVEVDIDGVRTSVLYLDTEGFESVGKSNVYDDRIFALATVMSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQEMVDEALKHVPNTDGDKNIDQVNQIRDSLAVMGENSTAFSLPQPHLMRTKLCDLKDDDLEPLYVKRREKLKEVVTSIIRPKIVQGKALTGKEFVSFLEQILEALNKGEIPSTGSLVDFFNKGILERCLKLYGERIGKLGLPMPEQSLQDAHERFREEAMKAFDDQHFGRNHAKKSAMQLDEEINEAYKNVIMANQYQSSRLCEQLYTRCEDKMDQLQVLRLPSMAKFNAGFLQCNQSFERECVGPSKASYEQRMVKMMGKSRSLFIKEYNQRLFNWLVAFSLVMVVVGRFIIKFILLEMAAWILFIFLETYTRMFWSAESLYYNPVWHFIVATWETLVYSPILDLD >OMO78364 pep supercontig:CCACVL1_1.0:contig10591:48960:50516:1 gene:CCACVL1_14439 transcript:OMO78364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A MAGKGGKGLLAAKTTAANKDKDKDKDKKRPVSRSSRAGIQFPVGRIHRHLKSRTSANGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKD >OMO78366 pep supercontig:CCACVL1_1.0:contig10591:59225:61225:1 gene:CCACVL1_14442 transcript:OMO78366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPNVAKPCEKMGHKGLREGQRGSLSRQKATVKWGLRLDVVSNGQRLAHNSQYWPL >OMO78365 pep supercontig:CCACVL1_1.0:contig10591:52051:54855:1 gene:CCACVL1_14440 transcript:OMO78365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRIPKAAGCSPIRAVLAGPAENVITEKLILKPAPETKTEVVQKNTSVHNDNWFELMAINHLSEKLQAATGVKSMKSGYESLVEATAMVSKIYNPKRQQELVIQCLDSAIPKIILNMIKTLLPQTQFTREYFAAFTTVFFTWLIGPSEVRESEFNGRREKNVVHVKKCRFLEQSNCVGMCINLCKMPSQAFIKDTLGMPVNMVPNFEDMSCEMIFGQEPPASNDDPALKQPCYKSCRAIQKHTAKCSS >OMO78362 pep supercontig:CCACVL1_1.0:contig10591:34387:42547:-1 gene:CCACVL1_14437 transcript:OMO78362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKKPHLKRIRKIQLRLEMRGYSRQIKERLAYRTCPRDDHEAVSETPRITRWGEPAASLGQIKRVTPVLSDCTLIVTLLYVASCNHD >OMO78376 pep supercontig:CCACVL1_1.0:contig10591:93942:98620:-1 gene:CCACVL1_14453 transcript:OMO78376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKNPLVFLDVSIDEDPVERIVIELFAALVPKTAENFRALCTGEKGIGISTGKPLHYKGSFFHRIIKGFMAQGGDFSKGNGTGGESIYGGKFADENFKLTHDGFGHLSMANSGPNTNGSQFFITFKPQPHLNGKHVVFGKVIKGLDTLKKIELVGTGDGKPAKPVKISDCGEISENKVSDAVGKAKGKEKKSGKVPSSDSSDGKAGRRGKKSLKDTRKKRKRRYSSSDSYSSDSDSDSDSDSYSSDSDSDVSPSESSSSSDGRRHRRRRSSKRNKRQRVKKRKDGGREKKRGRQGKRSKRKSKWSSTDTESESTSSRSETDDEKADTRLVPGRTNRISKHAENESPQNLVQLTADVEKHNHNELSVNDVNDDNTGKRKNKELKASEGNSSQEEGELLPKGDELPNNGHGSKAANQRTHGSDRSRFISGSPIRRPNNSRRGSPSMSPSKDDVRSPPRKFDRRTSHSPLGSPAKKASASIQGRVSSRSPSPGGTSKRIRKGRGFTDQYSFARRYRTPSPQRSPPRSYRYGGRNFPERNHDRYSSYRNRSDQSPRRRYRIPPRGRSPPRYERRRSRSRSRGNSRSPMLYWGRNRDRSLSQSPIRSPSPRDKRPGISEGLKSRLGPRMDHQGSPNRGKSRSRSPSSGSRGSSHSGSPDISPRRHRDRKSSSPNMSKSSSPSGQRGLVSYGD >OMO78363 pep supercontig:CCACVL1_1.0:contig10591:44633:47970:-1 gene:CCACVL1_14438 transcript:OMO78363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MSASFRFSTSVSPLQSHEFPRMPSLLKPRIHLPVLKPMTIALSRVVLPESLKVAASELPKTVVEPAAFREAEGLEVDSVTEAELKENGFRSTRRTKIVCTIGPRTCDFEAIEALAVGGMNVARVNMCHGTQEWHRDVIRRVRRLNEEKGFAVAVMMDTEGSEIHMDDLNGAESAKAEDGEIWTFTVRAFDPSSRPERTITVNYDGFAEDVKVGDELLVDGGMVRFVVSEKIGPDVICHCTDPGLLLPRANLTFWRNGSLVQERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVRTAEVIKTLKSYIRARSPKSQISVIAKIESIESLNNLEEIIQASDGAMVARGDLGAQIPLEQVPSVQQEVVQLCRELNKPVIVASQLLESMIEYPIPTRAEVADVSEAVRQQADALMLSSESAMGQYPDKALAVLRSVSLRIERWRREEKHYDTTDLPVVSSSLPASISEEICNTASKMANKLEADAIFVYTKTGHISSLLSRNRPSCPIFAFTPMTSIRRCLNLQWGLIPFRLDFSDDMESNLNRTFSLLRAKGMIKSGDLIIVVSDILQSVQAIKVP >OMO78368 pep supercontig:CCACVL1_1.0:contig10591:66894:67289:1 gene:CCACVL1_14444 transcript:OMO78368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPMQTKESPAQLATGIPKRVCLGNFVRARRVANTCSYTWLDVIRCMGYSNYSLDWNGDQIKGPRGGQLPSKNEGR >OMO78367 pep supercontig:CCACVL1_1.0:contig10591:62181:65810:-1 gene:CCACVL1_14443 transcript:OMO78367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGIVQDRNIEKQIGKQMGCMAGFFQIFDRHQLLTGKRLYSTKRLPPLPASETTSEQEQTVETPPISKELEKQPQGRSAVPSPDRSKLPPVISELRSPAPEPSTPTGNKSPLPLPIFEFKEGNARSPWKFSKEAPRLSLDSRAVVDAKGSLKPREIRTNAAILSANQCESNSEDDGMDDNDKQRRSPSVIARLMGLEPLPDSNPEPTRKAELRRSASEARGRDLFQYRFIDGVNFQVKQSQQPNFQNGGVSSNLARENVAKQEQIISNRSEGLRNARAETVKAPARGMGQRKCFYDSADFFPEPKQTVSIYGEIEKRLKLRGIDEPSKDLETLKQILEALQLKGLLHSKKPSNQTNSRHFVYEHEESPIVVIKPGRSPASPARRIGNDSPPSYRSRHVARRNMNLESPPAMSPRRDRPDSERNVRNQSRGRGSSSPTRSECKSPNRRQLSVETQRRGNSIAEQRRVSPVQSPRVNVRRTGLDQTANRSPRNKKPTAEIYQKEEKVYLPAEDETSTVSESSLSTCSQTDTERSKMEEYKEGKSLLERCDKLLHSIAEMTATAELQQPSPVSVLDSSFYKEESSPSPVMKRSIEFKDQLVESEDDMWSSAISSTESKCEDKSSDDCDFIYISDILRASNYLPEDSDVFLLLEKQQYLKGKDTSKVSRLQRKLIFDTINEILNKKRQLPPWKLISCSSNSTTGQTSLQQIWSEFQKIRERDSSEDLFEVICGVLRKDLAGDAINGWGDSPIEMSEAVLDIERLIFKDLIGETIRDLAAFAGKSNKISALRRKLVF >OMO78360 pep supercontig:CCACVL1_1.0:contig10591:32098:33633:-1 gene:CCACVL1_14435 transcript:OMO78360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPMKIQPIDFNTLEEVAPPRLETVKPVAKSRFKRLFERQFPSVLRNSAAEKVGAVAASDELRFNKECTAEFEPSSVCLAKMVQNFIEENNDKQQSGAVRCNRNRCNCFNRNSTDSSEDEMDGFSFGDSNLSSSAEATEILKSLVSCGSVIERNLLADTARIVEKNKISKRKDDFSRKVVTDGLLALGYDASICKSRWEKSPSYPAGEYEYIDVIIDGERLLIDIDFRSEFEIARSTKTYKSILQMLPFIFVGKADRLQKIIAIVSEAVKQSLKKKGMHIPPWRKAEYVKAKWLSPHTRASPSPSPSPTPTPTPTIGTLKELECETKANEKPQPLFESNVEEKNSAESGESIFALSESSEEEGNEKVEKEEWKPPEIKPKSSQIGVKVVTGLASVIEDEPRKF >OMO78375 pep supercontig:CCACVL1_1.0:contig10591:90471:92470:1 gene:CCACVL1_14452 transcript:OMO78375 gene_biotype:protein_coding transcript_biotype:protein_coding description:37 kDa inner envelope membrane protein, chloroplastic-like protein MASSMLNGAEHFTLTRGFTPIKTGSLGSDFHGKRFSNVGLVSSARISRAGAAMAPKCSLSASRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLNDRNMLVVDVGGGTGFTTLGIVQHVDAKNVTILDQSPHQLAKAKQKEPLKECRIIEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKLGGKACLIGPVYPTFWLSRFFADVWMLFPKEEEYIEWFEKAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQLGPKAEDVSKPVNPLVFLLRFILGATAGAYYVLVPIYMWIKDQIVPEGQPI >OMO78370 pep supercontig:CCACVL1_1.0:contig10591:75472:77277:1 gene:CCACVL1_14447 transcript:OMO78370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRKGNSIEVLRRDQDPCGSWFTATILSADGDNCIVRYKQLMDREGKRVVEKVPGKDVRPVPPTAHGKSWAVGDIAEVFDIRCWRVGKIAKVLKNNNRFVIKFFGSIQLKEFDASSLRVRQVWHGNQWVVIGKVAPGKDFANNLKPKIPDRASGLLFRTSSNMSRNIQFGEKDREGQYKVGANNGTMCLSIRAITRGYAHESEECNMDLLVGGTVKKRKSPPCSRGCDESLKRTFPPSFNQVGIDKKFIKQSASRFNRMENTAPRCSHDSSRLVWSTEDSDQCSVASCSSNGVTDYAGQISHKSPENTADNSDAESSYPSLCGKRDLPLSPEDEVVDIHELELRAYKSTVEALYASGPLTWDQESLLTNLRLSLNISDEEHLLQLRHLLSAQVL >OMP01133 pep supercontig:CCACVL1_1.0:contig06524:3753:3974:1 gene:CCACVL1_03152 transcript:OMP01133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLFEQFMSMQQQMQQAQFEFLKSALAEQPTKKLRKFGTDEIQPIVEIVNSYNQVDEDLQPEEPCPTITPNQ >OMO84198 pep supercontig:CCACVL1_1.0:contig09774:14693:14767:-1 gene:CCACVL1_10951 transcript:OMO84198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFQAAKAALISFYETLLDQKLE >OMO88719 pep supercontig:CCACVL1_1.0:contig08955:8165:23284:1 gene:CCACVL1_08243 transcript:OMO88719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPYLSGCPIQSFSAPSANIASKNSFNRGRGGGRGNTQSFGRNNRGRGRGRSNMGNGYMAAFLDDTP >OMO54843 pep supercontig:CCACVL1_1.0:contig14891:73745:74503:-1 gene:CCACVL1_27534 transcript:OMO54843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAYLLLFFLGVAVLLTTPFPSVADGPPKEELSNPDQCNCKPPMVPGSGGCGQHKKGEPPMRQGGDKNPPPEERHLSEDDSHMNSPNKPFGKPHNPRKGKKPPLEAEEVLKNKDVFQPPRKLYRQPMPPHEPPYEPPYGPPPHEPPYQPPHGPPPHEPLYEPPYEPPHGPPPHEPPYQPPYEPPHGPPPHGPPHQPPYEPPHGPPPHEPPYQPPYEPPHGPPAHEPPYEPPYEPPYGPPHGPPHGPPPHGN >OMO54836 pep supercontig:CCACVL1_1.0:contig14891:16757:18498:-1 gene:CCACVL1_27526 transcript:OMO54836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLEDWDFLDYSFIDQNNSSDLLLSNYSGGGEIEFSSGNAVHQEKESVDGECSRKRARSGSCGRPGTKACRERLRREKLNERFLDLSSVLEPGRPARTDKLAILDDTIRVLTQLRTEAQELNETKEKLLEEIKTLKAEKNELREEKLVLKGDKEKIEQQLKTMTIPSAGYLPAHPAAYHPGANKMAVFPGYSLVPMWQYLSPSVRDTSQDHELRPPAA >OMO54833 pep supercontig:CCACVL1_1.0:contig14891:904:4838:-1 gene:CCACVL1_27523 transcript:OMO54833 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MAIELQKGVALLIQQFKALLKKNLLLSWRNKRATFFQLFTSFFFIFLIFCLEKSSTKPLKAVRDPKPLVSPPIPPCESKNFIRQPCFDFVWSGDQSNTINGIVKAIRENNPGRPIPENKVKYFRTINEVDQWVFNNVARVPGALHFVERNATVISYGLLTNSTTDNMGGQYEDPTLKFQIPLQIAAEREIARALIGDEKFSWNVALKEFPHPASEVKSSALAFAPTFFLAAAMFSFVFQMGCLVSEKELKLRQGLWANLEKDQLFCLLGPNGAGKTTAINCLTGTTPVTSGDALIYGHSVRSSVGMSNIRRIIGVCPQFDILWNALSGQEHIELFACIKGLHPASIKSVVEKSLTDVRLTEASKVRAESYSGGMKRRISVAVALIGDPKLVFLDEPTSGMDPITRRHVWDIIENAKRGRAIVLTTHSMEEADVLSDRIAIMAKGRLRCIGTSIRLKSRFGSGFIANIRFIGNNNGIDSPDSDVVATSYHHESVKQFFKSHLNIEPKEENKGFMTFVIPHDREKLLTEVFRKLQDREREFGIADTQLGLTTLEEVFLEIAKKAELEGAITERRLATLTLTSGTSLQIPVGSRFVKIPGTESMENPRGVMVEVYWEQDDSGMLCIAGHSSESPVPPNFQSMGSLANTNRNSLGRRGGPVFGILLNPDPTITTNHQS >OMO54838 pep supercontig:CCACVL1_1.0:contig14891:38395:38802:1 gene:CCACVL1_27528 transcript:OMO54838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSKGNKEEGGGETRYRGVRRRPWGKFAAEIRDSNRQGARVWLGTFNTAEEAARAYDRAAYAMRGHLAILNFPHEYPMGSGGGRATNYNMGSSSSSSSNSSTSSAKQVFEIEYYDDALLEELLMHEENKSRKM >OMO54845 pep supercontig:CCACVL1_1.0:contig14891:81785:82483:-1 gene:CCACVL1_27536 transcript:OMO54845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTQLLVLLIGVVVLTTPSLGSYEESSPYGKSPPYYQSPPYYQSPPYQSPPYYQSPPYYKSPPYYESPPYKSPPSYQSPPYYKSPPYHKSPPEYKSPPYYKSPEYKSPPYYKSPSYKSPPYYKSPEYKSPPYYKSPEYKSPPEYKSPPYYKSPPEYKSPPYYKSPPEYKSPPYYKSPPEYKSPPNYYQPPKKSEPKHKCPKEEEPKHKKEKPKEKKPEYPKKPPTYSPPKY >OMO54835 pep supercontig:CCACVL1_1.0:contig14891:10066:11950:1 gene:CCACVL1_27525 transcript:OMO54835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSSFYNFTAFCYLIASMGLQVVWSFGLALLDAVALAKKKLLHNPVLVSLFVVGDWVTATLSLAAASASAGIAVLYFNDLGNCNFQEECQKYQLSVALAFLGWIATAISSLIMLWLLAAG >OMO54839 pep supercontig:CCACVL1_1.0:contig14891:43198:43620:-1 gene:CCACVL1_27529 transcript:OMO54839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPNKRKEGSKEEKGRDEVHYRGVRRRPWGKYAAEIRDPSRPGSRLWLGTFDTAEEAARAYDRAAFNLRGHMAILNFPNEYFSNQLMGSSSSGMSNPSGSSSNSSSAAAGSQEKQVFEFEYLDDKVLEELLETEEEKKK >OMO54840 pep supercontig:CCACVL1_1.0:contig14891:56824:57489:1 gene:CCACVL1_27531 transcript:OMO54840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFLFHSPNSNSSSESSFGSPPPPEFFPFNNNYLPFNENDSEEMLLYGLLAAAAPTQETSETTYPNQIKEEEVSSSMEKESPKKERAYRGVRRRPWGKFAAEIRDSTRHGIRVWLGTFDSAEAAAMAYDQAAFSMRGSAAVLNFPVERVQESLREMKCNQEEEGCSPVVALKRKHSMRRKMVSRSKKERDVRINNVVVLEDLGADYLEELLTSSETARPW >OMO54841 pep supercontig:CCACVL1_1.0:contig14891:63044:65011:-1 gene:CCACVL1_27532 transcript:OMO54841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGLLLGPPALHPNGDDPMMESLHVGVDKIQLDAIKPIATTKTESSDGANPCLDLFFSALPSTPSHDLVQLLAAAWSFDPLTTLKLVCNLRGIRGTGKSDKESFYTAAVWLYNNHPKTLACNVRAFVEFGCYRDLLEILYRLLEGEKVRVYEKQELEDKNEKKKLGHKSFRKPQLSRGRGFPASRNPNNKETAKERKKAKQLGKAQQAYRRYCTDPIYRLLHDCVSDFFAEKLKHDIRFLNSGEVRKISLASKWCPSIDSAYDKATLICESIARRMFPRESDPEYEGLEEAHYAFRVRDRLRKQVLVPLHKALELPELYMSSKQWDALPYNKVPSVAMKNYKNHFLQHDNKRFKGYIEGVKEDDESIGAGALLPHHIIASLKDAEEVAELQWRKMVEGLKRKGKLINCIAVCDVSKRMEGTSMEVSVALGLLISELSQEPWKEKVVTFGSHAELHSLQGHSLLSKAQLLSKMDGSGDVDLEKVFDRILQVALKEKVEEDKMIKRVFVFSGMGFDEAISTHSSDEFSDYDNPYSDSDSYYSVEDTTLGSDWYFEGKKTEDKQKKLKTESWESMYGRIQMKFQEKGFKMPEIVFWNLNNSPNMAVPVNQGGVALVSGFSKNLLQLFLDNGGIIDPITVMEQAISGELYQNLSVYD >OMO54842 pep supercontig:CCACVL1_1.0:contig14891:67823:68671:-1 gene:CCACVL1_27533 transcript:OMO54842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTHLLVLLFGVVVLTTPSLGTYESPNYGKPPTPVYKPPTKPPVYEPPKKEKPEPKPPVYEPPKKEKPEPKPPVYEPPKKEKPEPKPPVYEPPKKEKPELKPPVYEPPKKEKPEPKPPVYEPPKKEKPKDKPPVYEPPKKEKPEPKPPVYEPPKKEKPKDKPPVYEPPKKEKPKPKPPVYETPKKPPTYEPKPPKPPVYTPPKKEEPKPKPPVYEPPKKPPTYEPKPPKPPVYTPPKKEEPKPKPPVYEPPKKPPVYEPPYGHYPGHPPYGKPPSPHYPKN >OMO54837 pep supercontig:CCACVL1_1.0:contig14891:19671:25512:-1 gene:CCACVL1_27527 transcript:OMO54837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MDLVSKSYTNTIRVSQAISPVSSPGSNSILGSHLHSSDTNSFPIIAIAVIGILATAFLLVSYYIFVIKCCLNWHRIDLLRRFSLSRRQHEDPLMAYSPGMENRGLDESVIRSIPIFQFKKNDGNGNSRDFCECAVCLNEFQEDEKLRIIPNCSHVFHIDCIDVWLQNNANCPLCRTSVSSTPGRFPVDQIIAPSSTPQDPNPYTENIITGDEDFVVIELGNPSSTNQVLLGAQERLNSGELLSTVAPPRSISPSPRKLEQRIGQKKGRKFQKGSSMGDECIDIREKDEQFAIQPIRRSISMDSSADRQLFLAVQEAIRQNRQFSTSQLLPFPRAQSSNIEEKESATMKIMVAIKRVVDYAVKIRVKPDKSGVETQNVKMSMNPFCEIALEEALRIKEAGLAKEVVAVSMGPATCVDTLRTGLAMGADRGIHVEAARELVPLTVAKVLKRLVEVENPGLLILGKQAIDDDCNQTGQMIAGLLGWPQGTFASKVVLDKEKQVATVDREVDGGLETLCLDLPAVITTDLRLNQPRYATLPNIMKAKSKPIKKYTPEELNVEIKSDLEVVQVTEPPKRKAGVIVSSVDELIDKLKNEAHVI >OMO54844 pep supercontig:CCACVL1_1.0:contig14891:78983:79564:-1 gene:CCACVL1_27535 transcript:OMO54844 gene_biotype:protein_coding transcript_biotype:protein_coding description:proline-rich 33 kDa extensin-related protein-like protein MYSKAFLLLFLLGVVVFITTPSLADHHHDNEPHKPYPGGHKPPEHKPFPEHPGKGKGKEPPHGGKPPHRHLLSDEEVEATRKPPQNPGGGGGHPPQKPHEHEFPEGKPPRKPYHGEKPPEHKPFQPPVQVHPGKGKYQPPHHHQPPHSRRLLGEDLEEDSYKPPRFPGGKPPKGKGEKPPHGHKPPHKPPHGN >OMO54834 pep supercontig:CCACVL1_1.0:contig14891:6134:6205:-1 gene:CCACVL1_27524 transcript:OMO54834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDQTNVPVPSKPQTNRQVKVN >OMO76001 pep supercontig:CCACVL1_1.0:contig10938:9601:9666:1 gene:CCACVL1_15956 transcript:OMO76001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYLGPHVTAITQFESESYS >OMO76002 pep supercontig:CCACVL1_1.0:contig10938:10747:11817:1 gene:CCACVL1_15957 transcript:OMO76002 gene_biotype:protein_coding transcript_biotype:protein_coding description:ethylene-responsive transcription factor CRF4 MDQSILCPIKYTEHKNVTKIFRKPSSKPKKPSPDNIPDIPRIVRVSVTDPDATDSSSDEEADFFGRQRVKRYVNEINVETTVKTNDAVTAISNNHRKRTAAASDVTTPCRRPLKLSSSNGNAKENIEKPDNINVASVSGYESGDESSHNLSSPTSVLNFRTQSSEETAVEPEKPVQELQEEESKPPPVQKQVVLKECQGETETNLPDDLGDYNLPLDFPFLDEFFNIPAPGLSLFDDTATILPDSSVFSDDLSEMLLDTPQDFGCSSSSSISQVDDYFEDIGDLFFSDPLVAL >OMO76000 pep supercontig:CCACVL1_1.0:contig10938:8574:8633:1 gene:CCACVL1_15955 transcript:OMO76000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AHTRQESKEAQTTSTTRLR >OMO87151 pep supercontig:CCACVL1_1.0:contig09309:44141:44695:-1 gene:CCACVL1_09237 transcript:OMO87151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVNETLKTLVEKGFIVAIQKKNKLQPRSYKMKPIVRSCLIKFAKEASFFDYDGEGKPTMDFTYCKKACMVEREGALAQWFSDYLDGQDNKQDTEEKLSEDMIKLQMLFNFPDRQKLNDAHSKFDELQTLFNVSEQFPALPKERLLKMENIKVLYLGRWESDSEAGLNRHTFFWPKRWKTLTS >OMO87149 pep supercontig:CCACVL1_1.0:contig09309:2287:2798:-1 gene:CCACVL1_09234 transcript:OMO87149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAVFKTKNCSELSLGDSPVVESLGLQPEVLGSNPGTGGVGLGMDE >OMO87150 pep supercontig:CCACVL1_1.0:contig09309:32139:32201:1 gene:CCACVL1_09235 transcript:OMO87150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEIAMAPRQIPTSEIEMT >OMP01713 pep supercontig:CCACVL1_1.0:contig06380:14943:18679:1 gene:CCACVL1_03021 transcript:OMP01713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLYLLLLNLCCSNSEANLNFSETEFNFMEFNIKFKDIHEISNVLFDKLNAKFNELFAALDDFPAHQGRGQSICHDNVKATVKELTLLLRCCVAAFKLLGLDQKLIIEKGRLLLGILKRCLSVEFKGENGKSRTSFEKQDSHECMHVDDDGTTYIADHLVTSICFSEPSNPFHAILCAVLEVFADELLMHESVRQYLLLVDSRSCPNEYLFAHHYGPGNIGSVLEVISAHFFLSISDDQAYKNFLNRLFPLPDNNFRVPEMTLTTALSLLLNPIMLSAPKMFQAYLILLVSEIIGISMSFEHIVPSSDLTSCLLVFERSVALYTRHMSNLHVKGYPMVGDDSFVKSHFLTSSSQMDFDSCLLPATKEKVHNLIASCEKLWNSYLSNTLLKERSDLVAASVAYTKEGLHVFEDSLRDEILSILSCIILRGSSDDVDDTVLHNKENTSPQDICLLASILKLMSSSLSQALKILRQGKTPGSLKTLENIASSKEYDFMAATFNCFKQFSIRLPVQNFLLDMMKIKPTRHKKSKWMLFHFSGLLSLSYATGLEFLVKNCIFTLMVLLNLFIFEEGDLLALGSLLSSGVKSSSPKSCIEVGKSVSHSTTRKVHVKRESSRAVALKFQKIRTLYLGMDCRTNSTKRPQEYPRSSENGPFVNHVESALSIEQNTGKTCNGEILLMCMVKGHEKVSDFDDLADFIECKQGRDYADWLKGRERFRLRRYEKLEKVRWKRKRRAYQNRL >OMP01712 pep supercontig:CCACVL1_1.0:contig06380:11250:11585:1 gene:CCACVL1_03020 transcript:OMP01712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Natural resistance-associated macrophage protein MGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATIMGLLIQLLSARVGVATGRHVAELCQEEYSSWTWYVLWFMAELALIGADIQEVIGSAITIRVKGLCRFRLGS >OMO83309 pep supercontig:CCACVL1_1.0:contig09906:28973:29284:-1 gene:CCACVL1_11443 transcript:OMO83309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPVFHVGLLKPYHADEEDPERSKPRRAPFRLKTRFEKEVQAILVERTVGRKRKRREQKKEYLVLWKGQPESKASWEPTEALWHFEDHIRCFHEEEATRASPS >OMO83307 pep supercontig:CCACVL1_1.0:contig09906:24402:24500:1 gene:CCACVL1_11441 transcript:OMO83307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYPVDWHKIGGLFKMPTAAAQLKWVEANLR >OMO83308 pep supercontig:CCACVL1_1.0:contig09906:25343:27028:1 gene:CCACVL1_11442 transcript:OMO83308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEHEAVAEEKEDDEDEDQYKDFWLCPRSKASPSEEVNLVQRPF >OMO83306 pep supercontig:CCACVL1_1.0:contig09906:4569:9449:-1 gene:CCACVL1_11440 transcript:OMO83306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MMMMTGEMKAELQQQILKVGMDKVEQKMQAEYPLEQQTYNEHPVQQPNVDAVLVDFSFEITLLTVYKELWGYVDGTIVEPDSTSTEYAKLKKEWETYNARILSGMNNAVEPSIGMHLAKFKTAKEVWDYLSNLYVQSNFAKRYELEKVIRSEGQKDRSIQDFYNFMNGVWDQLDMMDPPELSSIAAYLKLREEQKLVQFLMALRNEFEQLRGSILHRSPLPTVHSVVSELIAEETRLKTPTLPIVNTQAVLMASSQLRPTNMNSLVRGTQRIAIDECGYCHEKGHWKKDCPKKNKSRGILPHPSQGFQHGRGAARTMPLPRQNSALATTVSECEPKQITYGGNVNHDDLESIVARQVQQYMGSCIRTDLATANFSAMSVADQGTNKILPSPPSGNSPWILDSGASHHMTSNSSFLENCSHLSQPIDIHIANGSSMQVSKVGSITTKSYPLGKFYVPNVLYAPQLSVNLLSVGQLADFGCDIWFSDIFCVVQDRHTGKQIGIGRREGGLYVLDFLFVPQIQQNFAMSAFVLDKNSSSFHLWHSRLGHVSSSRLRYMLSVGLLGNVKYSDISDCKGCILAKFSALPFNKSTSISNAPFDLVHSDVWGPSPVATKGGSVYYVSFVDDYSRYCWVYLMRHRSEFFQIYSKFAAMVKTQFSVKIKVFRSDSGGEYTSTQFQELLASEGTLFQTSCTETPQQNGIAERKHRHIIETTRSLLLSSSMPSSFWGEAVLTSVYVINRIPSSITSGISPFERLYKAKPNYSELHVFGCVCFVLLPKNERTKLTSRSAMCVFLGYGIEQKGYRCYDPIARRIRVSRHVAFFEHIPYYSIPESSSVLTKEELIVLDPFSNSELCDTLSNFENLQNSIDNSVGDSFNSDREGIQVSSERSTLSQSDPLPLQESHSIPEDVNPVSTQPERRLVAKGYAQEHGIDYEETFAPVAKMTTVRTLISVAATRHWPLFQMDVKNAFLNGDLHEEVYMQPPPGYSCEAKKVCRLRRALYGLKQAPRAWFEKFNDTMRQIGFLQSANDSALFHLSSKQGTILLLIYVDDMIITGDDSKGIEQLKQHLFDKFEMKDLGFLRYFLGIEVAYSPRGYILSQSKYANDVINRARLTDERTADTPIELNVKLRPTDGTPLPDPTLYREIVGCLVYLTVTRPDIAYAVHIVS >OMO87274 pep supercontig:CCACVL1_1.0:contig09259:7023:13216:1 gene:CCACVL1_09153 transcript:OMO87274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIDALTAVVFTGSKPLADDNIVDETWFSDPNFSPKANKNPKTTNKFLPEQRGSRRDTIKMPGPGPHLMYAMSSGLALTHLSKGRFTPHHTLTYTLNAFFGPDIGSFSHWLISTLFPVFSFLSSLPEAIHHPFYYALMLGLPLCVFYSWSNVEKISQEAVISIDRTNFDHSKPLLLVVCKPNILGESSSASSRRRS >OMO87275 pep supercontig:CCACVL1_1.0:contig09259:13623:21999:-1 gene:CCACVL1_09154 transcript:OMO87275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGVAKYCDHGFVTNRVPDFVLHGFEICDALLSSYDFDELVEETLEEKVRICEQQREVEDAMATEKFFRESYDNLLNMECGPSHLPKISEEERKETEKFLADSWYNLLHMFDDENSSETGNLGQYLADIYTTTSSNASSDPQENIYRA >OMO86909 pep supercontig:CCACVL1_1.0:contig09391:5883:9431:1 gene:CCACVL1_09395 transcript:OMO86909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARISGAAPSGISGTGFLIHRNLLLTTHVNLPSVAAAESSEIRLHNGFTATLVPHRFFITSSVLDLTIVGLDAMDGESNTQGQQPHYLKTCSKPNLDLGSVVYLLGYTEKKEVTIGEGKVVIATDNLIKLSTDGIIWNPGSAGFDAQVNTADEETPHCDPQGAHTQGIPTPEIYESPKLTAVPLRKKETSQIQLLDINFPPRIVKTAVALQPAKQLPLSSDENGLKELPPESPLREENQIQNRGPNADADVASTGSVNGARSEVQSSSSPVELSEMHNGYSSEGETMYSAETAESRNYTSPREGKFQQVGRSQSCVGYTRWGAVQRNPVARRALLEKQRSFIHGKKTYSQGATSQRSNDYFSPTVSSIMKKRNSEQPSKPRQSAVNAVNTVHSSPRWMF >OMO66628 pep supercontig:CCACVL1_1.0:contig12529:5278:7674:-1 gene:CCACVL1_21044 transcript:OMO66628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEFFFLCGLTLFILFVTLSIFSLFSYARRTTSSQAKFPPGKTGLPYIGESLEFLSTGRKGHPEKFIYDRMAKFSSKVFRTSILGETTAVLCGAAGNKFLFSNENKLVTAWWPKSVDKIFPSSNQTSSKEESIKMRKLLPNFLKPEALQRYVGMMDTIAQRHFEYGWEGKQEVTVFPLAKNYTFWVACKVFLSIEDPKRVDELAGPFNALASGIISIPIDLPGTAFNKGIKASNLIRKELIGIIKQRKVDLAEKKASPTQDILSHMLLTSDENGQFMNELDIADKILGLLIGGHDTASAAITFIVKYLAELPDIYEKVLAEQMEVAKSKAPGELLNWEDIQKMRYSWNVACEVMRLAPPLQGAFREAMNDFIFAGFTIPKGWKLYWSTHATHRNPECFPEPEKFDPSRFEGNGPAPYTFVPFGGGPRMCPGKEYARLEILVFMYNIVKRYNWEKLLPHEKIIVDPMPIPAKGLPVRLLPPKIIA >OMO66633 pep supercontig:CCACVL1_1.0:contig12529:61467:61655:-1 gene:CCACVL1_21049 transcript:OMO66633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGFYPRNPTPKTARLSPLHYRLKSTAAETCRLASVAVSLVGGYRRRTPLLQIFPSSYRCS >OMO66631 pep supercontig:CCACVL1_1.0:contig12529:47151:49000:1 gene:CCACVL1_21047 transcript:OMO66631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MVEAIFKTHDANFAARPVSPFDDGLLFGNNGFITAPYGDYWRFMKKLCVTELLSPRQIERSRGLRHEEITRFLRKLIRSAAKTEVVDVGALLTQLTNNTICRMVMSTSCSEENDEAERIKDLVKRSFQLAGKISLSNSLGPLRKIGFWLYSKEANEVTSTYNELLEKLLKEHEKKAKNNGGEFDREDKDLMDILLEAFHDEKAEFRITRGQMKSFILDLFIAGTSTSSETMQWAVAELINNPEIYKAARDEIESVVGTSRLVEETDIPNLNYVQAIVKETLRLHPNAAFIPRVCHQDCKINRFDIPGNTPVAVNVYAISRDPAVWENPTEFCPERFLVSSSKSTQTNKGQISFDFIPFGGGRRTCPGKNLAYALMNTTIASIIQCIDLKVIGEGGKGAKIGMEEAVSMSLSMASPIQCLPLVYFNPFEA >OMO66627 pep supercontig:CCACVL1_1.0:contig12529:3093:4632:1 gene:CCACVL1_21043 transcript:OMO66627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MEDPAQVSKFSEPFNDLASGIISIPINLPGTPFRRGIKAAETVRKELMGIIKQRKIDLEEGKVAPNQDILSHMLLATDENGQFLNELNIADRILGLLIGGHDTASASITFIVKYLAELPHIYNEVYNEQMEIARSKQPGELLNWEDIKKMKYSWNVACEVMRLAPPLQGAFREAIHDFTFAGYSVPKGWKLHWNVNSTHKNADYFPEPEKFDPSRFEGNGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHNVMKRFNWEKVLPDEKIIVDPMPMPAKGLPIRLFPHKA >OMO66634 pep supercontig:CCACVL1_1.0:contig12529:62514:62783:1 gene:CCACVL1_21050 transcript:OMO66634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nbs-lrr resistance protein MALECSRYFLTSLMLSLRQDSGKLKKEFTRFAFENMEEQVMEAEAAEMEAED >OMO66630 pep supercontig:CCACVL1_1.0:contig12529:30786:31352:-1 gene:CCACVL1_21046 transcript:OMO66630 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase MKIKQVCSSIFLLIGILCLFLSVEAQTCRPSGRIEGKNPPPGQCNQENDSDCCKDGKWYTTYTCSPSVSSSTKATLTLNSFEAGGDGGGPSECDNQYHSDDDPVVALSTGWFNHKKRCLKYINIYGNGKSVRAKVVDECDSTMGCDSDHDYQPPCPNNIVDASKAVWKALGVPESDWGEMDIYWSDTN >OMO66629 pep supercontig:CCACVL1_1.0:contig12529:28133:28699:-1 gene:CCACVL1_21045 transcript:OMO66629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase MKIKQVCSSIFLLIGILCLFLSVEAQTCRPSGRIEGKNPPPGQCNQENDSDCCKDGKWYTTYTCSPSVSSKTKATLTLNSFEAGGDGGGPSECDNQYHSDDDPVVALSTGWFNHKKRCLKYINIYGNGKSVRAKVVDECDSTMGCDSDHDYQPPCPNNIVDASKAVWKALGVPESDWGDMDIYWSDTN >OMO66632 pep supercontig:CCACVL1_1.0:contig12529:51369:55975:1 gene:CCACVL1_21048 transcript:OMO66632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MELVSSDIQFYFLCSLLSLFSAFIVRSIFKKPSSTPLRLPPSPPSLPIIGHLHYLRPLLHKSFHHLSSNYGPLLYLRFGSYPCLLVSSPSMAEAIFKTHDANFAARPVSPFDDGLLFGNSGFVTAPYGNYWRFMKKLCITELLSPRQIERSHTVRHQEITKFLRQLIQSADKTEVVDVSALLTKLTNNNICRMVMSTRCSEQDDEAEKIRNVVKKSFAMVGKMCLADSLGPFKKFGFWFFSKEAKEVNTTYDELLEKLLKEHEEKARNNIIGVKFDTEDNNKDLMDILLKAYHDENAEFQITRAQMKAFFVDLFLGGTGTSAETMQWAIAELINHPKIYKIARDEIESVVGTSRLVEETDIPNLKYVQAIVKETLRLHPNAPFIPRVCHEDCKINGFDIPRNIPVAVNVYSIGRDPTLWENPNEFCPERFLVPSKTETNKGQNFDFIPFGGGRRACPGKNLAYAMMNITIASIIQCIDLKVIGEGGNGAKVGMEEAISVSLTMASPIRCLPSVYFNTFDA >OMP01230 pep supercontig:CCACVL1_1.0:contig06498:5569:23101:-1 gene:CCACVL1_03136 transcript:OMP01230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHVNTQKVNRQNKACKYYTRNTVASLTSETKSEREGITFWSADAFVKASAGPPTTKVVNFWHWQRSDRG >OMO52174 pep supercontig:CCACVL1_1.0:contig15572:3025:5508:-1 gene:CCACVL1_29324 transcript:OMO52174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MESSSSTSQQEFDYLFKLLLIGDSGVGKSTLLLSFTSDAFEELSPTIGVDFKVKHVTLGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERVVSKKEGIDFAREYGCLFIECSAKTRVNVEQCFEELVLKILETPSLLAEGSSGVKKNIFKQNPPQD >OMO52176 pep supercontig:CCACVL1_1.0:contig15572:28319:30803:-1 gene:CCACVL1_29326 transcript:OMO52176 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3 VDGVPPVEHTTHIVIKKASFKRLVHKIANDFKPDVLFQHQVVKALQTAADAYLRRRRRLNKEIEGSDGGRNRQAFFAFDFF >OMO52175 pep supercontig:CCACVL1_1.0:contig15572:25588:26592:-1 gene:CCACVL1_29325 transcript:OMO52175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREQEEMQFLGLFDIYIESFKMIFAWRKIFSKITLSLILPLSFIYLLHMEISGLFFRKIIHNERELDRTRAGTPKYEKLSDLISNEWAYFWLFKASYFTLYFIFSLLSTAAVVYTIAAIYTARQLTFTKVMSVVPKVWRRLMVTFLCIFVAMFLYHLVALVMLFVWVWVLTIGSINVDVVVLVIFVFLYLAGLLYLTTIWNLASVISVLEESYGIQAMVKSRNLIKGKLWLAMVIFLILGVFYWIIQSLFQGLVVDGGRFHMVNRVAIGIICFLLLSNFFLIGLVVQTVIYFVCKSYHHENIDKSALSDHLEVYLGEYVPLKAKDVQLEQYQV >OMO51756 pep supercontig:CCACVL1_1.0:contig15724:12165:12956:1 gene:CCACVL1_29611 transcript:OMO51756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSASFFSIISSLFFFFLVALRVSSADDVHFASCAPFDCGNLPNISYPFWTDHHGRPAYCGFNNDERYKVKCTENQPPVVTISSQEFQLLHINQSFWLMTIQRPELGEDTICPKRVLIDDVFNYSDTTVNMTLSYGNCRSRAAANHTFKCKVDGLEAVDLLFENNELGCVEQVEIPVGNKALEELKLGKTALNETLFQPFDMHYIAYTAYCKSCLQSGGRCGSNGSIPAQFACYCPDHPYLVKCNLGMPPFLTLPYRKLDLV >OMO93972 pep supercontig:CCACVL1_1.0:contig08022:15245:15810:1 gene:CCACVL1_06239 transcript:OMO93972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEITKSLGDLTLPLTSLQKDEVTKPSLKGFVRPSKGPLVEHDELPSHRANCFDPNAYRLLVKAGYDHEDVTKMANQTRTIWQKKVKPSKQGLGFSPVKLKIHKKTTAYITVDEVDDESLAESPRISVFDRLGRPSDRQSKTKFQEVYTEGEKKKLLDERIKGVP >OMO93973 pep supercontig:CCACVL1_1.0:contig08022:19918:20274:-1 gene:CCACVL1_06240 transcript:OMO93973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKDQADKLQNLATCLEGSVSKERQAVVCHDKLTSELTKVEKEISALQEKKTKLESSLKENDKALEVVRAHVSHIREEMASAESCPILSEADAKALKVLEDILRSSREDLKNLKWKP >OMO93971 pep supercontig:CCACVL1_1.0:contig08022:10999:14368:1 gene:CCACVL1_06238 transcript:OMO93971 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MEVLYVNKKDGALEELGCTEVIVNSLNPVWVEKNNVAYQFEIVQSLVFCVYDIDTKYHTKFFKPTYSYAKPYSMRIDSKKMPDNYQPPKFQQFDGKGNPRQHIAHFVETCNNAGTYGDLMVKQFVRSLKGNAFDWYTDLEPGSIDSWEQLEREFLNRFYSTRRVVSMIELTNTHQWKDEAVIDYIHRWRNLSLNCKDRLSESSGIDMCIQGMNWGLRYILQGIKPKTFEELATRAHDMELSMSVAGNQGPLIAEPKKIKDAHKGGKVSSEKGGSKQSIAVDAKPLKISKKKAQDAKSFTTQDMAKGKSTLKERQEKKYPFPDSDVASMLDELLKSKVIELPEMKRPEESDKVDDPNYCKYHRLVSHPVEKCFVLKDKIMELHREGLIEFEEGQEESF >OMP01691 pep supercontig:CCACVL1_1.0:contig06387:289:348:1 gene:CCACVL1_03027 transcript:OMP01691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSQIRGRRIFLFNNFSKY >OMO59495 pep supercontig:CCACVL1_1.0:contig13937:6500:6655:-1 gene:CCACVL1_24786 transcript:OMO59495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARILEDMIADVKIVQLLDIIIIEGLGRMGRIDDAVEIFDHMKDKDEKIWL >OMO59496 pep supercontig:CCACVL1_1.0:contig13937:7416:11409:1 gene:CCACVL1_24787 transcript:OMO59496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEESISGGGAEEIKVAAALGFCGERGERLQLNNIHFVC >OMO71637 pep supercontig:CCACVL1_1.0:contig11587:2383:6176:-1 gene:CCACVL1_18115 transcript:OMO71637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVMASVPDFGNAEMGIPKDNNAETDMYRCRVNEIFQKVDELEQKVNEVEQFYLNSNKKQQSSSRGSSIGKERDKERHVPSIKKQQQDASRREAAAAKRMQELMRQFGTIVRQITQHKWAWPFMQPVDVKGLGLHDYYEVIEKPMDFSTIKNQMEAKDGTGYKNVREICSDVRLVFNNAMKYNDEGSDVHLMAKTLLEKFEEKWQQLLPKVIEEEKRREEEEAEAQLDMQLVREAAHAKMVRELSNELYELDTHLEQLRETVVHKCRKMSTEEKRNLGTAISRLSTEDLNKALEIIAQSNPGFQAMAEEVEIDIDAQRESTLWRLKFFVRDALEGRDKNAASNGGNNNNNNSNNNKRKKEICDANVKTAKKKSKKPSS >OMO71636 pep supercontig:CCACVL1_1.0:contig11587:386:1683:1 gene:CCACVL1_18114 transcript:OMO71636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPFSINTYSPTNLSISKSHSKIQTKNVNKTVAIKFSSCNKQKVSAIDEKFQQQQNGNKNPRVLKRREALNLGFVLGLADVFLQLPQPVEAAESAEAPCELTVSPSGLGFCDKVVGKGPEAVKGQLIKAHYVGRLENGKVFDSSYNRGKPLTFRIGVGEVIKGWDQGILGGDGVPPMLAGGKRTLRLPPELGYGMRGAGCKGGSCIIPPDSVLLFDVEFIGKA >OMO71638 pep supercontig:CCACVL1_1.0:contig11587:9637:10296:-1 gene:CCACVL1_18116 transcript:OMO71638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSNTYNTNDDHQEELEETLSFCDLPLENYQDLDHHDYYQQSPSSPSHELFEFPSLTPNTPLNNRNDIVAHDDDEIGRYLFPLSSARHMKNSKEDLGSLYLVNQKPNSSYFSAKNLRSQSCSSSSSSLKKHKVFIGLKTIPQKMELSDIKKRQVRKSPSPMFPPVPAGSLELMAAGDGCRGGGAGGRSHHWGLLRPLRCRAHFASALAKASLGCIPHV >OMO84573 pep supercontig:CCACVL1_1.0:contig09738:16152:17693:1 gene:CCACVL1_10762 transcript:OMO84573 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter MGSLTCTASDLVPLLSSSSSANATALATFLCTRFSTISNQLSDSTHAIDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALSYYLFGYAFAFGAPSNGFIGRHHFGLKTFPTETTDYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSGDGWASATRTDNLLFGSGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDRAGRSVALRGHSASLVVLGTFLLWFGWYGFNPGSFLTIVKGYGDGGGYYGQWSAIGRTAVTTTMAGCTAALTTLFSKRLLVGHWNVIDVCNGLLGGFAAITSGCAVVEPWAAIICGFVASWVLIGFNKLASKLKYDDPLEAAQLHGGCGAWGLLFTGLFATKSYVNEVYPGQPGRPYGLFMGGGGKLLGAQIIQILVIIGWVTATMGPLFYALNKMKLLRISREDETAGMDMTRHGGFAYVYHDEEDLSFRPGFMMTKIEPTNASPNSDQVQRSPSVNV >OMO84572 pep supercontig:CCACVL1_1.0:contig09738:5334:11922:1 gene:CCACVL1_10761 transcript:OMO84572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exosome complex component CSL4 MEEAEMVTPGEVLGRATELKAGKGAYVAPDNKTIYASLTGFRRIQSPPSDSPDKRPTVEVTGHKAHGPVPEPGSVVIARVTKVMARTASADIMCVGPKSVREKFTGVIRQQDVRATEIDKVDMHLSFRPGDIVRAVVLSLGDARAYYLSTAENELGVVSAESSAVQESLSLFYLVVYYRCSNGSNKLDGNAVPIDWANRAKEESDSPKSLEPDPQSLLQEVADSFDLPSDYFSQLPGDLRLDLNDAAFDLSNGPVIDQCGLALGETLLNLSRAWELADTSTSHKLASKLPMLGSSLTGSGKSAFGKRLLAAGRRFQAMGQYGQGELQKIAKAMTAAGKLLSASSVSTTTDEQPKTETRMFKFGELQVEVSSEKANIGAAIGFVFGILSWQIAQGIQSIPESSLEYANDNALLLAKSLRGALLAIFYSSTLLSAFTTIGLVLLGRQLKSEGKQLQSAASIIKNDMNDIDVRLPPVCANGLSSRNRVVSQLSQLKMVEQDLQIARRMDPFAGTLKLSLTSSLVTASQGCLQPVLIVYSAHYN >OMO84574 pep supercontig:CCACVL1_1.0:contig09738:18293:21985:1 gene:CCACVL1_10763 transcript:OMO84574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIEGEILILSSIPVTLSEIKNTGIFDDNRAMILFESFGFGKNGHIEISPMEKNPYLVINDEEEIAAAQMLEEDGYDDFEL >OMP03603 pep supercontig:CCACVL1_1.0:contig06026:5336:5395:-1 gene:CCACVL1_02345 transcript:OMP03603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAKSDPYPTNTPSYRA >OMP11154 pep supercontig:CCACVL1_1.0:contig01523:1009:5594:1 gene:CCACVL1_00653 transcript:OMP11154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNHKNSSKNQYHSSEYEKLLAALKGSWKKYVKQFEGNDVVENGNIGVIASTEVLYNKKGMTALHIAAANGHTKFVENVVKLIVDEKDSDVLKTGFKGGFTALHLAAMGGHVKMAKALVKRNKELTQCRDAKGKDTPLLCAVKFFSKHKLVSYLALETEHELPAELPFSHSKAGELMIHLTHLGFHDEKELALKSSLQDAKAKGDKSGVLCRTIKMVPCLNKIREKKLSHERACKLVDHCLKALRNCCDEKEGTKYFKQQEILLFAARHGIVEIVTESLRYFPNLIFTKHGSFLVKYAIQWRQEKIFNLIGKTTALDKLLAFKCVEAEKKASKQKQVISMSVDKDKQQELEITSRFVDKKQHPSPSHFAAELPVISRLPKDSTAASQMQREMQWFKAIERIQHPRMREITSKDGETAFDLFSKNHKEMRENAEKWMKETSNSSMVVSTLIATIAFAAAFTVPGGNNDEGDPIFLKRTSFKVFIFSDALALFSSVTSILMFLSVLTSCFKEVDFLHELPKRMLIGLASLFFALATLMVAFGAGLVIVLSETFQWVWSPIIFFALIPVTLFMMLQLRLFIEMVESTYVSIFHHQKFWKLD >OMP00465 pep supercontig:CCACVL1_1.0:contig06673:92:193:-1 gene:CCACVL1_03346 transcript:OMP00465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKALWFAKSKTIKNSTNGPRKDRNNKCSRMS >OMO70462 pep supercontig:CCACVL1_1.0:contig11824:121:1478:1 gene:CCACVL1_18897 transcript:OMO70462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAILEQQIEGIADEDIDPDRIESRSLFVKNLNFKTSEENLKNHFTEFVKEGRIQSVRIKKHLKNGKQVSMGYGFIEFDSMDTATDVCRDLQGTILDGHALILQLCQAKKEEQAVKKGEKDKSSTKLLVRNVAFEATKKDLRQLFSPFGQIKSLRLPMKFGNHRGFAFVEFVTKQEAQNALQALSSTHLYGRHLVLERAKEGETLEELRARTAAQFTDEQNGFQNPTRLSKKRKNMDILDDGRKKLERIDDD >OMO70464 pep supercontig:CCACVL1_1.0:contig11824:13541:14388:-1 gene:CCACVL1_18899 transcript:OMO70464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIIKKDVKRIKIGRSRASSPDRPSFWSGRRRFPCWSWRLLLKELR >OMO70463 pep supercontig:CCACVL1_1.0:contig11824:2608:3847:-1 gene:CCACVL1_18898 transcript:OMO70463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTKMEEADQKEVWTLCRIFKRDVSHKKYATEWLNNKKNMNILSKQNSNASSSCSLESDNDIKIKNFGVFDETEIERKIVNDPYNLLGRNQFFAAGTTTTHQVPSYFSFSNSNPNEDEFFGQQNWDELRPMVDYTHNLGSSLLYSEW >OMO70465 pep supercontig:CCACVL1_1.0:contig11824:16659:19488:1 gene:CCACVL1_18900 transcript:OMO70465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METASCSILYTLRFSIKTVTVSINNSSKKLYYETSLPKNNLFLYPSFPSTSPRNLNFHGENLQFNGFTAFTSLSDAQNQDPSPELAVLLEVDGVLMDAYRLGNRQAFNQAFQKLGLDCANWTEPVYSDLLRRSAGNEGRMLVLFFNRIGWPTSLPTSEKETFVKSVLREKKNALEELMLKSLPLRPGVEDFIDDACNKGIPVIILTSYSKSGDKIARSIVEKLGHERLSKIKVVGNEEVENSLYGQLVFGKGMTSSLDEQLAKEARKAASAEKQRIANEVASLLKVSVNLDTSSSESVKKVVAALRAGAEIAGVPVYNCILIAGSKSGVDGAEQIGMPRVVLRSSFTSRAEFPSANAIMDGFGGADLTVSKLCQKRWS >OMO70466 pep supercontig:CCACVL1_1.0:contig11824:19980:24384:-1 gene:CCACVL1_18901 transcript:OMO70466 gene_biotype:protein_coding transcript_biotype:protein_coding description:Root hair defective 3 GTP-binding protein VAELRQRFFNSISPGGLAGDRRGVVPASGFSFSAQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKLQLLSSNADWLALEEAVQSGPISGFGRRLSSILETYFSEYDAEAIYFDEGVRNAKRKQLESKALDLVHPAYVNLLGHLRHKALEDFKSRLEQLLNKGEGFAASARTCAKSCMLEFDQGCEDAAIRQANWDASKVREKLRRDIDAHTSSVQSAKLSELVASYEKQLTQALSEPVEALFDAAGRDTWASIRKLLARETETAVSKFSTAISSFELDQPTVEKMLQDLRDYARNVVEKKAREEAGKVLIRMKDRFSTVFSHDNDSMPRVWTGKEDIKTITKEARTASLRLLSVVAAIRLDEKPDKIESILFSSLMEGNAAVASSQDRSIVTSDPLASSTWEEVPPKNTLITPVQCKSLWRQFKVETEYTVTQAISAQEAYKKSNNWLPPPWAIVLMVVLGFNEFMLLLRNPFYLMILFVAFLLSKALWVQLDVAGQFQHGTLAGLIAISSRFLPTVMNLLKRLAEEAQGHQTPEAPRQQTSVAFQGFRNQTPNPTNSIPESSVASNITSSDEGIEYSSPNLTQRKSAKSQEAEVSY >OMO53224 pep supercontig:CCACVL1_1.0:contig15271:1841:4702:-1 gene:CCACVL1_28796 transcript:OMO53224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MGKVSVGVACLFWMICSVRGIGVNWGTQSSHPLPPETIVRMLRENGFQRVKLFDADYGTLKALGKSGIEVMVGIPNDMLASVGGSMKAADKWVAKNVSQHITSNNVNIRYVAVGNEPFLETYNGSYLGLTFPALRNIQSALVKAGLGSQVKVTVPLNADVYASSSQYPSGGDFRTDIHDYMLTIVNFLSVSGAPFTVNIYPFISLYSDPNFPVEYAFFDGNATPLNDGGTLYYNMFDANLDTLAYALEKNGFGNLPIIVGEIGWPTDGDRNANMEYARRFNQGFMSRISGGRGTPKRPGPIDAYLFSLIDEDEKSIAPGNFERHWGIFTYDGQAKYPLNLGTTNSGALVPAKDVHYLERKWCVMKPSAKLDDPQVAQSVSYACGLADCTCLGYGTSCGNLDARGNISYAFNSYFQKNNQLEVACKFPNLSMITKTDPTPSVGNCKFQIMIQPYYESVGQRFGCAGKPLGLVSVLILMILTIL >OMO82222 pep supercontig:CCACVL1_1.0:contig10061:41:3503:-1 gene:CCACVL1_12029 transcript:OMO82222 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsZ MAKTLSPCFTPSDTRPMGVLNVLGGRVSMENPLARVSCLKMSDGKSGFSSPSQRSSVPHFRCSANSHSVSPYQNKDPFLNLHPEVSMLRGEANNTVANPRKDSSSGSVTESLADMSGSSNYNEAKIKVIGVGGGGSNAVNRMIESAMKGVEFWIVNTDVQAMKMSPVFPEHRLQIGQELTRGLGAGGNPDIGMNAAKESKESIEEALYGSDMVFVTAGMGGGTGTGGAPIIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRTIMASAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLSGQVSITLIATGFKRQEESEGRPLQ >OMO82224 pep supercontig:CCACVL1_1.0:contig10061:7757:7834:1 gene:CCACVL1_12031 transcript:OMO82224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLSAHPIMPSHFSRVTSCPSLA >OMO82223 pep supercontig:CCACVL1_1.0:contig10061:5734:7381:1 gene:CCACVL1_12030 transcript:OMO82223 gene_biotype:protein_coding transcript_biotype:protein_coding description:STE/STE20 protein kinase MGKKKRIAAQKDKVAGASTSAAAGGSYSHPSSRTSYPVPGLLEEADLVNQVNQVIRKNKIQKEGIWV >OMO82225 pep supercontig:CCACVL1_1.0:contig10061:17356:20813:1 gene:CCACVL1_12032 transcript:OMO82225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFSQSKNSTSEIAPYDWIRHFKPLPVAAPPVRLYGSASSSLTAYVRFALLHKNLSLQFVSTDDKPPSNGDGAVTLEIGSESVCGQRETLLKFIEDKFPHPPLRLNEVMETTPLVVNVTWLQHRSITWHLERMVRWAEDLSRCGGRRRVDPAVGSPRMELKKFAKDYSQLLEVMLEHAQMEERVVFPVLEMADRGLCKAANEEHARDLPIMNGIKEDMKSIGVMDYGTPAYHEGLSNISTRLKSLQKHCKEHFDEEEKDLLPLLEATDLSKEQQTRVFEQCFDAMKATHSHLFNFFLEGLLPSEGMEYVDLISKCSDKDRTASMISLITK >OMO60266 pep supercontig:CCACVL1_1.0:contig13749:8229:9522:-1 gene:CCACVL1_24283 transcript:OMO60266 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MSMARGVRFRPEEEEIFCHYLYPFINGDSIPYEFGEIDIYGGENKEPWNLFKWNEDSPQTESFWVFTRLRKKSASKIDRIAGCGSWNQKGKRNEVRDSEGRLLGYEKYYIFKGKKDSDDPLNLKWMMHEYSVEPEGSGNLVFCQIKYELNKKRKRSDDLDDEYQGSSSSSVKKIGLDLDCQNNHQNHPVPILVISQNKNQNEEVILEELPVDDADHYLQLPGPAATDHVTYELNPVDPSDYHEWNPVLIDNLFNPEPEPLLDNSGWDWRSCIIEHNSISMDAFLGVSWHILLGRNLLIKHSTPPSDQMTTLDIKTKKCRSGRKKQVQQQVSKPS >OMO60268 pep supercontig:CCACVL1_1.0:contig13749:13332:14864:1 gene:CCACVL1_24285 transcript:OMO60268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDLLKLPDKEEEVEELQCTPMAASKSPICSALDCIVSVIDNHKDRVIADDKSNASSSNTKTPPIQASFSPEIQSSTTMTTSSACYAAGHLISGVTDKRKCRARGILAVALPADNDMPTYNSNSVDPKQGYATGLVSKSSVSRLPSPAKASVHWLDLSPCREADEDDKEFSVPLNSLLQAKEMSVSWRDGLVSQIFEKDEFDRCRCLSDEEEDFNVNSSDPCKSSQSLEINVDEGNVPTVANACEPSEFLDGKSNDKRHSPVQCSYTESICTDGPGGLTHSADSDWNLFYKNNQFEV >OMO60269 pep supercontig:CCACVL1_1.0:contig13749:15790:16977:1 gene:CCACVL1_24286 transcript:OMO60269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleosome assembly protein (NAP) MSNEENNSNTPEVEAGEEENNSNMPEAGDAPPNEDPLAVLINALKAKLKKQAAKHVDMLENLSESVWKRVQILREMQGQHDELGAKCSAEISELEDKYQKLYEPLHAKRFNVVNGVDEKAEENPEEAVAMEQGEDKDAEEKGIPEFWLTAMKNNKLLNAEISKRDKEVLKYLKDIKWGKIEEGKGFKLEFYFETNPFFKDTVLIKTYQMIDADETILDKATGMEIDWYPKKEKFMRKKGSKKGSFLINFFNPPQVPGDEDEIDEYIAVEIEKQILQDYCIGSEIKDKIIPRAVSWFTGDAKLEEEDDGAEKEEDEEEEEEEEEKEDDNEEESKKEDDGEEKEEKKDGGEEESKEESSAAEKKSGDDEEEEEKKDNGEEESNTKEPSAAEKNSSEE >OMO60264 pep supercontig:CCACVL1_1.0:contig13749:865:1329:1 gene:CCACVL1_24281 transcript:OMO60264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKQTLNRTEDDNGNPNEIDNENEGEAPFKDGTDVCQQLMDRYSKSSAPQHRHLLATAAAMRSILSAESLPPSPTAYFATAISSLDDDSSTTLDAMAIGALRTFLSIVVTVVPKGGIASGKAKEAVEVVVRVAVKEGLGVASLRSGVKCLGDL >OMO60265 pep supercontig:CCACVL1_1.0:contig13749:2057:7299:1 gene:CCACVL1_24282 transcript:OMO60265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILNLTFFFRYSHIVVDVDVVLLTSEATASQASAILKELISHHIELKSYSADNDGIGNEEADAIKSICAIFENTLNSTDGIPNKHVLAVLATLFPKIGESSYIFMKSIVHKLADFMTLASGDMSKMNHLQNCIGSAVTVIGPERILTLLPITLHSDNFNYSNVWLIPVLKNYVVGASLRSLENIAVALQILVNQNKSIIRSEKDTSEASNFTEGDSVAELGGLASYSKKSATRNMKALSSCASELLQALIDAFVCSLAAKRLYLKDAIKCLASITDSSITKRIFMSLVDKLQLVDDEGEFEKQAGNANVLMEKGGNTSTMEKGARRRMIMELASSIVPGAEEDLIDFIYALVKKTFQETDEIGHAEAYCALSRVLEEHAWFCSSRFRGVD >OMO60267 pep supercontig:CCACVL1_1.0:contig13749:10948:11310:-1 gene:CCACVL1_24284 transcript:OMO60267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEGHTHAAYVFSCRRSEE >OMO88338 pep supercontig:CCACVL1_1.0:contig09034:241:330:-1 gene:CCACVL1_08463 transcript:OMO88338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYLDEAHARPMIGWVIDGLGFFERPSNDE >OMP01972 pep supercontig:CCACVL1_1.0:contig06344:64:366:1 gene:CCACVL1_02957 transcript:OMP01972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPNNCFAKDGFLATECINELNTFPIPTAAPAKAIVAAPAPIDLAPSSIFKTLLLLSFPLQKAAPQEFSLILCVCRSSNSHMELFHMFEYLEMLFQFWG >OMP01973 pep supercontig:CCACVL1_1.0:contig06344:1911:2357:-1 gene:CCACVL1_02958 transcript:OMP01973 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S5/S7 MGDLDGEQKQLIKKLVNFRMKEGKKTRVRAIVYQTFHRPARTERDVIKLMVDAVENIKPICEVKKVRRAGTIYDVPGIVAKNRQQTLAIRWILEAAFKRRISYRISLEKCSFDEILDAYRKRGIARKKRENLHGLSSTNRSFARFRWW >OMO84084 pep supercontig:CCACVL1_1.0:contig09796:20308:20415:1 gene:CCACVL1_11006 transcript:OMO84084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTAINNEINELERQRVCIEERKQMLRKFQQQAQRT >OMO84083 pep supercontig:CCACVL1_1.0:contig09796:609:6238:1 gene:CCACVL1_11005 transcript:OMO84083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECFWVFLLIAANLNLGRAHINGAGDQPLAKINILKTTIALHGSANVTAQPALLGLKGEDTQWVTVNLMNPNPGVDDWVGVFSPAKFNPETCPPVNDPKEQVPYICSAPIKYKYANESGSSYTKTGTGALRFQLINQRADFSFALFSGGLSDPKLVAISNFISFANPKAPLYPRLALGKSWNEMTVTWTSGYDIDEAIPFVEWGRKGDLQLRSPAGTLTFKRNSMCGSPARTVGWRDPGFIHTSFLKNLWPNFVYTYRMGHYLSNGSVVWSKEYSFKASPYPGQDSLQRVIMFGDMGKAERDGSNEYSDYQPGSLNTTDQLIRDLSNFDIVFHIGDLTYSNGYISQWDQFTAQVEPIASTVPYMVASGNHERDWPNSGSFYDTTDSGGECGVPAETMFYFPAENRAKFWYSADYGLFHFCIADSEHDWREGSEQYRFIEKCLASVDRHRQPWLIFAAHRVLGYSSDYWYGQEGTFEEPMGRESLQRLWQKYKVDIAFYGHVHNYERTCPIYQNQCVNNERNHYSGVVNGTIHVVVGGGGSHLSAFSEVTPNWSLYRDYDWGFVKLTAFNQSSLLFEYKKSRDGKVYDSFTISRDYRDILACVHDGCESITMAS >OMO55569 pep supercontig:CCACVL1_1.0:contig14663:23605:23685:1 gene:CCACVL1_27178 transcript:OMO55569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKAEDYTEIYSGFQEFQVGSILVL >OMO97578 pep supercontig:CCACVL1_1.0:contig07226:2087:4335:1 gene:CCACVL1_04514 transcript:OMO97578 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 VLKARRELVEKYQDEEISIAVTGHSLGGALVMLNAMDIVANGHNKPTRMVTAFVYGGPQVGNDGLKKDLSTADGKLMRANKEFDFAEEIVSNPVQTPVMLVQIGDKF >OMO97579 pep supercontig:CCACVL1_1.0:contig07226:24856:27203:1 gene:CCACVL1_04515 transcript:OMO97579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVANGHNKPTRMVIAFVYGGPRVGDDSLKKVFQKDLSAADGKLMRANKEFNFAEEIVSNPLQTPVMVTLIKTNSGSLREITCQCDAQKEM >OMO76063 pep supercontig:CCACVL1_1.0:contig10929:14697:14762:1 gene:CCACVL1_15948 transcript:OMO76063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEESFMTMKEKKFKMQLQL >OMP03697 pep supercontig:CCACVL1_1.0:contig06003:8987:9430:-1 gene:CCACVL1_02305 transcript:OMP03697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSTLDLIIQVTPQSHQFFAFFFFNLIIAIILMGSKNDPNHEDDQEIHLGNSANHIDKRLYTEVDTEQSANTINGVLCVYQTVSEESQGSEEEEEEEEDSNDENYQVGLENDDELAKRAQEFIAKVNSEWKAEMLNDDEPPMCIYD >OMP03696 pep supercontig:CCACVL1_1.0:contig06003:3974:4039:1 gene:CCACVL1_02304 transcript:OMP03696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENSTRAAKILNLSRVEEST >OMO88278 pep supercontig:CCACVL1_1.0:contig09056:3556:3663:-1 gene:CCACVL1_08491 transcript:OMO88278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKHKADLHHCLAHSKSEIASLIASLDKLSFSFDR >OMO88279 pep supercontig:CCACVL1_1.0:contig09056:4236:4388:-1 gene:CCACVL1_08492 transcript:OMO88279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKNDCKINLLQNKRHCLVQDHRSRVIRMPWLEHQLVVGFQLLPEGMAFQV >OMO61964 pep supercontig:CCACVL1_1.0:contig13384:1445:21414:1 gene:CCACVL1_23118 transcript:OMO61964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-related protein MPRYSRATMSSNSSTGNRKTNGGSGLSSPKPKVRHILLMPKPPDYTIVSSNILASLLRCAVPRFLLQQAVQQREKFTNESKRAALWEKFHERNAKRVHSLMVELEGLWVKLGQYLSTHPEVLPEAYVSLLKQLQDSVPPRPIKEVRQTIHKELGKTMDDLFADFMEEPLATASIAQVHRATLINGHKVVVKVQHDGIKEIILEDLKSAKSIVDWIAWVDPEVDLSFLIDEWCKEVPKELDFNQEAVLFANGTGYDCTGVLFNEKNTRTVSRNLGCKRLHDENKSSNQVNVLIPEVIQSTNSVLILEYMDGIRLNDIASLEAFGVDKQKIVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEAPHRPILLDFGLTKKLPSSVKQALAKMFLASAEGDHVALLSAFSEMGLKLRIDIPEKALEAMTGFFRSSTPVNESQIEAFPDDVIIFSRVIDLLRGLSSTMNTHIKYLKIMRPFAESVLLGNINRGPAENAQWIYNTPIHSNVEAKLRQLLVELGNNDKILGIQVCAYKDGKVIIDTAAGVLGRCDPRPVQPDTLFSVFSVTKGITAGMLHWLVDNGKLKLDEYVGNIWPEFRENGKDHIKVHHVLSHTSGLHNALGDLTKENPLLYSNWEECLKRIAASVPETEPGKQQLYHYLSFGWLCGGIIERASGKKFQEILEEAFINPLKIEGELYIGIPPGVESRLASLTVDMDDLKKVTAICNLAEMPMPSSGFQLDKIGQLANLLPALFNMLNTRRAIIPAANGHCSARALARYYAALVDNGLVLPPHSSSSNPPLGSHPHIPKFGWTRKKHKGESTNVQDNNASKNKSDDKRVVNEEDGTTSANGDGPGPGPERIFCNPKIHDAFLGVGEYQSLSLGDGRIGLGFKRLKSNDGNLTGFGHSGIGGSTGFCDIKNRFAIAVTLNKLSFGGVTAKIIKLVCSELNIPLAEEESSSSSGPGDSGFPHPTAICYPAIPRYVSYFIVVVQNVLFNRKQIGRLTKISVNFIISSPFAPSLMGWGNIYRRRLKVFSVALVIYLDYKAVQQREKLTSKSKRSALWQKAHDRNAKRVLSLIIELEGLWVKLGQYLSTRADVLPEAYISLLKQLQDSLPPRPIKEVRQTIQKEFGKTMDDLFADFVEEPLATASIAQVHRAKLINGQEVVVKVQHEGIKAIILEDLKNAKAIVDWIAWAEPQYDFNPMIDEWCKEAPKELDFNHEAENTRTVSRNLGCKRLRDENKSSNQVNVLIPDVIQSTKSVLILEYMDGIRLNDIASLEAFGVDKQKIVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEAPHCPILLDFGLTKKLSSSVKQALAKMFLASAEGDHVALLSAFSEMGLKLRLDMPEQAMEVTTVFFRSSTPANEAQQTMKSLAEQRDRNMKVIQEKMQLNKKEVKRFNPVDAFPGDIVIFTRVLNLLRGLSSTMNVRIEYLEIMRPFAESVLLGNINKGPAENSQWIYNTPVHSDVEAKLRQLLVELGNNDKILGIQVCAYKDGEVIIDTAAGVLGRYDPRPVQPDTLFSVFSATKGITAGMLHWLIDNGKLKLEENVGNIWPEFRGNGKDHIKVHHVLNHTSGLHNALADLSKENPLILSDWEECLKLIAASVPETEPGKQQLYHYLSFGWLCGGIIEHASGKKFQEILKEAFIDPLKIEGELYIGIPPGVESRLASLTLDTDDLNKLSAIRNRPDMPSTFQFNNIAQLATSLPALFNMLNTRRAIIPAANGHCSARALARYYAALVDGGVVPLPHSSFSNPPLGSHPHIPKFSSKKSSKKQKGKSTDVENTASKSKTNNSRYYNKDLKDNGVGYTRVVNEDSNSSSSSGRTECIGIRDGVEKEKSKIFSNPKLHDAFMGVGEYESLSLRDGIFGLGFRRLKSKDGYMTGFGHSGMGGSTAFCDINNRFAIAVTLNKMSFGGVTGKIIELNSVNVLYFDDDLRKMNDNVPYFDDDFRKMQFLTNFRTDDPCRPKRAAYHGQAAPLGRLHYELAAPVGRVRHGRPPRWGGSAVVEPP >OMO95225 pep supercontig:CCACVL1_1.0:contig07713:6218:19048:1 gene:CCACVL1_05487 transcript:OMO95225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEYSRCPHFNFKFTAPYIPTISKYRNPSTPFIFLFLFGDYHFFLDSSISIVLKGHFKAALSSNLFSKKGTKTRGRGKRHFVHKIRDKGNSTSTVQVDTHDDANLPVENPSNDASSSDVPTMNHPTVEIESRREENSPVERVETNAVHKAHESATTEKRKTRGHNLGRSVPSDPSQRLKLTVIDGSNKSKYV >OMO60947 pep supercontig:CCACVL1_1.0:contig13641:4553:4645:1 gene:CCACVL1_23798 transcript:OMO60947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SDKIISVQIMLNCLDDCGQEPHDYYYSKTSK >OMO50416 pep supercontig:CCACVL1_1.0:contig16191:31484:31687:-1 gene:CCACVL1_30443 transcript:OMO50416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIITETRLGSAEAHQLANRLRYRQVISQEPTGYCGGIWVFSDLRNLSMQHIFHGDNEIEINLLRV >OMO50423 pep supercontig:CCACVL1_1.0:contig16191:81920:85107:-1 gene:CCACVL1_30450 transcript:OMO50423 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, subunit alpha/beta MSIFEYNGSALVAMVGKNCFAIASDRRLGVQLQTIATDFQRISKIHDRLFLGLSGLATDAQTLYQKLVFRHKLYLLREERDMKPETFASLVSALLYEKRFGPFFCQPVIAGLGDDDKPFICTMDSIGAKELAKDFVVAGTASESLYGACESMFKENMEPEELFETVSQALLSSVDRDCLSGWGGHVYVVTPTEVKERILKGRMD >OMO50426 pep supercontig:CCACVL1_1.0:contig16191:116838:118331:-1 gene:CCACVL1_30453 transcript:OMO50426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYTGVSLAKSRQNGKAKP >OMO50419 pep supercontig:CCACVL1_1.0:contig16191:55645:61094:1 gene:CCACVL1_30446 transcript:OMO50419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKATHHVVKDGEALALKGGILKTASSQASNMVS >OMO50424 pep supercontig:CCACVL1_1.0:contig16191:87312:96192:1 gene:CCACVL1_30451 transcript:OMO50424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAIEKVTEKQGRLEVDPVNPGKVGPVDTISTENSES >OMO50414 pep supercontig:CCACVL1_1.0:contig16191:15967:25683:1 gene:CCACVL1_30441 transcript:OMO50414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAVTEKAVADANSGPNASPHPHNSSDSCIQPEAGL >OMO50425 pep supercontig:CCACVL1_1.0:contig16191:106800:112565:1 gene:CCACVL1_30452 transcript:OMO50425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALPAVNDQETPEYPPGFAPNQGHGSSTMAGQTFNMPTPPQNTYSFQPQYASQANLELALECSPEEEAFACACLAGQREKTVSSRCGASTE >OMO50420 pep supercontig:CCACVL1_1.0:contig16191:61815:62321:1 gene:CCACVL1_30447 transcript:OMO50420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLSEDEEPIGFSMMEECLQRRSASEQRTAQKKLLKKT >OMO50427 pep supercontig:CCACVL1_1.0:contig16191:120541:125899:1 gene:CCACVL1_30454 transcript:OMO50427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWFLFLFGLVLAVTADGVSGGGNVTYDGRSLIINGHHKILFSGSIHYPRSTPQMWPSLIAKAKAGGLDVIETLVFWNLHEPQPGQFDFSGRRDLVRFIKEIQAQGLYACIRIGPFIQGEWSYGGLPFWLHDIPGIVYRSDNEPFKYQMKKFVSKIVSMMKAEKLYASQGGPIILSQIENEYGMIQAAFKEKGPPYLRWAAEMAVGLQTGVPWVMCKQNDAPDPVINACNGRRCGETFSGPNSPNKPAIWTENWTSFYQVYGDEADIRSAEDIAFHVALFIAKKGSYVNYYMYHGGTNFGRTAAAYMLTSYYDQAPLDEYGLFRQPKWGHLKELHAAIKLCTKPLLSGKFTTMALGPSQQAFVYRENSVDCAAFLVNNNTRKNVAITFLGSLYELPPKSISILPDCKTEAFNTAKVSTEYSTRAVQTSQKLDSTKKWEEFAEAIPTFENTSLRANMLLEHMNTTKDASDYLWYTFRFQNDFSDAKYVLNVTSAAHVLHAFVNGVFAGSTHGSHKNKAPNLESRVTLNHGTNHISLLSGMVGLPDSGPYLERRVAGLRRVMVKGEHDDIKDFTNHSWGYQVGLLGEKLRVYTDFGSSKIQWSTYGSFKHQPLTWYKTRFDAPAGKDPVALNLQSMGKGEAWVNGHSIGRYWVSFLTPKGRPSQTWYNVPRSFLKPTDNLLVILEEENGYPPAISIDTISITKVCGHVSDSHLPPVISWRGQNKTEQKSGNKYHGRRPKVQLRCPPGKKISSILFSSYGTPSGDCGSYAIGGCHSLNSLATVEEACLGKRICSIPVWSQKFGYDPCPGIPKTLLVDAQCT >OMO50415 pep supercontig:CCACVL1_1.0:contig16191:29257:29966:-1 gene:CCACVL1_30442 transcript:OMO50415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVNVIGRLIVITEEETVHIRSKDQLRKRRRLRIQSLQ >OMO50417 pep supercontig:CCACVL1_1.0:contig16191:33985:35029:-1 gene:CCACVL1_30444 transcript:OMO50417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQNNDQVINLDIQLPEKEELPQQIRRLIATLEDLLGIQYPSMPPRFAVQSRRRPLLTEVSSILIAYHIHISPRAIAEDRTIYQWLRFQPENIPNLSIVLKKLQQPHIKSCMCINGLATMCLPNIGLSTTNPPLRIAVLTNTVNNYITEGDEKQVVLYEGKNILQGQLTIFTYTTLYTPFTSYHLRFSLGREDSLLQLPTAADAFNEPTDLKALYYNARGAALHSFRAHLRELIQEHKPMILIITETRLGAGEAYQVSNAIQYEEVITVNPTGYCGGIWIDREP >OMO50422 pep supercontig:CCACVL1_1.0:contig16191:79683:80252:1 gene:CCACVL1_30449 transcript:OMO50422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVPCAPSSRSRLSCVRVLLTSSESEGSNTFEADINGWRGVPQTQRLTDGYGFPNQRQRLTKLLVKVNMEKSAGALHVVLPSENTVNDLIKAAIGIYVREKRRPLLKETEPKFFQLHYSPFTLESLNPDEKLISLGSRNFFLYFKPCCCSEENMASSSKSL >OMO50418 pep supercontig:CCACVL1_1.0:contig16191:36625:44890:-1 gene:CCACVL1_30445 transcript:OMO50418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHRRSEIRQTPTVGVAEANKNRSRRRSGVPSRAAFGPRVAAIDDAANQSPLNSSIAVNTEIDDDSHPHSSNLPTNSINMPSTSIQISELGVLQDVVMRDVVEANRSPHPLHGAAQYSGACATPTLHTLHDVDVGSTFLSTEASPAIVSSQVVQIARHRRIAFLGADEKGDVIHVQIKDIHAPKYKEKLIEGAVFQLNRFTVQKPKGKNISTMNEVLILFQSNTEVKLLPDNTGAYPEYVLQFHDREVLTPYFNTDQYMTDAVGALYSITNITEVKLTRYDRTAKKFECVIIEPSGAQMSITLWESCFEQFDREEILSLQPAPVVLFQGMLPKTYNEIPYLASCSGTRILFNPQIAEISGNERLLYAAIRKETPILTVIPSNFAENPASNSSMQSNTEQPQSTANEGVLAELIPRETREKLQKRKEQNADEGNSQETPILTAIANNLAENPASDSETQSNTEQPQSTANEGVLAELIPRKTRGKLQKRKKQNADEGSSQDNIEHEA >OMO50421 pep supercontig:CCACVL1_1.0:contig16191:75838:76452:-1 gene:CCACVL1_30448 transcript:OMO50421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MENEDAKQSSKRVPFTQLDQVHSDFAMAMAMQEQERAFSWLESIESDSEEEEYDSEASYESNNNDYEYFERLEAGGDLGFLEGQDSNDDDEDMEDEDEIDPDDLSYEELIALGEIIGVEKRGLSQNEISSCLVPCKFQSIEICKNGIDRCVICQVEYEEEEALVGLPSCEHPYHSECISKWLQIKKICPICNTEISLSMNSSNV >OMO81434 pep supercontig:CCACVL1_1.0:contig10177:5953:6935:-1 gene:CCACVL1_12421 transcript:OMO81434 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MASIYSTVIVICCACQKDWNYNGRIHLRIFYVACGAIMIGLSALLGTLLGWHIYLITRNMTTIEHYEGIRAAWFAKKSGLTYRHPFDISIYKNITS >OMO90084 pep supercontig:CCACVL1_1.0:contig08536:26380:26709:1 gene:CCACVL1_07518 transcript:OMO90084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STMNSGVCVRGSDSSSDFYGQLQDVVQLEYLGGGERKVVVLFYCLWYDPINGMNVHSTYKIVDVHQNRLYKKFDPFVLAQQAIQVYYSKYPSLEKDKIDWMAVCETKSRR >OMO90085 pep supercontig:CCACVL1_1.0:contig08536:28445:31934:1 gene:CCACVL1_07519 transcript:OMO90085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPNSNQKKKKKRLNQPTRLLPQNPSHHSETSSHGSSHQTGRGSGSPIMNTGLTQSTTAQIRAPMTLNGQNIGPQGPHPHHHQRGSPPPEPQPSPPPPANGQSSHQQSDQPLPDQSESRERVFPLNPSGAKYVTLREMYSLKLSRSYVNKLSKIGHRQLTVDGKLPDPDKLYLRTHGKNGILPEGRAKKTMDEYQAALNAAVVAAGDDADALARIDHRNIWKETAGGSKRQLKLEVKDLKAELAAANTRVQEQMKEQQRWLLDALQATLASYGIVNPQLPPMPNFDDIQNVDLPAASPNPSEQQSGVQTQQHVPNHQPIANVDVDVADDDEEDDDNDDDDCDDNEEDDDGDDYKDDFLADDDAHL >OMP11852 pep supercontig:CCACVL1_1.0:contig00767:240:1107:-1 gene:CCACVL1_00235 transcript:OMP11852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRSRTYAWGGNETHARVGAAANYKALYFTYATRARVG >OMP00557 pep supercontig:CCACVL1_1.0:contig06659:841:936:-1 gene:CCACVL1_03334 transcript:OMP00557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKALWFAKSKTIQNSSNGPRKARNNKCSE >OMP12273 pep supercontig:CCACVL1_1.0:contig00194:1210:2773:1 gene:CCACVL1_00057 transcript:OMP12273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPPGVDWGCEVYWSSISNITRINDISGLKLSDQAKEQCAYLVDDEQQIHSPAQLSLFSRLILAGLCPWPIIDPKVGEFPVMRCGALLFDGRKIIVNSREKVGLDLMEYRASKDEYTIMNLVDGRLVPEGPDKYPLPPAGRPGVMFGRGKGVLACLRRTFARGGDVFFFRRTPYWIFSVILLVSFLFLLFLSPFGKEEFRRAPPSCFPNSFAHAYVYPLHVKAVDQPPMPTVNPTVVTSHPIDVVTEVAPEDTGLVPSSSSPRHFLQGRYRRGLGEFFEGAYAQLEQSNPPLFASVDSYLALGLQYANPVDWDAITKLSPAEMSTLRLAHAVDADFSKARAEVEKLDPAMHQSLTLNTPDISMSEFLRLQSERDVAVKARDEVQAAIAEIKGELALENKKNEALELKLKMVRQEAKVVHNR >OMP10493 pep supercontig:CCACVL1_1.0:contig02401:836:910:1 gene:CCACVL1_00936 transcript:OMP10493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTFYTIVRPTSNRMQALKDFSISM >OMO68923 pep supercontig:CCACVL1_1.0:contig12156:6100:8212:-1 gene:CCACVL1_19760 transcript:OMO68923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDLHYRYQRVSPECPPPLSSVKKSGLKSTLTSSMCKEEGGSCSNNGNIENGRKDIGSAFEGAKAVKYRSPSRNQDHHHNSTLSHSISGNGFLDSPPKGQGQSESNHQHHHHTHHETPKRSETSSPNRGDVLLQWGQKKRARVSRSEIRPLADDSSSSSVAGRQNMGNKIQRRVLHATMPPPPPPAPHPARCSTLRNGLLSSSGSPSRNSSGTSRAASRSMAGKRSPPLETIDRKKCVVGSVKEEKLNGSSSALQTDRLNHTDSAPVQSEQAAAATVTAPAVDKVNNEVIEWPRIYLSLSRKEKEDDFLAMKGTKLPQRPKKRAKNVDRALQYCFPGMWLSDLTKSRYEVREKKSVKKQKRRGLKGMESVESESE >OMO78244 pep supercontig:CCACVL1_1.0:contig10612:9835:14262:1 gene:CCACVL1_14537 transcript:OMO78244 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MFGLMVDEGIGFDEVSNFYSEVGGFEASLRIREMGNMLNDNFNILEELENLDIDEEDVEPFEIPSWTSERGGKVLVNVDSFGAVGDGVSDDTEAFRKAWDTACSTPKSVFLVPPGRSYLVNATKFKGPCADRLVVQIDGTIVAPAEPENWDPNLPRLWLDFSKLQGVAFQGNGVIDGSGRKWWASSCKKNKTNALTIESSSSIKVKGLTIQNSQQMNFVISKSDSVRIYGVQVSCPGDSPNTDGIHITGSTNVVLQDCKIGTGDDCVSIVNGSSAIKMKRIYCGPGHGVSIGSLGKDNSTAIVTKVVLDTAFLRETTNGVRIKTWQGGSGYVRGVRFENVRMEDVANPIIIDQFYCDSPKACQNQTSAVQISQIMYRNISGTTKSKEAMKFACSDTVPCSNIVLSNVNLERKDGTTAETYCNSAQGFNYGVVHPSADCLSSHDKAPILINQVESAEHIEPSKDHIVHTEL >OMO78252 pep supercontig:CCACVL1_1.0:contig10612:69006:70854:-1 gene:CCACVL1_14545 transcript:OMO78252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKISIDITKSERNGKPKGLDPPFSAFFVQLPHKLQNCLKSQLKRFPKDNDAIKAMNSFLGKEKSLSAELGVDLEKQLQAWRENPSWGDQSPEIKVSVPKGSLCNLKAKVDIGLPPDAVYNIVTDPENKRVFKNIQEVISRKVLVDEGQRQLVEVEQAALWRFLWWSGTISVHVLVDQNREDYSMKFKQVKSGFMKKFEGHWRVQPVFVDEKICFPFKPKTWAEYCSCTAGKGRVGSRVRLDQLIQPAIIPPPPISWYLRGITAKTTEMLVQDLLAEAARLKGGFDSINLNNELRLSKGINEQQDLEQITDIKERWNIRRRNAKQHRR >OMO78253 pep supercontig:CCACVL1_1.0:contig10612:72877:75609:-1 gene:CCACVL1_14546 transcript:OMO78253 gene_biotype:protein_coding transcript_biotype:protein_coding description:C1-like protein MAEAENNVVKTVDGDCHDFQSLLSSSNRDFLVRNNGDQVKIDSLKGKKLGLYFSASWCGPCRRFTPKLVEVYSELSPKGDFEIIFVSGDEDEESFNDYFSKMPWLAIPFSDSETRNRLDELFKVMGIPHLVFLDENGKVSTDEGVAIIREYGEEGYPFTAEKIQELKDLEEKAKKEQSIKTILASRSRDFVVSSDGNKVPVSELEGKTVGLYFSVSSYRASADFTPKLAELYKKLKEKGENFEIVVISLDDEEEAFKESFAASWLALPFKDKLVEKLTRYFELSTLPTVVIIGPDGKTVHSNAAEAIEEHGIQAYPFTPEKFAELAEIEKAKEAAQTLESILVSGDLDFVIGKDDAKVPVTDLVGKTVLLYFSAHWCPPCRAFTPKLVEEYKKIKAKDEAFEVVFISSDRDQASFEEYYSGMPWLALPFGDARKASLSRKFKVQGIPMLITIGPTGQTVTKETRNMVMAHGANAYPFTEERLKKIEAEYEEMAKGWPKNLKHELHEDHELVLSRRTTYCCDGCNEEGHTWSFYCEECDFDLHPKCALKEDKETKSEEKEEAAPKEGWICDGDVCTRAP >OMO78258 pep supercontig:CCACVL1_1.0:contig10612:106871:109858:-1 gene:CCACVL1_14551 transcript:OMO78258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESEETPDSPSGSPPSPPSYKNSTLNVDDNKTKASSTPANLEQSDNDDTSSSNESPPPPTPDSKASPDSNKDDGETTPPSQNTTATPTATPSGSFSPPPSNFSNNSVPKPALMPPPSPISTMNHTSPPTGNNDKIIKQAAAGAAVAGLFIIAMVFLFFCLRRRNKKKRRQGPPYGMPPPPNFQVTTDAYIAMGNSGRNDSNFYYNSQGGQQPHSNMASSFGGSQRGESIRGPDSGLIATSKTFFGYEELMEITNGFSRKNLSVLEWSKRVKIAAGAAKGLAYLHEDCHPRIIHRDIKWQTLDLPDLTTRLKPMFQLESWAHSVDPRLEKRYVESEMFRMIEAAAACVRHSATKRPRMVAVARALDFEEDSSDLSNGVKFGQSTVYDSGQYSQEIMNFRRLALAGDNSSEFDYSGEYNSKEVAQQRGGQQNNTWNSRYSSGEFTSGELEKQAFKPNTNSDDYSTGASGNYGGGRFRYN >OMO78250 pep supercontig:CCACVL1_1.0:contig10612:54351:59885:-1 gene:CCACVL1_14543 transcript:OMO78250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSSVQKLCIHLISSAFQRCRLSEGLCRLSVVLKSLPASTTPMVRVSISDTGIGCCLEEFQDLKYTREGIGTEKWGISDNEIYNYHLNLRESVSARRLTRLPSNPKNGAKFSGTEVCLFISDTIEALLAEINHFFQKMLILKIPTVAAELVIEKGDTPGLRCENVFLTNECSSLHFSTSNIERLKSGLEEYVLKHGNTLSDKCDACFSSREQLKIGSGVACSMESHRSSGLTMEAVIAISELSEFPCFRSCGSKTEVLHFKDFSPCPVSQTSLNALTSIDWRSYGLALGSIVDQGKYKTQPDRHLIKKAVKLAFDDLKEKHAGLLLSAHAVKICSYAPDLASTIAGLILTSDDPEFQSECVSILGLKQYEEIGVEMIEDCIKKKIISVIETNDKKPEKCKEAAAFLFEDDCPQEPIYFDEEYEEGEDFLNSPD >OMO78249 pep supercontig:CCACVL1_1.0:contig10612:51161:52837:1 gene:CCACVL1_14542 transcript:OMO78249 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MAPGVPVDVFSSSSSGKVNGGYSKKAYVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAILPDVPEEHREILRSQGCIVREIEPIYPPENQVQWAMAHFVINYSKLRIWNFEEYSKMMYLDADIQVFDNIDHLLDTPDGYFYAVMDCFCEPVWSQSPQFDIGYCQQCPERVTWPPEMGSPPALYFNAGMFVFEPSHLTYNNLLETLKITPPSPFAEQDFLNMFFQKVYKPIPVDYNLVLAMLWRHPENVELNKVKVVHYCAAGSKPWRFTGKEVNMDREDIQTFVAKWWEIYNDESLDYKLAAADQNQNPTASSEDQTFSSSKPSIMASMPEPAISYISAPSAA >OMO78257 pep supercontig:CCACVL1_1.0:contig10612:99721:103523:1 gene:CCACVL1_14550 transcript:OMO78257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFERAVEWLRPFVDSGNWDFCVVWKLGDDPSRFIEWKACCCSGGVNVKVEKDELQQQRLGPSCRDVQFQHPIRSKACEALSHFPFFMSLYAGIHGEVAMSNQPKWVIHGNASGSHGTMGTQVLIPVFGGLIEFFAPKHIPKDQNVIELVTTQCNAFLEAEVMTAGSYSRANLDKLYSNRVLRKDLQDLPFPISLSTLIPGIQAVPTVLDSSSPLSLDGSSSGSSTGLSIEHHQFPSGFSYVSQDDQLNRLIGSYPGTERLRCSKNVLEQQAGFVLETRAKSAEAKRKTTEQLEKEHFPSKNLVTERNRRKRIKEGLFKLRALVPKISNMDRAAIVEDAIEYIGDLQEEEKRLRNELRKLEEEDCAMSNNAEMKCAKLDKLHNGNRSAVEQSQVSAILDEKTKEVHIEVNQINKREFLIKLCYVHKRGGFAKLMEGIGSLGLQVIDANVTTFNSKVLNIFKVEDSEIVTMFSRFCLLPTGIS >OMO78254 pep supercontig:CCACVL1_1.0:contig10612:78082:92452:-1 gene:CCACVL1_14547 transcript:OMO78254 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-type MKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLRWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELYHALCNMLSNILAPLADGGKNQWPPMGVEPALTLWYEAVGRIRVNLIHWMDKQSKHIAVGYPLVTLLLCLGDPQIFHSNLSSHMEQLYKLLRDKNHRFMALDCLHRVLRFYLSVHAANQPPNRIWDYLDSVTSQLLTVLRKGLLTQDVQHDKLVEFCVTIAEHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPSSPYVGLEIFKGHDIGHYIPKVKAAIESILRSCHKTYSQALLTSSRTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAVMRGMANFILRLPDEFPLLIQTSLGRLLELMRFWRACLIDDKLEQDAQDAQDAKRVVQQNKGFKKSSFHQPGEVIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDLTLREQPDHSMRYEAEPIFIIDVLEEHGDDIVQSCYWDSGRLFDYRRESEAIPPEVTLQSIIFESPDKNRWARCLSELVKYAAELCPSSVQDAKVEVLQRLAHITPAELGGRAHQSQDVDNKLDQWLMYAMFVCSCPPDSRDAGSIAATRELYHLIFPSLKSGSEAHIHAATMALGHSHLESCEIMFSELTSFVDEVSSETEGKPKWKSQKQTRREDLRVHIANIYRTVAENIWPGFLGRKPVFRRHYLRFIEDTNKQIALASPESFQETQPLRYALASVLRSLAPEFVDSKAEKFDLRFRKKLFDLLLSWCDDTGSTWGQDAASDYRREVERYKTSQHRSKDSVDKISFDKELSEQIEAVQWASMTAMASLLYGPCFDDNARKMSGRVIFWINSLFNEPAPKAPYGYSPVDPRTPSYSKYTGEGRGAAGRDRHKGGHHRVALAKLALKNLLLTNLDLFPACIDQCYYSDPAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDGTEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLSQRMLEDSLEPLGASRADANGNLILEFSQGPAAAQIASVADSQPHMSPLLVRGSLDGPLRNTSGSLSWRTAGVTGRSASGPLSPMPPELNIVPVTAGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDILHSGVGTHGINAKELQSALQGHQQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYEVENIDGENKQQVVSLIKYVQSKRGSMMWENEDPTVTRTELPSAALLSALVQSMVDAIFFQGDLRETWGVEALKWAMECTSRHLACRSHQIYRALRPSVTSDTCVLLLRCLHRCLGNPIPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFIHVYCQVLELFSRVIDRLSFRDRTIENVLLSSMPRDELDNADIGDFQRIESRGYDLPTTSGNLPAFEGVQPLVLKGLMSTVSHGVAIEVLSRITVYSCDSIFGDHETRLLMHITGLLPWLCLQLSKDPLVGPASPLQQQYQKACSVATNISIWCRAESLDELATVFLAYSSGEIKSIENLLACVSPLLCNEWFPKHSALAFGHLLRLLERGPVEYQRVILLMLKALLQHTPMDSAQSPHMYAMVSQLVESTLCWEALSVLEALLQSCSSLTGSHPHESGTYENGTDEKMLAPQTSFKARSGPLQYALGSGFGVGSASVPQAVSTESGVTTREAALQNTRLILGRVLDSCALGRRREYRRLVPFVTTIGNP >OMO78242 pep supercontig:CCACVL1_1.0:contig10612:1618:2730:-1 gene:CCACVL1_14535 transcript:OMO78242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLFQDHAAKDMRVSILVDMGYPVKDALEAVEKCGLQAEITELQDYIYASRMEKEHDDQFQEPSDKDGIFADICPE >OMO78247 pep supercontig:CCACVL1_1.0:contig10612:31126:42858:1 gene:CCACVL1_14540 transcript:OMO78247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISDKELDAVTRRISAVSNHLLPAGSSSNSSSIGLCNASMNDSYHKIHGEVPSHEVEWKPACDEYGEFTDIIYEKAVGEGIAKITINRPERRNAFRPLTIKELIRAFNDARDDSSIGVIILTGKGTKAFCSGGDQALRKEDGYADFENFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHILHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLTRFYTASEADKMGLVNAVVPLEKLEQETVKWCREILRNSPTAIRVLKSALNAVDDGHAGLQQLPAHLRAEAADSLYLEAQCRVEDPVYGCVGRISLLQQEIHKAESQLVKTQAEIAVLKSQAQGHDLQALPFESQSDGLNNFLHEQYLDNVAAAGLDFSNQEERKAELYVECALYIDGAPFGLPTKTRLESAGPLYCWNELITLSTKYRDLTAHSQLALTVWDVSCGKDDGLIGGATILLFNSKMQLKTGKQKLRLWLGKQADGSFPTTTPGKVPRQERGELERLEKLANKYERGQIQPVDWLDRLTFKAMEKVKERESLKNGSSHLYLVVDFCSFEHRVVFQESGANFLLPSPIASSNELVIVWDPEVGKINPSEHKQLKLARSLNRGIIDRDLKPSSNERKSIQRILKYPPTRALSGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQAIELMGKWEMIDVCDALELLSPLFESEEVRAYAVSVLERADDEELQCYLLQLVQALRFERTDKSRLSQFLVQRSLRNIELASFLRWFVAVELHDPAYAKRFYSTYEFLEENMMKLTAGVNGEEDGFKMWQSLVRQTELTAQLCSIMRDVRNVRGNTQKKIEKLRQLLSGLLSELTYFDEPIRSPLAPSVLITGIEPSESSIFKSALHPLRLTFRTANGGNCKIIFKKGDDIRQDQLVVQMVFLMDRLLKLENLDLHLTPYKVLATGQDEGMLEFIPSRSLAQILSEHRSIISYLQKFHPDEHGPFGITATCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFHLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEACIHFFQDLINESVSALFPQMVETIHRWAQYWR >OMO78245 pep supercontig:CCACVL1_1.0:contig10612:25515:29720:1 gene:CCACVL1_14538 transcript:OMO78245 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MSGLSFKSLTFMFLIAFLVWSSSFETCIARRGRHWRQGRYAAASSLSKKKGKSHGHHNSHNGGSKTKPPHKNAPSPPSPSPQPKAPSPPKEKGSPPVPSLPPPYNSGKQSAVFDVLDFGAKGDGQTDDTKAFQAAWEGACKVEASTVVVPQEFVFLVGPISFSGPYCQANIVFQLDGTIIAPTDSQAWGKGLLQWLEFSKLKGITIQGKGIIDGRGSGWWEDTPYEDPYDDERKLIIPLNSTTQEMPPMPVRNELSGKMPSIKPTALRFYGSFNVTVTGITIQNSPQCHLKFDNCMGVVVHDVTVNSPGDSPNTDGIHLQNSKDVVIHGSSLACGDDCISIQTGCSNVYVHNVNCGPGHGISIGSLGKDNTKACVSNITVRDVIMHNTMNGVRIKTWQGGSGSVQGVMFSNIQVSEVQLPIVIDQFYCDHRSCKNETTAVALSGITYEKIRGTYTVKPVHFACSDSLPCIGVQLSGIELKPLQEHYHLYDPFCWQTFGELVTPTVPPIGCLQIGKPSNNRVQSDHDIC >OMO78246 pep supercontig:CCACVL1_1.0:contig10612:30577:30663:1 gene:CCACVL1_14539 transcript:OMO78246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREEYVDPASFRSFIDVLDPFIRVPPK >OMO78251 pep supercontig:CCACVL1_1.0:contig10612:63446:68179:1 gene:CCACVL1_14544 transcript:OMO78251 gene_biotype:protein_coding transcript_biotype:protein_coding description:Type II pantothenate kinase MDLQDDVSNQQNSERGSQISHLALDIGGSLVKLVYFSRNNDSRRDDDNEQRSRNECLGVSDSDTCQPFLDGRLHFAKFETSKINDCLEFICSKKLHLGGTLLFIPWTVIVRYPNTCLQATGGGAYKFADLFKEKLGICFDKEDEMDCLVTGANFLLKVVHQEAFTYLDGQKEFVQIDHNDLYPYLLVNIGSGVSMIKVDGDGKFERVSGTNLGGGTFWGLGRLLTKCKNFDELLELSHQGNNRVIDMLVGDIYGGTEYAKIGLSATTIASSFGKAISDNKELEDYKAEDISRSLLRMISNNIGQISYLNALRFGLKRIIFAGFFIRGQAYTMDTISVAVHYWSNGEAKAMFLRHEGFLGALGAFRSYEKQSLDGLMVHKTVQKNPSSISSAGDKSCCSLNSDSKNENEHSGCSVYGM >OMO78255 pep supercontig:CCACVL1_1.0:contig10612:93707:94838:-1 gene:CCACVL1_14548 transcript:OMO78255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MAIQSILVLLSLFLLLPSEAVAASVGICYGRVANNLPPIFDVINILKSNNVSDVRIFDADPTTLQAFSGTGIKFMIGVPNEMLDAIAQGTPFFALQWLQTNILSHIFPNQVKYIAVGNEVFLKDPYYAPYVVPAIVNLYQALQHLNLHESIKLSSPQAASILSISYPPSSGTFNPSLRSNLLPLLRFLYDTQSPFMVNVYPYFSYTSSMEHVSLDYALFRAEKTVQDGRLMYGNLFEASVDAFLYAIEKEGFGEMEVVVSETGWPKGGGVAASVENALAYNENVVRRAVSNVGTPKRPGEGLEVYLFDLFDENEKSGNECEKHFGIFGPDGIKAYDLRFN >OMO78260 pep supercontig:CCACVL1_1.0:contig10612:121939:122856:1 gene:CCACVL1_14553 transcript:OMO78260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVMSSSLSLSPSFNKFSSAGFPDISGRFAHEFGVKLQLKDEDPVKELEEKLNNQPETEEKEENQEEEEEREEVEEDEDEDEEEEFSFVCLNPDGSQISADDVFQDGQIRPLFPLFKQDLLFVDEDGSVLKSEDGEVSLRPPLKKIFVEESTETASSSSAEPAGPFCEWRRGERRVEQTSPDRCKKSNSTGFSKLWRFRDLMLRSNSDGKDAFVFLNHPAPSSVKMEKKNDKEEKNSKVKATGVVEKPKAKKEKSGKTASLSAHEKLYVKNRAMREGDKRRSYLPYKQVGFFTNVNGLSRNVHPF >OMO78248 pep supercontig:CCACVL1_1.0:contig10612:45362:47857:1 gene:CCACVL1_14541 transcript:OMO78248 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily, Rab type MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKVAAIF >OMO78256 pep supercontig:CCACVL1_1.0:contig10612:97286:97906:1 gene:CCACVL1_14549 transcript:OMO78256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQTEQPQTQQQPVVVYPNTVSGQGQVPPSHSHSNGSFGTVFIVLAVIIVISAIACFLGRLCNRRMSRTKPSKEDKYHKSRPKNKERDIEFGFDGKAPSGKPGGGGGGHGGGDPSKGFKMPGNGDPREFRMPGPPVYAEPAGIRMPGDGHGNPPGIRMPGNGIPPGIRMPGNGGDRDHVTFKFPGHGDIKGESKHFDDPELKAGA >OMO78259 pep supercontig:CCACVL1_1.0:contig10612:113354:115095:1 gene:CCACVL1_14552 transcript:OMO78259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVVEEDRVSTIVDKDKILAAGASTSSQSNDEADSGPYPAAFEFFLRNGLAKFEPPDFITMIKKNLAGKEGRNSEMAEKIEVVALHRNFMPSLYKKARSDSFEVFAKTVAQKNGGKANLRFAYYAAPKEELCEIINYGFTAINKTAAARDRNSFRNFITLSPMDFCLDSVLSSEVDECGLRHVLFCRIIAGKQELVTADCNQFHPSSEEFDTGVDDLSVPRKYFVWSVHMNTHIRPLCIVSFKVPTLSANNIKPRLSFPTLMAILSRILTPSQMVELNKFFRDFQEKRVTRLQLIHKVKELGGNRILPAISEYQKKVKFYRLF >OMO78243 pep supercontig:CCACVL1_1.0:contig10612:6395:7938:1 gene:CCACVL1_14536 transcript:OMO78243 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C15, pyroglutamyl peptidase I MGSEGPKAVTIHVTGFKKFQGVAENPTETIVNNLMNFVQTKGLLRAGVTLGSCTVLETAGDGALPMLYEVLESGISRTDTKNEQVIWLHLGVNSGAKKFAIERQAVNEATFRCPDELGWQPEQHPIVAEDGGISRKQETTCSIEAILKVLKNKGYDVTISDDAGRFVCNYVYYHSLRFAKQKGHKSLFVHVPLFSRINEESQMQFVASILEAMASTS >OMP03798 pep supercontig:CCACVL1_1.0:contig05972:4:414:1 gene:CCACVL1_02261 transcript:OMP03798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAHIQQPHAARYQRQRLGDMHRLQWASFGIEAEVEMLHAGDDQIAAEQEHNEHGIQCVRRDQPALLLRVFRHFCCKVVERGGQHKNRHPARFGQHLCGTDVARLKQKGPQPLPYADKNGQAEKIPGLRAFAIAS >OMP05737 pep supercontig:CCACVL1_1.0:contig05244:2204:2308:1 gene:CCACVL1_01840 transcript:OMP05737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARFVTDQVLGIRAPVQALPVYARSKIIETGSCTV >OMO75753 pep supercontig:CCACVL1_1.0:contig10987:65:6135:1 gene:CCACVL1_16053 transcript:OMO75753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLADLIVHIIIEETTRKEIHANKAKEIAKKANLVQHQNR >OMO75754 pep supercontig:CCACVL1_1.0:contig10987:8756:14815:1 gene:CCACVL1_16054 transcript:OMO75754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSSAGERDVKHYKRENRKRGPKPESRIGCEAHMRILKRGDKFVVTQF >OMP04642 pep supercontig:CCACVL1_1.0:contig05695:2780:2968:1 gene:CCACVL1_02149 transcript:OMP04642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFEIKINRMRERENPQIGSRPISELNVIARRVSKTIFAPATSLVLSRDPSQIHQWRGIQVK >OMO93038 pep supercontig:CCACVL1_1.0:contig08142:28173:28274:-1 gene:CCACVL1_06666 transcript:OMO93038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKKPGKGFPFPGFSFLVVSKWIGYGGLCFGS >OMO93039 pep supercontig:CCACVL1_1.0:contig08142:51053:52159:-1 gene:CCACVL1_06667 transcript:OMO93039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNHKAYEITDPVIVLSLFSRYAISFAANYLKMLFVRRTPQSNKTIHVVSSSLKECREACGGQGLKTENRISHLKGEYDVQSTFEGDNNILMQQVSKALLAEYVAAQKKNKAFKGLGLEHMNKPCHVIPSQLTSATLR >OMO93035 pep supercontig:CCACVL1_1.0:contig08142:4840:6248:1 gene:CCACVL1_06663 transcript:OMO93035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MIMASLHCFFCIFIALFLSLSFQQGFVAAKGSRCQKLIVDQTGHGNFSTIQSAIDHVPINNRNWFCILVSAGTYREKVKIPREKPFIILKGAGKRKTVVVWNEYNIDNPTFATWAAQIVVKCMSFVNTYNGPKSKNPTAAAAAAAVHGDKTYFYRCGFSSVQDTLWDESGRHYFKRCSIEGAVDFICGAAQSREEKTDANGFVFKNCNVFGTGKALLGRAWRQSARVLFYNCQFADIIDPLGWEAWNGQNQEQLTFAEYGNRGPGADTSKRVEWERKLSAQAVAQFTSMSYIDNEGWLQSLPV >OMO93036 pep supercontig:CCACVL1_1.0:contig08142:6851:13567:-1 gene:CCACVL1_06664 transcript:OMO93036 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MidA protein MLVRDFVHAALYDPKHGYFSQRSGSVGVLERSIKFHQLEGRKAYMKQLDKIYKQSGISWFTPVELFKPWYAQGIAEAIMRTANLSVPLKIYEIGGGSGTCAKGIMDYIMLNAPPRVYNSMTYTSVEISPALAEIQKQTVGEVRSHLSKFKVERRDATDRSGWGDVEQQPCWVIMLEVLDNLPHDLIYSENQVSPWLEVWVEKQLGREGLSEVYRPLQDPLIKSCLEVLEVAQTDTSKKSLVSKAWSKLFPKPRRCWLPTGCMKLLEVLHAALPKMSLIASDFSYLPDVKIPGDRAPLVSTKKDGHSSDYSNYLDAKGDADIFFPTDFWLLENIDHYCSGWLKLQKDKSSKDSKQGKKRRTITLDTSSFMEEFGLPSKTRTKDGYNPLLDDFKNTKFYLSVPTHNIK >OMO93034 pep supercontig:CCACVL1_1.0:contig08142:676:2376:1 gene:CCACVL1_06662 transcript:OMO93034 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L13, eukaryotic/archaeal MVSGSGICAKRVVVDARNHMLGRLASILAKELLNGQKVVVVRCEEICMSGGLVRQKMKYMRFLRKRMNTKPSHGPIHFRAPAKILWRTIRGMIPHKTKRGAAALARLKAYEGVPAPYDKTKRMVIPDALRVLRLQKGHKYCLLGKLSSEVGWNHYDTIKELEKKRKERAQVTYERRKQLNKLRVKAEKVAEEKLGAQLDVIAPIKY >OMO93037 pep supercontig:CCACVL1_1.0:contig08142:23305:27387:1 gene:CCACVL1_06665 transcript:OMO93037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSAIRSLPLDGSVGDFQGTLDGTNLPGDACLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKYRLGKQSCKESTENSKDESQDTSSSTTSSSRMVAQELNDGFQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKALNDQAAASAGLEAAREELSELAIKVSNDCQGMVPLDNIKLPSLSELAAALENKTASSMPARIGDCSVESCLTSIGSPVSPMGVGTQAAMMKKRPRPLFGNGESLPLDGNIRQEIEWGMPNIS >OMO73837 pep supercontig:CCACVL1_1.0:contig11178:60778:63817:-1 gene:CCACVL1_17125 transcript:OMO73837 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase MAVASDSFIVRGLITGKATKFGLKNEVFGAKVVPCRRNSGNGGKVVRVGVKAAVQIGGLEEVKTAKQELGFDVVSEGELREKGFLGMRKTKLVCTIGPACCSMEDLEKLALGGMNVARLNMCHNKRDWHLDVIKKIKKLNEEKGFCVSVMIDTEGSQIHVLDHGAPSSVKAEEGSIWLFTAQKLEGSHPFTVQTNYEGFSQGIEVGDVIVIDGGMASFEVIEKVGNDLRCQCTDSGLFLPRAKFSFWRDGKLVARNSELPTLSKKDWADIEFGVSEGVDFIALSFVNDADSVRQLKNYLYMRSSRSTRVLAKIESLESLLNLEEIVEASDGIMVARGDLGVEIPYEQIPTVQEEITRVCRELNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQYADALMLSGESAIGAYGQKALSVLQMASSRMELWSREENRESILHQRQLGVSLPDQIAEQICNCAVEMANNLGVDAIFVYTKHGQMASLLSRNRPYPPIFAFTSDNGTRMALNLQWGVIPLLVDLSDDMEANISRTIDIIKTKGMLKAGDAVLVVSDLTPAHLNSTAYQSIQVKTVV >OMO73835 pep supercontig:CCACVL1_1.0:contig11178:50639:52671:-1 gene:CCACVL1_17122 transcript:OMO73835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MSSFMLEEGSSNKYRAAHDDDERSKRKGTWLTASAHIITAVIGSGVLSLSWAIAQLGWIAGPIALLVFSFITWFTSTLLADCCRDPVTGRRCISYIDAVKTNLGGINNKLCGIAQYSNLVGVSIGYAITSAISMAAIKRSICFHKRGHDAGCHVKNNTFIIIFGVIEIVLSQLPNFHELSALSMIAAVMSFAYSSIGLGLSIAKVAGGTHVRTSLTGTTVGVDVSSTQKIWNCFESLGNIAFAYAFSTVLVEIQDTLRSHPPENEAMKKATSVGISVTTLFYMSCGVLGYAAFGNKAPGNFLTGFGFFEPYWLIDIANVCIIIHLVGAYQVFCQPIFKLVEDWCSNRWPNNSFIKQGSPINLPVLGVYHFSAFRLVWRTAYVIVTTVLAMIFPFFNDILGLLGAAAFWPLTVYFPIKMHIAREKIPTGSFKWIWLYVVIVVCLIISLLAAAGSIEGIVKDLGTFKPFTSES >OMO73836 pep supercontig:CCACVL1_1.0:contig11178:55407:57664:-1 gene:CCACVL1_17124 transcript:OMO73836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQLLSPVFSSISVSQSKFPGKSGFRKKLLNFNRGRSFTSFHNKRCRIYCATQEGDNKSNGEEPPESLFMKELKRRGMTPTSLLEDAKKPSYGLDEEMKVGEEAGNFSKRNVVSTEFEKSLSNQREESMKLNSEGLEGLVPRAKLLLTLGGTFFLSFWPLILATVASFSALYLYFGPSFVHDGSNTPISPPQYIDPYTLLEDERISETAPRVN >OMO73838 pep supercontig:CCACVL1_1.0:contig11178:73869:79895:1 gene:CCACVL1_17127 transcript:OMO73838 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase MRLDGEAYDGEEGEEEEQKLVVGYALTVKKKKSFLQPKFVRLARSLALLIMNLDQIVEIGNPFHSPLVFLKEYRETHPEVTVLDPPDAIQHLHNRQSMLQDVVDLNLSDFYGKVGVPRQMVITKDQLSIPDEVTKAGLKLPLVAKPLVVDGTSKSHELFLAYDQISLSELEPPLVLQEFVNHGGVLFKIYIVGDAIKVVRRFSLPNVSKQELAKVSGVVPFPRVSSAAATADEADLDPGIAELPPLPLLERLAKELRQRLGLRLFNIDMIREHGTRDIFYVIDINYFPGFGKMPDYEQIFTDFLLSFVQSKCKKRHAT >OMO73834 pep supercontig:CCACVL1_1.0:contig11178:47953:49953:1 gene:CCACVL1_17121 transcript:OMO73834 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVSEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYCKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGEDDGDEEY >OMO73839 pep supercontig:CCACVL1_1.0:contig11178:81193:81396:1 gene:CCACVL1_17128 transcript:OMO73839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGTPKTPRSDTVPRIKPPPAMQSQSLEKPSFASSRATSMFLSCFGLGGTPRKGNKGKSKAKGYIK >OMO73828 pep supercontig:CCACVL1_1.0:contig11178:11953:13130:1 gene:CCACVL1_17114 transcript:OMO73828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLSARIVKSIVYKLNSATDSQELSCCSDKALQDELQTAETDGFAEMKKGLTRFGDSPCCIFEDDSLPSKHCRPSPASDPGNVEEKETLTLTDKTWQKTCSQEKHLNLMSLLNKLSSEEVHNIITRQETLTTKARGNLIFTTSPWKSFGKSLIERYSLIGFKEAKEIVEPCSPQRQRNKDLVNQRKPLLNLAKKSIINNDMKKVRNKYNYIHWFIAAENLGNLMTAQQTYSCRKISRESSMDFSNTVEEWNYSQKLQRKISFELGDTIMDDIVEEMIDLLWQYAGATQL >OMO73832 pep supercontig:CCACVL1_1.0:contig11178:41682:43178:1 gene:CCACVL1_17119 transcript:OMO73832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRVRNYETRETLKIQVPSPSSFFQLQGTLSLTLPAPHPSPSSLRFSLNAKDLLQAPSPDATLQSLGVAPGDLIYFSLNPSAFSLSPPIQDPMVEESNQLQPESSTNQDTQVLQSSTNQDTQVLQSSQLQEPMSKEPQFSLEAEEIEEAESMDIDALAVSERWSEPYFLRKVLKEGLGDDRNMHNLMAIAVHAVLLESGFVGFDPVSKLQIDRFHLPDEWPSPVPICYSLPELLRHGSNLTDYVVLKFQTLGHFFQGYGCLVGGGSGLHRLSLDEHRFAPTLDLVWSNCDVNDTRNRDGTFKSYSENVVFEFWKIVKDRLGLPLLIDLCDRTGLALPACLMRLPIELKLRIVESLPGADIARMECVCKEMRNLASNNDLWMRKCEEEFPNWKGTSAKMIHWKSVYQRCWENRKKRKIVCRRWRGPSRFFDPVPFPVPHLPYGLPPFTIGGDYDLLPGHVGDPSANVRHVRLVPGPIPRHQLVAGRLRCNFGERQNDA >OMO73827 pep supercontig:CCACVL1_1.0:contig11178:2283:10341:-1 gene:CCACVL1_17113 transcript:OMO73827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLPSFGRPHQLVHFNGGRYASTYANATDSLHFIGENETVVELLKEETREDLRQIVNLAPETRLMKSPPPG >OMO73829 pep supercontig:CCACVL1_1.0:contig11178:13906:25475:-1 gene:CCACVL1_17115 transcript:OMO73829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEVRVCEMCMHRMENSVGFVHFNYETRSPYCLKQMGKGIKYLYRIEAHFEGFYMFLFFFEAHQIAEMANNPQFAGVQPHPPPLVGSMDPPRNFPPPMSGQFRPVVPAQQPQQFLPVAPQQFQPVARGVAVMNAGFPPQTQQPQFPQAMQHLPARPGQPGHIPPAPPAIPLPTAQPNQHVSPGASSLPQPNIQTPNNYMPGVPTSHLSSSYSFAPSSYGQAPVSHNVMAQYQPMPQLQPPSLPVGGQVGSQVNAVQQTVEQSSVSTATILAPSIQPKPNEEASTDWIEHTSANGRRYYYNKKTRQSSWEKPLELMTPIERADASTNWKEFTSPDGRKYYHNKVTNQSTWSIPEELKLAREQVEMASSKGLQTEVFARAPPPAPPVVKAVSSADTSTILTQGAASSPVPVSPVIDTNVKTVSVSESASPVAAPSSITNVDVVQTASDTITPSADVAESPEVSITVVNTETVKDVVVSEKISNVLEEKAIDQETATYASKQEAKNAFKALLESANVGSDWTWDQAMRVIINDKRYGSLRTLGERKQAFNEYLGQKKKQEAEERRIKQKKAREEYRKMLEECSEVTSSTRWSKAVTMFEDDDRYKAVEREKDRKDIFENYVDELRKKDRAKAQEQRKQNILEYRQFLESCDFIKANSQWRKVQDRLEADERCSRLEKIERLEIFQEYIRDLEKEEEEQRKIQKEELRKAERKNRDEFRKLMEGHVSAGTLTAKTHWRDYHLMVKDLPPYMAVASNTSGSTAKDLFEDVAEELQKQYHDDKVRVKDAVKLRKISLSSTWTLEDLRSAIVEDISSPPISDVNLKLIFEELLERVKEKEEKEAKKRKRFADDFFDLLRSIKEITSSSTWEDCKYLFESSQEFSSIGDESICKGIYEDYITQLTEETKEKERRRKEEKAKKEKDREEKERRKAKHGREKERGHEREKERGHEREKEKGHEREKEEHLREGPVEVHVEMDDHNENENKRSGKDDDKKHRKRHQSSVEILNETEKDRTKNSHRHSSDRKKSKKHTSTPESDSENRHKRHKRDRDHRNGSRRNVDPEELEDGEFGEKESR >OMO73833 pep supercontig:CCACVL1_1.0:contig11178:44462:46601:1 gene:CCACVL1_17120 transcript:OMO73833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTVTLSFPFTYRSPPSLFFANPKIVLLKSQSLQPLLASRRIPNFPQGTDNLVDGPRNWSRSITSEFDDDQDDEEEDEEEDRSLDLLVRFVENVFRKLSKRARKAVRAVLPVSIPSKLVGFSVNGVLVLAFLWVLKAFLEVVCTLGSVVFGSILLIRGIWTGVTYLQESRDQRINEFVDDQSAWNGAQPVT >OMO73831 pep supercontig:CCACVL1_1.0:contig11178:33967:34974:1 gene:CCACVL1_17117 transcript:OMO73831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEESNQVQPESSTNQETPVHELSQLQEPMSKEPQFSKKAEEIEETESMDIDALAVIERWSKPYFLRKVLKEGLGDDRNMHNLIAIAVHAVLLESGFVGFDPVSKLQIYRFPDEWHSPVTIYYSLPELLRHDNKFGSNLTDYLVIEFRTLGKFVHVYGSLGSGLHILSLDEHRFAPTLDLVWANCDENDIGNKSLYSEDVLSEFWKIVKDGIALPLLIDLCDRTGLTLPACFDAPTIELKIKIAESSIGVDIARMECVCKEIRNLASNNDLWERKCEVEFPNYLNIAKSHWKSVYQRCWTWENKEPKFRSFRGGIPHFPIMNYPPFLPRISGGGL >OMO73830 pep supercontig:CCACVL1_1.0:contig11178:26694:29982:1 gene:CCACVL1_17116 transcript:OMO73830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCFLTSHSSLSSCNLYTKHKKKHDIKNVSSSFSTIVSSFDDKDSLNKKTHLSFSLYSNSNLKNPLDFSVKGHYLPFSSRLLLKPVKSSVNDDQGSESTSSNSSVAVASEERSNESDHHTPTSVHQGVDLSRENEDVDKEKENQQEVDWKTDEEFKKFMGNPSIEAAIKLEKKRADRKLKELDRESSGNPIVGLFNKLVRDNVAREKELLEQAEETFKALDLNKLRSCFGFDTFFATDVRRFGDGGIFIGNLRRPIEEVIPILEKKLSDAAGREVVVWFMEEKTNDITKQACVVQPKAEIDLQFESTKLSTPWGYVSAIALCVATFGTIALMSGFFLKPGATFDDYLADVVPLFGGFVSILGVSEIATRVTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLTSLVLAAAAFVSDGSFNGGDNALYIRPQFFYNNPLLSFIQYVIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGMVVTSLNLLPCGRLEGGRIAQAMFGRNTATLLSFATSLLLGIGGLSGSVLCLAWGLFATFFRGGEEMPAKDEITPLGDDRFAWGVVLGLICFLTLFPNGGGTFSNPFFSDPYFRGSL >OMP11605 pep supercontig:CCACVL1_1.0:contig01110:290:1575:1 gene:CCACVL1_00407 transcript:OMP11605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein MSEQMKEMREMLAQILKAKGPMEALPTVNDQETPEYPPGFAPNQGHGSSTMAGQTFNIPTPPQNTYPFQPQYASQGQYSNPPQAADPTFAPRPPMDTSYLFKQGTSAVRPINVPDTEDARKMVMEENQKLRSMMEEIFKSIEGGKGYFGTMDMTKIALVSDLVIPPKFKVPECSTGPNARESTSPPSTWYNQLDGTRIHSWDGLTNAFVAQYQYLSDLAPNRGTLKNIMRKSGEIFTEYAQRFRDKTSLVRPTMGESEINLAILDNLPPTYYERLFPVAAESYARLIVVGELLEAGMKTGKIGNAEDTGAKRGQSSYAKKKDSEVHAVEYTNFRPRNQGQYQHSHPPQNYGYAHPYRYYPPPASPYYYPHVNARRNPIQAKATKPSSYSANHSSRKLSHCS >OMO49855 pep supercontig:CCACVL1_1.0:contig16378:441:524:1 gene:CCACVL1_30781 transcript:OMO49855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKEKQQDDDDFTRRVEEELKQKERMWKK >OMP08740 pep supercontig:CCACVL1_1.0:contig03623:959:1039:-1 gene:CCACVL1_01096 transcript:OMP08740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTFLTKASSRRFLAERKSKDENEHLLL >OMO87869 pep supercontig:CCACVL1_1.0:contig09162:173:1263:1 gene:CCACVL1_08710 transcript:OMO87869 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MAAYARLSNLELILGVANQDIPVLASDFSAAANWVQQNVIPYTPGVYIRYIAVGNEIEPTDPIAPFVLPAMQNIYNALESIPPSQALQYQINVSTAIASSLLGSSFPPSAGAFSESANSYIVPIVKFLAEKRAPLLANIYPYFAYIGDTVNIDINYALFTSPGVVVQDGPFGYQNMFDGMLDACYSALEKAGAPDVEVVVSETGWPSAGGIAATVEYASAYNQNLISHVETSGSPKRAAWPIQTYLFAMFDENLKGPAETERHFGLFTPNKLPKYPITFL >OMO87871 pep supercontig:CCACVL1_1.0:contig09162:5484:9613:1 gene:CCACVL1_08712 transcript:OMO87871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDGERKLIFSGAIHYPRSTAELTTYSDNMTGKRFCFLSNVNETQDANIDLQQDGKYIVPAWSVSVLGGCNKEIYNTAKVRTQTTIMVKKQIDDDGDEIENPIQLSWMWTFEAMKDTLQGKGKFKAGQLLEQKRATFDHSDYLWYMTSFDNNGSISSENLTLHVSTSGQVLHAYVNGKLIGYQQGYVFEYKSPISVVPGRNNITLLSVTVGLQNYGAFYEKGSEGVTGPVKLTDNDKINIDLSSNIWNYKTTFRAPPGTEPVVVDLLGMGKGHAWVNRNSIGRFWPSEIADKNGCDVECDYRGLYVQDSTKCLTNCGNPSQRWYHIPRSFLTPENNILILFEENGGDPSQVSFQTVTLGSICAKANEGDTLELSCQSGKIISEIEFASFGDPKGTCGRILQQGSCHAAKSLSVAEQACVGKESCSIAVSTATFGSIDCGFITKRLAVQALCEDEILFYKRLKAKKAAEMKISRST >OMO87870 pep supercontig:CCACVL1_1.0:contig09162:3304:4370:-1 gene:CCACVL1_08711 transcript:OMO87870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPATEEIDSPPKSVIFNKRKTNASNSKSASARFDFNLQSSPPNHKTLATISDLKEFSSSRLEDIKRSLIDRSHSEILKDLEASQSRLHKRFKMETQACQQVMVEAEKEYKKMSGRIDDCQEAMKATYAEFIADAQATASRVCKTSIPELSKSFEKRLDNIRSQFGLPSA >OMO60783 pep supercontig:CCACVL1_1.0:contig13664:2091:5165:-1 gene:CCACVL1_23878 transcript:OMO60783 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MKNIGNCPPTFLFLGVLFLFCISDVRSDASDHRYKEGDAVPLYANKVGPFHNPSETYRYFDLPFCSPDHVKEKKEALGEVLNGDRLVSAPYKLNFRDEKDSSVVCKRKLSKDDVAIFRKAVDKDYYFQMYYDDLPIWGFIGKVDKEGKSDPSEYKYFLYKHIQFDVLYNKDRVIEISARMDPHSLVDLTEDKEVDAEFMYTVKWKETETPFEKRMEKYSMSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYGQDEEAADDQEETGWKYIHGDVFRFPKYKSLFAAALGSGTQLFTLTVFIFMLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYCQLEGKNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIVLIWTLVTSPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPPLPWYRSAIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYAYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGMVGFRASLLFVRHIYRSIKCE >OMO68602 pep supercontig:CCACVL1_1.0:contig12204:1823:2233:-1 gene:CCACVL1_19888 transcript:OMO68602 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OMO68603 pep supercontig:CCACVL1_1.0:contig12204:3004:3424:-1 gene:CCACVL1_19889 transcript:OMO68603 gene_biotype:protein_coding transcript_biotype:protein_coding description:antigen-like protein LLLCLSLLFLNGGKSFPIRLELRPCRMETSDCSRVSWAGDEHTDECVVCRRGSNSPDRVWDICEGFTGGGSEAEAEEEPPKQVSNFHQAGLG >OMP10232 pep supercontig:CCACVL1_1.0:contig02688:679:744:1 gene:CCACVL1_01007 transcript:OMP10232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHISIDSTLLETKFRLDPYK >OMO63362 pep supercontig:CCACVL1_1.0:contig12987:12637:17497:1 gene:CCACVL1_22409 transcript:OMO63362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLSPLPPFTAPASLSFSTSVRRFHFHRHRHRHRHQPQRTNGMRLLRCSAAMSRYGQVEYEYDPELRVVLELATDAELYELQRIIFGPSYFSPLLKSIINRDDVEGVMIEENFEEREDLIRALESRFLFLAADARSTLRGWRPSYRNVLLSVRKKLNVPCSSKLLTEDLEAEIFLHLLREYSSEESGTFPGLWENNDISDIQNSLELGLSQWKVQVLAAAKVGATGFQSMILKGGGMITLAKIYELLTKKLSGKVFLEAANYLIKKEALKKGGQVAAISLESRAALLAARQGFAGAASRYVGLRSMMSLLGPLY >OMO59070 pep supercontig:CCACVL1_1.0:contig14058:37256:37345:1 gene:CCACVL1_25104 transcript:OMO59070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSGDSSVGRALGERQTEGRVFDPRSPQ >OMO59073 pep supercontig:CCACVL1_1.0:contig14058:66393:67988:1 gene:CCACVL1_25109 transcript:OMO59073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRQDLLKTTAYFISPEKPRDLLVLIARTALLICLFTSILLVLYVSFTNRPCPFCRFDSINRNIEPETDFFDGAPTNISHIVFGIGGSAKTWKERRAFSSLWYDVNSTRGYAWLDEEPDKNRTVNGYDSEAGKSLPYRLSGPEWTRFKYSTSRYAVRIARIIYDSFNLKLPNVRWFVMGDDDTVFFTHNLVSVLARYDHREMWYIGGNSESIEQNVMHAYDMAFGGGGFAVSYPLAKKLVKQLDGCLEKYFYFFGSDQRIWACISDIGVPLTKEPGFHQVYKTGDFARQVLFKVDFDE >OMO59066 pep supercontig:CCACVL1_1.0:contig14058:5839:5928:-1 gene:CCACVL1_25099 transcript:OMO59066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVGGKVWGRRVGAALDPRQPRTRVES >OMO59071 pep supercontig:CCACVL1_1.0:contig14058:50175:50237:-1 gene:CCACVL1_25106 transcript:OMO59071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLHAERNSHRPQATALAD >OMO59080 pep supercontig:CCACVL1_1.0:contig14058:111566:111754:-1 gene:CCACVL1_25116 transcript:OMO59080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPILLVAMVTPPTAARLDCVLEGETCDMMRPPYGVPQRFCCESFHCVPTEDFIFGKYLRV >OMO59068 pep supercontig:CCACVL1_1.0:contig14058:29082:29801:-1 gene:CCACVL1_25101 transcript:OMO59068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MRMKRRQFLKSQFQVSMNSLSHESWEEKAFAEDAAIWPPRSYSCSFCRREFRSAQALGGHMNVHRRDRARLKQSLTHPNNEEIVVPHSGNHKNPIASTLSIQETFSSNTISSSVQEQQKGSLLFGSDSNSTAKRSLNNVFSGPKAEAAAAEKSIKLMVGANSDHHVETNLSVGLNSVLAISSCNKRPKINAVSTLPFLVSQETSYSPLQWQVLGLKPAAGSIDDLDLELRLGSVLPKVK >OMO59067 pep supercontig:CCACVL1_1.0:contig14058:14666:18083:-1 gene:CCACVL1_25100 transcript:OMO59067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERAFMDPSLKMRAYPILLFAYITAYYVVHFGKGLSISRGNRAETKMRKFVMEAGWVPKKLDVYIDAPDIIDIRHMRSKGLQPGEELLPKSGPGGEAESSQPVANEEIVTQLVSMGFNQLHCQKAAINTFNAGVEEAMNWLLSHMDDAVSLLPIILRFDNMEFMLQLH >OMO59072 pep supercontig:CCACVL1_1.0:contig14058:57432:57809:-1 gene:CCACVL1_25107 transcript:OMO59072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein MAANLKILVCVVLVLVVIDPLPRATAAACTAVEQIISPCVGRTGLTYQNPMYRPLCCDMVKKLNNITTSYQNCHCLESRMWSFVTSHPKDIKPFFDFLAQNCPDDVQKLPVKFIRDGYIVADCDK >OMO59076 pep supercontig:CCACVL1_1.0:contig14058:77779:77847:1 gene:CCACVL1_25112 transcript:OMO59076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREQGRQQQQQPGAQSQKTVC >OMO59065 pep supercontig:CCACVL1_1.0:contig14058:2652:4248:-1 gene:CCACVL1_25098 transcript:OMO59065 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MAGICCGVVGESEAAAPVEPTSRASRRRRMELRPFKVVADAAVQPPLENSRKRQKLDLGVGLDLFRPASSRDCDNAVQNSETVNIKLRKDHQEANEGVNSNETVKKLGTENSVVEEKECPKFGMTSVCGRRRDMEDAVSIHPSFCKESSRVQISSDIHFFGVFDGHGCSHVAMNCRDRFHEIVKEEIEAYGGETAVDWKRTMEKSFERMDKEVQVWTLDAVENSTCRCELQTPQCDAVGSTAVVAIVTPDKIIVGNCGDSRAVLCRNGVALPLSEDHKPDRPDELERIEEAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPFVIPEPEVTITERNGDEECLILASDGLWDVVTNDTACGVARMCLRAQRPPSPPGSPDSDAAVKGGAAESSDKACWDASILLTKLALARHSADNVSVVVVDLKKNQHL >OMO59075 pep supercontig:CCACVL1_1.0:contig14058:72302:74551:-1 gene:CCACVL1_25111 transcript:OMO59075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLYHFNNVSFSSKKFPLRNPPKYPLCFIKTHSFLNYHAPKVFEEKVEKVSLSLGDSLSKASLLALVSASIFLVDPALAFKGGGPYGAEVTRGQDLTGKDFSGKTLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRSADFSLANVTKANLTNANLEGALATGNTSFKGSNITGADFTDVPLRDDQREYLCKVADGVNPTTGNATRETLLCN >OMO59077 pep supercontig:CCACVL1_1.0:contig14058:79930:80961:1 gene:CCACVL1_25113 transcript:OMO59077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRWWAGNVAMRGVDPITSTPSLHLRNPTEEDHQLALNRLGMRLDHQDFRDSNASPTTNANTSSPNPTTPNQNQDDNEDSRDNNLDDQTTGFETIEPGSSSGGSRRPRGRPPGSKNKAKPPIVITKESPNSLRSHVLEISSGSDIAESIANFAQRRHRGVSVLSGSGVVTNVTLRQPAAPGGVITLHGRFEILSLSGAFLPAPSPPGATGLTVYLAGGQGQVVGGSVVGALVASGPVMVIAATFTNAVYERLPMEDENSGGGGGGGGEGIGVQQQQQQGNNSNNSGGGGGNSGSSQSQGMAHDQQGGGSMPLYNLPPNLLPNGQMPHDVFWGPPPRPPPPSY >OMO59078 pep supercontig:CCACVL1_1.0:contig14058:86125:87025:-1 gene:CCACVL1_25114 transcript:OMO59078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLGSSCGACKFLRRRCTSECVFAPYFCYDEATTHFAAVHKVFGASNVSKLLLHLPIHNRSDAAVTISYEALARIRDPIYGCVAHIYALQQQVANLQEEIELLINQMSNHAVEIPSNGNFVENSYFDGEILQFASLHETMSTVFYQEEQATLPNHPGFVTGNQVLETQLCEEIPPSFILEDQNFLCSDLYQNPPEINYYEGVESGILIDYPCMGNSGTMAIQSWE >OMO59079 pep supercontig:CCACVL1_1.0:contig14058:93126:95793:1 gene:CCACVL1_25115 transcript:OMO59079 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MAAAVPTIGAVNRAPLSLNGSGAGAAVPSTAFFGTSLKKVNARFNKAQSGSFKIVAAANPDEDLDKQTDKDKWRGLAYDVSDDQQDITRGKGMVDTLFQAPMNDGTHYAVMSSYEYLSTGLRQYDLDNNMDGFYIAPAFMDKLVVHVSKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFRKLGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCALFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWSPTREDRIGVCKGIFRADHVADDDVVKLVDTFPGQSIDFFGALRARVYDDEVRKWIGGVGVEMIGKKLVNSKEGPPTFEQPKMTIEKLLEYGNMLVAEQENVKRVQLADKYLSEAALGDANEDAIKRGTFYGKAAQHVDLPVPEGCTDPNAKNFDPTARSDDGSCTYTF >OMO59074 pep supercontig:CCACVL1_1.0:contig14058:70554:71602:1 gene:CCACVL1_25110 transcript:OMO59074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLISFHHIGGLAPIFPSKTQIDSLKTLMEPYRVDPSRILQQSFCYDSKRKWSIAIAWGYTIQIYPWLVNSYELHMPLQTFKTWRSWSNGPFTFNTRPMPADHDPCKWPIIYFLDQIDEVGMGGTRTRYKIAKSEKACMNADYALAMTVNSITVSSKKMAPDYWQKAPHRQCCEIMDKGRIKSGNMQIKIRNCRPSETATTI >OMO59069 pep supercontig:CCACVL1_1.0:contig14058:34103:34419:1 gene:CCACVL1_25102 transcript:OMO59069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRCKSQRLFPLRTQCLLPWKQRINRSCNSEASYRLHQEREVVEEMEEQLCRERGGPEMESLGRELPALAKEEKWNGA >OMO49527 pep supercontig:CCACVL1_1.0:contig16483:4619:11394:-1 gene:CCACVL1_30953 transcript:OMO49527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVSVTSPCVVKAAIPNRFTSAIVKAPTSLGSVKSISKSFGLKCSSNYRTTMSAVYKIKLVGPDGEECEFEAPDDKYILDAAEEAGVDLPYSCRAGACSTCAGKIISGSVDQSDGSFLDEKQMGEGIFKIPWQLVNMVQELDGNSYDYIADPMIQVQDIIFDDDKHKFLTFLEELGYPEIVPPCITSQLCRHGAEECAKALLEGETGYTIDIHDTLNDDFENWTLLHLASYYGHLPIVSLLLRHGAQPNIRSNCLDRTHPSDNMLPLNLTVARIRSLLFEYSPDWNPEEHIFRAIFVLCLPKMKEMREVLSLLLEKTEEDLVEKEIVHYFIEGKVIELATLLIVAPEKVFSPSVYKKFCHTGLEGSMGYRDFIIKRITELEILKLSSVSNGNCKLARKCQHQLASMMRMLQLFEIFESVGDKIHTCLEEQRQNVCSETNLIFDVERENVNQDAAEKVRSIFLEAGFPLKHQDYSLLTMFNRPFEPSYESAVKMKSPTILHGKPESPKQGGLLKSIRSNFALQSKNIGELSCICLKEGKLVELAVLLMVAHEWLIGKSNQYFRVSLSENGTELHRCFMLEMSSAIFEETLSIAKFESSKLVRKCKARREILYSAKLLLKVFEKAGYCIDQYLQSNIYQRRTRNVQVAEDLEFLLKFHGFHCSQQDSNLSDMKCFQDILEQRPEQAGAKKRLVRGDLRPLESLRPLCIVPNRLEKLGNLSKRFPLKDIGTPSCYPVHLFPLVETDEKAYNFLPKKKHVGPMLSGQRTFHVFGSAARSFHTCQILDSSFGFKSSKPKGTETLKTVKPLTADFLFGEQLTMFARAFRRGIKRS >OMO49528 pep supercontig:CCACVL1_1.0:contig16483:26223:26324:1 gene:CCACVL1_30955 transcript:OMO49528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVHANRWQMQIIPKWEMAGFTNCPWPVATQ >OMO49530 pep supercontig:CCACVL1_1.0:contig16483:29471:30133:1 gene:CCACVL1_30957 transcript:OMO49530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MFDPQENHDPDPTYSSTETKSAYMDASMGFWVQESLKTKKVKPLEVETPVLDVEDKNTLKIKTCGYCGKGFQSDRALGGHLRIHGGNHRPKPKSKSVTVKKNKKRAREEHEEKDKFEFGCFGCHESFSSMQLLCQHVRNFHRPNPNGFKPIPEPDRQNKIEEEKKGNQGIDEVVSLWYDQNQNQKQEESSDDLVKHHVSTAGKRRRKVELPVLPIWITTT >OMO49529 pep supercontig:CCACVL1_1.0:contig16483:27100:28736:-1 gene:CCACVL1_30956 transcript:OMO49529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1 MSKLQSEALREAISTIVAQSKEKERKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVEEAEKIGLDYMDVESLKKLNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKAMVKFQLKKVLCMGVAVGNVAMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGPSNRIF >OMO67856 pep supercontig:CCACVL1_1.0:contig12362:9020:10125:-1 gene:CCACVL1_20257 transcript:OMO67856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEFPQKVNPPQPPPFLEILCKSSGKKSRFAAGTKAGFAVSLMNMKLDIGSPLALHIGAVKEGEEPISFGPDAVLVNYGNGWKLQTVTEVDFPGNQHKKNGRRSAGFNADPSCKVAPSS >OMO67858 pep supercontig:CCACVL1_1.0:contig12362:17410:18823:-1 gene:CCACVL1_20259 transcript:OMO67858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLGIPVKLLHEAAGHVVTVELKSGELYRGSMLECEDNWNCQLENITYTAKDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKKLDNKIKGKSSSLGVGRGRAVAMRAKAQAAGRGTTGGRGVVPSVRR >OMO67859 pep supercontig:CCACVL1_1.0:contig12362:21140:25831:-1 gene:CCACVL1_20260 transcript:OMO67859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVDKYRPKTLDQVMVHQEIAQNLKKLVTEQDCPHLLFYGPSGSGKKTLIMALLRQIFGPSAEKVKVENRNWKIDAGSRTIDLELTTLSSTNHVELSPSDVGFQDRYIVQEIIKEMAKNRPIDTKGKKGFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAIRSRCLNIRVNAPSEEQIIKVLEFIGKKENLHLPSGFAARVAEKSNRSLRRAILSFETCRVQQYPFKSNQAIPPMDWEEYISEIATDIMKEQSPKRLFQIRGKVYELLINCIPPEMILKRLLHELLKKLDAELKHEVCHWAAYYPAKEASDSRPQTKFMLRIAADLENQTNLQPYDSCDDLDFS >OMO67857 pep supercontig:CCACVL1_1.0:contig12362:11235:12993:-1 gene:CCACVL1_20258 transcript:OMO67857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTAGVSDTVIAIRDKLRGKIGQTKVKRYWPGKAPEWGDDADEDGDIRVARATALEKAFPAHDDSDVVRKDDRRLRRLAESRVDNRDEIRADHRRIRQAEIVSTEEEENRRNEGMEVEEEDEDALEEKRRRIRERMLQKQQEEPALLEEEEEEEEEEEEEEESELETDSDEDNVGIPMVKPVYVPKSERDTIAERERLEAEERALEEAEKRKLEHRKVETRQIVVEKIREAEEIQKNMELQANIDDVDTDDEVNEAEEYEAWKVREIARIKRDREEREAMIKQKEEIEKVRNMTEEERREYERKFPKPAPPPKQKWKFMQKYYHKGAFFQAPADDPAATVGADSIYQRDFSAPTGEDKLSKTNLPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDPLRAKYNGKMAALNTPIEKPKGSKKLKDWESK >OMO67855 pep supercontig:CCACVL1_1.0:contig12362:3139:8116:1 gene:CCACVL1_20256 transcript:OMO67855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MGVLVDSKNEGSGSSWGGLRSLIRRKQVDSANSMRSGHHQLAKELTVPHLIAIGVGSTIGAGVYILVGTVAREHSGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGAAVARGISPNLALLFGGENSLPIFLARQHIPGLDIVVDPCAALLVSSVTGLLCVGIKESTVVQGIVTTANVCAMIFVIVAGSYLGYKTGWPGYELPTGYFPFGVDGMLAGSATVFFSYIGFDSVASTAEEVKNPQRDLPLGIATALSICCGLYMLVSIVIVGLVPYYAMDPDTPISSAFSSHGMQWAAYIITVGAVTALCSTLMGSILPQPRILMAMARDGLLPSFFSDVNIHSQVPVKSTLATGLVAATLSFFMDVSELAGMVSVGTLLAFTMVAISVLILRYVPPDEVPLPSSLQESIDSVTLGYGGETLEISSSGDSSKPLLINKNVAVDCPIIEKQEAEASTNLSEENRRKIAGWTIMLTCVGAFSLTFAASNLWLPSLLRFTLCGVGGILLLSGLAMLTFIDQDDARHNFGHTGGFICPFVPLLPIACILINLYLLINLGAATWARVSIWLLLGVFVYVFYGRTHSSLLDAVYVPAAHADEIYRSSGDALA >OMO67860 pep supercontig:CCACVL1_1.0:contig12362:34704:47901:-1 gene:CCACVL1_20261 transcript:OMO67860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANLSGVRSTPCYYHSSHFKFSNRCKPFISASCPRLLSSKKSSKFCSLSLLLNGTGGNRFAVRALLEPEVSESVASATVDGDGGSSLLQPVSVKIPFGDREILVETGHIGRQASGSVMATDGETIVYTSLCLSDVASEPSDFFPLSVNYQERFSAAGRTSGGFFKREGRTKDHEVLICRLIDRPLRPTMPKGFYHETQLLSWVLSYDGLHSPDALAVTAAGIAVALSELPNSKAIAGVRVGLLGEKFVVNPTTEEMENSTLDLFLAGTDSAILMIEGYCEFLPEEKLLEAVQVGQDAVRAICTSIDALVEKCGKPKMFDAIKLPPPELYRRVEKIAGAELHEVLQIRSKIPRRKAISLLEEKVINKLTEKGYISTQDASGTTETIQDLLEDEDEDEEIVVDGEVDEGDVHIKPKSRKRTPFFTEVDVKLVFKEVSSKILRRRIVEGGKRSDGRSPEGIRPITSRCGLLPRAHGSALFTRGETQSLAVVTLGDRQMAQRVDNLANLEEFKRFYLQYSFPPSSVGEVGRMGAPSRREIGHGTLAERALEPILPTEDKFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPLKCSIAGIAMGLVLDTNEFGGDGTPLILSDITGAEDASGDMDFKVAGNEDGITAFQMDIKVVGITLPVMKEALLQARDGRRRILAEMLKCSPPPAKRLSQYAPIIHVMKVAPEKVNAIIGSGGKKVKSIIEETGVYSIDTQDDGIVKITAKDFSSLEKSKSIISNLTMVPTVGDIYRDCEIKSIVPFGVFVEIAPGREGLCHISELTSDWLAKAEDAFKVGDRVDVKLIEVNGKGQLRLSRRALLPVPETKPEEPSSKQLTDEPAKDIADSSEASEESTTKKNVNVPKEDGLAEEKLEQPKSKSSATKLASSSRSNSAEDALLPRKKVVKRTRKTSSKAVSGVSGKDGE >OMO80668 pep supercontig:CCACVL1_1.0:contig10292:13322:15426:1 gene:CCACVL1_12826 transcript:OMO80668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRDKVSQRSISSSTMNVRDRHRYGRTSRRFQNVNHLSSNRLRTYQNKNQIVGKPPRASVQRHASRKPPKDPMIGDQKNPVPHHSPIVTWRAKSSEVSNHQKQALSATKAANLETSPVQDDFLDQELQFKKVIDEVDLEILERSFIMTLKPQIDDCTSSKRSLKVARIQILTSQLSEIPSVITGKKFNGGASQGNSVSSDVMFKSNGGNGSLETDVVPGSLNSIENAVNVTDPGVYLSPFSNSPLEDGPILGLRSDCGPKVCKYISIRNRFGRRQSWANYKVCAKKRRKLVRRGVTKLILGKSAIVDLDSDISISDADIRSRNVFLQKEAEETFEVSQALGFIFKKGEGRLLIIWPVSTRIDLFLLVFLWVIFSDENSILECQRSWEEGKKESSSIFGEPILGGDFNAVLSAEERSSSNAATHDQQYFTDFTNEM >OMO57617 pep supercontig:CCACVL1_1.0:contig14350:6957:10661:1 gene:CCACVL1_25731 transcript:OMO57617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSKSKLSLFWIFLSHFLFQLSWTIATPRKEIGFPERRTCRTTVQGRYLLSDDNGYVCEALSLDPQSHCCPERGDKFSCHGCNVLSQCCNSYEFCVSCCLHPAQTQKEQVLKLKVAKPATAGTYVSVFDYCAGRCRHNSESVVHENAYVSDFHHCFSLPSNSSGSTVTPVEARLNGINVIIGRQGESCDQVCKSNGQSCVLNKLLVLNQCDIIQKYMSCKGACLASVGADQPAEVVDDAPKHLNPGACLYTRTQSILSCDGSHRHTRRLCPCA >OMO57621 pep supercontig:CCACVL1_1.0:contig14350:38789:40987:-1 gene:CCACVL1_25735 transcript:OMO57621 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II subunit A MKYRYAMVCSSNQNRSMEAHSILKKQGFDVCSYGTGTHVKLPGPSLREPNVYDFGTPYKYMFEELRRKDPELYKRNGILPMLKRNLSVKLAPQRWQDNAPDGSFDIVLTFEEKVFDMVIEDLHNRDQVLLKSVLVINLEVKDNHEEAAVGGRLALDLCEQIETVESWEDSIDDVIASFENKHRRKLLYSISFY >OMO57619 pep supercontig:CCACVL1_1.0:contig14350:16944:17652:-1 gene:CCACVL1_25733 transcript:OMO57619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAEADEYAAFVKKMRRTVYLDNLSPHVTEPVVRTALDQYGTVKSVQFIPNYFEPNNLPRCALVEMEKEKQAEVVVSTLSHYPFMMSGMPRPVRARAAEAEMFSDRPRKPNRRNILFRWVPPTDPDFEVANKLKRLAKRHADETAVMLKHQMDKERELAKQQSETLKANYKKYEGIDSVMADGTARRLARYYGMRVSDDGGPSTFH >OMO57615 pep supercontig:CCACVL1_1.0:contig14350:3803:4987:-1 gene:CCACVL1_25729 transcript:OMO57615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSRFAVAFSMLVMLSSSIESNLAARYLTDKTPAPAPAPAPSLVSLASLMQPAMAPKASSSDTIPSSTESAMVPSPSWMPPAVSPLSNTQPSSSTNNPALAQPVMAPVASTHPSSPNISPSAIVQPSLPSDKPSLTNSTSGLTQQGMAPMASSQPSSPDQMPSLTLPATAPSTTTQPALPLPMNNPSFSNSTSNLTQPGIMPPMASSQPSLSNSTPSLTLPAISPSGTTQPSSLPTNGPPLSNSTSGLTQHGMPPMTSPDKIPNLTLPANSPSNTSQPSLPANNPSVSNSTPSLTHLTISPSSSTHQVSSQSPFNISPKPSAQNQSAFAPSPTPHPSPSDTTTASKQPAMAPPLFGLNPIPTNPRIATTTLSLNPTNISFPFLSPPSAKTRP >OMO57620 pep supercontig:CCACVL1_1.0:contig14350:18757:32670:1 gene:CCACVL1_25734 transcript:OMO57620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKKKKKGGGGRRSKGRASLKDHNSHDGEDNELLSEEITALCAIFQEDCKVVSGSPPQIIIKLRPYSKDMGYEDLDVSALLLVRCLPGYPYKCPKLQITPEKGLRKSEADNLLSLLNDQANSNAREGRVMIFNLVEAAQEFLSEIVPAVQSHESLLHSTTGGSGQVLQKDVGISSKTSCSSRGPFVHGFIDLFSGSGESWNWPMDMDKNRGIVSAVESQVSDGLKLAYNVQGKKLEKNPRPLAVEEKKQVPSPLPVAKLDTLEEESDDDNKSESTADSSNLLMDDMVRNGMQGEKETVFEETEDDDDDELESEPWDSLSSTSLNDDQASEAIEKDLMMIHLLRLACASKGPLSDALPQMITELYNLGMLSERARDLAIKPSTTFNKTFDHAFHQHMVSSKVSEFWKPASDLGGPTASLPSSRYLNDFEELQSLGQGGFGHVVLCKNKLDGRQYAVKKIRLKDKNLPDRILREVATLSRLQHQHVVRYYQAWLETGVPSSSVDIAWGSETASGSTFSKGTGFTDVSAQENKLESTFLYIQMEYCPRTLRQVFESYNHFDKELAWHLFRQIVEGLAHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLRFEQVEQDGGFPTDTAGVSVDGTGQVGTYFYTAPEIEQGWPKIDEKVDMYSLGVVFFELWHPFGTAMERHIVLSDLKLKGELPPPWVAEFAEQASLLRCLMSQSPSDRPSATELLQNAFPPRMEFELLDNILRTMKTSEDTSVYDKVVNAIFDEEMSGMKNHHQNAGRLRMVQHDTSSVQFADFDTELRDYVAEVSREVFKQHCAKHLEIVPMHLLDDCPHFHRNTVKLLTHGGDMLELCHELRLPFVNWVVANQKFSFKRYEISSVYRKAIGHSPPNRYLQGDFDIIGGASSLTEAEVLKVTMDILARFFNPELCDIHLNHGDLLEAIWSWAGISAEHRQKVAELLSMMASLRPQSSERKLKWVVIRRQLLQELNLEEATVNRLQTVGLRFCGAADQALPRLRGALPADKPTRKALDELSELFSYLRVWSIEKNVYIDALMPPTENYHRDLFFQIYIGKENNPGSLTEGALLAIGGRYDYLLHQMWDQEYKTNPPGAVGASLALETIIQHSPVDYKPARNEATSSILVCSRGGGGLLIERMELVAELWNENIKAELVPILDPSLTEQYEYASEHDIKCLVIITDMGVSQTGFVKVRHLELKKEKEVQREDLVRFLLNAMGTQFRNPSVWS >OMO57616 pep supercontig:CCACVL1_1.0:contig14350:5606:6630:-1 gene:CCACVL1_25730 transcript:OMO57616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQHVLRLVLSCRNITAQVTQPGTSHIVAMASSTEQEFLIQYRSKLDQIPRSRSFWDAKVASRVGEKLGFRLKEIGVSNIAIDVHEEISRPFHRRRLVLPLFDSLRRVGVEIDGAESLTEIGPRHQTRVF >OMO57618 pep supercontig:CCACVL1_1.0:contig14350:12540:13325:-1 gene:CCACVL1_25732 transcript:OMO57618 gene_biotype:protein_coding transcript_biotype:protein_coding description:GUN4-like protein MSTNSLRSLYHHHHHHSFRRRLSFSESTPPPSSLFLKPSSSTTATTTTTFSLSSSAATSSSTSSSTSSTTSQPISFDTLQQHLAAQNFRQADEETRRLLIVLAGEAAQKRGYVFFSEVQFISEADLKAIDDLWKQYSNNKFGYSVQKRLWEKVNKDFTKFFIRAGWMKKLETEVEQYNYRAFPNEFTWELNDETPEGHLPLTNALRGTQLLNSILTHPAFEGQEEADQDEAQFGAENGAAKTLKDGPKSLSNRFFKPDYSF >OMO60326 pep supercontig:CCACVL1_1.0:contig13738:1018:5633:-1 gene:CCACVL1_24239 transcript:OMO60326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLAGVSPEPLSASGRSSEKLSLPSLQSKMKADPEGYETELHLIHSQFNSALELFQQQAALNFSSITGVGSDPTVAKDLSDRAMFLAHVTPFYPKQLAQFPSDLAAFLKSSAKTLPSGLRFHVTQALILLVNRKILDIKDILSLFMELQTLGNRNLRKLAFSHVVHSIRRMNKNHKNEAKNRPLQNILFALLQQEDEARAKRSLITLCELHRRKVWFDDRTANAICMACFHSSSRIMIAALSFLLDFEKIENDDEDSDASSSEDETTQSPHVVINKETVYKAHHKGTAASKKKKKAKLQRAIRSMKRKQRLSSANTSSSYYSPLNHLKDAQGFAEKLFSRLQTCNERFEVKMMMLKVIARTVGLHRLILLNFYPFLQRYVQPHQKDITNLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVIREICLRMPLLMNEDLLQDLALYKKSHEKAVSAAARSLIALFREVCPSLLVKKDRGRPVDPKARPRAYGEVNVLSNVPDIELLEDADEIDGSGDDEDSDEAASVSSDDGDENDSVGDDEEIQLNTEDDGSEDEDAVDEDDENESINEDESDIGDDEEEDEEEDNDLSEEVEAEEDDAPEELGGSSRPGDGEDIGNEAKMSKAGKRKLSDFDGQLIAADTSLRALKRLAGAKTSHASSDTTDGILSDEHFKRIKELKAKKEATTALARQGFKVPSSDQLSVKRVDPSKLEAHVRLRLSKEERLALVKAGREDRGKYQARTAIKQKKTGGLSNRQKEHKKNMPFAAKKAKAQRSRQEKSKKKQRSGKQFRGKKAWKQ >OMO60330 pep supercontig:CCACVL1_1.0:contig13738:32226:32600:-1 gene:CCACVL1_24243 transcript:OMO60330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLWSKLVEAQAAKKKRLMKVSCRRKVRNSWSCRRRPRSIMMKRRPRQAADEEGSRRPMMNPIEKKIKTLKKLIPNNDDELEPMGLDGLFRETADYILSLQMRVKVMQIMVKVLTGSESDDE >OMO60328 pep supercontig:CCACVL1_1.0:contig13738:18932:21610:1 gene:CCACVL1_24241 transcript:OMO60328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETGPVRFPGNLDPTAQEFWPAQNPVCQPQIPLFRPPQPYYPYVAHPTVPFCDGGVVQFHPPLPAPAPLPLPTPYVTASMAVAPQPPLPPPTAAATRAIVLTLVPCDVSESKVRKELEVFGEVRGVQMERVGEGIVTVHFYDLRHAEWALKEIREQHMQQQTWVRNQFVAAAAAGFEPGEINACAPLCPSARGLIAGRAVWAHFIIPASNAVPDGNNQGTIVVFNLDPGVSTSKLKEIFQAFGLVKELRETPLKKHQKFVEFYDVRDAAKALREMNGKEINGRQVVIEFSRPGGFNRKFFNANFNAFASACTNNISFTTNNNYNRHTRNSKYSSSSPPPPQPPTPPSLARKFPGRFPSNVPPRSFLSQSQSPTKKSTSPNSSKGNPNENSNNNFKGSKVGGGGGGGAKKNLKKTQNNQQAIVSCSNGSKQQQQQSSKSRPWKGRQSKKFDARFLISEEAMVESDCKDSRTTVMIKNIPNKYSQKLLLNMLDNHCIHCNEQIADGEEDQPLSSYDFVYLPIDFNNKCNVGYGFVNMTSPQATWRLYKAFHHQHWEVFNSRKICEVTYARVQGLEALKEHFRNSKFPCELDHYLPVVFSPPRDGKHLTEPLPIVGQKQPQPMIVLSDPSAEEDDDHSVDEGSKGSANSAESEEENKSNDTSDFNYCDSDDQLHDETPTCNGGEVCHD >OMO60329 pep supercontig:CCACVL1_1.0:contig13738:28702:30690:-1 gene:CCACVL1_24242 transcript:OMO60329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMAEEQIDFGDEEYGGPQKTQYQGSGAIPALADEEMMGEDDEYDDLYNDVNVGDGFLQLQRSEAPPQPGGMGSTGLHAQRNEAPERASEVGGSQGLNIPGVSVQGKYPNVGARQEGHPAVNRPEMGSGTYPSGSTLSQKGSVMEATHDPQVKNMGFQGVSSSSHKVGIDPSGVPQKIVNNPAQSLNSGTGGPQGAPLVPPNQMGMNVNNPMMNENQVRQPIENGPTMLFVGELHWWTTDAELEGVLSQYGRVKEIKFFDERASGKSKGYCQVEFFDASSAAACKEGMNGYMFNGRACVVAFASPQTLKQMGASYMEKNQGQPQAQNQGRRPNEGLGRGGNMNYQSGETGRNFGRGGWGRGGQGVVNQGRGGGAMRGRGGVGMKNMAGNSAGVGNGAGAFGQGPAGPAFGGPAGGMMHPQGMMGAGFDPTFMGRGGGYGGFPGPGFPGMLPSFPAVNAMGLAGVAPHVNPAFFGRGMAPNGMGMMGSGGMDGPHAGMWTDPNMGGWGGDEHGHRTRESSYGGEDGASEYGYGDANHEKGRSIGASREKERVSERELSGSSDRRHRDEKERDWSGNSDRRHRDEERDWDRSEREHRDHRYREEKDSYREHRHRERDLDYDDDRHRGQSSSRSRSRSHAVPEEERRSRSRDVDYGKKRRLPSE >OMO60327 pep supercontig:CCACVL1_1.0:contig13738:7022:10633:1 gene:CCACVL1_24240 transcript:OMO60327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase beta subunit-like PLP-dependent enzymes superfamily MSKLLDRRWRLPSPDTSIHQITVSKQGQNRVGLFTNVSFLNNNNQPHLGNKMSEDNQGLSFYILRDDLLHPFVNGNKARKLDGLLPLIEDHDVTDVVTCGGCQSAHAAAVAVSCAERGLKSHLLLRGEQPAILSGYNLISTIYGNVIYVPRSFYADREKILHTHASMIAGNSGNIVYCNDLIDVSTTTQTFESSKFVQIDAHGGTKSHSTKVAIVNEGAGDAVALLGLFRLVDYLSQDHLLGKKRSFNFVVDSGTGTTAIGLSLGALCLGLPWKVTAVMLADTIDGYREQERRLILEFEKQFGFLLDTNKLNVVDNGIVHWVDRCRPRKFGNILEGEIEACQQIAQQTGIPVDPVYTLAAWETATEITNANRDANVVMLHTGGTLGMFGLAQSYKVSKALWQKGSNVEVFVKTCAEANHGGLPEEFNAVGLGASKTQKYSAFSFLSFSESFLRL >OMO71703 pep supercontig:CCACVL1_1.0:contig11576:6350:9601:-1 gene:CCACVL1_18096 transcript:OMO71703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDLDSSSDQKALLSFKHQLIDPRNALSGWTSNSSHCNWYGVLCSNGSRVESLQLSGLGLAGSLHPSLSNLTFLHTLNFSHNLFHGQFQLEFNRLSLLQHIDLRNNSINGTVPALLSTCHNLETLRFNGNRFSGHLPPELGNLQKLTRLEISINNLTGSLPSSYGNLSSLTNLRFARNKLSGEIPSEFGMLRNLQHIQLSDNNLSGQIPSSIFNVTSLVYISLTLNNLSGNLPNDMGQALPNLMELHLATNRFDGIIPVSLSNASNIEALDLSRNGFHGPVPLLGNMKKLLRVDLGHNFLSSTTARNSELIDSLSSCKQLEILWINSNRLSGELPSVANLSGNMQHFCFAGNFLTGPLPQGMDKFQNLISLSMETNSFTGEIPKSLATLKNLQSFLVYQNMLSGEIPEIFADFTRVFDVVMGNNQFSGRIPTSLGGCQKLETLDLSWNRLNGSIPKEIFVLSGLKYLILFQNDLWGPLPDEVGHLKELEVIDVSCNKLSGSLTSSISGCSKLLHLNMSENNISGQIPGSLDNLMLLEVLDLSSNNLSGPIPQDLEKLEELQLLNLSFNNLEGEVPTGNFFLNTSAFSIQGNHALCGSDEETARSLTLPQCKTSSGKSNHLLIILISSVGCVIIMCFISCFVWAFISKRKKKMGKGSNFSLPLKGLPPMISYSDIRHATSNFADENLIGKGGFGSVYKGIFNMSETASSNNSTTLAVKVIDLQQSKAIQSFLAECEALRNVRHRNLVKIITSCSSVDHKGDQFKALIMEFMPNGNLDKWLYLEDEESGVYLTLLQRLNIAIDIASAIDYLHNDCEPAVVHCDLKPANVLLDEGMAAHLGDFGLARFLSPNPSQGDSSTVAVKGSFGYIAPEYGLSSKASTSGDVYSFGILLLEMFIAKKPTDEMFQEGLSLNKFASAADENQISEKVDPKLFKNNLNTNSNIDSSSRSSSGSINNDIDHRATAKYEGCVADLIRVGLSCAAQLPKDRLSMREALAKLHEIKNSAINPLDSNNSDQCGTIVN >OMO68115 pep supercontig:CCACVL1_1.0:contig12281:38790:50755:1 gene:CCACVL1_20077 transcript:OMO68115 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I3, Kunitz legume MKMKTARVSLLLFLSIAILLGLANASDKPEPVLDTDGDEVRTGVEYFVVSAIWGAGGGGLAIGRSSKQPCPEIVVQNGRDIDNGIPVIFYNAYSSDGVVRLDTDVNIEFLPIRDRLCLTSTVWKLDNYDESMGKWWVTTDGDIGNPGPSTLKNWFKIDKFTVIGYQFSFCPSSMKHNQDHRTSNGRQTRAAHSLTFNTEFKQFSLNQCTIHLNKGRGTLKDRRQRVKFTAEIKRNYKAHKKGKQCVYESYKIQRHQTWNFTSKLIKWNKELRGNGKYALAVVSC >OMO68113 pep supercontig:CCACVL1_1.0:contig12281:4995:5678:1 gene:CCACVL1_20075 transcript:OMO68113 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I3, Kunitz legume MKIATTTVVLLLFALTTKLCFFGVTNAQNSPVLDTDGDELRAGVPYYVVSAKWDIFIGNGGLTLGRSNDQTCPGIVVQTKPSLIFVDNGTPVIFTTAEEGGDDVIRLNTDLFVEFIPGIDILCESTVWKVDDNVSGDETAWLTTDGVKGKPSLNTLTSLFKIEKTRKNYYKFNYCPSACDSCGGIATLCDEVDRIRDGGQMRLGLGDSGWPWEFKKATKTIKQVVNV >OMO68114 pep supercontig:CCACVL1_1.0:contig12281:25703:32795:1 gene:CCACVL1_20076 transcript:OMO68114 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I3, Kunitz legume MAFDRNTNYNTSLPLCFFTTNAANTSSVLDTDGDELRTGVPYYAVSAIRGGGGGGLAIGHSKEEACPEIVVQRGSDLDRGIPVIFSNADGQNGAVVQLSSDINIEFEPIRTKLCLTSVVWKLDNYDVSTGKWWVTTDGVKGEPGATTLNNWFKIEKMD >OMP07762 pep supercontig:CCACVL1_1.0:contig04214:754:846:1 gene:CCACVL1_01229 transcript:OMP07762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFSRSKGHRKPTIPISSSEPKKYTHKKAGL >OMO82069 pep supercontig:CCACVL1_1.0:contig10075:19698:21405:1 gene:CCACVL1_12089 transcript:OMO82069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVHTPKKGKAKRALEKRAPKLVETGKKTLILQGTKTSGTLNAVLTEIYHLKKGEAVRYTRKNENIRPFESGGETSLEFFSLKTDCSIFVYGTHSKKRPDNLVIGRMYDHHIYDLVEVGVENFKSMESFNYDKKLAPRVGSKPFIAFIGEGFENVDELKHLKEVLLDLLKGEVVENLNLAGLDHAYVCTAISSNRVYLTHCALRLKKSGTVVPRMELVEVGPSMDLVVRRHRLPNEGLRKEAMKTAKDQPKKKIKNVSSDAVQGTIGRIYIPDQKVGDMALPNKAKGVKRERREAKKKEASERASKKQKEESE >OMO82071 pep supercontig:CCACVL1_1.0:contig10075:27421:34279:-1 gene:CCACVL1_12091 transcript:OMO82071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPGRRSNYSLLSQYPDDQYSVSVSGGAPPPFYDSLSSDATSNKNSKVKSDRGLLDWDQNQGQNQSQNQQQANRIGGAGGGGGGGGNIFPSSIGLQRQSSGSSFGESSLSGDYYVAPPSTTAANEIDGFVYGHDDSSRHVDFRAKVGGSSSGKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDPIPDDSAGRTASSSSAETVSHRFWVNGCLSYFDKVPDGFYMIHGVNPYVWTVCTDLHEHGRIPSIESLRSVDPNIDSPLEVILVDRRSDPSLKELQNRAHNISCSCITTKEVVDQLAKLVCSRMGGASTVGEDDFVSFWRQSSDDLKDCLGSVVVPIGSLSVGLCRHRALLFKVLADTIDLPCRIAKGCKYCKREDASSCLVRFGLDREYLVDLIGNPGYLCEPDSLLNGPSSISISSPLRFPRLKPAVPAIDFRSLAKQYFSDCESLNLVFDDPVPEEDNTAFSLYQKKNDKLGTDRNLVQISSNMDDVSRLPLPPNIAQQNAHDRESQYRQSTIHSKNIVKDPLKRISPLVPPIGHRDVPVLLSDPMGDATTDSRFGDCSQLVSSKPSRELALEVDDLDIPWNDLILRERIGAGSFGTVHRAEWNGSDVAVKILMEQDLHGERFKEFLREVAIMKRLRHPNIVLFMGAVTKPPNLSIVTEYLSRGSLYRLLHKPGARDVLDERRRLSMAYDVAKGMNYLHRRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDIYSFGVILWELATLQQPWGNLNPAQVVAAVGFKGKRLDIPHGLNPQVASIIEDCWANEPWKRPSFANIMDRLKPLIKPSTPQPGHADMPLLT >OMO82072 pep supercontig:CCACVL1_1.0:contig10075:38768:47738:-1 gene:CCACVL1_12092 transcript:OMO82072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQGQEQFESQLPWVPTTPFRPILPKPPVIHGGQGNPMFTANSIGSESCSSGLTEESQPDRVVACSASATCAELNGAVNNLEPGLVGSNGILENRIGQKQCPPNLTELSNVPFAELLALANAASVASGNAVPEGINRQFAECSFAGLLPVHVNSSAQQTIWIDGSCKQTENQSVIPLQNSYDLDEPAGKMDALSQTSNAEFAPITPDKATRAERKKVAETEKVHVESITEKGDEQASASTVDVNGVQEHKQPGTESSLAATPTKENQIAENGGSQLVDLERTPQQKQRRKKHRPKVITEGKPRKARKTATPKPSAPQETPTGKRKYVRRNRLNEDASTPPGEGNGENSTRKRKYVRRKGLNKEEESRTGDSHPETLEQNKKTCRRALNFDINDQEKGESSASKSACNLNSSSGAENLVKGGSQSKSAIQISGGVEVAAENRQTGLASEPNQKLKDYISFSEDQAPGTPIPTKDNSPHRSQNSHSEKLCKPKDQANAHDERNGQTMSMSNVQLPARTPNEFNCSTVSVLELMTHDSIATHQADTNALNSYGSQYNNICSYHMIPMLLSSKIYRKKRTVKGPNSATSSASSSITDAKSLLPAEECLVDSSEEKLEAGRMFSVKISPDIDCFLVSSQMDNLKKKRTTGTRRGRDLSSLNGISQSRGHPQGSSSQPQVVHDMHEVGNSDKYNTSIEALVTKMHPNLAKKKRTRKRNNLVTSACSGTSEAQKRKKLFLSDQNKFPAHFSGAPSEAMWKEMFSTDALIEQFNNLDINLEGVPIAYQEQTALVPYNMRYQEHNAIVLYKDGAIVPFAPIKKRRERPKVDLDEETNRVWKLLLQNINSEGIDGTDEEKARWWEEERRVFRGRADSFIARMHLVQGDRRFSPWKGSVLDSVVGVFLTQNVSDHLSSSAFMSLAARFPPKSMSKKNSYHEEGTSLVDGADFYVLEPEDATKLDTKSSIQLVGDQSSMTVNSFGQNEDKEVVNSKEFSGSSAATVSSIYESKYKLLNSSESGVDTCCDSTLNRSNMETIGSVTDCFKGDEDTYDVLSSQNSVVSSENSVDFSLVQTAERTGSCSESNSEGGDQTKQPVLNILNRSTTFLQLLEMAGSARLHEVYGHHNMSTNENSNIGSSVQQDETSILHCQMGLLQDPRNLDESPTDSQNKEMSRHVTMLKHSEEIVEVTESTTAFDNQRNPQQKIKESNLCTHDSSSKKELNGTNASTSQSKGRKSKKEKKDDFDWDSLRKQAEANGKREKTEKTMDSLDWEAVRCADVGQIAETIKARGMNNMLAQRIQDFLNRLVKDHKSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVIATESRKSDQNHAVIIDQVPLPLPQPTEQSDRNCESKLDPQSPARSGVNNCNPIIEEPASPEPECTQVAENDIEELFYEDPDEIPTIKLNMEEFTQTLQNYMQNNMELQEGDMSKALVALTAEAASLPMPKLKNVSRLRTEHQVYELPDSHPLLEELDEREPDDPCKYLLAIWTPGETPNSIQPPQRCCESQEHGGLCDEKTCFSCNSVREAESQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPRKWLWNLPRRMVYFGTSVPSIFKGLTTEEIQHCFWRGYVCVRGFDQKSRAPRPLIARLHFPASKLAKGKANAAAEDE >OMO82068 pep supercontig:CCACVL1_1.0:contig10075:13088:18849:-1 gene:CCACVL1_12088 transcript:OMO82068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTDMENPRRPYDRSREPGLKKPRLSEDLAPNPNGRPFPQRPNQVVPSSALRFRSSDSETNDLSRGGGAYEPQPVSHQQQLQQHQELISQYKTAIAELTFNSKPIITNLTIIAGENLHAAKAIAATVCANILEVPSDQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVDPPVHQSMRHLFGTWKGVFPLQALQLIEKELGFAPVINGSSSGTTTSRPDPLSQRPAHSIHVNPKYLEKQRLQQSTRAKGVVNDMTGTLANSKEDSERPDRTAITAGRPYVEPSIKMSNIQCTHRDVYNEPVCEKNISATFADYNYGSNLLQAPGMGVGRTSGKATTDQGHDRPWYGATSSVTETISSQRNGFNIKHGSQNYLASKTVNADPRLQAAQNLAGRSSSGLSSSWKNSEEEEFMWEMHSRLSEHDAANISNNSRKDLWTPDVSEKLDFESQLRKAQGIHDVGPRVDRETSADSLSTEKDKTSYGRRISSAWPLQESQKTDGLPTIHSGHSENYSASVGGLPTGAASSLTRMGMRTQMGSSNLGTPGYGILANVAPGSSGTLGQQRFSSLGNASPPEQSPMRQHSPSPSFPGRHPNQQLQKLAEHEYPQAHSLPRTDPKPSHLSGKLNVGAYKPAPQTSSATISSFQPNRHYTLSQPSQPDSVQDEPSSKAQKPLMSQTSKLGAASTLGNASEQTNPLAIEASELSSTSSLLAAVMKSGILSSISFTSSVPGKLSQDVGQMPSQPSLPNGSPLSTLTTSGLRVNLATSSGSASHDAMVATTSGSQGKEQSPLPPGPPPPALDSNDPVQASDAESKASNPISSLLSSLVAKGLISASKKDAPSLPSQKMPSKMQKKSPVKERPTESLNRSSGISTSSPLPASSIPRSSDAPHSSTVDEVAVVEPAEKGSVASHKSTSMEVKNLIGFEFRPDLIREFHSSVISGLLDDLPHCCSLCGLRLKHEERLKRHLEWHAMKKTESKGSAGALRGWYARSDDWVAGKPGQSVFESTGSVNKLEKTTEKDELMVLSNENQYACMLCGELFEDYFSQDKGEWMFKGAVYLTIPSKDGEVGTTDESAAKGPIVHATCISESSIDDLGLARAVKMVRFFIFEDVYLRFF >OMO82070 pep supercontig:CCACVL1_1.0:contig10075:22935:25231:-1 gene:CCACVL1_12090 transcript:OMO82070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding protein MKPKEEKLSPDSPPNPPQTLPFSSPLMEFETLTSSAPHESEFISVPQPLEILQGNPVPPFLSKTFDLVDDPSLDPIISWGPTGESFVVWDPVEFSRLILPRNFKHNNFSSFVRQLNTYGFRKIDTDKWEFANEAFQRGKKHLLKNIQRRKSPQSQQVGSYLGPSTEAGRSELEGEVEKLRKEKSMLMQEVVELQQQHQGTAHHVKAVNQRLQAAEQRQKQMVSFLAKLFQNPAFLARLREKKEQGEIGSPRMRRKFARHQQQLELVNPEPPVQGQIVKYKPDWRNFAISSPPPDLNPMSVEQSPDYLPEGVEGIDLGTEALPFQVDNEMMVSDELAVAHGYIKTPELAGEGASSLGSEDPHSKGKNVMGLEEEVNPGYFVSFPEDLVKQKSTLEFSSPIVESFAKQEDVWSMGFDATAGMSSSSTELWGNLESYDPGLGVTGGLSDVWDLGFSQAAEDSDIDKWPAGESPFNIPETGNQAGQPGDNRSKKTDP >OMO88742 pep supercontig:CCACVL1_1.0:contig08945:27271:28711:1 gene:CCACVL1_08233 transcript:OMO88742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKRKRKRKKGGVDGGSFCPSRSEGAVFSVYFQFWPSRSEGAIFGVYFQLCPSRSEGAVFSVYFQFCPSRSEGAVFSVYFHICPSQSEGAVFGIYFPFCHSRSEGAVFNVYFQFCSSRSEGAVFSVYFQFCPSRSEGAVFSVYFQICLPGRKVQSSVFISSFALPGQKVKFSLSISSYALPGRNVQCSVFISSFALPGRKVLDLSLHSS >OMO88741 pep supercontig:CCACVL1_1.0:contig08945:21166:21315:1 gene:CCACVL1_08232 transcript:OMO88741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQGASAAYLQPFSKAMLIEYMPGIALARHSPHFNTHFKFLKTNCTLG >OMO95539 pep supercontig:CCACVL1_1.0:contig07659:2043:2621:-1 gene:CCACVL1_05375 transcript:OMO95539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonaspanin (TM9SF) MVKMKKQLMTKRILDGSKYIHGNIFRFPKYKSLFAVVLGYGTQLFTLTVFIFMLALVGVFYPCNRGALFTALVFIYALTLGIAGYTTTSFYCQLEGKNWVRNLLLIDSLFEIR >OMO95543 pep supercontig:CCACVL1_1.0:contig07659:36210:36329:-1 gene:CCACVL1_05379 transcript:OMO95543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYLEAEMAAVMRAEKEKLHRVLRGEWKEPTNIFYLGL >OMO95542 pep supercontig:CCACVL1_1.0:contig07659:29177:32020:1 gene:CCACVL1_05378 transcript:OMO95542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNPLVFDISSDDDEPASAWEDPKGDDYDWLSKVLEDVGNGFDDPDEVIVVGEVNNPNKKLKSSTVTKVVDEDDDDDDDCVVLEGDPDKTLSDVTDAQEGSDDLLIVGHKGPIACRDFPHPRHDCAKFPFNSTSHEQHCELCHCFVCDTPAPCQYWGSSISKVDHCHATDKEETWKTLRKTFRHGSNVALPVSKGPVISQSTAVSELNQAPRRNIIRLTSQTQLSRATPTHNIIRLTSQNQVSRATPIRAAGNCIPQNNVPRPPIMRACSSSSRYGMPYNPSVGGRHVLSKNIMLPRSVPQQFLGVNNTVIRRDRGIKISNLGSQFVSSNSLSKTLDYGVAPAMNQSTYVPSENFISASESQYQLNSASAALPNDGNPVMQNFGSGTNLGIYQHQSSSQPKIDSVFTHSAPSQSIGYNLSIPQSNDHPDTNQLQNQHQPATNYRFSDLDSWVNNISQSNQQSSGHCLPLQTLGSTNEEEAFKGNNEREKSYYNEFESLLLDNETVPEDPVTPGFNALSPDHISFDTGMLFFDIDTSWDRLTHA >OMO95544 pep supercontig:CCACVL1_1.0:contig07659:39269:42742:1 gene:CCACVL1_05380 transcript:OMO95544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKFQGEIDRVLKVQEGVDVFDSIWNKVSASYEQALVDARKQIEREMERFKICEKETKTKKALANNQKLLLYPATLYLIDPKEKVKAETRDWLNNVEGRSGSPRSGREYVSKAQVASVLAKGSDIRQDVVNKAKAFDEKHQVTASASAKANFTGLDYSLDHHNHGDDHQPWGIGHETSADHNNGMIDYMLNDPHHHHHH >OMO95540 pep supercontig:CCACVL1_1.0:contig07659:2797:7362:-1 gene:CCACVL1_05376 transcript:OMO95540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNPAGANLRKAERTLHGHTGK >OMO95541 pep supercontig:CCACVL1_1.0:contig07659:19712:21338:1 gene:CCACVL1_05377 transcript:OMO95541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYENGSNVEESNSNVEKADFDMEDADFNVEEADFDMEEAGFNMEEAEVQGSSRTRSKCLRSWIFHAIQSLQQQVATPKTIDDLIIAGENSFEEFSPMDSDKNFLTLQTCMREIMKVKGCNKYKILHIKKDQLAREGRLPTRIACDPALVQEARDFLPPKEGVDQIVVPTEEVMDHTNVVPT >OMP12022 pep supercontig:CCACVL1_1.0:contig00557:572:4747:-1 gene:CCACVL1_00174 transcript:OMP12022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDNGYMRTGSSLTRSQSDVVRMLSTSFGDQIEDKMMVSELPRNMSNKKANGTPMKMLIAQEMSKEVESKNNPPNVVAKLMGLDALPRQQHNLAAQRYQSKGSSWHSLTHSEMPLESWERDQSFSDKRMQCEANLCQELNKYKDVYEIWQQSPRTTYTRDSSPRKGRYNDSGNENKMALVRQKFMEAKHLVTDEKLRQTKEFQDALEVLSSNRELFLKFLEEPNSTFSQHLYNLQSPETKRITVLRPTKMVDKEKFAGLGKKGDKQTKKPVQMAQATGWDRNNTACSPPFPGPKVDEYPSQPTRIVVLKPSPGKTHDIKTIASPSPSSPRILHGEDFYEELEDDDAREPREVAKQITRRMRENLMGHMRDETLLSSVFSNGYIGDESSFNRSENEYPVENLSDSEVMSPTSRHSWDYINRFGSPYSSSSFSRVSCSPESSVCREAKKRLSERWAMMASNGSSQEQRHIRRSSSTLGEMLALSDTKKSARSEEGSNKEQESRVSTSCITNVLNKEESTSDSPKNLIRSKSVPVSSTVYGARLNVEVSDPEASNEQVPKELTKAKSMKSSLKGKVSSLFFSKNKKTNKEKSCGAQSTDESPSATPGTPGSPIIGLRKTSNDVSQCVNDSGIQECLSPGLGGSASKTASPDLTGMGRKQGIISMEGGLSVTKPSIPIRVSENQDQPSPISVLEPPFEEDENSIPESSGRLAVTKTQGLLPLMSNLIDKSPPIESIARTLSWDDSCSETATLYPSKHTSVSPGVKEDEQDWVSSVQSLLTTAGLNGEVRLESFIARWHSPESPLDPSLREKYANLNDKELLHEAKRRQWRSNRKLVFDRVNAALLEITGYESDSCMRARAQMRAMEGAPPMVVDLVWAQMKEWFSSEVKCFGGDDVDNNSLVVERVVQKEVVGKGWIDNMKLEIDNLGREIERKLLEELVQEAVVDLAGRVF >OMP12023 pep supercontig:CCACVL1_1.0:contig00557:5687:9132:1 gene:CCACVL1_00175 transcript:OMP12023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKKPLKQLKLSVPAQETPISSFLTASGTFHDGDLLLNQKGLRLISEEKESRPSDGKELDFEFSLEDLETIKVIGKGSGGVVQLVRHKWVGRLFALKVIQMNIQEEIRKQIVQELKINQASQCSHVVVCYHSFYHNGAISLVLEYMDRGSLADVIRQVKTILEPYLAVVCKQVLQGLVYLHNERHVIHRDIKPSNLLVNHKGEVKITDFGVSAMLASSMGQRDTFVGTYNYMSPERISGSTYDYSSDIWSLGMVVLECAIGRFPYMQSEDQQSCPSFYELLQAIVEKPPPTAPPDQFSPEFCSFVSACIQKNPRDRASSLDLLSHPFIKKFEDKDIDLGILVGSLEPPVNYYPR >OMO89737 pep supercontig:CCACVL1_1.0:contig08632:12314:12496:1 gene:CCACVL1_07666 transcript:OMO89737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYANSDMYSQRHSLQIPVKDSLKPFDQNPSTNFDKTTLRTDQSSSKSNYAAGKATYSNL >OMO89738 pep supercontig:CCACVL1_1.0:contig08632:39458:40027:1 gene:CCACVL1_07667 transcript:OMO89738 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MSPTNPHERALKPGMMINGLRPSPLKINKDSHLIQKPVPAPKQQQQQQRHGPVIIYTHSPKIIHTQARDFMALVQKLTGLSRSDNEVNDSAPSKPTEDNDSSSALTEDNGSSGGVDVNNKVAQTQSTNIITTPPVPFFGDVPLFTPNSADFFCSPRPVYKFADNSIMSPGLGNLNSPSLLEFMKGLPDY >OMO89739 pep supercontig:CCACVL1_1.0:contig08632:44369:45413:-1 gene:CCACVL1_07668 transcript:OMO89739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYKKQDAGRIAMYIKTHTRKNGQPLNTASQAIMAGRSSLEVQKLREEFQVDKLMRFVTTQFPDVALDDPSESPHVLTPSEL >OMO98190 pep supercontig:CCACVL1_1.0:contig07152:18553:27233:1 gene:CCACVL1_04305 transcript:OMO98190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVALHGSMPRKKLDLTTTEDMLKSSWFVPWSERSRRRKGRVTELGTLLEDSSLELDETAMVQAIYEDVEGNVRLLLPPA >OMO98189 pep supercontig:CCACVL1_1.0:contig07152:3728:8228:1 gene:CCACVL1_04304 transcript:OMO98189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAIHTESDEDKPIEERAKSGNVDMVPNGKAAKTMIDTGTSDTFITHDEVKLVLGLTGSMGK >OMO98188 pep supercontig:CCACVL1_1.0:contig07152:1899:3101:-1 gene:CCACVL1_04303 transcript:OMO98188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKWNHNLTKVRKLLRGGHLHAGMVEASPKWHCNSEVDRVAYHPSVLKKEFPNGMNVFSLFSGIRGAEVEIALPA >OMO78677 pep supercontig:CCACVL1_1.0:contig10552:10002:10346:1 gene:CCACVL1_14210 transcript:OMO78677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEIHSLDFLCNSVSLKVDRCVSRTVQFMSISVGRLAKHAAALVMVVTILRCISVLKETAEVMALIFRFAMALTNAQVAARKKQH >OMO88911 pep supercontig:CCACVL1_1.0:contig08887:30703:34506:1 gene:CCACVL1_08115 transcript:OMO88911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRSKKSKSKRVSLKQKYKVIRKVKEHHKKKAKEAKKLGLNRKKKVEKDPGIPNDWPFKEQELKALEARRARALEELEQKKAARKERAKKRKMGLLEDDEREQHVQEGKSIDDSAAIVKVRDNSERAFYKELVKVIEASDVILEVLDARDPLGTRCVDMEKMVMKSGPDKHLVLLLNKIDLVPREAVEKWLKYLREELPAVAFKCNTQEQRSNLGWKSSSKAAKPSNLLQTSDCLGAETLLKLLKNYSRSHEIKKSITVGIIGLPNVGKSSLINSLKRCHVVNVGATPGLTRSMQEVQLDKNVKLLDCPGVVMLRSGGNDVSIALRNCKRIEKLDDPVGSVKEILKLCPERLLVTIYKIPGFQSADEFLQNVATVRGKLKKGGIVDVEAAARIILHDWNEGKIPYYTMPPVRNQEEPSEARIVTELGKEFNVDEVYNTESSFIGSLKSADDFHSVEVPPSNPLNFDETMQEDKAQPLPSTRGDENQEDKSEGANDEPMASDEDAEKAKSKSGASRQNEQLYAVEGILNTKMKKAQNKRRKKAKNKLATTDDAMDDDDYDFGVDYVKGKDQTTGAAPMSGVEVDE >OMO88910 pep supercontig:CCACVL1_1.0:contig08887:24161:29416:-1 gene:CCACVL1_08114 transcript:OMO88910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWRNWKVHDPFISNFNVNPCFRETSLRSASMWSLVDSESITASGLSWIPVGDQVLLMASIFLTYMAGAIPVQRSGSTSQMNIADENAFPKSSTSSGSGKRDSEQDNLKQAWDAVRQKLLDTLDAIERGSDFRNGVLDEQQNAKRPLSLYAVSEGPKIRLLWASLKQLEKEAGNFSIISLAAIYIDCLVKNFSGSFDTGSVDDWLLAFSRIIQKCCKPVCFAWLEKELGPETNNMELVSLITEKLNGDDAVLQNIRKSGKENLYAELLYFLSFGSVRKGCCYDQSLFTLYGDSILEDLVITLADGIANTYLELISVDGNLSDEVNALGLAICNLSTRALQRLRNEVALNQWLYQNLEAIASMYEDRFDLYTLKNQLIEEKSSDNAEKVSWWKKFTLRKHESSSPSVCYVVISHFSMPVKRTKELRALTGWRYYFSLFLELSDIGMPMVRAIIDKVSNAISFFLVCLIGRSLGLIYTGIRQSLRWK >OMO88912 pep supercontig:CCACVL1_1.0:contig08887:35287:36428:-1 gene:CCACVL1_08116 transcript:OMO88912 gene_biotype:protein_coding transcript_biotype:protein_coding description:Emopamil-binding protein MDDNVPYFDDDLRKMQFLRESEFRLLITDSDSTRIEMGRVSKVDRLLMCWWAFTGLTHIILEGYFAFSPEFYKEKTGFYLAEVWKEYSKGDSRYAGRDTAIVTVEGITSVLEGPASLLAVYAIAIAKGKGYSYILQFAISLGQLYGTLLYFITAYLDGDNFAINPYYYYAYYVVANSFWIWIPSLICIWCWNKISVAVQSQSQSHKKSKLR >OMO53102 pep supercontig:CCACVL1_1.0:contig15328:20268:25770:-1 gene:CCACVL1_28879 transcript:OMO53102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSLPLPSSNCFSSSFSSWRQSSVAVNTALPIRLLPVAASATTTHQQQSIPIDKSSLNVAETASEDQLWAAACLRVRSFYDFQASSFGIQDHTRYLAEREFEALKERIAGKREGFKRVSCINATLPLSQFSNSADDLCTACKFTDNGEDRVVVGTLDLNQCLWLPEEIAGSKPQGFEAEFARAYLSNVCVARELHRNGLGYDIVTKSKKVAEEWGITDLYVHVAIENEPAKNLYMKSGFIHENDEPAWKARFLERPRRLLLWIGLPCSNEL >OMO53103 pep supercontig:CCACVL1_1.0:contig15328:31853:36901:-1 gene:CCACVL1_28880 transcript:OMO53103 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MMDDVVLDLEVVKGGESETSGWSVVGRVIAEKSLNRGAVKMILRYLWSEKEVPVIGDVGSSMYSLAIKEIDLGEVSFWVQIHNLPQDMMVKSNADRIGASLGQVLKVEEPRGRFGPNRSFLRLRLLLPCEKPLLPGFWVPREDGNRIWAEGPPARPLLSPGKQRSYSWGGGEENRQRNWNASRVARELTFGEARESVVAGENATKTREILGGTSHIPIRPAQQKGKGVISITDKIVEDNQEAESGSPKNSQPGDVEKEVLAHTVEKVVVLPKCFEIQPYVDLGPVSQSLVDPGLIAQSQVGQDSEIQPYVVQEPSDGEQSERGVPELDYECESIVERSESKMKVEPVVNEHNRKVSTLSPTKMLKTMMNLSNVFRSLNLKRNICDDSEWATKSKKLRLIGGNEQVSHGGDSRMGMDIVQAQLGLFHCFDLGNLLPKAKKKGRSRGKYKKKDRGVRIMEINADEGNLNEVPIAQIEHFTGGFGGCPTTATQGLQRIWDRIIDRVQMSTSPIVCTGDFNDILCQEEKQGGNLKEKRKMDGFRRFSDECNFLDLGFQGQLFTWFCTRDGELIKERLDRYFANGSWIQSFPNAQVFNLPAAEDPGCECIVRKGWQMENEGSGCYKLAQKLRHNNLLLSKWSKEAFPNNKEVIEQLMAKMQVIPTTGSGEENLIEYKRVTEELNEAWEKEEKYWYQRSRIKWTLFGDQNTVFFHQTTIQRRQRNKILRIKDNSGEWLEDEASIVNHFSQYYRDLFTTDGERNWDEVLNHVLELVTSDMNTALTACISEEENAFVADRQIQDNILVAKEVFHYLRLKKKGMRNDLALKLDMNKAYDRVEWDFLETKDVIANCLGVSIAQCRGTYLGIPSLWGKTKREVLSVLKGRIMSRIQGWKQLLLSQGGREVMIKAVFIAIPMYLMACFKCPKSFCQELNAAAAKFWWGQQKEEGKIHWVSWERLTHCKKECGMGFRELEFFKLSLLAKYAWRLMHSDNSLWAQGGKLSPIADAQPNNDQRVQEVIEEDTRTWSLQTISHMIPVSEKEATMDIPLSFQVKDDKIIWPQDKTGKYSVKTGYRVLKEEKPNQVRQSASSSHNIDQEVWKIIWNLKVPVKIKQFLWRLMEKAVATNLAIFQKKVRNDPVCPFCDKVESIEHMLLKECEEQKDERKRAT >OMO53104 pep supercontig:CCACVL1_1.0:contig15328:38548:38784:1 gene:CCACVL1_28881 transcript:OMO53104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFIGPARHQPLNPDGTIPSNHLRSFEHSSIYMDFFTYAAFVILLDKISPKAKFGLTQFLGAIAFASTAPYLPPPFD >OMO94717 pep supercontig:CCACVL1_1.0:contig07812:6936:10724:1 gene:CCACVL1_05867 transcript:OMO94717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MSLKEQLSSTPRDQLAVGEYIQKMKQLTDDIRLAGATLEDDDLVLHILKGVGPEFKDVVAAIRCRETPMSVDELHSMFTAHEIHLKNEAAALSMEVTVPSVNFTRCSPSNFNRGRGRSNFRFNGNRSYTHQQQSPNHNNNRPTCQICDKFGHSAKLCRKGKQFFNTPPPTANVATLSGSSSSWCMDTGATHHVTSQFQNLSLASEYDGPDQIVVGNGQGLDISHSGLVDLRTSNKQFQLKDVLFVPSMKQNLISVSKFCKNNGVFIEFYDDYFLVKDLQTRQVLTKGLLTDGIYRLPATILRSHVALSSRSLSLHGWHNRLGHPSKSVLGQVVNQFELSSASNKNFLCTACQCSKSHKLSFALSSLTSSRPLETIYSDVWVPAPQISMDGFSYYIIFVDHYTRYTWYYPLKRKSDLFLLFPKFKVMVEAYFQLKIQTIYSDGGGEYEHLKQLLQTHGINHLQTPLHIPEHNGISERKHRHIVETGNTLLHHANLPKTFWSFAFQTVVFLINRMPTPLLGNKSPFEILFQKQPNYNKLKIFGCLCFPWLKPYTKSKLEPKSKPCMFIGYSPNQSAYRCYDLTDQKIFTSRHVIFHEAVFPFQLNSVSSSLPKTTKLSETSPSLLKLVPLPIIPSSGATPSRLVLSDSISSPSLSSFNNTSYLETNEVVLSQQDASSFENISVIDAETSQAGTTSLSVESIPPLTKQKSSSMQVIIHKPADNAHSMQTRAKNQIFKPKVINIATKYPLPDAMEPTCVTQALKHEHWRRAMSDEVNALLRNGTWKLVPSTPSQNVIGCKWIFKVKRNSDGSISRYKARLVAKGFNQRPGVDFQETFSPVVKPTTIRIILSIATQRKWMVLQLDVNNAFLHGTLNETVYMKQPPGMIDSSNPDYVCKLKKAIYGLKQAPRACEVTTYFLVYVDDILITGTSNSHLQEVVTALSDKFSLKDPAPLSYFLGIDVIPSSSGLFLCQRKYAVDLLECTSMLDAKPIASPLPSTCSFTKDEGELLEDASLYRSVIGTLQYLLITRPDLAYAVNRMAQFMNAPTTVHWQALKRILRKSVSAFLIYLGGNIISWKSTKQRTVGKSSTEAEYKAIANAASEVTWVQNLLTELGLTCSTTPTIHCDNMGATYVSINPAFHSKMKHVSIDFHFVRDKVNAGHLLVSHVSSRDQLPDLLTKPLPRQRFCELSAKIGVLPETQS >OMO60545 pep supercontig:CCACVL1_1.0:contig13711:73981:74502:1 gene:CCACVL1_24060 transcript:OMO60545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGVSRPNPTCIIPSENGDDHSFREAISVLRSRKHSVMASFLDLQLMGFKPNNAEPLTLFAPLDDAIKMKGDYIGNFSEYQSIFFRHVLPCKLSWADLVNLSDGTVLGTYLEGFKIHVTKSGGNLLINGVGIAYPDLFYGESIVVHGLQEVLVVPEILAESPSHMGVDQGEF >OMO60538 pep supercontig:CCACVL1_1.0:contig13711:36032:39012:-1 gene:CCACVL1_24050 transcript:OMO60538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MGAEKKWLFTLFTATFLSIILLLLYSISISAFSSPRPFPSIVQHGLHYPPAFGYYIFGGRGDKDRIFRLLLAVYHPRNRYLLQLGADASDEERYRLALALKSVPAIRSFGNVDVIGKADRFSYMGSTHIAATLHAAAILMKVDPGWDWFIALSALDYPLVTQDGSPWIVLSKSFLEFCLFGWDNLPRTLLMYFNNAMLAEESYFHSVICNSPEFKNTTVNGDLRYMIWDSPPKMEPHFLNISDYEQMAQSGAAFARQFEKDDPVLDMVDEKILKRGRNRPAPGAWCTGRKSWWMDPCSQWGDVNVLKPGPQAKKFEETMTNLLDDWNSQSNQCT >OMO60553 pep supercontig:CCACVL1_1.0:contig13711:119932:125673:1 gene:CCACVL1_24068 transcript:OMO60553 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase, large subunit, eukaryotic/archaeal MQVVRSHRKSSPSDVVVSTLPLYRSAPPLEVRLEDFELFALDRLRVLKGISDGLSRGRKPEEMEKLAMDLLRKANMRHPQATEEVNKDIISHFVLRLVYCRTEELRKWFLSTETALFRYRFRSESSEAQRALMSEFNLPYKAVSNAEFESVKEKLGQVARSMGQSLPTADAVYYKVPFEEVPDLVAARRVFLLKGHAYVAMSQVVSLVVTQFRSHLSKALILTNRKWTSTIRDQEKDRLAPIVEALSSSYLGPDYSQPKEFGEISLKDIDQIARTSFPLCMRHLFEKLREEHHLKHGGRMQLGLFLKGVGLKLDDALAFWKAEFAQKVGAERFDKEYAYNVRHNYGREGKRTDYTPYSCQKIISSTPGVGDHHGCPYRHFSEENLRAALARMGVSSRTMEDVLDKVRNRHYQLACTLTFEAVHGSPCDTGINHPNQYFIDSQKILQSKNGSVA >OMO60544 pep supercontig:CCACVL1_1.0:contig13711:73350:73649:-1 gene:CCACVL1_24059 transcript:OMO60544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKPESERISAALRSVWDGRGAESGRRERRTKARRNLVAIVGGFLKVVCRVCCRARVSVIVRVRRNIKRRLVLAGRFRHVEETYWEYWNENENSLRRS >OMO60547 pep supercontig:CCACVL1_1.0:contig13711:82484:84071:1 gene:CCACVL1_24062 transcript:OMO60547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLSVLPEEILVCIISCLPLKEAELIKSLTCLCLKYVKVKVEMLEFVLSNCPLLETLDVSYSELDESSYEEQIIDLKVCGSTSQRLNLKHLHIVLCDKIKSIEVSAPELVSFGFRHHGPNIQLNLKHVPKLPYVTYGASTSDFFFKNLATYASQLVNLSLKFTGSIDKMMLQCPQLPNLRHLTCDVVVVGSSSSLHLIRLISLTNASPRLQKFKLILKEAKDARISEFGNNEIEWVLDEVKIDHKYFPCEYERVGNSWISWC >OMO60552 pep supercontig:CCACVL1_1.0:contig13711:114249:118951:1 gene:CCACVL1_24067 transcript:OMO60552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSASDVVSGAFKLFRQLKHDDTPKSVNKSQNALMMAQVNKLREDMQLIRANSPITIVTGRGSGTSKYTIIIVIVAAGYGYVWWKTTRRHLSSRIDSVDNRLDEIADVTAATRDEVTLLQDKSKLLNSNVQTVRHVVKSLESKINRIEGKQDITTKGVSWLCDFAQTMEQNSSADRIQASPASSSRQALEAPMKTPSRTGSLPPILPVELPSSDSNGTHKVKKSPRPVDFSESSSHEVTNGNRTPEDKTNGSSSSGLLGGVFAGRSAFLTRTRSATNAVPQEMRSSRQQ >OMO60535 pep supercontig:CCACVL1_1.0:contig13711:25125:26646:-1 gene:CCACVL1_24047 transcript:OMO60535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALDSLSDYISDLITVKTKRKKRKVMQTVEIKVKMDCDGCERRVKNAVSSMKGAKSVDVNRKQSKVTVTGYVEPNKVLKKVKSTGKRAEFWPYVPYNLVAYPYVAQAYDKKAPSGYVKNTVQALPTPNAVDEKFVTLFSDENPNACSVM >OMO60546 pep supercontig:CCACVL1_1.0:contig13711:75519:79696:-1 gene:CCACVL1_24061 transcript:OMO60546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIILFRHLVFLFFVGFGCINSVPTLAQTGPVEDPVKFIFGEQNLGYWKNEISELAGAPGPLSDEPPSTLLLAAKRTNRPDILRHFKHYHGGWDITNRHYWASVGFTGAAGFTLAVLWFVSFGLVLVIYHCCGWRINIKGKRSDQSQRICFILLILFTSAAATGCILLSIGQDEFHGEVLHTLKFVVNQSDYTVQILRNVTEYLSLAKTISVAQVFLPDDIMTDIDKLNIDLNTAADTLTEKTSENASKITKVFNAVRLALITVAAVMLILALLGLFLSILGHKHAIHIFIVSGWLLVAVTFILCGIFVILNNAISDTCLAMEEWVENPHAETALSNILPCVDQRTTNHTLTQSKQVINSIVNVVNTYIYTFANSDPSPDDNRYYNQSGPPMPPLCYPFDSQLQDRQCGSYEVSMANASLVWQNYTCMVSASGLCTTEGRITPDRFSQLVAAVNESYALEHYTPPLLRLQNCDFVRNTFQNITSNYCHPLEHYLRIVNAGLGLISVGVLLCLVLWILYANRPRREEVFVKLPLPIKCMSYKNIFSTKGNDDTRSSNIANVV >OMO60533 pep supercontig:CCACVL1_1.0:contig13711:6291:7726:1 gene:CCACVL1_24045 transcript:OMO60533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAENSVEDHWNRIDSVKVMVLGFWVMGGPVGRR >OMO60540 pep supercontig:CCACVL1_1.0:contig13711:50977:51426:1 gene:CCACVL1_24052 transcript:OMO60540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIINTATSSLCSIAAPALPPRRPFSGDLMRRKKGARRQSIIQISASKRDQDFSGKLVDENMVVLRKRIQEMNMLEKNYEAPQHWMEWEKQYKKENYDVDVCEAVGFLQSKLMETRPSVALGMGALLLFSVSSSSAMVLFHLMAMIKGF >OMO60554 pep supercontig:CCACVL1_1.0:contig13711:136237:138149:1 gene:CCACVL1_24069 transcript:OMO60554 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MESSPSASFKRARAPGGANQVPSCLVDGCTADLSRCRDYHRRHKVCEAHSKTPTVTIRGQEQRFCQQCSRFHSLGEFDEGKRSCRKRLDGHNRRRRKPQPDSLSGNSGRFLSNHQGTRFLPFSNPQIFTTSTVTSSWPGAVKVESDIGSEINFGGRNTFYPGSSSHNYKGEKQFSFLQSTSSSSLPVVSVCQPLLDAIPSSSSNSGSSRKMFSNGLNQAVDTSRALSLLSSQPAETRGIGLSPMVQSGPSASSLIPNLQYNGNIGLEGEQVGSILASDGSDNTDLNGHEMYRTVHPGSSATGTHQTISFSWE >OMO60532 pep supercontig:CCACVL1_1.0:contig13711:634:5705:1 gene:CCACVL1_24044 transcript:OMO60532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLVEAYACAPSTERGRGILISGDPKSNSILYCNGRSVIIRYLDRPLDIAVYGEHSYPVTIARYAPNGEWIASADVSGTVRIWGTHNDFVLKNEFKVLSGRIDDLQWSPDMLRIVASGDGKGKSFVRAFMWDSGSTVGDFDGHSKRVLSCDFKKTRPYRIASCGEDFLVNFYEGPPFKFKLSHREHSNFVNCIRFSPDGSKLISVGSDKKLIMYDAKTGETIGELSNQDGHTGSIYAVSWSADSKHVLTVSADKTAKIWDIFEDGTGKVKKTLQSPGSGGVEDMLVGCLWQNDHLVTVSLGGTINLFSASDPDKPPLVLAGHLKNINALTVLESNQKMILSTSFDGTILRWIQGVGYSGKLKRKDSSKIKCLVAYKEDIITSGYDNKVRRYSLHEDECEVAEEIDVGSQPTDLSHAINSPDLALVSTDSGVVLLKGLQVVSNINLGFAVTASVIAPDGSEVIVGGQDGKLHIYSVSGDSLTEEATLEKHRGAISVIRYSPDFSMFASADLNREAVVWDRDSKEVKLNNMLFHTARINCLAWSPDCTMVATGSLDTCVIIYEIGKPASSRITIKNAHPGGVYGLVFTDDCSVVSSGEDACIRVWRIEKQ >OMO60551 pep supercontig:CCACVL1_1.0:contig13711:112707:113209:-1 gene:CCACVL1_24066 transcript:OMO60551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYGGGPAQPKQIKLTGLELD >OMO60536 pep supercontig:CCACVL1_1.0:contig13711:28014:30725:-1 gene:CCACVL1_24048 transcript:OMO60536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREVRRTVLGGKRRFMGWEEKIEKRLEMGSEGSIDHKRANRLVRAGKP >OMO60548 pep supercontig:CCACVL1_1.0:contig13711:86783:89281:1 gene:CCACVL1_24063 transcript:OMO60548 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MGDHFVLLVDRLLTESTLEAAIESRNRSMQAAASSVDDTKVAYSSPEVDLSSPRKIVECRICQDEDVDSNMETPCSCCGSLKYAHRRCVQRWCNEKGNTTCEICHQHFKPGYTAPPPLFQIGRIPLNLRGNWEISRRELNNSRFIAVVSTDRSLLDSGYDEHSVSNTRSLICYRTVAIIFLILLILRHTLPVILSGTNEYSFPLFMLLLLRTIGIILPIYVLVKGVTVFCRRRRQQETPNSLFGRSDEETEPHIINIP >OMO60534 pep supercontig:CCACVL1_1.0:contig13711:8342:10430:-1 gene:CCACVL1_24046 transcript:OMO60534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTREEDFKLLKIQTCVLKVNIHCDGCKQKVKKLLQRIEGVYQVSIDAEQQKVTVSGSVDSATLIKKLVRAGKHAEIWSQKSNQNQNQNQKQKNNCIKDDKNNNNKGQKQQGLEAFKNQQKFSFISEDDDDDYPDDFDEEDDEDELQFLKPSQLGQLGQLGLLRQQAQAQLDANNAKKGVGNMNITAASNNNNNNKMNNNNNNLINVNGGKKGNQNQNMGMGMKVNHPGLLDQKTLAALKMNSAQLGGLNLNLNAAAAAEGKRGNDINSMMGLSGFHGNGANVTNAAAALGGNPNAIGGYHQVQSNNGLQGSSATSYPNGGYATGGQYPSSMLMNMNGYNYPSSMMNMMNLQNRHAMQQQPQMMYHRSPLIPPSTGYYNNYGPPPPYSYPEVPNYNNTTDHSAATHMFSDDNTSSSCSIM >OMO60537 pep supercontig:CCACVL1_1.0:contig13711:33300:34937:-1 gene:CCACVL1_24049 transcript:OMO60537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLARFLNSLSKTFVEPKIPSRCMRLFATHSQKSIKNQNFNLNSLPDLEFSFGYPPNVNLIISRSIHEDFASKKLPSEHYGKNPAEPKLEEEAARVCQLLSSRSDPHVDKLLENANIEVSPSLVAEVLKRLSNAGVIAMSFFTWAEKQKGFKYSTESYNALIEALGKIKQFRLIWNLVNDMKNRKLLSKDTFALISRRYARARKVEEAIRAFERMEEFGFKLETPDFNRLIDTLRWGKEQNLLRLDEIYREMTDEGFQPDVVTYGILIHAYCKAKKYDRAIELFHEMEAKNCKPSPHVFCTLINGLGSEKRLSQALEFFERSKNCGFAPEAPTYNALVGAYCCSMRIDDAFRVIDEMRKSSVGPNSRTYDIILHHLIKARRTNEAYLVFQKMTSEPGCEPTVWAQMKAKGVLPGMHMFSDLINSLCHANELDDACKYFQEMLDAGIRPPAKMFSNLKEALLDMGKKDIVLNLSRKIDNMRKTPLVGGGDQ >OMO60539 pep supercontig:CCACVL1_1.0:contig13711:43334:49166:1 gene:CCACVL1_24051 transcript:OMO60539 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MVVFGAKKVGHVVSSIGGNGMGQMLAAMAAALLVRLFTGPGPALVPGDETDEENDDSSVNGDDAPPPAGKVFPVTITWRNITCSLSDKHSKAVRFLLNNVSGEAKPGRLLAIMGPSGSGKTTLLNVLAGQIMASPRLYLSGLLEVNGKPSSNKAYKFAYVRQEDLFFSQLTVRETLSLAAELQLPEISSVEERDKYVNSLLFKLGLVNCADSTVGDAKVRGISGGEKKRLSVACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAQDGHTVICSIHQPRGSVYDKFDDIVLLAQGALVYAGPAHDEPLQYFSRFGYQCPDHANPAEFLADLISVDYSSADSVYSSQKRIDGLVEAFSAQSSAVLYATALTRKPGPRHGMKFSKKTVAKKKGGWWRQFWLLLKRAWMQASRDGPTNKVRARMSIASALIFGSVFWRIGRSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERAKGSYALGPYLLSKLIAEVPVGAAFPLIFGTVLYPMARLHPTLSRFGKFCGIVTAESFAASAMGLTVGAMVPTTEAAMAVGPSLMTVFIVFGGYYVNADNTPIIFRWIPRASLIRWAFQGLCINEFTGLKFDHQHSFDIQTGEQALERLSFGGSHIRDTVIAQSRILLFWYCTTYLLLEKNKPKYQQLEAPAVAQTPQIELEPLETEQPPPSPKQVEQNQQVESPSLDQIRPFILEGAK >OMO60542 pep supercontig:CCACVL1_1.0:contig13711:60714:61471:1 gene:CCACVL1_24054 transcript:OMO60542 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MEPPMEYYEVVNEGNELEACEIVEEGNQNQNRNQTEERRADNDMSRPLLVEAEWPGIEEKETEHCKTPFIARIFKSISSRSVTTFPDLDINGETGGNSPKSIRCLAEPRMVRKIRIVAEQTPIQHILQPPTLASLLAIIVGMVPQLKSFVFGYDAPLSFLTDSLEILGGAMVPSVMLILGGMLAEGPNDSKLGLRTTIGIMVARLLIRAPLAIHDAECHIVGSYC >OMO60541 pep supercontig:CCACVL1_1.0:contig13711:56300:58511:1 gene:CCACVL1_24053 transcript:OMO60541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGRLVDENMIVLRKRIHETKVIERNYEPPADWMEWEKRYYTSYDSIICDVLGVLQSQLMNTRPSLALGMLALVTLSVPTSAAFMFFHFMEMAKGVVASGIHMM >OMO60543 pep supercontig:CCACVL1_1.0:contig13711:67958:69070:-1 gene:CCACVL1_24058 transcript:OMO60543 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribose 5-phosphate isomerase, type A MAIAWSAKASSMEALSLSPPNPMSSPVVLTQDELKRIAAYKAVEFVESGMVLGLGTGSTAKHAVDRIGELLRQGKLSNIVGIPTSKKTEEQALSLGIPLADLDSHPTIDLAIDGADEVDPHLNLVKGRGGSLLREKMVEGACKKFIVIVDESKLVKYVGGSGLAMPVEVVPIWWATTARKLQSLFEDSGCVAKLRKDSKGEPFVTDNGNYVIDLYLKKEIGDLQVASDAMLRITGVVEHGMFLDMATTVIVAGSYCCKTGDRFLNSLSANLLIDDDDESSQVLLFSVWWWGQGKVLVFTLGEEETVSGNVRIVKEQLKYEDDHVYQLQLLYSDNFNFIKSSSDY >OMO60549 pep supercontig:CCACVL1_1.0:contig13711:90771:99844:-1 gene:CCACVL1_24064 transcript:OMO60549 gene_biotype:protein_coding transcript_biotype:protein_coding description:Erv1/Alr MSLLYWILILNLSILQASPSPVASRTLLSDNDGGGSSDPKVYAVELNATNFDGVLNNTSATYAIVEFYAHWCPACRNYKPHYEKVARLFNGPEAVNPGIILMTRIDCALKINTKLCDKFSVSHYPMLFWGPTKEFVSTTGWEPNQAKSEICIIDNGRTAERLVNWINNQIGSSYSLDDENIENKQLIQAKPSDPEQIAVPDYVLVKPSPTSVDMSIILENKMIKWARATSSQAGSRTVLREIGSDSGGGGGGGEPKDFAVELNTTNFDGVLKDTPATYAIVEFFANWCPACRNYKPHYEKVARLFNGPDAVHPGNILMTRVDCALKINNKLCDKFSVSHYPMLFWSQPVKFVNAGWEPNQAKSDIRVIDDGRTAERLLNWINKQIGSSYGLDDQKFENEQLPSNISDPGQIARAVYDVEEATATAFDIILEHKMIKSETRASLVKFLQLIVAHHPSRRCRKGSAEVLVNFDDLCPPDMLSSDTHEVATSNVKGALRNFQICGKEVPRGYWMFCRGSKNETRGFSCGLWVLMHSLSVRIEDGESQFAFTAICDFIHNFFVCEECRQHFYKMCSSVTSPFNKARDFALWLWSTHNNVNERLQKEEASLQTGDPKFPKIIWPPRQLCPSCYRSQKDKGSSQIDWNQDEVYKFLISYYGKTLVSLYKEKGLLADDGTSATLDDLVTSTNAVVVPVGAALAIALASCAFGALACYWRSQQKNRKYYHQLHSLKNI >OMO60555 pep supercontig:CCACVL1_1.0:contig13711:140088:140510:1 gene:CCACVL1_24070 transcript:OMO60555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNQKKGFFRGKLAKSLARVTKRQPTTYQQQCGGGSGGGGGSKVSPYPTSNTCFAGQNNQRMCAYYSYTSSKQPITSFHEQRNAVANLIPSSMQKVSNYGYGNESWGQADENVDLKASSYISNVRERFNFDRVDSTTGN >OMO60550 pep supercontig:CCACVL1_1.0:contig13711:108960:109809:1 gene:CCACVL1_24065 transcript:OMO60550 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MENFHGLFATSSPLSSSLSLNMSNSLGSYGEFEVGNKGNNGFLLGLMADHHIDHQVPASFNVSNISDHQNKIISFPDQINGGGLAAAGGNNNNNETEVMKGVGKKKGDKKVRKPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRAKALYFARHSVK >OMO98833 pep supercontig:CCACVL1_1.0:contig07011:130996:134004:-1 gene:CCACVL1_04043 transcript:OMO98833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ovarian tumor, otubain MLGVLCARPPKPWILNSLSLVAHGGGSAAHHHDSRLLHWPHFADLSADNRRCRHHSTACRLGGSDGGAASIWHAILPCGGSGRGRKREEVWKNVERKGEGSWNVAWDARPARWLHRPDSAWLLFGVCACLAPMIEFVDVNPETDDKIEGTELISINGLSADEKSSISSSPVAAPDNYKVTGVLADGRCLFRAIAHGACLRSGEEAPDETRQRELADELRAQVVNELLKRREETEWFIEGDFDAYVKEIQQPYVWGGEPELLMASHVLKTPISVYMIHRSSRNLIKIADYGEEYQKDKETPINVLFHGYGHYDILESLPEQMCEQVNT >OMO98830 pep supercontig:CCACVL1_1.0:contig07011:29838:32074:1 gene:CCACVL1_04040 transcript:OMO98830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDGEGQRFKTAFLDDTP >OMO98832 pep supercontig:CCACVL1_1.0:contig07011:79304:113869:1 gene:CCACVL1_04042 transcript:OMO98832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGSGTTIPDRDIFLFLGCSANKFPWFLRRFNQVSLPLILGFQALGLIDEQQNMVGIVSKSKAIQLAWLKINLDLAQD >OMO98829 pep supercontig:CCACVL1_1.0:contig07011:4364:4423:1 gene:CCACVL1_04039 transcript:OMO98829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SANLATTARCPPPATSCRC >OMO98831 pep supercontig:CCACVL1_1.0:contig07011:43440:49455:-1 gene:CCACVL1_04041 transcript:OMO98831 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MESFWWRLSLHAVYVSNLSNKVSKKAIWEIFNDFGVVVDAFLSNRKQNGSSCFAFVRYRFKEESVRAVELGNGRRVDGRQISVRKDTDRKIDRASQTWKNSHSGGGQVNTNYNPATRFNQRNARLQSGFFENRRRFLPNNRVRGQRVVRERSQVRRMFHRVRREDRRHGHSPGRKNGVLNNNPCSGCEDDVKDDSAPVEPPKNDAIQAGTSIPIDNALEEGELERSIIVMLNGDGILTEAIQVLSKMDLKVQIRELSSITLLLTFEEASLVDVCIGMVKSLDALCNDSGKLVKIDDITLRRESLKFARCQVLISSLSDIPKLVVGTSMDIKFKIHVTVEKDAEPVPVVSQEDNCTPAPLFCASQDDLSPSINELALVLAENIDSVFIDDIMHVQGGIRSGSINDDSRTKISVEEIMGESLNFFENEYVSREIVVFNADGVSSEENVDFVAETFEEQHVSDPGAHYSPSSSLNTSRASLEINNDGGIGFGKNFNPLGLRVKWVKCKGCEKKKRDKKRRRVNRLIYGDKTTIVIDSEASLSDGAIRRRNNSLKEADDTFEILSWNVRGLGRREKRRAVRSVVARNQADMVLLQESKLSEGDSNLIQSLRGKQNFQFRIAYAVGSAGGLISIWDESFFLLEFAVVEQRYILCVGVLRTIGFHCVIGYIYGRNEEQGRRVLWEELKDLKTHFDLPWILGGDFNVVLSTKDRMGAADNSQELIGSSLTLRARRNHIGRIEVDGRVVENPVEMKEVGEGNIEWLESSVSSEELYEAICACDGNKAPGPDGFNLCFIKSHWELLKDSMLEFISDFCNGKRFNANVNNSFITLIPKCDGASRLDQFRPINLVGCLYKILAKVLSRRLKTVLSGIVGETQFSFIQGRQILDCSLIANEAVEAMKKNKQGGICFEVDFEKAYDSVDWDFLDFIMRKMGFGDKWISWIMKLVTTPTISVLAVEIGLFTGFKVGNVAISHLQFADDTLIMCQADLEQVLNVRRVLGCFQLISGLKINFSKSSILGINVDQSIVQEWASIIKYKVGSFPCFYLGLPLGARPNSVALWKHVVERCQKKLASWKAKHLSMAGLGNGRKIYLVDWDSICKYKDQGGLGIVNLGLRSRALLNKWLWRFGNEHGSLWQKIVAENNGISSVGLFLNADFRRCSALWKAISKPIRCNDALSDFTTEGMLVSIGDDSEVDFLRDEWICGVILKDKFSRIFALASIKDGKAMDYGQFHNNEWKWNVTLMRELFGWELDQWQRFIDTISDYHLVEGLRMFCFGGIVSKEFIRLVLFAKLILIVGFLLMMCRNGCGMDRLHLRNEVVFNQKHFDALQLFDIIKLRLMWWAKAAWPNDVDNATDFFRFPNIVCISKRNMVVPRCVSWKCPAVGFMKFNVDEAARGKPGPAGIGGLMRDDTGKVWMEFSKSIGVTESNEAEICAIREAILMFCASRWVTTHGLIIESDSRNAIKWIECPDEVPWRLRKWVSHIGNLLKNCSSYSFNHIFREASMEADKLAKEGVDRSVPFIRHLV >OMO62606 pep supercontig:CCACVL1_1.0:contig13233:29794:31217:-1 gene:CCACVL1_22723 transcript:OMO62606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKAKPETEEQRCRKQREKMRGIGGPLLTIGDLLSDIGEESAAAPDNLYHHDSALPSPSSQPSSSSNFDSNDAAQSLDLTKLFQDNYEKLNEALAGSDHSWTALTLKLCTALETANKMVQSTDTNVKLLSEKVAELEKIVKRGDSAVTAARAISIHLNQKGESSVGSQNKEKSRSH >OMO62604 pep supercontig:CCACVL1_1.0:contig13233:1434:2921:-1 gene:CCACVL1_22721 transcript:OMO62604 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MAMAIDNTFEVDFSSYTYSTTTTTTTEDDFACNWNDWESPVVDWDSFSRERDEFQDLIESMMDDDGTAIGIAPEISNNSVSTDTSMAVDEESLSNGEDFKGLRLVHLLMAAAEALTGANKSRELARVILVRLKELVSPNDGTNMERLAAYFTDALQGLLEGSSGGGGGHGKHFITNGPGPHHRDDQHQTDVLAAFQLLQDMSPYVKFGHFTANQAILEAVSHDRRIHIIDYDIMEGIQWASLMQALVSRKEGPPTPHLRITAISRGGNGRRSIGTIQETGRRLVAFAASIGQPFSFHQCRLDSDETFRPSAVKLVRGEALIINCMLHLPHFSYRCPDSVASFLSGAKTLNPRLVTLVEEEVGPIGDGGFVGRFMDSLHHYSAVYDSLEAGFPMQSRARALVERVFLGPKIAGSLARIYRSGGGEEESSPWSEWLGAMGLKGVNISFANHCQAKLLLGLFNDGYRVEELANNRLVLGWKSRRLLSASIWTSTDSDL >OMO62608 pep supercontig:CCACVL1_1.0:contig13233:37886:42460:-1 gene:CCACVL1_22725 transcript:OMO62608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease II/R MRGGNGVAEQSVIVERVDDADKEKKKKRRSNRRSKHNSVNEARGEDSLKNGDKTKSLTQSISSSSSSLKQGLDMALKEQSPDRASDVAFCSMPTMHINEQVVSDSGDNIIDVSRSTFSNSCPEPSSKMGIDGFPPFHQVEGFARKKLFAPFWPVETINEALEKGEAFKALFRVNAHNRFEAYCKIDGVPTDVLISGVSSQNRAVEGDIVVIKVDPLGLWTRMKGSNGSSNNSAQIEDCNIVQEVNGLAGNSYKGKGKVDGDCVNAHSKNGVPIEKGFYDETEMTRASAYNHVNGHHQSSSDSLHVGFLPGQNEGINSVERLAASTSQFPLKRPTGRVVAIFEKSLRRDAIVGFLNVKQWFSYRQLYAKDARKISANFDNEYVTLTPTDPRFPKMIVFVRDLPDCIKKRLEDGDVTIERELLAAQIEDWTTESPFPQARVSHTFGRGGELEPQINAILYQNAIPCTDFPSEALSCLPSIPWEIPPEEFQTREDLRDLCVFTIDPPTASDLDDALSVEKLSNDSFRVGVHIADVSYFVLPNTALDIEAQIRSTSVYMLQSKTQMLPSLLSEKLGSLNPGVDRLAFSIFWDLNSRGDVLDRWIGRTVIRSCCKLSYKHAQDIIEGIIDVEKLNTLEECPQLHGQFEWTHIIRSVKYLNDISKFLKWKRFNDGALQLESSKVLYLFDEYGVPYDSRPSEQMDSNFLVEEFMLLANMTAAEVISRTFPDSALLRRHPEPNMRKLKEFEAFCRKHGLELDTSSSGKFHQSLQKIREKLKDDPVLFNILMSYASKPMQLATYFCCGELKDNVNDWGHYGLAVPLYTHFTSPLRRYPDIVVHRTLAAVIEAEELYLKHKGLVKDINGEEVSRRCFTDIYFDKEAAASPEGKEALTIAALKHGIPSPELLADVAAYCNKRKLASRNAEDACEKLYMWVFLKKTEILLSDARVLGLGPRFMSVYIPKLAIERRIYYDEVEGLSAEWLESTSTLVLNLSGQKRLFRKGGLGNYMVLGNFACVVNPYDLSVETDIFDDSDTTSMRDNGVASPDLEPISKSWIDPGTFPLTVRLLSTIPVALYAIGGDDGPLDIGVRLYMSSYLK >OMO62607 pep supercontig:CCACVL1_1.0:contig13233:32288:35799:-1 gene:CCACVL1_22724 transcript:OMO62607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSWYGPLIDLSKASEHVGHFVQLLVFVHRSTPLQYKLSNGGQIIKTEIQVGDDTLPFFTVSLWKKEMRSLVLADFKITKFRDVFQARTDDWSSLRPLLHPYDSLLSKGAVELVAESRVGLSAKEKLRKVIEWVQRTGYTALNINDCQNRQLSRNWKVPEPNKFRDCPSLSEVLHLTSHCKAIFSASVGEIFLPITWRPIGESENENMFISRRLHTSRDNNLAEDLICTGCRLCGSPLDPEQGSSVGRTSLPLYCEKSSDRLHVVSLIYRPFMVVISLVISESELYIWDESEHMPLLVKNNAAEKMFGNIKAERVYLCYRDYKHDRNPNAAGTNPVSEKEESTAAEFWASASFIWRSACSLSQALIPIMISSIVPVVPKNTRGANDFRLIPTGIASKAPATEKTHVPNALQMFHIQLHSSEYI >OMO62605 pep supercontig:CCACVL1_1.0:contig13233:10656:13016:-1 gene:CCACVL1_22722 transcript:OMO62605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MVSDDMASNSSSSFSYPYPATLNITNFVSLKLNQTNFLLWKTQIMGLIESQDMAALLDGSLPTPSRSIETDDGETINNPKFAEWRKSDRLLRGWITGTLSEETLGLVVGLDMAAEVWQALNDTFTGNTQEHEFALEQKLHHESFVTTMMKPPRPTYYELISQLKSHEIIRSLNTSPSLETNPHQAFTAQRQGSRGGRGRGFARGGRSSVPFTSKRDIPQQLVALSLVEKQDDAWYPDTGATSHMTSDLGKLSIATSYDGPEKVMVGNGASIDISHSGSIVLKVDDKQIVLDNVLVVPDIKKNLISISQLTTDNPFNVEFSDIGFQIRDRRTGEVIATGKRVDDLYVLESSEKAKAFFSTRFRVVTRSVWHSRLGHPQVSVVQYLDNKKLIHCSNKQSPSHICSSCQMGKACRLSFLSLSDFSTTPFEITHCDLWGPSPVNSIQRFHFYVIFIDECTRFTWFFPLKHKSDFTTCFIKFHKFITIQFERPIKNFQSDGGGEFDKGEFQSYLSHHGIHHQLSCPRTFEQNGLAERKHCNITKLGLTMMLHASVPKRFWVEAFSTAVWLINRLPSKVLNMKSPFEKLFHKTPDYSSLRVFGSLCFPYLRDPSKTKLDPKSLPCVFLGYSHQYKGYRCFCPTTNKVYISRHVVFDEDVFPFQKPGSLYNISSTNLDAAVFTDWFPSLTHSSHSSTSSEEQMNPLVSAATIFHAPNHVVETSPAQHHSASRTFQFYRSKV >OMO51128 pep supercontig:CCACVL1_1.0:contig15966:23027:23492:-1 gene:CCACVL1_29988 transcript:OMO51128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETSQDDVEAEMKRLRQEL >OMO71352 pep supercontig:CCACVL1_1.0:contig11662:5279:6743:1 gene:CCACVL1_18267 transcript:OMO71352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPPCHTTAYAMHIVSPLLPQEKGAKLEGILTK >OMO71425 pep supercontig:CCACVL1_1.0:contig11644:1615:1971:-1 gene:CCACVL1_18222 transcript:OMO71425 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative receptor-like protein kinase MEFITEKIIDPSVASQINPNSLRKFIEIVDKCLKPNGASRPKMLDICWDLKYTLQLQQTAVGREAHEHSAIDASLEFSSRPFQRLPSNNDFVPLTRDIDGSHTTASRVFSELRIDGGR >OMO71426 pep supercontig:CCACVL1_1.0:contig11644:6904:7032:1 gene:CCACVL1_18223 transcript:OMO71426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESMAPNFVIKVKRLKGEGYDALIYAAGHVAFRPAQCLAPSP >OMO71427 pep supercontig:CCACVL1_1.0:contig11644:8030:11553:-1 gene:CCACVL1_18224 transcript:OMO71427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNRSSQVPLVANRLREDSFCKIIVFARWNLSCRNILSLQCGSSKVVSNCFHVTLISLKPVDKCVMAEQL >OMO71946 pep supercontig:CCACVL1_1.0:contig11523:1969:2058:-1 gene:CCACVL1_18008 transcript:OMO71946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQVRNLLRPSQLPCSRLWRAPTPSTDG >OMO71952 pep supercontig:CCACVL1_1.0:contig11523:57666:58136:1 gene:CCACVL1_18015 transcript:OMO71952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIHLMGPDGKGKGYSCTRPPCQKCGFEFKSSAGIFKTCMDCFLEGHSLYCCTYGVPSNWKTSLKNYRGLYSTSDSKPADEVVEMAHRVFKGEDKVFGQKYDLIENNCEHFAVYCKTGVPKSRQAALIRENPLYRTGKRIINKVRKKPNSVANEEY >OMO71948 pep supercontig:CCACVL1_1.0:contig11523:17774:28651:-1 gene:CCACVL1_18010 transcript:OMO71948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNLYEAVINGDIATLQGMANSPFILQVTTQGDNILHVAAKYNLKGVVEEMIKFQSLVSLVNQKNSKGDTPLHIAARLGSLGTAEVLVNCAKNISTREIEAGEKLVRMVNMEKDTALHDAARNGHVQIAELLIKEDPELALLTNDVGESPVFIAVDKKHVQIAKLIIEVAPEFSLAGRNKMNALHAAVVRSQDEVIKLSSIMAKLQNPLNYLTNMSFKNYLNFSSFGPSTIILAAFNNLMYGDFMDFLTERCKSSLSETDEDGWTPLHYVAHLGAVDTCNLFLKYIDTSTAYMRDKAGMSLIHIAAKEGETVILQILANRYPEMWDLQDNNGQTSLHLAVVGGKLDSVKFILDNVLSHDGLINQQDNKGNTALHLAIMQIDNRKIFELLVKDNRVNKTVTNEGGLTVIDILLLNKELGYFEKNWITLTVASNGGLESLEHTINKSGRQMKPTETRKLEQPDRSDPAIDTQVKEGKQLATKKEELPGPKRPSYQQLQGIASINLLVTTLIATVSFAAGFTVPGGYRSDGPDAGMAILSMKSAFRVFVITNALAFCFSCASMFLHYFKSFVEKLDALAFYTYMTTLLTSYAITALVMAFISGTYVTLGDTPVISKTKGDPKEMDTKLYKALLTRDITFLREKANSDLPFLLQMTTQGDNILHIAAKYNLIVVEEIITFLPLVSLVNQKNAKGDTPLHVAARLGSLRTAQVLIAELLIKEDPELALLTNDVGESPVFIAVDKKHVEIAKLIMDNAPEFYLVVIRLASYLGKLQSPLNYMTNISFRHHHRLTYFGTAAVIQAALNNLNYGEKCQSSLSKTDEHGWTPLHYAVHFGAVDICKLFLRYIDSSTAYIRDNDGMSLIHIAAREGETMILQILGNRYPEMWDLQDNKGQTILHLAIARGKLDSVKCILATDLSHDGLINQQDNEGNTALHLAIMQIDNRKIFKLLIKDTRVDKTITNMEGLAVIDILLLNKELGYFEKNWITLSVARKGGLESLEHTINKNGRKARLIETTNLEQLQQPARTDTQVTNRKAEHLGPKRPSYEQIKGMASINLIVTTLIATVSFAAGFTMPGGYRSDGPDQGMAILSRKLAFRVFVITNALAFCLSSTSMFLHYCKSFVEKLDTIASYTYMTTLLTSHAITAMVIAFVSGTYAALVDSPGLAKAVVSIGCSSFGLQGNWIVDVRHIFRKGNPCADGLADLAHTVTDGVSYYDDPPTPLLPLLMEDREGIGVLRV >OMO71947 pep supercontig:CCACVL1_1.0:contig11523:3629:6197:-1 gene:CCACVL1_18009 transcript:OMO71947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNMYMYGAVMSGNIATLQEMANSPVLLHVTTQGDNILHVAAKYNQRRVVEEMIKFQPLVSLVNQKNLKGDTPLHVAARLGSLGTAQVLVNCAKNTSTREIEADEKLVRMVNMEKDTALHEAARNGHVQIAELLIKEDPELALLTNDVGESPVFIAVDKKHVEIAKLILENAPEFSLAGRNKMNALHAAVVRSQDEVIKLFSIMGTKLQNPLNYITNMSFKHYIYFCFGASSNIPQAALNNLMYGEFMKFLTEKCKSSLSGTDEYGWTPLHYAVHFGEVEICNMFLKYIDYSTAYIRIRDKAGMSLIHIAAREGERVILQILANRYPEMWDLQDNNGQTVLHLAVAGGKLDSVKFILNYYLSNYGLLNQQDNKGNTALHLAIMQIDNRKIFELLIKDTRNSITLKVASKGGLESLEHAINKNGSKTRSIETTNLEQLQQQARTDKQVTNRKAGHMGPKRPSYEQIKGMASVNLLVTTLIATVSFAAGFTVPGGYTSDGPDQGMAILSRKSAFRVFVITNALAFCLSSTSMFLHYCKSFVEKLDNVAYYTSMTTLLTSHALTALVMAFISGTYVTLGDTPGLAKAVLSIGCSFFGLQLIVYFK >OMO71949 pep supercontig:CCACVL1_1.0:contig11523:36020:37013:-1 gene:CCACVL1_18012 transcript:OMO71949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTWSREGLLRLSRKVKKLRSKKTVSRDELVPGDHIFSDRKSSLYYHHGIYVGNDKVIHHPGKKTTPDNTPCDKCGFKHEEEGIVITCLDCFLQGQSLHRYNYLSIWKYYKSSERGGGDPWLMFESKPADEVVKKAYDILQKQNFGKYNFLLNNCEDFAFSCKSQLGLKISLQVQTMNLMLAFIFFGGPIYPIGISGAYYFLVAKGTFLYVKYVSDRINEKYERFWSKKAKMPLLF >OMO71951 pep supercontig:CCACVL1_1.0:contig11523:56719:56781:1 gene:CCACVL1_18014 transcript:OMO71951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASYTSGFIVESAMLLLD >OMO71954 pep supercontig:CCACVL1_1.0:contig11523:63611:69318:-1 gene:CCACVL1_18017 transcript:OMO71954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPELYEAAATGDLNFLNRKDPKFDVFQVTEQQHNTVLHIAVKFKQLEFCKKILFSNSSSFSSSSSSSLLLNYTSFSSLLLKSNSKGETPFHVAAKIGCSEIAQLFVDCVKLKQLRGDIIESWGVNSLEQVLRMVNLEKDTALHVAVKNGHFAVAKCFVEADSGLLGLVNGANASPLCLAMEGGFSRIASFFLENFPNSLDFADIDIKAALRSAIIHSQHDILKLILKRKPESRGETDEIGWTPLHYAALYGDLKTTQLLLQGNSKAAFIFDQDGTSALHVAAFRGHINVVELLVQSCPDLHEVTDNKGRTLLHVAVISGQEKMVRYILGMPRLIGIINEKDKDGNTALHLAVIYKRDKIIAILAQNRGMERAAMNNDMFTAYDIFSHQPRKLSFLVAKIHYRLRGTHGLPALQDWVNTNLKKEMIGETTDQKDRNFLFTGRKEDEMNNNSANPDEIEKTRSRLEIHLLIAMLIATVTFQAAFTMPGGYKDDGTPQFMQKSAFKSFIIFNSIAFIFSIATVFIQFATSKFSYYLRSRYSRLAEVMIFFAVLGMLLAFASGMYVALASSIGLSLVAYILVACFLLIYYACWFVDPISMHIPGLQQPRKRVEMKTLSTKALMRLPMKVVKSLRSIRAKKTLSRDELVPGDHIFSDRKSSLYYHHGHSLNRYNYVSNIGKWYKFSGPGPCIILESESADKVVEKAYDLLRKQSFGKYNVLFNNCEDLAFSCKSQLSEGQISLLRKDLAVEANKAYKGSFSLKLQLTLRNWIAINAMRFLIAYGTSTVNCYDRTFAKFEFYLFVNHECDFELVNKRFVRYWSRKAIMM >OMO71950 pep supercontig:CCACVL1_1.0:contig11523:40109:42956:-1 gene:CCACVL1_18013 transcript:OMO71950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEVYEAAATGDINFLSRKDPKFDFFQVTEQQHNTILHTAVKFKQLEFCKQILFSNSSSFSSSSSSSLLLNYSSFSSLLLKSNSKGETPFHVAAKIGCSEIAQLFVDCVKLKQLRGDIVESWGVSSLEQVLRMVNLEKDTALHVAVRNSHFAVAKCLVEADPGLLDIDIKTALRSAIIHSQHEIVKLILKRKSESKGETDEIGWTPLHYTALYGDLKTTQLLLQGNSKAAFILDQDGTSALHVAAFRGHINVVELLVQSCPDLYEVTDNKGRTLLHVAVISGQEKMVRQILGMPRLVGIINEKDKDGNTALHLAVIYKRDRIIAILAQNRGMERAAMNNDMFTAYDIFSHQPRKLSFLVAKIHYRLRGTHGLPALQDWVNTNLKKEMIGESTPDQKDRNLLFTGRKEDEMNNNSATFDEIEKTRSRLEIHLLIAMLIATVTFQAAFTMPGGYKDDGTPQFMQKSAFKSFIIFNSIAFIFSIATVFIQFATSKFSYYLRSRYSRLAEVMIFIAVVGMLLAFASGMYVELASYIGLSLVAYILVACFLLIYYACWFVDPISMHIPGLQQPRKYLRDLLFHYGII >OMO71953 pep supercontig:CCACVL1_1.0:contig11523:61175:61324:1 gene:CCACVL1_18016 transcript:OMO71953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSPTLVIGNLMKIRTASFELSILKEYPPPKNEEAGIIQKEREWHLAV >OMO69346 pep supercontig:CCACVL1_1.0:contig12057:3922:4752:1 gene:CCACVL1_19544 transcript:OMO69346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRKECLASSLVFGFVALAKRRGLKDS >OMO69350 pep supercontig:CCACVL1_1.0:contig12057:55126:55350:-1 gene:CCACVL1_19548 transcript:OMO69350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KIVVVAYKFDLPPAAKVHPFFHVSQLKKHIGQTSIQSPLPLLDDDGLIAKEPIAILYRRINKRRGRMITEFLVH >OMO69347 pep supercontig:CCACVL1_1.0:contig12057:5216:19903:1 gene:CCACVL1_19545 transcript:OMO69347 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MAAKVAPSSIIVGSHVWVEDPEEAWIDGEASEINGEEVIINCTSEKTVVAKITNVYPKDPEFPSCGVEDMTKLAYLHEPGVLQNLRCRFDINEIYTYTGSILIAVNPFRRLPHLYDSHMMEQYKGAAFGELSPHPFAVADTAYRQMINERISQAILVSGESGAGKTESTKMLMRYLAFMGGRNSNDGERSVEQKVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQGGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVEKYKLGNPRTFHYLNQSNFYELDGVDDSKEYLVTRTAMDVVGISQAEQDAIFRVVAAILHLGNVEFAKGQDSDAAEPKDDKSRFHLKTAAELFMCDEKSLEDSLCKRVIVTRDESITKTLDPDTAALSRDALAKIVYSKLFDWLVEKINISIGQDPESKFLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKDHKRFSKPKLARTDFTICHYAGDVTYQTELFLDKNKDYVVPEHQALLGASECSFVSSLFPPSPEESSKSTKFSSIGSRFKQQLQALLETLSSTEPHYIRCVKPNNALKPAIFENSNILQQLRCGGVMEAIRISCAGFPSRKMFREFIGRFAILAPEVLQGSYNEVTACKKILEKGNLIGYQIGKTKVFLRAGQMAELDARRTEVLGRSATIIQRKVRTYLGRKHFILLRLSAIQIQALCRGQVTRYQYEKLRREAACLKIQKHSRQFLARKAYKKLCFSAVSIQAGMRGMSARHELLFRKRRRAATIIQSQCRRFLARLHYLRLKKAAIATQCAWRARVARKELRQLKMAAKETGALQEAKSKLEKEVEELTWRLQLEKRMRMDLEESKNQENSKLQSALQKLQLEFQETKELLIKEREAAKIMAEETKDLLMKEHEAAKNVAEQVPVIQNVPVIDDELMNKLSAENEQLKALVSSLEQKIDETERKYEETNKLSEERLKQALDAESKIIELKTAMQSLEERILDMETEDQILRQQALLSAPSRKMSDSFQQAQLGSGPSKRFGREDSKLRRSQIERQQENVDTLIKCVSQNFGFSQEKPVAAFTIYKCLLHWKSFEAEKTSVFDRLISMIGSALEDQDNNDHMVYWLSNTSALLFLLQSSLKTPGSSAAHKPPAPSTSFFSRMTQSFRSSSANLPVGIVRQVEAKYPALLFKQQLTAYVEKIFGIIRDNLKKELSPLISSCIQVPRTSKGTAFKTSEESESDSSPDPASHWQSIIDLLLRRECCTFGNGEYIKSGLAELELWCGEVKQEYAGSSWDELKHTRQAVGFLVIHQKSRITYDEITTDLCTVLSVQQLYRICTLYRDDNYNTQSVSPDVIASMKHLMTDDSEEDGSSFLLDDDLSIPFSVEDISTSMKVNEFQDVKPAAELMENPAFQFLQE >OMO69348 pep supercontig:CCACVL1_1.0:contig12057:23670:29272:-1 gene:CCACVL1_19546 transcript:OMO69348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRIATRPRGGDLAAYPIWLQPSDRNRESD >OMO69349 pep supercontig:CCACVL1_1.0:contig12057:32624:34512:1 gene:CCACVL1_19547 transcript:OMO69349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridine nucleotide-disulfide oxidoreductase, class-II MFQTFSPEDIFSRRCIWVNGPVIVGAGPSGLAVGAGLKDQGVPFIILERANCIASLWQKRTYDRLKLHLPKQFCQLPNFPFPEDFPEYPTKFQFISYLESYAKHFDINPHFNETVQSAKYDETFGLWRVKTISAGGPNPVEVEYICRWLVVATGENAEKVVPEFEGLQDFGGHVSHACDYKSGQSYSGERVLVVGCGNSGMEVSLDLCNHNANPSMVVRSSVHVLPREVFGKSTFELAMLLMKWLPLWLVDKTLLFLARLILGNIEKYGLKRPSVGPLELKNTAGKTPVLDIGALQKIRSGDIKIVPSGIKKFSRGRVEFVNGQTLEIDSVILATGYRSNVPSWLKENEFFSDDGIPKNPFPNGWKGNAGLYAVGFTRRGLSGASLDAISVAHDIAKSWKEETKQKKKTVAARHRRCISHF >OMP07496 pep supercontig:CCACVL1_1.0:contig04394:1064:1832:1 gene:CCACVL1_01293 transcript:OMP07496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVCRRRRTARPAKICMKS >OMP07495 pep supercontig:CCACVL1_1.0:contig04394:61:647:-1 gene:CCACVL1_01292 transcript:OMP07495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTKIQPAALVGFSHLLVMSKHKGRTYQNNILRNPTLRSTFGTDLRVQNSERSYRNMVVCNVGSGPSLPDPNPGSWKFWLLGMLMSVVLPFWRGKWGPLMKIKQEVETIIDTVEAVTDVVEKVAEQVEK >OMO85759 pep supercontig:CCACVL1_1.0:contig09582:63208:63432:-1 gene:CCACVL1_10014 transcript:OMO85759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGYGGVGLAEVYVMRSLHKQKTKKLERAKSDDKVVVGGDEKFPSGCFFWVSKKTHSNSQVASAAVDDFDST >OMO85754 pep supercontig:CCACVL1_1.0:contig09582:4027:5445:1 gene:CCACVL1_10009 transcript:OMO85754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVLSSGSPRSSIDYYDELLVLQSETCKKQKIFSRFDEDSARLIPAFPDELSIQILARLPRLHYLKMRLVSRKWKETIMDPELYKLRKELGMTEQWLYVLTKVEEENIVWRAMDPLSGKWLRLPPIPNITIEEEPTSLWKMVGPRTKLAEFIRGWLGEKSGSDNTQFCGSSIAAIDGCLYVLGGLSRASTMKNVWRFDPIQMAWSEVTPMSIGRAYCKTSILNNKLYVVGGVTRGTGGLIPLQSAEVFDPYTGTWSQVPSMPFSRAHLLPNAFLADMLKPIATGMISYMGRLHVTQSLYSWPFFFDAGGEIYDPETNSWADMPNGMGDGWPQRQAGTKLSVVIDGELYACDPSTSPMDSGKIKVYDQQEDAWKVVIKNVPIHDSTNSDSPYLLAGFHGKLHVITKDENCHVVVLQAIPPDDLCPCQLSTSTSGLLHEVSDSPSGSESVVWKAIGTRDLGPAELVSCQVLEM >OMO85755 pep supercontig:CCACVL1_1.0:contig09582:9795:17707:1 gene:CCACVL1_10010 transcript:OMO85755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDLVELKFRLADGTDIGPTKYSPATTVATLKEKILAQWPKDKENCPKTIQDLKLINAGKILENNRTLAESRIPVGELPGGVITMHVVLRLPLTDKNNALTVSSPSPSYSFNNRNGNCPPLANMRLSLPQSLTSKRALVWMHERVQSTPSEHPNIVEE >OMO85758 pep supercontig:CCACVL1_1.0:contig09582:48390:58266:-1 gene:CCACVL1_10013 transcript:OMO85758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRITKWKIEKTKIKVVFRLQFHATHIPQSGWDKLYISFIPADSGKATAKTTKANVRNGTCKWADPIYETTRLLQDIKTKQFDEKLYKLVVAMGSSRSSLLGEATINLADYADASKPSIVALPLHGCDSGAILHVTVQLLTSKTGFREFEQQRELRERGLQAGSDQNGPDQSSSGRVSVSEEIVNSHMDKVNARVRFKDKSKDLTTLEDVGLNEEYGDLAVGFDGSSNTSESLYAEKHDTSSTHEIDSLKSTVSGDLAGLSQSPQQEKGDPSDHRFLAQGTNDWVHGWSSDYSADNDLTIAYEENSRLRGCLEVAESSIQDLKVEVSLLQNHASQLGSETEKFAEQLVTEISSGERLAKEVSALKSECSKLRDDLERMANYKLRPPLTSKEGGRNDQDRLLQDLEVIWSKGILVMEDRIRELQNKTRLNYHERDLRFLHADLEALLGILQDFKGAQKEISLRSVPYERHSMKGTREMSLTNGERFIRDTSFDAELYQPELGMVPCVTVPGLLSHEPDTLGATNAMKGKIFELLRELDESKAERESLSKKMDQMECYYEALVQELEENQRQMLGELQSLRNEHSTCLYRVQSTKAEMETMRQDMNEQILRFAEEKQDLESLSKELERRAIIAEAALKRARLNYSIAVGQLQKDLELLSSQVMSVFETNENLIRQAFVDSSQPISQAYLEMAQNQGLGSEEFQPTKPLHCQNQHVGVKKQQLGGDILLEDLKRSLHLQESLYRKVEEEVCEMHYQNVYLDVFSMTLQETMLEASADIEPMKKKTDELKWELQLSVESKELLMQKLQIAMDDIHSLNEYKATCIAKYNDLALQKQTLQADFENVTHENHLLSEKISELECHLMEYKSYKSKYDACATEKTELANLLKEETLENGNLRNDNSSLQEELRMMKTEFDELDTVKKNLQNTVDSLQNRLLNLLSSYGKSFDELSLSSDLVGHDIESKDLTVVMVQLEEAQRNAHEEIVHLLKEKKDLMDERDKAQVSLSAVESDMLMMKKKFEHDLRAMVDKINLSNFVVQKLQLELEAVGGRLKVSSEVEETYSQQQRDLLYDLGHFEAELQQLTSKNREITEELLLLESVNEELGSTKLTMAELIEENQGLMQSLQDKSEESSKLALELNSLKESMHSVNDELQAERSSKVKLESLVSDLTSQMNMKHHQLLHFDQQKSEVVRLKQILSDLESEKSRVCNLLRQSEECLDNARKESSYITFLESQLSEVHEHSIAADVSLIFLKSQYETWTADLVCQLSLYERHHAELQKKHCDVESMLNSCLAREAHCIEENSRLSASADSLKSELEASMAENRVLLNKNSSVIAELQEYKSRIEKIEFDYFEGKNKHALEVEKLKRLLVGSQEEIDNLMVLKEELELNVLVLKEKLYEQSAQITLLDGHNDEVLLLQNQCNELSQRLSEQVLKTEEFKNLSIHLKELKDKADAECIQAREKRESEAPSTAMQESLRIAFIKEQYETRLQELKHQLAVSKKHSEEMLWKLQDAIDEIENRKKSEASHLKKNEELGVKILELEAELQSLISDKREKMRAYDLMKAEMDCSMISLECCKEEKQKLEASLQECNEEKSRILVELNMVKELLETTSSMNVQKERKDKLKDSRISYEQAVDNAPSRDVDLKYLDQDNSSNSEEAEHAGLVPIDEGDCSTALTNLQPEKDLLVSSNVNGVQSLALVNQEVLLNSDLKHLALLNDHFKAQSLRSSMDHLNSELERMKNENLLPSEDANHFDPKFPGLQQELMQLHKVNEELGTIFPLFNEYSNSGNALERVLALELELAEALQAKKKSSILFQSSFLKQHNDEEAVFKSFRDINELIKDMLEVKGRYGAVETELKEMHERYSQLSLQFAEVEGERQKLMMTLKNIRATRKAQNLNRSSSASLGDHS >OMO85757 pep supercontig:CCACVL1_1.0:contig09582:44131:47523:1 gene:CCACVL1_10012 transcript:OMO85757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSEPDIIRSIKTSYPQYDQIVEEKEQYIKFYDMFPDVFKYDDKDMFVSMMKKTWDKSQICPQIIIYKIFRSRAIKCATALLEGETGHKVVDLNAFFIMNDEQHRQTPLHMACNYVICPAFIHLLIRHGARTDLRCFAPGDKHHEMLPLNLILSVRRSHIHDWGWTPKHSIFHLIVLLCLDSYASDRLEAVRLLVDSTKEVDKEIAKYVMGGKVVELAFLILAAPEKLTTPIPFGDDSNGSMTIRQYLLGEISLLKATQTSVAYHPNLYKTDEIDETDILEYRDASLSKLKQKLVLSMSQLLLLEVCERVGNKIVTHLKEHQLCYNRADNFEDEQEVAKDIASLLQNAGFTLTFEDYNVIITEQDKDQIRGGTLERYLHVHKIHGESELPTDHVNFPWPSWPSTEPFYEKLVTFLPDTRPYYLKLEKTSRPLDLMDDTEHLPRSATSFITDLINIDLQKEKDINFDDDQLNSLAAALENLSQWEAMLLRWRQLGILRFSI >OMO85756 pep supercontig:CCACVL1_1.0:contig09582:37938:39092:-1 gene:CCACVL1_10011 transcript:OMO85756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRFLSTVVTKARTLLASSLTIHDQFFHSQINTDVSYDKNTNTSTGAFVVRDDKGNVVLACVFKYEPQPTQIPLHVRETIAAHAAVKTLLYFLEREGKGKLPWSVILETDRDEVPDRVNKDARITDDVKEYYRDIDRMKKRFNSFEARRMDREGNRVADYLASTVAHACPTNGRLYVIGFDNKDYTGFRKLDELIKNDRDGLPGYDLNYKKD >OMO88493 pep supercontig:CCACVL1_1.0:contig09001:112939:115221:1 gene:CCACVL1_08359 transcript:OMO88493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFISVSKTYQLKQRVHPSIPRISNPLHFFCTTQDPNTESEELNNVAPQPQQEDAPPQPQQEEEAANVVKQRTPHRGKARNPEKIEDTICRMMESRAWTTRLQNSIRNLVPEFDHSLVYNVLHRSRNSEHALQFFRWVERSGLIRHDREAHMKIIEILGGASKLNHARCILLDMPSKGVQWDEDMFVVMIESYGKAGIVQESVKMFQKMKELGVERTVKAYDALFKVILRRGRYMMAKRYFNRMLSEGIEPTRHTYNIMLWGFFLSQKLETAIRFYEDMKTREIEPDVVTYNTMINGYTRFKKMDEAEKLFVEMKGKNLAPTVISYTTMIKGYVAAEQVDDGLRLFEEMKSSGIKPNATTYSTLLPGLCDAGKITEAKDILKEMVNRYIAPKDNSIFIKLLISQCKSGDLSAAHDVLRGMMRLSIPTEAGHYGVLIENFCKANEFDQAIKLLDKLVEKEIVLRPQSSVDMEASAYNPIIEYLCHHGQTGKAEVFFRQLMKQGVLDPIAFNNLIRGHAKEGNPALAFEILQIMGRRGLPKDADAYKLLIESYLRKGEPADAKTALDGMIEDGHLPESGIFKSVMESLFEDGRVQTASRVMKSMVEKGVKEHMDLVAKILETLLMRGHVEEAIGRIELLMQNGCAPNLDSLLSVLSEKGKTIAALKLLDFGLERDCSLDFSSYEKVLDALLAAGKTLNAYSILCKIMAKGGITDTNWSSLEDLINILNQEGNTKQADILSRMMRGEAASGSKKGKKRATVSS >OMO88492 pep supercontig:CCACVL1_1.0:contig09001:109296:110153:1 gene:CCACVL1_08358 transcript:OMO88492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSLSPNNGSARALDALLQDYAFRAFVRPKTGIPYNGVVPSNLTGIQIAAMRLRSGSLRTRGVPSYNEFEIPIGVVEQPYVERLVLVYQNLGNWSEVYYPLPNYTYLAPVLGLLAYNASDLSATNLPELDLRAFGDPIKIKFSDVQSSTGSLCVAFDLHGSVEFSNVTSGNECSTIQQGHFSIVTTESILPPSGGGGETNKKKKKSNRKVWIIVGSVLGGLALLILLAVLVLWVRKCRQRKEMEEMEKAAEGGEALRMTSVGDTKAPLATVTRTQPALENEYVP >OMO88483 pep supercontig:CCACVL1_1.0:contig09001:27618:32263:1 gene:CCACVL1_08349 transcript:OMO88483 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MEASSTNQDSYRGGGGSTNSRRYGMLSASNIIQAPISALLEYSGLLRTARSIHQESDPLIPNQNLDNSTSALANNGEVSIRIIGAGDHDSERDASGMVVGQLREGTSQSEVSLGLGTSDGQGGDSRSSDRGVAAAGEGASQSSSNGSVSGADAEAGDGGGAGLNNSRDSSYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNEILRKQTALKGERKMSVLIGISLAFTLHVVAVYWWYWNDDLLYPLVMLPPKSIPPFWHAIFIIMVNDTLVRQEAMVLKCFLLMYYKNSRGRNYRKQGQMLTLVEYMMLLYRALLPTPVWYRFFLNKDYGSLFSSLMTGLYLTFKLTSVVEKVQSFFAALKALSRKEVHYGAYATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSYGDGSTSLFFQIF >OMO88487 pep supercontig:CCACVL1_1.0:contig09001:48078:51905:-1 gene:CCACVL1_08353 transcript:OMO88487 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MERFLSAVMAAPTAAAGGESLLGTIKIAVLPIAKVFTMCFLGFLMASKYVNILPANGRKLLNGLVFSLLLPCLIFSQLGQAVTLQKMLEWWFIPVNVVLGAISGSIIGFIVVSLVKPPNPYFKFSIIQIAIGNIGNVPLVLIAALCRDTSNPFGDSETCSTKGTAYISFGQWVGAIILYTYVFHMFAPPPEGTFDLEELNLPLKTPQKDAPPEQVPLLMQEATPADSDSSKRGKVKKVIVWIYEKLKLKQILQPPIVASILAMVIGAVPVLKKLIFTSDAPLYFFTDSCIILGEAMIPCILLALGGNLVDGPGPGSSRIGLRTLVAIIFGRLCLVPPAGLGIVTLADKLGFLPPDDKMFRFVLLLQHTMPTSVLSGAVANLRGCGKESAAVLFWVHIFAVFSMAGWIVLYLHILF >OMO88494 pep supercontig:CCACVL1_1.0:contig09001:116654:118520:-1 gene:CCACVL1_08360 transcript:OMO88494 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mRNA cap methyltransferase MAHGPFYNGWAKSPTQFSHRSIERSERDRRERKRGKKILKAATEARLRYRRKNGVDMVGSCTSSWNHSWNALRHGKCSVLHSQSLSRPGNLGNAVRKKK >OMO88491 pep supercontig:CCACVL1_1.0:contig09001:78926:92953:1 gene:CCACVL1_08357 transcript:OMO88491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVDLNWAIKLMPKDLAHSDSTYRSNFVSSVLIWAANLGKLG >OMO88482 pep supercontig:CCACVL1_1.0:contig09001:16433:16960:1 gene:CCACVL1_08348 transcript:OMO88482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKYEGIWDEACPWLPPNISAWSVSADFWENLLSKAFQLGLLELMGTLLWNIWFNRNRALHEGVCRLPSTICMMAARLVGEVESSRQRGLPRSRGGMSHVWKPPFSGMVKMNTDASFCANRNEAGLGVVYRNDMRQVLLSAIARIDHVMDPLFTEIYAMRFGLILAAEIWFSFV >OMO88489 pep supercontig:CCACVL1_1.0:contig09001:67114:67281:-1 gene:CCACVL1_08355 transcript:OMO88489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNIPRILQTNPKNKFGWEIPSSGFGAFSLQCASPPLHARGAQFLRAWGDGPIRP >OMO88485 pep supercontig:CCACVL1_1.0:contig09001:37754:42561:-1 gene:CCACVL1_08351 transcript:OMO88485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKWKEGQRRRSPRISAISNACKNKSKSKSKSMARQSRRVGRTALAPPAQEMGLQKPQAQGPASRTRARGKRKLKPLHDVAATSLSSFATQQPNDMQGSDQQSHNEDHPISSDPPTALGGNSTKFDDKAASPDQLSSVRSSKWIPEKRVLEFILDILQRRDTHEIFAEPVDPEEVEDYYEIIKEPMDFGTMRAKLHEGMYTSLQQFEYDVYLISRNAMHFNSSATIYFREARAIHELARKVFHALKTDPENFELEFSETRRRTSRRLIRESRGTSYSSNCKPATNLRSDSKSNISSKIMPCSLHNSSNLRKSISGIHGHSAAATEFSARDNEVHSGATNGRRNSFAEADRRCRYRPWMSLLTENDSIVSSDSEQLIPVNQQDIGYKDSLMLFVKDLGPIAQKIAKRKLIGCLIDASNCWSTPDSRHWVQELECQNPKAFGSTQRGLATPGSAFIAAPGNLFDHFHRGPNIFGNANYKVNSSYATAGEKAYAMDQMLIRNASADIQSSEEKRTPVSFRGDDRSSSATDVFGHFGSDRFHQDHCSEIQLGFSAFSFGAQQPNLSVAGLKNSGKSLTPITMLGSDSCTHAPQLQSSSAQYQANMWDLRSGNNYNVSASWPQQTMGSSICSEAKGSIHNDNIPIPRSWGQDISAADEVETSGFVEASEPMRLNSQFIFDLPFLKKRLDQINSSGKDKIFQQGFSAEDPFLDKPNWKRESIYSQHKELSIQTYNDGYKPFSLDAQHGDLALFL >OMO88490 pep supercontig:CCACVL1_1.0:contig09001:70787:71401:-1 gene:CCACVL1_08356 transcript:OMO88490 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MEAAAASSNGTSSLGKPSLSRKASSASFRLRCPSLNSLRLRRIFDIFDRNGDGMITVQEINQALSRLGLEADLSELDSTIKSFIKPGNIGLNFEDFVGLHQSLDQTFFGFDDQEAESDVCGGSEGEMSQEDSDLTEAFKVFDEDGDGFISAQELQVVLGKLGLPEGREIDRVRQMICSVDQNHDGRVDFFEFKNMMQSVLVRSS >OMO88481 pep supercontig:CCACVL1_1.0:contig09001:9427:11702:-1 gene:CCACVL1_08347 transcript:OMO88481 gene_biotype:protein_coding transcript_biotype:protein_coding description:kelch repeat-containing serine/threonine phosphoesterase family protein MHGEEKVDLIDGSSSLDNAYISFRLLQLQRLGGALGGLDRELSSDQFENEGSRFSYGTSESATVNQSKKERFQGFDCFLASVESKLDKDAEMIVACSAGEH >OMO88488 pep supercontig:CCACVL1_1.0:contig09001:52782:56697:-1 gene:CCACVL1_08354 transcript:OMO88488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVVEQMMVDTLHSSIDAKAQACCIRDEAGMATL >OMO88495 pep supercontig:CCACVL1_1.0:contig09001:122925:123029:-1 gene:CCACVL1_08361 transcript:OMO88495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RIRGREKRAPHRPHTSKRPMVNDNDVANAALAGL >OMO88484 pep supercontig:CCACVL1_1.0:contig09001:33266:35564:-1 gene:CCACVL1_08350 transcript:OMO88484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRRQGISRASTFKEEIHHPPDDDKSKNFASSYSFSSSNSPAASLAAQAIRASSASHKTDSPASDFDSSRSKEFNAYEDAKNDSKGFWGVLARKAKAILDDDNMSEQLNSSGRMGSSYGIGMDASTASQNQQANDQGFRRMDNPALRRGLDKLTTSLNQIGDTFEKAFEEGRTMVENKTQDIIQETRKLQIRRKGSSPMGDNQFSPQPMTQPTQPQNHENQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKERCAQLEEENKLLRESREKGGNPADDDLIRLQLETLLAEKARLAHENEIYARENRFLREIVEYHQLTMQDVVYLDEGAEEVTEVYPLSFSYSKVLSDDSPSSPKSPTSPSEEVTPCASPEVTKESLPLPIPPPPDEEAKEDDVPLPPNNTSPSPSLPDDQDKAKRPPLDSL >OMO88486 pep supercontig:CCACVL1_1.0:contig09001:45300:46895:1 gene:CCACVL1_08352 transcript:OMO88486 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MEGESEISQDGPLPGAPSHNDGGWAIDHSSQPALCTLCRRSFTPEYEATTDVLEIVGVCGDCKFLLLEDLATPPRDHRRRRTSRRRRNRQSSSESIENLFSQQFSQMINLVRQNQSPVSGFDDNIMDSGSTGMSLQRSSSRTTPSGSRRWRRVVSDTESDGFDNQDSVFGESESNFSLSRYRIFRGESDALSFSTYAGDSDASVDGHSFLDTEIFVQAENRSDIDTDTDIDPMQAGLNQWNWDEPEEDEGDEDGEWEEADAEEDAVEFTVSRAGLRDLFISNPSEINLPVFNFRRSRAGFEQLLDHLAETDGSRRGAPPTSVSFMNKLPRVIVSDEHEKHDGLACAICKDVLPVGTEVNQLPCLHVYHPSCILPWLSARNSCPLCRYELPTDDKDYEEGKQRHRNNRMEIQEIQQQQEASEEVPDVDSTSSSSSREGGLDWLLRAAAPFAGIVGVVLVLWLGKPLIERRGSTSHCNLPSWGQHQIRVSDTSLNSRGSRSRRWWSLF >OMO89140 pep supercontig:CCACVL1_1.0:contig08841:1771:1996:1 gene:CCACVL1_07996 transcript:OMO89140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASIDSEVSEPNLHLESFLAHNQICFKCDKGSLKSHEAKTP >OMP07853 pep supercontig:CCACVL1_1.0:contig04163:910:1011:-1 gene:CCACVL1_01204 transcript:OMP07853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESHKELTLEGSRMFNVPQLTFTLDSFEESMG >OMO76079 pep supercontig:CCACVL1_1.0:contig10927:4714:4899:-1 gene:CCACVL1_15934 transcript:OMO76079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATEHNQRQPSTPHYRIYAPSRIFWPVCVLSRRNRLQTGLIGRRRDEPTLQAAFKWRKTFA >OMO64535 pep supercontig:CCACVL1_1.0:contig12811:9254:9469:-1 gene:CCACVL1_21689 transcript:OMO64535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSNFGPFGLGGLLLMVVLAASMVVLPLMLPPLPPPPLMLLFFPVGIMAALVFLAFSPAEAVGNVVVHTV >OMO64534 pep supercontig:CCACVL1_1.0:contig12811:3325:6261:1 gene:CCACVL1_21688 transcript:OMO64534 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MALLQIHTLSHPIPSIPPIFSSSKSHFQDSVFFSNTKIRPFSLSTQPRKLLCKPPLGKYVREDYLVKKLSAQEVEELIRGERTVPIIIDFYATWCGPCILMAQELEMLAVEYGKNAIIVKVDTDDEYEFAHDMQVRGLPTLFFISPDANKEAIRTEGLIPIQMMRDILDNEM >OMO78162 pep supercontig:CCACVL1_1.0:contig10628:112002:116766:1 gene:CCACVL1_14625 transcript:OMO78162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDEAGSSLEWSREQDKAFENALATYPEDASDRWEKIAADVPGKTLEEIKKHYELLEDDVSRIESGCVPLPSYNSDGSAGHAGDEVTGKKGSGNLGHYNGESNHGSKNSRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDISAPQGPITGQSNGAATGASNGKSAKQPPQHPTAAPGVGMYGAPTIGQPIGGPLVSAVGTPVNLPTPAHMAYGVRAPVPGAVVPGAPMNMGPVTYPMPHTSAHR >OMO78158 pep supercontig:CCACVL1_1.0:contig10628:59540:68173:-1 gene:CCACVL1_14621 transcript:OMO78158 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine biosynthesis, HisF MITLHCSSMELVPYSSSFGTKSLLFSALSPPSIITLRQKCLKSTRKSISTRNLVIRASSGSSSVVTLLDYGAGNVRSVRNAIRHLGYEIEDVQTPKDILNADRLIFPGVGAFASAMDILAKTGMAEALCTYIKDDRPFLGICLGLQLLFESSEENGPVNGLGLIPGVVGRFNSSNGFRVPHIGWNALQIAKDSEILDDIGDHHVYFVHSYRAMPSDDNKEWVSSTCNYGEDFIASIRRGNVHAVQFHPEKSGDVGLSVLRRFLNPKSKGTKKPNHGKASKLAKRVIACLDVRTNDEGDLVVTKGDQYDVREHTKENEVRNLGKPVELAGQYYKDGADEVSFLNITGFRDFPLGDLPMLQVLRRTSENVFVPLTVGGGIRDFTDANGRHYSSLEVASEYFRSGADKISIGSDAVYTAEEYLKTKVKTGKSSLEQISRVYGNQAVVVSIDPRRVYVKSPNDVQFKTVRVAKPGPNGEEYAWYQCTVSGGREGRPIGAYELAKAVEELGAGEILLNCIDCDGQGKGFDIDLIKLISDAVSIPVIASSGAGIVEHFSEVFMKTNASAALAAGIFHRKEVPIQAVKEHLLKEGIEEWLEPR >OMO78150 pep supercontig:CCACVL1_1.0:contig10628:209:6064:1 gene:CCACVL1_14612 transcript:OMO78150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKSPNIYNMNLWETSGHAANYKENMFLFEIEKQEFGLKPMNCPGHCLMFQHRVRSYRELPLRLADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQIKEEVKGVLDFIDYCYTVFGFTYELKLSTRPEKYLGDLATWERAEAALTEALNESGKEWQINEGDGAFYGPKIDISVSDALGRKFQCATLQLDFQLPDRFKLEYSAEDEAKRERPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAIVCPVSEKSQDYARKVQEWIHEAGYHVDIDLTDRKIQKKVREAQLAQFNYILVVGEEEAKTDAVSVRVRDKNDHSSMSIEGLLQHFKDETEAFH >OMO78156 pep supercontig:CCACVL1_1.0:contig10628:52991:55682:1 gene:CCACVL1_14619 transcript:OMO78156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFGLVSAWNKRRRSKSQDHTDPWIYKPAEYWQIEDVKPRPTKRRHGSSVYTLKEMEEATCSFRDENLLGKGGFGRVYKGTLRSGEVVAIKKMELPSFKEAEGEREFRVEVDILSRLDHPNLVSLIGYCADGKHRFLVYEYMQKGNLQDHLNGIGETKMDWPSRLKVAIGAARGLAYLHSSSAVGIPIVHRDFKSTNVLLNDNFEAKISDFGLAKLMPEGQETYVTARVLGTFGYFDPEYTSTGKLTLQSDVYAFGVVLLELLTGRRAVDLNQGPNDQNLVLQVRHILNDRKKLRKIIDPEMARSSYTIESIAMFANLASRCVRVESGERPSMPECVKELQQIFCTNARGLGMGFRIG >OMO78152 pep supercontig:CCACVL1_1.0:contig10628:17229:19057:-1 gene:CCACVL1_14615 transcript:OMO78152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIEGCQITPQAPLDQIKSKIVASNGTQTAQCNPLAASIRSSY >OMO78151 pep supercontig:CCACVL1_1.0:contig10628:8466:10372:-1 gene:CCACVL1_14613 transcript:OMO78151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHLSPLAVTHLLQHTLRSLCIHENSQWVYAVFWRILPRNYPPPKWDGQGAYDRSRGNRRNWILVWEDGFCNFAASAAEINSGDCPGSSVYGSCEFQHYQGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIYKEPNEQEINFLSAWHNSADSHPRTWEAQFQAGIKTIALIAVREGVVQLGAVHKVIEDLSYVVLLRKKFSYIESIPGVLLPHPSSSAYPYKVDGYGAPEAWHFPAGAIAPPPEFYDHFNQPMKITPSMSSLEALLSKLPSVVPPQAAGYCESQQQSQFLTSSSQRPVEYMNGMEKVAKEEIDEEYRPEQQDMGESSSSISAYRRQQQHFHHHQDLNVTSGRSNNGF >OMO78163 pep supercontig:CCACVL1_1.0:contig10628:121541:122203:1 gene:CCACVL1_14626 transcript:OMO78163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MDPYYTICQESDDALEETYSLSPHNLFNMVLDFSYDSAKFSQSVIFHRDLILCEEHGPNLVLSMFPETAASSTLDFVRTAIVPDILSFAEGSNDDPRNRGRKVIKLTVEVDDRYHATDIDSQVIDVSLSYVNFKPASRSGIQSLKRVRYSDENDLLGLSASKKRRVMGSDSSECSICMEEFCNGEEIALMPCDHVYHHSCIVRWLETSHYCPLCRYQMPS >OMO78160 pep supercontig:CCACVL1_1.0:contig10628:98090:99568:-1 gene:CCACVL1_14623 transcript:OMO78160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTSPLKGQSYSHSLPRKFLPYVLYAILPIALFRLYFHPLPLPQISTTDQLSPNNRIVLTVSSSSSFSSPPVTSSLSKEEEIAGEKTPCDYTNGSWVHDKMGPLYNGTTCGTIKDGQNCISHGRPDFGYLYWRWQPSQCKLPRFDPNTFLNLLRNKHLAFVGDSMARNQLESLLCMLATASLPKLVYTNGEDNKFRRWHFASHNTTISVYWSPFLVRGVEKSNTGPDHNELFVDNVDERWGGDLDHIDTIVLSIGHWFLHPAVFYEGGSVLGCHYCVGLNHTEIGFYDVMRKAVKTALKTITERKGGSDNGIDVFLTSFSPSHFEGEWDKAGACPKTKPYKEGEKKLEGMDAEMRAVEVEEMEAGKMNAKQFGKMRLELLDVTKLSLMRPDGHPGPYMYPNPFANGVQERVQNDCVHWCLPGPIDTWNQIFLDVIRRWSIKSR >OMO78166 pep supercontig:CCACVL1_1.0:contig10628:125246:125353:1 gene:CCACVL1_14629 transcript:OMO78166 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mur ligase, central MGKGEILEEAKPGDICVLNADDPLVMSLPVPAGVRK >OMO78153 pep supercontig:CCACVL1_1.0:contig10628:24191:25354:1 gene:CCACVL1_14616 transcript:OMO78153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MDVSELKFAELIGGEEFLEVGLNTVNKSAMNKGLSNFVLVLYSNILGICILAPCIFIFYRKRTPPLLTWSTICKISLLGVLSYAGQICTYIGIGYASPTLASAMADLTPAFTFIFSIISR >OMO78164 pep supercontig:CCACVL1_1.0:contig10628:122700:122960:1 gene:CCACVL1_14627 transcript:OMO78164 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MVQESTARWAAAPGNWNGEDSSKEIKDPRYSPTPLGYKKRYRYEDGNPKQIGRWILHEYRLSDSLIKNDMNYVLCCLRKNERGNKI >OMO78161 pep supercontig:CCACVL1_1.0:contig10628:109025:110043:1 gene:CCACVL1_14624 transcript:OMO78161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIPNLASKKIPVYVSNLEGGV >OMO78165 pep supercontig:CCACVL1_1.0:contig10628:123596:124941:-1 gene:CCACVL1_14628 transcript:OMO78165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSVEMVPGGPHFTILPPMACAMSEDREVKLVEPCLKVSKVNLTSWSIGGGQAFIFNNQWHKIVVDNSDTLKPMAVFQIWSFWVRRESQKPELGFAPIKVGDVPD >OMO78155 pep supercontig:CCACVL1_1.0:contig10628:45312:46113:-1 gene:CCACVL1_14618 transcript:OMO78155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSGNMEVDLELNSPADKVWGTIRESTKIFPEALSHDYKCIEVLEGDGKAPGSIRLITYAEGSPLVKVSKEKIESVDEGGKVYVYSIVDGDLLKYYKSFIGKIIVIPKGESSCVKWCCEYVKTSEEIPDPNAIQEFAFKNFREIDDFIQSTNA >OMO78154 pep supercontig:CCACVL1_1.0:contig10628:31499:32221:-1 gene:CCACVL1_14617 transcript:OMO78154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHSKRKRNITEEEIAEYISKKAQKRAANKLRSECSNDSTSFGDSNLNPNFVWRKKIERDVSRGIPLDAFSIKAEKKRQRARMGEIEKLKKRREERALQKAKREEERAFLARERARAEFGDREKKEEEDFEFHQSKAIIDPGENRGLLERDNLELKAMKAMGSMEEGDMEFGSGAEVNLGLDQNISTVFILDMNGTNTIGSIMIMKIHLQKWCKAINSISFTQTLRIKERLLNFTSRKT >OMO78159 pep supercontig:CCACVL1_1.0:contig10628:89918:90576:1 gene:CCACVL1_14622 transcript:OMO78159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MREFLKTSQELTSNGLAMVDQFSEVLNKLNIPNIPHIDTTPSERRLLQADGSPAGNYNRINEALKEVPKNNPTLFKVYIKAGIYKEQVDVTKHMTNVMSIGDGPTKTTITGSLNYVDGVGTYRTTTAAVVGDGFIAKDIGFENTAGVIKHQAVALCVLT >OMO78157 pep supercontig:CCACVL1_1.0:contig10628:56816:58648:-1 gene:CCACVL1_14620 transcript:OMO78157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAISLSLYSDRQQVQQQHSFTNLNPSKGLSFLSSRNPLLSLQKIDRYNFKCSCSSSNSNSVTPSQFYAFLDDPFRGSRFLSNEELEKLKALESFVYLQELESGSLCIRAMRTEEMDLTVGLLAESFAESMLLPLGYEALLRFLVKQYMIERRAVMPHAVTLVGFYKEKSGEGGEQLAGTVEVCFDKRGANASPPSPTPPKNSPYICNMTVPKQLRRRGIGWQLLKASEELITQMTSSKEVYLHCRIIDEAPFNMYIKAGYDVVQTDSILILLTLQRRKHLMCKKLPVFRNIPESDISDSGEELP >OMO80826 pep supercontig:CCACVL1_1.0:contig10270:1052:1319:-1 gene:CCACVL1_12727 transcript:OMO80826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase VMEKYLVEMKAFCEKIVEVLLSSLGLTHEEDMKWFDPTSGSDPNQPKTTCVLQLNSYPVCPDPGRAMGLAPHTDSSLLTILYQGNIYFK >OMO70513 pep supercontig:CCACVL1_1.0:contig11815:11198:14350:1 gene:CCACVL1_18850 transcript:OMO70513 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP phosphoribosyltransferase HisG MSKSQTVVVNGKVDADVRIIERNEIRMGLPSKGRMAADTLDLLEACQLSIKRVNPRQYVAEIPELSNVEVCFQRPKDIVRKLLSGDLDLGIVGLDTVSEYGQGNEDLIIVHDALEYVDCRLSLSIPKYGIFENINSLKELAQMSQWTFEKPLRVATGFPYLGLKFMKENGLRHVTFSTADGAVEAAPALGIADAILDIVRSGATLRENNLKEIEGGLVLETQAVLVASRKALIQRKGALDTTHDILERFEAHLRAIGQFTVTANMRGTSAEDVAGQQLSTFNFCFSNDGPTVSPVFCKRDVKVSADYYAIVICVPKKALHKSVQQLRAIGGSGVLISPLTYIFDEETPRWRQLLSKLGL >OMO70511 pep supercontig:CCACVL1_1.0:contig11815:1875:5641:-1 gene:CCACVL1_18848 transcript:OMO70511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQPEDDPMAEKNPPPLDEDDIALLKTYGLGPYTHKIKEREKEIKEIAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >OMO70520 pep supercontig:CCACVL1_1.0:contig11815:73258:84882:1 gene:CCACVL1_18857 transcript:OMO70520 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MATEMTFDQLMYGVMKEDEDLELSSATFAIINSDSSSSSTPEAILSSGSDLSPEEELQEQEELERAFDFNEPVQELNCRDLKWSDLVELETGEAQSTSGLRCNEKQRIISDEKGREIFMSRKPRKRGSSRHSHSKLNARRMGHAPQISSRNECHAKTSSTTATAQIVGNWKGLKDNARDHQIVWPPMVVIMNTMTNICKNGKWLGMGSRELLELFSSYPAMKAEHSYGPHGHRGISVLVFESSAVGYLEAERLHKDFVEQGFDRFAWNNSGSNVMVPGGERQLYGFMAMKGDLDIFNQHCQGKSKIKYEVKSYEDAVLKEIKKMREQVAEERKVVKTLQGSLYNMSRNLHREMNDIQIFKRRVQSLHKEHKEEMDCQENFYKDQLKIKEAKVKELESWWKWNMMQMLLTLTIEPVQELEDCFEALGKPECRVCHRLCCRECSIPKGPGLNCRDLKWSDLVELETGEAQRSSNIEDDSKGKGIAMDDDEEFDCDTSQKSHETRKKSKWFEEFFERMDRLNIEEMGKSVWHCPACQGGLGATKWYSTQDLIQHSNKEEARRMKAHRELAGLLEEELRRKGNSTTATPTTSQNIAGNWKGLKDDARDHQIIWPPMVIIMNTMTSIYEDGKWLGMGSRELLELFSSYPAIEAQHSYGPEGHRGISVLVFESSAVGYLEAERLHKDFMEQGLDRFAWNSCSDMILPKGERQLYGFMAEKGDLDIFNQHCQGKSKIKYELKSYEEVVLREIKKMREDSQRLPWLKVMLTEERKVVKTLQGSLYDMGRKLQRKMNDIQIFKRRVQSLRKEHKEEINKRGILTALFLTNSSKQFNENEENMEATQAEKSDEGTLSFLPIKQPASNSNFLFPQLWLGMGSRELLELFSWYPAMKAEHSYGPHGHRGISVLVFESSAVAYLEAERLHKDFVEQGLGRFAWNNSRSKVIVPAPGGERQLYGFLAMKGDLDIFNHHCQGKSKIKYEVKSYEEAVLKEIKKMREQMDSQENFYKDQNKTNEAKVKELESWKRTFFDRNEEEIFPPFDGKYAEELQFQEALMSSAISLETRNNISNPFIYHASSSALIQAMPQPELVETETKGQGESSLSFCEICVERKETDQMFKTESCVHSYCVDCIGKHVFTRVEESITIITCPGVNCKAVLELDACRPLLPEIVIHSWEDALCQEFINGSLRFYCPFRDCSAPLLKDDGGEVIRESECPFCHRLFCVQCSVPWHSGINCEDFQRLNEDERGREDLMVRELAKEKKWARCPKCNFYVERTEGCPHMICRCNFEFCYGCEEEWTGNHGGCTRD >OMO70517 pep supercontig:CCACVL1_1.0:contig11815:41516:44813:-1 gene:CCACVL1_18854 transcript:OMO70517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEEMIEIESTAASLGIDLDKCDLNSVELPTGENFGIFSDDEDVYLYDDDQRELDSGFESVIIVDNLPIVSPEKFEKLEGVIRTVFSQLGVIIGVIKEDGIWMPIDPETNKTLGFCFIEFNTRQEAELAKKWRDGFRLDRAHTLAVNMFDDFDKFMGVTDEWSPAEIKPYRHEKNLLQWLTDEKARDQFLIRAASDTEVLWNDARQSKSELVYKRPHWTDSFVQWSPLGTYLATVHMEGAKIWGGPSSFDPLMRYDHPQVKLIDFSPGEKYLVTYSSHEPSNRSDANRVVINIFDVRTGKMRRGFERSADEFAIGGIGGVAGVSWPVFRWGGGREDKYFAKLGKNMISVYETETFGLVDGRHLEVENVVDFSWSPTDPIIALFVPELGGGNQPARVSLIQIPSKEEVRQKNLFNVSDCKMYWQSNGDYLAVKVDRYTKTKKNTYTGFELFRIKERDIPIEVLELDNKKDKIIAFAWEPNGHRFAIIHGDNPRPDISFYSMQTAQNVDRVSKLTTLKGKQANKLFWSPGGRFIVLAGLKGFNGQLEFFDVDELQTMSTAEHFMATDIEWDPTGRYVATSVTSVHEMENGFNIWSFNSKLLYRVMKDHLFQFLWRPRPPSVLTREKEEEIATNLKNYSQKYECEDQDVSTLLSDQDRERRMMLKEEWIDWVREWRRAYMEEKSEREELIGEVSDEEEEDEYEVEVEEVLNESEELVPI >OMO70521 pep supercontig:CCACVL1_1.0:contig11815:90142:94646:1 gene:CCACVL1_18858 transcript:OMO70521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MAKGEGSYDDYDLAEEVFWSSGVLEYGNEGGDSQQLDAYFAQQLQFQDALFLSVKENIHSSESEPRESESSLSYCQICLETKERNLMFPISGCSHSFCSDCITMHVKTRLEESTTRIMCPGENCRVVLGLEPCRPLLPKEVVSLWDDLLCQKLVRETGGPIIYCPFKDCSAPFSNESQEIIKESECLSCNRLFCAQCHVPWHVGIDCEEFQKLNEDERGREDIMLRNLAKENKWIKCPSCHMMVEKTEGCIHMTCRCKFEFCYRCGAEWSEDHQGGYDINLSEVLDGSEGEDLEEKYHIQLQLAAIILTESLPPSTSSTKSAEIVGESSRSYCQICLETKERNLMFPISGCSHSFCFDCISEHVKTRLKENTTRIMCPGENCRVMLGLEPFRSLLPKEVISLWDDLLCQKLVRETGGPIIYCPFKDCSAPFSNENQEIIKETECLSCNRLFCAHCHVPWHVGIECEEYQKLNEDERGTEDIMLRNLAKENKWIKCPSCHMMVEKTEGCIHMTCRCKFEFCYKCGADWSEDHFGAGCN >OMO70523 pep supercontig:CCACVL1_1.0:contig11815:129970:150327:-1 gene:CCACVL1_18860 transcript:OMO70523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKYPIGPEHYQLLEEVGQGVSAYVRRAICIPFNEVVAIKILDFERDNCDLNNISREAQTMILVDHPNVLKSHCSFVSDHNLWVVMPYMAGGSCLHILKAAYPDGFEEVVIATILREVLKGLEYLHHHGHIHRDVKAGNILIDTRGAVKLGDFGVSACIFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHSFFKQARSNDYITRTLLDGLPALGERIQALKKKEEDMLAQKKMPDGEKEELSQNEYKRGISGWNFNLEDMKAQASLIQDEDLMSDSAQGGSSNSLSGLDGPDKQSESQTSSQPTDKEDNDPVQNQPAFFAAVEPMRNIAKVIVERSDDDSSVASPSHELISPRPDNYVESNLGEKPIFEMNGKSSENMTKPTYQRTSTFKGERYDSLPTHFFSLLGKMLTSLQQNISGGNGAALPAGGDDIFSEVPSKSSRSSGKLNSDDLDEKAKPPVVQQRGRFKVTSENVDLEKVGPSPVLQKSHSMQVITQHPAASLAQPPSDAASPTPPHHLFPLLQSVLQTNIVQRDNILNLMKHMSAGDSTANREGVCMPSNAAVPEKSLLEAAHDREKELLHEITDLQWRLICAQEELQKYKTENAQPINLHRSKLQTYAVTAAGLFGADAFNITKILSAFPDFTAFNNILIQSGVANTIDSKQTITVLAVANGAMGDASGLSQDAAKKILSVHVILDYYDTQKLNNLNKSQSITLTTLYQESGLAQNQQGFLNLTFNGEGKAVFGSAAPGSQQNSVFVKSIASDPYNISVLQVSNIISINNANASTTPSPPSNPSSPPAQPPAAKSPAKSPATPPSSPPAKSPSSPPAKSPSSPPATPATPSTPPATAPSSPPATSSSPPPVPAAAPPRKVLAPAPSAQTPSASAAPPSDDDTSTAPTGAEAPVALSPSVIPVDTGAPGPSEAQAKKSGAQVSVSSGTYLASILEGRFRSRREKLKKTPQMSSEKVHVEHCKGVNGLDKVILREIRGCSAEVYLFGGQVTSWKNELGEELLFLSSKNTFKPPKAIRGGIPICFPQFGNTGSLEQHGFARNRFWSVDLDPPPFPSNTSSRAFVDLILGHSEEDAKIWPHRYECRLRVALGPGGDLMLTSRIRNTNTDGKSFTFTFAYHTYFFVTDISEVRVEGLETLDYLDNLKNRERFTEQGDAITFESEVDKVYLSTPTKIAILDHERKRTFVLRKDGLPDAVVWNPWDKKAKAMSDFGDDEYKYMLCVEAACVEKPITLKPGEEWRGRLEISAVPSSYCSGQLDPRRISKVEFNKLCLRVLGRENVRLHNLLISSILKNACTAKVPPPPVTSDESRQNGDVLLSPRKARSRVPIRFNAKVDSASHESTITNDNVVSGNRNPSSRDIWKPVQHHQEVSGKEDNERDFLLRNAEKSSLTKGSVDGFSEDHRENSELLVVEDGKELSARSSLQAPFGISLFPGNISGVRRVLPSARSGGYANSYDIGGLLDSEALRERIQQIAALEGLGGVSVDCANILNNGLDVYLKRLIKMCIELVGTRHGCNLRKNNPLKHHFHGKLANGVFPSHHNQVQNSSWGLEGIDENRSYKLISLLDFKVAMELNPQVLGGDWPLLLEKIYMRSFEE >OMO70512 pep supercontig:CCACVL1_1.0:contig11815:7081:10451:-1 gene:CCACVL1_18849 transcript:OMO70512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEPEDEIKDEKNPPPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >OMO70514 pep supercontig:CCACVL1_1.0:contig11815:17753:24113:-1 gene:CCACVL1_18851 transcript:OMO70514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNWRKGVVLLLFTLCILGFKLRCANGATDQGDVDEMIGIENLTAFKAQMVPAYASALGVLFTSLNSPQQLTGWTSSNGDPCGGQSWKGVTCSGQRVTEIKLSNLGLSGNMGYSLQNMASLKELDLSHNNLAGEIPYSIPPNLQRLNLAYNQFSGSIPYIFHDRPSLQYINLAHNQLQNQLADMFGPLSSLSTLDLSFNALTGDLPQGFSNLSSINSLYLQNNQFTGTINVLANLPLDNLDVSNNRFTGWIPDQLKNINLQKSGNSWSSGPAPPPPPGTPPATRNNRNHKSGGSNSPSDGGSGGSSKSGIGGGGIAGIVISILIVGAIVAFFLVKRRSRRSSSDIEKLDNQPFAPLAANEVQEMKSIQSSSSIDTKTFDTVPASINLRPPPMDRHKSFDEEDFSVKPVVVKKAVPAPTNVTSYSIADLQMATGSFSVENLIGEGSFGRVYRAQFDDGKVLAVKKIDSSALPSGMSDDFIEIVSNISQLHHTNVTELIGYCSEHGQHLLIYEFHKNGSLHDFLHLSDEYSKPLIWNSRVKIALGTARALEYLHEVCSPSIVHKNIKSANILLDAELNPHLSDSGLATFIPNADQLLNRDDVGSGYSAPEVTMSGLYSLKSDVYSFGVVMLELLTGRKPFDSTRPRLEQSLVRWATPQLHDIDALSKMVDPALKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMSKRTVGTDQGGGSQRTENPDTHDDYMS >OMO70515 pep supercontig:CCACVL1_1.0:contig11815:30568:32709:1 gene:CCACVL1_18852 transcript:OMO70515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MGSSSTQFEDIRADDWHDEFSYLIVRPERGGIWDLMKYSLMGNIESGAMFLESSDHGLVGGEAADHRWVILVSIIARKIIHLISKPMEFTGFVVDFFLNLIYQNGSLFGLFYNLLHGDVVIPKRGTKTFISTIGQLDQRIELYQGNKVLEELHNSASGEGIKRIEMDDRATMDLCMMASKLSYENPEVVRDVVVNHWKMHFVDFYNCWDDYQKEKSTQVFLLCDKPKDANLILIAFRGTEPFDADDWSTDFDYSWYEIPGVGKMHMGFLEALGLGNREDTVTFHYHLQKNIYSEAAKMKSSNSDFHPAGSTDRRNTDIPPDMVEMTAYYMVREKLRSLLKEHKNAKYIVTGHSLGGALAIMFPTVLVLHEEMKLIQKLFGVYTFGQPRIGDRQIGRFMETHLDHPVPKYFRVVYCNDLVPRLPYDDKTFLYKHFGVCLYYNSFYMEKRMEEEPNKNYFGITHLIPEHLNAVWELIRSLIMGYIYGPEYREGWFAMVARVLGLALPGIAAHCPTDYVNSLRLGKESSI >OMO70522 pep supercontig:CCACVL1_1.0:contig11815:112356:117251:1 gene:CCACVL1_18859 transcript:OMO70522 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MALFRVPSSFPSTLPSVFPQKLFSVPCLPISCSSKSASNQVKNDDDNNSNIDNPKEPKKLSQQSSWEAKDAEGKDYLYRLGKEADNMNIAVGARAGVIDDLFAGNFLGKDSDIVFDYRQKVTRSFEYLQGDYYIAPLFMDKVVCHITKNFLAHLLNVKIPLILGIWGGKGQGKSFQTELIFRAMGVEPIIMSAGELESERAGEPGKLIRERYRTASQVVQNQGKMSCLMINDIDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTRVSIGQDWRESDITHRVPIIVTGNDFSKIYAPLIRDGRMEKFYWQPTREDIVNIVHRMYEKDGISKDEVLHIVDKFPNQALDFYGALRSRTYDRSILKWIEDIGGVEELGHKLVSRRKNEELPVFTPPEQTVEALLESGHSLLKEQQLVMETKLSKEYMKNMED >OMO70518 pep supercontig:CCACVL1_1.0:contig11815:62361:62537:1 gene:CCACVL1_18855 transcript:OMO70518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFHCQQYNCKIKASTKRKGHGFSTKCASLVKQQRARLYILRRCATMLLCWYIQGDD >OMO70516 pep supercontig:CCACVL1_1.0:contig11815:33446:39200:-1 gene:CCACVL1_18853 transcript:OMO70516 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MSEELEKPLLDPQNFNREGIDLERLPLDEVFEQLRTSRGGLTSEDAEVRLQIFGPNMLEEKPENKFLKFLSFMWNPLSWVMEAAAVMAIALANGGGQGPDWQDFVGIMCLLLINSTISFIEENNAGNAAAALMARLAPKTKVLRDGQWQEKDAAILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKRTGDEVFSGSTCKHGEIEAVVIATGVHSFFGKAAHLVDSTEVIGHFQQVLTSIGNFCICSIAVGMILEIIVMFPIQSRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLIEVFRNDMDKDMVVLLAARASRLENQDAIDAAIVNMLADPKEARANIEEVHFLPFNPVDKRTAITYIDSDGKWYRASKGAPEQILGLCQEKDEIAGKVHAIIDKFAERGLRSLGVAFQEVPERTKDGQGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVCVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGREKDEHEALPVDELIEKADGFAGVFPVFK >OMO70519 pep supercontig:CCACVL1_1.0:contig11815:64467:68191:-1 gene:CCACVL1_18856 transcript:OMO70519 gene_biotype:protein_coding transcript_biotype:protein_coding description:AMP-dependent synthetase/ligase MAPQAPELPQEDFIFRSKLPDIYIPKHLPLHSYCFENISKVASKPCLINGTTGQIYTYEEVELTARRVAAGLHKLGVQQRQVIMLLLPNTPEFVLSFLGASFLGAVCTAANPFFTAPEVAKQAKASNARIIITQASYVDKVKEFAQENDVKVMCIDSAPEGCLHFSELTQADENDLPEVEINPDDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYFHSDDVILCTLPMFHIYALNSIMLCGLRAGAAILIMQKFDIGLLLDLIQKYKITIAPMVPPIVLAIAKSSETEKYDLSSIRMVKSGAAPLGKELEDAVRAKFPGAKLGQGYGMTEAGPVLAMCLGFAKEPFEIKSGACGTVVRNAEMKIVDPDTGASLPRNQAGEICIRGDQIMKGYLNDPEATARTIDKDGWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEAMLIAHPEIIDAAVVAMKDEVAGEVPVAFVVKSEKSEITEDEIKQYISKQVVFYKRISRVFFMEAIPKAPSGKILRKELRAKLASGNY >OMP10365 pep supercontig:CCACVL1_1.0:contig02577:969:1211:1 gene:CCACVL1_00983 transcript:OMP10365 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, subunit 2 GIQEEQVVPARYRQEFLTIAWEQVHLRSIFPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQ >OMO83989 pep supercontig:CCACVL1_1.0:contig09809:30852:33153:1 gene:CCACVL1_11060 transcript:OMO83989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLPFLHITRSPPHSPDLRHSNSPLSRLNLHSTSRVCTSFPQESSFSLSSSSSSSLSVNRSQTFLSPLHQNPNANRSVTVKSQFNFPLISPNDQWGTWTALFATGAFGIWSEKTKVGSALSGALVSTLVGLAASNLGIISCEAKAYSIVLEFLLPLAVPLLLFRADLRRVIKSTGKLLLAFLLGSVATTVGTALAYLIVPMRALGQDSWKIAAALMGRHIGGAVNYVAIANALEVSPSVLAAGLAADNVICAVYFTTLFALAAKVPPETSSAPEDVATSEGSESGGKVPVLQMATALAVSFAICKFGAYLTKYFGIQGGSLPAVTAIVVILATIFPNQSGRLAPSGEAMALILMQVFFTVVGASGNIWNVINTAPSIFMFALVQISIHLALILGLGKLFRFDLKLLLIASNANVGGPTTACGMATAKGWSSMVVPGILAGIFGIAIATFLGIGFGLTVLKYM >OMO83992 pep supercontig:CCACVL1_1.0:contig09809:51699:53948:1 gene:CCACVL1_11063 transcript:OMO83992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPIFMQWNFLSKSSCSYRCREAHKNIRRLEKKSLINSMQPISKVDLKDSEKEIAFSSTSFFDEFFKKTLAFHVESRAYPLVRLDGPDAILNKIVIREETPPPTPSSSFNTTKAQAKK >OMO83985 pep supercontig:CCACVL1_1.0:contig09809:13364:18619:1 gene:CCACVL1_11056 transcript:OMO83985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAATWSPTSPQLRLALRCRNCRESRSVLVRARTRKLDSRVRLLSVARSKRKELVRRRNGASWIVADSTSGADTFSGWSDADTVEDSIDSKRNGWLGGIAGAGSAGLVLVAGLSFAAMSLSNRSTSRPKQQLEPLTTQQEVSLASENESDKVEENERETGIHKDLSSPSKFNGTSTDNKLDSDNGAYLVDSYTSNDSSATEDLQKVSALDGISVSSDITPVLPKLPESEVGGSSVASSLRESDSNLDIGSPQAISEIEDVNVRETIDTNLSDPVNLDKDLDEEKLVSQGKENSDISVDSFSSFSSSNEPAIVSFSVSSELEPLLEPQAVPMEYPETVESSSTVETLEISKMSQVSVEIKNQSLEMNNLNESESSETTSLSASAHPLTIDQSEIDYNEINDSKPVFESPTPRKSFSPAGIPAPSVVSAALQVHPGKVLVPAVVDQVQGQALAALQVLKVIESEAQPSDLCTRREYARWLVSASSVLSRNTMSKVYPAMYIENVTELAFDDITPEDPDFSSIQGLAEAGLISSKLSNQDLLKDDLGPFYFSPESPLSRQDLVSWKMALEKRQLPEADRKILYQLSGFIDIDKINPDAWPALVADLSSGEQGIIALAFGCTRLFQPDKPITKAQAAVALATGEDSDQVSEELARIEAESIAENAVTAHNALVAQVEKDINASFEKELLMEREKINAMEKMAEEAQRELERLRAKREEENIALMKERAAIDSEMEILSRLRREVEEQLESLMSSKVEISYEKERISMLRKQTEDESQEIVRLQHELEVERKALSMARAWAEDEAKRASEQAKALEEARDRWERHGIKVVVDNDLREESVGGTTWVNVGKQVAVEGTINRGETLVGKLKVLASEVQGKSREFINKIVEMIQHLILRLKEWTSKAGANAAELKDKTIVKARGSVQELQQNTESFSSALKEGAKRVAGDCREGVEKLTQRFRT >OMO83988 pep supercontig:CCACVL1_1.0:contig09809:28560:29882:-1 gene:CCACVL1_11059 transcript:OMO83988 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MCFHGGKRRVFNRLGGDSTAPTDSSKNQKVCYHWRAGKCNRYPCRFLHGELPDPSTAAAATANGSGSLKRFSDDSGFSGPVAKRGPNYKNKNTWVRSKTDQKVCTDWMQGQCTHGDGCKFLHSWSLGEGFALLNHLDGHQEFMTGICWPGSDTVYSGSRDGAVRAWNSSSGECVGVANVGGEVGCMFSEGPWVFVGIPDAVKAWNTQTNSDLSLSGPVGQVYALATSDDLLLAGSQDGTILAWKFNAFITNNNFEAVPSLKGHTRAVVSLVVSLAAGAQNRVYSGSVDHSIKVWSLDTLQCLQTLTEHTDVVMSLLCWEQFLLSCSLDQTIKVWIGTNDGGVEVTHTRSEEHGLLVLRGMHDMESKPVLLCVCNDNSVRLYDLPSFSERGKIFAKQDIRAIAVGPDRSGLFFTGDGTGFKVCKWAEAEAEAEAEAIATSS >OMO83991 pep supercontig:CCACVL1_1.0:contig09809:46809:50458:-1 gene:CCACVL1_11062 transcript:OMO83991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NDICTCTRNFSSTLVSSRKQEATASCKTCRGRPLVDGIGSVPASMMSTVGLELTNFINPDLTWKKVSKGNRSGTRRTRKAGAKNLTIGMGLADKNTRKVEDGTVSESEKLGVDVLGRRFGDKVEQVPIKKRRHMFRSPSPPPPLTPSPHLEASSQHLEFQPAAGQNSSSNSAQRQQLTETDQPTIEDFSGIEILAAAACSDGISDDVTENEASPSVEKSMQDRIQSSGSAMASEGTTALLETACCSPKDSLNEDKTEGSSFQDDSIADLQESHGDKDNTTAEKSIPLPDDRLLWDLNLTMDDWPCDGRNVDSEKDAVDNIAVTSEDLLTKKPQDVKTDDVNRVVSSEVDGVIKTSDLTNMPVGIEDMTGEKQESEGCSGSGGNRTEHVPMPPADAESCLMSATAKTNTSSEAVNMDQCLSHLPTPGPDKSTLISEENQETSLLTSNVGLNMEKCISEPEVSRTVCVENAQVQESDVASPYVPALGMVANDVLKTGINKDCEDHGSDSVKSLAHDLDKPRSLEPNEVGHANGAEEMDICHSSPKSEDMSISDDCGMEAMGTDGASSAYTAQTDSVADGGSEVLLQKSSRDAINESNEPVSQDKEMAVGLGSHSELQAGYDSQFEDGELRESDVQCWEEAEQVDYDTEFEEERSYGLESESGEKKFKVEIGSSPDVTGSYKYCETGEALRENPVNLQMRTVDVSAGETKKIDCLDGSNHRDYDPRVDLPKLSKRELLARVDGSVPSDAVQRNRSDNFDGSYPRSEREVGSEKFMGRDRSASHMRGRSPAGGHFFNPSTGYWDSKRQHSPSYNGPYNLGRTRPKGIVENRGYPMSADQMPSDATAVGRRDNYIRRPVYRSVLRRRSPVERDDSYGVHTRMGTVRDTSPDLPRFRRYQQGVNRGIRDEYLRHVPDEGAEYFSRMPHRLGRRERSISPHGGRPHYTLPYKKTRSRSRSRSPTGGWLMQRDRNEASRRRSRSPDFRSDARIDRVRMPFTKRFAADYGEEFVPPARNRISPQRNSRVFDDRNAGGLDHFRGRKSPVRMFRQGQSDDDKQGSRYEMMHRVRRYDTDGAVSRFRFNAEDSYVANNSLTVTNAIGVSSRRPEDAPRTDSEDRSFKMQQ >OMO83984 pep supercontig:CCACVL1_1.0:contig09809:6168:11911:1 gene:CCACVL1_11055 transcript:OMO83984 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein MGTSVQVTPLCGVYNENPLSYLVSIDGFNFLIDCGWNDLFDPSLLQPLSRVASSIDAVLLSHSDTLHLGALPYAMKQFGLSAPVYSTEPVYRLGLLTMYDQYLSRKQVSEFDLFTLDDIDSAFQNVTRLTYSQNYHLSGKGEGIVIAPHVAGHLLGGTVWKITKDGEDIIYAVDFNRRKEKHLNGTVLESFVRPAVLITDAYNALNNQPPKPQRERDRDFVDTISKTLETGGNVLLPVDTAGRVLELLLVLEEHWTLKSLNYPIFFLTYVSSSTIDYVKSFLEWMSDAIAKSFETSRDNAFLLKHVTLLISKNELDKVPDGPKVVLASMASLEAGFSHDLFVEWAADVRNLVLFTERGQFGTLARMLQADPPPKAVKVIMSRRVPLVGEELIAYEEEQNRLKKEEALKASLIKEEESKASHVPDINSSDPMDIDSNNKHPSLDGLGQHGSKYRDIMIDGFVPPSTSVAPMFPFYDNTSDWDDFGEVINPDDYVSKDEDMDPAAMHVGGDMNGKLDEGSASLILDTTPSKVISNELTVQVKSSLIYMDYEGRSDGRSVKSILAHVAPLKLVLVHGSAEATEHLKQHCLKHVCPHVYAPQIEETIDVTSDLCAYKEQLSEKLMSNVLFKKLGDYEIAWVDAEVGKTENDMLSLLPISAPAPPHKSVVVGDLKMADFKQFLASKGVKVEFAGGALRCGEYVTLRKVGSSSQKGGGSGTQQIIIEGPLCEDYYKIRDYLYSQFYLL >OMO83990 pep supercontig:CCACVL1_1.0:contig09809:33646:45895:1 gene:CCACVL1_11061 transcript:OMO83990 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH:cytochrome b5 reductase (CBR) MASFALSSSLVSLPVSPSIPSLHRSPFFLRQGLVTASTVPVVPNLGLSYQNTSLNKPLLSPSLTSKTRNVEVRSQLRYPLISPEDHWGTWTALFSIGAFGLWSEKTKIGSMVSAALVSTLVGLAASNVGIIPFEAPAYSLFLGYLLPLAVPMLLFRADLRKVISSTGTLLLAFLLGSVSTIVGTLVAFWVVPMRSLGSDNWKIASALMGSYIGGSVNYIAISEALGVSPSVLAAGVAADNVICAIYFMILFAIASKVPPEAPTSASNDVEMNLDPDLGGKSPVLQIATALAISFLICKSASYLTNLFKIEGGILPGVTAVTVILATLFSKQFGNLAPAGDTLALALMQVFFTVVGANGSIWNVINTAPSIFLFALVQVSIHLVMILGLGKLLRLDLKLLLLASNANVGGPTTACGMATAQGWSSLVVPAILAGIFGISIATFLGIGFGTMNTWTPKLQSKLTSTYFEGQGTNLVLFSFPVMFIAAFGCAYLHFQKKSTVSYSKAKSSAVLKRPMVVMAPLGIVSATELIFAAMFVALMIWSLANYLYVSFGHLHMHKAGEKIWEAKFRSVSLRLGYVGNICWAFLFFPVTRGSSLLPLLGLTSESSIKYHIWLGHVSNFLFLLHTIGFIIYWAITNQMAQILEWSSTYVSNVAGMIAMVIAIPMWVTSFPYFRRKMFELFFYTHHIYLVYILFYVLHVGDAYFCMILPGIFLFLVDRFLRFLQSRHRARLLSARLLPCGVLELNFSKTPGLYYNPTSILFVNVPRISKLQWHPFTVTSNCNMEPDMLSLVIKSEGSWSHKLYQEISSSTLDHLQVSTEGPYGPISPHFLRHECLVLVSGGSGITPFISIIREIIFQTQKNPNGKIPRVVLICAFKHSVDLAMLDLLLPISGTPSQISQIQLQIEAYITRDKEQPNPDTHKPLQTIWFKPSPLDSPISATLGPNNWLWLGAIIASSFVMFLLLLGIVTRYYIYPIDQNTETIYHYSYRALWDMFLVCVCIFIVSSVVFLWRKKQNAREMNQIQNTEVPTPTTSPGLWFPGGADRELESLPHQSLVQATKIHFGSRPDLKKILLDIKESDVGVFACGPRKMRHEVRIIAANLQVSKVVERKKTMKMVGSGMKLLLLLIFLGYMVLWIMMPTKLYFEHWMPQIRAKTVSTCFGPRQGASMLIYTFPILFIATMASIYLHLGKKKKNSDDNTNRFGTLRKPVMVKGPLGIVSWMELSFLSMFIVLLAWSTTSYLSRMFVNIDQMAAKTGLQVWEAKLEMSGLALGLVGNICLAFLFFQVTRGSSLLRLIGLTSEASIKYHIWVGHITMTIFTAHGLCFLVFWAKTHQISEVLKWDKVDISNVAGEIALVSGLVMWVASLPKIRRKMFELFYYTHHLYILFVVFFIFHVGFSYSCIMLPGFYLFLVDRYLRFLQSQQRVSLVSARILPCQTVELNFSKSPELSYTPTSTVFVNVPSISKLQWHPFTITSSSNLNPDKLSIVIKSQGSWTSKLYQKLSSPLPIDHLEVSMEGPYGPASTHFQRHDTLVMVSGGSGITPLISIIRDLLFKASNCNDRIPQILLVCSFKNSVDVTMLELLLPVSGSTLDISCLKLQIEAYVTRDIDPIITDNKNPLQTVLFKPKASDAPVSAILGPKSWLWLGLIISSSFVIFLLLIGILTRFYIYPIDHNSDIIYPTSARAALNMLCICIAIAMTATAVFLWNKKRNVKGRGQILSMDTPPTVSPALFYNNGERELESLPHQSLLQATKVHYGERPDLKKILFEQKGSSVGVIASGPREMRQEVAAICSSGLVDNLHFESFSFTW >OMO83987 pep supercontig:CCACVL1_1.0:contig09809:25063:28012:1 gene:CCACVL1_11058 transcript:OMO83987 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MSILCGLPLLECVYCLACARWAWKRCLHTAGHDSETWGLATAEEFEPVPRLCRYILAVYEEDLRHPLWEPPGGYGINPDWLVLRKTYEDTQGRAPSYILYLDHDHADIVLAFRGLNLAKESDYQVLLDNKLGKKKFDGGYVHNGLLKAAGWVLDAECDVLKELVEKYPNYTLTFAGHSLGSGVAAMLALVVVRHQDKLGNIDRRRIRCYAIAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCLRCMRDTCIPEEKMLKDPRRLYAPGRLYHIVERKPFRLGRFPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEREAQRALDLMLEKDHIMEIPPKQRMERQQTLVREHNEEHKAALQRAVSLSVPHAYAPSEYGTFDVFEHSEDSHKSTGETSDGSSGKSKSKESWNDLIERLFDKDESGQMLLKKSLRDD >OMO83986 pep supercontig:CCACVL1_1.0:contig09809:19520:20226:-1 gene:CCACVL1_11057 transcript:OMO83986 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L36e MATKQPNTGLFVGLNKGHVVTRKELAPRPSNRKGKTSKRVHLVRNLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRAHHPAGGEKKK >OMO83993 pep supercontig:CCACVL1_1.0:contig09809:56562:56765:1 gene:CCACVL1_11064 transcript:OMO83993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAELPSLTVGTNNHGTPAALLVVHSILGFQRTRSRAMDGESGSQNRMKLEAPPQSHGGAAGEGGR >OMP11671 pep supercontig:CCACVL1_1.0:contig01039:999:2039:1 gene:CCACVL1_00354 transcript:OMP11671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein MVTVARAIHCELLSSKPGATPKRELGSSQVSIPVQRVKVGEPGENGNIVLQPRLCTLRSYGSDRGGVLKTRKEEGYEVSPFFETLSEYIESTKKSQDFEIISGRLAMIVFAATVTEEFVTGNSLFRKMDLQGIEEAGGVCLLAMTCAAAFAWLSSARNKVGRIFTVSCNSFIDSFIDQIVDGLFYEIDPTDWSDDI >OMP11672 pep supercontig:CCACVL1_1.0:contig01039:2971:8066:1 gene:CCACVL1_00355 transcript:OMP11672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 77 MAIRTPLSLISSFPFSSKSHSSLSHPSQFSTLFFQPKTLTTQSLNLRFRNDAVASAFTDIEVGEDLPFDYGDWLPKPDPKLRRRAGILLHPTSFRGPYGIGDLGEEAFRFIDWLHLAGCSVWQVLPLVPPDEGGSPYAGQDANCGNTLLISLEELVKDGLLTNEELPQPIDSDRVNYSIVATLKDSLINKAAERLLLSDGEIKNQLEAFRRDPIISSWLEDSAYFAAIDDSLKAFSWYDWPEPLKNRHLAALENIYQEKKDFIDLFIAKQFLFQRQWQKLRNYAQMKGVSIMGDMPIYVGYHSADVWANKKHFLLNRHGYPMLVSGVPPDAFSDTGQLWGSPLYDWKAMEKDGFSWWIRRIRRAQNLYGEFRIDHFRGFAGFWAVPSEAKVAMVGRWKVGPRKSLFDAISRTVGKIDIIAEDLGVITEDVTELRKYIGAPGMAVLQFGFGSDSSNPHLPHNHEVNQVVYTGTHDNDTIKGWWNNIMEQEKSNVFRYLHITEEDDISWALIEAALSSVAQTTVITMQDVLGLGNSARMNIPATEVGNWSWRIPSSMSFDCLETEALKLRDLLCMYGRM >OMP11673 pep supercontig:CCACVL1_1.0:contig01039:8514:10762:-1 gene:CCACVL1_00356 transcript:OMP11673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMIASLSNSKLSFHYDYYFKYSGKCFKLNPRKELRIRASSSSSSAAPGVDLKTLESAISKKDSDAVKEALDQLSEVGWAKKWSSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLGLLAGQLPGDWGFFVPYLLGSISLIVLAIGSISPGLLQAAIGGFSQFFPDYQERIASHEAAHFLIAYLLGLPILDYSLDIGKEHVNLIDEKLEKLIYSGQLDAKQLDRLAVVSMAGLAAEGLKYDKVVGQSADLFSLQVLFAGSLLKNNKAIHEALISAMSNKATVLECIQAIENTA >OMP11670 pep supercontig:CCACVL1_1.0:contig01039:191:286:1 gene:CCACVL1_00353 transcript:OMP11670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVSIQNRAIRLKIPKGPTRPTVPKGPPAQTS >OMP11674 pep supercontig:CCACVL1_1.0:contig01039:11461:16264:1 gene:CCACVL1_00357 transcript:OMP11674 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-2 MEPKMEEVQRRLLEEKKDLEFTYGVHIGFDAVTAACNIVFRYFNVQGRRGRNPGKYEFQYLQKQAANLLQKACLKLRYEDGTSREEKELDMAEYCLHRAIVEIMELRKERDPLFKSWYSYVQAELEGLMEKLDRFMQVRTPKMPLLQQRSSKDVISSLKATRQQLASDLSSVSLAPLGVLVTKLASMIPEDFKGDLTVTQCLIAEVSKPLIGVPSPLLLHYLRLPVPEFREKLDEKCHGQKFTVLQLVNALSQPKPADRPVGSFIFMGEDPRFSQVHMVAEGLAETLYEGKEFLIKLDMSDYSSGDALIKLLGARAPSSFGQPNNVEGHLSEAVKRKPFSVVMLRNFEKAHDSVTSLLVEILKYGKIRDGLGNEVDFGNTIIIITLKLKGCRLRCSCYEFITKSKQSMRELVKSMGGESKHNCQFRHCLTTAEQNCPSLVNIVDDIVFVELFSIFHSSNATRVEMRDIALPRGVILYPSEAALDQFRRKKLTRGESQIDEAVAPMIQEMQVGNKLSGTDIIYLDIMLGSGELSYRLADYEKLILDPLYKQFDESLKEFRALYKKEKQKVQSIYLLMLKISQFISTEVAGDSNDLSKEVLGLFDNLDDYVDAPSSSDKPGCKEFELSCLPEEVTVDKGRLNKRVKKGVDNLLVTLSEKSLQQYVATDVIEKALLELIINKPENLSLCRPKSYLLLGLHCHGKAHFADYLGKNLVTGDGVTLVVDIDLSDFSDDTALLRLKNELARSIGNVQQPSSSQVVGDMTLRPCNSSQVVGDVKLRPCNIFLLNHVEKAHISVFSALLSALDVGMCRDSNGNMIDFRDTVIILTSELGNNKIITRLFEEAHEHQEPDPLQSDGDFRSELLNCVDEILFFNPVVSVQNRVARLQMRDRAQIPLSILPKFLLGLFKAENDPCFKHDSCDGYGDTLRCFISYVPEEECTFKIRIMSLGALGRQLLGDGMSFEVSSGDTIGSLKAKLEDKAENVAFYLRLCGEDPILSNKNTDMRRVVQLIPGNNRLAKYRGLEKT >OMP11381 pep supercontig:CCACVL1_1.0:contig01344:76:756:1 gene:CCACVL1_00555 transcript:OMP11381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHAGTVVGKLEGERDITLGFVDLLRDDFIEKDRSRGIYFTQDWVSIPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNEVIREASKWSPELAAACEVWKEIKFEFQAMDTL >OMO94466 pep supercontig:CCACVL1_1.0:contig07870:11141:15257:-1 gene:CCACVL1_05994 transcript:OMO94466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFPHYRSPFGDTTFTKVFVGGLAWETPTEEMRRYFEQFGEILEAVIITDKNTGKSKGYGFVTFRDPESARRACVDPNPVIDGRRANCNIASLGRPRPSPPRGRNQVSSPYQGVAQQQQGAPSYSGVAAAVPPPPPPPPPVIYPPYGYPTYSPEYGYHQALYNPQIQQPQYYHQMYGSSSSTTMGSPYYYGYSLQAPRGTFSAAQAQRIPSPSYLYYPTQMEGSFSTYPPPPFHLTRHPFPSSTDSQTPQHTSTETEAGAISSESPKT >OMO94465 pep supercontig:CCACVL1_1.0:contig07870:7273:8267:-1 gene:CCACVL1_05993 transcript:OMO94465 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative TRANSPARENT TESTA 1 protein MEPSDISYDSDLVYNSSTNYQTDHDHDHIEPLDLFPGAMQNSLDQPMPLLSCFLGSSQSTTTLVDHQNPKACSHIEGDKEDVTVALHIGLPDIHPSAGSSSSNINPNSKENNVNGGPTAKQYWIPTPEQILIGFTHFSCHVCFKTFNRYNNLQMHMWGHGSQYRKGPDSLKGTQPRAMLGIPCYCCAEGCKNNIQHPRAKPLKDFRTLQTHYKRKHGVKPFMCRKCGKFLAVKGDWRTHEKNCGKRWLCVCGSDFKHKRSLKDHIKAFGSGHAPFPPSFDGVELLDEDTASSLHV >OMO90259 pep supercontig:CCACVL1_1.0:contig08503:19553:25030:1 gene:CCACVL1_07438 transcript:OMO90259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSPDSHRRKHRRSPSDDEETEKSSKRHKHRHHKHRHHRHRSKKHEDEVKDGSENLLPLPRSVPRPDDDVEEGEILDEEQTAEIKAVESRPGLGASNPVVGENSDSLDEHARKGGDNSGERNQNHVPLSRNLSVDSQGESASRVVPDDHINGDLPSKYHVADGRKHRQSRSPSRNFKKKTYHEDVEEANDSKVSDKRKALSSESSGEKYKTSASSPFESRYHDYSRNRSETGDRARERSRSQSIVDEEALLKRSHHHERDRSRDGRHGSRILVRGDDREGSVSYGRHVSEERHQSLDTRGSERSRERDIDRERRREKDRERSREREMDGEWRRDRDKDRDIDGERRRDRERARSRDRDMSGDRRREKERERNRDRELEDERRREKERGKSRDRDLDNERRRVRDRSWDREVDRDRRRGKERDWSRDQSRPNDRGDRKKEKTEEWNQPRESERSDRSRDIEIENDGYGDRDRYKNYKHSKYDDTECYRDRTRKKEAAKLHGSNSDSLGGDRDKLKRDEEEQDNEDSIVLKLDEPEEDEINRIKEESRKRREAILEKYKSQQSQEQSQSHPEDMNKDNMDVEKSGQAVPAANSGPNDGGNEDVYVAEPLMSVRKSPLENGHAAERTSGAAGLGQGTPKSERSEDNFCDDIFGETPTGVRKSGKGDGLPVIRSGLHDNWDDAEGYYSYRFGELLDSRYEVTAAHGKGVFSTVVRAKDLKAGTNDPEEVAIKIIRNNETMHKAGQLEVQILKKLAGADPDDKRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRAYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLPYDHPMDIWSVGCCLYELYTGKVLFPGPSNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFLATEEDPVTKKAIKRMILNIKPKDISSIIVGGPGEDPKMIANFKDLLEKIFVLDPEKRMTVTQALAHPFITGK >OMO90256 pep supercontig:CCACVL1_1.0:contig08503:4642:5256:1 gene:CCACVL1_07435 transcript:OMO90256 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mads box protein MATQNQKQASNNNTKSDDKCHETWKKLKEEKQQSQKIQQDKANRENKGEFWWDEPIDNMTIEELEAYVKAIDELRNNVDKRAKDIMEANNPNPLGKDFPGLIY >OMO90257 pep supercontig:CCACVL1_1.0:contig08503:5737:7359:-1 gene:CCACVL1_07436 transcript:OMO90257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGNAPRHMARRMEQPRLNTDAAQYDQMLLHITGYYLTRQIKRS >OMO90258 pep supercontig:CCACVL1_1.0:contig08503:11411:17259:1 gene:CCACVL1_07437 transcript:OMO90258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVSAATPSSSTAAYVSWEEVNVSTDKGRREVHYYLKRRDGISDLAVIGKEKSLRHMSYHFAIKNRSLFFSNTPFYKLKTRREVVYWLESVVSDSSSHEPYSSVGGFCDGKNVNLDFGAVKTFQSRKLGQFTKEFLWLGSSWTCRKKRKHYQSFRRNGVIISIHNFVYVLAEEHKRLIAYLEDMYEDSRGSKMVVVRWFHKIDEVGIVLPSNYNNREIFFSLCFQNLSIECIDGLATVLCPEHFKKFLKEASNTQLDPFVCGKQFKNDDVKPFDITQVEGYWNQDILKYMYGHFTLNDCVSRQQRADDQKADADDGVGIRPRKRLRQSNDDVSFNYSDIRESMDALCSDVHDFVNSKNGTESFSLRARNSAILSTDEAKKKSSLHLKVGSKVEVLAQDSGIRGCWFRALIIKKHKDKVKVQYQDIQDAADEAKKLEEWILASRVAVPDPVGIRISGRPAIRPSPQCPKGSASCIVVGSVVDVWWHDGWWEGIVVKNDSEDKLHVYFPGEKRESIFNSGDIRNSQEWLGNRWIDIKQRHDVVRSIVLFRKQDAVKSNDCNLAKTTICDSGHCGKDATACGDSPVEPGNDGAKDVGVVPDLSKDDLLSQLKWKSFRKRKRGTVSSVKRLNCGRNFIKRTAELAGFEIEPWYLLLLLYEELFSDYLTHKAAMVLPGQPKKEVSRIELDKPKRLLLPGTCLASVESLSMPLLHEVVLSADIRCEECQRRIADIMSRMNDTDSVLVNVLEKKVTLTCRYPGIVNLPSRQVPVIYRTPLSTVAMIKRIFRSSRK >OMO90255 pep supercontig:CCACVL1_1.0:contig08503:245:2864:-1 gene:CCACVL1_07434 transcript:OMO90255 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment MASPFLSNTTTISFASSLSSTPLPRLFPSVSSAPIRFSSTPRRILTVRSKIREIFMPALSSTMTEGKIVSWIKSEGDVLSKGESVVVVESDKADMDVETFYDGILAAIVVGEGESAPVGAAIGILAETEEEIAEAKAKAASKSGASTPSAPSPAPAPAATSAPAPPKPAPAPPAAEGPRKTVATPLAKKLAKQHKVKIESVVGTGPYGRITPADIEAAAGISPSKNVGPAVVAQATPAAPPKPAAAPSNLPPPVPGSTVVPFTTMQSAVSKNMVESLSVPTFRVGYPVTTDALDALYEKVKPKGVTMTALLAKAAAMALVQHPVVNASCKDGKSFTYNSSINIAVAVAINGGLITPVLQDADKLDLYLLSQKWKELVEKARSKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADADGFFSVKNKMLVNVTADHRIVYGADLAAFLQTFSKIVENPESLTL >OMP11982 pep supercontig:CCACVL1_1.0:contig00620:9035:9754:1 gene:CCACVL1_00185 transcript:OMP11982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVECDSEDLWKRYIAAHPDARGFRGKQIEMYDELKIVCGNYQAPSRWAKMKDGSHPTGYKNFEEDSASFMSPSSEEVSDTDGTESYTGTPEYLQDNNQDPPLVEPVRQLPKRPRDSDALQEAMFAVASSIRRLADAMERSKTTVNSSELLQAVMEIDGLEEAKQMYAFEYLNADPIKARAFMTYNVRMRKTYLFRQFWWWK >OMP11983 pep supercontig:CCACVL1_1.0:contig00620:10619:12061:1 gene:CCACVL1_00186 transcript:OMP11983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQNSNPALTYDRIKLNVGGKLFETTVSTLRSGGPDSLLAALSNRPFHRDSNPIFIDRDPEIFSVLLSLLRSNRLPSAALRRFSIQELTDEALFYGVESRLRSASSPSPLSGIDASIVDTVRPAADAVPSTFSAAEDGSLWIAHGGQISLYDSYLSYSTAVRTHLDDITSICRVWPDIAAIGSESSSGLHFYNLSSGHYTGSVHWTDPSDPRLYKARVSAVAHSPDLVFAAFDCPHKENGVIVIDKSTLQVSSELTRQSGTAAKNTVAGKLRWLPATGLVLGSTVTSGAFGYSGYIRIWDPRSKTIVWETNEPGSGRSSRFGDPFADVDVDIDDLTLFKICSKSGDLAMADLRSLGEDPWVYITDTNPSMRDTSYAGNSVVLHCYQRQVFVGKDGGLEVWSGEKGLNGTVSSRRQIPESQDQSHEVFLRRNYVDKVENAERGIIKRIEGGGERLFVSREDMEGIEVWESSHYSGAVSVS >OMP11981 pep supercontig:CCACVL1_1.0:contig00620:2781:4031:1 gene:CCACVL1_00184 transcript:OMP11981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPPSMPPPNPQQIQQFLSSVLSQRGPSALPYTEDTKWLIRQHLLSLNSHYPSLEPKTATFTHNDGRSVNLLQADGTIPMPYQGVTYNIPIIIWLMESYPRHPPVVYVNPTRDMIIKRPHPHVTPSGLVSMPYLQNWIYPSSNLVDLVLNLSSAFSRDPPLYAQRRANPNPSPSPSPSPSINSSMSASYGQPPPPPRVAAAAAAYPPSPYGRVQMPHPSPQPPTPQQTDDAAEVYKRNAVNKLVEMVHGDIVGMRKAREVEMEGLFSAQAVLRRREEEINRGLKEMQDEKEALEQQLQIVLMNTDVLDSWVRDNEGKMKNLGKTNVDVDDAFNCADVLSKQMLDCTAADLAIEDVVYSLDKAVQEGAVSFDQYLRNVRSLSREQFFHRAIAAKVRAAQMQAQVASMAARAPHYVT >OMO55710 pep supercontig:CCACVL1_1.0:contig14599:4296:5576:-1 gene:CCACVL1_27062 transcript:OMO55710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class V/Cysteine desulfurase MAATASTTPHSLLLQKSPQNHAFLKLKPNSTTLPLTPAAAKRFSISCSATLPTQDRPSLQTQSQDRVFNFAAGPATLPENVLLKAQSDLYIWRDSGMSVMEMSHRGKHFRSIIEKAEADLRALLNVPEDYAVLFLQGGATTQFAAIPLNLCQPDDTVDYLVTGSWGDKAFKEAQKYCKPKVIWSGKSENYIRVPSFDGVEQSPNAKYLHICANETIYGVEFKDYPVPSNPNGVLVADMSSNFCSKPVDVTKFGLIYAGAQKNVGPSGVCIVIVRKDLIGNAQPITPVMLDYKIHADNNSLYNTPPAFGIYMCGLVFDDLLKQGGLHEVEKKNIKKASLLYNAIDESKGFYRCPVEKSVRSLMNVPFTLEKSELEADFLKEAAKEKLLELKGHRSVGGMRASIYNAMPLAGIEKLVAFMKDFQSRHA >OMO55712 pep supercontig:CCACVL1_1.0:contig14599:14680:14781:1 gene:CCACVL1_27065 transcript:OMO55712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRVICLCLFLFLSFVRPISSGAEEKGSLKVF >OMO55711 pep supercontig:CCACVL1_1.0:contig14599:6572:14640:-1 gene:CCACVL1_27064 transcript:OMO55711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKRSLFSRLTCLTRLQRCNHILGESGSQAPVHPQMAPAPNPALYSSSIDTSKWNKIQASKLGITRSMISLPSWIVLNTLHTAGFEAYLVGGCVRDLLLRRIPKDFDVITTATLKEIKKKFHRAYIVGKRFPICMVHIKDSVIEVSSFETVAKHDEYKEKASSPLIPNGCDEKDIIRWRNSMNRDFTINSLFFDPIKSKIYDYNNGMSDLKSLKLRTLIPPHLSFQEDCARILRGLRIAARLGLSFSKDTESAMQDLASSIEGLDKFRLMLEANYMLSYGSAESSICLLHRFKLLKILLPFQAAYIKNQRRSGRNVMMLMKLFFNLDKLVSCDRPADPSLWVALLMFHLALVKNPQDALVVSTFGSVLHHGNWKEGVKYAREHTNAEVKFVPEISGFSESKSDKDLAKEVVQFASLVQDSVCALTETSCLIESTSTYPFSKYSGLVFVPKRIARHAAKLFDLMVDDIESFVNQRRGWSSEINYHLLGKGEPYETRYVLGRIILETMMDGVLGGGTEIANVEKEVLQPKANEKILENDQRPMEKDKSPAPSIVDPEAKQGILKKPMMDVVLGEGTKVANGEKEVLQPKANGRNLANNQLPLKKDKKRAPSIVVDLEAEQGILKKQKLVDRNQNLYETFAARKKQEVVTKEEVQTLIKKQQKLSKTCELSEGETNLKQRNMSEKEKSQIRNQEKSMVKKESQRGRKHSEVSKKQIEVVDNGNLREDVAFKWQKLLSKEGGKEEVKEKTEKDEDAGGAVMGAKKIWSQQKSSRRSNENLENNLLDRPITRKFAAQISTSQRHCPEETKKLKPSVPSSNEFGDCIFVDVEENKSDNPDPVPMFLEETENSRLSEADEMEEVEMEDIVEEPIFDIDGCDAKNPLAVVDYVEDLYAHYRKMESFGCVSPSYMSQQSDINEKMRAILIDWLIEVHDKFDLMGETLFLTVNLIDRFLSRQTVMRKKLQLVGLVAMLLACKYEEVSVPVVGDLILISDKAYSRKEVLEMEKLMLNTLQFNMSFPTPYVFMRRFLKAAQSDKKLELLSFFLIELALVEYEMLKFQPSLLAAAAIYTAQSSLYGFKQWSKTCQWHSNYSEAELLECSRLMVGFHEKAATGKLTGVHRKYCTSKYGYTAKCEAAKFLLETQA >OMO55716 pep supercontig:CCACVL1_1.0:contig14599:35286:35852:-1 gene:CCACVL1_27069 transcript:OMO55716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MAPTLDNYVVAILILLFALPWKAKSMDSHQHHDGNGMKSLHFALFQHETINKTGYIVVNGVAGPGVSQTTTPFGTIFVFQDPMTVAANRSSKVVGVAEGSSITSGLDGLQSISVAKISLRLKRHIGSISIVGGTHNIKPSDHPVVGGTGDFLFVQGYVRSSPVNLHGLTVTYKIDFHLYWPPFVAKSS >OMO55709 pep supercontig:CCACVL1_1.0:contig14599:118:3368:1 gene:CCACVL1_27061 transcript:OMO55709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLLAEKESKIAEMDAASTGEAARLRAAVESIKGELAHLKHEHEKEKQSWEAASQGFKAKLEIAESNCIRAEIEAAKMRSQLELEASVQTQMLSTREAELAAAKEEISRLEKEFSSYKIRAHALLQKKDAELAAAKDSEQIKELEEALKEAESELSLVSAERDRARQDLQDVLSNHGKELAERDAALDNAKQQIKSLEMNLGSAKARHQSEKAAWEVDLKNLEETWRLRCEALAAQNEASSSEDIQKELEETKQRYKRLKEEHASFRDLADRMIEEKDKEISRLLDDNQNLQRSLESRQQVSTQKQDAPNLSASAAEQQILLLARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQEAMLKEELRNMERSKRREGVDMTYLKNVILKLLETGEVEALLPVVGMLLQFSPEEMQKCHQAYRSNTTDVPSSPANEASGSTLSLFSRFSFS >OMO55713 pep supercontig:CCACVL1_1.0:contig14599:15237:18770:1 gene:CCACVL1_27066 transcript:OMO55713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDTDNAASAATEEVTQSGDGVTVNIRCSNGSKFSVQINLDSTVHSFKELLARNCDIPANQQRLIYKGRILKDDQTLLSYGLEADHTVHLVRGFAPSPSPATTNATNAAGLTGPGAPNTTQTDTRTVGSDEAGALGGAGLGASLFPGLGLGGFGGNAGLFGSGLPDFEQVQQQLTQNPDIMRDIMNMPAVQSLMNNPEIMQNFIMNNPQMREIIDRNPELAHILNDPNTLRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGNTGNDSSNPFAALLRTQGGNQARDGSANQSTPASGTTTNSPAPNTNPLPNPWSSAATGGAHTNTTRSNPSADARPQAPAGLGGLGLPEFEGMFGAMQDSNLLNQLMQNPAISQMMQSLLSNPQYMNQVLGLDPQLQSMLDSNSQLREMMQNPELLRQLTSPETMQQLLTLQQSLLSVLSRPQSTQEPAQTGGGAGTLNSMGLEALMTMFGGLGAGSLAVPNRSDVPPEQLYATQLTQLQEMGFIDTGENIQALIATAGNVHAAVERLLGNSGQ >OMO55715 pep supercontig:CCACVL1_1.0:contig14599:25220:34802:1 gene:CCACVL1_27068 transcript:OMO55715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAIKFYTREGNFDMVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRIMDFFSHHPESLHMFTFLFDDIGVPQDYRHMEGSGVHTFTLINKAGKALYVKFHWKPTCGVKSLLEDEAIRVGGANHSHATQDLYDSIAAGNYPEWKLFVQTLDPVDEDKFDFDPLDVTKTWPEDIFPLQPVGRLVLNKNIDNFFNENEQLAFCPSIIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLQLPANAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRFDPVRHAEKYPIPTAICSGKREKCMIEKENNFKQPGERYRSFTPDRQERFIRRWVEALSDPRVTYEIRSIWISYWSQRNRIQIIMMERAESCQKLYTRMRLWEFPDEYVIEPTDGSSASSLSISRVDASMKLVDEIPECSSIRVPKIQTIFGIVGMLKLVAGSYLIVITERECVGSYLGHPIFKVKKVESEFSGLLKIAEKTSGLYFSYDTNLTLSAQRLFDLGDESKLLPLWRQAEPRFLWNNYMLEVLIDSKLDPYLLPVVQGSFHNFQAAIGKEIVDVTLIARRCTRRNGTRMWRRGADPDGYVANFVESEQIVQMNGFTSSFVQVRGSMPFLWEQIVDLTYKPKFEIVRPEEAPRVAERHFLDLRKKYGSVLAVDLVNKHGGEGRLSEKLANAVQPILSDDLRYLHFDFHKICGHVHFERLSFLYDKIADFLEKNGYLLLNEKCEKMKEQLGVVRTNCIDCLDRTNVTQSMIGRKMLELQLRRIGVFAAEETISSHPHLDESFKILWANHGDDISIQYSGTPALKGDFVRFGQRTVQGILKDGFNALGRYYLNNFCDGTKQDAIDLLQGHYIVSVSRDMKPPSQTGGLEAIASFPLALGLVSIGLFFTMISLRQVRYDLRHLFFSVFWASISVAIAAVVRANGRIFCNRPRLHKPRC >OMO55714 pep supercontig:CCACVL1_1.0:contig14599:20321:21151:1 gene:CCACVL1_27067 transcript:OMO55714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSDLQEEEPSDTHDVPAGSQDTTPADQNCNEFEGDPIPNHDQTRQQGDGGDKQKNGGESGDDQIPEMGSSASMNSYRSKKRARDHVENPIDDDSASASASASSSWKARKKGGEVQLPSSPPVPTCYVCKKTFASWKGVFGHLRAHPSRLTPGAFPPPTFTPPDHGSPPSPQKDPIHNGSDDDIEAFKEQLAPTLLNLARQITNIENISNTAPPLPLTSVLASSSSSSSRRGLDIDLNHPTISVLLDSNYEPHPVPPESDKEDHDHYKDLQDDNR >OMO55717 pep supercontig:CCACVL1_1.0:contig14599:37372:39909:1 gene:CCACVL1_27070 transcript:OMO55717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D family MELHLANSFIKGPLSFRKGIEGVSRDDDDCQGVGFRRTYCYIGMLISPTLRDSNDETVYSLQHPSTNIEMVALVWAVLKPVFLALLGDPFDTKPLEKIVFDVLPASDGNGEDRVSLAAEVKVRNPLRHAFKVTCTKSSAKVKNWVAAINDAGLRPPEGWCHPHCFGSFAPPRGLTEDGSQAQWFVDVKAAFDAIAS >OMO58568 pep supercontig:CCACVL1_1.0:contig14204:19492:19641:-1 gene:CCACVL1_25434 transcript:OMO58568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSSRATNAITAITIILLCACKNFAIGKFTACGIIHIENTPATFFANQ >OMO58569 pep supercontig:CCACVL1_1.0:contig14204:22229:22597:-1 gene:CCACVL1_25435 transcript:OMO58569 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone-lysine N-methyltransferase MHFLSSTCFSSFLSFEFPFDVEDDAAVKEEPLDHHSIRSPPLTQFESQRPLDPQTQVTLDPDLVPTSKSRLNRIFKSHPLLLSSIGMRTNLRAYLSNNCCRILPKLLELPLPMTQIQLHPGK >OMO58570 pep supercontig:CCACVL1_1.0:contig14204:23906:24232:-1 gene:CCACVL1_25436 transcript:OMO58570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALFCFLKKKKKKTIQEAEVVHVDEHLKVKEAIVPGPHGPQAVLLEIVDDVHIDEEIVKTEKIEKGNLHNSHHSAGENLKALEATAASSSSTSGHHHQLSGPEHKA >OMO58571 pep supercontig:CCACVL1_1.0:contig14204:25980:29181:-1 gene:CCACVL1_25437 transcript:OMO58571 gene_biotype:protein_coding transcript_biotype:protein_coding description:PC-Esterase MCAARGPVDQPGHWWTPTKLFDMGCYFQQGRSLKLGMGITSGFRTALNTWASWVETSVNRNRTRVFFRTFESSHWMGRKRNSCKVTRRPWSKTKGRDHSRISDIIIDVVKKMTTPVTVLHVTPMGAFRSDAHVGTWSDNPSVPDCSHWCLPGVPDTWNEILLSMMLSDQITN >OMO58574 pep supercontig:CCACVL1_1.0:contig14204:36539:39816:-1 gene:CCACVL1_25440 transcript:OMO58574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLLSSQFSQSHISSSGAFSIFSPSVVVMKANERATAYTHTQKPIPPTY >OMO58572 pep supercontig:CCACVL1_1.0:contig14204:29803:31067:-1 gene:CCACVL1_25438 transcript:OMO58572 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine(26)-N(2))-dimethyltransferase MGNFHTSRCKINEGSMKDSYSAYDRISAVLTRISEELPDVPLFLSLHNLCATLKCTSPSAVIFRSAMINAGYRSSGTHMNPLGLKSDAPMDVIWDIMRCWANFARVVASLGKAQAKKVARFLPNPERHLGPKLRAGCQITSKLIIALLGIEAVNGHMNHQKTENAENANTES >OMO58575 pep supercontig:CCACVL1_1.0:contig14204:51732:51797:-1 gene:CCACVL1_25441 transcript:OMO58575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNGKKKTTSNQSQIRGSS >OMO58573 pep supercontig:CCACVL1_1.0:contig14204:33412:35925:-1 gene:CCACVL1_25439 transcript:OMO58573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQSSSFCEGALIDMYSKCNLVTDARRVFDGSMALDTVSWTSMIAGYVQVGLPEEALKVFESIQKVFPVTDQVAVITIINAFVSLGRLDDACALFSQMPYPNVVAWNVLISGHAKRGYEAEAIEIFQNMRASGVKSTRSTLGSVLSAIASLAALRFGLLVHAEAIKQGLNSNVYVGSSLISMYAKCEKVDAAKKVFDELDEKNVVLWNAMLGGYAQNGYDVLPDQATFVSVLRACAILSSLPEGKQIHTLIYHTGYGLDELTTGALVDMYAKCGEVKCSAQVFVEMKSKNDVFGWNSMIVAFAKNGYAEDALRIFVEMQQKRVMPDDVTFLGVLTACSHAGKVSEGRQIFDMMVNYGIEPRVDHCACIVDLLGRCGFLKEAEDFIDSLKFEPDARIWAALLGACRIHGDEIMGRRVAEKLIELEPQNSSSYVLLANIYAASGNWDEANALRKAMRENGVQKFPGCSWIVVGQKTNLFVAGDKSHPKADEIEVILKDLVALMREDGYAPEVNSVLDEEE >OMO53001 pep supercontig:CCACVL1_1.0:contig15365:9921:13552:1 gene:CCACVL1_28958 transcript:OMO53001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVISLMDIDDDDSQQKENYSQKLNKGKSVVTATDTKASPWVEKYRPQSLADVAAHRDIVDTIDRLTSENRLPHLLLYGPPGTGKTSTILAVARKLYGTQFHNMILELNASDDRGIDVVRQQIQDFASTQSFSFGAKSPVKLILLDEADAMTKDAQFALRRVIEKYTKNTRFALICNHVNKIIPALQSRCTRFRFAPLDPIHVTERLKHVIEAEGLDVPDSGLAALVRLSNGDMRKALNILQSTHMASQQITEEAVYLCTGNPLPSDIEKISYWLLNESFAESFKRVFEIKTRKGLALVDIVREVTMFVFKIKMPSDVRVQLINDLADIEYRLSFGCNDKLQFGSLVATFMRARSAIVAATK >OMP09734 pep supercontig:CCACVL1_1.0:contig03063:1010:3406:1 gene:CCACVL1_01045 transcript:OMP09734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTCGIFAVIEISNELLRGEDKVQGMVQPKFPKKIELGEGVRDKEEIMEMRSRDLLHYSILLAVKYLYKYIYKGHDRVAVYIANGQIDIDEIEQFQDVRWVSAQEAMWRIYEFNLNEIYPEVTKLQLYLPGQQTVTF >OMO99715 pep supercontig:CCACVL1_1.0:contig06827:15334:27259:-1 gene:CCACVL1_03667 transcript:OMO99715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVDTSMVQWLHKQGCHGACKGTKVQLGSSKNIKLGKKLETGKGK >OMO99714 pep supercontig:CCACVL1_1.0:contig06827:414:9638:1 gene:CCACVL1_03666 transcript:OMO99714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGIEPERLLVLNSRSVEAYGLSNDQAKLRSTTSKNFNAIEANGLKGNGSVIKSGADTSFWHDNWTSAGCLRSKIQGPF >OMP07209 pep supercontig:CCACVL1_1.0:contig04587:985:1089:1 gene:CCACVL1_01348 transcript:OMP07209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPIVSRKNRSVGRKNPVNDSHRSLFEWGNSNE >OMO90645 pep supercontig:CCACVL1_1.0:contig08452:265:6223:-1 gene:CCACVL1_07326 transcript:OMO90645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVMGHNGIFRNDTSDAIEGSFHQWRDKRTAKSLVVGRPILLALEDIDGSPSFLEKALRFLEKFGTKVEGILRQSADVEEVDRRVQEYEQGKTEFGSDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARISAMRSAILETFPEPNRRLLQRILKMMHTISSHAQENRMTPSAVAACMAPLLLRPLLAGECELEDDFDVNGDNSAQLLAAANAANNAQAIITTLLEEYENIFDDENLQRCSISADSRIENSASEDSTDDENPGMKDNGYHDAENEADPDTDDDPERVLSGKLSESSGYAGSDLYDYKAFGYDGSDVGSPRDNHAQADSSSLALDPPQIRNPDAQLEEQGRQNKGNENPINDIDVPSVLPTGESYRSMGEILSSMDPGHPISAPGLESSTEKAVAKSKGSSLSAKRSTFWGRSN >OMO90646 pep supercontig:CCACVL1_1.0:contig08452:11349:16926:-1 gene:CCACVL1_07327 transcript:OMO90646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QYQKSSSTTAQNQQYRNSSPTAQNHRGFPRWLVDIRRQFVIKVEDLSSSGFTANFSLQNQ >OMO70991 pep supercontig:CCACVL1_1.0:contig11756:50140:52668:-1 gene:CCACVL1_18527 transcript:OMO70991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPALANGIFFSLDEKDWLLLNCSSPIRLFDMDWGNIFLYGVWFIWYWWNCTLHDGDFVWPVNCDQHILSRAKEASDVLRCFSLKLKHELLISWQRPAASFVKVNVDGSAKGNPGLSAAGGLIRDMQANWICGFTYRVGISSSLVAELWAIYHGLSLCWERGFRNVELESDSLLAVSRIKGVTSDYSPHHRLLDAINELLNHDWTCRIKHIYREANMCADWLLSDSQFTKLYLNQSIKTNRLTYALYDEYKFPTRRLELDYEALVNGHETNPPANYGPLVGGKIKVPRNKISMEVWGSCNGLLCILAYPNIMVLANPSTKETKTIFRGYGPKRGSPVGSIGGGFGYDALNDDYKEHVCITAFDLTKQEFFQIPTPIVVNEKWSFYDLHTVGGCLCITPNLSSVSGQISSDFWVMEKYGMEESWRNITLSFTYCELRALDLNFPMSEEALFLVDGRLIRYNLANGSRKEVSIGLNPEDYVINEAFSYVESIVSLGCIAGSQNQERPQCSRGMLSRSIYENPRKRYLATAG >OMO70989 pep supercontig:CCACVL1_1.0:contig11756:13165:37927:-1 gene:CCACVL1_18525 transcript:OMO70989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTPDVTSTPHQPGIGSSTNQDQATEETNPANTDPVAEGLNEERVPENKRAKTSTVWSEFKDVTSNVAYYETAREIWVDLEERFSQGNAPQVQQLKRELALTDQGKDSVAVYYTKLKSLWDELQLYEPNLQNRIETLVVASTLSVQTTMNRRDALKNFNQVFIRARDDDEVDNMYNASLYALSAAGVVRELVYIGGRFLLRNHSSAAPAGAAPPQALGNESLINEPVEQLGLSAHQTSPINSFTLASSSGAGEDGSNHNEGTRDLGYPLNLIHYRSSNSK >OMO70990 pep supercontig:CCACVL1_1.0:contig11756:40038:41902:1 gene:CCACVL1_18526 transcript:OMO70990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLPQLPQDIIANILSRLPAKHVTQLKCVSKPWHSLISDPQFAKLHAATQSDNYRVLVVSVPVESVACEASPDDLQDIENKLISKIQFPAALKKAPDSDEWDWLDDWLDLGGSCNGLSS >OMP11037 pep supercontig:CCACVL1_1.0:contig01658:454:537:1 gene:CCACVL1_00711 transcript:OMP11037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKPKSDTKIRNREPPLLSIDSASTS >OMO68590 pep supercontig:CCACVL1_1.0:contig12206:40309:42399:-1 gene:CCACVL1_19896 transcript:OMO68590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDLQQLHPHLVDYHQPQPHQKQMNSGLTRYQSAPSSYFTGILDRDFCQEFLNRPSSPETESIIARFLSNSGDAGGGGGNTENMSDQNLCGMTQNSPVRETVVKVEQQTQIMTPINNQTGIMQQQQQQQQQQQQGNYSSASQNFYQSQPQQNLSNQQSGSSMDYGRIPTSMGLPRPPQMKMGGGNNSNLIRHSSSPAGLFANINIENIIAGYGVMKGVGDYGGVNSTKREPTFPPPISRPPSSGMMSPIAEMGNKNIGPNSSENAGFGENSHNNNFSSSGFPASSWDDSMMNTISDNMSGVKRLREDDRSLSGLDLDGSESQNTDSSIRPPPILAHHLSLPKSSPDMSAIEKFLQYQDSVPCKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDKQTNTSDMLDLAVDYIKDLQKQVKVYVAVSQ >OMO68588 pep supercontig:CCACVL1_1.0:contig12206:11738:16241:-1 gene:CCACVL1_19892 transcript:OMO68588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMDRNLQSESIRSQTVLSIECLKGSSKADEWTGDMLQTGDIVEEFRIGFGSSSGSGHTYMAPFKGGKSGVQKILHSSFKNKETSILVRVRRGTDEFAQLQACIVPNESGGKKNYMLRSIADPNYAVGFSDRTESECFELQASRNSRLVNELSRTRLQDGYVSYPWERRMQEVLSVPNSSSFLSVLLLPKVSDRVASRYNDVEDTLARANAWLNASQASGVPIVFMNIQTESLLTKISGETASATVNAGSLSDLSNLANASLYGFEDYHGVDIGVVRAVRLWYAPLAGEIPIEIKLKEDDTKLGFAISRTEEGFIYISSVIDGDENAPSTRSGLGDLYKEAVSSSRLLVVSRLSNQRVLPWMVSSTGAVRCFDTVSLSQKLSLHRHANVPILMHVFLWDQSLLSRGFGTARQRVASLSPSVLPLPVPPEVRLAHQPNDNQVLPLPPEELNVSSEQHERRLQRDTAGEVSFRFHDFALPNNWV >OMO68589 pep supercontig:CCACVL1_1.0:contig12206:29460:31850:-1 gene:CCACVL1_19894 transcript:OMO68589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETNVAPPSLVSISPFSPCPRRISTSFAQPSRPVVCSARRMARVSLQGRLLNAEEASSARAIGGSFSREEALAWELFSPIERFLIVAVIGVATADSKKILLLSQLKKSVNLRDQLLSGMQQKLENLSEELSNTREQQGKWQGNLVMTSGGDHNENETEQEERRMSDLSDWASSVTSATEIQLNNLAIEQDIFNLKRECQEKDAVIQELTTVVQSSNMASSKRISELEDIIRRKNTTITRLKKDLMVLEQKVVHLTRLQRPSSSTSSSNCWQIPVMTDNVLYDMDSTTSPSSSDSDCSPKNRLQAPVPKVEEVSFQNSDFALKNEQKSAPAKVSCSFVRQSEWNLKSRPTTPLKEISINHKLNTPPSRQRQVSASRGSKRIRRQTQSASKDSTPKKRWA >OMO68587 pep supercontig:CCACVL1_1.0:contig12206:4517:5714:-1 gene:CCACVL1_19891 transcript:OMO68587 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MIKDQIADQGEVARKRSQKLKKSLSETLTRFYPFAGRLSSSSLSIDCNDQGVKYVETRVKCRLQDIQPDGDQSLAKLMPPPATTGTESLVRVKVNFFECGGMAIGITISHKVGDVSTMKLFINTWAAMTQQGSISDAVPDFTTIPSLFPTMDFPGKPPQYQEVAFRRLVFDASKIPMLKAQVASKEVAQPSRVEAVVALLWKCARAAATTNRAQITTSTLEEETELSELQSLVKQIRDGMRDQFSESCMRKLQGSDGFSEITAALTRSGSLMSQGDDFDIYTCTSWCKFQLYESADFGWGKPKWVTYFIQYYLLNLPNTFVLMDSSDGEGIEARVTMDEDEMPFFECNQELLAFASVNPCVQL >OMP11049 pep supercontig:CCACVL1_1.0:contig01635:375:461:1 gene:CCACVL1_00701 transcript:OMP11049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTPTTLVPIGGIEEEALHLGINNTKTLL >OMO51431 pep supercontig:CCACVL1_1.0:contig15829:7423:8459:1 gene:CCACVL1_29800 transcript:OMO51431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNNSDDLTGELTITLSDFHE >OMO94500 pep supercontig:CCACVL1_1.0:contig07859:5140:7760:-1 gene:CCACVL1_05967 transcript:OMO94500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFYYTQGGIDDNEDPKAAALRELKEETGVSSAEVLAEAPYWLTYDFPPEVREKLKRQWGSDWKGQAQKWFLLQFTGKEEEINLLGDGSEKPEFGEWSWMTPEQIVEHAVDFKKPVYKKVMELFAPHLQ >OMO94499 pep supercontig:CCACVL1_1.0:contig07859:2993:4210:1 gene:CCACVL1_05966 transcript:OMO94499 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MAPFLSGGGYSSEAWSYVLALNDYLKDAENPVPDFKLGIDQHGDLESIEFWEGLPQHLRNLAIQLYQTQTQCRMNETIVVCHSEPGAWYPPLFETLPCPPTPTGYDDFMFVIGRTMFETDRLNSQHVKRCNRMNSVWVPTDFHVSTFVQSGVDPAKLVKLVQPIDVNFFDPSRHKPLDIASVGNLVLGPDSNPSNKFVFLSVFKWGFRKGWDVLLKAYLEEFSGDDEVVLYLLTNPYHSTRDFDNKIIQFVQDSNMENPNNGWAPVYVIDTHIAHIDLPQLYKAADAFVLPSRGEGWGRPIVEAMAMSLPVITTNWSGPTEYLTDENSYPLPVDRMSQVMEGPFKGHLWAEPSVGELQALMRHVISNVEEAKSKGKQARKDMITNFSPQIVAGIIAGHIQTILDK >OMO81368 pep supercontig:CCACVL1_1.0:contig10189:9977:15134:1 gene:CCACVL1_12443 transcript:OMO81368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPENEDIPQYEHIYQNEFSYRKHKKQKEEDIAICECKFDFSDPDSACGERCLNVLTSTECTPGYCPCGVYCKNQKYQKCQYARTTLFKTEGRGWGLLAAENLKAGQFIIEYCGEVISWKEAKRRSQAYENHGLKDAYIISLNASESIDATKKGSLARFINHSCQPNCETRKWTVLGEIRVGIFAKQDILIGSELAYDYNFEWYGGAKVRCLCGAPNCSGFLGAKSRGFQEDTYLWEDDDDRYSVEKIPLYDSAEDEPATKLLKAVDSNSENDANIKIEPSITVDVSVKSEQQLESTVDPVPMEGVVVTAVKTESSEEINLYSQDAQQAFSQKNAMISRIRSNSACRNYHIGSRSIPKKKSQHYSNGKSKHLSIKQIDFKHLARLFTTKEVHEEISRYEELKNEAACQLASVYDEIRPAIEEHERDSQDSVATSVAEKWIEASCSKLRIEFDFHSSILKNIVCSTGKAYEQVKPSELETGGNDTNEVKLLEY >OMO81370 pep supercontig:CCACVL1_1.0:contig10189:38127:38642:-1 gene:CCACVL1_12445 transcript:OMO81370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyllase MSITVTGTGLGLDSKGCGIPPCAPEGLGPEELFKECRPPWAHFVAENYGHMDVLDDDSSLDMLGKFVVPFRWAVFAARPDKRRLPALYFEC >OMO81371 pep supercontig:CCACVL1_1.0:contig10189:55353:66865:1 gene:CCACVL1_12446 transcript:OMO81371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLILGLVFSHGFVEYAILSVLMYFIIETEDNLLKAAAIVNGQESLSLFIVLIVAYFADGLAASTWLLAPIQLC >OMO81369 pep supercontig:CCACVL1_1.0:contig10189:34332:34421:-1 gene:CCACVL1_12444 transcript:OMO81369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGWEPDPYDMRDNKHKMPSNIGLLLGS >OMP06232 pep supercontig:CCACVL1_1.0:contig05018:885:7074:1 gene:CCACVL1_01663 transcript:OMP06232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFFNLPKDLFPEILVRLPIQDVVKSTAVCKSWNSLIKTPAFISKTISFNNSTNTHLLLFRLCTVPQPQPRNSRSDVLLEHFSLRFDNKDVDEYKQLQFPSQEPGFGSFRDSFEIAGSINGLVCLVDNLDSPGYNKNYILLNPIIKKLVRVPEYGVTYSSHGPHLACSGFGFDSKTNDYKLLRFVELQDRHFKPRGPEPEVEVEIYSLNANCWRSITHIAPKYRLILKMATKYANCFVNGALHMLVRDKDRNLILAFDVSEEVFREIALPECLSNDPEKLEWTDLLKYGQSIAAMTYGGGIHLWVMKEYGVALSWTNVFAEVANMVPGRVLFVRNEEEVFVTLRNEEELSVSSRDGWVISFNIQSGQIESLNIQSVDYLTGYPVIDGYVESLVLLDNGNAIWDVNVCDEDSEDDAMSVYGDLIEEGLEYANKHGYVFNVLISLDCVLGLFNFAVKTLEERRGSAGPVRAGFANSKTALRREICAKIRRGKECMPAFPKFLIASPSFHGGRGALPSAGGHPADLTFLAGDSGIPAKNGKFEQLVHSHSILQGMNIPIYCFGSQSLEEDTSFEGHPCITEEESLLDAVLLSQWEDRMRKGCFRYDVTTSEIKVIPGRMKFISQLNEGETNHLSKLEGNMLPEQNPSVFDCVKHTEELLFCIASCKKAISELVTLASLPDNAILVIINVNPVEYGHVFLVPRTSNTHHQFLDARSVEIVTRVAAEINNCSFRVFYNCSKPHSSDVYFQACYFPDPLPVEFRPVDTFFSGGRTAIRICSIIDYPIKTISFHTTCDLKIMAMGISEICSHLNEKNIQYNLMISDSGKTIFLFLQRSHAASHALSTWECGGYFLFRYRYEFDQVTEDALLKRLRSFSLEEADFEAVKRLCCSVASKFDI >OMP06233 pep supercontig:CCACVL1_1.0:contig05018:7765:10320:-1 gene:CCACVL1_01664 transcript:OMP06233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLQGREILSLLLVLLLSSMFRDGEAQSKSFLINCGSNSSLNVDGRKWVGDLTPDNNLTLSSPGLVSTTDTLSGDSIFAPLYKSARVFSSELNYTLNGIQGNYFLRLHFCPFSFVDHNVNESSFDVVANGLKLLEQFNVAGEIAHKNLYLQSSASNSSLFSLVKEYVLPINLDTLVIKFTPREGSFGFVNAIELVPVVDKLFADSVSKVGGNDANLNGRGIETMYRLNVGGPEINPSQDSDYWRTWDVDSVYMITANAGSEIHNTSNITYASVNDSSVAPLLVYESARIMSNNEVLEKRFNMSWKFEVDPDFDYLIRLHFCELVYDKPSQRIFRIYINNRTAANNFDPVVKAGGKNKAYYQDYSDAVSSNINMLWIQLGPDTAAGASGTDALLNGLEIFKLSRSGNLAYVQKYDSTGSSKKTSKSWILWLGIGAGVASVAILAAAAILVFCFCKKQRKESGDVKNTTPGWRPLFLNGSILHSTANAKGSSKLQNPNGSVASGGVGKQFTLAEIRAATNNFDESLVIGVGGFGKVFKGEIEDGTLAAIKRANPQSEQGLTEFHTEIEMLSKLRHRHLVSLIGFCDEQNEMILVYEYMANGTLRSHLFGNDVPPLTWKQRLEACIGAARGLHYLHTGAERAIIHRDVKTTNILLDANFVAKMSDFGLSRTGPSLEHTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVVCARAVINPSLPKDQINLAEWAMRWQRQRSLETIIDPHLKGKYSPDSMEKFGEIAEKCLADEGRNRPTMGEVLWHLEYVLQLHQAWLRPNDNSFSSSQALGDIQDKEAENRQFDGNSGLGAPKPEAVAGGSNDKLA >OMO53066 pep supercontig:CCACVL1_1.0:contig15349:4369:12079:1 gene:CCACVL1_28903 transcript:OMO53066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLATLAAFKKLLEEVGSETDRWSSFSEKKKKVFIGG >OMO53067 pep supercontig:CCACVL1_1.0:contig15349:16691:17543:1 gene:CCACVL1_28904 transcript:OMO53067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIMNKLRNLDAYPKINEDFYSRTLSGGVITLVSSIVMFLLFFSELRLYLHAVTETKLVVDTSRGETLRIN >OMO51505 pep supercontig:CCACVL1_1.0:contig15802:18659:19596:-1 gene:CCACVL1_29753 transcript:OMO51505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGEAAALGLLPRLDRLERLVQFVEERRQCLCCANSYSLMIMTSLMQVDDDECKSKSLSSALEEVSHKGTLIDRLMALENRLFKLSLSLDIENTSRSSSKYSTNIINIPQAAEPEPETKDQLDLDHAQKKLQDDDDDDDIDSLIISQERESMSSSSTTTTALASACGCGDRCEITKTQTETKPRNTTFLLPFTKRLSLKYKKLRLRRCFQLGCS >OMO51506 pep supercontig:CCACVL1_1.0:contig15802:20095:20659:1 gene:CCACVL1_29755 transcript:OMO51506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVGKLEAEVDVKVPADKLLCIIRSENHRIPEAASNHIHTIEVHEGDWETHGSIKLWTYTIDGKVEVFKEKLVVDEPNKRITLEALEAHVLEELKSYKMTYQVLPKTDESCMAKITVDYEKLNENVPEPNKYLQFVVNVTKDIESHLLKA >OMO51507 pep supercontig:CCACVL1_1.0:contig15802:23101:23490:1 gene:CCACVL1_29756 transcript:OMO51507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKNAANLKGTESSGTENNAATIDAKLEAFKGTFKMKILDDIREMIDQLLAKRDRSSEKRAMEGTPDANLTSLTPSQGKPPPPPWPSNAPSPSMAPSPQWPPQMNTPGASHGQRYTYPTRPWPCYQAT >OMO51504 pep supercontig:CCACVL1_1.0:contig15802:10295:10790:1 gene:CCACVL1_29752 transcript:OMO51504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYQLLRGPVDILKVLNNGLLVDPHDQNAISDAFLKLVANKNLWAECRNIHCFSQTEHCRNYLSHVEHCRNRHPTSRLEIMTVPEEPMGDSSRDVDISFRFSIEGDIKLNGEMDATTVGKFRKLKELGKSFKA >OMO66286 pep supercontig:CCACVL1_1.0:contig12560:19587:20392:-1 gene:CCACVL1_21228 transcript:OMO66286 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallothionein, family 15, plant MSCCGGNCGCGSGCKCGNGCGGCKMYPDMSFAESTTTETLVMGVAPQKVHFEGAEMEVGAENGCKCGADCKCNPCTCK >OMO66284 pep supercontig:CCACVL1_1.0:contig12560:9542:12103:-1 gene:CCACVL1_21226 transcript:OMO66284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESQTEERCLENKQLTTASSSSVSEGGGSAVVKSPGISSPAPSSPTNRRTTGPIRRAKGGWTPEEDETLRNAVAAFKGKSWKKIAEFFPDRSEVQCLHRWQKVLNPDLVKGPWTQEEDDKIIELVARYGPTKWSVIAKALPGRIGKQCRERWHNHLNPDIKKDAWTLEEELALMNAHRVYGNKWAEIAKVLPGRTDNAIKNHWNSSLKKKLDFYLATGKLPPVGKNGLQNGTKDMNTPGTTKNLLVYSRKGSDSTATSSGTTDICKPDDDGKDQLESSAPVQDMAASSSVVRNESADSFDVNPCCCNSELGAKFESCRISSANVEDRVDTQSRKDTPNYGSLCYEPPRLQEVTSLDTDHSNKKGMQRHEWTSTPIRSPISFFTPPCVKGSGLSAQSPESILRIAAKTFPNTPSIFRKRKTRPQSLTLPP >OMO66287 pep supercontig:CCACVL1_1.0:contig12560:24923:27979:-1 gene:CCACVL1_21229 transcript:OMO66287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKAKLKSVSMSIPHTHPGRVWGGILHVLNSQHWHWRSIKKRLPHKKHDGAKSDADAENPAESVRAPSNSDGSSKYREVEVANSSKVEGKKKRPSSGSVKSRLKALITEEMSRRKGRGKHRRSSTYPTRGAQDGSNHDAEAPIDEDPLPEILALGAELVAQNRKNNTFDSSSEDQVLEKSMEEEPMITTTDEDQVLTKSLEASDENSEDSSLEQVDESEKQFIENAIFSQEGQNDRKQAFLRQKSKDGSPTHHSNCLLDALDLITMNKEFLEKILQDPGSPLAHHFHRKKASFKKRIAKSGTFPSPGSSSRRGSSSVSNKQKQKQDEPKENESEVSCHGKMLLDHESTEEICRKSMPMIAADHRADGIHQLNQANAEIPDISCSSSSAPHLDQTGGNQTAKRRFKHLKQKIKHAIKESKKERYRIAMDAVLHKIPHGKGFSKDLTKDIVDYFKDPSKIKDVFSESSSSSKRLKKHERRSTSFNDSIERYMQLYESNFSRETKEHISKRIQVTREVEDINLPHRVAPKSLERIFSSPELHSYHFNHGEDYSSDAFSLDMPTIAAYGTLSTSSFNEQENHLDVSAALDYHSHLDTLGESDLDQDNLTGHSETDSSALYQLARTSSTKSEAVVHEDNDSQTEKDSKLGTSTIVNVEELSPIPLLSFNFEEKIGTSAEIIISQDLEPNHSDGFPDKLELLDDEEHEFRDTIMVTKGRVEFEIGKGLKKDLDTDEEDKFNYVREVLELSGFSGNEALGRWYANDQPLNPLVFEKVNGCNFYNPHCSKIEEGDYCNYHQLLFDLINEVLLELYEKSYSYYPRQLSSLCHIRTMPVGHYVLNDVWANISWYLSFETGYHKPLDYVAGKDLSRSDGWMNLQFEIECIGLEIEELIFNDLLEELV >OMO66285 pep supercontig:CCACVL1_1.0:contig12560:15131:18179:1 gene:CCACVL1_21227 transcript:OMO66285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQSLKLSTPSKQAIVSKVRVVVRVRPFLPQEIASRNGNSKSCISLLDHQIQDHDSSDEVSVHLKDPYTSRNECYRLDSFFDQEDDNVRRIFYREVNSLIPGIFHGFNATVFAYGATGSGKTYTMQGTEKLPGLMPLAMSTILSLCQTTSCIAEISYYEVYMDRCYDLLEVKTKEISILDDKDGQVHLKGLSRVPINSMAEFHEVFACGIQRRKVAHTGLNDVSSRSHGVLVISVSSPSDACSGPVLMGKLNLIDLAGNEDNRRTCNEGLRLQESAKINQSLFALSNVIYALNNNKPRVPYRESKLTRILQDSLGGTSRALMVACLNPGEYQESVHTVSLAARSRHISNVVSSAKKLETPKEKVDMEAKLQAWLESKGKTKSAQRMGPVSSRFLCKTPGSVSTVKKLDYKSSIKAKVTTKEVASNAKDRGLSVTSRNLFNNEGVVDPNFENSHLSAKGNKDEMKSDHDEIMLESTTNLAEEPSSKEENTTIGSPLVNERKTAMQSPLRKALTPINSNIKEQASDDPSNTPKLKNVGTPLDKFNTWSSNLKNSLVQEYIEFLNTASREELLSLKGIGSKMTEYILELRETSPLKTLNDLEKIGLSSKQVYNLFNRAAKGIFDKPEIATLM >OMO66283 pep supercontig:CCACVL1_1.0:contig12560:5492:6914:-1 gene:CCACVL1_21225 transcript:OMO66283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKRKFADFVTYRTMLDEICRRGRVEDAMGLLKELQAKDLVDAFIVTKVCSVGDAGTVKMVPMKTMVMETFTEYPTLGCFAVMDMCQRVAIGVIKKC >OMP11886 pep supercontig:CCACVL1_1.0:contig00740:5526:6505:-1 gene:CCACVL1_00227 transcript:OMP11886 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-beta hydroxysteroid dehydrogenase/isomerase MIKLLLERGYSVHTTIRPDPENRRDISFLTTLAGANEKLKIFRADLSDPDSFDAGIEGCKGVIHVATPIDFQDKESEAAVTERAINGTLGILKACLKFKTVKKVVYTSSSAAVWYYSGRQDVDAEMMDETFGQISISLEKLSPTELLMRFQRLGQKGQLWNLQHNMDWIW >OMP05425 pep supercontig:CCACVL1_1.0:contig05392:2492:3113:-1 gene:CCACVL1_01906 transcript:OMP05425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTGVHRYWLRKAHASAYDKNLDDHVRPSVVPDEVIQPLSDKYWAPHPQTGVFGPATDPKASASGGSSVANGDVNSVLEEKAWFRPTNIEDLEKPHHH >OMP10684 pep supercontig:CCACVL1_1.0:contig02043:584:649:-1 gene:CCACVL1_00821 transcript:OMP10684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ANNMCEPKKGILKGLIADRVA >OMO69019 pep supercontig:CCACVL1_1.0:contig12138:4984:7266:1 gene:CCACVL1_19692 transcript:OMO69019 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MSLKEISQLAPPGQNQIIKLRIARIWECTIPTTQKNIGIAFLGTDIKRDGVHVQITQRDAAKFRTMLNEGMLYQITRFQVVIPFMRNLCVSRKYAILFNKNTVVKPIPEDPTPYPRFYFEFASLERMNELANSDKYLIDTYGILLSITTAEEIHIAKHNKDAGKKEVVIKKIDGNEMKLTIWQGCFDQMDDDFLLSMRPPPVMVFAAVVVRTFEDIPEVSLIRNRYEGFEEKAQLIKADNPFTQIPSSSKEIPVATLAELLTFEPQVIKEISQEKVPETVLAIRDKKFEFKIGLTKRAVEENSPTYKIYAARLIYAVQATDNIEKGKLPLVEDSVPGKLVVQQDSGLEENDDETYNGPSSPTDSQVEKDLFQEVSTFKKMKKGEEETEEETKERKED >OMO69020 pep supercontig:CCACVL1_1.0:contig12138:8499:19105:1 gene:CCACVL1_19693 transcript:OMO69020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl/glutaminyl-tRNA synthetase, class Ib MAATSISQLAPPGLNQIIRVRITRIWDCIVPTSQRVIGLAFLATNNQEQSIHVQIGQRGIEHYRALLIEGALYLLSAFRVSRPNINLIAVRSSQIIWLTRRSHVIPIDDYLGPYPRHSFDFNCEHHLPQMANRNRFLAGMLTKPGEHDPYLTSCCATKIFVNLELNETAMVQAMKKPWPKMVVKDETSDKTLDLFLKIGLDERTARNTIANNKVTANLTAVIHEAALTDGCDRTIGNLLYTVATKYPANAIVHRPKLLQYVVSSKIKSPAQVEAAFSFLSDVASDNFKQSEFEEACGVGVEVSVEEITQTVNEIFEEKKAEILERRYRTSVGELLGSVMKKHRWGDAKIAKQLVDAKMYELLGERTAADDEKPSKKREKKEKPAKVENKVAEVTPAPPSEEELNPFLIFPAPEDNIKVHTEVFFSNGSVLRCCNTREMLDKHLKVTGGRVFTRFPPEPNGYLHIGHAKAMFVDFGLAKERGGWCYLRYDDTNPEAEKKEYINHIEEIVQWMGWEPFKITYTSDYFQELYDLAVELIRRGHAYVDHQTPEEIKEYREKKMNSPWRDRPISESLKLFDDMKRGLIEEGKATLRMKQDMQSDNFNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCIVDSLENITHSLCTLEFETRRASYYWLLHVLGLYQPYVWEYSRLNVTNTVMSKRKLNYIVTNKYVDGWDDPRLMTLAGLRRRGVTSTAINAFVRGIGITRSDCSMICLDRLEYHIREELNKNAPRVLVVLHPLKVVITNLEAGSVMDLDAKKWPDAQDDDKSAFYKVPFSNVVYIERSDFRMKDSKDYYGLAPGKSVLLRYAFPIKCTDVILADDNETVLEIRAEYDPFKKSKPKGVLHWVAETSPGADPLKVEVRLFEKLFNSENPAELDNWLADLNPDSKVVIPGAYAVPALRNAAVGNTFQFERLGYFTVDKDSTAEKLVFNRTVTLKDTYGKGGK >OMO73214 pep supercontig:CCACVL1_1.0:contig11284:11252:11874:-1 gene:CCACVL1_17396 transcript:OMO73214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWVENGETSGDPPAGDPKFSRVEDSTDPEPTSTNSGSSIDSPILVIVEGGLDGIEGIFEELSFQAITVTVKRKDEQWNFTTVYGSPTPSNREQLWSDLKHEWTMDCWRGLQRNRLLFEEIQF >OMO73215 pep supercontig:CCACVL1_1.0:contig11284:12387:14034:-1 gene:CCACVL1_17397 transcript:OMO73215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEERGRRLLGFSPPNLQLNNISYENKNFHTKSSPKVAIYSGETKVLGKTVGYNFLLNRLKQIWDLKEEFSLVDFGNEYFLVRVRNPDDYNQVMSKGPWLVAGHYLTIRRWKPLFRPYQVAIRTTAVWVRFLRLPIEFFNRSKLVAARNLIGKTVKVDKPTENSARGKYARVCVEVDLGKALVGQIMIGTVWLRVEYKGLDEIYFDCGIYGHKTSYCHQRIFKESHKATEMEESLADPKEKSEQANHDGENVASPYGPWMVAQRKPRRNNGSKTNSQSSKVHGKSDRGNNMEDVSTPN >OMO73212 pep supercontig:CCACVL1_1.0:contig11284:9203:9766:-1 gene:CCACVL1_17394 transcript:OMO73212 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MERLSHLIQYKIEARRWKPVKISKSGPPISHVFFADDLVLFSDASVKQVEVVVETLKEFNLWSGQSVSLAKSKICASNNIRKSEAFELSRRAKIPLTEDLGKYLCVPLIHSRVTKKTYWSLVEKVQEKLANWNINTLLLTRRTVLIKSAAAPVLIYTMQTSELPSGVCDEIDKCCRALLWGSIADKRK >OMO73216 pep supercontig:CCACVL1_1.0:contig11284:25114:30556:-1 gene:CCACVL1_17398 transcript:OMO73216 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MNRDLLTKKNAERIGASLGKVVEVQNPVEDGGLVIGFLRCRVEIDLADPLKDGFWVPCSNGDRRWASRRCEKLLDFCYNCGCIGHSEKMCDEETVMAIYDPALPRYGPWMRTAAVRKTSEEFPPVVHGGRGNDAREANRQFWHVGWKGGNIRGSRASREGRGGTWDPRNNRLLLADVEGRNEVTESKICELLEEKAKLNSTIVHKERSGSSTRKENLIKMGSTTIDGVTKDTPIEALAKAPAVITHNESSNENNNVTSERIVVLSREDMEITMEKKSKQTIEPELSINLVCSPVKKKRTPRKFRSSSSKKTGSNSDGALMPRAVSSEECNRLNEQGLNGVPVLVHEGALRFTAGGELKDLNQKYRPSLLFLSETRMKKERALSLRMRLGFHNGVCVEPIGLSGGLCLWWKEDLKVEILSKKRLIFWDELREVAAAINGAWVLGGDFNEVLTSDEKKGGLPLENRRVIPFHDVICDCELMDMGFKGQRFTWENRQEANIKERLDKCLINVRWRTLFVNAQCFNLPAIGEDINNEWQGQNEENWILEVIKRLKKCKNALINWSKKAFPNNRKEIDELMKELAKIQDNSFILEEQGRVEEKCKQNIKDPKLSCNWVENEEGIERIFLRHFMDLFRSSGSKNWYEALSHVDRVVTDDMNDELLREVTEEEIKVVAYDMGAIKSPGYLPQKLNKTNIVLIPKVPNPEEVGQFRPISLCNYMYKVISKVLVNRMKGMLTDIITPSQCAFVPQRQIQNNIFIILEAFHHLRLKKSKKDVEVGLKLDMNKAYDRVEWDFLKEVMKKIGFASKWVDLIMECVTTMSYALVINGNLSKPFLPSRGLRQDDSLFFLKADAENCRRMAGIIEHYCEASGQQENFQKSGLFFSTNCPEDIKRECSQIFNIPYTENPGEQTNIWEHSWIPDLNGATLKYTPEVEEQLPRKVNEIMDAESRSWQLESIAHRISEEEAEAILEVPINDTGGDDEMIWRHEKNGKFTVRSAYHMYKETEDRRLIQMPSSSHTIPEKFWKFIWSIFCPNKIKNFLWKVGANIVPTMENLFKKKVIGNPLCTICNEKAKTVEHLLLLCPWTEHVWFEGCTGLRIDKESITCFSSWWLDSFDSKGIQKNEVMRLVARAAFLIWYIWKGRNVVQFNGKKPNAEGSVRRAEMAWKEFELANSDNAKQGVIWEAGNLKWSPPSEGNIKINVDGAFEVGKEEAAIGVVARDSTGSTVAVIGRKVKASTCQRAEMLAVREGVKLAGDRNWVNAELETDSKEALLNCTRGDGDCAWRVEPIVKDIRIWSGEARGLKMKWIPRLVNEATNFVAVSCRKGMCVDNWAFRPPSYFVLILENDGLPGPP >OMO73213 pep supercontig:CCACVL1_1.0:contig11284:10207:10542:-1 gene:CCACVL1_17395 transcript:OMO73213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGHYQRLYTESLVDRVNPNPSVTFPRIEEQNIIDLHRPVSEEEIKQTMFEIGAFKAPGPDRFQAHFFHKNWDIVGASITNQVREAFVTGSFPEELNRTLIALIPKVKHP >OMO55337 pep supercontig:CCACVL1_1.0:contig14724:23870:24070:1 gene:CCACVL1_27305 transcript:OMO55337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNNDGITSLVVDPATATKTSQSSNNTVAPLLIPMAVSYAQPFLDISKIEVFDGNNFKRWMERIFS >OMO81783 pep supercontig:CCACVL1_1.0:contig10104:6694:9625:-1 gene:CCACVL1_12217 transcript:OMO81783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYEATRMVFSRIQNLDPENASKIMGYLLIQDLGEKEMIRLAFGPEALIHSLILKAKSHLGLSLSISNPPTPSTPSSPSPFSSRPSPLSIPSSSTPRLTASNNGGFELPNPSSPSTNTWPPSYASIVNGTNGNIMGNGSGSLSSIGNSNGNVENEFMDDYQFQDHLSFLNESKPEDLYDPRLDLAMGDSPLHRRSYSVPGLCFGAEDVNSGAGWKPCLYFARGFCKNGSSCRFLHGDCAAADGGALVGSPSKLSELEQCQELLRSKALQQQQLQQQQKLAAASQFMAGTSLPYSKCVNYLLQQQNDSPRSAAAALMMGDELHKFGRCRSERNDFSAMGLGGSINPGSRQIYLTFPADSTFKEEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFVCDSRVLVKPYKEKGKVQEKKQQQQQQQLERGEYSNCSSPSALDSREPFDLHLGARMFYNTQEMLLRRKLEEQADLQQALELQGRRLMNLQLLDFKNNHHPQFHPGLSTGSPIPSPTVSHASNNPALIFPADGIDQEVPEENGGSPVGVVISKPATANADKQLEEVNPVSDHKNENGNSSNTNEEKANIEDGDLPESLEHILPDNLFASPKKSVGENLSVFSAAASSGETDDKTASPAISCSNNNPSLANTSSLNMASLKSCFLQMPRFSSGHGTVGM >OMO62438 pep supercontig:CCACVL1_1.0:contig13265:16517:20096:1 gene:CCACVL1_22834 transcript:OMO62438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKPKRLAEYYKAGKALNIMFHDKNINPPYISLFRLHFQPTLQSLISLYLCEKAPAHVTFKADAAVLCVTCDRDIHSANPQACLHKRVPVTPFYDSVNSVPAVEHNKTFLVEF >OMO62440 pep supercontig:CCACVL1_1.0:contig13265:26428:31914:-1 gene:CCACVL1_22836 transcript:OMO62440 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MEPIVTGAAANLASEATKGIFQEAKRNIRYVMVYRKNVEQFEEKLQRLIAKRTSVQEDVDAARRNVQEIKADVKEWCNRVDEKMDQEKKRVKDLQDIANNKCFIGLCPPIKSRHRLSRRAEEGATAFQELINQAGQLSTPVGYWNVPEDIEDEPPKDFEAFESRQTVFNDIMDALKDATIGKIGVYGMPGVGKTTLVREVQRQAKKNQLFDAVIMVEVKQTYDIWDIQTHIAESLGLKLEEKSDNIRASRLCQRLKRDQEKKILIILDDLWEKLDLKNDLGIPVGDKGCKILLTSRSKIVLQKEMGAQKTFQICVLNKAEPWCLLKKIAGDNIESPELQPIAKQVADECAGLLLAIKTVGMTLKGEPLYVWKDALKKLQRSSTTELDKTLDKVYKAIELSYDRLEGEHKQTFLLCSLLGHHVAIQDLLKYSMGLGLFSGVTTVEETRNRLLTLLRGLKASCLLQDSHSNDRVDIHDVVCDVGLWIASVRDKNVFTLKHGDVLQGWPEGNRMEKVNMINFDNATISNSKLPEGLHCPDLAFFHMHSKDRDVEIPSNFFEEMKRLEVLDLTNMQFSSLPSSIRALTNLRTLCLDGCKLEDIALIGELTNLEILSLLKSDVQKLPEEIGQLTNLKLLDLSGCTKLKKIPACLLSKLSSLEELYMGGSFNGWEVERDGNQRINASLAELKLKSLTTLEIHIPDAKIIMPNDLFFPENQLKSYKIFIGKSWKYWDGSNHEYSKTLRLQLDRSIHHLDQGIKKLLKRAEDLCLDDMKDAGTALDGLQHDIEGLKNLQISNCEKIQSIIGDIDETQFRELECLTLENLPELISFSRDSSTSGSQHKLPPLFSEQIFPCLMNLRLSGIKNVERIWHPQLISCEKLTSLAIEGCGKLKYLLSTSMAKSLVKLKHFDIVDCKSLEKIIFSAEGGDIKEDVIEFPQLDSLKMKNLENLMGFCSQDHKINFPSLTLLEIDHCPKLKGFIEDNQRLTPKAFFDEKVGFPSLQKLGIGSLTNVEILWHNQLSENSFCQMTDMSIQHCKELATVIIPRDLWSTLKRLQTLSVRHCGSLEEIFEFPRQGVATQLRRLDVRNLPKLKQVWSKDPGESSSAFQNLHSVVVVDCWSLQNVFPASVARVVVPQLKHLDITRCGVEEIVSEDINQRLETSITFKFGQLSFLSLFDLTKLKCFYPGLHTIKCPMLKSLKAWHCNQVRIFGTKMNDYADEQGTLQLRLQTLIEGARESWTYAIFWQSSYDYSGTTVLGWGDGYYEGEEEDKGKGKLKASAEQEHRKRVLRELNSLISGSAAPTDDAFDEEVTDTEWFFFVSMTQSFVKGSGLPGQALYNSTPVWVAGQDRLASSMCERARQGQVFGLQTMVCIPSTNGVVELGSTELITQSSDMMNKVRVLFNFNDNRIEASSWSMSNNAADQGENEHSLFFLFGSR >OMO62439 pep supercontig:CCACVL1_1.0:contig13265:21064:21726:-1 gene:CCACVL1_22835 transcript:OMO62439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKAALPQSAHKALPGSANLANLLPTGTVFAFQAIIPSFSNNGKCELAHRYMTLAIIILCSLACFLSSFTDSFVGEDGKLYYGIATFNGLYIFNDDLDLENNKETKEILKKYKLTTIDLVHAFCSLTLFLVIACSDSDVQSCYFPKPGPNCNALMTNLPLAAGILDSGLFMLFPTKRRGIGYADHKPDFGNRKKEKQLKEALSTKKLADKDKEPLPEPK >OMO62441 pep supercontig:CCACVL1_1.0:contig13265:36157:38003:-1 gene:CCACVL1_22837 transcript:OMO62441 gene_biotype:protein_coding transcript_biotype:protein_coding description:disease resistance protein MLDCPNFITFVNSNFVIRDDEPQVDTLCLFNDKVSFPRLMALEVKGCGKWKKIWPDKLTPDSFGYFKFFKLDQCRGFSSIFPSSMVDRLQELESLEISHCDDLESIIEPPRLSSIESSSKFVFSKVRWMKLKSLSQLKSFYPSMHTTEWPSLEKLRVTGCSNAKIFALESPNSQLDHVPVGAKQPLFGFSKEAFPCLEKLKTDQDEAKEILELANYQGVQFIEEEDDDDDVYFDDYFDDFNDSLLRCTVDDIIYRGRRRKMK >OMO62437 pep supercontig:CCACVL1_1.0:contig13265:15507:16181:-1 gene:CCACVL1_22833 transcript:OMO62437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKAALPQSAHKALPGSANLANLLPTGTVFAFQAIIPSFSNNGKCELAHRYMTLAIIILCSLACFLSSFTDSFVGEDGKLYYGIATFNGLYIFNDDYDDDLDLENNKEAKEILKKYRLTAKDLVHAFCSLTLFLVIACSSSDVQSCYFPKPGPNCNALMTNLPLAAGILASGLFMLFPTKRRGIGYADKPDCGNTKEEKQLKEALSTKKLADYKDKEPLPEPK >OMP09381 pep supercontig:CCACVL1_1.0:contig03229:156:233:1 gene:CCACVL1_01062 transcript:OMP09381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSIVTISEAPREKVVEDSISSSK >OMO61895 pep supercontig:CCACVL1_1.0:contig13396:3983:8309:1 gene:CCACVL1_23171 transcript:OMO61895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGETVSDFAPKKLARQLDFTAICRASANATLPDPPMHLQSQPQPQTQWQSKTPVQAKHQQQPQPQELQLRLYIQPPAVQSPPQPQLKPQSPSMPQIKTRPPPPPSLQPQVAVVQRVPHPVQKLPLPTFQMSKQESPRSRPRAQTEAKDGTPKKQKPCSCKNSRCLKLYCDCFAAGIYCNGCNCLNCHNNVENETARQEAVGVTLDRNPNAFRPKIASSPHRPQDTGVDPHDVQMVGKHNKGCNCKKSGCLKKYCECYQANILCSEICKCMDCKNFEGSEERRALVHGDHNSMAYMQQAANAAISGAIGSSGYGAPLASKKRKGEELLFGVAVNDQSLQEIVQLQQENLLRNRVSASSPLSAPVSRTAHTASWGSSKLTYRSPLADSLQSGHVKDLCSLLVLVSSEAGRALAAEKSSKVDPIETIVSSGQAGLMSQKGNGAVNGATDKCNGENKADTDRSGNSITDIDDMHNGRPLSPATRALMCDEEDAIFMGAGSPNLLADNTQNMTQKLPNGAECTEVYAQQERFVLTKFRDFLNELITLGSINETRFTEGRSEQEDIKNGAVKSGIQTGGMKESYRNGIVKSPTPTRAHPTVAVVSSPLTPRLP >OMO61896 pep supercontig:CCACVL1_1.0:contig13396:9375:15318:1 gene:CCACVL1_23172 transcript:OMO61896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKGNLTADAESLRTQFLQLLRTRRTAQVPLTVEPAEPVVNPLYQEVPLPTFSEAMESCPKANIPNFKELLKEENIYLHTEEGEQGKLPVLILSIKESNQQKRPAVVFLHSTHKCKEWLRPLLEAYASRGYVAIAVDSRYHGERASTLTTYKDALVSSWKNGDTMPFIFDTVWDLIKLADYLTQREDIDPTRIGITGESLGGMHAWFAAFADTRYKVVAPIIGIQGFRWAIENDKWEARVNSIRAVFEEARIDLSKDKIDKDVVEKVWDRIAPGLASQFDSPNTVPTIAPRPLLIINGAEDPRCPIAGLEIPKTSALKAYEEAHCSDKFKLIAEPEIGHQMTSLMVKEASDWFDQFLKCKSILHSLEQSFSAFVRYQIPLTVEPAKPVLHPLFQGGVPASVFREAMESSPKADIKNLKEENLYLHTEAYASREYIAIAIDSRYHGERAHNLTTYTDALVSAWKKGDTMPFIFDTVWDLIKLADYLTQRKDIDPTRIGITGVSLGGMHAWFAAFADTRYAVAAPILGVQGFRWAIGKNKWQARVDSIKPVFEEARIDLGKSAIDKEVVKKVWDRIAPGLASKFDSPYSVPAIAPRPLLILNGAIDPRGPLVMLMAQPGIAHQLTPQMVKEASDWFDQFLK >OMO60215 pep supercontig:CCACVL1_1.0:contig13759:4298:4960:1 gene:CCACVL1_24328 transcript:OMO60215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSAGILGIKAEVEQNKVKHLRLWIFECRDPSHAAFKLALGAAALLSLAHVLANLVGGCMCVCSQEEFQRSSANKQLSLFCLLLTWVVLAIGLSMLVIGMLSNNKSRASCGFTHHHFLSIGGIGCFVHALFSVAYYVTATSDEGK >OMO60214 pep supercontig:CCACVL1_1.0:contig13759:1210:2172:1 gene:CCACVL1_24327 transcript:OMO60214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFSSSFGSNAANSCNFSPSFITDFNNINYSPEPTFNFNFNPIFNDPNLQTLLPLSSDPSMFNFFGQPQDFPSLPLQVEPPHLHPLYSASLLPDPQIPDSDPLPDSTQFLDFFSKPLPDLHSLEQPRPSPSRRHPQPKPRLFDSSSSASSARKLKRSRFDLNVVSHNDPQTLDSIVQSFNAPPPPPRVLPPRTGRQKLSDKIRCLQKLMPWDKKMDTVTMLEEASKYVRFLQAQVSVLQSMPITSSFGPTEQNAPVPLDYGVLGRLNRQQLLQVLLNSPAAQTTLSSQANCVFAYEQLVSLKKATERQTVVQQFLFGD >OMO62564 pep supercontig:CCACVL1_1.0:contig13247:38605:38976:1 gene:CCACVL1_22756 transcript:OMO62564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGGAPSGDDNVDLELQILVCMDALFNFNLAVDELERRELCQQTSRRLLDDMVNSMSDGDRFVLSYPADVEAFQRFRVQYPLRSRHMTTLISKDLPQPRRDYLYQRAVAVGLRDVIVGFHN >OMO62559 pep supercontig:CCACVL1_1.0:contig13247:3456:7827:-1 gene:CCACVL1_22751 transcript:OMO62559 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MILGGVINAAGNVVNGITGGGKNKSQKIKGNVVLMKKNLLDFNALASSAIDNVFELLGQEVTLQLISADTPDSANGNGGKLGKAAPLEKWNLTLTSPLASDSTYGVSFEWDEQFGTPGAIIVRNKHSAEFYLKSITLEDVPRAGRIHFVCNSWVYPDYQYKKPRIFFTNKTYLPHEMPATLIKHRQEELEVLRGDGKTQLKTGDRVYDYATYNDLGNPDLSSSLARPVLGGSTEHPYPRRGRTSRPPTRSDPSSESRLYIPGTFSTYVPRDEQFGHLKTSDFIAYNLKVLVQNIIPAFEAFVNLTPNEFDSFKQVDDLYLNGIQLPTDALNKLTSNVPLPMLKEIFRTDGQQLLKFPVPKVIEDRSKPTAWRTDEEFAREMLAGLNPLVIRLLKDFPPTSKLDPEVYGNQNSTITKEDIEYNLDGLIVEEALSSNKLFILDHHDTMMPFLRRINNTSAKTHASRTLLFLRGDGTLKPVAIELSVPHPDGDKFGAVSKVYTPAEHGIDGSIWQFAKAFVAVNDEGHHQLVSHWLNTHAVLEPFVIATNRQLSVVHPIYKLLHPHYRDTMTINAMARELLINAGGVIEMTFSTGKYSMELSSEVYKSWNFLEQALPNDLKKRGIAIDDENSEHGLRLLIKDYPFAVDGLKIWFAIEKWVRDYCSFYYKSDEMVQQDPELQAWWKELKEVGHGDLKDQPWWPKMQTREELIESCTIIIWIASALHASVNFGQYTYAGYGPNRPTISRRLMPEKGSPEYAEIEKNPEKAFFRTMASQLQTLIGISVVEVLSKHASDEVYLGQRDSPDWMTDSTPLAALEDFQKRLVDIEQEIIKMNNDKTLRNRVGPVNVPYTLLHPNGDVGLAGKGIPNSISI >OMO62567 pep supercontig:CCACVL1_1.0:contig13247:51711:53949:1 gene:CCACVL1_22759 transcript:OMO62567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLFISHANKAVPCGETIYISLPCPSQTIGQTPGGLCSGDNPIGMSYMVGYGARYVPSKDSSSGQLITIGGGPPGPNRVQGGVTAHAIT >OMO62562 pep supercontig:CCACVL1_1.0:contig13247:29615:32743:1 gene:CCACVL1_22754 transcript:OMO62562 gene_biotype:protein_coding transcript_biotype:protein_coding description:vacuole membrane protein 1 MATTQEQNLSISGIREKHRQELENLTLTTQPLRTLKLFVFAVIQWIHQTIRHHAGWFMFSSTLAGSIGILVMNIAGSHEMIQELIHYAQFGIWWLALGIASSIGLGSGLHTFVLYLGPHIAFFTIKAVQCGRVDIKSASYDTIQLNTGPSWLNKNCSEYGPPAFPLGYGSRVPLSSILPQVQLEAILWGIGTALGELPPYFISRAAHVSGSKLDIMNEFDSSLTEDGGDTSNHLKQIKNWLLSHSQYLNFFTILTLASVPNPLFDLAGIMCGQFGIPFWKFFLATLLGKAIIKTHIQTVFIISVCNYQLLDFIEHELIRVLSFIPGLATILPNLIMKLHTIRDKYMSPSPPVSSNVKVNKWNLSLASIWNTVVWLMLLNFFVKIVTATAQNFLKEQQERELIALSNNSSELSSSASKTI >OMO62565 pep supercontig:CCACVL1_1.0:contig13247:39935:40825:1 gene:CCACVL1_22757 transcript:OMO62565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGGAPGGDNNVDLELQILACMDALFNFNLAVDELDRRELRQQTSRRLLDDVVNSMSDGDRFVLSYPADVEAFQRPKTNSDHTAIESHNSLKVLFIGHIYSRKIHNLLQTYSHRELLLLNTLLGLLILGIESRIIT >OMO62558 pep supercontig:CCACVL1_1.0:contig13247:1370:1507:1 gene:CCACVL1_22750 transcript:OMO62558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDASDDKIARSIAALEKYGICNDLLKEAAAETKDKQAEYDQCVS >OMO62560 pep supercontig:CCACVL1_1.0:contig13247:9520:16337:-1 gene:CCACVL1_22752 transcript:OMO62560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MNTKMTCLSPIQHGIWQFSSPSSSPRPQPIFSNLTSNRTKPTSTPQIDLHHHNFLYYFSSYGSKFKFPRHSLNATSSSDQEVQESNGSNKITTKSEKIKGTVVVMKKNVLDLNDFPATLLDGVQELLGKRVSLQLISAENGDVGKPAYLENWACTDASIVAGESKFNVNFDWDEKIGKPGALFVKNNHHSEFYLKTVTLENVPGHGRIHFVCNSWVYPDKHYQEPRVFFTNKTYLPHEMPEPLIKYREEELRALRGIGKGELQEWDRVYDYAYYNDLGDPDKGPQYFRPVLGGSSEYPYPRRGKTGRPPTKSGRI >OMO62561 pep supercontig:CCACVL1_1.0:contig13247:18891:23531:-1 gene:CCACVL1_22753 transcript:OMO62561 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MLGHLLNAVTGGDDNNNGSTKMKQEKIKGTVVLMKKNVLDFNDFHATLLDGFHELLGQGVSLQLISAQNVDHGANGLQGKLGKPAFLEHWTSTDVSPVAGESKFSVNIDWDHEIGKPGALLVKNNHHSEFYLKTVTLEHVPGHGRIHFVCNSWVYPDKHYPTPRVFFTNKTYLPHEMPEPLRKYREEELKALRGNGEGELREGDRVYDYAYYNDLGNPDKGPEYARPVLGGSSKYPYPRRGRTGRAPTKSDPNTESRIPLLMSLNIYVPRDERFGHLKMSDFLAYALKSIVQFIVPELEDLTDKTRNEFDSLEDVLKLYYGGVKLPSGPLLDNLINNIPFEMLKEIFRTDGAQLLKYPVPQVIQDSKTAWRTDEEFAREMLAGVNPVIISLLEEFPPKSKLDPKVYGNQNSAITKEHIEHNMEGLTIEEALREKRLFILDHHDTLMPYLRRINSTTTKTYASRTILLLKNDGTLKPLAIELSLPHPNGDPLGAISKVYTPAEHGVEGSIWQLAKAYVAVNDSGVHQLISHWLNTHAVIEPFVIATNRQLSAVHPIYKLLEPHFRDTMNINAFARQILINGGGILEMTVFPGKYAMEMSSVVYKNWNLLDQALPADLKKRGVAVEDENSPNGLRLLIKDYPYAVDGLEIWFAIEKWVRDYCYYYYKSDEMVQQDPELQAWWKELREEGHGDKKHEPWWPKMQTREELIDSCTIIIWIASALHAAVNFGQYPYAGYLPNRPTISRRFMPEKGTPEYAELESNPDKVFLRTITAQLQTLLGVALIEILSRHSSDEVYLGQRDTPEWTSDEPALAAFKEFGNKLSGIEERIVEMNNDEELKNRVGPVKVPYTLLYPTSEGGLTGKGIPNSVSI >OMO62563 pep supercontig:CCACVL1_1.0:contig13247:33655:34978:-1 gene:CCACVL1_22755 transcript:OMO62563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCCDQSDDESTLTTPLVSSSDNPFFADSAATISPMNSHFSALTCRDTLRLIFEKLSLTDLARASCVCRAWNAVASDDEMVVEAFKAPWKLLEVVGKPSSKSFWRDNGIGKFAISHRILRGETVASLAVKYSVQVMDIKRLNNMMSDHGIYSRERLLIPVGNPDLLINETCYIELDTYAKREVAVLYLDGKPDRKPRQLLNRVTSDRGKRRVIDSLKRSMQVDDGTAQYYLSISDGDPRAALSQFSSDIRWERQAGLA >OMO62566 pep supercontig:CCACVL1_1.0:contig13247:47359:48389:1 gene:CCACVL1_22758 transcript:OMO62566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRKEQEPPPLPSLSPLLKSIIRLLEVQLAIPYPSKALQQSPEADHQPILRVIAKIIIALAEQHPDCGSHGAHILKSWLDVEHEQFPEAADAITMLEDNDMLSQLYSRGIIHQSPPQLAVEPAHETATFLTTEQRITNIKIQGEDRQVILLRTSPAYRLWIKAKFTVNLPEHTTSHKLQFLVDTTLTRFPDINTFGYDQYCSRQTYATARPLKIMIFNAAGGANPEFILSFAANSFEEKPYLVIITETRMSGSQGAQARQAMGFQATASIDPQGFFGGTWCLWNDLPFTFSVLSRDMNSLTAQLTM >OMP10969 pep supercontig:CCACVL1_1.0:contig01750:3558:3737:1 gene:CCACVL1_00743 transcript:OMP10969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVIIMDTTAAQFPYPWQRCKIIHLVRHGQAMHNVEGDINREALLSPHLFDAELSPLGLQQ >OMO97088 pep supercontig:CCACVL1_1.0:contig07288:14728:21600:-1 gene:CCACVL1_04652 transcript:OMO97088 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IG, 5'-nucleotidase MLPRFWLLPLCRTSYYSTGVREGCGGNVRGCSGIASSEETVSKFEEGIKRAEADNLVVDDDIDNIRREFDAAKRSFLKIPEALKAMPKMNPEGIYVNRGIRLDLIQVYGFDYDFTLAHYSANLQSLIYDLAKEHMVNELRYPEVCMNFKYDPSFPIRGLYYDRQNGCLLKLDFFGSIEPEGCFYGRRQLSEKEIEEIYGTRHIGRDQARGLVGLMDFFCFSEACLIADIVQYFVDAKLEFDTCYIYQDVNRAIQHVHQSGLVHRGILSDPHRYLVKNGQLLRFLRMLKEKGKKLFLLTNSPYYFVDGGMQYMLEDSLGGRDSWKELFDVVIAKANKPEFYTSEHPFRCYDTEKDTLAFTKVDKFLPNKIYYHGCLKSFLQITKWNGPEVMYFGDHLFSDLRGPSKAGWRTAAIIHELESEIRIQNEDGYRFEQAKFHILQELLGRLHATVADSKRSEAYKLLMVELNEERQKARCMMKRMFNKSFGATFLTDKGQESAFAYHIHQYADAYTSKPENFLFYSPETWLYAPYDIKIMPHHVKWPMCSCFMHLLSACICDYIHMYACSIKFVQKPVDAESLNVMKDVKVISFSSKCGKDGQ >OMO97089 pep supercontig:CCACVL1_1.0:contig07288:24039:46436:1 gene:CCACVL1_04653 transcript:OMO97089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILVLVLGLGYAMWSITGRLGERKPLERKPPLKALLYQPPPCLACILLFVAFGLYLCSSIHVAIAIVGKLSADSTFFKMTSEEFGCGAGSVSLVVCQEFDSYATNSTGELQTVCLACQAKLASSRGTLLEEEVGYSSSSLSVIEKRRLCRIVAAWRKENRGGRRQVSRC >OMO57437 pep supercontig:CCACVL1_1.0:contig14374:35977:37629:1 gene:CCACVL1_25762 transcript:OMO57437 gene_biotype:protein_coding transcript_biotype:protein_coding description:medium-chain-fatty-acid--CoA ligase MELLLPPSPANSTPLTTLGFLERAATAYGDSPSVVYNNVSYTWSQTHRRCLQMASSLNSLGIQRGQVVSVVAPNLPSMYELQFAVPMSGAVLNNINTRLDAKTLSILLRHSESRLVFVDHQSSSLVLEAISHFPRNTKVPILVLIDDDDSSRNPSTSFEFLDHYEGILEDGDPTFKWVRPKSELDPMVLNYTSGTTSNPKGVVISHRGAFIATINTLIDWPINNQPVYLWTLPMFHANGWGLPWGMAAVGGTNICLRKIDASHIYRLIRQHGVTHMCGAPIVLNMLSNSAQLEPLDHPVQILTAGAPPPAPVLARTEAMGFVVSHGYGLTETGGIVVSCAWKKKWNELPLVERARLKSRQGVRSVAFTEADVVDAETGESVKRDGQTLGEVVLRGGCVMSGYLKDPEGTSRSLRENGWFYTGDVGVMHPDGYLEIKDRSKDVIISGGENISTPEVESVLYSHPAVNEAAVVAKPDEFWGETPCAFVSLKKINGLNGKPSEKEIIDYCRDRLPHYMVPKTVVFEEELPKTSTGKVQKYLLREFAKALSRVS >OMO57439 pep supercontig:CCACVL1_1.0:contig14374:81094:84247:-1 gene:CCACVL1_25764 transcript:OMO57439 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MAKLSSSSLKFTVQRCEPELVCPAKPTPREYKLLSDIDDQESLQFQFPIIQFYHHSLSMQGKDPVKVIREALAKALVFYYPYAGRLWEGHTGKLMVDCTGEGILFIEADADVTLDEIASDALQPPFPCFDELLYEVPGSDGMLNCPLMLIQITRLKCGGFIFALRMNHVISDATGLAQFMSTVADMARGCASMPSIPPVWERHLLDANDPPRVTCKHNEYDEVEEGDHEAFLSDNMVERSFFFGGDEISSLYNLLPLHLRRCTKFELLTASLWRCRTFALNLNPNEEVRLMCIVNVRSKFNPPLPSGYYGNAFVFPVAKTTAGQLCRNPLEYAVELVKEAKASVTGEYVKSVASLMVINVKRLKFPMRGSYLISDVRHMGFRDLDFGWGKAEFGGLAKAIGPISFIISAKDKKGEVGALVPVCLPAPAMERFAKELEKMLMHPTYESQPNFI >OMO57438 pep supercontig:CCACVL1_1.0:contig14374:46432:54379:-1 gene:CCACVL1_25763 transcript:OMO57438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MVQKLGLHTDLGTMDMEVEEGTSNGEGAEYAEEVRCFKSEPVNNGFGFDSINDFGDGSSGASENFRTYKRRKQLRSSSKIKVQGDCRASIDKVQRQITEALQTPWPEVPWFRVQAYALCVSFAYDAGISFVRDLVKEIFIVPLAGTDHCASINGSNERLHRQWKNVVLEHMHQLLSGDEGGIQCCIRDALLFHSENGCNLTIKEPDTSHGGRQNCSLQAGRMPNGTKYTAEGLKGVTSNGSLKENSQTTTEMCQRVFFDVITSEKFTSLCKLLFDNFQGIKVDSLFNLGLINSRMKNGIYEHSPMLFSSDIQRVWRKLQEIGTEIVSLAKSLSNISNTSYSKQVGCSRGAFEDDKYRFCTRASESLAKPEQAEACGVYKVCTCWCCGKQADGKDCLVCDSCEDIYHVACIEPAVKEIPPKSWYCANCTANGIGSPHENCVICERLNDPRTLNNDVADENHNTDCETFTELEENSNCSVDNQLQSIPGSKTPCVCKICGSDIEKGEKMRNCEHPYCPNKYYHVRCLTRKQLRSHCSRWYCPSCLCRKCLTDRDDDKIVLCDGCDAAYHIDCMKPPRTSIPRGKWFCRKCDDGIQRIRKAKRAYQYLENKLKMKGIGGKMPYDNGEMNLNEKEREDSDKSRGGVDMLLTAANTLSNEEKLAAI >OMO87690 pep supercontig:CCACVL1_1.0:contig09198:13284:17522:-1 gene:CCACVL1_08815 transcript:OMO87690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKESNSPIKIKLKPKTKTKTKPNIEQ >OMO87692 pep supercontig:CCACVL1_1.0:contig09198:36113:37500:1 gene:CCACVL1_08817 transcript:OMO87692 gene_biotype:protein_coding transcript_biotype:protein_coding description:Primosome PriB/single-strand DNA-binding protein MIARRIREIVFRSTSQFLPLKNRTRSHCSAASNPRFSNFFVDDSEGGSGVYRLALKSQRPTTVEVLPQLRNSVSFIGTVDKPLKVMNTNPDDFGVHTWLKVKNPHNPDRSFKILLNMWDEIAEMCVKHLKLDDFIYVSGVLASYSKAIEDGQSRLFYKVNVKEVNFVAQQGQRSNSQKSKKLQSEQVDDVGEAGMERYENQIYLWQIYFTNPFEWWDNRKSKKNPRQPDFKHKDTGEALWLNPNDPPWIKKQLERLDSRLSECSIGGQVSSRRRISEWVYDE >OMO87691 pep supercontig:CCACVL1_1.0:contig09198:20105:33014:-1 gene:CCACVL1_08816 transcript:OMO87691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISRKTFPHVSKSLPSPDSLHDSTSGNAVNNQTQQQRRLTRQRKLRHLTDDDLGIFSFSCKDRPFSLPGSPVTARSKLRSPDGLEHWSSSAVPKPLPLPEDFGNRKSNTPGSSPGPSQLSSPDEGLAPAPGRKKADHDSRISLAKSSVNPRMGFSRDESVENVTNRPRPRGGTRSRGTSFFSTPASPHRSHTSDYFYSYDVVESTNLLSRRRGFTQDLNFGSVNYNLRLDVPARSAPTTTFSSPSVSPGRSTKVDTFPSSSPVAEELRGLIDVDVPNLGRAAAYSSTVSPVKTVHTPDHSPHQSPGLNKVSFASHHKLLENNAQVNAHPLPLPPGALPSSQSPKPLPSPVSNHIIEKPITTPMINQWQKGRLLGRGTYGSVYEATNKETGALCAMKEVDIIPDDPKSLECIKQLEQEIRVLKNLKHQNIVQYYGSETVDDHFYIYLEYVHPGSISKYVREHCGAITESIVRNFTRHILSGLAYLHSSKTIHRDIKGANLLVDANGTVKLADFGMAKHLSGLSYELSLKGSPYWMAPEVIQAVMQKDTNPNLALAVDIWSLGCTIIEMFTGKPPWSEHTGPQAMFKVLNRTPEIPEALSPEGKDFLHRCFRRNPAQRPSAAMLLEHPFVRNSADQNGSILSQAVSRMNLTDKSHGTRNSPTHNIDLMSTSSSTKIMNGKLPCYSETDQSRYAKTINCAAASHRPSYSTLEVSTYTSTAAKLIHGSHSFSPSSHISSNMPLGAVNNHPSLHENTHIHMEDVIILTVSDLLRYGRPHTGSSSPGPPIRTQQEPTPASGASNSNPNPNRKALSLFEYPALLVGTLGLPSLSLKCPQRNCLEFSDDSSAICCDIVGLDLRSIGKKIHVLTWNFIPSKHLSGGFLEIVKWKFMDSSHGLSECSSLKIDSFPLSSNSSESKPSNSKSYQVHGTLESVSPVSVVPCSHKDSSSSNSINLRGFLVRIMACECKLCRSKKSFKVLHEELDCHSFTNPVFVYFCDFAWCWHPVMMKLMGNALTISGLKKRIIFIGKQECDSMFVTAEYSVLHLPRLLKKCVPFSRNVVKGNGECGSYIGTVNNVYMQGMVVELDKEVWLLLTDQLLMPPHGLRVGAIISVKNVHFVNPKFKWAKLLVLGACFKTSITVESFSPLETGCLIVSQSQSQLGKFIDSLAFSTRLWVLLLVSCFLKKFSGILPRKEILGSKHKKGMAEMFASLHLSSAMFRERHGVLLEFNKHEPYGYANGPYCGNLQLVVPISSFIHHCEILWIRTSFQLDNVQPGSGGEKYYLHSKRKTFQSEDIGIILVGSLKISTSSGRLQLVDTTGGIDAIIPDLPSNWNPDTVFQVIDYSLTVEGTPEPVHSGLPNESFSCGSFFRGFPSTRERNFKKFIYFHLCNAMCRNLPFYPPADCQDKLNEFENGIFHLIHITHKLHPLRKLAGDTMISKRSTVFAEAIVLPWYLFLAGKYGSVGSNTVSRDCIGGNNIDHAPCKRQKTDCLSRWVTPGSLGCASSEKSLGSIRETRGDQGCLSIRFSHEIPCLATIRGVNNFIITSSGILYKTKANADIKVDCKPSADKILLEFTSESDLKYQIGGFYLMKHHTADPFCNIETSKFSGVKVLMTSGTFLRSLSFSPEVLTTDKSLHYPSLGDSSLCDDEVLTKDQVLRVARDTSVSDVHLHFSSSLTGLLDINTKELVKDLNVPHANLENSSLSSSIETTMTACGLSSVLPGSNCLFPEGNLTSLCGDVIIVHSFNRGSTSVRSSCEDVGELRHFGFSDGTNSCCIHVSMSHQIVKIIGTIGQLPFPTGFGSGVNATFHRILELRGPNVLMLTPVSAIVINSIRADNEACSNTCSNSRPSLDMPNSASKNMVASSGLVSEFIQCSNGNLNRFRCRVVALHVLVLQKRPMHSGPLSVDVPLACFILDDGSSSCYCWANAERAATLLRLHELPPSAFQASGCIEKWVGIPRSSWTSTVHHFQRFLEKHGKIIVKNTRSISDSFDQDFAVSVSSGKALNSLDEDLYLLISVIFKACINRFWTVVAEEMDSNAEASLLKEHLVEVEMPMPPMKNLWASEVCYVNQLTEARDMIQKLVNR >OMO87695 pep supercontig:CCACVL1_1.0:contig09198:43489:46430:1 gene:CCACVL1_08820 transcript:OMO87695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MAFNDFFVGEIATELLKQLISISRKSCLCKSSADSLITSIGELLPIIEEIKYSGVELRAARQFQLDRFSETLRGGLELARKVLASNRWNVYRNLQLARKMEKLEKNVARFVSGPMQAHILADVHHMRFETMERFDRLEGRLEQRLNSMKIGVGTGGWVEEAVKRMEIDEEASSDILGGVGLDLGKSKVKKMLIGRDDLNVVGIWGIGGSGKTTLAHEVCKDNQVRSYFNNKILFLTVSQSPDVEQLRARIWGFITGNEAMGYSTSNFLAPRGKLPSLWGSGPRTLYLANGFQIFGKQIVNECKGLPLALKVIGASLRDQPEMYWASARKRLLRGEPICEAHENKLIERMAISVEYLNKKVKECFLDLGSFPEDKKIPLDILINMWVALHDIDEEEAFAILVELSDKNLLTLVKDARTGDAFGSYYEIYVTQHDVLRDLALHLSNRGDVNERKRLLMPKRETELPREWERNSDLPFNAQIVSVHTGEMREMDWFRMEFPKTEVLILNFSSEEYFLPPFIDDMPKLRALIIINYGTSDAKLENFQVFTNLAKLGSLWLEKVSVPQLSNVHLRNLQKLSMVFCKLNNSFDQSVVELPQIFPRLSELTIDHCDDLIKLPLSICKLNSLQSLSITECHRLCELPTDLGMLKKLQILRLYACPELKVLPPSIGELVGLKYLDISQCVNLSCLPKEIGRLASLEKIDMRECLQILDLPTSLSNLKSLRRVICDDEVSGHWKNVEKAMPNLHVQVADRWYSLDWLNA >OMO87698 pep supercontig:CCACVL1_1.0:contig09198:74053:78706:-1 gene:CCACVL1_08823 transcript:OMO87698 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S26A, signal peptidase I MAIRVTVTYSGYVAQNLASTAGYRLGPCSTRSFHDCWLRSRFLSPNKKSDIDSSPSPTRNYHAAAADLRRPRPNMYSAIAAEILKEGCNNPIVVGLISLMKSTTYGSCSSVNSMGLGVSPFKATSIISFLQGSKWLTCNEPAPVGLESSEIDRGGISNVDRGLTLELDPKAFVKSSWISRFLNVCSEDAKAAFTAVTVSVLFRSFLAEPRSIPSASMFPTLDVGDRIMAEKVSYFFRKPEVSDIVIFRAPPILQEVGFSSNDVFIKRIVAKAGDCVEVRDGKLIINGVAQHEDFVLEPLAYEMDPVVVPEGYVFVLGDNRNNSFDSHNWWLKAKMLDRKEADPDLMVEERGSLRQEAVLADPPQILEDVIKKIALKQNWELEGLNFSKLEVSKARFGSGKRYEFRIRFGKTHLLFKFPDEVSSWNKFRKGSGDFIEFVKDINSSALLDSFKMEGPFELRLASNHRASLLLPMNISHTDLRRVLVGEGITVESRNPDAPIEALFPSEGTIELLPAKCYGNHAYMKRSFPVDSISLRIARLRKVLRTFLGDGNSRNGASGSINVKTKASPIINFQLELEKTIGKNESVRGMLAEWRTKPTVERLWFDVMARVEAERLKPLTIKKIRPFLGVDTVSWSSLLSNISFTKFPSILVPSEALTLDVKW >OMO87693 pep supercontig:CCACVL1_1.0:contig09198:38473:38565:-1 gene:CCACVL1_08818 transcript:OMO87693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTTGSKQQQRAKLKHQGQGSLLSNTILT >OMO87694 pep supercontig:CCACVL1_1.0:contig09198:42320:42394:-1 gene:CCACVL1_08819 transcript:OMO87694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLPSPVSGRDDFAQSTHHTTKP >OMO87689 pep supercontig:CCACVL1_1.0:contig09198:9886:10272:-1 gene:CCACVL1_08814 transcript:OMO87689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPLVQQPPPAVPVTQIQQPYESHATHASIGPLIAVLVVIVILGILAGMIGRLCTGRKIMGYGQYDIESWIETKFSSCIDGRIYSPPPPPRPNVAASANNSVPASIQHQPPQEDQSPSPQTSSTPNV >OMO87687 pep supercontig:CCACVL1_1.0:contig09198:5148:5696:-1 gene:CCACVL1_08812 transcript:OMO87687 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MASSAKQIILLVAMAASLLAVTQAATVVVGGSENWRYGYNYTEWAANNAPFYFGDALVFKYKKAPAHSVYLMPNLWSYLKCDFSKAKLLANPSQGQGHGYAFVINQWRVFYFASAEGNDCKNGLMKLIVVPWPRY >OMO87697 pep supercontig:CCACVL1_1.0:contig09198:66085:73163:1 gene:CCACVL1_08822 transcript:OMO87697 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MGIFSHFKSQPKQETKLGGNRAALFVYATEALENMAFISNAVSLVTYFFGYMNFSLTKSATTLTNFMGASFLLALFGGFISDTFLSRFKTCVLFGCIELVGYALLAIQAHFHQLRPPPCKGSTNHECVAADGGQVAMFFTGLYLVALGTSGMKAALPPLGADQFDEKDPKESVQLSSYFNWFLLSVTVGGIVGVTIVVWISTNQGWDWAFGVCTLAILAAILFVTMGKSLYRNNVPKGSPLLRIIQVIVVTIKNRKLPIPEMADELHEVHDKEAGVLNEILPRTDQFRSSTNMGALKIMFLLILIMEISRDYNLQARQEKRVGGNRAVLFVYVAGGLENMAFIANAVSLVTYLMGSMNFGLTKSANNVTNFMGTSFILALFGGVIADTCFTRFTTGVLFGCFELLGYALLTLQAHLDRLRPTPCEDVSKQCEAPNSGQAAIFYTGLYLVALGTSGVKAALPLLGGDQFDINDPKEAAQLSSFFNWFMFSQTGGSIAGVTFLVWISSNKGWDWAFGVSTLAVLLAIVLLCIGKPFYRDNHHAPKGSPIIRVLQVFVAAIRNQDIPIPKKKDELHEIYDKGTDMNIPNEFLQRTSQFRFLDRAAVNRITWVESAPSMTPGPWRLSTVTQVEETKILLRMLPIVLSTIFINTCLAQLQTFSIQQSMTLDTHILGFKIPAPSLPVIPLTFNFIFIPIYDRIFVPIARRITGIPTGIRYLQRIGVGLVLSTISMAIAGVMETRRKSVAVQHNMVDSRDPLPMSVFWLGFQYAVFGLSEMFTLVGLLEFYHAESSARMKGTSTALLWFSLAFGYFISSVVVEVVNKASGGWLASNNLNRDKLNYFYWLLSVLSALNFVIYLVCASWYKYKNAEMQHEEVSNDD >OMO87696 pep supercontig:CCACVL1_1.0:contig09198:47941:52165:-1 gene:CCACVL1_08821 transcript:OMO87696 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MGETEHHHHPPPPPVAAPPVAPPNLALSRGPTWAPAEQLHQLQYCIHSNPSWPQALLLAFQHYIVNLGTTVLIASTIVPRMGGDHGDKARVIQVLLFMSGINTLLQTLIGSRLPTVMGASFAYILPVLSIINDYTDEAFASEHDRFVRGMRTIQGSMIVASFLNIIIGYGRAWGELTRLFTPIIVVPVVCLVGLGLFARGFPLLGNCVEIGLPMLILLIISQQYMKRIHSRAHLILERFALLLCIGIVWAFAAILTVSGAYNNVKTATKQSCRTDRSFLMSTAPWIKIPYPFQWGTPIFRASHVFGMLGAALVSSAESTGTFFAAARLSGATAPPAHVLSRSIGLQGVGMLLEGLFGSLVGTTASVENVGLLGLTHIGSRRVVQISTAFMIFFSIFGKFGAFFASIPLPIFAAIYCILLGIVAASGITFIQFANSNSMRNIYVLGVSLFLGLSIPQYFTMNTTYDGHGPVRTNAGWFNDILNTIFSSPATVAIIVGTVLDNTLEAKHVDDRGVPWWKPFQHSKGDVRTEEFYSYPLRFNEYIPTRFL >OMO87688 pep supercontig:CCACVL1_1.0:contig09198:7338:8578:1 gene:CCACVL1_08813 transcript:OMO87688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVENRNSDWLNIVMADAENDKWLPELLHYDIKYVPCFVMLDKNGWALAKTGVPSSRLHVVAGLSHLLKLKRPPTYSGRSHSSSDR >OMO60758 pep supercontig:CCACVL1_1.0:contig13670:19333:19959:1 gene:CCACVL1_23894 transcript:OMO60758 gene_biotype:protein_coding transcript_biotype:protein_coding description:heme oxygenase-like protein MRSMSMKLHSKEQAKEGEKEVKEPEEQSVRKWEPRVEGFLKFLVDSKLVYETLEGIIDHDHTAFACYAELRNTGLERHESLAKDLKWFEEQGYAIPVPSLPGLTYCEYLKEISEKDTQAFICHFYNIYFAHSAGGRIIGKKVAQKILNNKVLEFYKWDAGELSQLLQNVRDKLNKVAEKWNREEKNHCLEETEKSFKLLGNILRLIIS >OMO60757 pep supercontig:CCACVL1_1.0:contig13670:10263:18305:-1 gene:CCACVL1_23893 transcript:OMO60757 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division protein FtsZ MSMGKQSSSLNGYRSNGEEKSGVVDVIAIGSRKDAVLEFCLDSPFQSSSLRFWNILMKDTSNVQLQQRFLETDLTPTIVEAAVFVQSCSRTIILVAGAGYGSDHIAAIDILKEIRSGNKFAVAIILKPFSFEGQRRLDEVKDLAGKFQELTNFCIDIDTDLLLKNELVTLDEALKTANNAVLLAINTISVVLSEMQRKLIDALDNNMKEIEVSDVIKILGEYKEARLGFGAGYNIKTSISQAMYDCPFIGAGVKDLGGMVICVIASSNVTSNDDVQAFLHTFRQTAKYTKDMIISAIREPNLEPNLLVTTVVVLGHIEEQVSQKSSIFTRLAQRFPFVFNFLRKHPSSSNDTNGNDFKVINKTDSNEMENKVALEGISGGFDDSGEIQNAPNSRSSDIYSLRDYDSGSDQNEAPLSPGTSDSSGYYDEITEGISTFHREPLSGWSLGPGHQLAQEWAKERAADSEATPVLDNLSMFRLPVGVRSSEELKEGGNNLYATEFLESKSENDVKSPVFPSSSRSRGASSDSSFEVMREFYNTASTLLKGKTDVPKKQGVLSVRAASMLEAERGSPKKWSPIVEMKYRGGVYKGRCQGGLPEGKGRLVLGDGSIYDGMWRYGKRSGVGTFYFSNGDVFQGSWRDDLMHGKGWFYFHTGDRWFANFWKGKANGEGRFYSKSGKVFFGHFEDGWRHGRFLCINVDGARCIEIWDEGVLLSRQQLDADADAVVK >OMO60759 pep supercontig:CCACVL1_1.0:contig13670:20889:21737:-1 gene:CCACVL1_23895 transcript:OMO60759 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA isopentenyltransferase MAASDSINGSIRNKKAKRKVVFVMGATATGKSKLSIDLAKHFNGEIINSDKIQFYEGLDIITNKVTEAEARGLPHYMLGFINDPNVDFTADDFCRHAIASIDAISDKGKLPIVAGGSNSYLERLIEDPKFSFRVNFDCLFIWLDVAVLTLYKRVGTRVDEMVGAGLVEEVRGMFFPDTDYTRGIWRAIGAPELHRYFMLEEVIGVDESTKMLEDAIEEIKGNTRKLVDCQLGKIRRLRVERGWNMHRIDATSVHQKRGEDAEEEWKTKVLDKSVMLVKEFLY >OMO70787 pep supercontig:CCACVL1_1.0:contig11785:422:2833:1 gene:CCACVL1_18660 transcript:OMO70787 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2 MSNLTSASGEASVSSGNRTEAGSNYPPPHHHHQQQYFSATTNHPPPTQTQPAKKKRNLPGNPDPDAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYVCPEASCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESAKAITGGVNVNPVLLPSSASASHINLQIPQQIQFNPQEIQIQPPFSLKKEQQSFSIPPWLATQPMLGPGPGPPVAHPQPLDLSSSTTSASIFSTRLDHHHHHHQEFSQTLDVHDHQNPNSTTTLGVGPTTLHNSYNHPTSVPSPHMSATALLQKAAQMGATMSTTTKTGSSSAPLNRPHQQTHVSADSSGSNNNNNNTTAGNFGLNLSSREEELAIGTTTGSNSTTSFLHGLASFGAGNHKTTTSSSAAAANAGAPPSLFQDMMNSFSSASGFDATSFDDDAFGAILNSKKGDHHGSGSFINESMSFSSKTTTRNDRDCAGNGGQGEGLTRDFLGLRAFSHNDILNMAGLSNCMNTSQSHEQRNVDDQSQKQWQG >OMO70789 pep supercontig:CCACVL1_1.0:contig11785:18298:19321:1 gene:CCACVL1_18662 transcript:OMO70789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYGGGGSWTMIPSVPTHSNVSTPTNQDHLYLSPPPQPQQQFLPPQFQQQQQQQRILQQQQQQQQQQQQQQQQQQTPQNQHHQSLASHFHLLHLVENLADAIDNGTRDQHSDALVNELNNHFEKCQQLLNSIGASINTKAMTVEGQKKKLEESEQLLNQRRDLIANYRSSVEDLVKTEP >OMO70790 pep supercontig:CCACVL1_1.0:contig11785:21316:22158:1 gene:CCACVL1_18663 transcript:OMO70790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIITTTRSGGSNGTTQACAACKYQRRKCAPDCILAPYFPHDRQRQFLNAHKLFGVSNITKIIKNLNPPEKDIAMRTIMYQSDARANDPVGGCFKIIQDLQRQIDYSQAELDLVYHQLAICRSAAHQAQHHHHHHLAQIQEPTEGVDNSTLGGGGCDLVNAAAAAVAVAADPLNFYYVQEPQDQQFAVNDHNHHDQLIQENYDSWGIQESTALMSSLNVKQGFIKESCHDDHDHDNINGIEVKPDLDQVPYDHHDQIKFEADELVERRFVPSTQLVMSS >OMO70788 pep supercontig:CCACVL1_1.0:contig11785:8664:16329:1 gene:CCACVL1_18661 transcript:OMO70788 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 35 MATRKRSSKTTLIFFVLLSFIAFAAFVPVFASLPSLSSHSHSHSHSRSLDLDLDLRLRRHHRHPRRGRNDARKFEIAEDMFWKDGKPFQIIGGDLHYFRVLPEYWEDRLLRAKALGLNTIQTYIPWNLHEPEPGKLVFEGIADLVSYLKLCQKLGLLVMLRPGPYICAEWDLGGFPAWLLAMEPTMRLRSSDPAYLQMVEGWWGVLLPKVAPLLYGNGGPIIMVQIENEFGSYGDDKAYLRHLVKLARGHLGEDIILYTTDGGTRETLEKGTIQGADVFSAVDFTTGDDAWSIFKLQKEFNSPGKSPPLSAEFYTGWLTHWGEKIARTDASFTAAALEKIVSRNGSVVLYMAHGGTNFGFYNGANTGVDVSDYKPDLTSYDYDAPISESGDVENEKFKAIRRVLGKYSPVPLPSVPSNIKMTRYGSIQLQKTAILFDLLDGIDSAHIVEAENPTAMEYVYQMYGFLLYVSEYASKPGGSKLVIPKVHDRAQVFISCSSTANDGEVSYVGIIERWSNQAIGLPNANCVSNTSLFILVENMGRVNYGPYVFDRKGILSSVYVDGRVLKGWKLISIPFHNLNEAPKFNPVIQNASQVPKLSIHKKLEYESEDIVEGPSFYTGHFIIDTTTEVTDTYISFRGWGKGIAFVNEFNIGRYWPTAGPQCNLYIPAPILQRGENILVIFELESPNPELVKLLNGRNSDDYFEGCLDASFSSCFDPDIAADAAAVMATAAAPSFAPPAAFIAPATFANTATPPTSIALNATVGLTAIFY >OMO70791 pep supercontig:CCACVL1_1.0:contig11785:27311:27814:1 gene:CCACVL1_18664 transcript:OMO70791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGDHIMKPCAACKHNGWRCGADCFFAEYFPSDCETQYLNVHNRLGASGMLDVLKKVTPARRVDVGIIMHTIIFECNCLDVDPLQGASGLDEAFRNLRTEMTAAGIVSACNEIAKYSEEIARFRQNKHKEQLNHGAQTGTVSFDDIIQGRGIPNFEEPATKRQRN >OMO51039 pep supercontig:CCACVL1_1.0:contig15993:45898:48448:-1 gene:CCACVL1_30045 transcript:OMO51039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MLFKAAYDDVKTLLHEGLTETRLICDLKREIQQLRGSCISFLTTAIYCIDLEGSICISSELRKDVYLQSLKEVLDEAKELVSDYDDEKSKRQNLKGSSIGRKVTYYFSSNPAIYVRIARRISAFKKKFDLVHKDIDQFRLQMGKSRVIMDLETTPYVDSLPVIGRAEDVEKIVRVLMHSTEVDGITDLPVLPIVGTGGLGKTTVAQLVFNDVRVINHFDLRLWVYVGKYNISILHRSMMRHFIEVLKEPAPPNHLCWNWESWDFGTSFLFLEKWLEGKRLFLVLDDVWQFEEKMFEFDEKKNWFKDLLTRETNGSRIIVTTRRDDVAKSIGTIPQYDLEPLSIEDSLSLFFKTMGKEPEASPNLGVIGRNMSAVLLSRKREWLDVSNTEIWNIEEFQSELWDACGVLGSTSNDKDKDGAQNKCLHGFWQDARELLHNNTGSIRTLIFVETDEISLIESVTDQCKDLRVLIWRDSSLKVLPSGIGGLENLKYLDLTGNRDLTEIPNDICKLPLLQTLILEGCEKVEKLPTDIRNLSALNMLSITTKQGFLPENGVGCLTRLRYLAIIGRPNLKYLPQLHLLTSLRTFIIRDCNSLTALPRSMKYLIALETLVIANCEKLRLNRKNKVNKEEDDYPAHLMTDSGFSLHRLWIVSLPELSDLPEEFVRKSAKTLHHLHIQDCQKLKAIPMWIQDLTSLENLGIVNCQSLSYPPGISNSSVLKKAKIEDCPHLTQENVASQSPSSSVEVIM >OMO51036 pep supercontig:CCACVL1_1.0:contig15993:10369:16504:-1 gene:CCACVL1_30042 transcript:OMO51036 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MSSSVSVVVVPFLAQGHLNQLLHLSRIILSYGIPVHYVGTTTHNRQAITRAQGWDPVAASNFHFHNFQIPPFISPPPDPTAKIKFPSHLQPCFDACSHLRHPVSQLLQTLSSQSRKVIVIHDSLMGSVVEEVCSIPNAESYAFHTVSAFTIFFFFWDSMGRPKVDAEMPDEGDIPSLEGCFSDDFLKFISFQHQFLQFNSGRIYNTSKVIEGPYMKLLGETIRGKRHWALGPCNPVKLPDEKNTRRHYCLEWLDKQGKNSVLYVSFGTTTAMDDDQIKELAIGLRRSKQKFVWVLRDADKGDVFNGGEVRRPELPEGYEDSVKDVGLVVRDWAPQLEVLAHPATGSFVSHCGWNSCMESISLGVPIGAWPMHSDQPRNAVLITKLLKVGIVVKDWAKRDEIVIAATVEDCVKRLMGSKEGEEIRKRMAELGGALRESTAAGEESFPRELGRQAEELIVQQEEGEQQSSSCH >OMO51037 pep supercontig:CCACVL1_1.0:contig15993:23841:31204:1 gene:CCACVL1_30043 transcript:OMO51037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGNQREIDGKRANRRKESHSSMPMWTNEMGTRKCKANDYLTATNGHEITTLEDSNPVFRMNF >OMO51038 pep supercontig:CCACVL1_1.0:contig15993:43695:45421:-1 gene:CCACVL1_30044 transcript:OMO51038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPFRRTSAQQNHLKAPKRKHQKEKEKSMDVITKREMADCGDWGLQAFKDSVRAMALSNAN >OMP04529 pep supercontig:CCACVL1_1.0:contig05718:1594:5555:1 gene:CCACVL1_02156 transcript:OMP04529 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate mutase, AroQ class, eukaryotic type MEAKLLSASSPALPAVNGTTKFSRPRYLLGLQAREFPRFKFDCCAKLSKKDIQSVHASVTSVGPLGKERVDESENLTLEAVRHSLIRQEDSIIFGLLERAQYCYNADTYDPDAFAMDGFHGSLVEYMVRETEKLHSKVGRYKSPDEHPFFPDDLPDPMLPPLQYPQVLHPIADSININNQIWEMYFRNLIPRLVKKGDDGNCGSTAVCDTMCLQALSKRIHYGKFVAECKFRASPDAYRSAIIDQDRDRLMETLTYPTVEEAIKKRVEMKARTYGQILSAKTEEEEDSGNPVYKIKPSLVADLYGIWIMPLTKLVQVEYLLRRLD >OMO59183 pep supercontig:CCACVL1_1.0:contig14036:4236:4376:1 gene:CCACVL1_25017 transcript:OMO59183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIMLATTIVRKDGEHIEVTAQFHRFFQTLQSCYRQTRIPQTLQIMQ >OMO59184 pep supercontig:CCACVL1_1.0:contig14036:6115:6195:1 gene:CCACVL1_25018 transcript:OMO59184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDASKQKAAQLKTAGAAKRGGKAQ >OMO97990 pep supercontig:CCACVL1_1.0:contig07174:47713:50506:1 gene:CCACVL1_04382 transcript:OMO97990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEVVETATQTLRDQPTQIIAQTTNTANSGQPSSSNREKKRRSFGIKVGVDAREKVFSEIVKIEDLSKAERIKAGQRISSESIARGQAENFDGIESRNGGRRW >OMO97989 pep supercontig:CCACVL1_1.0:contig07174:4129:7309:-1 gene:CCACVL1_04381 transcript:OMO97989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGDDAVRRKKNKAQRKKLNRQNDSSTVSARVASIIAAKKRRKAGKRRNCQGMCFSLPTPDDPFNDRLEKKDIGRKESKKIVSSKLDKKISGQRKDAVPRKASVLQHNMEPKPANSGRTKIQPDVHSHQEQACESSGCPSKYLILCLKGIEEALCHDGAYNCEEEKPLFVNTWGVEFWKCYSVGKDIVETSGSSSNVAQIAWIASTAADAIARREKEGLLFSGPFLLFLVPSKEKALKVRSLCKPLKAHGIHTVSLHPGASLDHQISGLQSCEPEFLVSTPERLLELLSLKAIDISGVSMLVVDGMGSLSGGASLGTIKSIRQAISGKPHTVVFNDSFSNASVHAVQNLLTGSVQKLSVNDSVAIQGACIMQSIRVCSSKGEQMMKV >OMP11072 pep supercontig:CCACVL1_1.0:contig01590:958:1023:1 gene:CCACVL1_00685 transcript:OMP11072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQMLVTIWVSTKRVWSLVKQQ >OMO87211 pep supercontig:CCACVL1_1.0:contig09280:7978:8046:1 gene:CCACVL1_09196 transcript:OMO87211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKPKGSTAAAAELSFYRELA >OMO94567 pep supercontig:CCACVL1_1.0:contig07835:1399:2645:1 gene:CCACVL1_05921 transcript:OMO94567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETYDPKDMQIRYERWLLIHGRRYQNKDEYTMRFGIYQSNAQFIDHINSQNLSFKLTDNKYADMTNEEFRSIYFGVWGGGRFPKERETVSFKDNICENNLSASIDWRDKGAVTPVKDQGLCGSCWAFSTVAAIEGLNKIKTGKLISLSEQELVDCDVAGGNHGCNGGFMEKAYEYIINNGGITTEENYPYKGRDDVCDRNKARNHAVTISGYQLVPENDEESLREAVARQPVSVAIDAGGYEFQLYSKGVFKGYCGYQLNHGVTIVGYGEEHGKKYWLVKNSWGAYWGESGYIKMERDFVDKRGLCGIAMDACYPLKNVKI >OMP06275 pep supercontig:CCACVL1_1.0:contig04993:6362:8545:-1 gene:CCACVL1_01640 transcript:OMP06275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNNNLSKTFGAPSQFGNTGTVPQSQSLPTNNQPHLLSQAQPQSQGGPQFPGHFQLSEPQTQVLTHAQYVQAHAQAQAQAAHSQFQAQLQSQNVSNSNAAATPSPAVSTPGSGSAKRSSQKPPSKNSASPNNNMSLLFKTMELTPAALRKKRKLPERQLPDKIAAMLPECALYTQLLEFEAKIDATLSRKKIDIEQSLKNPSCVQKTLRIYVFNTYSNQGPADPDKKSTEAPSWSLKIIGRILEDGKDPVVAGKLQQSYPKFSSFFKKITIYLDASLYPDNHVILWESARSPALHEGFEVKRKGDKESTARIRLEMNYMPERFRLSPALAEVLGTEVDTRPRVLAAIWHYVKCKKLQNYEDNSFFVCDPPLQKVFGEEKMKFVMVSHKITQHLTPLQPIHLEHRIKLSGNCPVGSTCYDLPIDVPFPLEREKSEFLANMEKNKDIDACDEIIGTAIKKIHEHYRRRAFFLGFSQSPGEFINALIASQSKDLKLFAGDASENAEKERRSEFYNQPWIEDAVIRYLNRKSMGGDAVGST >OMP06277 pep supercontig:CCACVL1_1.0:contig04993:12165:14562:-1 gene:CCACVL1_01642 transcript:OMP06277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKTDKAYVLDKKKHLARLNISEGGKVLLKRGEGKLEKQFRMNCLGCGLFVCYRAEEDLEAASFIYVVDGALSTVVAETNPQDAPVPPCISQLDGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGKVLGLRLSQMTLQRGWNNKSKLLVVEDLTARQVYEKLREAVQP >OMP06276 pep supercontig:CCACVL1_1.0:contig04993:9452:11086:1 gene:CCACVL1_01641 transcript:OMP06276 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L22/L17 MVKYSREPDNPTKSCKARGSDLRVHFKNTRETAFSIRKLPLTKAKRYLEDVIAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDSLFISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEAETQLAPRKSKASA >OMP06278 pep supercontig:CCACVL1_1.0:contig04993:15300:15374:1 gene:CCACVL1_01643 transcript:OMP06278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAMLAIVDEKIRIRSIWCCVLA >OMO69149 pep supercontig:CCACVL1_1.0:contig12106:36280:38501:1 gene:CCACVL1_19648 transcript:OMO69149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, MuDR, plant MANDLYTLNLHYHGTFVGEGLNLRYIDGEDGYVDVDPDRFSYFELEGIALEPRFKIKKFKRMYFSVPGLPLYEGLRVVDNDDAANVMCEYMVRHGSIDWYLEHEVDVPVEPSLLLEGPVAEPSVTNDYSEEVRVDQGDTVEPTVDKVFDVNVEEVLVDPADDEVDVEVEVMDDIDHPYFSPETQPPIDDDDTIDEEVAAARANFEEILAEAMGAAEGENLDGHNSDYFNEDEHGDVVDDADEDEMVDSPFPAYMPNLECGMVFKDSSEFKHAVSILVVRENKDIKWSRNDAKFVRGKCMAPNCPWFIYAALNNVTNSFQIRKFQSKHQCKSVWRTRQLTIKVLADMYDHKFRRDPFMKTEDIGYDLKTQVGIGVKNSMIRRAKKAVLDEYLNNFEQQFGRLRSYGLAIMETNPGSRVFIQVQRVVPTAPPVFERITKGELLCVVGRDGNNQMFPLAWALVERENGPKWRWFMQLVGEEVHIGSGLGWTLMIDQMKGINTAIAEIFPDAEHRYCARHIYCNWVKNGNYRDAAKDMKKVPVQKWCRASFNTDSMTEVVDNNLCEAFNGTIVKIRKLPVLTLFEELRRYLMQRIVKKQEECQKWRGNYGPNVWQAIEKGSKIANYCNVFFAGDEGYEVNHGDDRYVVV >OMO69146 pep supercontig:CCACVL1_1.0:contig12106:5899:6096:1 gene:CCACVL1_19645 transcript:OMO69146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREGRKEAVNEERVVLSSMIEERERKIEKFSPSGGMNSVPLEIEDSENEKREFPPNFLAREVRET >OMO69147 pep supercontig:CCACVL1_1.0:contig12106:27513:27581:-1 gene:CCACVL1_19646 transcript:OMO69147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSRAGRDQAMARSRAINSYP >OMO69148 pep supercontig:CCACVL1_1.0:contig12106:31014:33193:-1 gene:CCACVL1_19647 transcript:OMO69148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYIGSSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGDYEEDDEGDEDQN >OMO82590 pep supercontig:CCACVL1_1.0:contig10012:13982:14254:-1 gene:CCACVL1_11875 transcript:OMO82590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLILLLWLLQAAASQEANEFGCEEKCGNVTIPSPFGIKPGCYRNSWFRVTCNKTVHGPKPFISSIGLELLGSYYSDTVTLTLSSTVQ >OMO91924 pep supercontig:CCACVL1_1.0:contig08285:32522:36649:-1 gene:CCACVL1_06958 transcript:OMO91924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MASHSTSSTDITQINATNHLPLKLNSTNFPSWQLQLDSLLVSLDLNGYVDGTHPCPAKSLTTDGTATLNPAFTKWVRQDKLILHAIVASVSEAVVPMIVSSKSSQEAMSKLTNIFAGKTRSRVMSLKKKLTLSTQGTKSVADYIQSVRSTADELALAQAPVSEDDQIIFILNGLNPEFREISTAIRARETAISLEELHDKLTDFEAVIKQDELTAVPTIMAHLTTRGKGPQNRNYVQPRGNNFRGNSHHFHNNYSSFQKKRPNQFQSSFNSNRPTCQLCGKVGHIAKTCRQFTISTASTPVANIVQSSNYADAQNWVVDSAATNHVTSDLNNLSLYTDYGGPEEILVGDGSGLKIAHTGSVTLTTAPKPLILSDVLHVPKMQRDLISVSKFCRTNDVSVHFFPYHLLVKDLSTGAPLVKGHNKNDLYELPKTTSLATAKKTFAFITQKSSIDVWHRRLGHPSSRILNFMLNSFGLSFDVSNKITDCNSCLCNKSHQLPFNDSSLSSSRPLELLYSDVWGPTRIQSTDGYRFYVIFVDHYSKFTWLFPLKYKSDVSRIFPAFKAFVEKQFNTQILTVYSDNGGEYESLSSLLASHGIKHLQTPPHTPQHNGVSERKHRHIVETVQQVSAAKTLPVVSDDSLVSFIPSTSVPKSPVCSVVPSSSSVLTSSQGNDTLPSSLNSITTQTQQTQTLPQTTRTHSMVTRSQNNIFKPKQAYIVSKHTLPEPTEPSCVSQAIKKSEWREAMTVKFNALVKNRTWTLVPPCTGQNVVGNKWVYRIKRKPHGSIDRFKARLVAKGFHQRPGLDFTETFSTVIKPTTIRTVLCIALSSGWSLKQLDVNNAFLQGTLTDEVYMAQPPGFEDKDHPSHVCKLHKAIYGLKQAPRAWYQELSTFLLQYGFINSTADASLFVYKSGSDVIYFLVYVDDLIVTGNNQHVVDQFLAQLSHRFSLKDLGNLNFFLGVEVISTASGLFLSQHKYIRDLLDKFDMSNAKETVTPMAAGIQLKLQDGTSPADATLQTISRLLAIGLVNLDDRTSTTAYIHLSWKQSCLLEISQLKTVARSSTEAEYRAVANTAAEILWLKNLLSELHVTSTHQPQLLCDNVGATYLSANPVFHSRMKHLALDYHFVRQHVSLGAFKVSYVSTKEQLADGLTKSLARQRFLFLRSKTGVTDGSTVLRGHVKESKQPLHKSSQL >OMO91925 pep supercontig:CCACVL1_1.0:contig08285:38399:41190:-1 gene:CCACVL1_06959 transcript:OMO91925 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MDSVGNFFRGYDKVSHLENQKITKKPVSTATTIIVVLLFIFVIALTLAALMVEPTHKGSPSLSSTNSGSSDSIRTICNVTRFPDSCFTAVSASLNASTKPDPVTVLRLSLQSAITHLSNLSSSLKSLNDLHSEPALKDCVDLFDDALSRLNDSVSAMNKVMCSGKETVLTKGKTSDVQTWISAAMTDQDTCNDGLEEMGSTVADQVKSQFQSFRESVLSSVPQAMEPNKTRSMSRNPVTEQNLCKKQRNDDGSLCTITTSSLSWLLSHDDDDLPSKKQKQELIKKKRRKDLKLAIALEEGSFPSSNAYPLS >OMO91922 pep supercontig:CCACVL1_1.0:contig08285:9212:23112:1 gene:CCACVL1_06956 transcript:OMO91922 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative sodium bile acid cotransporter protein MLRAALIGGVTLGLVNPTLGCLADKYYLSKVSTFGIFIISGLTLCSDAIGDAAKAWPVGVFGLCSILLFSPYFSRLILQIRLQPQEFVTGLAIFSCMPTTLSSGVALTQACLFLAGGNSALALAMTLMSNMLGILIIPFSISKFIADGVGVSVPTAELLRSLVLTLLIPLILGKVLRESFRGAVDTSEQVKVTTSDVRCFFDFPAKGPVYCMRIRLLHLILLAFNSLALRSLSAATGGNKSVFAKKENAQAALLVASQKTLPVMVAVVEQLRGAFGESGLLVLPCVAAHLLQIIMDSFLVNFWLRKDLPSNTAKGSNRIVRRVRAKRMYKGITLLLLIGLLAWAYQAIQPPPPKICGSPGGPPVTATRIKLRDGRHLAYRELGVSKEIAKHKFIFVHGFSSCRHDEVIVSRLSPEVVEELGVYSVSFDKPGYGESDPDPGRTTKSLTLDIEELADQLGLGPKFYVIGYSMGGHAVWGCLKYIPHRLAGAALLAPVINYWWPGFPANLSTEAYRLQLPQDQWALRVAHYLPFLVYWWNTQKFFPGSGVAARRPEIYSHQDTQLLHKLAYRQNHKGVATQQGEFESLHRDMRVAFGKWEFDPLDLKNPFPSNEANIHLWMGDEDRFVPVMLQRYIAKKLSWIQYHELKGGGHLFGYADGMSEAIVRALLVGHK >OMO91921 pep supercontig:CCACVL1_1.0:contig08285:3132:6274:1 gene:CCACVL1_06955 transcript:OMO91921 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MDIYKDIMEGIGYSVKDASQRFIPSALEKRTYWDFRAPKDVVVQSTFLRNITAQSKPNITKDDINTICSKTRAPSFCFRVLTNQTLHAKETSLLGLANISIDLALASAYDTQLAISPLIKLAKDYTEREGYTLCSQNYRQAVAAVRDAKQKLGKHEYRGVRVEALAAVEEARACESRVKSSAYSPLHERNKGFIRYCNILWAITNRLVEYC >OMO91926 pep supercontig:CCACVL1_1.0:contig08285:41735:43127:-1 gene:CCACVL1_06960 transcript:OMO91926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLAEDGKTFKKPRRPYEKERLDAELRLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKAGMAKSIHHARVLIRQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRRNQRAAAKKASGGDGDEEDEE >OMO91923 pep supercontig:CCACVL1_1.0:contig08285:26967:30634:1 gene:CCACVL1_06957 transcript:OMO91923 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diphosphomevalonate decarboxylase MGEKWVLMKTAQTPTNIAVIKYWGKRDESLILPVNDSISVTLDPSHLCTTTTVAVSPAFHQDRMWLNGKEISLSGGRYQNCLRELRRRACEVEDKEKGIKIEKKDWEKLHLHIASYNNFPTAAGLASSAAGFACLVFALAKLMNVKEDDSQLSAIARQGSGSACRSLFGGFVKWIMGKEEDGSDSVAVQLVDEKHWDDLFIVIAVVSSRQKETSSTSGMRETVQTSLLLQHRAKEVVPKRTLQMEEAIKHRDFASFAQLTCADSNQFHAVCLDTSPPIFYMNDTSHKIISCVEKWNRSEGSPQVAYTFDAGPNAVLIARNRKAAAQLLQRLLFHFPPNSDSDLDSYVIGDKSILKDAGLEGLKDVEALCPPPEIKENAAAQKYPGDVSYFICTRPGRGPVLLSQQDLALLDPETGLPK >OMO55691 pep supercontig:CCACVL1_1.0:contig14604:1625:2692:1 gene:CCACVL1_27077 transcript:OMO55691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGKTKADSMQTDDKLRKKAARAAKRAKKAAKDPNKPKRPPSAFFVFMEEFRKQYKEEHPDNKSVSAVGKAGGQKWKSMTDAEKGPYVQKAEKRKSEYAKNLQAYNMKLAGGANTGNDDESDKSKSEVNDEDEEEGSGEDDDDDE >OMO55692 pep supercontig:CCACVL1_1.0:contig14604:3608:11117:-1 gene:CCACVL1_27078 transcript:OMO55692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKKLLVEKASKKPGGISDALKASDVDPHLVFHYGIPLGCCMFAYDSLQKILAISTIDGRIKLFGRDNSQALLESDDAVPSKFLEFIQNQGILVNVNLMNHIEVWDLDKKLLSHVHVFKEEITSFTVMQSGSYIYAGDSVGNIKVLKIDQELGHVVQMKYIIPFSASHGNPTEVSAVVSIMPQPTAESKRILLIFKDGFITLWEIRESKAILVTGGSMFQSVNSEAKHVTSACWVCPFGSKVAVGYSNGEILIWSVPTSKLNNEPASESSIQNAPICKLILGFRSDKIPITWLKWSYADAKATRLYVMGASDVASTSMLQVVLLNEHTESRTIKLGLHLTEPCVDMVITSTSTEQSKVREDFLLLIGKSGKIYMYDDCSIERYLLHCQSRSPPSLPKEVMLKMPFADSNITVAKLITDNPNALSSDVDYTLLSKDIPSLVPLETKPKDGCHYQFSGFGKVKNLYITGHSDGAINFWDLSCSFPIPVLSLKQQSEDNFSLSGVALSALYFDRDSRILVSGDQSGMVRIFQFKAEPYTTDNSFISFQVSSKKGNNHVIQSLKVLKVNGSVLSLNISHSTSYLAIGSDQGDVSLVDMQGPSVIFQSHIASDICPGIISLQFKTCSLQNFEKNVLVAAMKDSSVWAFDSNTGNMLTTSMVRPKKPSRALFMQILDWQDLLVRGANMSNSPDTSRGSPVEEGISKQSYILICSEKAAYVYSLIHAIQGVKKVHYKKKFNSTSCCWASTFHTATDVGLMLLFTSGKVEIRSLPELSLLKETSIRGLRYSTPKQNSSSDNSIGFSNCGDFVMVNGDQELFIVSVLLQKESFRILDSISRVYRKELMLSQEVLASGTTIQKEKKKGIFGSVLKDITGSKKNVHVHEAETEDTKESIEELLTIFSTANFPSEVENRDNQEDDFDLDIDDIDLDDSGEKPKEHNILAALNKHKLKFQAFTAGKLKQMKSKNDKPIAKEEQQDEKSSAVDQIKKKYGFSSQGESSAAKMAENKLHENLRKLQGISLKTTEMQDTAKSFSSMARELLRTTEQDK >OMO50123 pep supercontig:CCACVL1_1.0:contig16307:11856:11984:1 gene:CCACVL1_30611 transcript:OMO50123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSIDQFNSKYKKGKATKMARLQGCQVRTWAKCQGQHFRNNRD >OMO96297 pep supercontig:CCACVL1_1.0:contig07494:19015:19140:1 gene:CCACVL1_05006 transcript:OMO96297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHLEISIVAEEITIHPTLSVAVVEDVVDQLFSLVPTPTT >OMO96300 pep supercontig:CCACVL1_1.0:contig07494:40680:41345:-1 gene:CCACVL1_05009 transcript:OMO96300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNKKNLLKTLLTGNAGSCGCGRPKLSDVYEPKPKSKISATTTTTTTTQNPKLSDQNINNNIISLSAAMENDDLTSTSLSYNIDTTITSSSTLNSESEPDLPRVLPSNSKVMMLSPCPKITDSIAVVKVSNDPYHDFRHSMLQMILEKQIFSKTDLQELLQCFLELNAPCQHDVIVKAFMEIWNQVMVTAQQQQQQQQEEEEEPCNFVVHGEKERNMDPS >OMO96298 pep supercontig:CCACVL1_1.0:contig07494:31065:31907:1 gene:CCACVL1_05007 transcript:OMO96298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease CAF1 MGFEDSDRSSKPVMIREVWSDNLESEFELISQVIDEYPFISMDTEFPGLVFRPKVDPSRPYHTQFKPSDHYKILKSNVDSLNLIQVGLTLSDASGNLPDLGTDTQFIWEFNFCDFDVERDAHAPDSIELLRRQGIDFQKNKEQGIDSVKFAELMMSSGLVCNESVSWVTFHSAYDFGYLVKILTRRDLPRGLDEFLRILRVFFGNRVYDVKHMMRFCKSLYGGLDRVAKTLDVNRAVGKCHQAGSDSLLTWHAFQKIRDVYFVNDGPEKHAGVLYGLEVY >OMO96296 pep supercontig:CCACVL1_1.0:contig07494:3939:4103:1 gene:CCACVL1_05005 transcript:OMO96296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGSKSGSFGAVGRDHEGLVMGSLAGRLSYVPDAFNAEAQAAVMAIKWARDMGFQ >OMO96299 pep supercontig:CCACVL1_1.0:contig07494:36778:37179:1 gene:CCACVL1_05008 transcript:OMO96299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLDSSWSSISQSRRYCLSTSVPTQECEEVVEMANKAVRSNRLFFEPGNYTNSILEAARFPLKDCVALALETWDPYMDFRISMEEIVEACQLKDQEHLEELLAWYLKMNTEKNHGFIVGAFIDMFATINNSS >OMP11250 pep supercontig:CCACVL1_1.0:contig01444:1896:5951:1 gene:CCACVL1_00598 transcript:OMP11250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSSHNSDNHQEPSGTQDSLDQVAEVGWYILGQDQENVGPYVISELREHFLNGYLSESTLAWSEGRSQWQPLSSIPGFISGISHQGNNYSVPSTDGNALLNNVKEADHPTEVPSNDDDEFEKWQREVREAEAEAERLKNGSVSSSIGDGFGVDDQDRPLTPPEGEDEFIDDDGTRYKWDKNLRAWAPQDDLPSKIESYGVEDMTFLEEEEVFPTVSTADANDETDATVASIREVNGSGEQPEASCNGKRKMLEKKVEKKEANKPPDSWFELKVNTHVYVTGLPEDDPETKRPRVKIYVDKETGRKKGDALVTYLKEPSVALAIQILDGAPLRPGGKIPMSVTKAEFQQKGEKFIAKQVDSRKKKKLKKVEEKMLGWGEFSSCM >OMO59333 pep supercontig:CCACVL1_1.0:contig14006:10629:17904:-1 gene:CCACVL1_24908 transcript:OMO59333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCELVYYKNRHQQLLLEEILPGIRVPKKATISYRVNALAMATSIQNKGVADAIANDWPQQKVVAFTVKLQAIPSPSNRKRLT >OMO59334 pep supercontig:CCACVL1_1.0:contig14006:18381:30762:1 gene:CCACVL1_24909 transcript:OMO59334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDSEISRVLKSNRAKLKETLTKGDLLEEYINNFIQVAEKKGNIEKKKRDMEKQKPKKPYVRNTCMPEVINIKLDSLKKKTEGIQREIPAKTTGVVTDWRRKRSRANCQGKRRGDSKTLFRTREKSILKVSSQPESSVVSQTSYSACEKGEFSVPRP >OMO53370 pep supercontig:CCACVL1_1.0:contig15213:1505:2470:-1 gene:CCACVL1_28683 transcript:OMO53370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 1 KIKGSFDFISLNYYAPAYVEDYPSSLEMEDRDVMADMALKLAYKNNASTSQVLGPLGLTRLLEYFKEVYGNPPIYIYENGEQTLHNSSLEDWSRVKYFSGNIGGVLDAI >OMO99478 pep supercontig:CCACVL1_1.0:contig06888:64460:70988:-1 gene:CCACVL1_03792 transcript:OMO99478 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MSVIDESSGSLSSGDDEEVDMLTKKFKRFMRSNRQGRKSYKKDQNSSWKGKNKHESYKGDKILCYKCKKSGHYKYECPLLKEGHSRKDKRQKKKMEQTKIEYHTQRQA >OMO99476 pep supercontig:CCACVL1_1.0:contig06888:25749:27484:1 gene:CCACVL1_03790 transcript:OMO99476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFTLIFTFLALFSFTVFHQSLASFLAQPVSGHDQPLKPGDYSSPNTVPAFPAQTEAQVCRLDLSAELFGGVNDACGHNLDRSRCCPVLAAWLFAAHARYALEVSAPAPAEAEQPDQPMRPDDSQKCVNSLQSALLSKRVRIPQPNASCDAILCFCGIRLHQISSLSCPAAFNVSGFRNATPTAAVKNLEKNCRNSSYAGCTKCLGALQKLKGGYNKNGTEDRSTSDRASKMFNRDCQLMGLTWLLARNKTAYIPTVSAVLRAIMYSAHPHESKCSPDQENMPLAVDSLQFEKAQSSSASPRPPPLPSWASFGFPVLPLMISGFSVWVASGW >OMO99477 pep supercontig:CCACVL1_1.0:contig06888:57751:60945:-1 gene:CCACVL1_03791 transcript:OMO99477 gene_biotype:protein_coding transcript_biotype:protein_coding description:Equilibrative nucleoside transporter MAFPEEASQKLEGKYKAMVVCWLLGNGCLFSWNSMLTIEDYYGYLFPNYHPSRVLTLVYQPFALGTLAILTYNEAKLNTRRRNLFGYTLFFISSLAVLVLDLATSGKGGIGTFIGICVISGVFGVADAHVQGGMVGDLSYMKTEFIQSFVAGLAASGVLTSALRLITKAAFENSQDGLRKGAILFFAISAFFELLCVLLYAFIFPKLPIVKYYRSKAASEGSKTVAADLAAGGIKTSVQDDEEGSKHYNRLSNKKLLAENIDYAIDMFLIYVLTLSIFPGFLSEDTGKHSLGTWYALVLIAMFNVWDIIGRYIPLVKSLKLESRKGLTTVIISRFLLIPAFYFTAKYGDQGWMILITSFLGLTNGYLTVCVLTSAPKGYKGPEQNALGNLLVLFLLGGIFAGVTLDWLWLIGKGW >OMO52223 pep supercontig:CCACVL1_1.0:contig15560:15233:24731:1 gene:CCACVL1_29304 transcript:OMO52223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYFTALAGGPWFLFNHYLLVQRWFPDFKPSAETYRKIAVWLQLPELPIEYYDRDVLFKIGALIGTPIKIDANTGSTTRGRYARICVEIQGSSRFPRKFKLVALLRVFTMKCTLHFACHAGLLVMRQFLVLLGKEPEEQKDRWITVSRKTSKGRKHPDPQPVHNKSGDDNNIALQITKDSPIIANLAQQIRPKSNTDNNPNTQTLSASSSDDPTNQNQSPKISSFPEMGKSTKQLVSSLSTSSSMVFPDTNQHMSTTSQLISPRTSPKSLHQRPSSPVIPSSPREFLNSEQILTINKSLPPSTSTPSSSLCILTISPASEHESPPSEQPELSPLTRDEPTPSSPTTSSDTTPQDQHNNTSTSTTRPTDDSRPTEPTFDDSPPGFEQPFRFSATKPAANQHPRSVYSRKSKSTTGTNSPIASTSRTRTSKRSLKQPNANSKSGGISIPIQSLSATHVCKPNNRSPGCFKRRGHSRCDEPGEKLHVYAKDVYSGKPQLTAHSNITAPRFISAHVHPPAPDPPIQLTAVYQHAQPQDHQQHSTVKIPHPLLLSWTPPPPDFIKLNVDGSCLGNPGFAGCGGVLRTPSGCLVAVFYVYLGITSNDIAEMQGIKYGLQLATSLQIPNLIVESDSLSSIQMFNRRRPLHPRLELLHHECSAAAAGFNRLSYLFAYRECNHVATKPNLPQTLVFNAAAASAYRKSRHRAGAPAYPQRTPKRADLVVGVLVGGRCPVCRVVCSPATGLPLFVVFALGCLAIYCFCWFPPLSWNLLRPLESLYACR >OMO52222 pep supercontig:CCACVL1_1.0:contig15560:6013:11955:1 gene:CCACVL1_29303 transcript:OMO52222 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MCVKDAEQQQQVSQDMGQFDDTNNNNKKPWPLLCGDDFLCTQMENWPDDDNHKQHSPVALLESISEDAVATDRKHNLLTNFVPILRSGEWSDIGGRPYMEDTHICIADLAKNFGCNLMSEEVVSFYGVFDGHGGKAASNFVRDHLPRVIVEDADFPLELEKAVTRSFMETDAAFAKSCSLESALASGTTALTAMIFGRSLLVANAGDCRAVLSRHGTAIEMSKDHRPCCIKERRRIESLGGFIDDGYLNGQLGVTRALGNWHLEGMKETGEHVGPLTAEPELKMIALTKEDEFLIIGSDGIWDVFTSQNAIDFARRRLQEHNDVKLCCKEIVEEAIKRRSTDNLTVVLGCRVGLDCPHCGAESAVKVMGEASVA >OMO88420 pep supercontig:CCACVL1_1.0:contig09022:18919:21501:-1 gene:CCACVL1_08400 transcript:OMO88420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSLSLPSTAKIPPPSLKTSTRPNQKLPPSIAINGPINFEPLRDRLIKHLDAGHLHKAIFTLDVMARQNTHPDLITYSLLLKACIRSRDFHLGKLVHTHLIDSQLQLDSVISNSLISLYSKSGDWAKAHEIFERMENKRDLVSWSAMISCFANNNMEVEAILTFLDMLENGFYPNEYCFTAVVRACSKAEFFTAGKTVLGFLVKSGYLESDTNVGCSLIDMFVKGNRDLESAFKVFDKMHERNVVVWTLMITRCTQLGHPRDAIDLFLDMVQGGYVPDRFTLSGVISACTELELESLSLGKQLHSWVIKSGLALDVCIGCSLVDMYAKCTADGSLDDSRRVFDRMEDHNVMSWTAIITGYMQIGYRDKEAVELFCKMIKGPVLPNHFTFASVLKACGNLSDSNIGEQIYSHAIKYGFASDDCVGNSLISMYTRCGRMDNAQKAFESLFEKNLVSYNTIVDAYAKNLDPQGAFELFNEITDIGIEVNAFTFASLLSGASSIGAIGKGEQIHAKLIKSGFQSNQIICNSLISMYARCGHIEAAFQVFSKMDDRNVISWTSMITGFAKHGNAMRALEMFHEMLKAGIRPNEITYIAVLSACSHAGLITEGLEIFKSMRKEHGIAPRMEHYACMVDLLGRSGSLREAIEFVNMMPCTPDALVWRTFLGACRVHHNKELGEHAAKMILQQDPYDTAAYILLSNLYASSGQWEDVAQIRKNMKEKNLIKEAGCSWIEVDNKMHRFHVADTSHPQAQEIYEKLDEMALKIKELGYVPDTDFVLHELEEEQKEQYVFQHSEKIAVAFGLISTSRSKPIRVFKNLRVCGDCHTAIKYISMVTGREIVLRDSNRFHHIKNGTCSCNDYW >OMO88419 pep supercontig:CCACVL1_1.0:contig09022:13613:16703:-1 gene:CCACVL1_08399 transcript:OMO88419 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MKKEKRILKLHSPSPKDTRTRRITSDSGELSRLTVVRPKNARRRRLEMRRLKYTCQTMMNITITENSCCNGESTEKDRSLVHGSKPSNGLTEISLSLSSSSSKQSSPEENGIVLAEKTCKKNEGVQSLTCKTTSHGLLSVIGRRREMEDAVKVELGFMGKGGEKFDFYGVYDGHGGSRVAEECKERLHKVLVEEMVEDNYKKGRNGIDWKRTMERCFEKMDEEVNRGRLGEEMVGSTAVVAVVGKGKLVVANCGDSRAVLWRGGVAVALSIDHKPDRPDELERVESAGGRVINWNGHRVLGVLATSRSIGDKYLKPYVISKPEVMEKELTNRDEFLILASDGLWDVISNEVACRVVRRCLNGQIRRKGSLDHIVNENRAAEAAAVLVELAIARGSKDNVSVIVVELRNQT >OMO88418 pep supercontig:CCACVL1_1.0:contig09022:1907:10935:1 gene:CCACVL1_08398 transcript:OMO88418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLHQDRGIRISNTWSCTKIAGLGSLTLGRSLYEQVIQGALGHRPIVQTASLKHAK >OMO88421 pep supercontig:CCACVL1_1.0:contig09022:24327:31238:-1 gene:CCACVL1_08401 transcript:OMO88421 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MEKCSAGIVPIQKGDKFSQMQCPKNNLERKAMESIPYASVVGSLMYAQTCTRPDISFAVGMLGRYQSNPGMDHWKAAKKVLRLCWLCGLKKIHIWLLVPVSRRSSIMKEWKTNFISGFGVVDSIAKPLRIFCDNSAAVFFSKNEKYSKGAKHMDLKYLFVKEEVQKHTVSIEHIVSENEDVFASNSRRSVSNAASKRVKEIVQGVRAEMREVSTYFEHLNLARQSRTTLYQMVTNIEGLTKEEAIHAYGIISADKGKSESFMMIPDDEGKFIYVKRVISAYEHGTPPPPGAKISGEQPCNPATKQARSSHDVGTEPTADPQGEPAEAASKQEPKNRSFKEACMGKGLSWQEVIGQLDFEALSLEQENDDGSSWPALTCTKDQFREVCEPWRKTLIVKILGKALGFNFLQQRLKQLWNFDKGFSLVDLGNDFYLTEGPWMVAGHYLTVRRWKPLFRPFEEAITTAPVWIRLPGLPTKFFSYNTLYEIGNLVGQTIKIDATTQDSARGKFARVYVEVDLKKPLKGGVRVGLIRQPVEYEGLHNICFGCDTYGHAKDSPLCPMKEDTMNNPTQNHSEGGNEAETRKEKQSGEASRSAEVGPWMTVQRRKKTSPGNKGQAKSPSPHQEKAFGSRVFKAVKNIPNPNQSHAPSAQRQIKGKDKALQEITNQRHLLASRPGPATTPTHVRTSMNPLKKSQFISVSAKSKLSSTTNPPPIKPKAKNIALPPNPSPPFSFNFDFFSKSPSQPLIPPSSSPIHPLPPDPDSGGTDNECHNEKTCESPTVDMDESMPRDAGGMLHVMDLKIWKESVDSMEADFTSNPFPMKILLWNVRGAGSGEFLRIIKDLIRQHRPSIVGIMETRIGQEKAEKVVKKIGMPRCHIVEGLGFAGGIWLLWDDKEVDVQIDDSMFQAVTISVKQNNNEWNFTTIYGSPAPTNREELWNYLGGKSQNIQGSWLVGGGFNSISSSADKSNFSSQDTNSQWKMQFPEAIVRHLPRVRSDHCPLLLDIEGFQPPPTDLRPFRFQAAWQEHEKFNEFVSAEWERNEGNAIAKLQDVGKALITWNHEKEYEDILKREEIIWFQKSRAKWINSGDINTSYFHTITLVRRSKNRVGALKNAEGEWITDKEQLKQHAVDHFQKLYTREENERTRPELGIAFHNLPQSDIDLLHSDLQVDEIEQAIKSIGTHKAPGPDGFHAIFFQKN >OMO77530 pep supercontig:CCACVL1_1.0:contig10734:71413:79495:1 gene:CCACVL1_14981 transcript:OMO77530 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MGGNGKHRWKFSFYHRPSTNSSSSPNHPNTQPPPEFLCPITGSLMFDPVVIPSGQSFDRVSIQVCRDLGFTPTLPDGSTPDFSTTIPNLAIKTTILTWCRDHHIHDPSPLDYTSVEKIVRSKIQHPLSSAPDIRVSELELLKAVAENPPVLFSHAATEIGPRINNNLFCSTSSSSDESVIVTANSPHTPLPLTTRPACFSASDSSSSSIESESLNPNSQSSASPEEQELFSKLKSPDIYDQETGLVSLRKLTRTNEEARVSLCSPRILSALRPLIISRYNVVQTNAIASLVNLSLEKPNKVMIVRSGFVPLLIDVLKAGSSEAQEHAAGALFSLALEDENKMAIGVLGALQPLLHALRSDSERTQHDSALALYHLSLIQSNRVKLVKLGAVSTLLSMVKSGESASRVLLVLCNLAASTEGKSAMLDANAVAILVGMLRESELVSEASRENCVAALFALSQGSMRFKGLAKEARAVEVLREVEERGSQRAKEKAKRILQMMRGREEEEDEEIDWEVALETGGLSRTRYRVGRDVYGDVDYYFTSSENVFDIVKADKQVKVQVQSDGGTGLVWLLLFQLRFGFANQNATWAWRQGQGLVCKLQLQAKSKMQNIRKRKQQGGDGDGEAEHGHSKSKISSEVVDQEPYPSYHRPTPEECRAVRDDLLALHGFPPQFLKYRHQRHHHNDHAQQETVLDGLVKTVLSQNTTELNSLKAFASLKSAFPSWDQVLVAESTTLENAIRCGGLAPKKASCIKNVLKCLHERKGKLCLEYLRDLSIDEMKTELSNFKGVGPKTLQNCTSVPVLMYGYHFSIKVACVLMFNLQQDDFPVDTHVFEIARTIGWVPSIADRNKTYLHLNQRIPNELKFDLNCLLYTHDTYCVYREACRYFWDITGLEERSEEVNDLGKSSERILCKVEKSGKEKEKRKYECQFCLKKFTNSQALGGHQNAHKTERLKKRRMRLQQKSTNLSFADEPPQDYSDLSQHCSLVSSNSLPSCVPEFTVFKEFVIDFKALDQNQHLHCNMAELCHYIHLPSQHNHFGEDSDGRHIVIKPSPSYISKNCESLYTQLGLAPPIQSSSRNRNMIELGKCHEG >OMO77528 pep supercontig:CCACVL1_1.0:contig10734:7720:16080:-1 gene:CCACVL1_14979 transcript:OMO77528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPQALFLIRTTLTAPPISSKPLPPTTNFPRRSKAPFFLHTRSKPDTETPKSRKKIDTLHYPKTRPPTPSENNYQGSSNSQP >OMO77529 pep supercontig:CCACVL1_1.0:contig10734:33160:35903:-1 gene:CCACVL1_14980 transcript:OMO77529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIDTEILREIQRKLTLRQLELKLEFVIDRAISNFQRKLTQGLKELSKARVAKVEDAFVKTKIEESSVDSEGTAIATNINKDASILTNIASPEYSESCIDATVENPSKMEDQSESTETGDFIHDEIPTTETKLLTLPATTLVPIESSNAFLLEGPKLSTGWVVEPYFVLNIFTQKSTSMVGFEGLPLVTMELPLDVFIGFRPGALVLNYLTDFDRKSSLIIHVCKLFDEMPEPDIALRTSLVSDYYLIGNIQHIIFYNSIVMRFVTSPWNGIVVGCSLLFEAQKIMCASIVCGTSVGLLQFGKQVKHALRTRGSSEKDLCGCSLIIEGKQMCYGMNEGSGVVFNELLMQYLYGDMLMLGNLVKIKLDEFKDASICGQNYFRKPCYKLDHHEKLRPAWFMAGGISLILIAKTTKNVIVLIVVPPAEPKLLESHMLGENNVLGGVPCFILEDKDDFMKGGYIHRIIFHIGLEIEKLNGKMKEKSYEPHVPETIREAIIFLQDMGTTSCDEESTELGEKLGYLHVHSLKNMILFFDILVGCLDPVLNLACASDFKYMFVLPMFSIEKTATATRDELAYGGQSDQLVVIVVFGGWKHATNWGQEAHFWSNRFSGRILSAKVKDAEFGLYSCLMDQWKLLKDSSTLVVYGLGVLYFSTGKQFGATQQQELSFSKARERQPQLNLLPWAFFGVAAKFQQLLSPIWCHFCSYFLYAWGFLGRAIIWSYYDHNSSKFFCSRVSKRKLFSVFRGYPGGAVLGSGYRGFWVSIVMGFEKRERMDFSSA >OMP07899 pep supercontig:CCACVL1_1.0:contig04139:639:847:-1 gene:CCACVL1_01197 transcript:OMP07899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVWLAGGRKFGSFTGYRSCIQSLVSLSLSAYFNRISCKPGESHPPSTKPERTHAPRPHSQLSTNQPYD >OMP11341 pep supercontig:CCACVL1_1.0:contig01377:4676:5950:-1 gene:CCACVL1_00571 transcript:OMP11341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFTSKRKSIKHIHERRNLKSLTDKMRLLQEEIKEMVYEREKEARGYEKELMVFACKEAEWKKERKLLKEEMKKLRKLVEEKEEKIKGMEAYAVIVEKCDKGWPLLGTSFLIEQMREERARRDEAVEKWKQLYLAIKTELDDLIQRTHGDALYWKAEEEEMVEELKKDVKRKEETIEALKTRLASLEREEYERGREMDILKQSLRILDSKKKTAYTTINKSTTMVVKNKSTTMAH >OMO97838 pep supercontig:CCACVL1_1.0:contig07195:18516:19714:1 gene:CCACVL1_04430 transcript:OMO97838 gene_biotype:protein_coding transcript_biotype:protein_coding description:snRNA-activating protein complex subunit 4 MNIDDQGNDLPADLGAGNVLRDISAAVPPSASVEKTPASSSASTEKVSAEKAVAEKAPAVVDSEEEDENEHFVPMPDFGGYIPADNPEYSMEYAQNLLHRIDDDDFTADVTADHFHRYHDEKIRIGPAQIQVHSHVYSLAKRLIKAYPDMYSKLKHGSSLIGSALHLLCFALQDMEDTPLSSVTEALILKWSGPIKAARQLGMQVDFASAHLRTVTEAYLGSLALAAAPPLDVSLLKSEIEKKEQAIATIQ >OMO97840 pep supercontig:CCACVL1_1.0:contig07195:33095:34200:1 gene:CCACVL1_04432 transcript:OMO97840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRRNHDANPNESSSIPTSFPAAPHIVRSAETRLNSRSNQAAVPKTSELAFFKKLKKHAGERFDCQPIQREESQSKKFNSSKFGEVEEGTKMLVRTFVRPTEETNINKNCCEDFGLPLSVGKFSSKELGSVLSMETVMSMDSRLPLPVNHVAHHDCDPVLSPFGRAESNT >OMO97843 pep supercontig:CCACVL1_1.0:contig07195:66158:66220:-1 gene:CCACVL1_04435 transcript:OMO97843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AMFGRPFSPFGWPKWAGRPT >OMO97841 pep supercontig:CCACVL1_1.0:contig07195:37158:37229:-1 gene:CCACVL1_04433 transcript:OMO97841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKPSWIREKMALKVEVEEIEI >OMO97842 pep supercontig:CCACVL1_1.0:contig07195:38460:53304:1 gene:CCACVL1_04434 transcript:OMO97842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSHALIWVLGLVLHGLAIKIQHRHFSLCIALSRPQVKSEHPIPSNVQDHEFSEGMKLSHTHRCIVLCVIYQFKKFQSFSSTSKSRKTFKVRALNLLGNLEMVDIVANPKNIVKTSK >OMO97839 pep supercontig:CCACVL1_1.0:contig07195:20315:28796:-1 gene:CCACVL1_04431 transcript:OMO97839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGTVWASRRSDAVAIGEADSRHGKRQWRLGVRVLALLEEEGKRLLHLEAIDEKRSNAKENGKKYQDGMALLYNRFVKGKTFSAGQLMLKAADHIRRYLANPSKFAANWEGPFIIKEAFDSSYYKIEAQDGSLILELINAKWLKPYYC >OMO61023 pep supercontig:CCACVL1_1.0:contig13615:29941:31053:1 gene:CCACVL1_23775 transcript:OMO61023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDQRLIDYIRAHGEGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLISRGIDPQTHRPLNETTTITTHNMTNNTAKSTAPKPAATSAPNELDFRNAPINGFISATPSLEFKYKAKTESLEEGNCSSSGMTTDEEHKYPSNGQGLNLELSIGIANDSTQLSTANSADSKPLLHHHHNHNTHNFHFLGQTMVAKAVCLCWQLGFQGSEICRNCQNTNGFYRYCRPLDS >OMO61022 pep supercontig:CCACVL1_1.0:contig13615:27236:27463:1 gene:CCACVL1_23774 transcript:OMO61022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSVQRKPGPGGIGGILRDHEGKTLLEFSKSVGRVDSNEAEFRAIREALLICSASSWALSYPVILESDSSNACG >OMO61021 pep supercontig:CCACVL1_1.0:contig13615:23780:24691:1 gene:CCACVL1_23773 transcript:OMO61021 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase GSIKALDMKCIFVNVYAPNNDEERRSFFEELSAHLSGFNVTICICGHFNVVRFEEERSEIVSNHSVLSVFNDFIEDWALADLLLAGSNFTWFKNSFPPSFSRIDRFLFITQFMTAFPNIYQKALPRSISDHNPIFLGCEDVDWGPKPFKLFNYWCEDEDFKPMVKSVLNNSQDLNLWEKLKSLKPAVKSWAKSKFGNLSAFISALESEIQQLEKLLAVNGENDDLRNKLYHCKWELWKLIRAEERSLQQKSSWNLLNNGDRNSRFFHQMVAMRNASNNITSIQHNGSTLSDPGEIKSLIASHF >OMO61020 pep supercontig:CCACVL1_1.0:contig13615:22862:22933:1 gene:CCACVL1_23772 transcript:OMO61020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVSGGVDKSNSSGESRESSA >OMP04904 pep supercontig:CCACVL1_1.0:contig05568:1703:4206:-1 gene:CCACVL1_02105 transcript:OMP04904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTASQIFQNHDNNNNNSNNEVSRQEIQAAIAKAVELRALHAALMQGNSPANLRYSSSASPVTRPVSQFSAQDYPVFTPSYEDEAVSVYHTNNQALSEGWDEYGLEAGNGSETVLSDYKKEISGSRKAFSPVFATSESHICPSEDKKSVTGSCANHITVLQTSPGATETYKSSRSRRNSLGDFKSVSSSCNKCKPAVITSESENVLRNMKTSNLVVPLTDSHSSVQSQPKNRGVMSWLFPRLKKKHNKNEFSPNRTESEEVSQILKDFGMLSIETLKRELIEANENRDAALMEVSEMKSSLGELKQKLEYLESYCEELKKALRQATTQSKDSQINEKLGNFPRRGKSIDGNGENSMPVSEEVMVEGFLQIVSEARLSVKQFCKTLVGQIEETDNTLMDNLNMLLQPYKLSLNSKYSKAVLYHLEAIINQSLYQDFENSVFQKNGSPKLLDPQQDRQAQFSSFVALRNLSWNEVLRKGTKYYSEEFSKFCDQKMSLIITTMNWTRPWPEQLLQAFFVAAKCIWLLHLLAFSFNPPLGILRVEENRTFDPHYMEDMFMERQRSHGPSRVKIMVMPGFYVQGRVLRCKVICRYKI >OMO99069 pep supercontig:CCACVL1_1.0:contig06962:4162:16625:-1 gene:CCACVL1_03941 transcript:OMO99069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 2 MGKKTKKPGKGKEKTEKKTAKAEEKRARRESKKLSPEDDIDAILLSIQKEEAKKKEVHIDENVPAPSPRSNCTLSINPLKETELILYGGEFYNGSKTFVYGDLYRYDVDKMQWKLVSSPNSPPPRSAHQAVAWKNFLYIFGGEFTSPNQERFHHYKDFWMLDLKTNQWEQLNLKGCPSPRSGHRMVLYKHKIIVFGGFYDTLREVRYHNDLYVFDLDDFKWQEIKPKLGSMWPSARSGFQFFVYQDQIFLYGGYSKEVSSDKNGSEKGIVHSDMWCLDPRTWEWNKVKKSGMPPGPRAGFSMCVHKKRALLFGGVVDMEMQGDVMMSLFMNELYGFQLDNNRWYPLELRKEKSTKAKLKKDSQQQPEGSDLNDKINAFEAEPSSTNDKYQISEYDEDEEAGDEESNIDEMSQQMVTDMTIDDGGSTKSSTKPQKSKSVSSFQNSVLPEIVKPCGRINSCMVVGKDTLYIYGGMMEVKDQEITLDDLYSLNLSKLDEWNCIIPASESEWVEASEEEDEDDDEDDSEDEGESDSNDEETDEDEDDAEAKNDGARSLQTGDAVALIRGEGKNLRRKEKRARIEQIRANLGLSDSQRTPMPGESLRDFYRRTSLYWQMAAHEHTQHTGKELRKDGFDLADSRYRELKPLLDELAILEAEQKAEEAEGPETSSRKRGKRKMRSAFIPTTKSFEESASARTLKQDTHHAHEVHCSRERSRAAWHILEDYLMPFMEEEGYQISTKCRLHPDNDLFRDQEQHKISVDINEWRCGYCKKSFRAEKFLDQHFDNRHYNLLNVNQSKCLADLCGALHCDFVMNSKSLKAKCNPAAVARNRHLCESLADSCFPVTQGPSASRLHDFFLHQFCDAHTCSGKRKPFPKGGKKQASLLYMASSILMLMLLPLFYVLYYLYQ >OMO99070 pep supercontig:CCACVL1_1.0:contig06962:20072:20794:1 gene:CCACVL1_03942 transcript:OMO99070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Di-trans-poly-cis-decaprenylcistransferase-like protein MDGNRRYAKKKKLKDVMEGHEAGCLALMSIFVYCYELGVKFVTAYAFSIDNFKRRPEEVEKLMDLMMQKIQLLTTIVIRFGVRVHFCGNLQLLNSDVRAAAKKLMEDTCDNSNAVLTICIAYTSTDEIVHAIQQSCEEYYYGDKKCNGTSGSYYKKKKMMVNLVDLETNMYMAMTPCPDILIRTGGEDRLSNFLLWQTPCTHLSVMLSLWPEITLWNLVWAVLDFQRNHPYFQKKKKLLL >OMO99073 pep supercontig:CCACVL1_1.0:contig06962:35881:37163:-1 gene:CCACVL1_03945 transcript:OMO99073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MATLSTLHSSLQKILMVANFTTIFFLFSYSFPSAYSAITDLTSQRPFKKMYAFGDSFTDTGNTESLTGPNGFVHVSNPPYGTTFFHHPTNRYSDGRLVIDFVAQSLSLPFLPPYRKSQGKDTAYGVNFAVAGSTAINHAFFVKNNLSLDITPESLQTQMIWFNNYLESQGCKDVPADNCKQALIDDALFWVGEIGVNDYAYTLGSTISDDTIRKLAIYSFTQFLQALLHKGAKYVVVQALPTTGCLPLAMTLAPADDRDDLGCVKSVNDQTYAHNLVLQAKLGDLRKQFPDAVIVYADYWNAYRAVMKNPEKYGFKESFKACCGAGDPYNFGVFETCGSPSITACSNPSHYINWDGVHLTEAMYNVVADMFLNGNLTNPPFKSLLEIRKLQKPSN >OMO99072 pep supercontig:CCACVL1_1.0:contig06962:31623:33865:1 gene:CCACVL1_03944 transcript:OMO99072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MDYFEFSASSSCQDYLKVLEADIQHANMLAASIPRAKGDSCFQMKLVYNHLAPIFLFFLQWLDCSCSCLLSSYIDIFHIVVYQVLSDGRPSISSCGRKATIREFYSVILPSLQRLHGDLSELDVSTEEDHCFEVVGKKKSECKRKVSDVELEREDECGICLEPCNKIVLPNCCHAMCINCYRDWSLRSESCPFCRGSLKRVTSGDLWVLTRSTDVVETQTVLKEDMLRFHLYINRLPKDVPDAVFLMYYEYLI >OMO99071 pep supercontig:CCACVL1_1.0:contig06962:28865:30448:1 gene:CCACVL1_03943 transcript:OMO99071 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xanthine/uracil/vitamin C permease MGLNDAVSKSMVGKYFKLEARKSCFTKEVRAGTATFLTMAYIITVNATIIADSGGTCSMADCSVPANQTASPPDCMLKPNAGYENCVSKTKSDLVVATVLSGMIGCFGMGILANLPLGLAPGMGPNAYLAYNLVGFHGSGSISYQTALAVVLVEGCAFLAISALGLRAKLARLIPHHVRLACAAGIGLFIAFVGLQIHQGIGLVGPDPSTLLTLTACSTTDPATGQCLGGKMRSPTFWLGLVGFLITCYGLIKEIKGSMIYGILFVTLISWIRGTAVTFFPPTPTGDSSYNYFKKVVDFHEIQSTAGVISFSNFNRSEVWVALVTLLYVDVLATTGTLYTMAEIGGFVDEKGTFEGEYLAYIVDASSTIIGSALGTSPVATYIESSAGIREGGRTGLTAIVIGVYFFLSLFFTPLLTSVPPWAIGPSLVMVGVLMMKLVKDINWDNMKEAAPAFVTMVLMPLTYSIANGIIGGIALALALNLYDLVVELIRRLNKMRKMVVKEQNQVSAANAIANTSTGAESMVEII >OMO78067 pep supercontig:CCACVL1_1.0:contig10641:2859:3176:-1 gene:CCACVL1_14672 transcript:OMO78067 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger (C2H2 type) family protein MTGKAKPKKHTAKEIAAKVDAATTNRGGGKAGLADRSGLEKGGHAKYECPHCKTTAPDVKSMQIHHDAKHPKIPFEESNLVNRHANMAVGDSSKPRPGVRGSYKK >OMO75141 pep supercontig:CCACVL1_1.0:contig11063:23518:26130:-1 gene:CCACVL1_16307 transcript:OMO75141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLIVLTLFSLLCFSCSASSLVTDFHVLLKLRNEFQFPEPFLSSWNSSNPSSVCSWAGIGCSRGRVVSLDLTDMNLCGSVSPQISKLDRLTNLSLAGNNFTGIIEIVNLSDLKFLNISNNQFNGNLDWDYASISNLEVFDVYNNNFTALLPVGILVLKKLRHLDFGGNYFYGKIPPSYGTLVGLEYLSLAGNDLHGEIPGELGNLVNLREIYLGYYNVFEGGIPVEFGNLVNLVIMDLSSCELDGSIPQELGKLQLLNFLYLHINLLSGPIPKQLGNLTNLVELDLSRNALTGEIPPEFVNLKQLRLFNLFMNRLHGSIPDYVADLPNLETLGLWMNNFTGVIPENLGRNGKLQQLDLSSNKLTGTIPRDLCASNQLKILVLMKNFLFGTIPEDLGRCYSLTRVRLGQNYLNGSIPNGLIYLPELNLAELQSNYLSGALAENGNSSSKPVKLGQLNLSNNFLSGPLPYSLSNFSSSLEILLLSGNQFSGPIPPSIGDLSQVLKLDLSRNSLSGLIPPEIGNCFHLTYLDMSQNNLSGSIPPEISNVHILNYLNLSRNHLNQAIPRTIGSMKSLTVADFSFNDFSGKVPESGQFAIFNASSFAGNPQLCGSLLNNPCNFTAITNTPRKAPGDFKLIFALGLLICSLIFATAAIIKAKSFKKNGSDSWKMTSFQNLEFTVADILECVKDGNVIGRGGAGIVYHGKMPNGMEIAVKKLLGFGTSSHDHGFRAEIQTLGNIRHRYIVRLLAFCSNKETNLLVYEYMRNGSLGEALHGKKGAFLGWNLRYKIAIEAAKGLCYLHHDCSPLIVHRDVKSNNILLNSSFEAHVADFGLAKFLIDGGASECMSAIAGSYGYIAPGMYKIFPPIYLLS >OMO75142 pep supercontig:CCACVL1_1.0:contig11063:45424:47358:1 gene:CCACVL1_16308 transcript:OMO75142 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L3 MSHRKFEHPRHGSLGFLPRKRASRHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYVKTPRGLRTLGTVWAQHLSEEVKRRFYKHWCKSKKKAFTKYSKYFETEEGKKDIQSQLEKLKKYCTVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKVDFAYGFFEKQIPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYKLGKAGHESHSALTDYDRTEKDITPMGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRQSLLHQTSRLALEEIKLKFIDTSSKFGHGRFQTTEEKAKFYGRLKA >OMO75145 pep supercontig:CCACVL1_1.0:contig11063:59954:62906:-1 gene:CCACVL1_16311 transcript:OMO75145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVSAQEAAEIAIGSIGRGYDLARDLRLKYCNGDATDSPLIKLDEVGCYDVALPGGISISNVSELIKCSSNQGQAMRISSDVVSFQQMSEQFNHDMFVSGKIPSGFFNAMFDFSGCWQKDAVNTKTLAFDGMFITLYTVSLQHSQMFLHDHVKKALPPSWEPAAFARFIRTFGTHIIVGVKMGGKDVIYLKQQSSSIVNPADLHTKLKKMGDEMFQENNGQNRIDLKQVKLDNEVLMRLFMLLFCIQMQDISISHIRRGGVEEMKLSHAEWLHTVHLQPDVISMSFIPITSLLTGVPMSGFLFHAINLYLRYKPPLEELHLFLEFQLPRQWAPVFMEFPRGLQHRRQSNSFLQFKFLGPKLYVNTIPVDVGKRPVTGLRLNLEGKRSNRLAICMQHLSSLPTYFQLVDEPERTLPQESYDKRYLEKVRWKNFSRVFTAPVESYNYNGHSIVTGAQLQVEKYGLKKVLFLRLHFSTVLGAMIVRQSEWDGSFGIAPQSGVLSDLLSKPLTPPPREPKPQSSSSPVYRGGASSSVYPGGPPIPNYTPKLLKFVDTAEMKRGPEDRPGYWVVSGARLVVEKGKIALRVKYSLLTAILPDDNI >OMO75146 pep supercontig:CCACVL1_1.0:contig11063:64845:68130:-1 gene:CCACVL1_16312 transcript:OMO75146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPHYVQFLEPPQVSAQEAAEIAIGSIGRGYDLALDLRLKYCNGGATDSPLIKLDEVGCYDVALPGGISISNVPELIKCTSNQGRAMRISSDVISFQQMSEQFNHEMSLSGKIPSGFFNAMFDFSGCWQKDAGNTKTLAFDGMFITLYTLSLQHSEMVLHDHVKKAVPASWEPAALARFIRTFGTHIIVGVKMGGKDVIYVKQQRSSNVDLQTKLKKMADEKFLENNGQNRIDLKQVKLNNEMQDVSVSHKRRGGIEDMKLSHVEWLHTVQVQPDVISMSFIPITSLLSGVPMSGFLNYAINLYLKYKPPLEELHLFLEFQLPRQWAPVFKEFPRGLQHRRQSNSFLQFKFLGPKLYINTIPVDVGRRPVTGLRLYLEGKRSNRLAIYMQHLSSLPTYFQLVDEHEDTLPQESYDKRYFEKVRWKNFSRVCTAPIESYDGHSIVTGAQLQVEKYGLKKVLFLRLHFSTVLGAIIVRHSEWDGSFGIAPQSGVLSDLLSKPLTPPPREPKPQSSSSPVYRGGASSSVYPGGPPIPNYTPKLLKFVDTAEMKRGPEDRPGYWVVSGARLVVEKGKIALRVKYSLLTAILADENS >OMO75143 pep supercontig:CCACVL1_1.0:contig11063:51435:51990:1 gene:CCACVL1_16309 transcript:OMO75143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEFGSERSRPWNLYTSSDPSPSQTVVDKETPTPWKSFGTSMNAISFGFVATAILISMFLIMAIFEHLFRPNPSFSSPDQVTNAALASGSVEKLGNPQRVSTSYASDFSVVMPGEQYPTYIAQPAPLPCTREGTYWPSHEHNFVFP >OMO75148 pep supercontig:CCACVL1_1.0:contig11063:74932:76218:1 gene:CCACVL1_16314 transcript:OMO75148 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MTASEITNGDEVMAISPRNKLKFLCSYGGKIMARPGEQNLKYIGGETRVVAVPRDITFSEIMKKLNSLVEGGGDMILKYQVIPEELDALVTVRSDEDLKHMVEEYNRLESEGTPKLRAFLFPASPVIIENPVDPHAFDQRYLEAVNGVIRPTSSSYSGRLSPLNATFSVSTSSSPKSSSPDGRNHIDSSPLEPAILNTYHPSRRSIPKVQSSPSLYSLGTQQTHSNHQFYQPHHYYQQQLHPYSAHSPRLPQDFRMERLPGPYDFGWGAMGHGHGHGHCQTNHVYSVGLGRHNWGNGGGRFGCYDVGLAYGSAQFCRGGSVPAVGRLETPDCPSPRTNIWE >OMO75152 pep supercontig:CCACVL1_1.0:contig11063:95375:99870:-1 gene:CCACVL1_16319 transcript:OMO75152 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense-mediated decay, UPF3 MKGTLDRTKVVLRHLPPGITESMFVEQVDTAFAGRYNWLSFRPGKNNQKHQSCSRAYIDFKRSEDVLEFAEFFNGHVFVNEKGIQFKTIVEYAPSQRVPKQWSKKDGREGTIGKDPEYLEFLEFLAKPVENLPSAEIQLERKEAERAGVPKDTPIVTPLMDFVRQKRAAKGGSRRSLSNGKLSRRAGGSSGISPSSGSSKRGSEKRRGSTTMYVLRDSLKNASGKDKSAYILVSRKDEQQLSDKPVGTEISEEQSGVSGITDAGKKKVLLLKGKEKDISHVAGSMLHQQNVTSPIKNILSSTPTKQNFRQEGRMIRGILLNKDARQSQLSGVQSEQQIQASNLEKDRRPPRHSHAQFVLRDANGASDDKGGGNDFHGIEKLERRGRNKDRPDRGVWTLRRSDGSHASDESLSSASSQSAQIPLDSSEGGYGDTKVDLSNTGSVQVKSVGGGRNNSLDNGFHKHVGRRGAVADGSSVGDGKPGKRGSATGYGSHEVVETSP >OMO75140 pep supercontig:CCACVL1_1.0:contig11063:10483:14946:-1 gene:CCACVL1_16306 transcript:OMO75140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKIIENPEPVSAVLKSEAVAKLAEQDAASRKVGIQTDLTSTIPSSAYPSGMKGEVHQDFVGEPGVNQATSFYNYYYPGYNGSFVQSDDNGYFHTDGSQTGLSDNGSLVYYLPGYNPYATGTLMGVDGQSAGQQPYFSSGYYQSPVSYGSEAMPCYTWDSTYAGDVSNGNFDSFGNVKYGSGSAFAKSNGYNSTKSNGLAKLPKSTYTQPIKPLNKGPYMGSDLSAGSYKGYHQVGKSPSFNNQRQGLFQHNGNVNYRPNGRAWNQTDRYKKFNRDVDFENSAELTRGPRGSNKALDSSVKKEDFGLTLCKDKYNLQDFQTEYENAKFFVIKSYSEDDVHKSMKYDVWSSTPNGNRKLDAAFNEAEAKESETGSKCPIFLFFSVNGSGQFVGLAEMIGKVDFNKDMDFWQLDKWNGFFPLKWHVIKDIPNRELSHIILENNENRSVTYSRDTQEVHLKQGLEMLNIFKSYSAKTSLLDDFGFYENREKTLNAKKNYKPATQRYKQDEFTQQTNGGDMKVEEDRKTKKTTDAALLVEITKNLSLNGSTR >OMO75147 pep supercontig:CCACVL1_1.0:contig11063:70591:71154:1 gene:CCACVL1_16313 transcript:OMO75147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGYSKIKAVGNIEDFRSMECYSSSDLMPVNIPDQTPNPKPISNNTIITTPIPNPSDHKKQEETNQIIMIKNNSSATQDSSSEQEDHDHHQEGNNNNINNGEMFGPRLKRNSSVSSAYAVQAAVKRAFSMRRSSSVSERYCRIHDQSVTLSSPFDDEELYTNGIRRSVKKKNSSGKILKAWKKLFGL >OMO75151 pep supercontig:CCACVL1_1.0:contig11063:89696:93588:-1 gene:CCACVL1_16318 transcript:OMO75151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase MELGLQFGRPLLQGFKYPTISRRQSVLARSSNDSSSNGSLSVKPPKTVPGKAEADVVVIGSGIGGLCCAGLLARYNEDVLVLESHDQPGGAAHSFDIKGYKFDSGPSLFSGFQSRGLQANPLAQVLDALGESVECAKYDSWMVYIPEGEFLSRIGPTEFYKDLEKYASQNAVQEWKKLLDAILPLSAAAMALPPLSIRGDLGVLSTAATRYAPSLLKSFVEMGPQGAFGAPKLLRPFSEIMDSLQLKDPFIRNWVDLLAFLLAGVKSNGILSAEMIYMFAEWYKPGCTLEYPLDGSGGIIDALVRGIEKFGGRLSLGSHVEKIVVENGKATGVKLKGGQFIRAKKAVVSNASMWDTLNLLPRDQLPKSYIDRIKATQQCESFMHLHLGFDAEGIRDDLGIHHIVVNDWDRGVDADQNVVLISVPSVLSPNLAPPGKHILHAYMPGTEPFELWEGLDRRSAEYKKLKAERSEVMWRAVERALGSGFRREKCEVKLVGTPLTHQRFLRRNRGTYGPAIQAGQDTFPGHSTPIPQLYCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHSQLLDAIGI >OMO75149 pep supercontig:CCACVL1_1.0:contig11063:76842:79382:-1 gene:CCACVL1_16315 transcript:OMO75149 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribosylglycinamide synthetase MAATTLNFSPSVKLLSNKNGFLGSQFSKSFVSSKLFLGNSSFSVGTLSFTINHQSSYSHINGSRWSSTAFRCFAQKSEPSLSVDGRGNNGASERVVVLVIGGGGREHALCYALQRSPSCDAVFCAPGNAGISSSGNATCIPDLDISDSSAVISFCRKWNVGLVVVGPEAPLVSGLANDLVKAGIPTFGPSAEAAALEGSKNFMKSICDKYGIPTAKYQTFLDASAAKQYIKEQGAPIVIKADGLAAGKGVIVAMTLDEAYEAVDSMLVEGVFGSAGCRVIVEEFLEGEEASFFALVDGENAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTKELQSIVMESIILPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMVRLESDLAEVLLAACRGELEGVSLNWSPGSAMVVVMASEGYPGSYKKGTVIQNLEEAELVAPSVKIFHAGTALDLDGNFVATGGRVLGITAKGKDLEEAKDRAYQAVEEINWPGGFYRRDIGWRALPQKQFATNA >OMO75138 pep supercontig:CCACVL1_1.0:contig11063:5088:5429:-1 gene:CCACVL1_16304 transcript:OMO75138 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative lipid binding protein MASFNCFLLAFFLAVTFSSIDVGLAARRLQQLPPLPKTGLPPLPSIPTLPQPTIPTLPKPGTLPPLPSIPNIPAVPRATLPPLPNMPSIPTIPTIPTIPTIPFLSPPPSTTSP >OMO75144 pep supercontig:CCACVL1_1.0:contig11063:52938:57061:-1 gene:CCACVL1_16310 transcript:OMO75144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKVPAPKAAEIAIRSIGCGYDIGMDLRLKYCKGDSKDPCLIEIDEDGRRDIVLPGGISIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQEISLTGKIPSGLFNSMFEFSGCWQKDAANTKTLAFDGVFITLYSVALEKSQMVLRDHVKKAVPSTWEPAALARFIDTYGTHIIVGVKMGGKDVIYMKQQHSSNLQPADIQKRLKEMADKRFLDANGHYSMASEQVFPNEKSEIREQRLRFAHNSPSSSDSHKEDIIRIYKRRGGSDNRNLSHNEWLQTVQSEPDVISMSFIPITSLLNGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQSTASLQFSFMGPKLFVNTTPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKVFQLVDDPNGNFCRESYDRRYYEKVYWKNYSHVCTAPVESDEDLSIVTGAQLQVENHGFKNILFLRLRFSTVLGAISVKNPEWDGSPRLAPKSGLISTLISHHFTTVQKPPPRPADVNINSAVYPGGPPVPVQAPKLLKFVDTTEMTRGPQEIPGYWVVSGAKLVVEKGRISLRVKYSLLTAIIPDEEEIEEH >OMO75154 pep supercontig:CCACVL1_1.0:contig11063:111616:111675:1 gene:CCACVL1_16321 transcript:OMO75154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKPGVLTNWPWEPLGNFK >OMO75153 pep supercontig:CCACVL1_1.0:contig11063:105301:106897:1 gene:CCACVL1_16320 transcript:OMO75153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEGWSVMQTEDNGHLQRVAPIQAASMGWPGLPGVPTTPVVKRVVRLDVPVDKYPNYNFVGRILGPRGNSLKRVEAVTECRVYIRGKGSVKDSVKEEKLKDKPGYEHLNEPLHVLVEAEFPEDMINSRLDYAVTILENLLKPVDESLDNYKKQQLRELALLNGTLREESPRMSPSMSPSMSPFNSTGMKRAKTGR >OMO75150 pep supercontig:CCACVL1_1.0:contig11063:80655:83927:-1 gene:CCACVL1_16316 transcript:OMO75150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLGNGGVGSSRSVNGFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPDVVDGVGAETGHVIRTTIGGRNGQSKQNVSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVSLKHYFFSKTDKDELYLNLVLEYVPETVNRTARTYSRINTRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACIHPFFDELRDPNTRLPNGRPLPPLFNFKPQELSGIPPEVVKKLIPEHARKQNLFMALHT >OMO75139 pep supercontig:CCACVL1_1.0:contig11063:6595:9620:-1 gene:CCACVL1_16305 transcript:OMO75139 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase I, TruA MTENSKHSLNTTPESPRPSKIPKTQGVSDQEGEEEGDNQLEESSMSKNPRTQRYLVAIEYIGTRFSGSQQQPNCRTVVGALEEAFHKFIGQPVSIFCSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHDPSVVRKAVNHFLQKNDGDISVIDVRCVPNDFHARYKAQERTYFYRLLSGSEPLSIFERERAWHVPEKLDLHAMQEACKILVGHHDFSSFRAAGCQAKSPIRTLEELNVSEVPPTPYFPSITEKEQNNSSIEDFHACSSKPEIDPVINSFSNSDDMVESDSKTDPTFGMRRRHRCYVITACARSFLYHQVRLLVGVLKCAGTGELTTSDVERILNAKSVTAASPMAPACGLYLGRVKYDLP >OMO82538 pep supercontig:CCACVL1_1.0:contig10025:34540:35853:-1 gene:CCACVL1_11918 transcript:OMO82538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MASTPFLSSSVFLIITTILTTANTATLKMSLPPFPHRPSTDRHQFLKNVTTSSLTSSVLKVPMVYTDDGYAISLDFGTPPQTLSFYIDVGSSLTWFPCCSESDCGPTNEFPTFNPTLSSSTNYLRCKNPKCSWLFGPDVASFCQTYCPDYTFPYDGGYTTYGYLLEENLVFPHKNFPHFLVGCSSTNPYLGFLKGVAGFGRRPESLPSQLGLTKFSYCLTSRQPLDNETNIKSTMWFETDSGSGDTKTPNLSYTPLYKNQVASKPHLQEFYYVKLRNIFVGNTRVKIPYRFFVPATDDKFGGTIVDLSSSYTFMERTVFKLVTEEFEKQMGNYSRAVDYITWFNPCFYISPADYKRINVPELIFQFKGGAKMKLPMANYFSFVRDDIACVMIYTDINGLLPVESGPAIVIGNLQQQNYFIEFDLANNRLGFAKQICV >OMO82537 pep supercontig:CCACVL1_1.0:contig10025:26386:26694:1 gene:CCACVL1_11917 transcript:OMO82537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSDDIIKANNCEEKARKMDSFCLNEIFEGVFKSKDVEPYCCTQLYDYIGQTCHEAFVKRTLENPKFKNENATQIYLNSGRVSFNCGLIVTGSPTGQPNN >OMO82534 pep supercontig:CCACVL1_1.0:contig10025:807:4059:-1 gene:CCACVL1_11914 transcript:OMO82534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGGCTVQQALTNEAANVVKQAVTLARRRGHAQVTPLHVANTMLSASTGLLRTACIQSHSHPLQCRALELCFNVALNRLPASSSSPMLGGHSQYPSISNALVAAFKRAQAHQRRGSIENQQQPLLAVKIELEQLIISILDDPSVSRVMREAGFSSTQVKSNVEQAVSLEICSQNAPSANSKSKENSNNGSNNLVLSQSPASTVAQVGSNKVGKPKGSDPIIRNEDVMFVIENLMNQKRRNFVVVGECISSIEGVVRAVIDKVDKGDVPESLRDVKFINLPFSSFGHLNRVEVEAKIEEIKSHVRNCLGSGIVLNLGDLKWAMEYRASSSEQGRGYYCAVEHMIMELGKLVCNFGETNGRFRIMGIATFQTYMRCKSGHPSLETVLGLHPLTIPAGSLRLSLIADSDIQSQSTSKKVENGSSSWIFVDGGDEENKQQLTCCADCSARFESEVRNLQSSSTCNSESTTSSNLPPWFQQYKDLDNNKALGSSNHKDSASVRELCKKWNSFCNSVHKQPYINSSERTHTLISSVSPPSSSSCFSHDHQQYPHLHQHPHHHDWPVLETRNSWREHQFWISETVDKNVEPTGLRLYIPEHSHKDPKQLLSSNPNSTPNSASSSDVMEMEYVHKFKELNAENLTTLCTALEKKVPWQKEAISEIVSTILKCRSGMLRRKGKLRDGTSKEETWLFFQGVDVQAKEKIARELARLVFGSQSNFVTIALSSFSSTRADSTEDSRNKRSRDEQSCSYIDRFAEAVSSNPHRVFFIEDVEQADYCSQMGFKRAMERGRIANANGEEAILGDAIIILSCESFSSRSRACSPPAKQKSDEEEKVASLEETSPCVSLDLNISIEDDDDRVEEQSIDDIGLLESVDRRIIFKIQEL >OMO82540 pep supercontig:CCACVL1_1.0:contig10025:46046:47464:-1 gene:CCACVL1_11920 transcript:OMO82540 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MASIPFCTSFDFFIILLITAASTASTIKMPLSPFLRHTSTDHRYQILNNLANSSLSRAHRLKHHPDPQTNAKTNINSSNLPEAPLYIDNDGGYIISLGFGTPPQSLIFVIDIGSSFTWFPCCTDCDQPMNIPVFSPKLSSSMKFLPCDDPKCSWLFGPEIGSTCRDECKSPYGNFPCSPNCPDYNIEYPEGYNTSGFLLEESLVFPQKTFYNILVGCSTNTDGLPGPGGLDIAGIVGFSRSSQSFPSQLGVKKFAYCLISRQLDNPDVSSTMWFETESGSSDTKTPGLSYTPLNKNQVASFPVLKELNYVKLRRIIVGNKDVKVPYRYLVPGSDGNYGGTVVDLLTEYTFMEKPVFELVSQEFVKQMRNYSNTRVYRIMFDPCFYVAENESIHVPELVFQFKGGARMKLPTANYFSFVSATVACLMIVTDSQGDYYNRFGPAIILGNFQQQNYYVEFDLANDRFGFAERSCL >OMO82536 pep supercontig:CCACVL1_1.0:contig10025:21654:22526:-1 gene:CCACVL1_11916 transcript:OMO82536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation protein UFD1 MGNIDHRPIDPTPFEHTYRCSFSPYDIGNRIIMPQSALPSLDEKNIEKPWLFELCNPLTGKISHCGVLEFTSDEGFVLLPKLMMDFMEFEDGDLLTMTSANLQKGTFLKLQPHTKKFMELQNPKAVLENTLKEYCCLTIRDTIMVTHGNDAFYVDVVDANPSFGVNLLDTDCEVEFALPLDYEPPAPPKREQKKDNKVEEKQPIVEEQTAEFKAFSGVARRLDGAVNHADDSKTAAGRIEPCSSSKKVVLGSNKIVQNSHQQESTCCNEKDTKKEEEKFQAFIGKSYRLI >OMO82539 pep supercontig:CCACVL1_1.0:contig10025:37171:38535:-1 gene:CCACVL1_11919 transcript:OMO82539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MASTPLVSSSVFFIITTILTIASTATIKMSLSPLPHRPSSDRHQILNNLATSSIAKTHRHKHHLDTKINTSSSVLKASILYSDYFGGYTISLGFGTPPQTLSFYIDMGSSLTWFPCCSDCQRTRFPTFNPKLSSSTKYLRCQDPKCSWLFGPDIASVCQQQDNCPKYSFEYDGSYNTNELLLLEENLVFPHKTFHDFLIGCSSKKPNFGFPTGVAGFGRGPESFPSQLGLTKFSYCLTSRQPLDNPNTNSTMWFETGSDSGDTKTPGLSYTSLYKNQIASKPHLQEFYYVKLRNIFVGNTRIKIPYRFLVPATDDKFGGTIVDLSASYTFMERTLFKLVTEEFEKQMGNYSRAVDYITWFNPCFYISPVDYKRINVPELIFQFEGGAKMKLPMANYFSFVEDDIACVIIYTDINGLLPVNSGPAIMLGNLQQQNYYIEFDLANNRLGFAKQICV >OMO82535 pep supercontig:CCACVL1_1.0:contig10025:10842:19824:-1 gene:CCACVL1_11915 transcript:OMO82535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSLWRSIDRFSLQHFKYVVTELQQIKVVNKQNRDAVIDLLQSIVEIVTYGDRQDPLIFECFMEYQVLAEFVRVLNLSSSARIETPLLQYLSIMIQNMDSEHAIYYCLSNGYINNIIAHQYNFDAGDLALYYVSFLRAVSSKINKDTLCLLVKVHGDVASSFPLYTEALKFAQHPEKMIQTAIRALTLNIYNVSDDMVYQFVTTPPASKYFSDLVYSLREQCLHLDALVHSTEENCTHKKNNEIFLETDKIIDDLYYFKDILSVGESRLSRVVTQNLLSLLIFPLLLPLLQFGDSKGSFNISAVTSLYIVSRLLQVVGGKHLVNAVAGLLLYHYLTICQRDATNGDTVGSSGGASDLLCSLNDKNIKEHCLLEAGGAEEININYLLEHLNEYTSSNSHFDGRPRCDSMHIERIGIFTYMFSDNHSISLASLFLLLTIAENKDLELFPASLIGISQSQELTNNCESAFPTVDGSILVRLMPQIIEALLKVLASQPPVSPPIQWHTGWFLRKLLLCRGNMLTNNDIHLFNTSYEQSRECLKKELDGCWFDHIPDVITHEWGSCKKALEQQSQSKDPFFPLELAVYQQTIDGSSASYLAWQRMVDAVKVFILHLQLKAFIFTGGLPEKLSLKSLSNSDSGKTYSTDISAASFGSDVSLGSGVPCRIAFSHAGVRDIYLIPVAKGISGKLILAEKHPFRSQRGVVIAIAPLAGLSPKIDEDHPTWLHLRIREFDPKFLTAKGKQSKVSNPAVDGRWTLGFPSAKACETARLLILEEASKQRSFVESILAPLLQDDYVENMLDSQGD >OMO70040 pep supercontig:CCACVL1_1.0:contig11890:193:6494:-1 gene:CCACVL1_19130 transcript:OMO70040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHKQNGAGKVEEQEHEQEGGEEAGEDEFSDSEDYGLTEKEMETIKQACLRTATDLKDFQIIPAASNAAADENRPSTSAATAASGSDSEDDIELCRSIRSRFALDDVCEEPLNMKPLCTLAPSDDDSEDDFETLRLIKRRFSDYSTNDTWRKSEEDKIQIVEPVYKSNMPLEDATCNDVCEDFQNNKTAGKIFHLSSQKAEMQSIDLVHLEQLDANELSTLDGNSSRFPKSAQLLIDAIKRNRSYQTFLGSRELSLKKDPRIQLISARKSRTSEDPEDNDKKFPADCGPPENSSVTNYRMALTKFPLTLQRKKWSKEERENLEKGIRHQFQERALQVSLSSSDVSYEDGRYLDNIIATVKDLEITPQRMREFLPKVNWDQLASLYVKGRSGAECETRWLNHEDPLINRSAWTAEEDKNLLLIVQKKGIGNWLDIAVSLGSNRTPFQCLARYQRSLNACILKRDWTEEEDDQLRIAVEAFGECDWQSVASTLKGRTGTQCSNRWKKSLHPTRQSVGRWNRDEDKRLTVAVMLFGPKNWKKISEVVPGRTQVQCRERWVNSLDPSLNFGSWTKEEDSRLKIAIKKHGYCWSKIAASMPSRTDNQCWRYGKSILA >OMO70041 pep supercontig:CCACVL1_1.0:contig11890:7567:13164:1 gene:CCACVL1_19131 transcript:OMO70041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGIGGSDNGALDESCLRYKNSVASLRIVLAALPLFQLSKDMNVVKLCPLTQLSPLILLAKE >OMO80512 pep supercontig:CCACVL1_1.0:contig10316:4567:7464:-1 gene:CCACVL1_12924 transcript:OMO80512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGESSGLIIGISIGVVIGVLLAIGSLFCIRYHRKRSQIGNSSSRRAATIPIRANGADSCNILSDSTIGPDSPVKSGRNGRSMWLDGFRRSNVVAVSGIPEYSYKDLQKATYNFTTLIGQGAFGPVYKAQMSTGEVVAVKVLATDSKQGEREFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLVYVYMSKGSLASHLYSENHEPLSWNLRVYIALDVARGLEYLHDGAVPPVIHRDIKSSNILLDQSMRARVADFGLSREEMVDKHAANVRGTFGYLDPEYISTRTFTKKSDVYSFGVLLFELIAGRNPLQGLMEYVELAAMSTEGKVGWEEIVDSRLDGKFDLQELNEVAALAYKCVNRVPKKRPSMRDIVQVLTRILKTRHSKKHHKSLSATADEVSIDLEQGETKTQIAEHRRDESMDSAADTFDL >OMO80510 pep supercontig:CCACVL1_1.0:contig10316:766:929:-1 gene:CCACVL1_12922 transcript:OMO80510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFQPIPECSGFPTHGQSFQVSDPGFPTHGQSFRVSDPAKARMAFDPPEWAVS >OMO80511 pep supercontig:CCACVL1_1.0:contig10316:1868:3833:-1 gene:CCACVL1_12923 transcript:OMO80511 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L24 MAAMAALQSSMTALSLSSNSFLGQRLSFPPSLSPLPVKPRENPCLIVVKLKRWERKECKPNSLPVLHKMHVKVGDTIKVISGRDKGKVGEITQIFKHNSTVIVKDINLKTKHMKSREEGQPGQIIKIEAPIHSSNVMLYSKEKDVASRVGHKVLDNGKKVRYLIKTGEIIDSDENWKKLREAEKEKTEVAAAAAPSA >OMO57500 pep supercontig:CCACVL1_1.0:contig14363:26866:27072:-1 gene:CCACVL1_25750 transcript:OMO57500 gene_biotype:protein_coding transcript_biotype:protein_coding description:multi-sensor signal transduction histidine kinase MSPVSFIDVAGDCNPTLITTSSTFPSKPTTKNGTEEKKAAQTDDEFRHQLGLIPFETTGKFSILFSLG >OMO57189 pep supercontig:CCACVL1_1.0:contig14431:37480:41390:1 gene:CCACVL1_25929 transcript:OMO57189 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MESEKSNYGAIMVYFTKPSWAFLVLLFTVIAILSLQISTNGTLPFQLFPVSGSSKTGVAPLSDPVSCAGFFGELPQRKQVMSIKDFGGVGDGKTSNTEAFRKALDYMQRFSDKGGAQLTVPEGRWLTGSFNVTSNFTLFLEKGAVILGSQDPEEWPIVEPLPSYGRGRERLGGRHISLIHGDGLTNVVITGQNGTIDGQGRMWWELWWNRTLQHTRGHLLELMNSHNILISNLTFMNSPFWTIHPIYCRNVVIKDMTILAPLNAPNTDGIDPDSSTNVCIEDCYIESGDDLVAVKSGWDQYGITMARPSSNIVVRRISGTTPTCSGIGIGSEMSGGIFNVTIEDMHVWNSAAGVRIKTDKGRGGYIANITISNITMDRVKIPIRLSRGSNDHPDDGWDPKAIPKIKGIFISNIVSMNSTKAPVLAGIEGASFEGICLKNVTLLGLAPTAAWHCEFVSGCTSGVFPLPCPQLQNNGSSPCCS >OMO57192 pep supercontig:CCACVL1_1.0:contig14431:68933:70017:-1 gene:CCACVL1_25932 transcript:OMO57192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S13 MAQTLAMPVAPSLSSISNKLSSLSLSNTVSLPASAPPKYRSLSIVCVRVGGVEIPNNKRVEYSLQYIHGIGRSRARQILCDLKMDNKITKDLSEEELITIRDEVSKYMIEGDLKRFNALNIRRLKEIQCYRGVRHIQGLPCRGQRTKNNCRTLKGKRVAIAGKKKAPR >OMO57186 pep supercontig:CCACVL1_1.0:contig14431:11921:20735:-1 gene:CCACVL1_25926 transcript:OMO57186 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MADKEKSSVRKPLSLNDNHYRFLQDLSAPPKPTIAKPSSSKEEEESEEMNFQARRPLRKQTLEDDSIPHFGITDFDSPLEDEAKPEKVKIEGRRRLCKISSGDAEKTSSPIGPDCSEICDFDSPLPSKNVSEGGGQIRDILNDLSSKLELLSIEKKAVSRTKFPEHASKESLFSGMHEPSDSSSVATMTKNVVGGVQNVVDLYEDAVESFETEDKTKDISNVGLMKKEPKRVDERLVSARQSFESTVEDEEDISELQGSLGNGTSVARVDEPKKNFRRLKKAEPENAYERLRSMGRSFPSKYGEEDDDDCVVLSSKQSFKKAVGRGANLKNYDHFEEVDELDDYEEDSLSEGDRPIILKGPKSTYKLPTKIAKMLYPHQREGLKWLWSLHCQGKGGILGDDMGLGKTMQICGFLAGLFHSKLIKRALIVAPKTLLSHWIKELSAVGLSGKTREYFATSVKTRQYELQYILQDQGVLLTTYDIVRNNCKSLKGEGYYSDDDDEDGVIWDYMILDEGHLIKNPSTQRAKSLLDIPSAHRIIISGTPIQNNLKEMWALFNFCCPELLDDYKSFKERYEHAILRGNDKNASEREKRVGSTVAKELRECIQPYFLRRLKKEVFCDDDSTTAKLSKKNEIIVWLKLTSCQRRLYEAFLKSEIVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDVLEGMESILNPEEAGLAEKLAMHVADVAETDDFQDNHDNLSCKISFIMSLLDNLIPERHHVLIFSQTRKMLNLIQESLASNGYEYLRIDGTTKACDRVKIVNEFQEGIGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQKKDVIVYRLMTCGTVEEKIYRKQIYKGGLFKTATEHKEQIRYFSQQDLRELFSLPKGGFDISVTQRQLHEEHDSQHKMDELLEAHIKYLETLGIAGVSHHSLLFSKTAPVQVVEVEEEEIRRKATTVVGHSSSSSSVEPNIDGAQYAFKPKDVNLSRKSSSPENAAKLTESEIKERINRLSQLIANKFTVSKLPDKGAKIEKQIAGLHEELHKMRMAKEADNEVGVDDITGELQRVLNV >OMO57185 pep supercontig:CCACVL1_1.0:contig14431:8484:10991:1 gene:CCACVL1_25925 transcript:OMO57185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLLFFFFSLSTSFSIVSSSTISLGSSISASNPTQSWSSSNNTFSISFIPSPSSSNSFLAAITFAGGVPVWTAGGGAAVDSGGSLRLLSNGALHLINGSGAVVWDSGTANQGVSSASLEESGELLLSNGTATVWSSFDHPTDTIVPDQNFTSGGVLKSGLYSFTIQSYNDSNPICGCPSENFEPVDVNDRRQGCKRKVEIANCPGSAAMLQLDHAGFLTYQPELSSQVFFVGISACRLNCLVSPSCVASTSLSDGTGQCYLKTTEFVSGYQNPALPSTSYVKVCGPVLPNPSPFADNAGNSKEIERPPAPKAATEGSISGTSINLSSNMSAFSTFAASAPAPSSSSSLQTAGVSPLASGRNIEKQSSSLLHSGTK >OMO57190 pep supercontig:CCACVL1_1.0:contig14431:42404:43120:-1 gene:CCACVL1_25930 transcript:OMO57190 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaN, reaction centre subunit N MAAMNSSVLACNYAISGTGSSELNAKLASVPSVASPGVSGGYKLPVIRAQQAKVPKESGAVEGRRAAMLYLAATLFTTAAASSANAGVIDEYLEKSKANKELNDKKRLATSGANFARAYTVQFGTCKFPENFTGCQDLAKQKKVPFISEDLALECEGKDKYKCGSNVFWKW >OMO57183 pep supercontig:CCACVL1_1.0:contig14431:1876:3657:1 gene:CCACVL1_25923 transcript:OMO57183 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MSLIRQNHRANVAQNRVLTQEQVQAVFDLYPPGIRFRPRDEELVVFYLRKKLLDQPLPLNMIKEVELYAHHPQELVGSGSLGGNDQASHALAQPFNNLKRLRLDDVSKSKLDDDSPKLKLDESDDQYANGVASITPKYPNYYNEVICDQSSSSFVGNNLHDPYDDYNMNFMADPSFDGLGFLNQEFHGHHYY >OMO57188 pep supercontig:CCACVL1_1.0:contig14431:25013:26011:-1 gene:CCACVL1_25928 transcript:OMO57188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEQEELQFLGFFGILKESFNVIFSWRKIFSQITLALILPLSFIFLAHIQISQFIFVDILRDEDALEYSRTGTPYYDKLSNAVSSEWVAFWLFKAAYFTVYLILSLLSTSAVVYTIACIYTGKEISFKKVMSVVPKVWKRLIVTFLWSFAVVLVYNLVAGLCLLLSVIIMGFSSVGVAIFVVLFLLYLSGFVYITLIWQLASVVSVLEDVYGIQAMTKSRGLIKGKMGIALALFLLLILCFGGIQTVFEIEVAFFWSLSVGVRILIGTVCFLLLFKVILFGLVAETVLYFVCKSYHHENIDKSILADHLEVYLGEYVPLKSKDVQLEQFHV >OMO57195 pep supercontig:CCACVL1_1.0:contig14431:95822:104263:-1 gene:CCACVL1_25936 transcript:OMO57195 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MQVVMPTVEADVAFGLGRSNLANAEVRSRVVKALDAVGMSEYLQRPVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDESDQIGVIKSVQNLLDVSGDVTALWVTHRLEELEYADGAVYMEDGKVVMHGDAASMSASLDSPDAFERNHTENVSMVSLKFQDSNGVLDQGHQTSSPVRRDVVNRSLSAAKFLENDEMDLEGGKLEKERDKTIRGNKLPKIQNQALISGLAYCISSCSMILVNKFVLSSYDFNAGISLMVYQNFISVIIVSILSSLGLISTEPLTWRLIKVWLPVNFIFVGMLVTSMFSLRYINVAMVTVLKNVTNVITAVGEMYLFMKHHDSRVWAALFLMIVSAVSGGITDLQFHAVGYAWQIINCFLTASYSLTLRRVMDTAKQVTKSGNLGEFSMVLLNNTLSLPLGILLIFVFKEFDYLCTTPLLRMPDFWLVMTLSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGIVIFKVPTSLQNSASILFGLLAGVFFARAKMRERSQS >OMO57193 pep supercontig:CCACVL1_1.0:contig14431:70678:73754:-1 gene:CCACVL1_25933 transcript:OMO57193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGSPGSMNRQGLPGDRKPDGSDKKEKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPLSKCKLRLLKLERIKDYLLMEEVFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSRMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >OMO57191 pep supercontig:CCACVL1_1.0:contig14431:47455:49610:-1 gene:CCACVL1_25931 transcript:OMO57191 gene_biotype:protein_coding transcript_biotype:protein_coding description:auxin-induced protein 5NG4-like protein MAFNAGCSLRVLVVYRFITTSVFILPFAVRIDGPPLTWPIGGLALLARVLRGALGQNLFATSFGLLPYVAAISNISPAITYLLALIFRFNPSRATPRIA >OMO57184 pep supercontig:CCACVL1_1.0:contig14431:4320:7674:-1 gene:CCACVL1_25924 transcript:OMO57184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MESSSNQAEFDYLFKLLMIGDSGVGKSSLLLSFTSDSFEELSPTIGVDFKVKYVNAGEKKLKLAIWDTGQEAKGTLCPHIVDEATYVVIVLSSSESASLARVASGQERFRTLTSSYYRGAQGVIMVYDVTRRDTFTNLSEIWAKEVELYSTNQDCIKMLVGNKVDKESERVVTKKEGMNFARDNGCLFIECSAKTRVNVQQCFEELVLKILDTPSLLAEGSKGVKKNIFKQKPPQPDASTSSCC >OMO57194 pep supercontig:CCACVL1_1.0:contig14431:78701:82309:-1 gene:CCACVL1_25935 transcript:OMO57194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGSPGSMNRQGLPGDRKPDGSDKKEKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPLSKCKLRLLKLERIKDYLLMEEVFVANQENLKPHEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTARMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMFKKKEGVPEGLYM >OMO57187 pep supercontig:CCACVL1_1.0:contig14431:22034:23041:-1 gene:CCACVL1_25927 transcript:OMO57187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNSEQGELKFLGFFGILKESSKIIFSWRKIFSQITLALILPLSFIFLAHIQISQFIFVDILRDQNALQNSTGTPPYSDKLSGTVSSKWVAFWLFKAAYFIVFLILALLSTSAVVYTVACIYTGKEISFKKVMSVVPKVWKRLIVTFLWTFAIVMIYNLVAVFCLLLPVLIIYMGFSNVGVAIFVILFLLYLAGLIYIAVVWQLAGVVSVLEDVYGIQAMKKSKALIKGKMGVALALFLLLILCFGGIQTLFQFEVAFFWSLSVGMRILIGIVCLLLLFNVILFGLVSKTVLYFVCKSHHHENIDKSILADHLEVYVGEYLPLKSKDVQLEQSHV >OMO87159 pep supercontig:CCACVL1_1.0:contig09302:1517:2797:1 gene:CCACVL1_09227 transcript:OMO87159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPLTANDQLKLRRGFAWRCVTTDMLPRDDVQFEGFMGKRCNVTLKWLTESPRTLVLQGTELSLFFANECFQPDEDLHITYGGNRIFSISRTYDGEGLLLDDRELRCKRRRRLAPTPPPHQRQEVGVDRITPPPSPPQQMSEVVELSSDDSPPGFDHSGSNDDYPFAFVEADASLCIIKLMPSMVMVSRSLMDGVTLSTQLDFKLGIGFGSGGSKEGSW >OMO87160 pep supercontig:CCACVL1_1.0:contig09302:3157:3273:1 gene:CCACVL1_09228 transcript:OMO87160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSKNMILLWLCAEAIFLKPKIIFPRPRTSSKAHYSC >OMP10496 pep supercontig:CCACVL1_1.0:contig02393:160:243:1 gene:CCACVL1_00931 transcript:OMP10496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLPIQPGRHEWNSDHNIIDKSKMYSYK >OMO51635 pep supercontig:CCACVL1_1.0:contig15767:10963:12642:1 gene:CCACVL1_29676 transcript:OMO51635 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid oxygenase MILVRIYFNNIPVSHIPTKPKKLVRPIISSNNSTPSFQTKPKTKITKETTNYSLPLSACSSFFSTLASVPNVLYNILDPPLHAWLGPTHVYAGNLAPVDEMEPTDCPVIEGKLPVSLKGVYIRNGPNPQLRVIPRALLLFDGDGMLHSLRFSDGHATYCCRYVKTYKFLLEREAGFPVVPNVFSGFFGFGDILRFLMATRRIVTGHFNLMNGFGVANTGLAFFANKLFALCESDLPYAIDLTQRGDIETLGRWEFEKKLMSNMTAHPKVDMDTKETFAFSWSLTFPHLTFFRFDEKGVKQKQVAISSIKQPCFIHDFAITKTFAIFHETQLIYSIGKVTTGRGTLVDYEPNKIPRIGIIPKYAMNDSDMKWFQVPGFNTIHIINAWENGDDEIVFVASNIVSVDNIFKSTVNVSLEKVNINIKTGEISRDIISPRNLEFGSINPCYVGRETRFAYLGVLEEVPKMSGIVKIDLETGQEVAKRFYGPNCFGGEPLFVRRDGESNNSDEDDGYVMTYVHDEKANESKFIIMDAKSSELEIMTVIEVPRRVPYGFHGLFVCN >OMO51636 pep supercontig:CCACVL1_1.0:contig15767:24885:25136:1 gene:CCACVL1_29677 transcript:OMO51636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKHSMTYREGPPSDVSQSLHSSSLSQIPPSQDQKL >OMO88092 pep supercontig:CCACVL1_1.0:contig09105:10237:11833:1 gene:CCACVL1_08554 transcript:OMO88092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEERSQRTCKTIEPELFLQWGNRKRLRCVRVKDPQKISHKSNAVIRRRITSRIIDKESSPFSQSNHRLTRNSEAAILRSGTTEHQRKALSPEKEDRYYTTRGSSVGLVDENGKVAMDSNNGEDNKGIVWPKLYITLSSKEKEEDFMAMKGCKPPQRPKKRAKIIQRSLLLVSPGAWLTDMCQERYEVREKKSSKKRPRGLKAMGSMESDSD >OMO88093 pep supercontig:CCACVL1_1.0:contig09105:13156:16809:-1 gene:CCACVL1_08555 transcript:OMO88093 gene_biotype:protein_coding transcript_biotype:protein_coding description:FMN-binding split barrel MASATQSSSSQAVSPGDVNSETNVFQLIQAHQEKAARLPPVEEIRTLLDRSTRGMLSTFSQKHEGYPSGSMVDLACDANGSPILAVSSLAVHTKDLFANPKCSLLVARDPEDRTDLVITLHGDAIPVSEQDQAAVRTAYLAKHPNAFWVDFGDFQFMRIEPKIVRYVSGVATALLGSGEFSKEEYQASKVDPIAQFSKPVTSHMNKDHGEDTKVIVQHSTSIPVDYANMLDLDSLGFNVKAGYQGNTFKLRIPFPRRAEDRKDVKTLIVDMLQAARSQAN >OMO88091 pep supercontig:CCACVL1_1.0:contig09105:897:1795:-1 gene:CCACVL1_08553 transcript:OMO88091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALKMRLFLAMVIALMAVSAVQNVAAADAPAAAPGPASDATAFVPTVFGTKDGKVRTDPHHK >OMP05978 pep supercontig:CCACVL1_1.0:contig05102:8215:8313:-1 gene:CCACVL1_01766 transcript:OMP05978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFLNIYFDDIYKPIPLVCNLVLAMLWRHPEKVW >OMO69914 pep supercontig:CCACVL1_1.0:contig11935:6502:16439:1 gene:CCACVL1_19200 transcript:OMO69914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MEESLLGSELTENSSLRRRVWEESKKLWRVGFPSMLARVTAFGMFVVTQAFIGHIGEVQLAAYALIQVITVRFANGILLGMSSATETLCGQAFGAKQYHMLGIYLQRSWIINLITATILLPVFIFASPIFKLLGEDGEIASEAGYFALWFIPMLYSFVFSLTIQKYLQCQLKNMIIGWISAGSFILHVFLSWIFVSKLNWGIPGAMSSMIISAWLLIFGEFIYILGGWCPRTWKGFTFACFLDLFPVIKLSISSGVMLCLELWYYAVLVLLAGYMTNAAIAIDAFSICLNIIAWQLMLFLGFLTAASVRVSNELGRGNAKAAKFAVKVIIWVAVGSGRQSMVAYVNICSYYVVGVPIGILLGYVAKMDVKGIWIGMIIGVATQTIVLGYITSRTNWDEQVDKASERLNKWFLSREQPPSKLSLPIVALTGFPPSHGSKPHLHKMLHAASLLRHLQLPLERRCNPSAMQRDGTSMPEILHNFGMADCNRISTPMEAKAKLCIEEGKELENPTIYKQLVGVPYQVVGYCNVDYGRDHDTRRSTTDYMFSLGSVIISWCSKRQLTVSLLTTKAEYRAATMAVQERYCILKEQHDNAQPTTPSSSRHNNPLLWRAIWKLDVPSSFVVVEETSQTEQKDMEEAAERKTGADLSENVVLAGGILAFYGGFNNDGRYAWKTSIIIGDIKEILKTFKEVRLSLVKCEANSAADWVAKQHNMRMDFMTRVDRPLSSLVHILNKDGLP >OMO69915 pep supercontig:CCACVL1_1.0:contig11935:17560:19822:1 gene:CCACVL1_19201 transcript:OMO69915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multi antimicrobial extrusion protein MDEKLLIGSDQKKDGGELKEKVWVESKKIWRIAFPASLTKLTSFGMFVITQAFIGHLSKVELAAYSLIQIITVRFAYGILLGMSSATETLCGQAFGAKQYHMMGIYLQRSWIINLGAETVLLPIFIFASPILKFLGEEEEVANQAGYISLWFIPVLYAFLFNITIQKYLQAQLKNMIIGWLSAISFVLHVLLSWIFVSKLNLGIPGAMTSMIIANWFVVIGEFVYIFGGWCPKTWEGFSMVCFADLFPVLKLSISSAVMICLELWYYAVLVLLAGYMPNATTAISAFSICLNIIAWEFMLCLGFFASASVRISNELGNGNAKAAKFSMKVNISTSTLIGVVFWALCLIFSHQIGGAVGAGRQSRVAYVNICSYYIIGVPLGVLLGYVFHLEVKGIWIGMIIGVVMQTIALGYITWKTDWDEQVKKASERLNKWLLKSSEES >OMP05691 pep supercontig:CCACVL1_1.0:contig05268:2169:2743:-1 gene:CCACVL1_01847 transcript:OMP05691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLLKKKVMLFWRSSGLLRSGYFISLELSKFFTYFFFPF >OMP01349 pep supercontig:CCACVL1_1.0:contig06465:14795:16194:-1 gene:CCACVL1_03108 transcript:OMP01349 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium transporter NIPA DYSGQSASSIASELCGFVTVLSGTAVLHSTRDPDTPLITDLYTPLSPKVSWYIQGNGELWKQKDEDGSASFITILRHDYFK >OMP10549 pep supercontig:CCACVL1_1.0:contig02233:418:492:-1 gene:CCACVL1_00877 transcript:OMP10549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYALSASGYNRRDLIDRMEEYIDK >OMO94223 pep supercontig:CCACVL1_1.0:contig07936:4185:4400:1 gene:CCACVL1_06107 transcript:OMO94223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKLQEIQGSFWVVVVRGSKDGEEKGEMLKEEVADYQEGRRR >OMP10923 pep supercontig:CCACVL1_1.0:contig01767:99:251:-1 gene:CCACVL1_00746 transcript:OMP10923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLIFEYSPIARTTPFGYRPLVPLPGVEPFYEVDAESIDSRGGSLFLIL >OMO74355 pep supercontig:CCACVL1_1.0:contig11139:3358:3879:-1 gene:CCACVL1_16802 transcript:OMO74355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPSGTSSGSSSTLMQNSGSEEDLQALMDERKRKRMISNRESARRSRMRKQKHLDDLMGQVTQLRKENHEIMTRINLTTQHYMNVEAENSVLRAQANELSHRLQSLNEIIGFLNGSSNEHNNNFDVHEDLSSTSTSFGFPEPAADSFLNPFNLAYLNQPIMASADNMFLY >OMO98103 pep supercontig:CCACVL1_1.0:contig07162:13032:16715:1 gene:CCACVL1_04338 transcript:OMO98103 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSLKRINPEMSLKRISPEMSLKRICPKMSLKGIYPAMSLKRIYPKMPLKRIYPAMPLKQIYPEMPLKIIYPEMYMEDVLCDVLPMQACHVLLGRPWQYDNKVQHDGETNKNIVSLLSDYANVFPEEIPSGLPPIRRIEHQIDFIPGAQIPNRLAYRTNPKETKELEKQVGELLEKGYVRESLSPCAVPVLLVPKKDGTWRMCVDCRAINNRTIKYRHPIPRLDDMLDELHGACLFSKIDLKSGYHQIRMKEGDEWKTAFKTMLGLYEWLVMPFGYTNALSTFMRLMNHVLRAFIGKFVVVYFDDILVYSRSLKEYVEHLRCVLDVLRVEKLYANLKKGAFCTNKLVFLGFVVSAQGIEVDEEKIKAIKDWPTPTNVGQVRSFHGLAGFYRRFVKAFSTLAAPITSVMKKNAPFKWGQEQQETFETLKNKLTNAPLLVFPNFNNTFEIECDVLGVGISAVLMQGGKPVAYFSEKLNGAALNYPTYDKELYALVRALQTWHEKVLWHVKWIEFIKSFLYVVCYKKIDMNGSKITRFGVPGDWVCLHLRKERFPEKRKSKLLPRGDGPFQVLERDQQQCLQARSS >OMP03747 pep supercontig:CCACVL1_1.0:contig05989:6918:11333:1 gene:CCACVL1_02277 transcript:OMP03747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MEVQYINSSYPYNSAGSFMEYFEGLTYQHVNFIFDGASHVQETVYPSMTTNFYKFGLSDSGNISYYDHGHSYEVNNHELCVDEYRRASENSSSMSNEQAATMNMEWEGNANTTSPENPVDCPRRQHNAHDYQVIWQDSVDPDNMTYEELLELGETVGTQSRGLSQDLISMLPVSKYKCSIFSRKKSRNERCVICQMEYKRGERRITLPCKHVYHAGCGTRWLSINKACPICYTEVFGDASKR >OMO87204 pep supercontig:CCACVL1_1.0:contig09283:36176:38377:1 gene:CCACVL1_09203 transcript:OMO87204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWLCCSCQVEENYQPHENENLKSPKNHNDGYQRNSKVAPPAKPEVQKSAPPIEVPALSLEELKEKTDNFGSKALIGEGSYGRVYYANLNNGKAVAVKKLDASPEPESNVEFLTQVSMVSRLKHDNLVELQGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPVLDWMQRVRIAVDAARGLEYLHEKVQPSVIHRDIRSSNVLLFDEFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPDDALIWVLLIFLRPFMIDMETNLTSKAATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKSQAPAPAPET >OMO87203 pep supercontig:CCACVL1_1.0:contig09283:2940:15349:1 gene:CCACVL1_09202 transcript:OMO87203 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate carboxyltransferase MERSESLSSLTYKGSIPEAIVEAKNQKKLFVVYISGEDAESKNLEDSTWTDLKVKDSLSKYCILLHIQGGSTDAANFSAIYPQKSVPCITAIGFNGVQVWQSEGFVSAEVLASTLEKAWLSLHIQETTASVLSAALASKKYETSTSGPSIVSQSEQGSSSSTSVPSSTTDEAVRSLESKPAVSSGVIDENSVSGDVVKEKNSELIDRGSSESFPADNLARAVAEQCDTSSEAPSKAVSSVTGGSAVSVSQNTPSHPEDGCPIPVKGTIHQSGVSSGSSPVSSTGAEKAELHEKDKCIDDREDDASDNSATANIPTDVHLNIRLPDGSSLKEKFPVAHTLRMVKDYVDRNQSSGLGSYDLAIPYPRKLFGNEDLSKSLLDLGLLNRQALIVVPHQKTTGLQAQRSSTDQINSTSTEISTGSNGGYFAYVKSFLSYLNPFSYLGGGASSSNTGQESQSGIWEYGPNPTLRNNLAAGTNRSYSPYSPNRSTSTATDESRNRRPTTSRYGSNIHTLRHDEDDGRFSDRNPYWNGNSTQYGGNNDGNPNPPPSPSRRPAYIPNHIPDPNYVRIFDTTLRDGEQSPGATLTSKEKLDIARQLARLGVDIIEAGFPAASKDDFEAVKAIAKEVGNAVDENGYVPVICGLSRCNEKDIKSAWEAVKYAKRPRIHTFIATSGIHLEYKLRKSKAEVVEIARSMVRFARSLGCNDVEFSPEDAGRSDREFLYEVLGEVIKAGATTLNIPDTVGITLPSEFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTLAGAYAGARQVEVTINGIGERAGNASLEEVVMALKCRGDHVLGGLYTGINTRHIVMASKMVEEYTGLHVQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERSNEAGIVLGKLSGRHALKDRLKELGYELDDEQLGNIFWRFKAVAEQKKRVTDADLIALVSDEVFQPEVVWKLHDLQVTCGTLGLSTATVKLINADGEEHVACSVGTGPVDSAYKAIDLIIREPVTLLEYSMNAVTEGIDAIATTRVLIRAEKSHMSTHALTGEVVHRTFSGTGAGMDIVVSSVKAYIGAINKMLGFKEQVPAKSSAERTPVSAA >OMO87205 pep supercontig:CCACVL1_1.0:contig09283:39791:44886:1 gene:CCACVL1_09204 transcript:OMO87205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Survival motor neuron interacting protein 1 MADGPMDATDSYVGSKRRSTSDSEQEDETLLHSHLSSDITTPHRHQEETAMDAGSPKKKAKCFSLLSEGNQELGLSSSTENASLSGSFEEQKKVDVKIKEGPFGVQDFDNLVNNAIEVDTDSVIEADKKEHPGTCSLSGSFQEEKKDGVKSKEGSFGVQDVDNLVNNAIEVDMESVTEADKKEHPGTSLEFEGKRDNIGKALECENVLEAEKKRLLSELEVGKLFGAKTSTGNISGLATNSTKIDEDKFIAGVQGPDLAVRGSLKIGVIDDTVLIESFPVSKTGNGSVKDEKNKGKKETDGKKSKRSRRKGKNEKIVLGEAEGHMVSTRNLQVQNGRKGGYSHSKIMYSRKELEALRFVKVGEQRKFWRDVYNGLGAAVIKEYGDLGSWKHQKNMALSSNPDRFGRKAESPAIMSVVAGRGTRNGEDYSENVDNDLEYMEDNKTENINSLDLTSTDNFGVEDTVIDVEEECTEDAIIDEEEEYIEDDDSDEDYTSILRRAFMVEGEPDFDSGPPEDGLEYLRRVRWEAAQIPKVKIAKPDRNKPNKEQSVYMPQIPEIAQCPEHLLPLKQWEEAFLADFSELRLTLSCQEDPSANVSFKLPRLDVQENLCQVPESVVVEKFNDLSTREAHSDQTSPSNIEGGVILPSHQNPSAKTSVSNTGGDYPTLSAIRKLDSVARVSMLRKRISSIENMGSLSRSNCMWLFALCAAVDTPLDGDTCASLRGLLRKCASLRAGKSEVDDEVIMLNILATISGRYFGQSEN >OMO54219 pep supercontig:CCACVL1_1.0:contig15031:5736:7026:1 gene:CCACVL1_27963 transcript:OMO54219 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MGYETLVTLPVIDFSKQDLMPGTPEWDVLKVQVRQVLQKYGCFEASFDRMVEVRKPLFVALEEFFGLPLETKNLCNSKRHFRGYNGSKAPFHENVLFENGDVAGNVHNLTNMMWPQGNSSFSKTLLSFTEIASGLDKIIRRMILESFGLDKYTDEHMDTTEYNLRLMKNEGRPQTDEPNHGAHYDLGWMTVLYQNEINGLEIQNKDGEWITVKPSSPNSFIIMVGEALSALLNGGLPSPFHRVMMTVNNKTRYSIGLFAAPKGGYQVNVPDELVDEENPLLFKPFDMEEFLGFYKSQLARGATAPERTLKAYCGV >OMO92384 pep supercontig:CCACVL1_1.0:contig08227:14104:16419:-1 gene:CCACVL1_06869 transcript:OMO92384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSRFCPSLLILFFSLSLTLISPTHSLDCSSLNLAGGKKQYANCTVLPTLNSTLHFTYNATNSSLDIAFSAPPPNPDGWIGWAVNPTARGMAGSQALLAMKSKGTPVVKTYNISSYSSIVEGKLSFEVWDLEAAADKDGNMVLYGSLKVPASAEKLNQVWQVGPGVTNDGHPMKHEFAKANLGAVGELKLVERTSSSSPAAAPSPQAANNNRGDGWKGCGRGVRRRLSGSEASIQGNDN >OMO92385 pep supercontig:CCACVL1_1.0:contig08227:46858:47281:1 gene:CCACVL1_06871 transcript:OMO92385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LMKVVYFLQGGKEKHEKAMAECLIVKLLGRVLGYNTLIGKINQLWKLEGEYKVTDLDHDYFIIRFEKKVDYEHVLQEAWIIGGHYLIVRQRKPKFMTDSNKIERIIAWIRFPHIPLEYFNFIALKMGEKISRVIKVDNGSH >OMO92383 pep supercontig:CCACVL1_1.0:contig08227:15:546:-1 gene:CCACVL1_06868 transcript:OMO92383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIASKSNRATSVKKKSS >OMO52517 pep supercontig:CCACVL1_1.0:contig15517:4223:6767:1 gene:CCACVL1_29200 transcript:OMO52517 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MAPIRYRLPQHGIQCFLKRIPDRVMGMGVNQSEESTSSESSIRWSEIQVFHALSAYDKMPTDDSEQSSFTELFDYSGVFKPSPEKLIEYKTSPRYKRAREAVKDDGQKLKKIRRELKEFAMRGRSFGQDQSAKNVGSKQSQSNSKVGSDKGTVYNVTSQKSLKGKSSSGKAECGRKRGPRGSSTTSRRRVSPNSDIKHLVKIFLEKRPETEDKGKKTLVDLDEICLTWKDLECLRPREWLNDKLPDILGHRHGGKNSPGRKQGSEPINATVVLTSAVCVLDFNKRKVLIFDSNEPYIAEDHERRLEQIRQVLKFTQSMLSHPKFMAGDREFGNLTEWDYETPRSVPQQQNTYDCGMFVATFMMELHLYKYTLVDVNEGSRFQLATTMISHQLNKMRTRAIEFIKDELKLRRSRC >OMO52518 pep supercontig:CCACVL1_1.0:contig15517:7751:7885:-1 gene:CCACVL1_29201 transcript:OMO52518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLMKSWPIGIHTDDNIPHEYFAYSLEPESGFHFLQVVAGNGR >OMO52520 pep supercontig:CCACVL1_1.0:contig15517:11654:16259:-1 gene:CCACVL1_29203 transcript:OMO52520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRSTTNITCIPEDIIAQILTRVIKNSPTDFANATIAWKAAWEVNKSFNLFKNFDLAEIPPLPSRRVEIKLVIRCARNGNIDALFRYGLEIFFRGWCFEEISFFDIRRAYDGGHMAAGYMLGVILVFCCGASCMEEGIEILSDLKVERKSNPINEIWHYRSRGYHVLSHLRGVLRCQEYPVEDRNCDRCKRCKVHDITKAKGVCCPLPNRCSNQNEMRVHPEAWQFHIDIPDPLDGGGNLALVTIPERVGFALELRMHPLNSVVCRLVQMQATLLECATDPSRLIAAWKDSPLQLDLEHIHCRVKPVDLLIDAGEVKLFRALIDPDVDNSVIVDVSMKLLKNSGSLGYKVKMTSGRQMHLIEKEQDDTTEVTSKVSQKEEAVKVTVNPFGVIAVMIWNCKGYKQAQFHVGVREIVAKYHPSVLIITNSRISCKDGKQAIDPRPFKSYHVVEPVLGCGGALILWNADEVFVSFHMWNYVGFTTEVSSTPTPYIQLL >OMO52519 pep supercontig:CCACVL1_1.0:contig15517:9607:10636:1 gene:CCACVL1_29202 transcript:OMO52519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITQPNSTSYVTGRLVRYCAFNDWMSSTSHVILVPIKVGVSGFNYYGWAGVYHHHPVIFEYLLNVTRQQASFVRAFILPPIPSYVLQPLPLGVGSLFERVQNVFLYEGAWRLVLQMSSLQPQPRSSIYSKMYNRASQGDENLFLAIV >OMO97472 pep supercontig:CCACVL1_1.0:contig07237:855:962:1 gene:CCACVL1_04540 transcript:OMO97472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNFIGEEVDASGKYAGSAAKVLADGFSQSVLRD >OMO97473 pep supercontig:CCACVL1_1.0:contig07237:34192:35000:1 gene:CCACVL1_04541 transcript:OMO97473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTELSLASNQCLADKSCNSSSSDLSDYHIPSKKRKLLPDHLLHAAGSQFQTSVDLQVKDPLPLDWEQCLDLESGKMYYLNRKTLKKTWNWPKDQKLDLELNISPHSSTSSDQYYGGSMSQFEESNKQHSSSGTNMVALACLNCHLLVILSKSSPACPNCKYVHSLPALQAQPPKFSTIKSLNTLSLLN >OMP10536 pep supercontig:CCACVL1_1.0:contig02264:498:557:-1 gene:CCACVL1_00891 transcript:OMP10536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVEVKEFVSESHYRELHK >OMO92275 pep supercontig:CCACVL1_1.0:contig08241:2247:2369:1 gene:CCACVL1_06888 transcript:OMO92275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGQFLQRLCKKGEWYRWVKDSSWSNAKIKTVSMAGII >OMO78454 pep supercontig:CCACVL1_1.0:contig10585:6089:11545:1 gene:CCACVL1_14373 transcript:OMO78454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTSSSGLHQILRSLCLNSEWKYAVFWKLKHRARMVLTWEEAYYDNHDQHDPLEKNSLHDTLQNLQSGYYSHDPLGLAVAKMSYHVYSLGEGIVGKVAISGKHQWIFADKQVNSSCSSFEFCDGWLSQFAAGIRTIVVIAVVPHGVVQLGSLNKVYEDVKLVSHIRDVFSALQDSSVEHIASPIECSMKGSLFQPDLPTKLLDSDVIPLDKTVDKQGPDVLLPEFSYSRKYSDSSFVLPLSSNHPIEATKVGNKHEALQLSSAGSDESAKLLTPRPNISNLEHQNQLGRNLINNGACKGESAGWNHSILEPENVYANNPALGSINLLNVALQSEQYGVDPAYFSSNSLCSSHSDTVKLGSLSSYHHEVLDIPESSDMKIPKDLKKLGNQNGLSDLDPMNTPLKFSAGCELFEALGPSFMRKSIYADLGAENMEAGSNFGMLEGMSCSQLTFESGSENLLEAVVANVCHSGSDIKSERSFSKSAPSMLTTGNTPEPSTQTKHTINSSGYSINQSSLVEDNSQHCLNSSELCGAMSSKGFSSTCPSNCSEQFERSSEPAKNSKKRAKPGENPRPRPRDRQLIQDRIKELRELVPNGAKCSIDSLLERTIKHMVFLQGITKHADKLSKCAESKMHHKGAGIVGSANYEQGSSWAVEVGSHLKVCSIVVENINKNGQMLVEMLCEECSHFLEIAEAIRSLGLTILKGVTEAHGDKTWICFVVEGQSNRVMHRMDILWSLVQILQSKATS >OMO52037 pep supercontig:CCACVL1_1.0:contig15640:86356:92245:1 gene:CCACVL1_29410 transcript:OMO52037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAGKKKDNLRLDDWVLCRIYNKKGTIEKHFTSDSQQNWSDFPEMEDQKPNILMNGQNMTAMSQQQQQPSSMDMMNNDFIQTDASDSVPRLHTDSSSSEHVLSSPEIAYEKEVQSEPKWSNELNNAANAIDFQFNYMDGLIQDDLFGSQVQYQMDQFAPLQDMFSFLQKPF >OMO52031 pep supercontig:CCACVL1_1.0:contig15640:27230:31159:-1 gene:CCACVL1_29402 transcript:OMO52031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNILADVYDDGSGVCAAFLSNTDNKTDVNVVFRNVSYHLPAWSVSILPDCKNVVYNTAKVGSQASVVEMVPEELQPSVASPTRGLKGLKWDIFVENAGIWGVADFTNNGFVDHINTTKDTTDYLWYTTSITVSENEEFLKKGSGPVLLIESKGHALHAFVNQKLQGSASGNGSHSPFTFKSPISLKAGKNEIQLLSMTVGLQNAGGLYEWVGAGLTSVKIEGLNNGTLDLSMSSWTYKVCLGKSECSVELTEENFDKSLCPGTTKKLAIEAVCS >OMO52030 pep supercontig:CCACVL1_1.0:contig15640:9297:12629:-1 gene:CCACVL1_29400 transcript:OMO52030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ia MAMEGGKRRRNKLLEIESKVRVRWEEGDVFKAEAHEKPPQPGEKFFGTFPFPYMNGYLHLGHAFSLSKLEFAAAYHRLRGANVLLPFSFHCTGMPIKASADKLAREISKFGNPPVFRREDQEPNPKEESLSTQDEDANVGTPNNKFKGKKSKAASKSGGQMSQWEIMRSFGLSDSEISKFQDPDEWLRFFPPVAVEDLKAFGLGCDWRRSFVTTNINPFFDSFIRWQMRKLRSMGKIVKDVRYTIFSPLDGQPCADHDRASGEGVQPQEYTIIKMEVVPPFPPKMQVLEGKKVFLAAATLRPETMYGQTNCWVLPDGNYGAYEINDTEVFILTERAAKNLAYQNYSRVPQKPTCLVELTGYDLIGLPVKSPLSFNEIIYALPMSTISIDKGTGIVTSVPSDAPDDYMALHNLKAKPNFRAKFRLKDEWVLPFEIIPIIDIPEFGDMAAKKVCEDLKIKSQNEKDKLAEAKKLVYLKGFTEGTMTVGKYAGKRVQEAKPLIRTELIETGQAIIYSEPEKKVMSRSGDECVVALTDQWYITYGEDDWLKLVEECLSNMNLYSDETKRAFEHTLNWLKQWACSRSFGLGTRIPWDEDFLVESLSDSTIYMAYYTVAHLLQNGRDMYGDQTSADGKSADPDLIIEPAQMTDEVWDFLFCGGPYPDSSGIPSHLLNKMKQEFEYWYPFDLRVSGKDLIQNHLTFCLYNHTAIMSKDHWPRGFRCNGHITLNSEKMSKSTGNFKTLRQAIEEFSADATRFSLADSGDGVDDANFAFGTVNSAILKLTKEIAWMEEDILAKESSLRTGPPSTYADRVFENEINFAIKMTEQNYRDYMFREALKSGFHDLQAARDWYRLSCDSGGMSMNRDLIWRFMDVQTRLITPICPHYAEFVWGELLKKDGFVVKAGWPTGDSPDFKLKSAIKYLQDTIVKVREGLKKQIPGSKKKGAPVSSITEDKYVKGLIFVKEQFDGWRAACLQILQSKFDSKSGTFASDDDEEIFKALEEQKSTVGFGQAADFKHCTCMEFLSIKKKEAIKLGAQALDLKPPFGFEEIEVFEENLDLIKKQVRGLQEVQVISANDPAASAQAGPLAASLLQKQKTPLSPGNPIPIFLLSS >OMO52033 pep supercontig:CCACVL1_1.0:contig15640:53727:54849:-1 gene:CCACVL1_29405 transcript:OMO52033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDQVLHGTKKNVYNLWIELTEGESKISDTTPPICTVKEEPRLAKT >OMO52038 pep supercontig:CCACVL1_1.0:contig15640:94003:107383:-1 gene:CCACVL1_29411 transcript:OMO52038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MMVEDLGVEAKEAAVREVAKLLPLPELLQSISTIKADYITRQQANDAQLSTMVAEQVEQAQAGLESLALSQTTINQLRENFISIEKYCQECQNLIENHDQIKLLSNARNNLNTTLKDVEGMMSISVEAAEARDSLSDDKEIVNTYERLTALDGKRRFALAAVASHKEEVSKLSEYFEDVDRTWETFEKTLWGHISNFYKLSKERVVEMQEILDQQLAEEAAEAEGGGAMASVANPRRPGKKSTTSSASAKNLTQQKLKTQGKGYKDKCYEQIRKTVEARFNKLLTELFEDLKSALEEARTIGDELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSERANELTNIEILKVTGWVVEYQETLIGLGVDETLAQVCSESGALDPLMNSYVERMQATMRKWYLNILEADKVQPPKKTEEGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRIALAIIQVMIDFQAAEKKRLQEPASDIGLEPLCAMINNNLRCYDLAMELSNGIMEALPQNYGDQVNFEDTCKGFLEVAKEAVHQTVNVIFEDPGVQELLVKLYHREWSEGQVTEYLVATFGDYFTDVKMYIEERSFRRFVEACLEETVVVYVDHLLTQKNYIKEETIERMRLDEEVLMDFFREYITVSKVESRLRILSDLRELASAESLDTFTLIYSNILEHQPDCPYEVVEKLVALREGIPRKDAKEVVHECKEIYENTLVGGNPPKAASDQRWRKAMILPEKGSSSMMMNRVGSSILFTINGNVYPTGCYNVTINIGQPPKPYFLDLDTGSDLTWLQCDAPCVHCIEAPHPLYRPNNDLVPCKDPLCAALHPPGDYKCESPDQCDYEVEYADGGSSLGVLVRDVFSLNYTNGVHLTPRLALGCGYDQIPGASYHPLDGILGLGRGKSSIVSQLQSQGLVRNVVGHCLSGRGGGFLFFGDDLYDSSRVTWTSMSQEFTKYYSPGIAELYFGGKATGIKNLISLFDSGSSYTYLNSQAYQALTALLKKELSGRSLKEAPEDQTLPLCWKGRKPFKSVRDVKKYFKTLALAFASNSRSKTQFELPPEAYLIISNKGNVCLGILNGTQIGLQNLNVIGDVSMQDRMVIYDNEKQVIGWTPANCDQLPRSKAYYYM >OMO52029 pep supercontig:CCACVL1_1.0:contig15640:5865:7340:-1 gene:CCACVL1_29399 transcript:OMO52029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFTSWTDNGQRVGIEDLLNLRNGRVPFCHENDEVNEAIRGSQLIFLALEKSGTHQSSAISPIQNPDAPPIDPDHLVRSLYQGTGYQHTIISNPMIPSYGRLIDDLIRPNGVVIAPKSPNADVGALVELYRGWNPEGAIISNYPSRDVEFATLAHDVIFGLQEIALSLLRALADEAGVNNDVVMAIANIDSRLLVAPNLRSPIAVAEQGIMKNLSYFAELAAATKACNNSDITRYLNLATKINKDREVDCVNIMERIMWSLRGKTIAVFGFSCKEGSDNFCDSPSLHVCESLLQIPGTNLRINNPLVKTASIIGANGCLRRGDRVRVIEDRVETCSDAHAICIFHMAQSKIMNFQDAIGTRCMQEIDFSLTSVAAEILIIIRLLQLSVSILMLLVVCQCNCDIHKTLL >OMO52036 pep supercontig:CCACVL1_1.0:contig15640:74801:79998:-1 gene:CCACVL1_29408 transcript:OMO52036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 35 MRSMAWWWIGLVVVAVLSGGGAVEGEDEDGVTYDGRSLIINGQRKILFSGSIHYPRSTPQMWPSLIAKAKEGGVDVIQTYVFWNLHEPQPGQYDFGGRNDLVKFIKEIQAQGLYACLRIGPFIESEWNYGGFPFWLHDVPGIVYRTDNEPFKFYMQNFTTKIVNLMKSEGLYASQGGPIILSQIENEYQNVEAAFHEKGPVYVQWAAKMAVDLETGVPWVMCKQADAPDPVINTCNGMRCGETFGGPNSPNKPAMWTEDWTSFYQVYGGEPYIRSAQDLAYHATLFIAKNRGCYINYYMYHGGTNFGRTSSAYVITSYYDQAPLDEYGLLRQPKWGHLKELHSAIKNCSATLLEGVQTNFSIGQFQQAYVFEEANGGGCVAFLVNNDTTHNAIVQFRNNSFELLPKSISILPDCQNVIFNTAKVNTVANERITKSSKMFNADDSWEQFKDVIPNFLDTTLQSNTLLEQMNTTKDTSDYLWYTFSFQPNSSCTNPVLQIESFAHVAHFYFNNKFVEVGHGNHDTKNFSMDIPISLIDGVNNVSILSVLVGLPDSGAFMESKFAGLTKVGIQCSETELYEFTNYTWGYQVGLVGEELQIFEEENLNKVDWTKIDQDSTNQTLTWYKNVFDAPTGDDPIALDLSTMGKGEVWVNGQSIGRYWISFHTPKGNPSQTLYHVPRSFLKPSGNLLVILEELNGDPLHISLQTISVSDFDSKITITSFSNIS >OMO52035 pep supercontig:CCACVL1_1.0:contig15640:73331:74011:-1 gene:CCACVL1_29407 transcript:OMO52035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTTKFPTPESLSEWLKLHIPEEEMNTWGVKPVPPTPHCQLRTVNCVVVRIQRPDGTILLESSQEMSNGSKRQRNRPLSEKLKANENDVVATTLRAVKEKLGSAENHETCGSYPGLSTRYIVTYVDAFVGDLPEEEFYKAEDEYAEYGDEMAAKTVTVKKHFWKWFTNSDPLLFHA >OMO52032 pep supercontig:CCACVL1_1.0:contig15640:39536:40183:-1 gene:CCACVL1_29403 transcript:OMO52032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKTPNITFPTPESLSDWLKTRLQPDAFASWGIKPGTKNVYNLWIELTEGESKISDTTPPICTVNCAVVRILSQDNKKILLESHQELSDGSTRQRSRPLSEKMKEGKKIEDAASRAVKEELGGLGNLVMKILPDSCEIKEENRESGLFPALASVYVVHTVDAHVEGLGADVGEFYTEEEEYKDCGGLNGAVEKAVHVKKHYWKWVDLDHVVGV >OMO52034 pep supercontig:CCACVL1_1.0:contig15640:61151:61342:-1 gene:CCACVL1_29406 transcript:OMO52034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLNFEANSSYSSLSKFLKHRSYSRPLTSKPSRLAKGAITSSVCRIKFGLLSIEKRNSTLFI >OMP11820 pep supercontig:CCACVL1_1.0:contig00796:723:800:-1 gene:CCACVL1_00244 transcript:OMP11820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQSIRFSRGSIEAKTQQFRVSFPQ >OMO69442 pep supercontig:CCACVL1_1.0:contig12034:169:1505:-1 gene:CCACVL1_19509 transcript:OMO69442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEQEQPDVQCNPNPNPEFEEYFKELRRLKPTDPSYYGSLGGDTPPLPRDAWDKWCKHGSVICFAVEAARPEKDGIHTFYRVADMLKIYFGNPMDGSIYHVIDFDYYEYGRNPYADSKVGFILVGSRIYVLGGTYHRRSGPVDVYYCDVAAAAAAAAAANGNNKWEWVRGPDLNSFKAGNPLVFSLMGNIYVHATACDVLYNAATPPEELLSVVYTYDAKRKLWLRTITPFDYFKLSWIFNVDRVVDLGLLIGKTDEFLGLHVYDGCFELQGVVGHLGLSSALLMKGTVEEGTVCTAWHLFPLGLGGGQTQKYCFLAMYSFDDHRCPPKASHVRLGTFDLEPIDCDADGDGHAGFDYQHHTRKLRSHMYDDFQFSDAEKYFEDEKNDRIYY >OMO69443 pep supercontig:CCACVL1_1.0:contig12034:36259:36387:-1 gene:CCACVL1_19510 transcript:OMO69443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYLPFTNGIQSLVRRFRVSYLVVGSAQKPIGEERELRSEI >OMP06157 pep supercontig:CCACVL1_1.0:contig05052:13:219:1 gene:CCACVL1_01694 transcript:OMP06157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLEIQTKELMARRPEIDEIDHRHAYLQFQIDQLLIDVEAWNDFLPPRNEAENGGDKDGDGESKENS >OMO61642 pep supercontig:CCACVL1_1.0:contig13436:5820:12775:1 gene:CCACVL1_23352 transcript:OMO61642 gene_biotype:protein_coding transcript_biotype:protein_coding description:TRAF-like family protein MKQSSSEAVSSSSSHTPSLPDQSQPATSASVSENHHHHHHNNSKPLASISAADDLAGVGSRDGSGGAQETVTVDRRGEYSAVCRWTVHNFPRIKARALWSKYFEVGGYDCRLLVYPKGDSQALPGYISIYLQIMDPRGTSSSKWDCFASYRLAIVNLTDDSKTIHRDSWHRFSSKKKSHGWCDFTPSSTVFDPKLGYLFSNDAVLITADILILNESVNFTRDNNDVQSSLSSMISSSGVAGPVSDVLSGKFTWKVHNFSLFKEMIKTQKIMSPVFPAGECNLRISVYQSSVNGHEYLSMCLESKDTEKTVVSDRSCWCLFRMSVLNQKPGSNHMHRDSYGRFAADNKSGDNTSLGWNDYMKMSDFVGPEAGFLVDDTAVFSTSFHVIKEFSSFSKNGGLIAGRNASGARKSDGHMGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTSSDWSCFVSHRLSVVNQRMEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSTMQDFTDQDTESANTTPQIDRVGKRSAFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSVGSDPDKNFWVRYRMAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDMLEADAGFLVRDTVVFVCEILDCCPWFEFSDLEVLASEDDQDALTTDPDELVDSEDSEGISGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSGSSDGKKVSKTDESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEGGGHSDSTDANSKPSSEGCEASPLDCDRENGAVESAQFPVYERLDSCVDDGTTASAVQSSDMNGIDISGKAIPGQPISPPETSAGGSSENSSLRSKTKWPEQSEELLGLIVNSLRALDGAVPQGCREPRRRPQSAQKIALVLDKAPKHLQPDLVALVPKLVEHSEHPLAACALLERLQKPDAEPALRIPVFGALSQLECDSEVWERVLFQSFELLTDSNDEPLVATMDFIFKAASQCQHLSEAVRSIRVRLKSLGPEVSPCVLDFLSKTVNSWGDVAETILRDIDCDDDFIENCSAMACGFFLFGENGPSSERSHAVHEQAFCAGRHFSDIYVLIEMLSIPCLAVEASQTFERAVARGAIVAQSVAMVLERRLAQRLNLNARYIAESFQHGDAVVEGEVSEQLRVQRDDFTSVLGLAETLALSRDLRVRGFVKMLYTILFKWYVDESYRGRMLKRLVDRATSTTENSREVDLDLDILVILVSEEPEIARPVLSMMREVAELANVDRAALWHQLCASEDAIIRMREERKAEISNMVREKASLSQKLSDSEATNNRLKSEMRTEMDRFAREKKELSEQVQEVESQLEWLRSERDDGISKLTAEKKALQDRLHDAETQLSQLKSRKRDELKRVMKEKNALAERLKGAEAARKRFDEELKRYATENVTREEIRQSLEDEVRRLTQTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASLQEEMSRHAPLYGAGLEALSMKELETLSRIHEEGLRQIHAIQQRKGSPAGSPLVSPHNIPHNHGLYPTTPPPMAVGLPPSLIPNGVGIHSNGHVNGAVGPWFNHA >OMO92204 pep supercontig:CCACVL1_1.0:contig08251:2708:6920:1 gene:CCACVL1_06903 transcript:OMO92204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAQFFPAQLGLTLSIPNTDIIDSWVRDCSTALGGALPCM >OMO92205 pep supercontig:CCACVL1_1.0:contig08251:9944:13870:1 gene:CCACVL1_06904 transcript:OMO92205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQWNLSTSTANLRFVKNDHVHELVHH >OMO53957 pep supercontig:CCACVL1_1.0:contig15091:35419:37966:-1 gene:CCACVL1_28182 transcript:OMO53957 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MENTSNKPDKKFSSFQLHQQPPSSPPRPSPLTKIILVASIAAGIQFGWALQLSLLTPYVQLLGIPHKWASLIWLCGPISGMVVQPIVGYHSDRCTSRFGRRRPFIAAGAALVATAVVLIGFAADLGHAFGDSMANDMKPRAIVVFVIGFWILDVANNMLQGPCRALLADLSGNNQRKTRTANAFFSFFMAVGNVLGFAAGSYTHLHKIFPFTTTKACDVYCANLKSCFFFSILLLLTLTSIALSYVHEKPWSPEQGTAAGNGGGEIEDEEEEPAETTPVPFFGEIFGALKNLKRPMWILLLVTCLNWIAWFPFLLFDTDWMGREVYGGDSQGNNLVLKLYNNGVRAGALGLMLNSVVLGFASLGVELLARGFGGVKRLWGIVNFLLALCLGLTVLVTKLAKSTRRFATVNGVVVPLPPPSGVKAGALSLFAALGIPLAVTYSIPFALASIFSSSSGAGQGLSLGVLNLAIVIPQMIVSLGSGPFDAMFGGGNLPAFVLGAIAAAVSGILALTMLPSPPPDVPAAKAATAGFH >OMO53954 pep supercontig:CCACVL1_1.0:contig15091:153:9238:-1 gene:CCACVL1_28179 transcript:OMO53954 gene_biotype:protein_coding transcript_biotype:protein_coding description:transcriptional activator DEMETER-like protein MDALSDTSNAKFAHVTPDKATREERKKVTETEKIDVENRIEKGDEQANQLSTTTVDVNGLQCSKEHTQPGNESSFAATPTKENQIAENDGSTLVIFNIVHI >OMO53956 pep supercontig:CCACVL1_1.0:contig15091:32457:34422:-1 gene:CCACVL1_28181 transcript:OMO53956 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2A, regulatory B subunit, B56 MGFQRDSPSRNSPRNNSPRHSPKASPRKKTTLQYLFDLDSNSKPYIGSPLSSPSNGSRQYSSHLDSEYEEILSAISYCNFIFNFTNPLESPSQQDLKRLKLIQVMSLIKSSRKPLNEKLLSPLLSMVSANLFRPLPPSNSSNNSIIADLPDDEDVLSTFSPTWSHLQIVYDILLRLVLNVDPKSLKDYIDNHFILNLLYLFQSEDPRERESLKNVFHRIYSRFTFHRSFMRKAMNDVFLYYVFETERHCGIGELLEIWGSIINGFAVPLKEEHKLFLMRVLLPLHKAKGLQGYHRQLAYCVSQFVQKEPGLGGVVVRGILRYWPVTNCQKEVLLIGELEELVESIDPDHYRKLALPLCTQITRCLNSCNSQVAERALYVWNNEQFVKMALAAMEEVFPVVVEGMENNLKMHWSKSVKQLTENVKTMLEEMDPTLYERCLQEIEIRESKAQQEEIKRKQNWDRIEMAAKQKKFLQQPKYICVSH >OMO53955 pep supercontig:CCACVL1_1.0:contig15091:25747:31130:1 gene:CCACVL1_28180 transcript:OMO53955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACQAVANIFKFSLGPVTLDKVVAMSSSLVRGLPPKMNDLPPLTKSVIMNFEDMLQLAYPVAYPAGSSQ >OMO57836 pep supercontig:CCACVL1_1.0:contig14308:11600:13969:-1 gene:CCACVL1_25680 transcript:OMO57836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex subunit Sec15-like protein MDPKPKRRSVIENGDTGEDLVLATLIGNGDDLGPLVRHAFEMGRPEPLVHQLKHVVKKKEVEIEELCKTHYEEFILAVDELRGVLVDAEELKSDLASDNFRLQEVGSALLVKLEELLESYSIKKNVTEAIKMSKICIEVLELCVKCNNHISEGEFYPALKTVDLIEKNYLQNIPVNALKIPIEKKIPIIKAHIEKKVTTQFNEWLVHIRSSAKDIGQTAIGHAASARQRDEEMLERQRKAEEQNISGLGDLAYTLDVEELDEDSVLKFDLTPLYRSYHIHACLGIQQQFREYYYKNRLLQLNSDLQISSVQPFVESYQTYLAQIAGYFIVEDRVLRTAGGLLSADQVETMWETTVAKMASVLEQQFSHMDSATHLLLVKDYITLLGATLRQYGYEVGSVLDVLDNSRDKYHELLLDECRQQIASALSNDTYEQMVLKKDSDYESNVISFHLQTSDIMPAFPYVAPFSSMVPDCCRIVRSFMKGSVDYLSYGVNSNIYDVVRKYLDKLLIDVLNEVVLTTVHSSAISVSQAMQIAANISFLERACDFYLGHAAQLCGIPARSVERPQASLTAKVVLKTSRDEAYLALLNSVNSKLEEFMSLTENINWTAEEVSQNNNEYMNEVVFYLDTLLSTAQQILPLDALYKVGSGAFEHISNYIVSVFLSDSVKRFNANAVMVINNHLKMLENFADERFHSTGLSEIYKEGNFRGCLIEARQLINLLSSSQPENFMNPVIREKNYNCLDYKKVASICEKFKDSADGIFGSLSSRNTKQSARKKSLDVLKKRLKDFN >OMO57835 pep supercontig:CCACVL1_1.0:contig14308:9760:9939:1 gene:CCACVL1_25679 transcript:OMO57835 gene_biotype:protein_coding transcript_biotype:protein_coding description:glycophorin-binding protein VGSSNFANYNNKNSDNKKLETKSGGGAAAAAAALVIMGINLIIIIGNQTSAQRSYGLAL >OMO95235 pep supercontig:CCACVL1_1.0:contig07709:27833:34073:1 gene:CCACVL1_05483 transcript:OMO95235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRALVLLIIRQPVSSWTVLGRGRSCHSLASRFRLRPFRKHPTKWHCVSKPIDVWYSHFKELQSAMLASGGE >OMO95233 pep supercontig:CCACVL1_1.0:contig07709:12494:19005:-1 gene:CCACVL1_05481 transcript:OMO95233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNTSNRCQCDGQQQASNTFSSRLRRIFGVDGTTTKVAAFD >OMO95237 pep supercontig:CCACVL1_1.0:contig07709:37291:38902:1 gene:CCACVL1_05485 transcript:OMO95237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSVTNGNNNNPPPPSSSNDVASPSASSAIDFLTLCHRLKTTKRAGWVRRDVQNPESIADHMYRMGLMALIASDIPGIDRDKCVKMAIVHDIAEAIVGDITPADGVPKAEKSRREREAIDHMCKVLGGGSRAKEMEELWMEYEENSSSEAKILKDFDKVEMILQALEYENG >OMO95234 pep supercontig:CCACVL1_1.0:contig07709:20794:27291:1 gene:CCACVL1_05482 transcript:OMO95234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKRFIVVLLVACLLLSVTLTTVAGVLLYRGNKFCLKFLEDWLLAINQLIVFGSVLHPNLIKLQLGRASVLSVVR >OMO95236 pep supercontig:CCACVL1_1.0:contig07709:34423:35590:1 gene:CCACVL1_05484 transcript:OMO95236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEDEELDIGRYINHQNPYFVVKKSPKIRVNELAFF >OMO95232 pep supercontig:CCACVL1_1.0:contig07709:3852:3974:1 gene:CCACVL1_05480 transcript:OMO95232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHQFSIGGSVQMKANTQAHKRGSSTRARQHQIRQNKTAP >OMO72794 pep supercontig:CCACVL1_1.0:contig11369:30527:30844:-1 gene:CCACVL1_17587 transcript:OMO72794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVAVVVHSSKASESPDRNHVIKDDTITTLQQNPKFESLYNQLGLIAETRKLATKMLISLAAKSSNVCSSAEERASREFLETFDGGNNESGAQDGDTKSELAKS >OMO72795 pep supercontig:CCACVL1_1.0:contig11369:35980:40814:1 gene:CCACVL1_17588 transcript:OMO72795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEASGTEQTHAETMQENVEELLEAARYDDIDDLIRLASTGVSLDSKDLQGRTALHMAAANGHLGIVEYLIGNGVVIFPTLNFDLFPKARAALAPGELDFIFPFELGDVNASNMEKNTPLHYACLSGHVEVVKKLVQSGASVSLLNSHERTPIDEAMSMGKMDVIDAINETMAELELPGVNVS >OMO72796 pep supercontig:CCACVL1_1.0:contig11369:49414:50534:-1 gene:CCACVL1_17589 transcript:OMO72796 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, lateral root primordium type 1 MANDQINGPNAATALGVGVGVGVIPLLAATPCLAPQNVEDPDLLNNNGRNKLSGMQLWQNQNSSPHNYLKKPSSLPDSNNSCSMNLIQSSGGGGMGGGSGGSGSSSGTTCQDCGNQAKKDCSHRRCRTCCKSRGFDCHTHVKSTWVPAARRRERQLMAAAVVTPAAAGSSASTSGAKKPRLITSQTTTTSHTSTSNTTPPRSFDTSSSHQDVGFKESLPGQVRAPAVFKCVRVTAVEDGEDEYAYQAVVKIGGHVFKGFLYDQGVEGREGFPNMSELHLGGGGGGPGGGRNGGSSSSPVLDPSEVYAATGGGLLGGSSYGNPIN >OMO72793 pep supercontig:CCACVL1_1.0:contig11369:28240:28392:1 gene:CCACVL1_17586 transcript:OMO72793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQTPYARKVASVGNHASAEKTHFASKLASADEINLCWENVFCWQLCLN >OMO65776 pep supercontig:CCACVL1_1.0:contig12621:33564:36643:-1 gene:CCACVL1_21403 transcript:OMO65776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTQKISSPPPPKKPKQSFSRRIVRITVTDADATDSSSDDEVISTKENHKQPKKKLVNEITIGMSKEESVKTPRPSQGKAKRYRGVRLRPWGRWAAEIRDGRGTRYWLGTFDTAEEAAAAYDQAALQLRGPDAPTNFKLLNCNAVQSSKLFKQNSLNIMEQFTRIVMRLSSDPGGKYYTTYTCSPPVSSQTKATLTLNSFEAGGDGGGPSECDNQYHSDDDPVVALSTGWFNHKKRCFQYINIYGNGKSVRAKVVDECDSTMGCDSDHDYQPPCDNNIVDASKAVWKALGVPQDQWGGMDIYWADADD >OMO65779 pep supercontig:CCACVL1_1.0:contig12621:41339:41695:1 gene:CCACVL1_21406 transcript:OMO65779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MNLYKKANELSTLCGARVAIVTISEKGELTMYPNSETVIQRYLTWKKRTGKSNSAGSSKGLKKLRVKKLQKGEKKQPVVEAAAAELTQTRDQVLNVAAEEGGTMAVMDLNQDLNSKFD >OMO65778 pep supercontig:CCACVL1_1.0:contig12621:40239:40631:-1 gene:CCACVL1_21405 transcript:OMO65778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MDEGEASTSNSIPQRRKGCGRRKIEIKKIDNQRKRWVAFSKRKKGIFKKAAQLSMLTGETIGVVIISEQGRVYTSDNIDSVIEQFLSIKEYQAADESLKIKEAGEVLTKMKGDKCLALFDLNKTPDEQFN >OMO65773 pep supercontig:CCACVL1_1.0:contig12621:12576:13837:1 gene:CCACVL1_21400 transcript:OMO65773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMMNPIEANGNLFQTQMGYGVPLSGTTTAVAAAAEGLLPLYNSLVTADSVIHQQKPAAIKSESTLTYNNNILPLSRKRSRDSINPILSFPSLQQQQQQPHNNNKTSPCSPNYSFLGHDISLHMEQQQLDIDHLISQHMEKVRMEIEEKRKRQARKIMEAIEEGVMKKLRAKEDEIEKIGKLNWALEERVKSLCIENQIWRDLAQTNEATANALRTNLEQVLAAQVKDERTRGLGLDDAAAAAADEVDDAQSCCGSSWEVERRTLAVDGGDKKILDNYNNELENGTSSRLCKNCRKEESCVLLLPCRHLCLCTACGSTLHICPICKSTKNGSVHVNLS >OMO65780 pep supercontig:CCACVL1_1.0:contig12621:42316:48287:-1 gene:CCACVL1_21407 transcript:OMO65780 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MEGLHPLPDPPNSLLVRSPAAKRTRGDESTSTNGLGTQQQFGKPRGENTISFKDAILKQNYLLKLTWEEVLEDDTEDTDMGGNIWDCWDSEKERSKWPQLKVSKEEHESLCQPWKQTLIVKVLGKSVGYNFLLNRLRQIWNLQQDFSLMDLDNDYFLVRVRNPDDYNTIVSGGPWIVVGHYLTMRKWKPLFRPCQASITSTTVWVRFPGLPIEFFNRAKLTEAGNLLGRTIKVDKPTDSSSRGKYARVCVEVELGKPLIGGIMVGPFWQKVEYEGLDEICFDCGIYGHKTSECHQRIFKANNETTTPHESDVAVVENQGHNQEDPHQKPQFGPWMLVQKRNKKPPNKGVVSSSRTGTTGEGSRFAPLETIGEEDAGNSGKTPVDNDALETHQAQMRNELKSKSSASIVKPKPTKGKSKALSDITNGKPNQEKPMRQTGVKISEPSVNNITPDNNKFSIGNQQANPTIYKKTTRVKSRSVVPTPTNLDLSKVPVPPAFSNIPYPSSTLSPSSSTVNPSSIPSPPTLESNPPKVNTNEPADNEIVMRMEPNPNAPPILVETSISLPLRWLRRVDSKVWTESADSIDNPLYNSQFPMKILLWNVRGAGNNDFLRNIRELIRSHRPSLVGIMETRVSNERADDIIRRVGYQNSTRVDGLGFADGIWLLWNEEEVDVTVEHSNFQSITVNIRRKEGNLRLTTVYGSPTPSNREQLWDTLKELGESSNDPWVVGGDFNEIATASEKSNFKDSDCHRCRRMQEALQACNLIDLGFIGPKFTWHKSPNSLLSSWENHDAPIQSKLTKFAEVVKKWNKSSFGNIFQKKKRLLARLRGIQKARCNGENPFLVALEKELQHEYSKVLRQEELLWFQKARTKWIQSGDRNTTYFHTLALVKRSRNRIRSLKDSEGNWVNDQAVIKRMAVQHYKTLYTESLEGSLRPDISVTFPRLEVNEIEELHKPVDDDEVKEAMFSIGPHKAPGPDGFQARFFQKNWDTVGEAVTKSVKEAFSSGEVPLEMNKTLITLIPKVKSPESMNQLRPISLCNILYNLITKIIVNRLKQILPKLVSPMQSSFVPGRQIVDNIVVVQEMLHSFKKRKGKTGALAWKIDLEKTYDKMNWEFVRETLMEIGFNSTLLKLVMNCIQTTSFQILWNGEATEEFKPKGDYDREIPFPHISATPCQTKIVMVALKEFNLWTGQSVSLAKSKLCASKNTRKMDAKNLSNQTGIPLTSDLGKYLGVPFIHGRVSKKTYWHIVERVQEKFASWKINHLSLAGRTVLIKSAASPVPIYTMQTARLPLSVCDEIAKRSRGFLWGSSAEKRKIHLVNWEQVCMPKNQAGLGFRASRDVNLALLAKMGWRLMEENDKLWARVMTSKYLRKKEFLKVENKNGGSFGWRSILAGRNIVKGAQMESGIMHNRNRVVRNLTEDPSCKQCGAANENTLHVLRDCPHAKEVWNHWVGASDTNFFRCSEAQWWNANLIDQKNRLIGDWPWSLIFAVTAWRIWKWRNEGCFANKSYTVSTKLAIIGKILKEAIDFSNRKMGQHMRREVLIGWEKPKEGQVKINTDGSWFQRTNEAAVGGVVRGSCGEWLLGFSQSVGECSIDLAELWGILQGLSLAWSRGFNDIVVESDSATSVDMIKKGVNKNHPHFCIIAAIQDYLSKEWTCQLHYIPREKNFVADWMAKNSSDRHEGIMIYNTPPAGVLNLLIADAVGVAFPRMVT >OMO65775 pep supercontig:CCACVL1_1.0:contig12621:29825:32215:-1 gene:CCACVL1_21402 transcript:OMO65775 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding HORMA MERKDNQSPQGQIARILVEFLEVAITSVVSLKGIYPSGAFERSRYMNVVVQKARHPQLREYIHSAVSGLLPFIQKGLVERVAVIFFNNDNIPVERFIFKLTVNQSFGSMVEEGDLEFSLRSFFIKLPVSQPLTKVLPRDCRWEITAYFRSLPEVSNSKDTELWIPTDTKQWQQPPLITPIKSMNSEPLGVQLYVEHPSLSEPKSEKETPTAS >OMO65777 pep supercontig:CCACVL1_1.0:contig12621:38014:39595:1 gene:CCACVL1_21404 transcript:OMO65777 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pollen Ole e 1 allergen/extensin MALTRLPLAAFSLLLLSLLVIASADDYGNYDDSSKYGYDGIPAGSPQSKPEDEEKPNNYGTKPDTYKAKPEEKPTDYDTKEDNYNKPKPEGEAKPNYGAKPDTYEAKPEVEVKANYGAKPDTYKPKPEEKRTTYETKEYNYKLKSEEKPGYDTNAKPDTYKPKPEEKSTTYETKDYKPKPEEKSEEKPTTYETKDYKPKPEEKPEEKPTTYETKDYKPKPEEKPEEKPTTYHETKDYKLKPEEKPTTYESKDYKPKSEEKPGYETKPKAEEQDYMPKPQEEAKPNYDAKPDNYKPEPVEKPAHDKKPEGDYNYKPKPEGESKPSYSTKPAEKPVYDNKSGDEYNYKPKPEENDKLLSISVGGTVLCKSGSNYKPIQGALVKVTCQVVDVIGLEKILPVCSIPTDSNGYFFETLSSLTHLLGTTLKLKGCKAFLQGSPLETCNVPTDVNNGISGAHILNEKQTMLYNVGPFFYTPAPQSVPANTNGY >OMO65774 pep supercontig:CCACVL1_1.0:contig12621:27933:29114:-1 gene:CCACVL1_21401 transcript:OMO65774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRSILSLSSSTIPCQKSDPLFVSVRQISSGGSRPKKKIYHRDHQLDKVMDLQKKPSLILQLKSIIQSQNNQCLLLRDLEKEVGLVAKWNFMSVIEKYPSIFYVGGGSGKELPFVTLARKAEKIASEEGEAWNLMEPILVKNLRKLLMLSVDCRVPLEKVEFIGNELGLPQDFKKSLILKYPEYFSIKDVNGRAYLVLENWDSSLAVTAREERFAREGVLQSAGGPKKVRITKDGNYVGPFAFKMCFASGFRPNKSYLEELQKWQKLEFPSPYLNARRFDVADPKARKRVVAVLHELLSLTMEKRMTSAQLDAFHSEYLLPSKLVLCLIKHHGIFYITNKGARSTVFLKEAYDGTRLVDKCPMLMFNDRFIALSGRKEISSSDSVNYSQVVT >OMO89547 pep supercontig:CCACVL1_1.0:contig08679:10836:15491:1 gene:CCACVL1_07777 transcript:OMO89547 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component Sec10-like protein MKERSKSSSASNLPLILDIEDFKGDFSFDALFGNLVNELLPSFQEEEADSADGHGIGGTDALPNGHIRGSSDATKFAQGLSAPLFPEVDALLSLFKDSCRELVDLRKQIDGRLYNLKKEVSTQDAKHRKTLMELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYLMEFNSSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIARGVPSVVGSASASRGLEVAVANLQEYCNELENRLLSRFDAASQRRELSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDTRLVIGEQGSQASPSNVARGLSTLYKEITDVVRKEAATIMAVFPSPNDVMSILVQRVLEQRVTALLDKLLVKPSLVSPPPMEEGGLLLYLRMLAVAYEKTQELARDLQAVGCGDLDVEGLTESLFSAHKDEYPELEQASLRQLYQAKLEELRAESQKVSESSGTIGRSKGAAVASSHQQISVAVVTEFVRWNEEAIFQMHFIFFSGNNSSAN >OMO50071 pep supercontig:CCACVL1_1.0:contig16324:48269:49366:-1 gene:CCACVL1_30659 transcript:OMO50071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVIQDQSPVSHHLPQETTAKTTTTTPPPPSDDAKSPSADSDSSLINNNNNNHNPPPPGSRKCKGKGGPDNNKFRYRGVRQRSWGKWVAEIREPRKRTRKWLGTFSTAEEAARAYDRAAIILYGSKAQLNLQPSIPSSSSSSSSSSSRGGGGSSSSNTQTLRPLLPRPSGFAFSFTSSSSSIALNNILPAHHHHLQASLMAATGVSSSSRYIPYGVSVYQPNILNPAVVYPNMIQNSNNTQQAFHQMVQQQAELVNPCHVDPTETITSYSNPNCTQIVQQQQQQQQHHQGSTLYEDINSLVGSVGSSLSLSGQTSVGPVVPDPAGFTVGPGSPSVWPLTNDDEYPPACIWDYADPDPNFFDLDF >OMO50068 pep supercontig:CCACVL1_1.0:contig16324:29585:35662:1 gene:CCACVL1_30656 transcript:OMO50068 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MVNWILLWSIITLPVATIILFASKIVHSRTNKKRAVGFFHPYTNDGGGGERVLWCAVKAIQEENPDLDSVIFTGDHDASSQSLMSRATDRFGVHLLYPPKVVLLNKRKWIEEKTYPHFTMIGQSLGSVYLSWEALTKFTPLYYFDTAGYAFTYPIARLFGCKVICYTHYPTISLDMISRVRQRNSMYNNDASIARSTWLSQCKIIYYTVFSRMYGMVGSCTHLVMVNSSWTQSHIEKLWRIPERTKRVYPPCDTSGLQALPLEREVDNPKIISVAQFRPEKAHGVQLEAFSVAISKLDDDCPRPKLQFVGSCRNKSDEERLQNLKDKAVQLSINGDVEFHQNVMYRDLVRLLGGAAAGIHSMIDEHFGICVVEYMAAGAIPIAHNSAGPKMDIVLDEDGQQTGFLAQTVDEYADAILKIVKMPESERLKITAAARRRASRFSEQRFYDDLKAAIRPIIFADSDRLAANNSIEGSEDSERPVSDNQVAAVNPASLDSPRGNGQLQNNSDNEPPSQASEASNGSLDLSNVKQKASPSPDLQSTTKKAPLTAKERLRAARVLSRYAESKPAKSEMGSKVLDALRESDKGKKRSRLPEAPTNLFDDSKRGLPKPGLTFQFPGGRQSGLSI >OMO50073 pep supercontig:CCACVL1_1.0:contig16324:71805:72806:1 gene:CCACVL1_30661 transcript:OMO50073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFRQLHTKSLNTQSTRKVLASRFFAAAAQNFTADEPFPDEPTSAYYDEQVNKAGRNGDLETVGYLLNKRYRDGCFNTVNTFKFLTDNESSLALIDPLIQTLSRLDKGYTRKQAFDLLIARLCKLERTDEALRVIDTMAKEGFGVNAACFQPVLNTLTRKKKMEEAWRVLDLMRHAGVSPDVTAYNYLLKVFCFEGNLTQAVAVVKKIEAEGLVADGRTFDALILGASKAGKLAGAMVLVRRMMDGGLHVQYSTIANVINGMLRLGYFDQAVRFVMAFKGRDRKLDEESLGMLASKLINLNKKDEAMVLLNEMSKMRLIMGTKLFEFYKSNA >OMO50076 pep supercontig:CCACVL1_1.0:contig16324:80921:82993:1 gene:CCACVL1_30664 transcript:OMO50076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MESALPTLMASSSAFFQSGSLLKSLIHVSNEVTSMEKLPFIQVRNISTMIRRIKLLSSLFEEIEDMNTPLPPSSVLCLTELFSVIRRVKVLIESCKDGSTLWGLIQTQVISNQFYVLVKEMGRAMDILPLSLLNITTDIKEQVELLHKQAKRVELFVDPKEIQTREKLLQVMASNNEKNRKNYHHHQSFIDIETVKEVLSSIGMRSPLDYEEEISKLEAESQKQAERCSSSSNASELEASNSKKKASEKAVDHISATKAAMDAVKMTAEFLVGKLAMGSPDIQRQAAYELRLLAKTGMDNRRIIAEAGAIPFLVTLLSSNDARIQENAVTALLNLSIFDNNKVLIMAAGAIDNIVEVLESGKTMEARENAAAAIFSLSMIDDFKISIGAGPRAILALVGLLREGTTAGKRDAATALFNLALYSANKARIVVSGAIPLLIELLMDDKAGITDDALGVLALLLSCSQGLEAVKKSRVLVPLLIDLLRFGSAKGKENSITLLLGLCKDGGEEVARRLLINPRSIPSLQSLSADGSLKARRKADALLRLLNRCCSQTHNPVG >OMO50072 pep supercontig:CCACVL1_1.0:contig16324:58239:59585:-1 gene:CCACVL1_30660 transcript:OMO50072 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MGSLVHVKEATIVTPSESTPTRVLSLSALDSQLFLRFTIEYLLVYKAHPGLDQRATTARVKAALAKALVPYYPLAGRVRAKPDGSGGLEVVCRAQGALFIEAGSDHSVIEFEKAPRFVTQWRKLLSFHVADVLKGAPPLVVQLTWLKDGNAALGVGFNHCLCDGIGSAEFLNSFAELAASSSTKFSEFKFKPKPIWDRHLLNPASFKPTRNNNSNINNNSLSHPEFNRVPDLCGFLARFSNERLVPTSFIFNKTSLNELKKVAISTSRLSELNYTSFEVLSAHIWRSWARALNLPSNQTLKLLFSTNVRDRVKPSLPSGYYGNAFVLGCAQTSVKELTEKGLGYATMLVKRAKERVDSELVKSVVESVSQGRASPDSVGVLILSQWSRLGLDKVDFGMGRPVNVGPICCDRYCLLLPVFNQTDAVKAMVAVPTTAAQRYEHLVRSFCS >OMO50067 pep supercontig:CCACVL1_1.0:contig16324:11685:21545:1 gene:CCACVL1_30655 transcript:OMO50067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPIAMKEVLTLPSIGINPQFITFTNVTMESDKYICVRETAPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEMKTKMKSHQMPEQVVFWKWISPKMLGLVTQTSVYHWSIEGDSEPVKMFDRTANLVNNQIINYKCDPSEKWLVLIGIAPGSPERPQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSILISFASKTFNAGQITSKLHVIELGAQPGKPSFTKKQADLFFPPDFQDDFPVAMQISHKYSLIYVITKLGLLFVYDLETASAVYRNRISPDPIFLTSEASSAGGFYAINRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLYVRALQHYTELPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQVAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVQEQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLEVIRASEDADVYPDLVRYLLMVRQKVKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLQNVGDRLFDEELYEAAKIIFAFISNWAKLAVTLVRLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNVIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPEAWDHMQFKDIAVKVANVELYYKAVHFYLQEHPDLINDMLNVLALRVDHTRVVDIMRKAGHLRLVKPYMVAVQSNNVSAVNEALNEIYVEEEDYDRLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDKLYKDAMETASQSGDRELAEELLVYFIEQGKKECFASCLFVCYDLIRADVALELAWMNNMIDFAFPYLLQFIREYTGKVDELIKDKIEAQKEVKAKELEEKEVIAQQNMYAQLLPLALPAPPMPGMGGGPMGGGFAPPPPMGGMGMPPMPPFGMPPMSGY >OMO50066 pep supercontig:CCACVL1_1.0:contig16324:6861:8698:1 gene:CCACVL1_30654 transcript:OMO50066 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MSLSLMVPTSPIFSPKKVSPIFCKSDGSSFIPSPRITASSSHHHHQPSLSPSTSLPLSSPKSPLPFSSTSVTTPLSPSSSLNAPFSFCGPKQPQLSSLGTDVASTPSVVKRKRPMRLEIPTPLSLQLGFAAVTPRGQEEVQVEGDGYSVYCKRGRRGKMEDRYSAFVDLNGDSKQGFFGVFDGHGGSKAAEFAAKNLEKKVMEEVSNGCGIEDAIKVGYLTTDMDFITEDLNGGTCSVTAMIHEGDLIVSNAGDCRAVLSRNGVADALTSDHKPSREDEKDRIEASGGYVDCCHGVWRIQGSLAVSRAIGDKHLKKWVISEPETKRLKIQPECEFLILASDGLWDKVTNQEAIDLVRPYCIGVDKPEPFSAWEEDLFLADITQKILLHLQESSSILNQEVASIELESSMNNGIDYGTLKHSQSSC >OMO50069 pep supercontig:CCACVL1_1.0:contig16324:36880:39961:1 gene:CCACVL1_30657 transcript:OMO50069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCYHSTWPDSENWVDFNSTPSNESSFIFPWSIEQPQFSASSSVQYCQANFPSWEIPIEGIAEDKATAVSKSHSQAEKRRRDRINAQLAALRKLIPKSDKMDKAALLGSAIEQVKDLKQKATEVSKIFTVPTEIDEVTVDCDDVPNITRQNNEDKIFIRASVCCDDRPEVFAELIRVLKGLRLSTVKADICSVGGRMRSNLILCNSNNEDQCVSVINGGSTDRVKGSWSPQEDANLIELVEQHGPRNWSVISSGTPGRSGKSCRLRWCNQLSPAVQHRCVGRV >OMO50074 pep supercontig:CCACVL1_1.0:contig16324:73647:74393:1 gene:CCACVL1_30662 transcript:OMO50074 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MNLRGPPHSSSGGGGGREDCWSEGATATLVEAWGDRYIRLNRGNLRQKDWQEVADAVNSRLNGAKSRKTDVQCKNRIDTLKKKYKLERSKPPPSKWPFFKRIDSLIGGNASSNKKHHSLTFTITPKAKSFLKGPKLEESSFEEEEEEEDGDDDEIRKEHRVEDVGLSDGAACRELARAILKFGEIYERIESSKQQQMMELEKQRMEFTKDLEFQRMNMFVDAQLEMQKSKRQRNLTRSGFHQKDTKFL >OMO50075 pep supercontig:CCACVL1_1.0:contig16324:75842:76360:-1 gene:CCACVL1_30663 transcript:OMO50075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHEPRSSSSCAACKLLKRRCTPNCIFAPYFRSDEPKKFAKVHKVFGASNVSKILIEVPEEQREDTVNSLAYEAEARLRDPVYGCIGAIALLQRRMIELQHDLALARARLARYAATTTSPAAIISNDRLSMAPFGEFPAACGGFIDSFYQTSSEVLNQEANMYDFSQIPYI >OMO50064 pep supercontig:CCACVL1_1.0:contig16324:164:964:-1 gene:CCACVL1_30651 transcript:OMO50064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MGKLLMKKNNNSSKKDETRPLLLKAEEESEEEENYFFNKNELQGKLQMINNNNSYKKVETLVPPILEEDSSHKKAETLSVSEEDKKENYFPSPIINNNSHKKAETLSILEEEEEEDNYFSASEEEEILSDYYEAEDSFYVCQICFESNSLYDSFEVKGCSHFYCTECIINYIKSKLEDNVTLIPCPDVNCEGRLDPDFCREILPLSLFYRWGTALCESAVTSHEKFYCPYKDCSALLIKDDHQLLLNEGLTNFLCPICNRNFCLQCK >OMO50065 pep supercontig:CCACVL1_1.0:contig16324:1569:2011:-1 gene:CCACVL1_30652 transcript:OMO50065 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress induced protein MTSEQHRVELHRRAKEGETVVPGGAGGKSLEAQEHLAEGRSRGGQSRKEQIGTEGYQEMGRKGGGLGTNEKSGGERAAEEGIPVDENKFKD >OMO50070 pep supercontig:CCACVL1_1.0:contig16324:44421:44918:-1 gene:CCACVL1_30658 transcript:OMO50070 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MASSSQDSQPFHWHYSSELEDRDFEIRGRTLFFIIVLFSIVLVFTLIFVYARWVCSYYRDTPPQTSHAPPPPPPRPRGLDPDSINALPITLVTRGKAAAAAAQLETECSICLGVFEDGEKVKVLPSCNHSYHSECVDRWLSAESSCPLCRASLRVDSQLSVIVTQ >OMP07143 pep supercontig:CCACVL1_1.0:contig04637:1020:1130:1 gene:CCACVL1_01367 transcript:OMP07143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHERLWDPPEGSFWGVNVPLFGRRARSRPLRSLPEK >OMO74790 pep supercontig:CCACVL1_1.0:contig11087:9144:13479:-1 gene:CCACVL1_16467 transcript:OMO74790 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate/Phosphoenolpyruvate kinase MATNNNSKLEKLASIDAQLRQLVPAKVSEDDKLVEYDALLLDRFLDIVEDLHGEDLKETVQELYELSAEYEGKHNPKKLEELGNVLTSLDPGDSIVVAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSATTESDIEETLKRLVVDLKKSPEEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETVWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCSDELRVRADELHRSSRRDAKHYIEFWKQVPPNEPYRVILGDVRDKLYNTRERSRQMLSHGISEIPEEATFTNIEQFLEPLEVCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITRHLELGSYREWSEERKQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFHVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAALARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMHPPIPPKPEWRALMDEMAVVATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIQKDIKNLRVLQEMYNNWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSPELWSFGERLRTNYEETKSLLLQIAGHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYNVKLRPHISKEIMESSKPADELVKLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >OMO74789 pep supercontig:CCACVL1_1.0:contig11087:3669:7101:-1 gene:CCACVL1_16466 transcript:OMO74789 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI mannosyltransferase MSLSTRQRRATLSDLPSSSSPQPESYMKVDKPRRSSSSDGQDESGNDRGLGWFTVFFALGMLRYMSATSNIIHDCDEVFNYWEPLHYLLYKSGFQTWEYSSQFALRSYLYIIFHELVGRPASWLFADDKVRVFYAVRLFLGFLSVISDATLVVAVSRKYGKRLGSYALAMLCLASGCFFASTSFLPSSFSMYAMSLSSGLLLLEKPAWAVAVAAVGVILGWPFSILAFLPVALYALVKQFKQAFVSGVVTSITLLALSLLVDYYYYQRWTSSVFNLLVYNVVGGGESHLYGTEGPLFYLRNGFNNFNFCFILALLFLAILPIARKKYSPDLLIVVSPLYIWLAFMSLQPHKEERFLYPIYPLVCVAASAVIESFPDLFRDKYNPYDNSIIVMIAKILRPVALSLILCASHARTFSLINGYAAPMEVYKILEHHDDAGTGSVLCVGSEWHRFPSSFFVPSYVGEVRWIDDGFRGLLPFPFNATLGGTAAAPPYFNNKNKASDEQYLRDLQACTFLVELQLSRPHPYRGNDLSAWEPIAALPYLDRELSPAKYRSFFIPYLWQQKNVFGMYKLLKRVPKPT >OMO51415 pep supercontig:CCACVL1_1.0:contig15841:2706:4121:-1 gene:CCACVL1_29807 transcript:OMO51415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVPIMRIVLGNDKKIGRKGKWLSSIKKAFSPDSKDKKNKGSRPVGGTSAGMTSSSTQMVSKQTPSSLR >OMO51416 pep supercontig:CCACVL1_1.0:contig15841:4867:5825:1 gene:CCACVL1_29808 transcript:OMO51416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWKAISFIKSTQQMSDSLLLLKRKKAA >OMP11035 pep supercontig:CCACVL1_1.0:contig01662:170:1321:-1 gene:CCACVL1_00713 transcript:OMP11035 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-quinone oxidoreductase, subunit D MIVNMGPHHPSMHGVLRLIVTLDGEDVVDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITVNGPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELVYDPFEAATGMRMMHNYFRIGGVAADLPYGWIDKCLDFCDYFLTGIVEYQKLITRNPFFLERVEGIGVIGGEEAINWGLSGPMLRASGIKWDLRKVDHYECYDEFDWEIQWQKEGDSLARYLVRISEMTESIKIIQQALEGIPGGPYENLEIRCFDREKDPEWNDFEYRFISKKPSPTFELPRQELYARIEAPKGELGIFLIGDQSGFPWRWKIRPPGFINLQILPQLVKRMKLADIMTILGSIDIIMGEVDR >OMO87134 pep supercontig:CCACVL1_1.0:contig09317:6560:12065:-1 gene:CCACVL1_09249 transcript:OMO87134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIGPQNDGLELQGVELAMASTSLSTDDGDYPAVKLFGSKSHRAKISIIDNISGIIKPGRFFRQFILYFCMQLASISMFRCLASVFQTMIMFTVKLY >OMO87135 pep supercontig:CCACVL1_1.0:contig09317:27569:28576:-1 gene:CCACVL1_09250 transcript:OMO87135 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, AAA-type, core MGRRNGVELASIPPPIDGGNAERFGEGKQHVDFRKLGAQESHIFIERLIKNIEKDNLKLLQKIRQRLDRVGVKLPKVEVRYRNLCVEAVCDVVDGKPLPTLWNSLKAVISYPAGKLFGSKSHQANISIINHISGIIKPGRMTLLLGPPGCGKTSLLKALSGNLNKSLKPQGQ >OMO87133 pep supercontig:CCACVL1_1.0:contig09317:2040:4316:1 gene:CCACVL1_09248 transcript:OMO87133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAADPEDQPDANQISDPVLPLQQDESPKTLTLETVQPQDKDKPDDQDDPIEEEANLDDPDLTVPSSPTSTDLQVTTTSTISTATSAVGSRRGGGPKRKTTKQRFQEKKSQKKLEVLTETLKPIPFVPNKDLDFLSHETLLKRLGLWDFVNLEFDPNVRSDLIAQLIATYDPKARRSYVNGCRIGVNRADLARALMLKKDKDKDSAMEVEESKESIAFIEDFVSNWVLLHEDTWMMPVEVLNWNKIIKEGRFEKVDWAGLIWFMVEKELSAPKLGNCYYASHMQCLIKHQKEELLQEEPKMDVNEAKEEEEERNIHGDVKRDADGIDEVHGGSQLEEHNIELSLGGQDNLMNKDDDEKEIVGGEDDMDCEETKVDEHQNVQWHLNGDSYNMSVDEGHGHFLRQCNLGDISAVDLEEEKKQDQREEGDREDMDEMGEGEEEENLEEEEEEEEQEPRQEEGFAISPKADAFEGVNSTNLLEAMDTADLPLTGMQIRDISSAEFLASRGDTQTVPGVSSFLGNGNKREIGHDNDFHHSPNGSSKRLRTDGLWADKSSDFEICMEQMQQCMAKARMLYAAKDQAYADSSMHQQMLVDELQRKDSIIGYLQKSKYEEQTKRQVEVYRLERELFMMGNLLSGYRKALKETNRAFAEYRARCPLSEEQPLYKDVSGSGGLVVSSTELEKMRLKQEEEDRLNKLLIEKKIKDFEAGWIGKFDAHKDAVSLLSTRLIDAENDVKLLKESSANRKAQDTPECVPEES >OMO54515 pep supercontig:CCACVL1_1.0:contig14977:2820:5545:-1 gene:CCACVL1_27756 transcript:OMO54515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRHIKGGGSLCTYAKMTLIIMGGWISLTDIPPCQAKSRAMREAAEWRRLQGISKEGDDELLAEVEVKALPTWDEWMTTLPRERKGKGEHGKDVQGLQGSMEMHEDHGDIDKHVPSTKKMSFDPLKMPISPMTRARAKRFKDALMGLVRTHLIDMKTIEVQLMSFDYDLSKKIPINYKFTTLLAIDSTWPD >OMO53859 pep supercontig:CCACVL1_1.0:contig15143:5118:13396:1 gene:CCACVL1_28286 transcript:OMO53859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMYVLATWTRDKTSEEDLGESKSSVREGDD >OMO53857 pep supercontig:CCACVL1_1.0:contig15143:984:2026:-1 gene:CCACVL1_28284 transcript:OMO53857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C14, caspase catalytic MEKGKKRLAVLVGCNYPNTRYELHGCINDVLAMKDVLVNRFGFDPSHVQLLTDAPGSVVMPTGANIKAALENMVQQAEAGDVLFFHYSGHGTRIPSVKTGHPFRQDEAIVPSDFNLITDIDFRQLVNKLPKGASFTIISDSCHSGGLIDKEKEQIGPSTIKSTPSSSISNYRPKTIPFQSILDHLTSLTSINTLDIATHLLEFFGVDASLRFRIPQIELDLFESLRPDEGILLSGCQANETSADMNGIEGGGKAYGAFSNAVQMVLKENKGGLSNKEVVLMARKILEAQGIEQHPCLYCSDGNADAIFLWQQPDESD >OMO53858 pep supercontig:CCACVL1_1.0:contig15143:2899:4553:-1 gene:CCACVL1_28285 transcript:OMO53858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MTNSTSAMTNYNVLRFGAKPDGKTDSTKAFLEAWDAACATENLTMIYVPKGRYLVGSMAFKGGCKSPQILIRIDGTLVAPADYRVFGAFEDWLSFEGVSGVSIAGGSLDAKGPTLWACKASNGNCPAGATTLRFTNSNNIRINGLLSLNSQMFHIVINGCQNVLVRGVRIIAAGNSPNTDGIHVQQSTNVQIISSSIKTGDDCISIGPGTKNLWIERVNCGPGHGISIGSLGKDLDEDGVQNVTVKSTTFIGTQNGLRIKSWARPSNGFVQGVRFSDCIMRNVQYPIVIDQNYCPHNLNCPGQVSGIKITDITYEGIRGTSSTPIAVKFDCSPKTPCTGIRLQNVNLTYLNEDAQSFCTNVVGIALDLVRPNSCF >OMO57999 pep supercontig:CCACVL1_1.0:contig14285:917:2821:-1 gene:CCACVL1_25624 transcript:OMO57999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLETFSQLVWGNPTAVPVGVYVWDSPLFAYRGLMLDTSRNYYEVEDILRTIEAMSANKLNVFHWHVTDSHSFPLMVPSEPELAAKGSYGPDMIYSPADVAKIVQFGLEHGVRILPEIDSPGHTGSWAEAYPEIVTCANMFWWPAESSWDDRLASEPGTGHLNPLHPKTYQVLKNVIRDVANLFPETFYHGGADEIVPGCWKADPTIQSFLSKNGTLSQLLETFINSTLPYIISLNRTVVYWEDVLLDATVKVDSSFLPKEHTILQTWNNGENNTKKIVQAGYRAIVSSSEYYYLDCGHGDFTGNNSIYDMKTGGGNNGTGGSWCGPFKTWQIIYNYDITDGLSEDEAKLVLGGEVALWSEQADPTVLDSRIWPRTSAMAETLWSGNRDKTGKKRYAEATDRLNEWRYRMVSRGIKAEPIQPLWCVRNPGMCNTVHDM >OMO58000 pep supercontig:CCACVL1_1.0:contig14285:6160:9416:1 gene:CCACVL1_25625 transcript:OMO58000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ia MATEGGKSFARRDKLLEIESKARVQWDKNRVFEAEAHEKPPQPGEKFFGNFPFPYMNGYLHLGHAFSLSKLEFAAAYHRLRGANVLLPFAFHCTGMPIKASADKLAREIREFGNPPVFRHEVVDEEPNPKEESTQDANVAPDKFKGKKSKAASKSGGQMFQWEIMRSFGLSDSEISKFQDPVEWLRFFPPLAVEDLKAFGLGCDWRRSFVTTDINPFFDSFVRWQMRKLRSMGKIVKDVREESVLAAATLRPETMYGQTNCWVLPDGNYGAYEINDTEVVILTERAAKNLAYQNYSRVPQKPTCLVELTGYDLIGLPVKSPLSFNEIIYALPMLTILTDKGTGIVTSVPSDAPDDYMALHDLKAKPAFRAKFGVKDEWVVPFEIIPIIDIPEFGDKAAKKVCEDLKIKSQNEKDKLAEAKKLVYLRGFTEGTMTVGKYAGKRVQEAKPLIRTELIETGQAIIYSEPEKKVMSRSGDECVVALTDQWYITYGEDDWLKLVEECLSNMNLYSDETKHAFEHTLNWLKQWACSRSFGLGTRIPWDEDFLVESLSDSTIYMAYYTVAHLLQNGDMYGYSADLIKPAQMTDEVWDFLFCGGPYPDSSDIPSSVLNKMKQEFEYWYPFDLRVSGKDLIQNHLTFCLYNHTAIMSKDHWPRGFRCNGHIMLNSEKMSKSTGNFKTLREAIEEFSADATRFSLADSGDGVDDANFVFETANSAILRLTKEIAWMEEILAAESSLRTGPPSTYADRVFENEINIAIKMTEENYRDYMFREALKTGFYDLQAARDEYRFSCGIGGMNRDLIWRFMDVQTRLITPICPHYAEFVWGELLKKDGFVVKAGWPTGDSPDLKLKSANKYLQDSIVLMRKLLQKQITGSKKGNKKGAPVSSITEDKLKGLIFVNEQFDGWQAECLQILQSKFDSNSRTFASDGEILKALEQSTSGQAANFKQIQKRCMPFLRFKKDEAIKIGAQALDLKLPFGEIEVLQENLDLIKRQLGLEEVEVMSAADPAASAQAGPLASLLQQNPPSPGNPTAIFLSR >OMO70108 pep supercontig:CCACVL1_1.0:contig11870:62965:65840:1 gene:CCACVL1_19105 transcript:OMO70108 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative plant SNARE 11-like protein MDPLASVSEELAEIDGQIADIFRALSNGFQKLEKVKDVSRQSRQLEELTDKMRDCKRLIKEFDREVKEREGRIDPNTIRMLNEKKQSMIKELNSYVALKKQHQSNLENNKRVDLFDGPSEGFGEENVLLASYTVDVGTETAAALKAQTEQMSRIVNELDSIHFSIKKASKLVKEIGRQVATDKCIMALLFLIVIGVIAIIIVKLVNPHNKDIRDIPGLAPPANRRLLWSSPN >OMO70107 pep supercontig:CCACVL1_1.0:contig11870:60551:61933:-1 gene:CCACVL1_19104 transcript:OMO70107 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37ae MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >OMO70103 pep supercontig:CCACVL1_1.0:contig11870:32351:41885:1 gene:CCACVL1_19100 transcript:OMO70103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFEQTFMDADINQDGKIDLSEWQNFVSRNPSLLKIMTLPYLSIDLRWILPLMLSLLSLITSDTKPDFLLVAAFDFINQPNQTIWSLAIFMLLGISLGLIFLIFSVELSVTLTSPHYPISFQARESERRSETESAQDMDFPEQDVDVFGEDYNNNGSNNRDDSHDSSSSRSSSSSSSSSSSSSASSSSNGSDGGDSSSASGSASSGGEEENGEEVGTVNNNNYDYNNYSEKALFGDDEEDEKDLFGSDNEDYCKTSAASPFSIPVLPVIRNTNPGRGGFGRGRWQNDRGAGILGRPGYPPRQGFGYGNKFANGRHDERFVSEMKLSKSEETLSRKCIAFQEPCELACYSRVEGGEVYFDDRSLRLFKRLITEDIGADLNQGFDTFTDKKDLGSKGFGDLLACIRDKNIPLQNIHFVILATAYIRNEPWEMGVHKRNGVVYLDVHKLPERPRSKLDRRRCYWGYCFESLATEDPRRADGEEIHHIDANVEYCSVIKTKLGAHRILMGAEMDCCDSTDEGRRFYVELKTSRELDYHTEERYEREKLLRFWIQSFLAGVPYIVIGFRDDAGRLVRTERLRTKDITHRVKMKNYWQGGVCLAFADEVLCWLYGTVKENEDYILQFAPPFTRSLQSSASDPSLRKSILLDLLSRDVPVFLERYGSVLTSDELHEFDALNQDYEVNWHLKHLRSKLSPTSEELKTRSVTVKNRRRAYLNKLVGDGQYFSEDAMREREPYLHHEYLGRFQDLSGRSMARPGERWSETLMRRCEEARLVAKIREEQQRLGVAQKDWVGNETNHQQEEEEEEEEEEEEEEEEEEDEDEIKMENGAEHGKEMLLDHRGNEGGATSATVEQHEEAPSAEEMQDRMDQFTYIMQQKFLSGEDHEHLDYSKIDDDVTLDDHWLREANDDAEEKYFDED >OMO70109 pep supercontig:CCACVL1_1.0:contig11870:77964:80428:1 gene:CCACVL1_19106 transcript:OMO70109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCFSIFNKDKSKSKKRESRSAPELRNQSKSDGSAISRTTKSLPSPRSIPELYREKEHNLRVFTWQELRDATNGFHRLLKIGEGGFGSVYKGTISPSDGRGDSIVVAIKKLNTQGLQGHKEFLAEVQFLGVVSHPNLVKLLGYCSVDGERGIQRLLVYEYMPNRSLEHHLFSKTSTMPWKTRLEIMLGAAEGLAYLHEGLEVKVIYRDFKSSNVLLDENFKAKLSDFGLAREGPTGDRTHVSTAVVGTYGYAAPEYVETGHLTIQSDIWTFGVVLYEILTGRRTVERNRPTAEQKLLDWVKQFPTDSKRFSMIIDPRLRNNFSLSAARRIGKLADSCLNKNKDERPTMGQIVESLKQAIQESEEVIGSSSSSAVNNSSVKSSSTSNKRRSK >OMO70106 pep supercontig:CCACVL1_1.0:contig11870:58871:59800:-1 gene:CCACVL1_19103 transcript:OMO70106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQENTETSPSIPKSFFSQPPSTLETSTGYILRNLYEIRKIRDSNLPTINPYEIFTKPSTSLFKSVKNLLNSSPHKPKEHIQVTRFQKQPIPSGELARIFTLQLPHQSLQKFITQGYSYIHVGAIRLGLTFHVRKGVPLATRIALHDSRHKEYQHSCLGMVETTLNSGTIVATFYPNYTMFLQDKTMATAFQVQLQIVGASMDPGLVQATLHYQMAYKVQNHALGSALHSYGDIPLIQVDSQNKPTAIRIPINIPKEQLVSIIPETWVAIYENYRKEDEKNKASSNTLTRFRSLQNFGPNFVVRPMLQS >OMO70102 pep supercontig:CCACVL1_1.0:contig11870:31139:31210:1 gene:CCACVL1_19099 transcript:OMO70102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTPAVPVEAQAAEEKKKMEKK >OMO70111 pep supercontig:CCACVL1_1.0:contig11870:85379:87685:-1 gene:CCACVL1_19108 transcript:OMO70111 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 14B, plant MALTLRSSTSFINLKENKTLTKTPDDFLGSVSFAPIKPSIRLRATRISSMSPIMMTMHHEVQKMSIMEGMNMINSEKKEILHDLTVSHNEENNNSSKVPVYVMLPLDTVTLGGNLNKPRAMNASLMALKSAGVEGVMVDAWWGLVEKDGPLKYNWEGYAELVQMVEKHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNPDLVYTDKSGRRNPEYISLGCDSLPVLRGRTPIQAYTDFMRSFRERFRDYLGRVIVEIQVGMGPCGELRYPAYPESNGTWRFPGIGEFQCYDKYMRASLEAAAEAIGKKDWGKGGPHDSGNYNQYPEETGFFRRDGTWNTEYGQFFLEWYSGKLIEHGDRILAGAKGVFHGTGAKLSGKVAGIHWHYRTRSHAAELTAGYYNTRYYDGYLPIAQMFSKHDVVFNFTCMEMRDGEQPDHANCSPEGLVRQVKMATKTAGVELAGENALERYDGGAFGQVLATSMSDSGNGLSAFTYLRMNKRLFEGDNWRNLVEFVKNMSEGGRRISECDSRGTNLYIGFVREKNAEKSKEAALV >OMO70112 pep supercontig:CCACVL1_1.0:contig11870:103509:107790:1 gene:CCACVL1_19109 transcript:OMO70112 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative methyltransferase MGVVAVIGGGVRGLVMFLPKPGVHVVVYEEEQLASLELDDEIPVKRGIWFCGVGGHLLCSPLV >OMO70101 pep supercontig:CCACVL1_1.0:contig11870:14866:18524:1 gene:CCACVL1_19098 transcript:OMO70101 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MRTSNRQMKRLMIMISLNVAYSTAELMIGLFTRVFACKRLRDYEKYIRGQQHKRGQARSIEISDMANTSFHEWFNARVAKLDPSEVTEDSRYLSQERLVRGPTKMADVWNLSPDLSSGRQIEVRFNTKGQPIGYEKRVLTSCLGTLARNCYLLPLSYRSWRKMPQMFKTDVLKRIERMINAVEPNSVELFVMTRQYKYGSFVDKAAENAAKELNALMSEGSSNVDEIFVQVMGKEHPDRVRVVKLREKLEVMTNKVAVLEEKADKVSELEVSDASSSMAPQRMNKKAHTYMEEPGMSSL >OMO70104 pep supercontig:CCACVL1_1.0:contig11870:44102:46810:1 gene:CCACVL1_19101 transcript:OMO70104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II, regulatory subunit MYRGERPGGGSKGEVGSVDRKRINEALDKQLERSSPSTSRAINGKDKSAHSLLMGKHQPDHHRDSRSVSLPKANASDDESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTDEQNELVESAAEMLYGLIHARYILTSKGMAAMLDKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKASQNYVPRVFGFKIHKP >OMO70105 pep supercontig:CCACVL1_1.0:contig11870:53284:56074:1 gene:CCACVL1_19102 transcript:OMO70105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKKSGREEEIGEVSRNCTSSDFNQTTSPHLLYYAQFNRNYFDSTQQKRPQPDFCIPNKPHLTIQIPPTSPNPSPPPTSTTTTTNHHKRPNFHNHDSSFSNNSSPTHALLSTPHKRPIMTQNSLSLSHSSTLSSFKNHHNHHRKRVSNSSLFQSFSYYFAIPFDAQQLGRNLVHHLNRGRLLCFHLRFLVLLSLPSLYFLVSNPRRFFLLNLLALLAFSLTLLVSLNLALPRLPSIRLLLARSLPAKFSHLGSSSKSSKAVVWSIGSKPKSEKKVNSGTWVQVYSNGDVYEGEFHKGKCSGSGVYYYYMKGRYEGDWVDGKYDGYGVETWAKGSRYRGHYRQGLRHGIGVYRFYTGDVYAGEWSNGQCHGCGVHTCEDGSKYVGEFKWGVKHGLGQYHFRNGDIYSGEYFADKMHGFGVYQFGNGHRYEGAWHEGRRQGFGTYTFRNGETQSGHWQNGILDVVSAQNIRLGSPYDVTQSRVLNAVQEARRAAEKAYDVAKIDERVNKAISAANKAANAARVVAVKAVQKRMHNQIGDDPATQIV >OMO70110 pep supercontig:CCACVL1_1.0:contig11870:82830:83088:1 gene:CCACVL1_19107 transcript:OMO70110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSFTLIQTIATAGVFSAVAGWYGFMFGRESARKELGVLIENLRRDISESSPSSSPPPHS >OMO90518 pep supercontig:CCACVL1_1.0:contig08468:14852:15086:1 gene:CCACVL1_07349 transcript:OMO90518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHKSFLELDRFSKFWAMRYLLWPEKQARLEGSS >OMO90520 pep supercontig:CCACVL1_1.0:contig08468:26385:38510:-1 gene:CCACVL1_07351 transcript:OMO90520 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MVGEALTNMCSQLSLQEGEKEKVVIDNSWVDGEDGNVVRHYLLGKLMLKKPVNVEGLRNVMYQAWRLEGDLIVQEVGVRLFLFQFEDPLEKDRVLVSQPWSFKKVLLVLKEYDGVLPPESVQFNKCPFWVRVFDLPLRLQNERVGVAVGEAAGEVLDIDPNWGRFMRVRVQVDLLIPLKAGTTVSTASGDLEVEFRYEKMADFCYVYRLKAETPVVRSTKQSPDGMRSKTIFGGSKREGKRPVVANALSTGAVSKQKSLGSSMLASGWRGGFRNHVDSLLLRGREAARAIAEEERSRDMFPKFNCNNGEKFQGEQEVTSSKFRTLNNNFLHDAKERGERRGEEDIDARVFALKQQLLLEGMAESPNFQGDRGSGFKEKGSSSFMGPKNIPGIGRSHMGLAGSSNANIGLPSLIRPVLEQEDNSGSSLPTEVRQLLAELVKTGPFVFGAGNTSDQIKPRRWKKIARATDKYSFECLAPQTNLLQGRKRGPYQGSFVSVEDGAAKRSREEEDQIGTVAGLVAELWNGRGDGGSPLPRTMSIFVWNCRGLGASRSVHILRSFIICNKPQILFLSETKRSSNGMEWLRSLLGYDFCFSVSSIGRAGGLALLWMNSYSVYVLSYSSSHIDVSVENQNTQKWRFTGIYGQFETGRRHETWSLLRNLAGRSSLPWLCAGDFNEILSNDEKLGGVVRSNRQMEQFREAIEDCELKEIPVQGPKMTWNRKMRGETVFEKLDRGLATEEWINIFNFSFVQCAEKLRRWDREIFGHVRHGINRKKKELERLYAKAQMDGRSGALHDCIDGLNELYQREEVMWRQRAKITWLRDGDRNSKFFHVMASKRNRRNGISALQDDAGNWQMNAEEIENIIGRHFKSIYESSKPYIYDIQQDIKDAVFQMSPEKSPSPDVLWWLETVHHLKNKRSGGKRHMALKLDLSKAYDRVEWAFLEGVMRSLGFSARWISRIMTCVRAVSYSVLVNGVPTDKFAPSRGIRQEDPLSPYLFLFCMEGLSCLLQHAERSREIQGVSVARYAPRVSHLFFADDSLLFLHAKEVECDAILRILKIFEYVSGQQINVDKSAILFSNNTPDCIRVSIMAKLGVQQVLDKNKYLGLPIMIGRSKRAELQMIRDRIWKRVQQWRGKILSGAGKAVMIQAVAQSIPTYLMSCFRFPKTFLNDLNMIIANFWWGSSDNKRRIHWKAWDSLCVSKLDGGLGFRDFEAFNLALLAKQGWRLIQDERSLCYRILKAKYFRQCSFMEAKLGCNPSFVWRSLLAGREVLKQGCRWRVGNGCDIDNWRDKWINKPPVNQPLPNNGIICNPNPVKVLFDDDGNWDYDLLRELFVEDDVRRIMSIPLHLTGSRDKFIWSRTLDGHYTASELGYLELVCFLLWKIWLNGNKVLHEKVCQTLSALCLAAAHCVQEMENVWIRNELTMDGREVQLWRPPVAGQIKINVDASFVVSRYEAGLGVVCRDAEGRVLLCAKSRINFVPDSMYAEMYAIRFGVLLARYYGYLNCCVESDCLLAIREINMANPSLWGGGGDAPRAYHSLEEHIGANEDHVLEIHGDVMNTSLEEHGVGGIASDRPLLGEAAQHRPHDRPQPAIAYRPSHAPSHEASGHNRPSHGPSGHNQPFDPLAIPQGPMTRARAKRFNEALLGFVRSHLGDLESIEDQLESIEVDITKNIPIDSKSPSKQLGCDCLIVCESCDLENSIAGLIESLSPTKEELCLLLDFVVSSQGIEVDEEKIKAIKDWPTPTNVGQVRSFHGLVGFYRRSVKDFSTLATPITSVMKKNAPFKWGKEQQEAFETLKEKLTNAPLLVLPNFNNTFEIECDASGVGIGAVLMQGGKPVTYFSEKLNGAALNYPTYDKELYALVRALQTWQHYLWPKEFVIHTDHESLKYLRGQQKLNKRHAKWSEFIESFPYVVRYKQGKENVVADALSRRLCVPSCSLRILLMRESHEGGLMGHFGVDRTYDILHEHFFWPKMRHDVGTYVVSCIVCLQAKSTSKPHGLYNPLPIPHEPWTHISMDFVLGLPRSKRGKDSIFVVVDRFSKMAHFIACTKTDDAINVANLFFKEIVRLYGMPRTIVSDRDAKFLSHFWRTLWAKLGTKLLFSITCHPQTDGQTEVVNRTLSTLLRALIKENIRTWEDCLPHVEFAYNRSIHSTTGHSPFETVYGFNPLTPLDLLSLPLSVQVDMDGQRKADYVRELHARVRAQIEKKTQHYMKVAKKGRKEIIFEPGDWVWLHLRKGSLKKRKSKLLPRGDGPFQVLERINNNAYKLDLSSEYGNVSATFNVSDLSLFDSDADLRRNHFQGRGDDAPRAYHVLEEHIGANEDHVLEIHGDVMNASLEEHGVGGIASDQPLLGEAAQHRPHDRPQPAIACAIT >OMO90519 pep supercontig:CCACVL1_1.0:contig08468:20219:20391:1 gene:CCACVL1_07350 transcript:OMO90519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLKTETLIDFANHNFKGN >OMO90524 pep supercontig:CCACVL1_1.0:contig08468:52649:55690:-1 gene:CCACVL1_07355 transcript:OMO90524 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MNGSVGKEKLMVEVVAAHNLMPKDGEGSSSPFVEVEFENQRQRTQVKTKDLNPIWNEKLVFHINDVADLPYRTIEVNVFNERRSSNSRNFLGKVRVSGSSIAKEGEETPQMYTLDKRSLFSHIRGEITLKLYVSSREHVKVKVKDDGVPVVVSASASAPLSSKKKKMQHQNQNPALVVQQQQQLLQDNNKPSSQQGSNHAKPVDPHPGELKPVVITTGPSPAVPVAGVGGGGMSLYSNFGSNEFSLKETSPHLGGGPLNKDKTSSTYDLVEQMQYLYVRVVKARDISLFGGGEIAAEVKLGNYRGVTKRVSSNPNHADWGQVFAFSKDCIQSSMVEVFVKEGNKDDFLGRVWFDLNEVPRRVPPDSQLAPQWHRMEDKKGEKFKGEVMLSIWFGTQADEAFAEAWHSKAANVHFDGLSSIKSKVYLSPKLWYLSVSVIEAQDVVLGDKGSTLVRYPELSAKLQVGNQVSRTRVSAATPNRSLSNPFWSEDFLFVVAEPFEDYLLVSVEDRVGPGRDEVVGRVLLPVAAIERRSDDKQVVSRWFNLDNHFGNSAEAKLVTRFGSRIHLRVSLDGGYHVLDEATMYSSDVRPTAKQLWKPHIGVLEMGILGATGLMPVKIKEGKGGTTDAYCVAKYGQKWVRTRTVVDSLSPKWNEQYTWEVYDPCTVITIGVFDNCRTDKNMITNAARDSRIGKVRIRLSTLECDRVYTHSYPLLMLHTSGVKKMGELHLAVRFSCANMGNMLHMYTLPLLPKMHYVQPLSVNQLESLRYQAMNVVSSRLSRAEPPLGREVVEYMLDHDSHMWSMRRSKANFFRLMNVISGIVAMSRWVECMRNWTKPVYSSLFVATFLLLVALPELIIPAMLLCMAIMGLWRYRFRPRYPPHMDTRLSHAESVYPDELDEEFDSFPTSRSAEVVRMRYDRLRSVAGRIQTVVGDMATQGERFQALLSWRDPRATFLFVIFCLFAAVGFYTVPLRVVVALWGLYALRPPRFRSKLPSRALSFFRRLPTKADSLL >OMO90523 pep supercontig:CCACVL1_1.0:contig08468:46781:51716:1 gene:CCACVL1_07354 transcript:OMO90523 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribokinase MSSESLPQQRIVLGCGSVAVDFLATVASYPNPDDKIRSTSLKVQGGGNAGNALTCASRLGLIPRLISKVANDGQGKSILEELESDGVDTSFFIVEEGNSPFTYIIVDNQTKTRTCIHTPGYPPMRPEELSQSRLFSALDGVNMVYFDGRLHETALIIAKEAARKNIPILVDAERKREGLDYLLNFTSYAVCSAKFPQAWTEAPSIPSALVSMLLRLPNLKFVIVTLGEDGCIMLERSVNGVSDAEEMDVHSLLESLKQRKDDSHAIPTCISSVVTKLSANGIGTVTGRLFVGTAEKIPPNELVDTTGAGDAFIGAVVYALCAGMPPEKMLPFAAQVAACGCRALGARTGLPHRTDPRLATFL >OMO90522 pep supercontig:CCACVL1_1.0:contig08468:41524:46127:1 gene:CCACVL1_07353 transcript:OMO90522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLFSRRRSPQEHVTPFGPATAPAPSPIHQAPVASPSSYVVPRHHRGGHHRHRHVKPRVAAPSPSEQGCDQICVDPLTATPFGSPCGCVFPMKVTLLLTVAPYAVFPVMNELEIEVAEGIYLQQSQVKIMGATADTQNQGRTLVEINLVPLGEKFDNTTAILTYDRLLHKKVSLNSTLFGTYEVVSISYPGIPASPPYGNFVGGGPTGSAGDLPITANFVNKTQKMNIRIIVIIVLSAFVLLLVLVGAISILIKWRKVGRPYNAVGPAFPSSINKRSGIGSILSSSIASSTSLSLMSTMATCALSVKTFSVIELEKATDKFSSRRILGEGGFGRVYRGVMEDGSEVAVKLLTRDNQNGDREFIAEVEMLSRLHHRNLVKLVGICIEGRIRCLVYELVPNGSVESHLHGVDKNKGPLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSFGVVLLELLTGRKPVDMSQPQGQENLVTWARPLLTSREGVEQLVDPSLAGTYDFDDMAKVAAIASMCVHPEVTHRPFMGEVVQALKLIYNDTDETGGDCCSQKESSVPESDFKGDFAPSDSSWWNAGGPTMQPAATFVA >OMO90521 pep supercontig:CCACVL1_1.0:contig08468:39567:40925:-1 gene:CCACVL1_07352 transcript:OMO90521 gene_biotype:protein_coding transcript_biotype:protein_coding description:low-density lipoprotein receptor-related protein 2 MYMKTHSSEQVQSIKTYKESHWKVLKFRWTIFRRQTSPSHSPDQAIYLITSKEFKKASVAPPPSAKSKKKNPRREKIKTMQLGADVDP >OMP10524 pep supercontig:CCACVL1_1.0:contig02327:797:955:-1 gene:CCACVL1_00903 transcript:OMP10524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTSHPSPHHQRKRAKSKNMIGILHNPTTKQTVFVPCPCYCIESGLNLILI >OMP10523 pep supercontig:CCACVL1_1.0:contig02327:263:391:-1 gene:CCACVL1_00902 transcript:OMP10523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVETVLGPENPVRNRPFNNAPTCSSIGGDLTMIRKLAPKVA >OMO54022 pep supercontig:CCACVL1_1.0:contig15066:5049:17567:-1 gene:CCACVL1_28111 transcript:OMO54022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLRFTVTSLPSSPYLIPRRRSFTPIRCGPRDNRGPLVKGRILSTEAIQAIQTLKRAYRNTSSSTTTTQIQLPSLTRLIKSDLLAALRELLRQDQCTLALHVLSTVRSEYPPPDLALYADVVAALARNRQLDEIDRLIGELDEIDCDEEKALVRLIKGVITAERRESTVRICALMKENGVGSKKRVGEYLVKVLSKGLRRFGEVSLALEVEREFCELSRVNVDNVRSNPSPAAAPPQEDAWISSYQKLLPHWHSVSLSHQHSTLPISISRVNQFDAARLDIEMSAMLKEQLVKVFSLMKPGMLFQYEPELDAFLEFLIWRFSIWVDKPTPGIALMNLRYRDERATEIRGKVRTGLEGPGLTVAQKMWYCIATVGGQYIWSRLQSFSAFRRWGDSEQRPLARRVWGLMQRIEALYKAASFGNLLIFLYTGRYRNLIERALRARLVYESPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSTVKSFLHPFSKDKSSSSTEDDSTCPICRASPTLPYLALPCQHRYCYYCLRTRCAATPSFRCSRCSEPVVAMQRQGSVVQHKTHSQGGLSGEEERFGPTPSHYQTKKLENWENQILNPSSSPVVDVKQEVTHQSNNNLYGHGDHEEFQASSPAAAAAWSQMIPVSSPRSCITSLSSSTTNNNNSMLDFSYNTAADHHHGTTTAKSNPQPNLDHSSECNSTATGGVSKKARVQPSSSSSQPPLKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLGTAASSNMRNQQSVQGERNCAFPEDQGQDNQDKPKDLRSRGLCLVPVSCTQQVGSDNGADYWAPAFGSGF >OMO54031 pep supercontig:CCACVL1_1.0:contig15066:85280:90209:1 gene:CCACVL1_28120 transcript:OMO54031 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc phosphodiesterase ELAC protein 2-like protein MPCISPNLRLLFSPLKPTLAFPLLITKPNPKRFSLFTLLASSSSSSPKRPRSVPYRDSPSFARRNSSTFKEQRKGRGKELAMEETPSDSGGSFGFNKRRAEGKDKSDRPKKNPQIKERKLNPTNTIAYVQILGTGMDTQDTSPSVLLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHVFLSRVCSETAGGLPGLLLTLAGMGEEGYTVKIWGPSDLNFLVSAMKSFIPHAAMVHTQSFGPAPTSDDATDVPAPSKVADPIVLVKDEVVKISAVLLQPNRLGQSQLKPGEMSVMYICELPEVMGKFDPKKAQALGLKAGPKYAELQHGKSVKSDRLDIMVHPSDVMDPPVPGPIVFLVDCPTESHVQELLSTECLNGYYTDVSGKLTESSKTVNCVIHLSPASVVNSPNYQKWMKKFGSAQHVMAGHQTKNLEVPILKSSARIAARLNYLCPQFFPAPGFWSLQHLNHSASDAIASTEVHVSKISEGVSAENLLKFTLRPYAQLGLDRTYIPTLIGQSEVIDELHSEIPDIADAAQQVGQFWHGLKENGQELTPSNDNRVMIEEPWLTENIIPTCLENIRRDDLEIVLLGTGSSQPSKYRNVSSVFINLFSKGSLLLDCGEGTLGQLKRRYGVAGADDAIRNLNCVWISHIHADHHTGLARVLALRRDLLKGEPHEPLLVIGPWQLKRYLDAYQRLEDLDMQFLDCRNTTEASWDTFENDKESNNDGSSPGSSLHLNVNNESMQDINGTLFSRGSRMQSYWKQPGSPVDISVAYPFLRNLKKVLGEAGLEALISFPVVHCPQAFGIVLKAAERVNSVGKVIPGWKVVYSGDTRPCPELVEASRGATVLIHEATFEDGLVEEAIARNHSTTKEAIDVGNSAGAYRIILTHFSQRYPKIPVFDEAHMHKTCIAFDMMSINIADLPVLPKVLPYLKLLFRNEMTVDESETVDESDDYLDALGGVVS >OMO54025 pep supercontig:CCACVL1_1.0:contig15066:43494:46071:1 gene:CCACVL1_28114 transcript:OMO54025 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MERSSDKISSSSSSSSTHPIEDKPSKTAKDTCLNLKETELRLGLPGSQSPERKVSLFGKDLESNNDKSNGLSVSPLKNLVSGAKRGFSDAIDGSNGKWVFPINGKSDVELAKGAALPSPRGGLDSKSNPQQARVSVPAMKEVAGIPQSPKPVQDKKNPLPPSNDHATAPAAKAQVVGWPPIRSFRKNTMASNLAKNSEDAAGCLYVKVSMDGAPYLRKVDLKTYKNYMELSSALEKMFSCFTIGQCSSNGLPVRDGLSESRLMDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFTNSCKRLRIMKGSEAIGLAPRAMEKCKSQN >OMO54028 pep supercontig:CCACVL1_1.0:contig15066:71688:72170:1 gene:CCACVL1_28117 transcript:OMO54028 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartic peptidase MACFLCEGPHRVRDSPKRSKLSAIAREEQQPEKEKETLKLGSILLSVEPKKRRKKGLMFMDMEVAGYKVNAIVDTGASDLFVSEGGAKKLGLKVDKGQGWIKTVNSKETPTMGVAQGVELKLGAWSGKDNIEVIPLDDYDFVVGLDFLDRINALLVPFAN >OMO54037 pep supercontig:CCACVL1_1.0:contig15066:140464:145769:-1 gene:CCACVL1_28126 transcript:OMO54037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cox19-like CHCH family protein MNVSEIAESNRVLLDESYRPLPSLYLGFLSIWFLSACSWTFNTYKNRHFQWTLASVPLIKALQLTLSFLFWYSCFHLQVCSLWMSFGVYVTGVLFQTASFVSFLLISHGYCITCERLSLTERRTTAALGCVFYLTLVGYRASVPFFTVLLLLNYIISFYVIFHHISQNLLVLREQLTFIEDEDIQSMRDAVYTKKFQGAMQIVAMAETVIYLNMDDSSENFWIRLLVREWAQFCIFMYIGWTFRSQELAPRFSVMPTMKSNVDSVVPPIYRIEMDAATFKEFTSHEWHIGVPTSLRNESSRDSVLVIIQHPHACMPASGTVSSSRKQPWIGAGGAFGGNRGLRPVPPEKGIFPLDHLHECDLEKKEYLSCLKTSGHKSEQCREFSKKYLKCRMEKNLMAKQDLSELGFGKQSEMEASGEKSNKTIDN >OMO54026 pep supercontig:CCACVL1_1.0:contig15066:47250:50162:-1 gene:CCACVL1_28115 transcript:OMO54026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRHSHSRSHSPKTPTSMLDRALSSRRAQPHSDFDFPTSTSAASVSSDPESVNLLADESKTKKQRLYLWATNYISRLGLTKSPCLCLSLCLILIVFMLFSLLLNSRSFVCVSSYDPISRANFFGLDGLDSDFGSLGVPWCRSKHGKTVEWSSKDLIKGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFITRWLKPDIMIESGAFKGHSTWVLRQAMPDTPIISVTPRHPEKYLKKGPAYVDGNCTYFAGKDFVDFGSVDWVRVLKKHGISDLSRVLVFFDDHQNELKRLKQALKAGFHHLVFEDNYDTGTGDHYSLRQICDQFYIRGGGHSCFRDSDEARIRSKRKKFWEKAVDTDELCGPHEAWWGVKGEMRDNFNHNKTVISYSEHFQNSRFVESILDVYWELPPVAGPSLTHQTRYDPARATTPIVEDGRYGLFQRLGLGRLERSVFNGYTQMVYLQISKPES >OMO54024 pep supercontig:CCACVL1_1.0:contig15066:34577:40145:1 gene:CCACVL1_28113 transcript:OMO54024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVEDLVRGDYERVNGQINRFTSAVNGTMITVYLRQPQQQNTVMFPNYRIR >OMO54038 pep supercontig:CCACVL1_1.0:contig15066:153568:154338:1 gene:CCACVL1_28127 transcript:OMO54038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPNHSSTSETESCSSNNNSNSSTSSSSSSSSSYSQVHRQKLSRKCNNVDEIPETEENRAKRPRESNSNSSKHIVYRGVRMRSWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGDSAILNFPELADLLPRPVSLMPRDIQAAAAKAASMVNFNPLPSSSSSSSLVSESCSLSESNASEEPEEQLSEIVQLPNIEGNFDSLFDSPNEFILLDGWGFPPQDFYGGFSDQLWNTENSIPGNFETSVWE >OMO54033 pep supercontig:CCACVL1_1.0:contig15066:97407:102747:-1 gene:CCACVL1_28122 transcript:OMO54033 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of nonsense-mediated decay, UPF3 MKEPLRRTKVVIRHLPPSVTQSVFLSQIDDRFSDRYNWFSFRLGKSSHKHQKCSRAYINFKKPEDVYEFADFFDGHIFVNEKGTQFKAIVEYAPSQRVPKPGTKKDGREGTIFKDPDYLEFLKLIAKPVENLPSAEIQLERKEAELSGAPKDVPVVTPLMEFVRQKRAAESGTQGSITVRKVGRKAAAAASTRKSGSSGKRGSEKKKYILKDSAKGASHKEKSNLVVASKQEDRPLPSLGKEIRENGSVYGIDGPVTGITLTSDSGKKKILLLKSKDREAPRVPDGVSEQQGASSSTANSPGSTAPRQSQRREAGGRLIRSILLSNEAGQNQPSAAVQPQQKSQTMGSDNGKRPPRPTNTRSGSGTEKHEKRTRNKDRPDRGVWAPLRRSDASQASEERSSPSMPQSAHASSNSTEVTQGEMKGDIPNGRGGRNIPSENGSNRHFDRCSAAHNMKDDGSVTSSEGKPSKRGAAVSGAHEKQVWVQKSPSDDVDLQCLYTRGRGVGLDARLWRYASIKSTDAVL >OMO54034 pep supercontig:CCACVL1_1.0:contig15066:104800:108326:1 gene:CCACVL1_28123 transcript:OMO54034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRRAVEAYWRSRMIEGATSDEDKVAPVYKLEEICELLRSSHVSIVKEVSEFILKRLDHKSPIVKQKVLRLIKYAVGKSGVEFRREMQRNSVAVRQLFHYKGQPDPLKGDALNKAVRETAHEAISAIFAEENSNSKPSTSDDLNKRIQGFGNTNFEMPSDDKKSFLSEVVGIGSASIKQGINSFTQSHSIRKNDNGSYKSPTLRRSLTTEIDHSDRYEPVELPNETQGVSRNTTSGPWGQDSRVLKTETSNGQSSSNYSETKTREERLLETIVTSGGVRLQPTRDAIQAFLVEAAKLDALALSHALESKLQSQMWQVRMKAVCVLESILRKKEDEHFSVVASYFTENQDVVLRCSESPQASLREKANKVLILLGGEQTSGLARNSEKSLKAETTPVEMPNLIDTGDPDDYNGLDDSTRSQYDQNTVNLTATPLIDDLLGDGLDSSLSTSEQRNDDDPFADVSFHAGEGRENVDDLFSGMTLDDKSVVNGNHAAANKNSELIDIFGNNSEAPFEQENKKNDVNDLMAGLSMNENPSNLMQKGVSSEANPENIFSNINIQASNDASSGVLGSQAAGMNANPMFPLGTMPYSIPPGIMFNPAFASQPMNYAAMGNFFAQQQLLATMANLQHLGNFNSQNAGMSHVSSGSNGGYSSPIPDIFQSNFPTQAPTSVMNTSKKEDTRAFDFISDHLAAARDPKRTG >OMO54032 pep supercontig:CCACVL1_1.0:contig15066:93957:96621:1 gene:CCACVL1_28121 transcript:OMO54032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHQHQLSQRFDGSMSRRIGSTSSRRHTDPLANNEKKVQESLENVKFKKIILIHGEGFGAWCWYKTIAQLEEVGLQPTALDLTGAGIDLTDTNTVTTLAEYSKPLIQYLGNLPEDEKVILVGHSSGGACVSYALEHFPEKISKAIYLCATMVADGQRPFDVFAEELGSAERFMQESQFLIHGNGKDKPPTGFMFEKQLMKGLYFNQSPTKDVALAMVSMRPTPLGPIMEKLSLSTEKYGKGRRFYIQTLDDRALSPDVQEKLVRENPPEGVYKIKASDHCPFFSKPQSLHKMIVEIVQIP >OMO54036 pep supercontig:CCACVL1_1.0:contig15066:135074:135985:1 gene:CCACVL1_28125 transcript:OMO54036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKRKWRYFSSDSYSSDSDSDYDSDSYSFDSNVYAYESSFSSDGRRHKRRRSSKRNKHQRVKKWKDGGKEKKRGWQGKRLKRKSIWFSSDIESESTSPISDSDDEKAGNRHVPTRTNRISKHAENESPQNLGIFFRHRSESPTPKWRPNNSRKGSPRSPPRKFDMQNKGRASHSPLGSPAKKASASIQGHVSSRSPFPGGTSKRVRKGRGFTFRYSSARHYRTPSPPRSYRYGERNFPERNPDR >OMO54030 pep supercontig:CCACVL1_1.0:contig15066:83332:84730:-1 gene:CCACVL1_28119 transcript:OMO54030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLQLNTHGLATTLSVPYKFNSIGSYSHKSLGLSRHLSFSRGKIRAVSTVPDSETVANEPEEPPAVDFAFVHSVLLPDGTPDVHLRSACGGQKLRDIMLDNNIELYGPYARPLLNCAGGGTCGTCMVEVVEGKELLTPRTEKEKEKLKRKPKTWRLACQATVGKPDSTGLLVIQQLPEWKAHEWTYETIAPSEET >OMO54023 pep supercontig:CCACVL1_1.0:contig15066:20622:30912:1 gene:CCACVL1_28112 transcript:OMO54023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFKVTPDLACYETFWEKLTPETEKVDQGLFGGVIWWPSVAYERRLSTTMAVQNSVDFRLSGGCGFFCGRLTILGLDHTETGSIPSTPSSTASTSNFSR >OMO54029 pep supercontig:CCACVL1_1.0:contig15066:81406:82566:1 gene:CCACVL1_28118 transcript:OMO54029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MAGIAFGRFDDSFSLGSLKAYLAEFISTLLFVFAGVGSAIAYNKITADAALDPEGLVAIAICHAFALFVAVAIGANISGGHVNPAVTFGLALGGQITILTGIFYWIAQLLGSIAACFLLKAVTGGLAIPVHGLAAGVGSVQGVVMEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGDYHDNWIYWVGPLFGGGLAGLIYGNVFMHSEHAPLSSDHF >OMO54035 pep supercontig:CCACVL1_1.0:contig15066:109324:114047:-1 gene:CCACVL1_28124 transcript:OMO54035 gene_biotype:protein_coding transcript_biotype:protein_coding description:LETM1-like protein MFSSPQYYNPTDTGNGALVPEADSPAVEFNRVNCLVWVLHESARSFSHAVESLEMFGSSAELAMAWNGKDVHQWHRRIAHRVAVFALLKTAIEVENLLSQERHYHPSPVRKILTQEADVLEEFIESQLKLRHSELLQWFRVVELPRIAGFFIPLLKKWSMEYAGSNLMLSSVKKFTDYCGLNTRIFSGVAGIIVAVSCCAAVEKLGSERTSCPLSTMSVGDIIVELMNLSLSIVSIDKLYQLATEAGFEHDFLHHFGAKVLRSKRTEELEFWIGLAHRKLSIAFCKEIENASKLKCYGVCFMIPDSLATLGLFAYLGRRTRLFFSLMRIKDLDEQIWDFLSYLECGSLFICPEFSSISAYQSFMEVVTDEIGWLDFYDTPSFLRCKQEKRRQKQHTIQAEKEMILSEVFTACYDVFSGFAHFSRSAQQPLDSELLAFLLRSQSLLTICLEDYWSAYDRSSEPHKITESGASKHTQLIEASGSGTTRFSVVREAHEKSAALMIEECQSNESEHDPTMKEASSSASTDAITFGEGNDISKPNPLYESLIRKYSIKLISITADVWLGTQLLCIDIMASMELLLKQLCGQKIRAREKRKLKRTFNDIATLIPVTILMLIPVSAVGHAAILTAIKKYMPSLIPSPYSSERLGVAKQLKRTKKMEVTSWSNLEDPSSKI >OMO54027 pep supercontig:CCACVL1_1.0:contig15066:51261:62123:1 gene:CCACVL1_28116 transcript:OMO54027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLNSPNSPSNSSFAIRETPTTIQPKRNNNMSSKLDQYSTATSPPNHSFAIRSSSSETTPQTQSFVRSISTKEASFGGFHFSPPRYSTPTLNASATTSPRSSPLRTFAPAAAASNHTISLDFSSYNYKCLSSVLKKDGQILSIAISNGIIYTGSDTNLIRIWKLPEFSECGVLKTKASTVVSLAVSHDRLFAAYGDTKIRIWRRTWDGTLKHVKLATIPRAGGYVRSYIAGKDKMMRHMGPITSLAVNISDNILYSASVDKTVKVWRISDLKCIENIPAHSEPINSIVVGDDGILFTASDDATIRVWRRNFYRGEWPHSLMVTLPAKCSPVKTLTLTADGGVLYGGCTDGYVHYWRKGWMSGQLRYGGALQGHTHAVMCLATVSNYVISGSADSSSRVWTREQDGQHVCLAVLVGHRGPIRCVTAFLGHSGDEVEDGCTICTGSLDGVLKMCGLNAAFLSGIWSGRKNKAYDFELLKIKHARADRLLKFTNTYVKWKPVDHFQGDYSYHDLSFDLKIAALKDKFSAMDKKKRKTGAPVWRPICTQASSLEEPLTKDTVFESDNGSQMQEEIEVTHATLSPKASLDNIKDETFKEEPALSAEKHSLSVEVGASLIRFIRGKDGSTKEKIEKEMGVQIILPSSKQEDSILIEGTSADSVTIASKEIQRIVDEAVNSPILDFSHFVSLPLAIHPELVEKLVNFQNSILGISDAFVDGSRDSNSDGDASEDDAEDQQLSKGPAVAVEFKVADDKESVKVDVRGIPLVSYAPKEAKEAKPSKLSDLGIEKSIFIKPKTFHLTVLMLKLWNKERVNLAADILKSISSQVMDALDNRPLFIRLKGLDCMRGSLAKARVVYAPVEEIGGENRLLRACKVINDAFTEAGLVLERDARQEVKGPIADVIYTLDSLTFGLQRFRRKNQGKMSRPFDARGIFKQYGSEEWGEYLIREAHLSQRFRFDENGYYHCCASIPFPESMQVD >OMO53707 pep supercontig:CCACVL1_1.0:contig15165:2482:4987:1 gene:CCACVL1_28399 transcript:OMO53707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKYIARLRSRRVVQDQLDGCSDKEAVDGGCCWANLPQELLREVLLRIEDSESSWPQRKNVVACAGVCRSWRLIIKEIVKVPELSGKLTFPVSVKQPGPKDLLLQCFIKRNRSTHTYYLYLGLTNALTDDGKFLLAARKCRRPTCTDYIISLRSEDMSKGSSTYVGKLRSNFLGTKFTVFDGQPPHAGAKMAKSRSSRLSNLKVSPRVPFGNYPVAHISYELNMLGSRGPRRMQCIMDSIPAASIGPGGVAPTQAEFSLSNMDQIPSIPVFHSKSASTENFLSGPLSSQQKDGALVLRNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPEDGPAGPEHEKIILQFGKVGKDLFTMDYRYPISAFQAFAICLSSFDTKIACE >OMO53726 pep supercontig:CCACVL1_1.0:contig15165:91430:91783:-1 gene:CCACVL1_28418 transcript:OMO53726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGLDSPDERGQHGSPSTHRNSPDYRKRNHAREMSTGSEFGRSETGAGSGRKWGLDDSERPESQRDSPLNPLRARETPRNRDLDRERAVAEAKVWGENWREKKRANAMGSFDGTND >OMO53721 pep supercontig:CCACVL1_1.0:contig15165:62663:66754:-1 gene:CCACVL1_28413 transcript:OMO53721 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E inhibitor RraA/Dimethylmenaquinone methyltransferase MQALSNAGRLAMVLKTNKILFNSSPQFRSFLTPIKSSSFSSLQCQFHADASSAVPAGRQISTIATTDASDANAALLLSGELRALDPIFKIYGQRHAFAGCIVTVKVFEDNVLVRQLLETKGEGRVLVVDGGGSTRCALVGGNLAVSAQNMGWAGIVVNGCVRDVDEINACDIGVRALGTHPLKSNKKGAGDKHVPVRIAGTLIHDGEWLGFGKCHRFHVWWQVKPFLMIK >OMO53717 pep supercontig:CCACVL1_1.0:contig15165:52007:53648:1 gene:CCACVL1_28409 transcript:OMO53717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQNNTKMSGNDGKKQFMSRKVSNCDLILRVLALLLTLVSAIVLGVDRQTKVVPVQIAPNLPPVNVAAQAKWHYLSAFVYSFVSNIIACSYAAISILIAMGTRNGKKGLAAIIILVDLIMVALLFSSNGAALAIGLMGYKGNSHVQWNKVCNVFDKFCDQVAVSIVLSLLGSIAFVFLVAMAAISLHKRCK >OMO53720 pep supercontig:CCACVL1_1.0:contig15165:60587:61044:1 gene:CCACVL1_28412 transcript:OMO53720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHENEVGRKGIVPNGMIIVLVLVGKTKFKIMIMVIIKIRSLLLSSTVTVTVMLNLPNLNSSSTTVWILTLLIFNRKSGTTSPRNNGKNGESFAEMEGSSVTFSTT >OMO53723 pep supercontig:CCACVL1_1.0:contig15165:72084:73091:1 gene:CCACVL1_28415 transcript:OMO53723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGESKHVATGNTISRKNSRAASKREKVSETPIEETTKPAVVEIASSSSTLVQEEEGKNVKQESGESAELKKQENAETDKGKETEVDEKKLPEKNQETKIETKGENVAVVTKPLAEETKIETKVENVETKPLAEETKIETRGENVVETKPLAEETKVETMQETKLPEEKKEEIVEEQKLVEEKQEEIVVEEKLAEEKPEETAIAEPETVKEENLVKETETEETTEAAQVSTPVVEEVQEAKPEEEPVVSAAEDLKTQ >OMO53725 pep supercontig:CCACVL1_1.0:contig15165:84103:90212:1 gene:CCACVL1_28417 transcript:OMO53725 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MAKSSKAPVSSDDALSNGSNSSEEERINDQINGGEEEEDEEELEAVARSTAASDEDDDAAPDENGDDADEDESTGADPEISKREKERLKEMQKLKKQKIQEILDTQNAAIDADMNNRGKGRLKYLLQQTELFAHFAKGDPSSSQKKVKGRGRHASKVTEEEEDEECLKEEEDGLAGNTRLLTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLMGYLHEYRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPEERRYIREELLVAGKFDVCVTSFEMAIKEKSALRRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWSLLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGDHLITNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEAATAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKFDFKKIVSENWIEPPKRERKRNYSESEYFKQTMRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQAHQKNQVKDAIEVDEPEEGGDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLEMKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWEELKAAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKNMTPTKRGGRQPTESPNQLKKRKQLSMDDYVTTSGKKRK >OMO53728 pep supercontig:CCACVL1_1.0:contig15165:99661:99783:-1 gene:CCACVL1_28420 transcript:OMO53728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQNPKSLKELADDELAKTEAAAKKLGPAAQLYFRAQVTSE >OMO53713 pep supercontig:CCACVL1_1.0:contig15165:31521:33738:1 gene:CCACVL1_28405 transcript:OMO53713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLQASASSTIGARNEAVEAMASSGIVGANDLLIVGPGVLGRLVAEKWREEHPGSQIFGETVTTDHHDELITMGIKPFLKGAKTDQKFPYVIFCAPPSKTPDYPGDVRMASLSWNGEGSFLFTSSSAPYDCNDNGPCDEDTPIVPIGRSPRTDVLLKAEKLVLDFGDRGAHFYWLNKGTVDARPDHILNLIHYEDAASLSVTILKKKLRGRIFLGCDNHPLSRQEIMDLVDKSGKFNKKFEGFTGTSDPLGKKLNNTKTRKELGWEPKYPSFAHFLGVAE >OMO53711 pep supercontig:CCACVL1_1.0:contig15165:22853:24049:1 gene:CCACVL1_28403 transcript:OMO53711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEGQLEKAHEEEEEVVSEEIQYPFYTEGPIELLDARIDTANYSLVKAAERLQRELDYENHMDEAEILNQAGNLVLDRSEIGDDRPLSACSFSYDGNFLATCSFTGLAKLWSMPLPNNNKHSIVNHSIVNHSILKAHTERATDVTFSPVSNHILATASADRTAKLWNADNGLLLQTFQGHLDRLARIAFHPSGEYLATTSFDKTWRLWDIHTGAGLLLQEDGHSKSVYGIDFHKDGSLAASCGLDSLARVWDLRTGTSLLALQGHVKPVLGVNFSPNGYHLATCGEDNTCRVWDLRNNNECLYIIPAHSNVISQVKFEPEEGSYLVTASFDMTAKVWSARDFMPLKTLRGHEARVTGLDIRSEDGCIATVSHDRMINLWRTAGQIDDLALDFMDPGF >OMO53719 pep supercontig:CCACVL1_1.0:contig15165:56121:58977:-1 gene:CCACVL1_28411 transcript:OMO53719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLSNSRKFARVLGSSPSILLNSNHRFLSSLTPISSASLSSLPWQFHTETSSGMCRGSYLSMPQHPVYTMMSRACFSTGAETSDSNPTEAVKELYDNMLESVNVKRTMPPNASLWSMIGKCKNMEDIKLLFDALKNLRRFRLSNLRIHSNFNCNLCQEVARACVRVGTIDFGKRTLWNHNVYGLSPSIASAHHLLSYAKVHNDTKILVEIMHLVKRNNLPLQPGTADIVSSICYNTNNWELISKYSKRFIKAGVKLRPTTFETWMKFAAQRGDTEALWNIEKLRSETLTFHTLTTGISCAKGLLLESKPEDAATLIQVLNQTLSETKKAGIKDEIENLVNEWPSEVIKHKKEEDRKALAASLKSDIPAMIASLLNMGLTMSGKLEDLTKKELL >OMO53715 pep supercontig:CCACVL1_1.0:contig15165:39373:40611:1 gene:CCACVL1_28407 transcript:OMO53715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCFSLNSFTLQTPKSHQSYNKSQFTSQFIKQIQRAKLKFEAELMEEAEITYSIVRPTAFFKSLGGQVELVKDGKPYVMFGDGKLCACKPISEQDLASFIADCVLSEDKINQVLPIGGPGKALTPLEQGEILFKLLGKEPKFLKVPIGIMDFAIGVLDFLVKIFPSLEDAAEFGKIGRYYAAESMLILDPETGEYSAEKTPSYGKDTLEEFFARVLQEGMAGQELGEQSIF >OMO53724 pep supercontig:CCACVL1_1.0:contig15165:74070:76931:-1 gene:CCACVL1_28416 transcript:OMO53724 gene_biotype:protein_coding transcript_biotype:protein_coding description:lipase-like protein MGHKWWLIFTILTCLIACSSGRELHVKPKTDLAVYNHTLARILVEYASAVYMSDLTELFTWTCERCDGLTKGFEVIELVVDVQNCLQAFVGVAKDLNAIVIAFRGTQEHSQYVPNTFRVTNDHDIVPHLPPYYTYFPKKTYHHFPTEVWLYSVGLGSLVYKVEKVCDDSGEDPTCSRSVTGNSITDHLNYFGVDLMCEQWRSCRIVMEPSLAAYGTTDHKGNFILSRDPSTLAMKMNIQSNEGGDTFSA >OMO53709 pep supercontig:CCACVL1_1.0:contig15165:12626:13177:-1 gene:CCACVL1_28401 transcript:OMO53709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPGPGGPGGPGGPGWGPPGGPGGPGAPWPGPGGLVAGFFNGLCNGVTSCLYVLCCCWLIQDCFGGPPPPGPQGGPRDFPPPPGYVGPPGPPAPAPPPPSAPAPAPPPPGPPGPPGGPPGPYGGPPGPPGGAPGPPPP >OMO53714 pep supercontig:CCACVL1_1.0:contig15165:37345:38807:-1 gene:CCACVL1_28406 transcript:OMO53714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELEDYNYSSLPNDVALKIASSLEVSDLSSLGSCSRVWRELCKSDCLWESLVRQRWPLLNEAVFKDPNFEGWRGFYIKQHKEMMNRAASVVKFVEQCSPSDSLEVNDHLRAIECLRSIQFGFKDVQLLLFKPKLNVLLNLVGLHYCLNRLRVPASDVVEALESSKISDHQVCVKWWKLGRWFYGFRMRDESHSRCISLEDLATSKAEEVLGVLDRGAIHEVLRVQISLANSTSNHWSSYSPQ >OMO53727 pep supercontig:CCACVL1_1.0:contig15165:93154:95394:-1 gene:CCACVL1_28419 transcript:OMO53727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFPCFGSSNKEGSNGGGTVKELSKKDSTKDASVGQAHHVNRVSSDKSKSRGASDSKKEPAVPKDGPTANIAAQTFTFRELAAATKNFRPECLLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHAPLHLCRADSFSGLPNMGQHTMLANPNLLVKMSNRTNCAF >OMO53708 pep supercontig:CCACVL1_1.0:contig15165:6043:8568:-1 gene:CCACVL1_28400 transcript:OMO53708 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 14 MEVSVIGSSSQAKIYRTELAYRDLRLCFGNNDKSQVLSPKPNSLRFESQITRFRKAGLRFTVKAVQAEAVLESKSFARKKPLDRVNLFVGLPLDTVSECNTVNHARAIAAGLKALKLLGVEGVELPVWWGVAENEEMGKYDWSGYLAVAEMVQKAGLKLHVSLCFHASRQPKIPLPKWVMQIGETQSSIFFTDRSGNHYKECLSLAVDDVAVLNGKSPIQVYQDFCKSFKSAFSPFIGSTITGISMGLGPDGELRYPSHDKPAKSGKMTGVGEFQCYDTNMLNRLKQYAEANGNPLWGLGGPHDAPAYDQSPNSTNFFKDHGGSWESPYGDFFLSWYSSELIAHGDRILSLASSVFGDMGVNVYGKVPLEHSWYKTRAHPSELTAGLYNTASRDGYEAVAEMFAKNSCKIILPGMDLSDAQQQQESLSSPELLLAQIRTSCTKHKVGISGQNSASGAPGGFEQIQKNMTGENVLDLFTYQRMGAQFFSPEHFPSFTTFVRSLNQPELHSDDLLPTEEEEEATESVHRSSDPNVHMQAA >OMO53712 pep supercontig:CCACVL1_1.0:contig15165:24665:28106:-1 gene:CCACVL1_28404 transcript:OMO53712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGRQASGRPVGREERKKEEVGRSARRAEEVFARKAEKEEEVVRNGSNQQKVEEEKAEEGAAEAKAAKSRAKRRSSRPNPRLSNPPKHVHGEQVAAGWPSWLSNVASEAISGWTPRRADTFEKLDKIGQGTYSNVYKARDTLTGKIVALKKVRFDNLEPESVKFMAREIMILRRLDHPNVIKLQGLVTSRMSCSLYLVFDYMEHDLAGLAASPAIKFTESQVKCFMNQLLSGLEHCHNRHVLHRDIKGSNLLINSEGVLKIADFGLALFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGIGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQSYKRCIAETFKDFPPSSLPLIETLLAIDPVERLTATAALNSEFFMTKPYACDPSSLPKYPPSKEMDAKLRDEEARRLRAAGRANADGVKKARPRERAVRAIPAPEANAELQANLDRRRLITHANAKSKSEKFPPPHQDGALGYTLGSSHPIDPGFDPPDVPFSTTSLTYSKGPIQTWSGPLVDPAAVGAHRRKKHLSGDIHAHSKSSKKDKNSGRM >OMO53718 pep supercontig:CCACVL1_1.0:contig15165:54765:55567:1 gene:CCACVL1_28410 transcript:OMO53718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYSDKLIPPWSQFSPLETAAAAIVDEIEKGSSCRRPKEANTKKNLIVTESMKKERDRRGKMAQNYDLLQSMLPTLTLLPKANREMILAETTTYIQSLEEEIKRLEELKKNSSSDQAKLLKGKIHLFDSDRNSSINVTVSSNVAFFGIQSVNRPRLVTDIFMVFDYHKAEVLAANVAVNQKQLTLTLTAVVNGNGENTIEKIKRDILNL >OMO53722 pep supercontig:CCACVL1_1.0:contig15165:67422:69793:-1 gene:CCACVL1_28414 transcript:OMO53722 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MEMEASSANERLQFGKMGYGCQHYRRRCKIRAPCCNEVFPCRHCHNDAASMLRNPYDRHELVRQDVKQVICSVCDTEQPVAQVCTNCGVNMGEYFCEICKFFDDDTEKGQFHCHDCGICRVGGRENYFHCKKCGSCYSVALRDNHLCVENSMRHHCPICYEYLFDSLKDTTVMKCGHTMHCECYQEMIKRDKYCCPICSKSVIDMSRTWKRIDEEIEATVMPEDYRYKKVWILCNDCNDTTEVYFHIIGQKCSHCKSYNTRTIAPPVLPQ >OMO53710 pep supercontig:CCACVL1_1.0:contig15165:13976:20220:1 gene:CCACVL1_28402 transcript:OMO53710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSGAKRQRVSTPTPKTLTLAVELAASIPDAHHPSDSDSAADLAQVSDSPNPKAVFQVPNYPIVMPIVLEPGIDTSTSNPTAFHPASDFTVSDLKKINDSFRLSLLLVDELIQDPDCCRALFQDPNYPKVMSLLKGEPDRSEFHQKRIGWWIQNDNLPQPRPFCSSNVSSKKLIPESGAKSQRVSTLTPKALALAVELAASIPDSAADLAQIDDSIRLSLLLVDELIQQSIRRRAVFREPNYPKVISLLVGEADRSEFHKKRIGWWIQNDNLPEESLKMIVHLKCDCGAEGCVYLYNDGEFIFKQDTITASRSEKFAYFATHCSCGSKVSSCGIFQDSDDVDYVKDVYAIWLLEHELGNSIQYPDCSNPREVFQEPSYPNVISLFGGEPVRSVFDEETIGWWIQKKDLPKDDLKMVVRLKCYCGVEGCVYWYNDGGFSWSQVTLSVVRSRMCVYFATLCSCGSRVSQCGIVREALPVDPAAPIPTAWSSYADLPAFNFKATDLALLNDADIFNVLLLLEGLYDPYEYDKITDVPHPNPKAVFCEPGYPRVITLGEDAPARSEFHNETIGWWIQKSELAEDLKMIVHLKCNCGAKGSVTWFNDGKFKLSQGDDKLSVILSRKDKISAVLSRKVAYFASSYMCGSHITDCGIARDKSIAISLYYERR >OMO53716 pep supercontig:CCACVL1_1.0:contig15165:41149:42853:-1 gene:CCACVL1_28408 transcript:OMO53716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTDKPGSPPKTDCPPPAAAAPPSKCPFNYTLVDVALRALLFAAAVTSVVVMVTSKQTKLVPVAVPGVPNRVPMLLPAKFNHSPAFIYFVAALSVTGLYSIITILASISVLKKPAYSKKFLLFFALWDVVFVGIVASAVGAAGGVAYIGLKGNDHVAWNKICNLYGKFCRHVGSSIAVALFAAILLVLLSMMSTFILYKKIRD >OMO59400 pep supercontig:CCACVL1_1.0:contig13981:41:4761:1 gene:CCACVL1_24852 transcript:OMO59400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLAAQLKRGISRQFSTGSMKRTFSRQFTRQSSLDPRKNNLRFSFGRQSSLDPIRRSPVHDEMTVPENLDSTMQLLFMASRGDSKGVEDLLNDGIDVNSIDLDGRTALHIAACEGHVEVVKLLLSRRANIDARDRWGSTAAADAKYYGNVEVYNILKARGAKVPKTRKTPMTVSNPREIPEYELNPLELLVRKSDGTAKGSYQVAKWNGTKVAVKILDKDCYSDPESINAFKHELTLLEKVRHPNVVQFVGAVTQNIPMMIVSEYHPKGDLGNYLQKKGRLSLSKALRFALDIARGMNYLHECKPDPVIHCDLKPKNVLLDNGGQLKVAGFGLFRLSKISPDKAKLAQTDAHVDPSNVYVAPEIYKNVIFDRSVDAYSYGIMLYEMIEGVVPFHPKPPEEAVKLMCIEGKRPPFKIKSRSYPPELRELIEECWDQEPVVRPTFSEIIVRLDRIVANCSKQGWWKDTFKLP >OMP12140 pep supercontig:CCACVL1_1.0:contig00375:635:721:-1 gene:CCACVL1_00104 transcript:OMP12140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERANFSKIQPQSLSQYNPMNTQVTKF >OMO88555 pep supercontig:CCACVL1_1.0:contig08992:8975:13222:1 gene:CCACVL1_08315 transcript:OMO88555 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MSMAGEAAFSAFLELFAKLASYDHLLNFVTENKQVNQHLKEWQRVLPTIQAVVNDAEQKQMKDQNVKKWLVQFHGLAYDVEDILDEFAMEALHRKLHLHQDQASTSNKVRIPISTWFNFTNPSAFNFSKKMISKFEKISFGLNGLATQKWSLGLRKIDQGQGTMFKATKASLQQPRALMDETHVYGFGREKEKAEILEFLLTQNGNEKGVSMLQIVGLGGIGKTTLAQLVYNDSSINKSFDHKAWIFVSKDFDATSVTIKILQSISAKFCTCTGRVLRNLQATLKEKLLGTRFLLVLDNVWDENFDDLSILLKPFGVGTKIILTTTRSCKVSSVVSNAEAYPLQHLSDKDCLSVLTQHALNASDFSGHPELEKVGMKTARNCNGLPLAAKVIGGLLCTNLDYGVWKDISESGIWDLTEDKYCGVNPALLLSYLYLPPCLKRCFSYCSILPKDYDFEEEEIILLWKAEGFLQEANLKTQMEGLGTKYFQELVSRSFFQTSSRDKSRFVMHDLINDLAQFVAGEICFKLEGNEKPEAFEATRHSSYVRGLNEGAKKFEPFGRMKNLRTFLPIMMSSTNEVCYLTNTVLIDLLPKLACLRVLSLKGYSINALPNSFENLIHLRHLDFSYTNIRSLPASIFTLYNLETLLLKKCQCLEKLPSEIDLLVNLNHLDISGADSLKRMPSGIGKLTNLQRLSNFILAVDGWLQIQDLKNLVHLNCDLSLSGLENIIKAADACEAQLIDKSGLEGLCLMWSNKFDSYGRNKAVEEEVLNMLEPHRKLKTLSIENYGGAKFSTWMLDSSLKNLLSLTLNNCRNCKSLPPLGKLPLLKDLCIRGMHEVNKVGIEFYGDTESNAFASLEMLCLVDLPNWKEWDFDEVDDQVAKFPCLRHLCIRNCPQLLGRLPKSVHSLEALIIRGCPKLVVSVSNLPMLHELEIDGCAELVLRDYADFPALKKVSLSSISKFCISTESLQHLEISGCNELQLGSLGHLTSLQNLEILCFPQLVSLDAGEVKEEQLQLGKLCSIKSLTIRNCKRLERLPQYFRFLTFLTIMRIEECPCIVSISVNNLPPSLKMLVIKGCMNLQFLVDEGENASISIETSLLEHLEVINCPLESLSLPMRLQTLIISRCSKLVSLSTSGVLPTGLKQLWIIDCSWLESIVQAVHENSCLEYLRIWSCDKLKYLPKGLNKLKHVEKMEIWQCESLVSLTESGLPTTNLTVLSISNCRNLVALPNMQNLTALKELSLTSLGNPHISFAQVGFSTSLTSLTILVPRLSSSLLKWGILHKLTSLRFLYINGEECWDVVSFPLQGKGRMLPPSLTSITLEKFENLRHLSSKGFQNLTSLQELWMLDCSKLESLPEKDMLLSLSVLYIWGCPLLGNQCMWGGGREWSKICHIPEVRVNHQFIIPKPSWYRGGQP >OMO50161 pep supercontig:CCACVL1_1.0:contig16288:9541:11550:1 gene:CCACVL1_30592 transcript:OMO50161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASISVFNRLLFFRRNNPFRYTCTKNLASISSSALEPQHFIPQQPSEECCTMSLIKSILLKRGWNVNSENLGSIDMTESNVIRILTDLFEESLDAELALYFFKLSECCIGSLHSVKSVCKMIHILVSGNMNYRAVDFIFHLVRISNSKEVSKDLLLELFYETHSDRMVLETICSMLVDCYIKEKEVTSALELTCQMKSFNMIPSIRVCNSLLKALLGLNKLDLAWEFLDQMLKQGIGLNVSIFSLFIDKYCSKGHLLSAWTFLMEMKNYGIKPDVVAYTIIIDSLCKVSCLGEATSILFKITRLGISPDSVLLSSVVEGYCKAGKPKEALKVMNFFNFKPNIFVYNSFISQLCAEGDMTKASLIFEEMFESGLLPDCVSYTTMIGGHCKDQDINRAFQYFGRMLKCGIEPSVTTYTLLIGACCKLYDLEQAECLFKKMIMEGLVPDVVTYNTLIDGFGKKGHLHKAFMFVDMMRSAGISPDVTTYNVIINSLISRGFTNEAKDILDELVRRGISPDVVTFTNIIDGLSKKREFEEAFLVWFYMSEHHVKPDVVTCSALLNGYCRAQRMEEANALFLRMLDAGLNPDLVLYNTLIHGFCSIGNIDDACNLVTMMVRNGILPNNITHQAFVIGFEKKWVKNPVQIAALKLQQLRLRHGIPVNVDGYASMP >OMO50160 pep supercontig:CCACVL1_1.0:contig16288:2132:7140:1 gene:CCACVL1_30591 transcript:OMO50160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMMQVQPQMGTFNPQLPMPLSNVNVMPLLSNVGFMNGTNQLHPTQNVIPQFGPIFPNMNSVPMFQQLQNGQFNNPLQNPNQSNWLNLPQQVNQNNLGGQQHQQQLFLQNQLQNMGQLLTSQIPNLSQFVSALQTMGCLNPTAVPNPQFGFMQPNQMQQQASQSQQNLGDVNLLNPSSSTAASQGLADSSFGRPMGDSCKNGQSLNHIPGRNVARDSKWGFQKSKFQHSRFHQADNAMRKFASSNGHKKKGQDNVRAAKFHHSESANPNKEKRRTLAMTYTEQEIRQWREERKKYYPTKANIKKKLSGKVADSEVAKLRSEQLKDILAKQAQLGVEVAEIPSHYLLGSEKKVNGRGESNGPSTKRGRFEKHDKRGRSEKRDRFSWKRRSTNEESCEGPSSSKRSPTLLQKLLGADIRKDKSRLLQVFRFMVINSFFKDWPEKPLKYPVVVVRDGLCDSEIVQGNPLLVGNEDFEVCNKSMIQSIGDGDNDGNASSDHENKSGNDVDIVIEEKDDANGDEDNYNKHNQVVLCVGDKADIGEEIVQNEEEEGEIID >OMO60292 pep supercontig:CCACVL1_1.0:contig13744:8024:8137:1 gene:CCACVL1_24267 transcript:OMO60292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QIFGVSVCVYWSTGGKAQLDAASFGNQLRIRSSKPSK >OMO76764 pep supercontig:CCACVL1_1.0:contig10870:10252:10362:1 gene:CCACVL1_15426 transcript:OMO76764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDATSIDGEISKLSCVRVAKKDGFFSIKATNTVA >OMO76771 pep supercontig:CCACVL1_1.0:contig10870:30005:36888:-1 gene:CCACVL1_15435 transcript:OMO76771 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGEEPSSSSLLKDVTNFKTPKRPSKNPSFQSPCPQYFTASKQTPRSSSSFRHRSRPSLAPSSSRSKTAAAAARKFKAFELDQSQSARKEQVRKQQSLKSLSKSLTVWLNFLFQNPKSCGCDLSINGGDDGNAVQVDIAWRNPKRMRELCWRGEESENVASDISSSKYFTLKTSLKEVCSFDDLKKRMQIYLSLASCKEIFDVMTQVVKNIDEGRLKMKAHCPIVTDFGLKEKASKILLSYNPIWLRIGLYIVFGGDSLLSDGDISSEQDISFLKMVIDKQFFSHNGLAKAYAYNKKVEGLYRPGYYENLGNVILKRILLLVLMLDRAKSLTSLPLKYGIDGVDGGSPLLFTISSGIKSSRQVLNDFLSSDVMHGEGNLLAHLVIVGYKVSHQQSALVEFDFQVSDIFTDLQDGVRLCRAIQLLQHESSILMKIILPSDTHKKNLANCGVALQYLRQAGVMLCDEDGMKITGDDVADGDKELTLSLLWNMFVHLQLPLLLDKTIIADEISKIHGFNQENVNVINPTLLGMLLNWIQDSHEPRGQESIVSATDYTDAVHNFVLSQKLTALLGTFPEVLQISDLLEHNGAVSDQSVVVLLVFLLSQLIVKKNVDQLNFHKLLGCNCQKLERRRSLTRRQLANSEVVVHKTELDMDITEDGAKKFKAIQAWWRDMTERNYKSVVKPAASASYCLTDSKTSIDIQRHDISSPDLVKAATVIQKHARGWMVRSRKILGPAQVVNAPVMCQGKGLRNSEIEAATMIQLAWKQFVCRSLQNQTFAATKIQSHFRGWQLRRIFVKQKQAIIKLQSNFRRLRCLSAFHNYKTTVARSAIIIQSHVRGWIAQRKARRYMYLIVVIQRHCRGWLVRKELLLQRNAVIKIQRATRSLKSQKAFNFQKQAAIEIQRFIRGQITRNRLLGASSLHAANIGSSNFKMSEGFFRSFELTLLMVSVLKLQRWWRGVLLCKLRTKSAIIIQSHLRGWIARQKAQREKHCTVLIQSHWKGYLARKESREQLMDLRLRMLKSAKNVDDSKRIINRLLSALSELLSMKSVSGILHICETLDTTTAHSLKCCEELVAAGAVGILLKQIRSVSRSIPDQEVLKHALSTLRNLARYPHLTEVLINTPGCVEIILWELHRNKEEGYFIAAELMKKICSTEKGVKAVHKLPAALLKRLHNLVEELTRKSNLEKRNPRAVALRENTDRRLREAVEIIKLIRNG >OMO76770 pep supercontig:CCACVL1_1.0:contig10870:28669:29179:1 gene:CCACVL1_15434 transcript:OMO76770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQFPSYQLSPWHYLLSTFSNQVIPENYVQWRETPESHIYYADLPGVRKEEIKVEVEDSTYLIIRTEAIDESTEPAKNFTRKFRLPARIDIDGISAAYENGVLTVTVPRSFRRGGFYIDPADVPERLEVLARAA >OMO76773 pep supercontig:CCACVL1_1.0:contig10870:43911:47017:-1 gene:CCACVL1_15437 transcript:OMO76773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRMTRMPLSLTPLELPALASDWWDEINDSTQWQDGIFYSLCAAYALVSTVALIQLIRIELRVPEYGWTTQKVFHLMNFIVNGVRAIVFGFHGQVFVLHPKVLTLVVLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRIFYISINATIYLIQVGIWVYLWIDDNSVVEFIGKIFIAVVSFIAALGFLLYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFLVRCFVVVLSAFDSDASLDVLDHPVLNLIYYMLVEILPSALVLYILRKLPPKRVSAQYHPIR >OMO76769 pep supercontig:CCACVL1_1.0:contig10870:26594:27808:1 gene:CCACVL1_15433 transcript:OMO76769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, MYND-type MARGHELVAVTISHPPGQAYEEKTSSTASSTPTKYAEPPNEDTFMDVRVNSNSSFRQCAMCDKDVNGDQSLCCGRCQAVVYCGSLCQKQHWKESHKSMCGLYKAMMEREEELVMKIFMFPCSADQPCKWLELGIHQKGMWRRKCSCYSHCPFGLLPVKGGLWDSRGGLDDEEYPRDSPFHNHLRDGISSPILLSGWSEYYNLRSLPLSSPVADILSHPLTVYYILTALSISSKNLLLKGKEIVMVGPEVPTNLSGTTSGISSRVRVNLVRGLYQEEATYLPSPHVIVALNCALDRYGSWGGALDLIKAMGIPAFFTEQSEILCANAKQVLRSAGLHITHPVTPNPFRSPVKNLDFSSNLPSYSNGFVLGVNT >OMO76763 pep supercontig:CCACVL1_1.0:contig10870:3328:7659:-1 gene:CCACVL1_15425 transcript:OMO76763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein 70 family MSVVGFDIGNENCVISVAKQRGIDVLLNDESKRETPAVVSFGEKQRFMGSAGAASATMHPKSTILQVKKFIGRKFSEPDLEKELKLFPFETFEGPDGGILIRLQYMGEAHVFTPVQILGMLLSHLKQIAEKSLQMSVSDCVIGIPSYFTDGQRRAYLDAAMIAGLNPLRLLHDCTATALGYGIYKTDLSDSRPIYTVFVDIGHCDTQVCIASFETGQMKIISHAFDSRLGGRDFDEVLFNHFASQFREQYNIDVYTNIKASIRLRASCEKLKKVLSANAEAQLNIECLMDEKDVRGFIKREELENLSSGLLERISVPCHKALADSGLTLDKINSVELVGSGSRIPAITRILASIFNREPSRTINASECVARGCALQCAMLSPIFRVREYEVQDSFPFSIGFASDKGSICTLSNGVLFPKGHPFPSVKILTLHRTNTFHMEAFYANPNELPSGLSPHINTFTIGPIPSHTNMAKVRVRVKLNLHGIVILDSASLLMEDHMDESETSNDPHLTSEEVEDKCDRMSSAEKHAETGNHAQTESPFPSGGGAARKGRVVKRLEIPISESISGGMKRAELAKAEEKERWLMQQDLKMEQTKEKKNALESYVYEMRDKILNTYRSFANVSEREEISRKLQQTEDWLYEDGMDESESVYVDKLGDLRELVDPIEKRYKDEEARAQATRDLLKCIADYRMAAGSLSSIKKDAVNDECNKAERWLQEKSQQQDSLPKDVDPMVWSSDIKRKAEALEATCKYMIRSNSSPPRRDDMNDSDQSKSDSMQVD >OMO76765 pep supercontig:CCACVL1_1.0:contig10870:13145:13477:-1 gene:CCACVL1_15428 transcript:OMO76765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRKPYSYSKIDKEDPEDIIHRRAQFLIYKVMQQADSRRKPSFMRIRLCRLKVKIGRRLKKLRKSAFVCISAARVGVYKQLINQLKTWRRLFSRGDGTIATLPRPLFT >OMO76768 pep supercontig:CCACVL1_1.0:contig10870:21715:25160:-1 gene:CCACVL1_15432 transcript:OMO76768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQPAPGVVPPPMAAPPSMAAAPQSQQQYQYQQPPQPQQPYMMMNMMPPQSQPPSMWPPQQGSAPVPAQQQQAGQAGQPASADEVRTLWIGDLQYYMDENYLLSCFAQTGEVASVKVIRNKQTGQVEGYGFIEFVTRAAAERALQTYNGTPMPNGDHNYRLNWASFSSGERRDETPDFTIFVGDLAADVTDYMLQETFRGRFSSVKGAKVVIDRLTGRTKGYGFVRFGDETEHNRAMTEMNGVFCSTRPMRIGPATNKKTITPQQYPKASSHSSSHGTQNENDPNNTTIFVGNLDTNVTDDHLREVFSPYGQLVHVKIPQNKRCGFVQFADRSCAEEALRVLNGTQLGGQSIRLSWGRSTSNKQGQADPNQWNGGYYGYPQGYDYGYGAPPQDPNMYYGGYPGYGNYQQPQQQQQQVGYS >OMO76766 pep supercontig:CCACVL1_1.0:contig10870:15100:17224:-1 gene:CCACVL1_15429 transcript:OMO76766 gene_biotype:protein_coding transcript_biotype:protein_coding description:SUF system FeS cluster assembly, SufBD MASLLANGISSFSPQPTSDSIKFAKGFYPKLDSVKPIAPKPSSSRLLKVRADVGFDSQTLTSDPSSSSGKSYDDKIQEILRNRDYNKKFGFTVDIDSFSIPKGLSKETIRIISSLKEEPDWMLEFRLSAYEKFLKMKEPQWSDNRYPPINFQDICYYSAPKKKPTLNSLDEADPELLKYFDRLGVPLNERNRLANVAVDAVLDSVSIATTHRKTLEKAGVIFCSISEAIKEYPDLVRKYLGRVVPSEDNYYTALNSAVFSDGSFCYIPKDTKCPMPISTYFRINALETGQFERTLIVADEGSFVEYLEGCTAPSYDRNQLHAAVVELYCAKGAEIKYSTVQNWYAGDEEGKGGIYNFVTKRGLCAGDYSKISWTQVETGSAITWKYPSVILEGDNTVGEFYSVALTNNYQQADTGTKMIHKGKNSRSRIISKGISAGHSRNCYRGLVQVQSKAENAKNSSQCDSMLIGDNAAANTYPYIQVKNPSAQVEHEASTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCRDVFNELPDEFGAEVNQLMSLKLEGSVG >OMO76762 pep supercontig:CCACVL1_1.0:contig10870:2475:2546:1 gene:CCACVL1_15424 transcript:OMO76762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVWLFYFYLDSPAQPSTVATTG >OMO76767 pep supercontig:CCACVL1_1.0:contig10870:19297:20602:1 gene:CCACVL1_15431 transcript:OMO76767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQNQGASLSSSVDPPMKRKRGRPRKDENVQGDSTSLTPASDSLKKNKQTMGISNPASDEMATPILISEVWYFVPGRFTPITAANDVAPHAKMYTRKEIPIPFVNSQSQLHSVGPPSGKNEKPVEHKNDAPNLPDQSLHTGLQSGATAASESQSASIPIPLATNLPINDPGLPLVQKVLQEQIIDSGLQNDKAIGVHQSLQGFEAFKLMKGPNIDLETPKASEPVSVTLTATLPVTETVNLKPQVENQGMISGLKPQELVHDGVKCIDLCNNQSLTFPEPKPQPQVMASKPTGINMFDKQTFSRQEIDISQDTQLELAKKILSGDNTPRMDGFTTGDAATATVTAPCSASMTSLPIMIFGAETIPCEPKPATEESLFPRMAAPEVSSSSIAANTISVECNAKDAIPPAQS >OMO76772 pep supercontig:CCACVL1_1.0:contig10870:38195:42729:1 gene:CCACVL1_15436 transcript:OMO76772 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MTGLIGDDHKRVRGALVSFLKPEMLKQYVGKMDEEVKRHLEMHWYGNPKVMVMPLMKTLTFNIMSSLIFGLEHGDERRNIVIELLQHMMNGLMALPIYLPFTRFNRGLKASAKVRTLIKDLISERRAALEQRIAVPSKDLITCLISIGANDPSISMSDEEIIHNVIGVMIAGHDTSSVLITFLVRLLATDQSVYANIVQGSFRKVLKDIEYEGYTIPKGWQVIWAACMTHMDEHIFSDPLKFDPTRFEKQANSGAPPYCFVAFGGGARICPGNEFARIETLVTIHYLKRMAQAVEEWYKQMPIITRSYLTAAIVTTIGCSLEIISPYHLYLNPKLVVKQYQFWRLITNFLYFRKMDLDFMFHMFFLARYCKLLEENSFRGRTADFFYMLLFGASVLTGIVLLGGMIPYLSESFARIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLKTPAFIKALFADEAVVVARPANVRFAPPPAEELHQD >OMO85961 pep supercontig:CCACVL1_1.0:contig09556:5:3976:-1 gene:CCACVL1_09904 transcript:OMO85961 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRGKVVLERIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKVSEFGSNTNVSETLEKYWQHRYTSQVNIPEDEGQTLYQEVLKLKAKYESLQRSQRHLLGEELEPLSVKELYKIEKQVDRALSQARQKKMQLMFDRMEELRKKERELEDENKQLKSQLELEQCFQVAQGFGDPNIEASNEYNVAASSQANPPQHDSSLAMGYHQFIPQERVVEARLEIRGANKRAAGWL >OMO85962 pep supercontig:CCACVL1_1.0:contig09556:10014:12376:1 gene:CCACVL1_09905 transcript:OMO85962 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative phosphoglucomutase MVELLHYGKPATIKLRPRRRSRTPVGLTATTKPPPNTKLVIIPQTAPTPSSMQSFISTNQLDKFPGNSTSGTLGSKVQTYTGLASSSSSSTTIAEPEGIKINSVPTKPIEGQKTGTSGLRKK >OMO59299 pep supercontig:CCACVL1_1.0:contig14014:15854:16081:1 gene:CCACVL1_24938 transcript:OMO59299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKAREADKVGGEANVGDVHNEGELTCSRQRRHKKRDIIVKMTNATVAARLATLLGIVGSSKHRECCYHFERWK >OMO59298 pep supercontig:CCACVL1_1.0:contig14014:12806:12922:-1 gene:CCACVL1_24937 transcript:OMO59298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTWAGKITATSSNEMKKIKKRATTNDGAPYSALYDE >OMO59297 pep supercontig:CCACVL1_1.0:contig14014:4387:8883:-1 gene:CCACVL1_24936 transcript:OMO59297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGKLKPFWMTEDTWVDQSLDEKEGKRSECFPVKVVTIEGNDPDFVVPGLMAAR >OMO60203 pep supercontig:CCACVL1_1.0:contig13761:4588:5871:-1 gene:CCACVL1_24331 transcript:OMO60203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKRPIEQPPPSASSSEEEDSDVEDDEEEESSDGEKENNSPAERGAETKNDAEEDGDDDDDDDDDDDDEDEDEDEEEEEEEEEEEKTPLKKQKTISGKDGPDSETESESDKSLPSPNVSDFTIKPIVSKPQKSALKSTPNPKPVATESSSKRKNAPSSAVATESSSKRKNPPSSASNGAASNVKTPGVKTPGGARVWSEADEIAILKGMIEFKSKKGSDPNSDLSGFHDFIKQFIQAEVSKNQLIDKMRRLKQKYKNNAEKGQNGNDPVFSKPHDHKCFELSKKIWGNESNEKIDKSQKKRKTEDHGKSEVKSGITLDLKNDKEVNASNLTLVRCNGEKEKVAFWKDYPHLKESFETEMGKWGNVGPFQMTLTEDMAGVIGKEKLKEMEGKFRKLRIEELKLYLKRMDLVREQAQEVLDVLKGSNV >OMO60204 pep supercontig:CCACVL1_1.0:contig13761:17734:25415:-1 gene:CCACVL1_24332 transcript:OMO60204 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, MADS-box MGRRRSMVKRKGKSHCSRQITYSKRRECIVRKATELSRTFDADVGLLLFSSSGRLTTYGQKGRVEDIFLRYIEHPDQFRGCVFVIALFFFFFFFPVYPMNDTSAVNAMMCSRLEILERQLCEVNRQKYEVQDKIRSYNPDMTKILSVSDAHRHQQVLIDAIERIETLKKSKLLEKEISPSEPSNAEIPVVEVESDLTTEESVNSKKKRIQSADNPEGETQLPGGPHLSISYLETQKHWNLLNAEDMTSTEEHVLEVAYYAILFMILLCALAMRFSEGKRKMPDRVFVKEGRTTTFPEKNEMAEKAWIHCKKEMAEATNDLISLLSNKQGIDNTIDILLPRARELLLNCLRKKGVISQSSDLEATFKHLLHITLGPSPAIALDTSPAPVSAPKSALAPSPASFSYGPAPTPFYVPAPSPEDHASYVRPHPPGHVVNSLSVSNMISSSSPPPVEHSSPGDHNRRKQSEEQEDVMKETIIAVIATSATTFALVALFFFCCLKGSRQKKDEKPLLSLSDYMSPDYSPDRSQNINLASSSNESFTGSRKNPSSASCLSMTQDNALAGKSPLSEATEGASCPPLKPPPGRATAPPPPAAPPAAPPAAPPPPPPRATPPPPPKVGRPPPLPPKSKPAPPAFHRRGNSASGSGGASACGDETDGDSDAPKAKLKPFFWDKVNANADQSMVWHEISAGSFQFNEEMIESLFGYQPGTNNKNNQKRDSSSEPAIQYIQIIDARKAQNLSILLRALNVTTQEVVDALREGNELPTELLQTLKKMAPTQEEELKLRLFTGDLSQLGPAERFLKIIVEIPFAFKRIESLLFMNTHNEEVTNVKESFANLEVACTKLKSSRLFLKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEMIRSEGRRAVRTQLSNPSLSSFKSEDFVVEDQNQDTEEYYRTLGLQVVSGVSTELAEVKKAAVIDLDSLSATVTKLNHSLTKAGEFLSSELKSTDEDGEFFGSLSSFVDRAAADVRCLSVEEKRILEQVQSTADYFHGRATKMEGLRLFAIVRDFLIILDKVCKEVGDTRAKLPQKNSRKEDSPASTRSLENQQPSSSENRNPSADIHKRLFPAISDRQMNSSSSDDDSSSDDD >OMO51469 pep supercontig:CCACVL1_1.0:contig15812:19390:21781:1 gene:CCACVL1_29782 transcript:OMO51469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPHKRRLGDQDNQMEDNALIDYGQQDDHGSF >OMO51470 pep supercontig:CCACVL1_1.0:contig15812:25862:27315:-1 gene:CCACVL1_29783 transcript:OMO51470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQVAYNPTGRRKENEGNSMVTGLKRNYHSLENPAFTLLLNKLLCGPV >OMO51468 pep supercontig:CCACVL1_1.0:contig15812:2973:3510:-1 gene:CCACVL1_29781 transcript:OMO51468 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA methylase MDKIPSSGEKKGYGAKTDLSTHFRKDEGRLRSVFDEVGVGDVVIGRRLYTGEGETETTWCGIEEGRGSDGDREAIW >OMO94058 pep supercontig:CCACVL1_1.0:contig07992:24305:34714:1 gene:CCACVL1_06210 transcript:OMO94058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSSKVGKKRAWEPGEPKVIVFLSATVRADIACINGSAARLKENQEKRKFRLESIKSNDSTNQG >OMO94055 pep supercontig:CCACVL1_1.0:contig07992:66:765:1 gene:CCACVL1_06207 transcript:OMO94055 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MAENRENVVMFPFMAQGHIIPFLALALHIEKTRNYKITFVNTPLNIKKLRSSLPPNSSIQLLEIPFNSSDHGLPPNTENCDVVPYQFVIKLLEASATLTPVFKDLIQDIILKQNGQRPLCLIGDIFFGWMSGLAQELGVFHAVFSGSGGFGLGCYYSFWLKSSIILE >OMO94057 pep supercontig:CCACVL1_1.0:contig07992:3286:7732:-1 gene:CCACVL1_06209 transcript:OMO94057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASAATISTPTLDSESPSLLQSITSHGGYAYARMATLAASGDQRAAEAAREMAWEQLHSGPWHSVLPVWRDAYSMACLYVAKFHFSNGEFRDALRALDMGIIMGGPLLRKDLDSAIEVVSAAKARVDQNGGAAAGNEGSQKAESRLLVSPEEIDKSELLRLLPIRSLSSKIVGKRSALSLEGFLREYFLSGSPVIITDCMAHWPARTRWNDMDYLRRVAGDRTVPVEVGKNYLCSEWKQELITFNQFLERIQSNDCRSKVPTYLAQHQLFDQINELRQDIFVPDYCYAGGGELRSLNAWFGPAGTVTPLHHDPHHNILAQVVGKKYVRLYSSSYSDELYPYSETMLHNSSQVNLDNIDDEEFPKVHDLEFLDCVLEEGEMLYIPPKWWHYEIELGIIWKKPLAGKIHIQAKREE >OMO94056 pep supercontig:CCACVL1_1.0:contig07992:1371:1541:1 gene:CCACVL1_06208 transcript:OMO94056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNEKAKEIRRKACEVKEMIQNAMKDDEEGFKGSSVKAMDDFFNAARMMREQNGR >OMO63579 pep supercontig:CCACVL1_1.0:contig12941:58756:58869:1 gene:CCACVL1_22390 transcript:OMO63579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREKTRQLTCCQLTLPPQPLDPIFRLTACRKDKENG >OMO92158 pep supercontig:CCACVL1_1.0:contig08258:33411:38424:-1 gene:CCACVL1_06913 transcript:OMO92158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSAPSRSNNEQGYGCSGVPTGLIRSNVIDNNFHKVSLT >OMO92156 pep supercontig:CCACVL1_1.0:contig08258:1813:1917:1 gene:CCACVL1_06911 transcript:OMO92156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKHKVAPSLITHLIMVGESTNGAAAYARSRI >OMO92157 pep supercontig:CCACVL1_1.0:contig08258:27492:27581:-1 gene:CCACVL1_06912 transcript:OMO92157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNMKNHSPPHLPPYSAPPATTNTPSSD >OMO54079 pep supercontig:CCACVL1_1.0:contig15060:5860:6637:-1 gene:CCACVL1_28079 transcript:OMO54079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSSSQTATATDADEWELCNDDGFIYKRQKRHRVISESAPLQVDPEEEEKRRSEWKRNCLLRVKEKYKKEIEEWERLSNSLKAMQEKALGFQIQQQERRKLMETEDRERTSSFSGPENKDKDNKENASGSLVDELLLQAESQEIIIRDVSNLCDIAETMCNAQEERLKQSYFDLPIWASPRDLMTSLCDE >OMO54078 pep supercontig:CCACVL1_1.0:contig15060:652:3627:1 gene:CCACVL1_28078 transcript:OMO54078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIDKETATKILNQVEFYFSDSNLPRDKFLHNTVTQSKDGSILLHFRILKLVNLDIICSFSRMRNYLGLGRVKKDDIPEAILKGVAEILRKSDFLKVSDDGKKVGRIKELSNPEEVIQQVNIRTIAASPLGYDIKIEDVESFFGQYGKVNSVRLPHHLADKRFFCGTALVEFSTDGEAEAILKQTLVFAGANLELKSKKEFDSERRKMEDSERSLKKPSDDGYPKGLLVSFCLKRISMGVKIKKNDKSNPSNNGTQGASKCIMNDTKKGKKKQKHKKKKAQEKNNQKDEETINDEHEQKNTGDSNYQPREKSPETVCQEKLAGEQETECSVPSEEDIVSCEDLKNVFQRFGSVKRVHYSMGADSGYICFEEADAALKARAAIEFVEGLTVKKFIASLEAVTGEAEREYWKQNQEHSEGGKGKRERFNKDERHYKGKRSRSKEKDSSIPLQTKAQMGEAV >OMO67977 pep supercontig:CCACVL1_1.0:contig12335:15433:21227:-1 gene:CCACVL1_20156 transcript:OMO67977 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase (putative), gypsy type MIIKIGLFLARSVCAAEIIAGNGIPTASAAFSGPISGDSWVIQADSHYAQYDARCRREFTDCINLECLYLPNTGDYERQRGHLRLTCCYAGLSRHTGYKPSYATEHERPFSISRGGRRIIFNRLLFEDGFRLPAHPFFTEITGYYGVPLTQFLPNTVQTLMAYIQSCIYQGWKPEVAVIRDRFKISKKGKCWYSLNSRDGSSAFAGSTGRHNWRQQFFAIEIHPDLNWDEGMKLQVRYLKCVEHTLSDLLSRTRLFLSGLSPLPTGMPLRDIIAPQLPPVSPLAFGNELVIVNNVGERGLVYLPNREATQYVVKEWKEGVLVSEEGARPHQPYVPDSPLGLSGKSDDGAKEEDDDDMSSMPEGMVPVVLEAALPMGRGAGKGYMVLKTLHDDYMWSKGLTPTSNVPGLTAPDGGQAGTDQSSLVAPLVVTEAPSVQVSEVPAKMFGKRPVKEVDPTAWLKLASKKQKTATGPTAPPPASSQGRGTQNPRRTTQGHGTPPRGRGAEPSSSPGNVSSSVRGRGGIVMATGGTSTAVPSSTPPPRVNPPSRANLPVLASGEGSNVSHCVNLGSNVIGMGQNRPENKGFFAAICLLDGAKKEIDSYFKVGPISYSDILRVSLPSKRYNLNCTFSPILRSNGKVRSLPFRTFLQLWWLMVLLFANNTDIGVYESLQEFERHAIIYTTQCHSMAYASSEFARHFEHLQIDAPRAYHGLEEHIGANKDQGDVIDASLVEHGVGSTCDRPLLGEAAAWAKRFKEALLGFVRSHLGGLESIEEHLEGIEVDITKNIPIDSKVGFESNTTRFVSQMKYPDFAKFLSTFAPTTSTNAEVKTLKHELAKANELIRITKDEVARAENAKNVMAADARIVREQKDECENRYKEQVKLRSSFENELDELKKTHDALKKEFDALEEKYDYEMQAAGVQQSTIEVLEAKLAEAQENLDQALAAKELGAEQMDILRVSLYYVRENALNMLKNNLAEVYPDMADRIRDVDIVPFATIPVPPPSPDEDAPAGDPVGDAVDGDPVDGNV >OMO67976 pep supercontig:CCACVL1_1.0:contig12335:9465:11315:-1 gene:CCACVL1_20155 transcript:OMO67976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEMLNKLTGPLDTTPKEGATNQKTDDSNGKVDMGNGSKNNGKVVADLVNNALLGYVYTHTAPYHAYPGTSGGGTYVPYGPVENPVHYDPGTFMVQQGMPTGVTEKKDDPIEENKRKLSLLEERLRGLEGSNSYYRLVDTSELSLVDGLVIPPKFKTPEFEKFDGTKDFLNNHLRSYTRKMGPYAKNEKLMIHCFQDSLAGTASIWQWKGSLLRVIQSLLNNGRIRRGEPFAKIVVIEQKLDNSIKSGRIIVADETKKGQVGKKKEEVSNVNEEPYKPYYPPPAYNYPQYGQNSANYYHPPTPVQPSYHVYGYYAPPHAHPYNYQHSGDINAVGGAPFRPRPSNPPPGSTQSAQQKAPAYLPGNTGPVKNKAPIDPIPCTYTELLPQLLQQNLLQRLPYANPLKPPLPAWYDAKAHCDYHSGTEGHKTENCWRLKYAIQGLVRSGKLSFGNMAEVNPLPDHGKAQANMVGTGEIVKQEISEITTPLDIVFKALAKAGMVEKGKFGDGELAEVCPYHGRIHGICECPEFKGKVQKLMDEYQIEFCVEG >OMO51935 pep supercontig:CCACVL1_1.0:contig15673:37438:37572:1 gene:CCACVL1_29486 transcript:OMO51935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIESSSNEFQHELSHVREHFALFKFGSCSFKFYEARSSSARL >OMO51934 pep supercontig:CCACVL1_1.0:contig15673:18262:18482:-1 gene:CCACVL1_29485 transcript:OMO51934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKARFRVSRKTGKQDSTFDIIRAKDSLHQERDMSVNRGKRKFMFSM >OMP11689 pep supercontig:CCACVL1_1.0:contig01028:3479:3943:-1 gene:CCACVL1_00339 transcript:OMP11689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQMQMPMQPDNYHHLSMGMGMGMGMGSMGMGRNYAELAELSRVLRGGPSSASSTASAVNLPIQSQINYPGGGGGGGGFTISGLNLNLGGGSTQGVMRPIQPPPPHDAMTTAPSFAAAENGYAPDQMNTANGPSNRYLSMDHCMDLDTYWPTTY >OMP11688 pep supercontig:CCACVL1_1.0:contig01028:596:2044:1 gene:CCACVL1_00338 transcript:OMP11688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHGAWLPPWLAMQLTHCQALIQTHWNEHGKPAMDTAIQKALEKKALAEKWAEPHMEAIKTKWVPAIKDQWLVVTTYAEPHVKSLCAKSVEVYEVSKSAITPHVIKVQELVDPYFQEAKKFSKPYIDQVATLAKPHVDKVRVVMKPYTKEVVNAYGKFLESATTYHHQVQASVQEMLKKHELTRPLATKELEWFAASALLALPIIILFRIFSAIFCKKAKKPSRHANTNHGRRKAKRGHPDK >OMP11691 pep supercontig:CCACVL1_1.0:contig01028:14592:16778:-1 gene:CCACVL1_00341 transcript:OMP11691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome/DNA photolyase, class 1 ATKGMRFLGGETAALSRVTEYFWKKDLLKIYKETRNGMLGPDYSTKFSPWLASGSLSPRFIYEEVKRYENQRQANDSTYWVLFELIWRDYFRFLSIKYGNSIFHLGGPRKVEQRWSQDQKLFESWRNGCTGYPLIDANMKELSATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQAQTYDPEGEYVAYWLAELDGLPKEKRNFPGKAYIEQVVPLKFGNPNRNRPNNNPSTSRRGKFGGHSQSHRR >OMP11690 pep supercontig:CCACVL1_1.0:contig01028:10922:13832:-1 gene:CCACVL1_00340 transcript:OMP11690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINNKDETSSSFHAEPPLTDLFPSSPSAETAALAGQVTEKQEGEEEGDNQKAAPEKWPGWPGYNVFRLIVAVSKVGSIIGRKGELVKKMCDETNARIRVLEGPLSTPDRIVLISGKEEPDLPLSPAMDAAVRVFKRVVGLSTNEGDVTVAAFCSIRLLVASSQAINLIGKQGSTIKSIQEATDASVRVLPEDEVPSYATPDERIVEIHGEALKVVKALEAVLGQLRKFLVDHSVVPIFEKTYNANVSQERPPDARTDKTQSSLLSTSAHQTGIGSDYSLSLKRDPLYDCETHLEPRIPASGLSSLYGQDHGIGAIRSSGVTRAAAPIVTQMTQTMQVPLSYAEDIIGIGGSNIAYIRRGSGAILTIQESGGLPDEITVEIKGTTSQVQMAQQLIQECISNHKEPAASIYSKVDAAGLSAYSQLPETSYTSSFASQIGGYGSSGVGGTYGSSSSSGLGGYSSFRY >OMO62412 pep supercontig:CCACVL1_1.0:contig13270:15772:16450:-1 gene:CCACVL1_22847 transcript:OMO62412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQQRTELTESLLFIHGHDDNNLVYSEENVGVGVGGNGKSAAASTTILALGAFVGASIAWGYGCALGYSSPTQSSIMEDLGLSVAESKYSVLQFSLFGSILNIGAIIGATISGKATDLLGPRLVNVFRID >OMO62410 pep supercontig:CCACVL1_1.0:contig13270:3321:4067:-1 gene:CCACVL1_22845 transcript:OMO62410 gene_biotype:protein_coding transcript_biotype:protein_coding description:General substrate transporter MAEQRRAETETLLLRQRHDHEEGLALAPAAASEVSTILLLSAFVTACSAFGYGSALGYSSPTQTGIMEDLNLSVAEFSLFGSILTVGAILGAAISGKITDLLGRKGTMWMLSLFYISGWIAIAFAK >OMO62411 pep supercontig:CCACVL1_1.0:contig13270:7113:7897:-1 gene:CCACVL1_22846 transcript:OMO62411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRQKTELTESLLFTHGHDDNLVYSEENGGVGVGKGKSVADSIVTTTSLVLGTFVGACISWGFGCALGYSSPTQSSIMEDLGLSVAEFSLFGSILSIGSILGAAVSGKTADLLGRRLAMWILNIFYIGGWLAIAFTKVSVHLFVLCLLNLDTGNKTAFSLLFLIN >OMO61105 pep supercontig:CCACVL1_1.0:contig13596:12559:17304:-1 gene:CCACVL1_23742 transcript:OMO61105 gene_biotype:protein_coding transcript_biotype:protein_coding description:Porphobilinogen synthase MASTILNAPCTVPSVKGFDTQNYSGLRPTSSLRFNSGRTSFSGRSQHLFVVKASERHEGHVKKVDMSIEECEAAVVAGNAPEAPPVPPKPAAPVGTPVIQPLQLSRRPRRNRRSPALRASFQETSISPANFVYPLFIHEGQEDTPIGAMPGCYRLGWRHGLVEEVAKARDVGVNSIVLFPKVPDALKSPTGDEAYNDNGLVPRAIRLLKDKFPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVSQARAGADVVSPSDMMDGRVGAIRAALDAEGFNHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIEAHADESEGADILLVKPGLPYLDIIRLLREKSPLPIAAYQVSGEYSMIKAGGVLKMIDEERVMMESLMCLRRAGADIILTYFALQAARCLCGEKR >OMO61104 pep supercontig:CCACVL1_1.0:contig13596:6607:11560:1 gene:CCACVL1_23741 transcript:OMO61104 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20 MNSLKSYVLLLFLILTPFFANDFLVFVNSITSSSSSSSDNEYTTFGITSISTKASLSSGKNQTSSSVPLGVSGCELWDAACSEAVLGLARKPENVEWIKTLRRKIHENPELAFQEFETSKLVRSELDRMEIEYRYPLAKTGIRAWIGTGGPPFVALRADMDALPIQEAVEWEHKSKVAGKMHACGHDAHVAMLVGAAKILKNREHLLKGTVILIFQPAEEAGNGAKQMIGDGALENVEAIFAVHVSHEHPTGIIGSRSGPLLAGCGFFRAVISGKKGLAGNPHHSVDPILAASAAVISLQGIVSRESNPLDSQVVSVTQFNGGNNLDMIPDTVVIGGTLRAFSNSSFYNLLQRIEEVIVEQASVFRCSATVDFFEKESTIYPPTVNDDRMYEHVKKVATDLLGPPNFRVVPPMMGAEDFSFYSQVVPAGFYYIGVRNETLGSIHTGHSPYFMIDEDVLPIGAAVHATIAERYLNELG >OMO61106 pep supercontig:CCACVL1_1.0:contig13596:28610:28960:-1 gene:CCACVL1_23743 transcript:OMO61106 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MDSSIISQRSLIIQGSIKTLDMKCVFVNVYASNNDDERRSFFEELSSHLFGFDVPLCIFGDFNVAKSDEERSGHVSNPNALYIFNDFIDDWAFVDLPLAGSKFTWFKNLSLLLTAA >OMP08120 pep supercontig:CCACVL1_1.0:contig03991:81:254:-1 gene:CCACVL1_01158 transcript:OMP08120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRMSGREETLANLVGRKTRNVKKKNASETSNVRLEQDSNENRTNAPATQADVVGISQV >OMP04205 pep supercontig:CCACVL1_1.0:contig05778:4627:7488:1 gene:CCACVL1_02179 transcript:OMP04205 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MAASAFRTSSTLLLHFPHPSHRPSLPVPSSSASWRRCQPSVRFMSTRVSAAASSDARTLQEGIAGFYDESSGLWEDIWGDHMHHGFYDLDSDASGADHRAAQIRMIEESLRFAGLSEDPAKQPKRIVDVGCGIGGSSRYLAKKYGAKCQGITLSPVQAGRANVLAQAQGLADRASFQVADALKQPFPDGYFDLVWSMESGEHMPDKAKFVNELVRVAAPGGTIIIVTWCHRDLGPSEESLEPWEKKLLDRICNAYYLPEWCSTADYVKLLQSLSLQDIKAADWSENVAPFWPAVIRSALTWKGFTSLLRSGLKTIKGALVMPLMIEGFKKGVIKFAIITCRKPE >OMO87446 pep supercontig:CCACVL1_1.0:contig09237:11179:15124:1 gene:CCACVL1_09019 transcript:OMO87446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSLHQPEKPDFVAPKGVLIVFRGLNKAQD >OMO87455 pep supercontig:CCACVL1_1.0:contig09237:74235:79023:1 gene:CCACVL1_09028 transcript:OMO87455 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MSLLENSKRSSDKKVWSFRKRSERHRVLSNTVIQETPSGLKESPESAAFNFQQPDVSIAPEKTSTVQYTEEKPQLQTPKEYIEEKFQLLAPKECTEEKSQLPTPIEHTEEKSQSLTPEDTKAPEPVAAATNDTEDDAKLDESAVVIIQTAIRGFLARKELGKLKNLVKLQAAVRGHLVRRHAVGTLRCVQAIVKMQVLVRARRARLSQEGSYAEEKLDGKHHKDRQSLQESSANATNTSIEKLLSNRFARQLMNSTPKTKPINIKCDSSKPNSAWSWLERWMSLSPAEKTTADLPIEQPEKEKSDNCDSLVDATSPSQAICESNEPKSDVRETLVSSESEENLITYDAANFKFEAYQATSPAVTDDLEQPQIDNISTSDLKEISQEISSQDQTMQSDAQSQTEVSCHSHKPEMESEQPKRSMKRLASEQLETEGNKFVYGSRKASNPAFIAAQTKFEELSSAVNSSKSVNSSQQNVVVESNMDTASSGADTASRSKELSITENPVLINWRVQHGGSECGTELSVTSTLDSPDRSEVGTAEYEQALKVSEQENCSSNGAKDLDVKENDTMAIPVPNSSLSVADIPEKLDDAKVESAHSVIVDSSEVEQKPLKNTSDTQREQDSVTVNLSYRSSPEASPRSHLTVPESQGTPSSQVSVKPKKKKTEKSNQKRKSLSASKGSSSPSTPAHDSGARNSMEKLPKDQKNEKRRNSFGSTKPENDQEPRDSNSSSSLPHFMQATESARAKLNANNSPRSSPDVQDRDIYIKKRHSLPGANGRQGSPRIQRTSPAQQGAKGNGTNPPQGNSGPEKQAQKPKKRERGCHVAECC >OMO87453 pep supercontig:CCACVL1_1.0:contig09237:51141:53493:-1 gene:CCACVL1_09026 transcript:OMO87453 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MSVIGFGKRYEEQGTERSRFHGLLNESQALLASVCVSDYFPNSILGHWFDKFFGFLSRLEKNFQEFDKFYQELIDEHLDPNRAKPEQEDIIDVLLQLWKDRDLKVDLTLDHIKGVLMVNFFT >OMO87454 pep supercontig:CCACVL1_1.0:contig09237:54557:56547:-1 gene:CCACVL1_09027 transcript:OMO87454 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MICRIGFGKRYEEQGTERSRFHSLLTETQALLATVCVSDYFPNSKLGHWFDKLSGFLSRLEKNFQEFDKFYQELIDEHLDPNRAKPEQEDIIDVLLQLWKDRDFKVDLTLDHIKGVLMISAKAPPSATVSLIIGVPKAPKTSNNIPWESKSFVVFFKNSFDSSIG >OMO87461 pep supercontig:CCACVL1_1.0:contig09237:102281:107470:-1 gene:CCACVL1_09034 transcript:OMO87461 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MGSVVEEKIKSGALVNGGAAQATLLEEMKLLKEMQDQSGARKTINSELWHACAGPLVSLPQVGSLVYYFPQGHSEQVAVSTKRMATSQIPNYPSLPSQLMCQVHNVTLHADRDTDEIYAQMSLQPVNSERDVFPIPDFGLKSSKHPTEFFCKTLTASDTSTHGGFSVPRRAAEKLFPPLDYTMQPPTQELVVRDLHDNTWTFRHIYRGQPKRHLLTTGWSLFVGSKRLRAGDSVLFIRDEKSQLMVGVRRANRQQTTLPSSVLSADSMHIGVLAAAAHAAANRSPFTIFYNPRACPSEFVIPMAKYRKSVFGTQVSVGMRFGMMFETEESGKRRYMGTIVGMSDLDPLRWPGSKWRNLQVEWDEPGCNDKQNRVSAWEIETPESLFIFPSLTSGLKRPLHPGILGAESEWGSLIKRPLLQFPENGNGNLPYPISNLCSEQLMKMMLKPQLVNHPGIYASTLHQISAAKGSPLEEVKNLQPTTYQKPLLIENQNHSQLVPDQSDPISSNLPKVNANGNLHPANKVESQTQTRCNNEKLKVEPEHSTDQFSQLTSTSECHEEKLAASAISPSSILNQLPFSNQNQVQYQLQNNPWPIPSQLDSSLLQAHQMQVSQADINNLSSFLPLLDTDEWTSHLSTSCQPLAGIYRAPGPIPMVGLQDSSAVFTEASNPSLTTGGQDTWDNQLNNCRFLPQADQLTSFTQQDPCCLNSGGVKDLSDDSNNQSGIYSCLNIDAGNGGSTVIDPSVSSAILDEFCSLKEADFQNPSDCLVGNFSSSQDVQSQITSASLADSQAFSRQDLPDSSGGTSSSNVDFDESGLLQNNSWQQMAPRVRTYTKVQKAGSVGRSIDVTSYKNYDELISAIECMFGLKGLLNDPRGSGWKLVYVDYENDVLLVGDDPWEEFVGCVRCIRILSPTEVQQMSEEGMKLLNSATVQGINGSNSEGCNA >OMO87450 pep supercontig:CCACVL1_1.0:contig09237:32019:36603:1 gene:CCACVL1_09023 transcript:OMO87450 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation, RCC1 MSATEAEKKLEEGEDEKKKAGELLFCGATGWDIVGRKKGAVEGNLVSPTRLRPLVGIDIRFVASGCVSCHCVALDVEGRCYTWGRNEKGQLGHGDTIQRDRPTVVSELSKYKIIKAGAGRSHTVVVTEEGNSLAFGWNKHGQLGSGSTRNEIESSPVRCLVSQVTDTACGAEFTVWLSSVEGASILTAGLPQYGQLGHGTDNEYNTKDSSVRLAYEAQPRPRPIATLSGETIVKVACGTNHTVAVDKNGFVYTLGHREQKDEWVPRRVDVFQKHNVLPPDAIVSAGSVNSSCTAGGGQLYMWGKIKNTGDDWMYPKPLMDLSGWNLRCMDSGNMHHFVGADSSCISWGHAQYGELGYGPMGQKSSAVPKKVDILEGMHVIRVACGMGHSMVIVDRTNVGERLDQLDIYDGKTSGEGTEVPEAKSPAPKSNNKKGASKSADGSKKRKKLKDSSDSEEDEENSDVESDSSGEEANGKVSGRGRGRGGKKSTAEGKGSGRGRGRPPSNKSSQSSQPKTTGKRGRPRKT >OMO87458 pep supercontig:CCACVL1_1.0:contig09237:85294:87975:-1 gene:CCACVL1_09031 transcript:OMO87458 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLDc MGASSSTQQTVSPEQRELESLAASTGALPLLRNFFSKLSDPQTNTISTQSLQQCFYLSHEDPVYESEQKPESFPGLLDHLGSSIVDLFFMSEKGGIGWLGFLRGYVKCCGRMSALMSLNILLRIFAMAMAKVGLSSKLEFESDEADCKINGSLLPSDVLLLLWMCWAMLWDVRTSKSSESKAKLFLPDVSHLVLSAVVSCADVNSSLDVWDCDILGLEIQLPVGKFLSWAVTTGPTVPDCFTQFVLGRLGSSVTAEEGSGSSQSISGDISSKASQTLLLTHGRAWAISLVSRNAVNDEILSACFAGDIDGTNGNLLYRSSAHGKGLNRFWSNVEGYHGPLLILISANSEDGSQDNGKSRKWIIGVLTQQGFENRDTFYGTSGNLYAISPIFHVYSPSGKEENFVYSHLHPTRKVYESHPKPVGIAFGGTISNERMFIDEDFAKITIRHHAVDKTYRPGPLIPNQGFLPVEAPILEVEVWGLGGRTAKEVQIAYKKREELFTEQRRKVDLKTFASWEDSPEKMMMDMMSDPNRVQREDR >OMO87457 pep supercontig:CCACVL1_1.0:contig09237:84100:84725:1 gene:CCACVL1_09030 transcript:OMO87457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLAQCNARKAKESNPACQVLVKRRTDDQPPQIRVTFVNGVEEVFDATATPAQTIRNMILEKGKFLETEQMFREAGEKWPVIIPEEELHKSFPGTKPRKAEDKKQ >OMO87460 pep supercontig:CCACVL1_1.0:contig09237:99714:100976:1 gene:CCACVL1_09033 transcript:OMO87460 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MGSVSLKIGDGTARFKRATLCSSAVNVLMLFSVLTTNLFALYAFTYSPKQHLNNPLHHPHKNISLITEQISLIMREIDSSQRKLAKMEKELLGYDTFDLSRPNLANELKLFLQHHQLPLGKDSRTGITEMVASVGHSCEKSADLLSQYMSYKVSGPCPDDWSLAQKLILKGCEPLPRRRCFAKTVSKARSKNDFVIDDVLAMGNGGIRIGFDIGGGSGTFAARMAERNVTVITNTLNVDAPYSEFIAARGLFPLFLSLDHRFPFYDNVFDLVHATSGLDVGGKPEKLEFLMFDIDRILRAGGLFWLDNFYCANDEKKRALTRLIERFGYKKLKWVVGEKVDAAGSGKPEIYLSAVLQKPVRV >OMO87447 pep supercontig:CCACVL1_1.0:contig09237:16994:17612:-1 gene:CCACVL1_09020 transcript:OMO87447 gene_biotype:protein_coding transcript_biotype:protein_coding description:histone H3 MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >OMO87452 pep supercontig:CCACVL1_1.0:contig09237:38833:43581:-1 gene:CCACVL1_09025 transcript:OMO87452 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDRRSANRDMEEQAHSSDTTYRCIGFGKRYEEDGTERSRFHGLLNESQAILASVCYSDHFPNSMGYWFDKVSGFLSRLEKNFSEFDKFYQELIDEHLAPNRAKPEQEDIIDVLLQLWKDRDF >OMO87463 pep supercontig:CCACVL1_1.0:contig09237:118627:127869:-1 gene:CCACVL1_09036 transcript:OMO87463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQESSLPNSKNTSPIDLATITPASQNFAQSPTKEISEDYTTSSNFQLEISPVQVDAIRLQRQRHFPSKYAGFEVSIPPSLTSKDAIINPHAKTCRSATSESHGSPSRTRPNCTATPSFISI >OMO87462 pep supercontig:CCACVL1_1.0:contig09237:115962:117732:1 gene:CCACVL1_09035 transcript:OMO87462 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MDGLSEAEANLVVYVHPSKSKNVPQAILRELSSLLFKFNETFDGVLLAYDVNILDRQAKILSGIHPYLGLRLKAQLLLFSPKPDMLLEGKVVKLSQESIHVVILGFSSAIITAENIRQEFKYKIKDGEEMFASRSHKRHVIKVGTMIRFLVKSVDEEILHITGSLMEAHTGSIRWLDKKSEETSEFDRSAMKSRDREWQEDKTVDEGATPLSNSNHIKKSKKRRIAED >OMO87456 pep supercontig:CCACVL1_1.0:contig09237:79835:83340:1 gene:CCACVL1_09029 transcript:OMO87456 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleic acid binding protein MRGANKSKRVTWATDVNLCQIRLFLSEESPSQVGLGAQDHLQAKSSSVSHLHGGAGDDFLPPGFEGGHSTNHLQINLSEIPVIKWRCPLRFVLDVNWQVVAGEESKDVEIQNQREVRVLEAVYPRPSAIPTNPSVSADVQNCHYDDRQTPLIPITPIEDEDAVIETPSDMLASLSAPISSQPQFLPPGITSHLPCGIPSVSSPSVHERPAVGMALNVEPSVAAAASAAFTAINQSNEHGNMIDPDLLIKILSNPKLIEKLVTDYGGASGAQNLPSSTSPLAPLSDQPPPINLSDPSLAHMSRAENGAASLAATSGAYYAQPNGVGIGPQNQQGPIPSVHPVSSPPPVGLPQKKDVNYYKNLIQQHGGERQGPPQKFNNRYNQQHIRPNQELINNPKSRDSKPRIMKPCIYFNSSRGCRNGANCAYQHDTSSQNRGSSVPEAPNAKRMKMDREISS >OMO87451 pep supercontig:CCACVL1_1.0:contig09237:37172:38158:1 gene:CCACVL1_09024 transcript:OMO87451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDSPSTLGYSEKGTHSASKGQILIKLGSTRNTGYDIEV >OMO87459 pep supercontig:CCACVL1_1.0:contig09237:89167:90309:1 gene:CCACVL1_09032 transcript:OMO87459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKPNLEDVEKILSYKFNNKKLLEEAFTHHLSSSKRYLPNERLEIIGDSVLNLIVTKELFFLYPNLDAGHLTHLRSDNTDNEKLARAAVKHRLHQFIINKNLERDEHIQVFMQEMEEYPIHSHGLVTVPKILADIVESTIGAVYIDSNCSLETVWKVFRSVLEPIIYEEMLVDHPTAKLQDICQKSKLDLRYEKESWKKDSSVSIFIENKLVGKATYHLRKEIASNRAAKCVLDSIDTIMMDLGVVDYSNATREKNNEEEHEYSD >OMO87448 pep supercontig:CCACVL1_1.0:contig09237:22661:23647:1 gene:CCACVL1_09021 transcript:OMO87448 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAGA binding-like protein MCSIVQFSENLCLLQWLMHQPSMKQIMALMAERDAAIQERNLALSEKKAAIAERDMAFLQRDAALAERNNAVAERDNAIANLQYRENSLANGNMSSCPPGFQMSRGMKHVPHQQQNIHHMPHISEAPYNSREMHPSDALPVTPGTSEAAKSRQGKRGKEGKAVASDKKASKPPKKVKRENEDLNKIMLGKSDEWKGGQDVGVGGDDHKKQTVATKSDWKGQDLGLNQVVFDDSTMPPPVCSCTGVLRQCYKWGNGGWQSSCCTTTLSMYPLPAVPNKRHARIGGRKMSGSAFNKLLSRLAAEGHDLSVPVDLKDNWAKHGTNRYITIK >OMO87449 pep supercontig:CCACVL1_1.0:contig09237:27811:30600:1 gene:CCACVL1_09022 transcript:OMO87449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMEGISESRNNMQKLQSPPSHSIPRPQTNLDIPILNASQMAASSPSHTRLSPENNTSNKRPAGIPPSHPNYSASSSPYSQIIGSRSNSQQGVPSHSRSLSQPTFFSLDSLPPWSTSPYTEPSASSLSDPVSNDVSMEERVVNSNVRPSLPSPVSRGGNEFRVGENLSLPPRKGHRRSSSDVPLGFSAMIQSSPQLIPIGSRGVLDRSVSSRESSGVEKPYQLVKRESEWSKEGSNNGEGSGERKTEGDVADDLFNAYMNLDNLETLNSSGTEDKDLDSRASGTKTFGGESSDNEVESRLNGHRISMQGMSSGVSSDRREGVKRSAGGDIAPTTRHYRSVSMDSYMGSLQFEDESPKIPPLGNRAGQLSPGSSADANSSKFNFELSGTEFNEFELKKIMENDKLAEIASLDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQVTMLQRDSVGLTSQNNELKFRIQAMEQQAQLKDALNEALAAEVQRLKLSAAELGGEAHLSNCMAQQLSINNPTFDLQPQQSQQMNAYQMQQQQPQHGQRNQLQNQQQNGEVTANKSK >OMP02166 pep supercontig:CCACVL1_1.0:contig06312:1224:2036:-1 gene:CCACVL1_02901 transcript:OMP02166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRLDLADDLPGSSPPGVTHNDAILASIGKRRIMLLQHTDQGGPRQLFVIHACPLNSCGGTEKLKILVPLVDASPSLGWRVCESGARRSLLGSSPRSGHKEPGRGRDPYGLMGRRIGLPSPKPVPRELAPPEARFWSPLQIARNPLKDSWASDEKSTKAPLPLSYSAATGHQFDFNAAIFGRDPRRCRWGQWASWSLHQRFAGAKDRRHLLRKEKKGTDLCAPGKAWSPGNDGASRHEMA >OMO78556 pep supercontig:CCACVL1_1.0:contig10568:2933:5692:1 gene:CCACVL1_14306 transcript:OMO78556 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi snare MDPNLDLQESGWEELRREARKVEGDLDVKLSSYAKLGARYTQGGYLDTGSPTVGSSRSWKSMEMEIQSLLEKLLDINDAMSRCAASAAPTTSVTQKLARHRDILHEFTQEFRRIKGNINSMREHAELLSSVRDDISEYKASGSMSPRMQLLRERAAIHGSIAHIDDVISQAQATRAVLGSQRALFGDVQGKVKLLSDKFPVIRGLLGSIRRRRSRDTLILSAVIAACTLFLIIYWLSK >OMO51603 pep supercontig:CCACVL1_1.0:contig15776:2547:5676:1 gene:CCACVL1_29687 transcript:OMO51603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MEETKSEVHLTSAAAFVEGGIQDACDDACSICLEAFCESDPSTVTSCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPTSQELLEAVERERSFRFNPSRNATIFHHPTLGDFELQHLPVGANEAELEERIIQHLAAAAAMGRARHIARREGLRNRSSAQGRPQFLVFSTHPNAPSTSPISSSPTQREGEPAHAITLGTPSSPARTAGEESSASFTPVTSAHADQQPALASGSSVLSVNDQGNSLNNRRSPNQSSPNSQDRAGPSEFQSFSESLKSRFNAVSMRYKESISKSTRGWKERLFSRNTSMADLGSEVRREVNAGIATVSRMMERLETRDNRTSTSSVSSSLEDGSNSESNNRQISDACGEAHLTDNSTQASCAASSGSK >OMO51605 pep supercontig:CCACVL1_1.0:contig15776:14507:17694:-1 gene:CCACVL1_29689 transcript:OMO51605 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MTPMEILGILFLLLALYCGIDPFKHSAISGFPDFKAYIVDMPPKELIPTVRDKENLLQKSEIKFLNQVQGPESIAFDPLGRGPYTGVADGRVIFWDGENWKDFAYTSSNRSELCNPKPSLLSYLPNEHICGRPLGLRFDKKTGDLYIADAYLGLFKVGPEGGLATPLTTEAEGMPLRFTNDLDIDDEGNVYFTDSSTVYQRRNFMQLVFSSEDSGRLLKYNPHTKETTVLMRNVQFPNGVSLSKDGSFLVFCEGCKGRLRKYWLKGEKAGTSEVFAILPGFPDNVRTNKDGEFWVAIHCHRSMYSNILAVYPKVRTFLLKLPIPTKIQYLLQIGGKLHAVVVKYSPDGKLLQILEDSEGKVVRAVSEVEEKDGKLWIGSVLMSFIAVYDLA >OMO51604 pep supercontig:CCACVL1_1.0:contig15776:10610:12856:-1 gene:CCACVL1_29688 transcript:OMO51604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLKKFWEERKQFWAERFSVNDVYSKYIKREDPLPSWSESDVHEFIASDPVHGPTLKTAREAANVALTGAAIGAVSTAGVAWKYSKSLHGTGLSFAAGAIFGWTFGHEIANHWYQLYRLDTRAAQAKFLEWREYKSRQS >OMP10213 pep supercontig:CCACVL1_1.0:contig02702:2414:4227:1 gene:CCACVL1_01010 transcript:OMP10213 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pre-mRNA-processing-splicing factor 8 MWSAQVAPPGTGGSTIPPPPAAQPSYTVLAPQTTPQEAEAKLEEKARKWMQLNSKRYGDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSA >OMP10212 pep supercontig:CCACVL1_1.0:contig02702:189:1125:1 gene:CCACVL1_01009 transcript:OMP10212 gene_biotype:protein_coding transcript_biotype:protein_coding description:JAB1/Mov34/MPN/PAD-1 ETGYTYIMPKNILKKFICIADLRTQIAGYLYGISPPDNPQVKEIRCIAMVPQWGTHQQVHLPSALPEHDFLNDLEPLGWMHTQPNELPQLSPQDVTSHARILENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRVNKDTGSNPHGYSPTHYEKVQMLLSDRFLGFYMVPDNGPWNYNFMGVKHTVSMKYGVKLGTPREYYHEDHRPTHFLEFSNLEEGETAEGDREDTFT >OMO99314 pep supercontig:CCACVL1_1.0:contig06915:70040:73099:-1 gene:CCACVL1_03861 transcript:OMO99314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MHHHQQQPLPPPFTANATAPTTTPKDHTKTTLYIILATSFFSLIFILSLPSTTTSSSQSLIKARRDPALFPNHPITPTEIPSGPTPPSIAYFITGSAGDSARILRLLFASYHPRNHYLLHLDLSAPQKERDRLAITVQSVPIFKAAQNVDVIGKADYAYKRGSSTISSTLHGASILLRLASNWDWFIPLNAGDYPLVTQDDLLHIFSYLPKDLNFVNHTSYIGWKESKRLKPIIVDTGLYLLEKHEIYYASQKRELPNAFRLFAGSPFTMLTRNFIEFCILGTDNLPRTLLMYFANMPFSFMNYFPTVLCNSDQFKTTVVNHNLQYVAFNESSTKKHPPMESAEFEAMVQSGAAFATQFQYDDPVLDRIDREILNRSPGKAVPGGWCLGEPDNGTCSVWGDSDILRPGPGAKRLEKHIVESLKDNKIRSQQCLEE >OMO99318 pep supercontig:CCACVL1_1.0:contig06915:94868:96491:-1 gene:CCACVL1_03866 transcript:OMO99318 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type METFDMAACLEDNLLDFGSDVGEEDEDEEKSKRLSNLNSSSSLNPNRTLPEFVEEELEWLSNKDAFPAVETSFILGNVEKHQSPVSVLDNSNSSSNSNSSGSTLLSNGSIVMYCCGNLKVPVKARSKRLRKCRDLRNQENSWWVYENVKNANANVKGTGSRTIGRKCQHCGAEKTPQWRAGPLGPKTLCNACGVRFKSGRLVPEYRPASSPTFSTELHSNSHRKILEMRKQKGLTTLKPMDIEKG >OMO99311 pep supercontig:CCACVL1_1.0:contig06915:46784:52235:-1 gene:CCACVL1_03858 transcript:OMO99311 gene_biotype:protein_coding transcript_biotype:protein_coding description:Telomere end binding protein MDDYKFLKIEDAITCINQKVNLIAAVLDFSMPQKSKGTDYFCKLKIIDDSHYKCGLPVHIFAHHMDDHPHVASIGDIIYLSRVTMKIHDGDVYAIFNKKFSSFSLYEGKHGEDFLPYQASSRFQARKQDEKLIAGLRKWLADSKVIDVPINFLFLREINEVDRLNVVCKVLHICEIATDELMVFLWDGTDAPPMIIRRKLEDEIRNQLPLCLEPIPLSMDSLHTFPTVGTILRITIDQDCRKYILQFLKVGQWVKIFNVLCKVHEGLWYGVLTSSTRIQKLPNEDMLISERQSDYDHRLSCKLERMPYWSFPWPSRITEVTCSDVTFATLMDVLTYRKVRKKFRCVVRVVAAIPWRVEDFRAPSGTYRVRFTVEDPTARVHAFAYGEDGEKFFDGHHPSADELTRRLYKLLGVAMSAEGKGFMDVARNPPWVQCCLKTSYLSSSKTKICKICDTKLVG >OMO99320 pep supercontig:CCACVL1_1.0:contig06915:107242:118079:-1 gene:CCACVL1_03868 transcript:OMO99320 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLIP-associated protein MEKPLELARSKDPKDRATGAELLHSLLQSSTRPLSSSEVASVVSTCLDLLNDPSNLKASLRALQCLASTAVLSPDYLKLHFDGVLPAVAECLGDDKQALRDAARGLLLTFMEVSSPTIIVDKAGPFTWMHDSWRVREEFLRIVTSAITVFASTEFMRAIFPHILKMLSDSTQSIREAAILCIEEMYMQFGPKFHAELQRHKLPSSVIADINIRLKQIEPNVSSIDGLVCSTSPYEVDLVKVNQAESSPKKKRSIKDISVSGGEIDVTDKPVVPVTLHSEKELIREMEKIASMLIVENDWSVRIAAMQQVEALVVGGAVDYPSFHALLKQLVAPLCTQLSDRRSSIVKQACHLLSFLSKELLSDFEACAEMFIPVLFKLVVITINVIAESADTCIKTMLRNCKVSHVLPQIVDHAKHDRSAVLRARCCEYSLLMLEYWADAPEIQRSADLYEDLIKCCVADAVSEVRSHARRCYRMFKKSWPERSQQLFLSFDSAIQRMINDEDGGIQRRHPSPSIRGRELRKSNKAFHAPTSTNLPGVGTSLLTTMDRSRPVPVGTSLSSGPFPSEVKSLGNGTERSFESVQHANKQRVPAIQNMLAGLDISEKLNFQKKQSASLDLGVDPPARGLPFPHTVTTSAVATNAIFTDSTASTVKAFSSSNAGKQAFDKLGVGLMEEHSDERFARKSGNKHIDRQYIDISSKDNSLRDSQGNNIPNFQRPLSRKNVSGRASGNSRGFLDDNQILLGEMSNCVDGPASLQEALTEGLSPSSNWSARVAAFNYVRSLLQQDQKGIQEVIQNFEKVMKLFFQHLDDPRHKVAHAALSALADIIAACRKPFESYLDRILHHVFSRLIDPKELVRKLSLMNLEVVSKAYGIDSLLPALLRALDEQRSPKVKLAVIEYAIASFKKNPMNSEGAANSGILKLWLAKLIPLIYDKNTKLKDAAISCIISVHTDYDSTGVLNYIMCLSVEEQNSVRRVLRQQTPRIEMDLMNFLQNKREKPQTRNVYEQSDVGLSPDGEYTGAFKKANYFGRYSSGSGDVDNSKKSFSTQDQEPVQIPISQKVSSEAHEYLHQNLEGGSNNVIICNTSRNVYEQSDVGLSPDGEYTGAFKKANYFARYSSGSSDVDSSKKSFSTQDQEPVQIPISQKVSSEAHEYLHQTLEDGSNNDIICNTSSSAENVESPMSHHDSADHENTLNNNMSSSCLDMNMVTSRSHPEVSSSNIGIHPFVDVNRSYEDSMDAKFGSSLKSRISIPQILHQISNNDESATVRKQALQQLIEASSTDTNSIWTKYFNQILKVVIEVLDDPDPSTSEVTLQLVTEMVNKQKDAMENNIEIVIERLLHATKATVSKVSIEAEKCLSIILSEYDPFRCLSAIVPLLISEDEKTLIICIKTLTKLVHRLSEEELLAQLPSFLPVLFDAFNNQSAEVRKTVVFCLVDIYVMLGKAFLPYLQDLNGTQLRLVTIYANRIAQARKGTSVEAINCQQMEYAN >OMO99310 pep supercontig:CCACVL1_1.0:contig06915:37831:38762:1 gene:CCACVL1_03857 transcript:OMO99310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTATLLLPSMINPNQEVESFFNLITFPNLMLLGQEEEEEIHDAIKESLL >OMO99317 pep supercontig:CCACVL1_1.0:contig06915:91812:93838:1 gene:CCACVL1_03865 transcript:OMO99317 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, FYVE/PHD-type MRDLDKSLLEIVRQNEQRCEQEIEEIKRGVRAGNITPDTSLIRFSDEALDEQKHSIRIADEKVALAIQAYDLVDSHIQQLDQYLKRSDEELRRERENAAAAAAAATPSSSPDGITKSGKPSEGGGRGRKKTRLATAAAAAAIATEVAAAAANPTGMELDLPVDPNEPTYCLCNQVSYGEMVACDNPDCKIEWFHFGCVGLKEQPKGKWYCSDCAALKNRRKGR >OMO99308 pep supercontig:CCACVL1_1.0:contig06915:6052:10317:-1 gene:CCACVL1_03855 transcript:OMO99308 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MSYVDGTISPPPKPTETTSKSSDKSSEQSSTISYSEWQKKDQFVLSCLKATLSPSAQAQVLGLTSSRQVWTTLETIFNQQCQAKLDLLRDELQSINKGSMSIEEYLAKIKSIADNLAAINNPISDSELVTRTLNGLPHTMEYQPIVVAIENRENPISFNDLKARLLVHEQRLKRMQALSPQPLLSTGSSHDTALVTRQSYHGYQNRGGQRNNNRGGRRGGQNNQRYDNQRYDNRDSRSFSRFGTPRYDTQFPTHRDNRDNRNFPRPQYGNRGPLRCLICNQSDHTAGTCGYRYNKADQNLSTSFAGLHLSSATSHDGFPSTSSTSIGANGEPIWLADSGATSHMTSNPSLLQQSTLYSGNDGVYIGDGKSLRISHIGDSSLCIGFSKFSLTNILFVPELKENLLSIAQFTKDNNCGFFLFPWGFVIKDLRTGKVLLDGPVKGNLYMIPVKAAEKVVTKQLEQKQKQALFGGNNSDVSGVTWHRRLGHPAGKIISQLHSSKLISPKDVSFCNLVCEACQTGKSKRLPFGYSTRVTSNVLDLIHCDIWGPSPTATVSGYRYYILFVDDYSRYSWIYPLKQRSDSLVCFQTFKSMVENQFGHKIKFFQCDGAKELVEGVFKQFLDGHGISLRISCPHTHEQNGLAERKHRHIVEMGLTMLFQASLPRSLWLEAYSTAVYLINRLPTPVLNGCSPFEILFSATPEYKHLRVFGCACYPYLVPYRKDKLSPKSKRCNDQVLLLVDFYLILLLLRPVFSLPPSTSHDCSSIVNLPVQHFQEVEDVNDRVEQADTIENDHHSEAIPLLTDLDAPITDDSIPSTTIAPLPQQAIEPSQSTLGSTHPMMTRSRDGTRKPKVPYSLHAATSKGLVSSSSFEPTSFKEACKDSKWISVMKEEYTALLQNGTWSLVPKTPNMNIVGCWWVYKIKERADGTIERYKARLVAKGYTQQEVFMAQPPGFVDSKQPNYVCKLHRSIYGLRQAPRAWFQRFSNALYDLGFSSSHADPSMFIWHSSSDILVLLLYVDDIILTGSSMSVISSLISRLTSSFSMKDLGDLHYFLGIEAHRTSQQLILTQMKYVSSLLSRLGMENCKPVSTPVTAGKKLSLYDGTLLPDPSQYRSIVGALQYLTFTRPDITYAVQQVCQFMHAPRDVHFQAVKRILRYLKGTSSCGIRFLPCHSSSLVCYVDADWAGCPDTRRSTMGHCIFLGSNPISWSTKKQVSVAQSSTEAEYMALSIDSRVLWISYILREIGFPVSLPCSVYSDNLGATQLAANPIFHARTKHIETSYHFVRDLVCKRFLQVFHVRSQSQLADIFTKGLSSSVFHPFKHKLLWCSPQSLEGA >OMO99315 pep supercontig:CCACVL1_1.0:contig06915:75334:76158:1 gene:CCACVL1_03863 transcript:OMO99315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyethylthiazole kinase MEAEEQSEWGPKAWAYLSSVRKQSPLIQCITNFVSMDLTANTLLSAGASPAMLHSSNEIPDFTPQVHALYINVGTLSDNWLPAMKLAAELASKSNKPWVLDPVAAGASSFRLRACLDLISLKPTVIRGNASEIMALANASVGSTKGVDSSHESIDAVEAAKCLANSSGAVVAVSGAVDFVTDGKRVVGARNGVPMMQKITATGCAVTALIAAFVAVDQSQALEATASALAVFGVAGEMGMEIAKGPASLRMHLIDSLHGLDQSAVISRVKITTS >OMO99313 pep supercontig:CCACVL1_1.0:contig06915:64444:69342:1 gene:CCACVL1_03860 transcript:OMO99313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKVIPQRPPVISRGKLPLLVLVDSLPSFGSISRVPISPSLRCRKISRLSSTCRTLRAQASNVGIGSGGYEGRDEKEDHKSFVPSSDSSSEVVKPPSQIPYPISVALVLLGCAAVFSLISFAKFGPSSFLSAIAKSGLTAAFSLIFVSEIGDKTFFIAALLAMQYEKGLVLLGSMGALSLMTIFSVIIGRIFQSVPAQFQTTLPLGEYAAIALLVFFGLKSIKDAWDLPPAVAKEGGERGPELDEFAEAEELVKEQASKRLSNPLEIVWKSFSLVFFAEWGDRSMLATIALGAAQSPWGVASGAIAGHLLATSIAIVGGAFLANYISEKLLAQLFDSSVGITGQVELAELDGPFVKISLKGRFWHKRSTVVARVGNYLKQRIPEILEVDIEDEKQLDDSPASF >OMO99319 pep supercontig:CCACVL1_1.0:contig06915:98040:101947:-1 gene:CCACVL1_03867 transcript:OMO99319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60 MYRFASGIASKARIARHATQQVGSRFNWSRNYAAKDIKFGVDARALMLRGVEELADAVKVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIEFKDKVKNVGASLVKQVANATNDVAGDGTTCATVLTRAIFTEGCKSVAAGMNAMDLRRGITMAVDSVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLFNELEVVEGMKLDRGYISPYFITNAKNQKCELDDPLILIHEKKISSLNAVVKVLELALKRQRPLLIVAEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKAGLQDLAVLTGGEVITEELGMNLEKVDLDMLGTCKKVTVSKDDTVILDGDGDKKSIEERCEQIRSAIELSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELEKLPTANFDQKIGVQIIQNALKMPVHTIAANAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMVKSGIIDPVKVIRTALVDAASVSSLMTTTEAVVVDLPKDEKDVPAMPGGMGGMDY >OMO99312 pep supercontig:CCACVL1_1.0:contig06915:53528:53680:1 gene:CCACVL1_03859 transcript:OMO99312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNWRKERQEEEDDFAGRVEAKGENLGKKKKGDFKETAGRDLEKEGIRKI >OMO99321 pep supercontig:CCACVL1_1.0:contig06915:118894:120644:1 gene:CCACVL1_03869 transcript:OMO99321 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRIM-19 protein MTESMIRKKPGMASVKDMPILQDGPPPGGFAPVRYARRIPNKGPSAVAIFLAAFGAFSYGMYQVGKGNKIRRALKEEKYAARRAILPVLQAEEDERFVKEWKKYLEYEAEVMKDVPGWKVGESVYNSGRWMPPATGELRPEVW >OMO99309 pep supercontig:CCACVL1_1.0:contig06915:18136:21371:-1 gene:CCACVL1_03856 transcript:OMO99309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLMEYLQFLLLLFSLFQTQLHSGLCQTGDLDSSTDQKALLSFKHQLNDPRNALSGWKQNSSHCNWFGISCSNRSRVESLQLSGLGLAGTLNPSLSNLTFLHTLNLSHNLFHGQFQLEFNKLSLLQHIDLRNNSINGTVPSLLSNCHKLETLRLTRNRFSGNLPPELGNLQKLTTLEISINNLTGSLPSSFGNLSSLTNLRFARNKLSSEIPSEFGQLRNLQQIQLSDNNLTGQIPSSIFNITSLVYISFTLNNLSGNLPTDMGQALPNLREFYLATNRFNGIIPVSLSNASNIEVLDLSRNDFHGPIPLLGNMKKLWWLDLGGNSLSSTTPRNVELVNSLSSCMQLEILRINSNRLSGEFPSVANLSRNMQHFCIADNSLTGPLPQGMDKFQNLISLSIEQNSFTGQIPMSIATLKNLQSFAVYQNMLSGQIPEIFANFTQVTQILMGNNQFSGRIPTTLGGCQQLPTLDLSWNRLNGSIPKEISMLSTLNYLILVKNELRGPLPDEVGNLKQLQVIDVSDNNLSGSLPSSISGCSSLLYLNMSENNISGQIPDSLGKLMPLEVLDLSSNNISGLIPQDLENLQGLQLLNLSFNNLEGEVPTGSSFLNASIVSIQGNDGLCSSNQEVARNLKLPQCKTRRRKRNHLLIILVSAAASALFVCLIICFVWALRSRKKKKISQGSKSSLSLKGLPPMISYSDIRYATSNFADENLIGKGGFGSVYKEYGLGTKASTSGDVYSFGILLLEMFIAKKPTDEVFQEGLSLNKFALAADPNRINETDDPRLFKNINLLESSLNTSSNVDSGSISSSINSDIDHRATAKYEECLAAVIRVGLSCAAQSPKDRLSMREALMKLFETKKILLNIYMQDSHTP >OMO99316 pep supercontig:CCACVL1_1.0:contig06915:76526:82099:-1 gene:CCACVL1_03864 transcript:OMO99316 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MNVAAAAVGATVINIGSSNSQFVQQVKASVEPNNVDCSEERKKSLRARYFYGIIFLITNLIAWFIRDYGQSVFPPIYYEKACGTGGSDCFHTLGVLRKLRSLFFLLAGEVARIGAGIFLLLQLISVIEFIRWWNKHWARDEQSKQSCSVALFTSTVFYVASICGIGSMYYFYARKLACSLNIFFITWTAILLLVMMAMSLHSKVNRGLLSSGIMAAYVIFLCWSAIRSEPADEKCNIQKKKTGHDWTNILGFLIAIGAVVMATFSTGIDSKSFQFRKDEAKLDDDIRYNYGFFHMVFSLGAMYFAMLFISWNLGNSARKWSIDVGWTSTWVKIINEWFAASIYTSVYMATCLAQINPGGAGVGAGAVNPGGAAAGATATTAGTAEEPILEFYMHDILGGSSPTARPITGILGNIYSGQVPFAKRVGFLPPQNGVAIPNANGAIPTVNGINGLPLGTGLAGTAFAGNPNQNGNPQIPLGPDGLGLGFGTITVIDDILTNSPDLGSQAIGKAQGVYVASSADGTTQMMAFTAMIEGGEYNDNLNFYGVYKIGSVMSHISVTGGTGRFKNACGIAEVRPLIPPGQHVTDGAETLLRVTVQLKY >OMP02278 pep supercontig:CCACVL1_1.0:contig06284:940:1963:1 gene:CCACVL1_02844 transcript:OMP02278 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem antenna protein-like protein MGRLEYYRGHYNKSGYLELRRRGRSPYCLFRLVLLGSYLALGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKLLLLLELCGMVQRLPPSNYLVLLVINGIKDTFNKKYIEGLVLDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPAT >OMO84376 pep supercontig:CCACVL1_1.0:contig09751:50117:53447:-1 gene:CCACVL1_10847 transcript:OMO84376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQTNQSTCALFAGTWVRDENYPLYQYSSCPIIDAEFNCQAFGRPDSDYLKYRWQPLNCQLPRFDGAVFLSKMRGRTIMFVGDSLGRNQWESLICMISTSAPSTQTQMNRGDPLSSFKFLEYGVTISFYRAPYLVDIDVVQGRRVLKLEDIAGNGNAWRMADVLLFNTGHWWSHKGSLQGWDLIELGGRYYQDMDRLVALEKGLRTWANWIDDNVDTSRTRVFFQSISPTHYDPSEWSAGATVATTKNCYGETSPMTGTTYPGIYPDQMRVVDEVIREMHVPTYLLDITMLSELRKDGHPSIYSGDLSPSQRANPDRSADCSHWCLPGLPDTWNELFYTVLFY >OMO84375 pep supercontig:CCACVL1_1.0:contig09751:44473:48976:1 gene:CCACVL1_10846 transcript:OMO84375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLKHRGPDWSGLHQHGDCYLAHQRLAIIDPASGDQPLFNEDKSVVVTVNGMIYNHEQLRKKLSHHKFRTGSDCDVIAHLYEEYGEDFVDMLDGIFSFVLLDTREKSFIVARDAIGVTSLYIGWGLDGSVWISSELKGLNDDCEHFESFPPGHLYSSKSGGFRRWYNPPWFSEAIPSVPYDPLVLRRAFENAVIKRLMTDVPFGVLLSGGLDSSLVASITARYLAGTKAGKQWGTQLHSFCVGLEGSPDLKAAREVADYLGTVHHEFHFTVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEVFGGYLYFHKAPNKEEFHRETCRKIKALHQYDCLRANKSTSAWGLEARVPFLDKEFINVAMSIDPEAKMIKKDEGRIEKWVLRRAFDDEERPYLPKHILYRQKEQFSDGVGYSWIDGLKAHATRHVTDKMMLNASNIFPHNTPTTKEAYYYRMTFERFFPQNSARLTVPGGASVACSTAKAVEWDAAWKNNLDPSGRAALGVHLSAYDAESPLSNVPSKVIDSIPRMIEVHEVAIQS >OMP09497 pep supercontig:CCACVL1_1.0:contig03191:14:150:-1 gene:CCACVL1_01058 transcript:OMP09497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAVAQVLLLLLKVFLPAQLLWLHLQLALIWLKHWHRGLLVLVHLP >OMO57328 pep supercontig:CCACVL1_1.0:contig14404:15916:18011:-1 gene:CCACVL1_25834 transcript:OMO57328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASRARVTITLGRSGQVVKRAGHSTDVGFSESLPVAGSKRSVRDRLGSNVDGSLSRGSQLNNKRQRGDGHATSSSANGMNEDAHISKHDLRYKLMQKNVFRRPQSDDNHKVMDLREKLERMPDPRERMPEPRERMPESREASMLGRIPSTRNADDLPRMTSSRGAYSPWTLDHLRQRSPDRVIGTSRGLSPPRAVEELQRRPLSRTYDDVRTVPYMGKDVLDGPRPVNTPPFVTKSTLPTSSAKPMPPGPPIPTPVPPPSSIVQKSSYPYKQSQNCTVLCYCHYALEV >OMO57327 pep supercontig:CCACVL1_1.0:contig14404:6683:7462:-1 gene:CCACVL1_25833 transcript:OMO57327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDACSSLNSQTFHGENGYNSQAGFQEKKLKLFGFELNPDQNNNVEKSDESVNSSNTAASSTSRETPPASDHENSKKFECQYCLKEFANSQALGGHQNAHKKERMKKKRLQLQAKRASLNCYLQPFQNTLSSPWYYDSSSNSSNYNQFTLYEESQISFNQYEQDAAAGGIRFNYGSDQNIPIIPSSKWYTLQPSQMIPFQQQDCSSNMFTLTQSDRSREIQNRAVTVKPSSKQSCKSLDLQLGLSLQSTIQSSSGSGL >OMP10865 pep supercontig:CCACVL1_1.0:contig01828:1250:1324:1 gene:CCACVL1_00768 transcript:OMP10865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQTKNVKDFGHGSMNLLLELLP >OMO50711 pep supercontig:CCACVL1_1.0:contig16069:2541:3732:1 gene:CCACVL1_30306 transcript:OMO50711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCDLLKSRLQCADFLEKLEYAQVPVPENTAEEGSSWDMVNENDLWEGESVDLEKEDYVLVRQEDIVEGIACFMAAYLLSLKQTKDLTPNQLQEALSKTFSVKKKKGKLRKAWDGSKVIYNVASWGATAIGIYQNPLLLRAASKAFWTSCHVISKLL >OMO50713 pep supercontig:CCACVL1_1.0:contig16069:29266:49513:-1 gene:CCACVL1_30308 transcript:OMO50713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMITPTTSKEVQHLDTTIEQTTSELRIEFRSGIDAMNTEMRQLFQQENNDLKLEMVKMTEELKCVMREKDEAVTCNKQEVEEAKKQAVAEYKSSLNIFADSAMFRTEASLFFFIKASLQRYPNLLSNLKP >OMO50712 pep supercontig:CCACVL1_1.0:contig16069:26884:27874:-1 gene:CCACVL1_30307 transcript:OMO50712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSTIPNTNRAILNWVHGAEFFHYLFYKKGRDNGYLASRLEAHLPLEKGEEGVDETPKEVSTSPSSNSMEASDAGEPAENPVKTIMNKMKTVKKKIKKAEEKLKKLPEEERLKIVEP >OMO50714 pep supercontig:CCACVL1_1.0:contig16069:54650:57268:1 gene:CCACVL1_30309 transcript:OMO50714 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MAWFYLVGKLFSERRINQEAFRNVLYQIWRLESKFQIKEIGDHLFVFHFEDELEKDRVFVNQPWSFNKALLALNDYDGFEAPERIPFEITPFWVRIYGLPIRMMNEKIAVAVGEAVGPVLEADEECGRFLGLESRRELGKPVKKYSRSLKAESLNVRTGRSPGADGSFQKGAYGGLLTPDNGGRVAPSASGIRGSGSQSLPSRSIANGGASFRNHVDSLALGRGRGALRENRERDVGLVNSLGINGSVRRGDKGVGGKLKQPLQSGNRYLGRAVPNMEESLGESPVVAEVNLVGATQTYPPSNDNVKAGVSGPTVGPVIPGVGPSRLGHTGFDIVSSQMDIRGPAAVSPTARKNTVAHQVSKNTTYSSEESYDPSCPFVFVAGGSGTRKMRKWKKQARVSEHYSFDLLCYEPPFRAGSKRSTGISIQGDGYGGNFKRSREADMEVETHEAVIPVLPRDKEVVQEGGGTNQEGLGAPRAVHALVNLVLCNKPSFIFLSETKRKKNEMDWIRYRLGYDNCFTVECVGRAGDLALLWSNDISIHILSYSNSHIDAAIDNNSLNPWRFTGFYGSPQTNHLRNSWNLLRLLHSQFSLPWLCAGDFNEIISNEEKHGGAWRLEHQMRLFRDIIEDCELNDLPVLGPLKTWSRRINGEMVYERLDRALVSRTWLNQFGESVEKHCVSPASDHLPLIITISSCPSPVFTKRKAFRYLSMWLSNEGFKETVSNSWRGDRSRNLLQKIEGCSKALADWNREVFGCVRFKIESRRKD >OMO71259 pep supercontig:CCACVL1_1.0:contig11682:17461:17637:-1 gene:CCACVL1_18331 transcript:OMO71259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQICFLSWFAVAIAAPIGLRRLTSLISFSLICFYSSVFLLLFKSVFLALLCSVSSEIF >OMO51377 pep supercontig:CCACVL1_1.0:contig15859:699:2991:1 gene:CCACVL1_29824 transcript:OMO51377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALPSTAWHTVKIQPKLPFLQRRRPLLIQSFRRSDFDSFARRMTSGEAWKDAWRTANDGFEQFVFEAKKTAERLDRQYSVSRRVSSVVQSATDRAREFDREFEIGPRWRNFTMDFSRNWPRYRKQLNDFLNTPLGRSFATIFFLWFALSGWLFRFLIFATWILPFAGPLLIGTVANNLVIKGACPACKRQFVGYKNQIIRCGSCGNIVWQPEGDFFGRDSRGRSSRKSEPDIIDVEFEEK >OMO51380 pep supercontig:CCACVL1_1.0:contig15859:26749:31941:-1 gene:CCACVL1_29827 transcript:OMO51380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKPVVPTAIVNEAAIIPMLTAGLLVTNSFYFATKSFGERKLRSLDGGREREREERKRKQSRQVWHPTRPYYPTVGLYLLSLPQGHAIIDERLIAKKLMKVAYSNWRFIAKEPALIE >OMO51378 pep supercontig:CCACVL1_1.0:contig15859:4913:7195:1 gene:CCACVL1_29825 transcript:OMO51378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWRIPFPLTCASNFVSNSNNIKSFFPSLLSISVNFNRFLRHPKLSSTFIPKCSLSEGAAGNSQPSESEIQQSNGFWSKWKANSAEMSAKVAKLGLAAVLAYGLFDGVTYTTFFVLAFFGYEKSTGKNPAANLQALLGIIILMWTGNNVTRPFRVAGAAALAPFIDKGLKKIQKYFNFPNLVYAFALVVSLVASLCLTVIGLLILSRWGK >OMO51379 pep supercontig:CCACVL1_1.0:contig15859:12293:12436:-1 gene:CCACVL1_29826 transcript:OMO51379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSCRNVSKLQCLTGETSIPPLPYEYDAKSYALLWLSRHTTFAILE >OMO95608 pep supercontig:CCACVL1_1.0:contig07648:4730:7679:-1 gene:CCACVL1_05355 transcript:OMO95608 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drought-responsive family protein MTIYTEDDSKHSELLENLQRKILEYQEILNKIKASKLKGMCLYSSSGDSRSNRRDEYEDGDEDKDRELDYENYGDKDGHYDNFEDEDTRACFPCPFCYVEIEIHSLCNHLQEEHCFDLRNAVCPLCAANLGKDVIGHFIVQHASSLKRRRKSQKAGHWPGSPATLGRELSSFMGSSTGGRGNAHESAPDPLLSPFLCNAPVSATKDIQRDKCSDDETSFASNLKRTEPPSSDKDGEENTEERRQRAAFAQQLVMSTIF >OMO95607 pep supercontig:CCACVL1_1.0:contig07648:1621:3084:-1 gene:CCACVL1_05354 transcript:OMO95607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSTAAAAATSSKLRYSYSSPLSTHSTATTSHFSTKSSKLTPTHLSSSFLPAFLTTTTTSTSTTVIRRRGGALTVKAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALASVGGSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVELEVRELLSSYEFPGDDVPIIPGSALLALEALIANPGVKRGENQWVDKIYELMDAVDSYIPIPQRQTDLPFLLAVEDVFSITGRGTVATGRVERGTIRVGDTVDLVGLRETRNTTVTGVEMFQKILDEAMAGDNVGLLLRGVQKVDIQRGMVLAKPGTITPHTKFEAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGRVASIMNDKDEESKMVMPGDRVKMVVELIMPVACEQGMRFAIREGGKTVGAGVIQSIIE >OMO88063 pep supercontig:CCACVL1_1.0:contig09109:14474:18712:1 gene:CCACVL1_08560 transcript:OMO88063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDKIPLLTPYTMGKFHLSHRVVLAPLTRQRSYNNVPQPHAILYYSQRASKGGLLIAEATGVSDTAQGYPDTPGIWTKEQVEAWKPIADAVHAKGGIFFCQIWHVGRVSNQGFQPNGQAPISCTDKPLKPQVRANGVDVATFSPPRRLRTDEIPQIVNDFRLAARNAIEAGFDGVEIHGAHGYLIDQFMKDRVNDRTDQYGGSLEKRCRFALEIVEAISNEIGADKVGIRLSPFADYMESGDSNPKALGLYMVESLNKYGILYCHIVEPRMIKVGEKCECPDSLLPMRKAFNGTFIVAGGYDRDDGNKAVAENRADLVAFGRLFLANPDLPRRFELDAPLNRYNRDTFYISDPVVGYTDYPFLETAI >OMO79673 pep supercontig:CCACVL1_1.0:contig10386:11609:14963:1 gene:CCACVL1_13514 transcript:OMO79673 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MASLCSNLHSPLHSLVLPRQNSVSGCFSVKKKVLDPKKPCKRFVLYYHDILFGGDDLANATSAATTNATKLGNTNFGMLVVFDDPMTKDQHLLSHPVARAQGFYFYDMKTDYNAWFAYTLIFNSSHHKGTLNIMGADMMMEKTRDLSVVGGTGDFFMARGIATFKTETQQGTKYFRLQMDIKLYECY >OMO79672 pep supercontig:CCACVL1_1.0:contig10386:6806:9830:1 gene:CCACVL1_13513 transcript:OMO79672 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MASNATVGQKCPSPMKATSNGLFQGDNPLDFALPLAILQICLVVVLTRGLAFLLRPLRQPRVIAEIIGGILLGPSVLGRSKSYLQAVFPPKSLTVLDTLANIGLIFFLFLAGLEIDPKALRRTGKTALGIALAGIGLPFALGIGSSFLLRATISKGVNASAFLVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDVAAWVLLALAVALSGSNSSPIVSLWVLLSGCAFVICLSLIVPPIFKWMAHRCHEGEPVEEVYICATLAVVLAAGLATDAIGIHAMFGAFVVGVLFPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIQGLQSWGLLALVIFTACFGKIVGTVVVSLSFKVPVREALALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMVLMALFTTFITTPVVMAVYKPSRGRKEDYKHRSIERKNPDTQLRILACFHSARNIPSMINLLEASRGVAKREGLSVYALHLMELSERSSAILMVHKARKNGLPFWNKGRRSDSDHVVVAFEAFQQLSQVSVRPMTSISSMADMHEDICTTAESKKAAIIILPFHKHQRMDGSLETTRTDFRWVNKRVLEHAPCSVGIFIDRGLGGTTHVSARNVSYSITVLFFGGHDDREALAYGTRMAEHPGISLNVIHFVVEPETIGEISAIDMQENSGLNSMSLDEECLSEFKQKILKDNSVKYEERAVRNATETIAAIHGIGRCNLFLVGRMPDGELALALKRRSECPELGPVGSLLITPDFSTTASVLVIQQYHGSLSVNLASDMEEESPEKESESS >OMO79674 pep supercontig:CCACVL1_1.0:contig10386:16552:19870:1 gene:CCACVL1_13515 transcript:OMO79674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant disease resistance response protein MASKLGMGSLYCMLFLLFIVSQNPVSGRNKVLDPKKPCKQFVLYYHDILFGGDDVANATSAAATNATKLGNTYFGMLVVFDDPVTKDQHLLSHPVARAQGFYFYDMKTDYNAWFAYTLIFNSTDHKGTLNIMGADMMMEKTRDLSVVGGTGAYPNKSNKKQYKPCKELSFYMHDILYNGHNLENSTSAIIGAPKGFNLTHLADPISWFGDLVVFDNPLTLDNNLHSQPIGKAQGFYLYDSKIPYSAWHGFSFVLNTTDYKGSIDMVGANADILGQPVRNLSIVGGTGDFFMHRGIASLMSDDIQGEAYFRLKFDLKFYECW >OMO52595 pep supercontig:CCACVL1_1.0:contig15503:2096:4359:1 gene:CCACVL1_29175 transcript:OMO52595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MNSLLVAFLTTFLLFELVHGGQFVPLDDVKIDKLPGQPVEINVKQFSGYIDVDKDSGRSFFYYLVQPAKQPSNDLPLTIWLTGGPGCSSVGDGFSSVGPFVTSANARGLQPNPYSWIDVSNLLFIDSPTGSGWSYSNTSSDYKNGDYRTNKDLVTFMLKWYKKFPCFKSRDIILAGSSYAGHFVPNLANSLLDCKDFKFNVKGLTLGNPLLRRKLDELATSEFLLSRKMIDSEIHNKIMQECNTIDEDNYGAKVAVNWTKNCSQLMDKALSAAFNITDPRMAQGRLFDVLRKPCKGTLEDLKLGKEVAKISHGVDMCVNLRTDFYFNLPEVQKAFHGNRTNLPYPWKGCIMSNFAYTKTDIDFDMLPALKRLLQKSIPITIFSGLEDGMVPAVGTQKHLKKLAEELGLKFTKKESLNGGCSRYSYGDFLNLFTVKGGSHHVTASRPSQALYIFKNFVLKIGNA >OMP04080 pep supercontig:CCACVL1_1.0:contig05837:796:3622:1 gene:CCACVL1_02194 transcript:OMP04080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSVNVRNVNVRYVYYTTK >OMO99802 pep supercontig:CCACVL1_1.0:contig06804:35779:45561:1 gene:CCACVL1_03626 transcript:OMO99802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDNKMSELSMHGVNNNGVQPQNSNILSRHALQTHLVPSKIRDGNFEFPDQESKDLHVRAVAQKEEIHHLREQISIACVKELQLLNDKCALERKFSDLRMAIDEKQNEVITSASNELARRKGDLEENLKLAHDLKVAEDERYIFMSSLLGLLAEYGIFPHVVNASTITSSVKALSLRLERHGWLNGNIPIPAFSSIVLRSIPMDVQWFESTDEGRVPSTIPRAQSKGWIQDNQAITAPWALFKATIKARTGLPTGNGEVGAKPAREKAKRTSHLGWYCPVKVASSIVEGLNKSPLLDSLQLASSLYLTKSHLVPLVQVVMLLLTITGPPTLTGTYMISYSGRSEHHMLALCALTKYNDDMDKMRELRGGVGTNIGGGSQQNDSAISGILKNQIPHRVMADHGFSPHNYYTNEQHHMPPSNMLGYMHDDDEKMKNVIFNAQPQQLSNGSSQELLFRSDRGGAGPNPDSAFDKGVVRIGAEDMSNNAFPHQDEMASYGSEEGPGIEGFQIIGDATPGEKLLGCGYPVRGTTLCMFQWVRHLEDGTRQYIEGATNPEYVVTADDVDKLIAVECIPMDDQGRQGELVRRFANDQNKIKCDPDMQNDIDMHISRGQAIFSVLLLDAKHYPKSSLKLPHPQPHNPSFNHS >OMO99801 pep supercontig:CCACVL1_1.0:contig06804:8771:17635:-1 gene:CCACVL1_03625 transcript:OMO99801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQQMNNSNINNSNIPVSERYWTLVDKADKKFSKIKDLPYYERNRYDTYFYKVFKVYTQLWKFQQENRQKLVEAGLKRWEIGEIASRIAQLYYGQYMRTSEASYLSEAYIFYEAVLTREYFKEGLCQDFNLANKQLRFLARFLMVCFVLNRREMVHQLVNQLKMLVDECKKTFQDTEFKEWKLVVQEIVRFLKADTAFMNIRPLRYSLVLDPHPDVLPQVAAPAARKNLRLRDAILSSYHHNEIKFSELTLDTFRMLQCLEWEPSGSFYQSTGGKSDQNEALGPSRANYSQDIADPTLPPNPRKAVLYRPSLTHFIAVLATICEELPPDGVLLIYLSASGKMGQALSPSGSGTCSNTIEYVIRDFQSHSIQSDGTSTSSYSSLSDSPNPSACQSKGDCIDFNNGCLQFGNRGIGGSNCIYPSDLIPFTRRPLFIIIESDASEVFKAISGAEKGERAALLLSPSCSFPIGATDSSRHSIGSLFTIFLTAPLQAFCLLLGISSSDVEMDTYKHAESLLSSSLSDWGLTLATSDNLDPVWAQILRDPFLRRVLLRYIFCRAVLTLFNQTFNKKEFHPECMPALPDSVSPMTTASQTVILQLAKIFRATKRLFADGIVLSILECHSYNLFLAKSRNPITDAETKKKKGECYADIDSGLWGEQCKSSSIAKENCALKCLSPTCYELIYESDPLEEGEKDFIRSQEYKYCMY >OMO99800 pep supercontig:CCACVL1_1.0:contig06804:3854:5089:-1 gene:CCACVL1_03624 transcript:OMO99800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQSQNKQKPNSDQVVIFMDDPNSKLKDPTDPQLDTISESPAKPKTLHRLNFSKPRARFAETTYPLTPKTILESEENKPLYPHDDTSSTDSDDEWFENEDEDEEEDGNGGDSKNSKYRRRRKRKINKRALIEFILFVIIMICLICSLTLKSLKHTLKWGLEIWKWCLMIMARRRRQENIPWPPTFFDRMKESVFHHYILDALSGPPLDESERELRPKRGLGHSKTMPARLREGGGMVRTLSKKGSSRKIDIEKLKKLSMGSRASAWSVKRLVNYVKGSGLSTISRSVDDFGNGESEINSEWEARTCAQRIFKNVAKHGAK >OMO99803 pep supercontig:CCACVL1_1.0:contig06804:47168:47272:-1 gene:CCACVL1_03627 transcript:OMO99803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVGDPKRLISRPKNSKESRALISQRPRSQQEAKI >OMO90444 pep supercontig:CCACVL1_1.0:contig08476:8868:11178:-1 gene:CCACVL1_07362 transcript:OMO90444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARSISHIRAMSDHFDMEPCQIRSESESKFEKKFTEAE >OMP10354 pep supercontig:CCACVL1_1.0:contig02581:471:930:-1 gene:CCACVL1_00984 transcript:OMP10354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAGNSLALNQGIQEEQVVPARYRQEFLTIAWEQVHLRSIFPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQVALDSGVPAIADHEGKIISADTDKIKIIFSGNGDTLSIPLVMYQRSNKNTCMHQTPRVPR >OMO54324 pep supercontig:CCACVL1_1.0:contig15010:10690:14841:-1 gene:CCACVL1_27885 transcript:OMO54324 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGETLLHGTLHATIYEVDDLNPSGEGGHFFRKLIANVEETIGIGKGVPKIYATIDLDKARVGRTRRIENEVRHPRWYESFHIYCAHTASNVIFTVKDDNPIGASLIGRAYLPVEELLGGEEVDRWLEILDEDKNPIGSNAKIHVKLQYFDVARDRNWNRGVVSAKYPGVPYTFYSQRQGCKVSLYQDAHIPDGFVPKIPLAGGKYYEPHRCWEDIFDAITNAKHLIYITGWSVYTEISLVRDSRRPKPGGDITLGELLKKKASEGVRVNMLVWDDRTSVDLLKKDGLMATHDEETENFFRNTEVNCVLCPRNPDDGGSFIQDLQISTMFTHHQKIVVVDAAMPNGDTQKRRIMSFVGDTAHHDDFHQPNFTGAAITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWKKQGGKDVLVQLRDLEDIFIPPSPVMFPDDHETWNVQLFRSIDGGAAFGFPDTPEDAARAGLVSGKDNVIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFAWSADGIKPEDIDALHLIPRELSLKIVSKIEAGEKFTVYVVLPMWPEGIPESASVQAILDWQKRTMDMMYRDIIQAMQAKGIEEDPRTYLTFFCLGNREVKKSGEYEPSEQPEPDSDYARAQEARRFMIYVHAKMMIVDDEYIIVGSANINERSMDGARDSEIAMGAYQPYHLSFRQPARGQVHGFRMALWYEHLGMLDDSFLSPESPQCVRKVNQIADKYWDLYSSESLERDLPGHLLRYPIGVSSDGTVTELPGFEYFPDTKARVLGAKSDYMPPILTT >OMO54323 pep supercontig:CCACVL1_1.0:contig15010:4302:4781:-1 gene:CCACVL1_27884 transcript:OMO54323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGICSQGLVLATAMVVSSTVIFLTFSRQLKPFSPPPKQTLRSCLCSDGKKRDRKKKKVHFAENVKDTRGNGEEYRKEQTRKIIAAAESVGRSRNVDGFCRNEMPANRVALYSGILRDRVHRTECSY >OMO81668 pep supercontig:CCACVL1_1.0:contig10130:17447:21309:-1 gene:CCACVL1_12315 transcript:OMO81668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSIGAAPHHHHHPTSLEEVRTLWIGDLQFWVDESYLNSCFASTGELVSIKIIRNKLTGQPEGYGFVEFTSHAAAERILQSYNGTPMPGTEQMFRLNWASFGIGERRTDPGPEHSIFVGDLAPDVTDYLLQETFRAHYPSVRGAKVVTDPNTGRSKGYGFVKFADENERNRAMTEMNGVYCSTRAMRISAATPKKTTAFQQQYAVAKAVYPAPAYTAPVQVLPPDNDPTNTTIFIGNLDPNVTEEELKQFFAPLGEIGYVKIPAAKGCGFIQFAARTSAEEAIQRMQGQMIGQQVVRISWGRSPTAKQDLPGSWGTQVDPNQWSAYYGYGQGYDAYAYGAAQDPSLYAYGAYAGYAQYPQQVEGSQDMAAMAGAVPGLEQREEAYDPLATPDVDKFNATYLSVHGSAILGRPLWQRTSSQA >OMO81666 pep supercontig:CCACVL1_1.0:contig10130:6869:9309:-1 gene:CCACVL1_12313 transcript:OMO81666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVARASKTLMLWLAMTCIMIAASNVPMGSAEETQGIVECFSSLSSIEGCIEAIDKAVSDKDIKDLGPACCKAINHPTRGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTITYQKYTLNIWDVGGQRTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGASLLILANKQDIKGALTPSEIAKVLNLEAMDKTRHWEIVGCSAYTGEGLLEGFDWLVQDIASRIYMLD >OMO81665 pep supercontig:CCACVL1_1.0:contig10130:3239:6365:1 gene:CCACVL1_12312 transcript:OMO81665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase NAD(P)-dependent MEEKDNNLERELLVMRECFRSGRTKEADWRRAQLKGLQVFLKENEVDIFKALKQDLGKHYVEAFRDEVGLLRKSLNLALSDLKKWMSSKEAKLPIMAVLSYAEVVPEPLGLVLVISTWNFPLALSLEPMIGAIAAGNAVVLKPSELAPAISSLLVNTLPNYLDNKVIKIIEGGPAIGEKLLQQKWDKIFFTGSGRVGRIIMSAAAKHLTPVTLELGGKCPAVLDSLSWSRDKEAALNRIIGAKYGSCAGQACISIDYLLVEKAYLPSVVELMKVMIKKMYGDNPKELHSVARIINKHHFLRLKNMLTDPMVQKSIIYGGSMDEDSLFIEPTILVDPPLESAIMTEEIFGPLLPIITLDNIEDSIDFINSKSKPLAIYAFTKNEKFRKRMLSETSSGSLVFNDAIIQFAADTLPFGGIGESGVGKYHGKFSFDTFSHYKAVARRSFLTDFWFRFPPWNNHKLELFETAYNYDYIGMLLVVLGLKRSRMRFDVN >OMO81667 pep supercontig:CCACVL1_1.0:contig10130:10405:16465:1 gene:CCACVL1_12314 transcript:OMO81667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETPKKQLQSPRKPKQSPNNLKSKSSPPPASISPQTPQSSIPPEPPLRRSLRRSSLSFKLKDDYVNHDKADPKTPRKAPEENGKCSRKSRSNEESVKTPKTPKSKRNEENKKTPKLGKLGDRMVEVEVTFSPASPEVLENNKKRKRGEEKCVVTRSMAAKSPKKKEKKRVYYKKVVYDGGEFEVGDDVYVKRREDASSDDEIPEVEECRVCYKSGRNVMIECDDCLGGFHLKCLKPPLKQVPEGDWVCGFCQARKLGKDVEFPKPPEGKKRIRTLREKLLSSDLWAARIESLWKDVDGSYWFRGRWYMIPEETASGRQPHNLRRELYLTNDFADIEMESIIRHCNVMSPKEYAKANDEGDDVFLCEYEYDIHWHSFKRLAEIDNDEADGGHADSDEDWNSCKEADSDTDEEMEYDEESARNAQNRPPSAHQLAANSCKGRFFGLQKIGAKKIPEHVRCHKQTELERAKATLLLATLPKSLPCRNKEMEEITAFIKGAICDDQCLGRCLYIHGVPGTGKTMSVLSVMRNLKSEVDAGSTRPYCFVEVNGLKLASPENIYRVIYEALTGHRVGWKKALQLLNERFSNGKKIGKEDDRPCILLIDELDLLVTRNQSVLYNILDWPTKPNSKLIVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYQQLQEIISSRLKGINAFEKQAVEFASRKVAAVSGDARRALEICRRAAEIADYRIKQQISTVNSSTVKDIVTIAEVDAAIQEMFQAPHVQVMKSCSKLSKIFLTAMVYELYKTGMGETTFEKLASTISCLCTSNGEAFPGWDTLLKVGCNLGECRIILCESGDKHRLQKLQLNFPSDDVGFALKDSKDLPWLAKYL >OMO81669 pep supercontig:CCACVL1_1.0:contig10130:21858:25761:1 gene:CCACVL1_12316 transcript:OMO81669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MAIPAVIVVVPVGVIFFLSGLIVNFIQIELYTDTGTFQLMGKEHALLICNHRSDIDWLVGWVLAQRSSCLGSALTIIKSSAKLLPIIGWSMWFSDYVFLERNWAKDEETLKSGFKQLEDFPMPFWLALFVEGTRFTQAKLELAQTYAASKGLPVPRNVLIPRTKGFVSAVYHMRSFVPAIYDCTLAVSRTQPSLTMLRMFRGQTFVINIQIKRHLMQELPETFDGISQWCKDVFVTKDAMLEKYYTTDAFGELEYHDIGRPKRSLIVVICWSCLLLFGIIKLFQWSSVLASWEVIVFAATFLVIVTIVMQILIYSSESKHSTPPKVSQISDSTREKLLG >OMP02781 pep supercontig:CCACVL1_1.0:contig06184:247:357:1 gene:CCACVL1_02706 transcript:OMP02781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPFTAMTMAVVLVFLFDSIRLNVDKNDEREGLF >OMP11765 pep supercontig:CCACVL1_1.0:contig00908:10515:11783:1 gene:CCACVL1_00282 transcript:OMP11765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVDDIHSEFQETMKEPKNYPVDEKTVSEDFLKKLSYDDIGIICNDDGSTVDQRKTTSVASNNNPGIRPKEPRHPHRNRLLSLAKRLFNPTKPGVGPTYDALAKALMDSRYPALGLEYHEEWTIDLKNLTIAACFAGGTFGKVFHGKYIGQEVAIKLLERPAGKNRPQVVGALERQFRREVSFLAGLDHPNVVRLVGACRKRMVWCIVTEYAKGGSVGKYLQTKHQNGQHPMSLKFVFKRALDIAKGMAYIHSLGIIHRDLKSDNLLIGSDKSIKIADFGMARIEAKYETMTPYTGTLRWMAPEMISNKPYTQKVDVYSFGIILWELITGLIPYQGMEPLQVASLVVKSGIRPPIPEDCLPSLSEIMTRCWQTNPDARPNFTQIVEMLHVAQFEMMTTVRKARSRRSIAPHPMMNDDILNI >OMP11766 pep supercontig:CCACVL1_1.0:contig00908:12603:15254:-1 gene:CCACVL1_00283 transcript:OMP11766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDITRVRINRAAKFLQISAKGNAGESFQSQGEATVKRKPGGCYKSGANVVKIECDEGLEINADTNPVHHEGDMPICNMQNQHLTQETCNANDGGDKSDDFWQSLITEFPGKVLEEAGEKIQNSGYIQDTTNLQD >OMO79334 pep supercontig:CCACVL1_1.0:contig10438:1351:1440:1 gene:CCACVL1_13745 transcript:OMO79334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAHSPGPDNDDSSSSANGILSSEQTS >OMP11812 pep supercontig:CCACVL1_1.0:contig00808:71:133:1 gene:CCACVL1_00248 transcript:OMP11812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLNVWLPLGSGYYEGNYIGV >OMP03657 pep supercontig:CCACVL1_1.0:contig06014:65705:65851:1 gene:CCACVL1_02321 transcript:OMP03657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRPPHRSTKLTKCVDRHVARQADEMCRPPRPSTKLTKCVDRHVTRQS >OMP03658 pep supercontig:CCACVL1_1.0:contig06014:70223:72682:1 gene:CCACVL1_02322 transcript:OMP03658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLLHIVMLLVSISLTSALSTTLTPGNSLSVEKEGDYLVSPNGTFTSGFYNVGSNAYCFSIWFTNSVDKTVVWMANRDGPVNGKQSRLTLHESGNLVLLNADGSIVWSTNTQTQSNDAGVELRLLETGNLVLINVAPDKNVRNTMWESFDFPTDTLVASQQLTRNTSLVSVRSRGTYLSGFYNLKFDDNNVLDLLYNGPLLSSVYWPNSVTTIFYSGRAPYNNSRIAGINEIGSFISSDNLVFNASYYGVGLKRRLTLDYDGILRLYTLEDEGSWKLSWRPGGLEACMVQGLCGVNGICSYNPLPTCVCPRGFNRNDPSDWSKGCSPMFNFNPPLPCGDPGDPTDQLDFVEHPKTDFYGYDLDTYEAGISLQKCRSKCLNDCRCKGFGYALDGQGQCFPKGALRNGYRTPGNRIITHIKVPKGTLISSKEDSNFQVPAAAAGALNCSNKQLFVDNSSVQVQDGQTRQKNKNGYMKYLIAFAASVAGIELICFGLGWWYVFRNPSESIDAAGYNIALALGFKRFTYSELKKATRNFKEEIGNGGFGTVYKGVLEDQRVVAVKRLEGVVQGDTQFWAEVSIIGKINHRNLVKLWGFCAENEHKILVYEYVENGSLDKILFKDSSSTWVLGWDQRYNIALGTAKGLLYLHEECLEWVLHCDVKPQNILIDAHFEPKVADFGMSMLFKDGNDTGFSRVRGTRGYLAPEWMMNLKIDAKADVYSYGILVLELLTGKSASAGVESENECSDLVELVTKMIREEQVEKIIDPKLQGKYNKEQFKRMVEVALLCVKDDRNARPAMSKVVHLLHGHHDESKSDEDIE >OMO69536 pep supercontig:CCACVL1_1.0:contig12018:11549:14011:1 gene:CCACVL1_19445 transcript:OMO69536 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MLEYEWGNPPAIILSAEDPNQESDPNRQIFDHYATTTAQQSFNDTLLSHQTNTAFSHQNHFHNPNQTQAQPQPHAHLHSLYDPRAYSGASTYSAPNPSLFSLDPVSGAGGSGGGYFLVPKTEEVSRPVDFTARIGLNLGGRTYFSSAEDDFVNRLYRRSRPGDPSSTNSPRCQAEGCNADLTHAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKSQQQQPNNQENQKPVLLDNGRNTSSDNTPILFVGDSGGLTTANVVGLFQAKTSLQCNGIFIIVKHTFFLKWGEEVKQSSCLLDGGWPYPKQSYHEQNQSDVSNLSFLSLS >OMO69534 pep supercontig:CCACVL1_1.0:contig12018:2065:3709:1 gene:CCACVL1_19443 transcript:OMO69534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEHLILLQKILVVLFCVALFWKIIKYMCGLLNIEKDPVTVLVTGQIGYALVPMIARGAMLGPDQPVILHMLDIEPAAEALKGVKMELIDAAFPLLRDVVATTDAVEACKGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEKHAAPDCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISEKLNVHVSEVKNVIIWGNHFSTQYPDVNHATVKATNGGEKPVRELVANDNWLNTEFITTVQQRGAAIIKARKLSSALSAASAACDHIRDWVLGTPKGTWVSMGVYSDGSYGVQPGLIFSFPVTCEKGQWSIVQGLKIDEFSRGKMDATAKELVEEKSLAYSCLN >OMO69537 pep supercontig:CCACVL1_1.0:contig12018:20869:23359:-1 gene:CCACVL1_19446 transcript:OMO69537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MENGGNIFMGNRGQSGNNNTTINLAWDLREFNPTRIDWGGNHVNNANNGFNFYAAATRAETTSTSSSSSALPEANTIHALMFLPHHGNPTLAHHHSLYTGDGSHMHPDPHLVCLKLGKRHYFEDSTGFAERNLAGGFANIGKKGKPYYNHILGAGGDGAGVGPSSSSAAVMGPPATVPRCQVEGCQVALVNAKDYHRRHKVCEMHSKAPKVVVLGLEQRFCQQCSRFHVVSEFDDSKRSCRRRLAGHNERRRKSSHHDSASRNSAQENKMMMTGTGRIPIPYVSSATGRALSLLSSNSIRGDNICDSWISQSDSDLSSRSSAALRELIAENRAAILARQLILDRDHLHLHHHHHENAMDDQHLGSTTCIDHQPDGGNWDIQTGSQVTLDLMQASSSAFGMLSVRANKTKDEEQQDCSDLWNSLEGTHVV >OMO69535 pep supercontig:CCACVL1_1.0:contig12018:4601:6815:1 gene:CCACVL1_19444 transcript:OMO69535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENMKQLQQSLIELEIEAEHLLLARHQLVENDKVRNGNREALTALRKRARTTKTSVPSPFESMMKNIGGSGSRTLVTEIVRSCLTQ >OMO69533 pep supercontig:CCACVL1_1.0:contig12018:380:726:-1 gene:CCACVL1_19442 transcript:OMO69533 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MKGVVISVLLMVAMVQFMAKPEVEATVTCSQVTSALAPCLAYLTTGAGAPPPLCCSGLGNLQKMAQSPSDKQVACNCAKDAAARVPNIKEDAAASLPAKCNIQVNFPISKNTNCQ >OMP06324 pep supercontig:CCACVL1_1.0:contig04970:1831:2019:-1 gene:CCACVL1_01628 transcript:OMP06324 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase VENQEGVVNFDEILRENTFMVARGGLEMEIPVEKIFLAQKMMIYKCNLAGKPQMLESIIKSI >OMP04811 pep supercontig:CCACVL1_1.0:contig05638:454:519:-1 gene:CCACVL1_02132 transcript:OMP04811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DQIIEVQSYKEDQGNPSTSKTQ >OMP04812 pep supercontig:CCACVL1_1.0:contig05638:1066:1331:-1 gene:CCACVL1_02133 transcript:OMP04812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILCPNLETLTLSSLNIQKIWPDEQHATCSNVQDLKVILVHGCHRLKYLFLDSLVKNLVQLNKLEITECENLKEVICTRSFGKKEGTAQ >OMO84629 pep supercontig:CCACVL1_1.0:contig09729:4120:4959:-1 gene:CCACVL1_10736 transcript:OMO84629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKKLELVFIPTPAIGHFVSSVEFAKRLLLRDDRFSASFLVKAAAPHSVSVFLRPEVLGVKFIESHKFCVKDSIINQVLLPEPVSVVGLVVDTFTISMIDVATELGFPSYLFNTSGYLNPIPSNVLPSLLFDKDGGGYLCFLNLVRRFKETKAIINNSFEELESHAVKTLSELEDYINNKPIFTVGPLLDVLHGRQTQSSLRSAIRSNMRKL >OMO85601 pep supercontig:CCACVL1_1.0:contig09600:440:1873:-1 gene:CCACVL1_10081 transcript:OMO85601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSSSKAALELIPAFLPDLCPGKPFYVTVRVARKWQTILPGGTNGLPLMEELTPEAQLIIMIAQMEPETIYPLMGLNHMAAISRKTSIRSMLRLLIAMYLSLFDQVSKQTVFKWLNFKPSEIPTTEVGVRELQQKHVLQEIYSRGFVNYPNPTITFKEPFIEVPTIYLSEMHKKYVIDGNAHGLTMVRAIPNFGFNLNVSYQITDITGSACHTIEFIFKQFPNMAQQTEHPPLPEQAEHPPLREQLEHPPLQEQAELIELKLCMFL >OMO85604 pep supercontig:CCACVL1_1.0:contig09600:40977:41520:1 gene:CCACVL1_10084 transcript:OMO85604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPKWQEAYMDYNSLKTLLKELHGFQATNQTNSCRQRRLESKDDTLQSFSGLTQRYNNNNSGPLTPSSPDIEDQQPILVNSVSRNGSQSYQTAFLMQADEGGEYELVFFRRLGNDGGSDLSEDAGFKLGSADLVGQIRLREENGADFW >OMO85603 pep supercontig:CCACVL1_1.0:contig09600:36151:37578:-1 gene:CCACVL1_10083 transcript:OMO85603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGCYKNYSGDACSRGKSEQTFEIDEVGNLSWELDDVYYSMPSISKDACKEECLRDCICEVALYQNEECKKLKLPLTYGRREPNQATTFVKIGAGAGAGAGAGAGAGASGPVFIVGISSLALGSILVLVSCGFFVLVFRHHRVGKKWILNLESSDNRFDEDVALRSFTYEELKIASHGFTEEIGRGSCGTVFKGVINGSRNVAIKRLEKVVTEGQKEFKNEMRVIGKTHHKNLVQLLGYCHEGGNMLLIYEHMSNGSLADFLFKARSKTDWEGRIEIALDIARGILYLHQECQTQIIHCDIKPENILIDDKGCAKIADFGLAKLLMSEQTKTYTGIRGTRGYVAPEWWHQNSLITAKVDVYSYGIMLLEIVCCRRSIDMNAPENEVVLAYWVYDCFMARELEELVKDEQVQGKMLERMVKVGLWCIQDDPTLRPSMNKVVQMLEGTIDIPLPPCRPTTSFTASSFTSSSLPASK >OMO85602 pep supercontig:CCACVL1_1.0:contig09600:6591:7509:1 gene:CCACVL1_10082 transcript:OMO85602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTRMFNFFPTASGRNLDTVTEETANVKVTPTKQEEETPDQENGAPDSATASELSERRKALFEPLEPIQNINGKRLSAESLLPPPDFDAASYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEEDARCLEHLQLQLLQEKSKRSEVERENAMLQEQISMLMNMLQDNEPIGDEGPEEDEP >OMP06037 pep supercontig:CCACVL1_1.0:contig05081:5927:9709:-1 gene:CCACVL1_01743 transcript:OMP06037 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MSGVPRASSAKGRLRGLLNEAANRVCADCGAPDPKWASTNIGVFLCLKCCGVHRSLGTHISKVLSVTLDEWSDDEIDAMIEVGGNASANAIYEAYIPENYSKPGPDSSHDERRRFIRSKYELQEFLKPSLRITSGKGGKSSTLQSSFSKKIMDSFRVKASSQSEGMVEFIGLLKVKVVQGTNLAIRDMMTSDPYVVLTLGQQTVQTTVIKSNLNPIWNEELMLSVPQNFGAVKLQVFDYDTFSADDIMGEAEIDIQPLITSAMAYGNPEMFGDMQIGKWLKSDDNALIEDSIVNIVDGKVKQDVWLKLQNVESGELYLEIEWHSLES >OMP06035 pep supercontig:CCACVL1_1.0:contig05081:1919:2314:1 gene:CCACVL1_01741 transcript:OMP06035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMIRESTARELRPSDHGLEYQSLPPTGLKSPEMRSFFGASSSKSSTPSTVALPKAMNSNETETPWWSGRHGRGSDHVKQVLLLGSLVCGVTGVALLVASAFIYIIRRRSSQYSSAPNDTNTSGGLVVITK >OMP06036 pep supercontig:CCACVL1_1.0:contig05081:5559:5624:-1 gene:CCACVL1_01742 transcript:OMP06036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAEDGMSGEMQLPNFRLFE >OMP00285 pep supercontig:CCACVL1_1.0:contig06695:31740:32027:1 gene:CCACVL1_03404 transcript:OMP00285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRAEFNFPFCVDSTRCRVASQQQTVHMIPKFLPPRSHERSTIRTPMANRRTSDHRTFWNVLFTLSSNKVATHPQVLNIRRPREAHLDTLILAN >OMP00284 pep supercontig:CCACVL1_1.0:contig06695:11894:11989:1 gene:CCACVL1_03402 transcript:OMP00284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AETTRDIRYVTENSGTKAGTKLVAYLYRTLG >OMP00286 pep supercontig:CCACVL1_1.0:contig06695:33768:33887:1 gene:CCACVL1_03405 transcript:OMP00286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSTDPRSLRTDRNRLSRFYRVLGRVGLIAAQENNNQT >OMP00287 pep supercontig:CCACVL1_1.0:contig06695:36361:39492:1 gene:CCACVL1_03406 transcript:OMP00287 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein MEDPKTPETLEAKNPDLELIEETSEAVELFTLSETSNELGSILGLQLQDYSPAVGGDGLAMDVKEKVVSVVETEEGCLVDDGDGVDGKQEDQMGVLVAPDERLEESVDGGSEDNVVVEPVRDEGMKEDDGLVISEVDSVKKIHVSGDNISLYVDFTGPLNEVNGAGLMVSKEEFREAGNGELITNRQEHKFNVGDIVWVRTKSQSWWPGKIFDPSDAPEYALEGDQPSFWLVGYFGISHVAWCPPSQLKPFHVDFVQMTGQNNARSFLGAVEKAIDDFRKRLELEMTCSCVLKENKFSASDSTIKGGSIPEAKFGELGGFSAAQFEPAKFLCQLKNLAQVVSWPGMLEFTAIQTCLSAFYRSIGHCQLPMRQLWTYDADNASSGPMGGRNINVGLVKNLGETVGSLPENCGDSVAGVLSEPASSSRKRKGKTYFEVRDSSIHIEGPDQGICVSSVENGKDNSELKNEKCFDLRERKKSKYLSYPYVNWENKGLSETEDPNALIVSYEGVYEFTGSPSVLRSSAKKFQQEWYRKFIRGNGTAYPELASTSSAELLSELQFVAVDCLLPTESTNFGLIEWFFSRFRISKYHDESIYEMYCKKMVNQKETTATDPCLSGNDPHEMKSTSAPLTSPENKMKRKKKLANSGETKIKTLSGLSDVTMNYAACSLSVKEFQAMASAAPNGKQTVAGEQTTQATNIPDLNGNGAIPIPLAEDLKVMSHITSEPRKRKRKRSASEQSETKIVPSAFDANGNIAGSTSLLDLPARGPHSIIKVPEQNVGLPDSSGNCVPPTRPDMGSFATESKPGPKKRGRKPKAPSGFQNPVLTAGIPDLNGTTTESNLLGKDIQEANNVIPVVKPVPKRRRKKGEATLNIFAKYDKARANAKPLGSTLILTFAPGISIPTKEVLVATFCKFGPLKESELQILNDSSTAQVVFVRNEDAGKAVQSLEKSNPFGATLIKYHLQHDTTRTTQPLEGFGALGKLSGAVPHVGDAPPIDFIRQNLEMMTSMLEKSGDNLSPEMKEKLESEIKGLLQKVSSLPNSSS >OMO79535 pep supercontig:CCACVL1_1.0:contig10404:9914:14025:-1 gene:CCACVL1_13604 transcript:OMO79535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTSTCPGTEPEVTGGSVDHMRCFGDAAGRLQESALDAATKGNRLNEEMKGVDSLATQLEKN >OMO79538 pep supercontig:CCACVL1_1.0:contig10404:34823:39317:-1 gene:CCACVL1_13607 transcript:OMO79538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPTMDNLLRLHVITRKKIRALRTRCNNLHNQLVDNRLRVASAILTGEMDRELRHMMKRSTPALEKYWGSLMNRKEILRGSLNALKIFMMKEPTLVVNSKEEYEELLLIVDLGWRGNMHNAVADEGDHAWVKGEAIGNGNFGYSSEGDGPDDGSDDVEMGDGSDDVEMVMFDILVEGQLIVIEQADAVPLLIKLPWSTLETTQKCMMLYMVGSLYSSCTELYLLKKMTGIKGSICLKQSDRNRTKLNLVQKPDNFRRVMALASAVGMLTSVVCAEIKASFCHNVTLSCAYLKLTQLCSSALEEQRVNHSTRAYLHLYQIENVSGPIILDWVIGQHAHILEWTGRALDLEEWEPLSFHQRQAASIIEDFRILEEEEGVEITENFLLPGAISGGEPVRLVAIHGHYAD >OMO79536 pep supercontig:CCACVL1_1.0:contig10404:18383:25193:1 gene:CCACVL1_13605 transcript:OMO79536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENGIFNGFYRTHDVDIEQMERSEGGISGDWLSSLVEWLNGMLPELRLPLDATEEELRECLNGGAIFCTILNKLRPGSVEMDGGSDYGPVNVKRFLIAMDEMGLPSFELSDLEQGHMMPILECLKTLKACFDRNGDANNVQHPSRKRWNLSGEIESIQLKQGCYADFSDATILELMKSSSLDNASTQSLFGILYRIMDESIERKKGDIPHQNNLYRGREEKYQSRIRALETLAKGALEENKVVINQLQHVKTEKSKLEEKGKVEEQDVLQLKNEKIQMETEISRLKEELINSKKMHERHCLQLEAQAEDTKVVLEKKFKELECLLQDSRKEVAELQSFSESKLKRWKNKEHTFLSFIDQQFGAIKELREASKSIKREALKARKSYSEELNYLGSKLKGLVDAAENYHYVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKQTTIEYIGENGELVVSNPSKQGKDTHRLFKFNKVFSPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNVSSKEDWGVNYRALNDLFQISQTRKSSITYEVGVQMVEIYNEQLVFIIFTILLFQCVLCFFDLHTLGIWSTTQPNGLAVPEASMHSVKSTADVLELMNIGLMNRAVGATALNERSSRSHSVLTVHVRGTDLKTNSVLRGSLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVESYSETISTLKFAERVSGVELGAARTNREGRDIRELMEQVAFLKENITKKDEELERLQLLKGNGNGIKHGMSSLRYGSSSPKAHSNGTPRQSQSLSRRKSLGNFEKAAFDVDNFSVNSDKPSEGGSHRSMDETKHHNESSVQTNLAGTDLGQNLADDFELLGFGDADSEERLSDISDGGLSMGGTETDGSICSVVEYTLFPEVSKPSEKVGKVEKPDKAEKSDNIVKSIMPSKLPKLPQKVLQTKPVRLSMSRSSSKVSSSAGKITAATTSSSSTKSSKRWQ >OMO79537 pep supercontig:CCACVL1_1.0:contig10404:26469:33306:-1 gene:CCACVL1_13606 transcript:OMO79537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKSEYHAKDRISALPDEVLILILSCMPVKEATRTSVLSRRWEKLWTFSPCLELDGSSELFNIQCQFYSAPHGPQRKKEVLDSGRLRYINWVNCALESYHACAVDKFRIRFDLNERYRRDIDEWVRFAFEKKVKRFELDLSLYSGGVCRRSNSYPLCSQTLPLPSAISLTSLVLKQVNVSDEVLDNLISNSPCLECLCVGGSTSLIHFRVAGPMLCLKYVEIVVCPNIRSLELHAANLSSFKYNGPDIFINFMNIPNLVELCIEGLYVPYFARKMPLLSTSFSQLQKLALCVLRIEKTMKMLEYPTLSRLKQLELFVFLHSDESLLVFSRLIKACPSLSRLALKLTGLRSETETYVGRNVKKAKKCLHHSLKVVEVKKPTKEKGAHHSLKVVEVSGFIGHTIVTKFCMYVIKNAIMLEKIIIDPIDPIFKGTPLENRHSEEVEAARVAAEMLSCSLICTSFFFFLSFNPLEIDFSPFLVMGHKSEYHAEDRISALPDEVLILILSCMPVKEAARTSVLSRRWEKLWTFSPCLELDGSSELFNIRCQFWCTPHDPRRTREVLDSGRLRYINWVNCALESYHACAVDKFRIRFDLNERFRRDIDEWVRFAFEKKVKRFELDLSLYFGRVCRKSDSYSLCLQTLPLPSSISLTSLILKQVNVSDNVLDNLISNSPFLECLCVGGSDSLIHVRVASRFLCLKHVEIVMCVNIKSLELHAANLSSFRYNGPDIFINFMNIPNLVELCIEGIYVPYFARKMPELSMSFSQLQKLALCVLRIEETMKRLEYPTLSHLKQLELRVFLHGDQSLLVFSRLIKACPSLSRLALELNWFLSGTYVGRNVKKAKRCLHHSLKVVEVKKATKKKSVHHSLKVVEVSGFIGHTIDTKFCSYVIKNAIMLEKIIIDPIDPVVRGTPHENRPCEKVEAARVAAEKLRSKYFLGDKLILM >OMO73442 pep supercontig:CCACVL1_1.0:contig11231:109:3610:-1 gene:CCACVL1_17256 transcript:OMO73442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M48 MAFSYMKSKTKPIFSNASSTSFRENGVSRLFQNLFKPHLPQTPFAGTSFTRFYMVSAATVLGGARRLHYSRGGFMGGARRFCHSNRNLDIVKVAASCTKSLSSRPSGSKFWSLGRVFAMVAVGSCLLYGVRIERVPYSNRFHLTLSRRLEKDLGDYEWPEIFVNSDSNFDSILPSSDPRSIRVQSIARKLLDSMREGLMLQQQLKMVAPKPQESKNHTRNLIWKPATKHLDGKAWEVYVTEYGSEAFCLDNGKIAIGSGLLKDLKSDDEVAAVIAHEIKDAHKGGKVSSEKGGSKQSMAVDAKPLKISKKKAQDAKSFTTQDKAKGKSTLKERQEKKYPFPDSDVASMLDELLKSKVIELPEMKRPEESDKVDDPNYCKYHRLVSHPVEKCFVLKDKIMELHREGLIEFEEEVASSNVASVTK >OMO61452 pep supercontig:CCACVL1_1.0:contig13471:5032:5434:-1 gene:CCACVL1_23508 transcript:OMO61452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVEDQHGVEDQHGDLLLIEKYIPIFGNPTLTDTFKVKKLKKSDRMSPELVDMDVIGNDAVVFVGGHESIVIKTDGFPGLQPNSIYYSVEEVEESDEESGEESVEVGGIFHLDDGSIKRYDNETLTIPLYDAV >OMO61454 pep supercontig:CCACVL1_1.0:contig13471:22319:24034:-1 gene:CCACVL1_23510 transcript:OMO61454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLYRFSSGFPIPLGVSSGGYLLFTYRFVSFFSRWGSCKDKVGVIFLLNLPYRYLLLEFQIQNVGIRCFILEGDIFFLYYLITFSYFLISNSVAIAVLFGHNVMAGEALSNLCSQLSLQEGDKQKVVIDNSWVEGDGENEARHCLIGKLMLKKPAHVDGLRNVLHQAWRLEGDLIVQEVGERLFVFEFQDALEKDRVLVSQPWSFKKALLVLKDYDGIQHPESIQFNKCPFWVRLFYLPLRLQNERVGIAVGEAIGEVLDIDPNWGRFMRLRVLVDLLIPLKAGTTVATASGDLEVEFRHERMADFCYFCGLLDHQEGECPEGVIQNKEIGFFIRRYSDRLKAETPVIRNSKQSPGVAKAKSVFAGLNREGKLPMMAASLSTGGGAAKQRTLGSSMMESEWRGGFKDHVDSLLLRGRATARAIAKEGRSREMVSKVQSNGVEKIPGDQEVSSSKFRDLNRKFLSIAENKGVDRGIDIAEGVYALQQQLLPGGMAETPNFVGDRGSASKGKGSATYAGSRNAPAIGPCHMGLGESSRAPIGRTNMVSPINLLFGNVANFEQHAQDPGLQQLK >OMO61451 pep supercontig:CCACVL1_1.0:contig13471:421:630:1 gene:CCACVL1_23507 transcript:OMO61451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CASDGIQLLRTIERSYASSTNPAVNFPSFLFRAAAKAVAVYIALLGEKSLTSKFKFLPQVRWLVRPVDG >OMO61453 pep supercontig:CCACVL1_1.0:contig13471:5766:6230:-1 gene:CCACVL1_23509 transcript:OMO61453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNSEERNWADLPELALELIRQKLKPLRDLFRFGAVCKRWNSILSVNGKKLCRLDECSRQPPFLLIPRDDLGRSFSLYSVTERRILLAQIRSLHPSSSRLIGSSHGWLIFATKTSAISLLHPFSSKMEIHLPAIPHLIPIPGDLTHRQLKSEL >OMP03583 pep supercontig:CCACVL1_1.0:contig06036:2902:3917:-1 gene:CCACVL1_02357 transcript:OMP03583 gene_biotype:protein_coding transcript_biotype:protein_coding description:reticuline oxidase-like protein-like protein MMTGGVSINPLDGDQGISVLQQKNDALQRWEQHNKQMDLQFRRFDELSDEIVDRLDNLVKIYARRRQVDNRKPRVEMAHGDPIEKPVSVCRNPVDNRKPGVEIARGPIERPEHVRRNPIYDKGFEENRQRQPFRTRCTINDMEHSKIILGKPWQFDEGAQYSYDDNVYKIRKEGKEKETEVTEKDKEIEVTQRKDESVTIDKVAKDGESVESKTPDQYVTVEEKQKELVVEKKSEFSSITCGGIHGLFCVYGS >OMO58367 pep supercontig:CCACVL1_1.0:contig14243:1707:1826:-1 gene:CCACVL1_25473 transcript:OMO58367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFARDDNLLLTVSRDRHLSIFTINRTAAGELDYKLFISK >OMO87856 pep supercontig:CCACVL1_1.0:contig09165:27835:29368:-1 gene:CCACVL1_08731 transcript:OMO87856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFQGHALPGTLFLMVGLWHIWSAVVRHVANPKAFEVRVWNPVPGFHGKLKYLELYVVTFGAFLDFCIELLYSTHLKFFVNGVLNPSHLNDFEHSGMLFMFFLLGAIALLSEKSSFVSLPQGALCLIAAAAFCAEYLLFYFHSTTHKGLEGYYHLVLVLLVGLCILTTVAGALYPNSFPIDLCSGIAITLQGLWFYQTAFTLYGPMLPDGCKLKDNEISCHLAESAVRGELLANFQLFSLVLGVLLLVVGSYGFAASRYGGSDLRSMHSVQDE >OMO87853 pep supercontig:CCACVL1_1.0:contig09165:16920:17738:-1 gene:CCACVL1_08728 transcript:OMO87853 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperone MAILSEFNEDQENNNCCSCPHPPPSGLSFNGVLDSSNPMGFLESALNFVSQNSDMFKKGSAERKISSLANGLDMETHSWGQSLQEVTINIPVPQGTKSRDVLCEIKMKSLKVGLKGQTPIIEGELFESVKPNDSYWMMEDQKVISVLLTKCDKMTWWKSLVKGGPEIDTQKAEPEPSKLSDLEGETRSAVEKMMFDQRQKQMGLPTSQEIENQEMMKKFMARNPNFDFSNAKMM >OMO87851 pep supercontig:CCACVL1_1.0:contig09165:7202:9643:-1 gene:CCACVL1_08726 transcript:OMO87851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHETTQIFPEPLKKAWERWNIRGTILFSLFLQTFLILFAPYRKSTRNRLLIMLIWSAYLLADAAANFTVGLISNSQGNDSDSSKDKFKDNDDLLAFWAPFLLLHLGGPDTITAFALEDNELWLRHLLGLVFQAVAALYVFIQSIQNIITVPTVLMFVAGTIKYVERTRALYLASLDRFRDSMLKEADPGPNYAKLMEEYASKRENKLPTQMIMVPEPDKEARATDMPVKKGQLNDLEVVHYAYKYFQIFKGLVVDNIFSFRERNESREFFKERYAEDALRVIEVELNFIYEVLYTKVQVVHSIWGYVFRVIGFGSILASLGVFHFVTEKNGLNQFDVGVTYTLLLGAIILDVIAFFMLIFSDWTFASIKDPDSDKGIIAKIFKSFLWVKGPWWRIPRSENGGEQCVLHNVLATPILFRRWSGSLASYNLISYCLKSHPSRIHKFIRWPRVIAENLGIDWCYKIVVGVIDAALNAVKRFLSCLINILFSIKSKLIAGIDFVVGKLMLIPGLRLILRNISVAFVYVRTYIMGSMGINDLLDEILYVYREPFTKELWEFIFEELKNKADYADDPEAAKRISTARGEWVLTDSDTKIDRSSLLQYVSDVQYDESLLLWHIATDLCFYGDKPAAAGDKSDHREFSKLLSDYMLYLLIMQPTLMSAVGGIGKIRFRDTCAEAERFFKRRDLLLSNMKNREKRETKACEAILSVNTDVKPVAVKGDRSKSVLFDASMLAKELNFLEKKGLNKWKLMSRVWVELISYAASQCRANTHAQQLSKGGELITFIWLLMAHFGLGEQFQINEGHARAKLIVEK >OMO87849 pep supercontig:CCACVL1_1.0:contig09165:1658:2698:1 gene:CCACVL1_08724 transcript:OMO87849 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MASSSEHQSGSSSTNGSDHPTTLYDQYQKNFSVSPEEKKSKVKFCSLLVKLLKPASGDTTRSSNSGRPIDDQRNGTANQTQNKNTSNGNGSSSQKIKKFPKSYEDPPKVRGFERDEMSLEIMLLEGGRNGSFKTVGVIGLPGVGKTTLCKSILKNERVMGSYDQRIWVSLSESDKPISDTEHEELIKNALEQIGVADEIVQLISDEYKVPGLLEALNEKLKDKKYLIVVDDVGEGEGNDSCYEALKTCFSDRLPKEKGGAVIVISRSEETARKVMVEEKNYFHRLMPLSDAQKTSS >OMO87850 pep supercontig:CCACVL1_1.0:contig09165:3303:5888:-1 gene:CCACVL1_08725 transcript:OMO87850 gene_biotype:protein_coding transcript_biotype:protein_coding description:disease resistance RPP13-like protein 4-like protein MSSTSPNADSEQTNSTEEPLTIQQIIERLTHLFKNLQCEAEADIDAPQQPTAATTTNSAAADNQTGNNQDEIKTQGGDGSQQQAETNEKENKNTEPHATSKRVDVKHELDKLCKELQHMLSSFEKLKKFSINLKKPLETLEQNVGDILKDLPRVTDSELPKQVSLNLRVLKNNITRVKIQIPLQHQATNANSEANRSLQTTVATSEADDMPQLYNEEGVFENSYFFKEIEEKYKHLDVRQKLCLLCFAVFPENAEIKKRLLRFWWVGENLVSAEGNEEEKKIVSDTLETFVEKGFIVPIQKKNKLQPRSYKMTPIVRSCLIKFAKAAGFFDYDGEGKPTMNFFSCKKACMVKSQGAPVRWFSDYLNPTPTSEKQGNETQPANDQRKDRLSADLLKLQMLFNFPERRILEKASKQFHELQTLFNVSKQFPALPKEWLSKMTNIKVLYLGRWESKAGRQRHIEVEDIDFLRGLKNMKNLRLLSLQGISGIPRLPSSLCKLASLKILDLRACHNLEKLPDRIGLLKKLTYLDLSECYLLDDVPKQLNKLSELEVLKGFVIGNGKNSCTLEDLAELKKLRKLSVNVSTTEFDIEDAEKALSKFENLEKLRIAWGSGGLTGGDNSAEKKMEPKSPAKSSSSRREKLRIAWGSGGLTGGDISAEEKMEPKSPAKSSSSRRDKEEDNGNGSKTCWKRGKEDSKNKEKDKEEQSNGAANSADKKPDSEKQGTGSLGAFSTAVRFGNRLKKLLTLRRKPEDLKGLEKLVKLDFQCFPNTDPPKWLVPGKLKSLKNLSVRGGRLGHLIQEEQVKWGVEILRLKFLINFTMNWKDMQEEFPELKYLENVRCPRITFCPTDASGVWQKSSKSN >OMO87854 pep supercontig:CCACVL1_1.0:contig09165:20400:21080:-1 gene:CCACVL1_08729 transcript:OMO87854 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperone MAILSDYNEDQENNNSSSPSPSGLSLNADLAPSNPMVEEENEAAKKLEEEEMNKKLTPNKANGLDMETHSWGQSLQEVTINIPVPQGTKSRDVLCEIKRKNLKVGLKGQTPIIEGELFESVKPDDSYWVIEDQKVISVLLTKCDKMTWWKSLVKGGPEIDTQKAEPEPSKLADLDGETRSAVEKMMFDQRQKQMGLPTSQEIENQEMMKKFMAQNPNFDFSNAKMM >OMO87855 pep supercontig:CCACVL1_1.0:contig09165:21763:25657:1 gene:CCACVL1_08730 transcript:OMO87855 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mob1/phocein MSLFGLGRNQRTFRPKKSAPSGSKGAQLKKHIDATLGSGNLREAVRLPPGEDLNEWLAVNTVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIETQLDDESIFPQKLGAPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTCEFGLIDKKELAPLQELIESIVVPY >OMO87852 pep supercontig:CCACVL1_1.0:contig09165:13876:16392:1 gene:CCACVL1_08727 transcript:OMO87852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIAGSSTQEITEEQEPGNTQVINDEEEDAGKIYGLLGSVSKIILTGEIGSGKTWIAKQIKKYVVRQKHDEPIWISLDQKHDEQSLFDTIARQFSLPTSTDAREEVDGQSNRNEESEKERKELSERKLKEKMKGKLDKATPEKFLLLVLDGQLGEDDEEKEKMVSKILGLENNTVDYKGKFKVLITRRTSEAGDHVTDEGLMKKVEIEPLPENEATKLLEKNVFDQVKIKYSQAFKELCLAIREKKKVLPAEILILAGNLNYIAKDKSGNWDLKLAFEAATNDLKQLLRYTYDKEPGNCIIDCFWHSWHFLEKHGGIHYNELITNWIMEGHLKLIKEIDKAYQKGHEILMQLIDCRMLKMQEDNVVVLEGAILNMNEYCYRGYTGKSDPGLASVLKDSDPRVLEGIKPADGMMKTVCSDKKEDMIASLLIDGSRLCREVHEVFFGAKQNLNLLAIFDPRLKSLEELSVSKMKKLLVFMLRGAYLLKDINQIDKLKDLTVLEISGSESLEEIPDGFFHQVSKLRSLKLSALGIKSLPQNFSELIELRRLIISKCPSLEQLPKVDKFSKLEVIDLSECTELVKIQEKSFKSLEKLQVINFSQTKLEKLPIVKSLKNLEILLLKGCSGLAWMRSLKNVSSLKILDLSGAGNIKEIMFDGFEGTSSLKELDLSGTLIQFLPSDICNLQKLRLKGCSLLKDVPELGGGSNMLEELDLSGCESLVKLPVLTDLQKLKILNLQNCSKLESPPDLKSLTKLEKLDLCGTKIWSQDLEDSLKLHIPLLQIVSDNNHP >OMP05414 pep supercontig:CCACVL1_1.0:contig05398:5522:7266:1 gene:CCACVL1_01911 transcript:OMP05414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLPALCYSEDYFVRTRATYYGSPDCLGAPTGACGFEEHGKTINYDANVAGVSRLYKNGTGCGACYQVRCTNPQLCTKNGVKVVVTDYGEGDNTDFILSPRAYSRMALSNAAAELFTYGVVEVEYQRIPCRYGGYKLQFKVHEHSKYPNYLAIVILYASGKNDILNVEIWQEDCKEWIRMRRAYGAVKMRFQVQGSAGLTWVQAPNVIPSDWKAGVAYESDIELE >OMP05415 pep supercontig:CCACVL1_1.0:contig05398:7702:9419:-1 gene:CCACVL1_01912 transcript:OMP05415 gene_biotype:protein_coding transcript_biotype:protein_coding description:COP9 signalosome, subunit CSN8 MDFSVLNEALASKSFDKIADICDNLMIQVAAEGVAFQDEWPFAIHLLGHIYVDDVNSARFLWKSIPAAIKESQPELVAAWKIGQKLWTRDYAGVYEAILGFDWTQETQLLVTAFSELYTKRMFELLVSAYSTISIHDAAQFLGMSEDDATNYVVSQDWTVDPDSQMLTVKKQAIVKEQKLDPSKLQRLTEYVFHLEH >OMP06008 pep supercontig:CCACVL1_1.0:contig05092:4830:4901:-1 gene:CCACVL1_01757 transcript:OMP06008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMRGVRLRFLLTSGWIDRAEMAFL >OMO98625 pep supercontig:CCACVL1_1.0:contig07066:20964:21177:1 gene:CCACVL1_04146 transcript:OMO98625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHRKILIDGGGVNAIDIERKPLPTL >OMO98622 pep supercontig:CCACVL1_1.0:contig07066:14859:14924:-1 gene:CCACVL1_04143 transcript:OMO98622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNFAIEGSAGKQRREKRM >OMO98624 pep supercontig:CCACVL1_1.0:contig07066:19375:19449:-1 gene:CCACVL1_04145 transcript:OMO98624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANRGTITQSCSFLRRAGTGGED >OMO98623 pep supercontig:CCACVL1_1.0:contig07066:15721:16914:1 gene:CCACVL1_04144 transcript:OMO98623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAAEKGSTTTKTPGDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >OMO62819 pep supercontig:CCACVL1_1.0:contig13149:35254:37820:1 gene:CCACVL1_22625 transcript:OMO62819 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MGIVSLFIVALMPVLKVILITGLGLFLALDRINILGPEANHHLNNAVFYVLCPALAGTCLAESITFQGFINLWFMPLNLLLTFLIGSAFGWILIKITKTPKHLKGIVIGCCSAGNLGNLLLILLPAVCEESNSPFGDPSICSKSAKPYVAISLSFGSIYIWSYTYGIMRFCANKSIEHGSTRNNSETVCIEITLPSTDSQTSQHHTLQDQKPPMSSGSGSDSDRKSTKILILKTIQRVKTSMRKIDPKKLFAPTLIGAIVGFIIGVVSPFRKLLIGNDAPLRVIDSSAYILGEATIPCMTLIMGANLLKGLKGSDVSPIVIIGIIVVRNIFLPLSGIGVVKAAHHLGMVRSDPLYQFVLMLQYSVPPAMAVGTITEFFQLGQGETSVVMLWTYAVAAVTVTLWSTFFMWLLA >OMO62817 pep supercontig:CCACVL1_1.0:contig13149:2402:14410:1 gene:CCACVL1_22623 transcript:OMO62817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLLLEEDSTRVAYLGLMGGLFL >OMO62818 pep supercontig:CCACVL1_1.0:contig13149:19126:23045:-1 gene:CCACVL1_22624 transcript:OMO62818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSCSFKVLNKNLGPIFRPGQA >OMP07390 pep supercontig:CCACVL1_1.0:contig04473:408:473:-1 gene:CCACVL1_01315 transcript:OMP07390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALRFVISKTIQNSTNAP >OMO83287 pep supercontig:CCACVL1_1.0:contig09912:7512:13795:1 gene:CCACVL1_11457 transcript:OMO83287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSILHFCSLSTTPKLLSHLKHCSFLSTSSSSSAQIRFVWLNHSKRVFSSSAAVAVAVPSKSGRDTFFAEDSVSWKSLGLSDQISQALSNAGFQRPSLVQAASVPSILSGKDVIIAAETGSGKTHSYLVPLIDKLYNARHNGDNDSEQASAPSRSFSVVLCPNVLLCEQVVRMANSLSGDNGEPLLRVAAVCGRQGWPVNEPDIIVSTPVALLNNIDPKKNHRSDFIRGVKYVVFDEADMLLCGGFQNHVIRLIHMLRFDEKLLSRMNKSGSENPLLLNSDSLSHFEREEDLQNESIFEAGEISEDDVDAVDLMEEARTSPANKKDWRRVRKIYERSKQYIFVAATLPVNGKKTAGAVLKKMFPDANWVSGNYLHQHNPRLKEKWIEVTTDTQVDALIEAVKLFSSKDHDAGVSRTMVFANTVEAVQAVANILQRAGIECYRYHKDLSLEERAETLNNFQEKGGIFVCTDAAARGVDIPNVSHVIQADFATSAVDFLHRVGRTARAGHFGVVTSLYTESNRDLVNAIRTAEKLGQPVEAAFSRKRSFRNKLKKRDWHHSRMTTGSGSETDIPKPVDDAVRALEQRWDQRFQEQNARHRNFETTLETILERLDTLGVDANNMRNNKEPRVEATLSEPVNRQGQRVADRVVVDNQIAFVNRAENHAVAVIHDDSEEDELEPNRVVRYQPQKNHGRRFFDFLDTPAEKRVKLVACRLKGGASAWWDQLRYRRVREGKNPVRTWYRMKFLLQHEFLPLDYKQILFQAYQNCKQGAKSVHEYTVDFMRLCQQNNLFESEGQQVSRFLEGLRPVIRDKIGVQVIRTLSEAKNMALKIELMQQERSR >OMP10674 pep supercontig:CCACVL1_1.0:contig02052:44:1778:-1 gene:CCACVL1_00825 transcript:OMP10674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISHIFLLLARHTKNDRRSKKLIVAIVIVSVAVMICIATFAFCLLRRIRKGRYRALLSFIRTSHFEESLNEKNAELPLFDFGTMAEATNNFSADNKLGQGGFGHVYKGSLKGKEVAIKKLSKSSCQGIQELKNEITLIAKLQHRNLVRILGCCVEKEEKMLIYEYLPNKSLDSFIFDGGKRSLLDWGMRYEIIYGIARGIVYLHQDSRLRIIHRDLKASNILLDAEMNLKISDFGMARIFGVDQVEDNTNRVVGTYGYMAPEYAMQGHFSIKSDVYSFGVLLLEIITGRRNGSHPQSPNLNLVGHVWDQWANDKAIEVIDPSLGSCYPTCDVLRCIHIGLMCVQDSAIDRPKMSAVVAMLENDSAHLSPPKQPAFIIMRANQTFESATSSTEPEGTVSINEVTFTMPHGR >OMO74171 pep supercontig:CCACVL1_1.0:contig11154:23803:25137:-1 gene:CCACVL1_16907 transcript:OMO74171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRKRGGSSAEKENGGEVTAENGNGGGEYEKMREQRIKENREKMEKLGIFNLSQKLKPPPPPPKIPKTSSSKDKALTPLPARLSYAEKTPKSTRKAETPKYTRTRKAKDDDYEEEIEIHLEEGSKPEIYTEEQEKLLGDCKMTWTLLVDGYDEIGERMYDPYDGKSCHQCRQKTLGYHTKCSKCGSFQGQFCGDCLYTRYGENVIEVNQNPKWVCPVCREICNCSRCRRAKGWKPIGDIYEKVKKLGFKSVAHYLIQTHRGQEKTEGLVSEDEEPSGLMLDETSDMDENSSGSPDSEVDE >OMO74178 pep supercontig:CCACVL1_1.0:contig11154:49334:50696:1 gene:CCACVL1_16914 transcript:OMO74178 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-oxoglutarate-dependent dioxygenase MGEIDPEFIQEPEHRPKLSTIEVEGIPVIDLSGADTKQLASEIGNACKTWGFFQVINHGVPFELRQRVEKVAKTFFDLPIEEKRKVKRDELNFTGYHDEEHTKNIRDWKEVFDMLIQDPTVLPASPDPDDEAITTYTNKWPQFPSEFRETCQEYTREVDKLAFRLLELISISLGLPANRLAEFFRNQTVKPLEELVNEQNPAKYKEYNWGKYYVNRTGSNYKKLKAENLQIHHFKAA >OMO74174 pep supercontig:CCACVL1_1.0:contig11154:39165:40273:1 gene:CCACVL1_16910 transcript:OMO74174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESILLRSCSSPILADVKDIHRTGLSPASRTRFASTIRCSSSSDAYIPKLEPFSRTKLERAVKDPPLIEKCENQLADYCSTLEGDDCYSCWRAYFELKDLEKETPKEDVERIIRQAGGLKTLIGCLHGIASIHHKQNSNGCLTLATQCHPENEGKTFVHVPDGLPKTAEELEEEERARMPDSPFTRLLRTKGTCPAWYSPEPDQCLQ >OMO74186 pep supercontig:CCACVL1_1.0:contig11154:101919:104927:1 gene:CCACVL1_16922 transcript:OMO74186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSAFSPISFLRLFRPLYPVQLRFFRPLIPHPAPKLPPPFPRLHFFSSSSCSASPVSFVDEKEGEEKWDFSKIENEDAFAFDDGNDGVFAENDMKHLDMPELEVKDLEEFPEQWRRSKLAWLCKELPAHKAGTLIRILNAQKKWLKQEDATYLAVHCMRIRENETGFRVYKWMMQQHWYRFDFALATKLADYMGKERKFAKCREIFDDIINQGRVPSESTFHILVVAYLSTPVQGCLDEACGIYNRMIQLGGYRPRLSLHNSLFRALLSKPGGFSKYYLKQAEFIFHNLETCGLEIQKDIYSGLIWLQSYQDTIDKERIKSLRKMMKEAGMEEGKEVLVSILRACSKEGDIEEAERTWLKLLDSEGGIPSQAFVYKMEVYARVGEIMKSLEVFREMQKHSGSASVAAYHKIIEVLCKSQQIDLAESFMKEFIESGKKPLMPSYIELTDMYLNLSLHDKVESTFLECLEKCQPNRAIYNIYLDSLVKVGNIGKAVEIFKQMLQNVAVGVNAKSCNTMLGGYLSSGDFLKAEKLYDLMCQKKYEIESPLMEKLDYILSLSRKEVKKPVSLKLSKQQREILVGLLLGGLQIDSDGERMNHMLRFEFNQNSVVHSLLKRHIHDQYHEWLHPSSKAVTYGNDDIPHKFSTISHTYFGFYADQFWPKGQQVIPKLIHRWLSPLVLAYWYMYGGYRTSSGDILLKLKGSHEGVEKVVKTLRAKSLNCRVKRKGKVFWLGFLGSDSIWFWKLVEPYILDDLKDLLMAGNHDSAEDYAAESQDINFDSASESDSDEKASDNIEDDYR >OMO74167 pep supercontig:CCACVL1_1.0:contig11154:3351:3653:1 gene:CCACVL1_16903 transcript:OMO74167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFASFPRFKDGRNAMELQVKDANSGSLWQFRVFVRKNNYLKPVFSKGWCNFVQSKNLKVGDKVKFYKEEDDQGSGAQFKIKAKKAVKIFGVVFGYAKA >OMO74175 pep supercontig:CCACVL1_1.0:contig11154:40587:42213:-1 gene:CCACVL1_16911 transcript:OMO74175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRVVLISVGIAIALFHAFVIYVCASKVLRRIKKIIKSRTDGRVTTTTTPISLLEVKVTLPMKVIYRDTTIAKKSDPPEMAQIPKTRLGEGTLGTLFKVVLDCGTIVTIRKIRAGLIKDTGNFELWTSFFGRIKDDLLLPIHFSFWYGGEAFILYEYLIMGSLEELLHGNDGIQFTPLKWEIRKQIALCAAKAVNSLHSRVTMNGQALVCGVIKASNILIRTDFSACLSSYETPFLVPPEMIIKRNPGRVAPELKKHSRIFTQKSDVYSFGILLLELMTGERPSVTNLRDYVREKKKEEGINSICDKRMGEAKENMVGMIGIALLCLSRNPQDRPSMDKAEHTRIGQVLASAPICHNLSSLKWLKLKNNGMEGTTSETSKWRLELNS >OMO74187 pep supercontig:CCACVL1_1.0:contig11154:105486:111434:1 gene:CCACVL1_16923 transcript:OMO74187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDSDLVTRLREILRDSDLETATAGSVRRQLEEDFGVDLSDKKAFVRDQIDLFLETLNQENEKEHEEVVEEGEEEEEEETRQLFIALFLSRESSKADKQVKKRGGGFQKVCSLSPQLQKVVGESELPRTEVVKKIWSYIRENNLQDPKNRRNIICDESLHAIFRVKSINMFQMNKALTKHIWPLSEGNENVKDEMICEDNNDSASVDSEKSEEEDKEEDKDKGREEEDEEKDVEEEGEEEEKDEQEEEEEEEEEDEEEQEQEGEEEEEEEDVPEKRDGNTRRSKNKRSSKVDNHKAVKKRGGGFTKLCSLSPQLQAFIGESELARTEVVKRLWNYIREKNLQNPKDKRNILCDESLRAIFRVNTINMFQMNKALSKHIWPLNEEDAQADLAKSERKPKQGRERGAYEPKQKEKRQKKGPSGFLAPLPLSDALMKFFGTGENALSRADVVKRMWEYIKQNDLQDPSDKRRIICDDKLKELFEVDSFTGFTISKLLTTHFIKMEEAPLGSFTWTTRKWKDKDRDRKKATPDLQVANMFGLRLLLP >OMO74168 pep supercontig:CCACVL1_1.0:contig11154:4329:15250:-1 gene:CCACVL1_16904 transcript:OMO74168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPTPISNIASSALSLSWADSLIRDCMGNWIMGTYRHLGRASNFLAECWGLRDGLYIAQLCNITKIVVELDATSLIAATSSTNDDNTNRIPDNFVKKFRDELSVAAALTVPDGHVWRVGIKKADNKVWFQEGWQEFVERYYIRVGYFLVFRYEGNSAFSVSIFNLYNSEINYQSNALVGAQFNHGKQYPFDELEDDECISPALQNLFGSSKLNNCITWTGEVNIPTAKAVNNQAIRAAEAPKPKKRGRKKQKFDPNEQEAAAGHENDVEMRFRFYESASARKRTVTAEERERAINLAKNFEPANPFCRVVLRPSYLYRGCIMYLPSCFAEKHLSGVSGFIKLQLPDGRQWPVRCLYRGGRAKFSQGWYEFTLENNLGEGDVCVFELLRSREFVLKVTKIPHKFVKKYGDELSPIATLAVPSGRIWLVELKKDNKRMWFDIGWNQFVEYYSIRVGFFLVFGYEGNSHFNVHVYDLTASEINYLSNASLNNSEDSSHDEHVKNVKDGDLAEIMGSRPNCSGSSSLTDKEVDECLDHDMEKNNNSTCGACLKILHQKNVVHDSQATFGSTQDKGIRFSRVELTGTADKGGRRFTNETHQIIEKIKQEIEPSKHLELKSILYRVFLIDFFHPNSLKDIDEHESLGKIEVKKELPSTESPRKMSRRWRDVTSEERQNAVRAAETFKPDNPFCRITLQPSYVYKGILLHVPRWFTRRYLNEVTGTVTLQGSEGKNWPVHCVYGNGNLKFSKGWAEFVLDNKLDEGDRRETVRSFVTRAKSIYIHGSGSDSPDKNKIKSRSCREGIFAFFSRQILPGTIAEKKLKLPTRFVKRYGHELSNVATLILPNGKNFEIRLTMDNNDEMTWLDNGFHEFVEYASIRSGHMLFFRYERNSTFLVCIMDMESACDVDYPTEDEELNNGDNDERHSIEKTANLDKKANQEAIRRKQDAFISVQAQDLKELYDGKKLKITIQRANAESGKEEEEIGIYVSRNLFGTTATSQDRERAIRAIEIFQPKNPCFMVIMRQYNFVSGNLYIPVGFATEYLSSQALKHVRIEHSDGREWSIEFQSHGKNSMSLKKGCYEFWNDNDLKNGDVCIFELKDYNTALLSLSILRAGSIN >OMO74176 pep supercontig:CCACVL1_1.0:contig11154:42870:46629:1 gene:CCACVL1_16912 transcript:OMO74176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTKSPVPQKMEEKEKKESPEKEEEGEEPKKDEQAPASTGGGWGGWGFSAFSVLSDLQKAATVAAEEISRNASAVAEKAAKSLAEMQIAEDSESSEEKEGEGSPTDKESEDEDDKLRKSTLDKLEQASGDTFLGQSLKVLDNSVENLASGAWQALGSAWKGGTNLVQKLEHSAANIAEGLPAASVAPSLIETGKAFTTKGIQVLEYVGKETMDLLITETGIDVEKNPKGTEQPSDDDQLFEEVSFDRCFYIYGGPEQLEELEALSSHYALLFNRRKAKLASEQKSVYEGKLKQIQQIFSLDAEIDGNGPELAKGKKIETGTEGSHDEMKNLHDSSVSKAADMAAGFTNALAGLAVNDIIQRTSGRLESLHSEGVHRLSEMCCFAVSQLLMLGKSVISSANKVQDEDADGDMLNIDWPEDSIEKAKLIRVKAQSMTGYVEAVSSSFITGISDVAEAYLAAIKSATADSHEALPQASIQEKVSAFSEHLRGDQSTAVSKIQDGLQYLSYVVLSTSMPAA >OMO74179 pep supercontig:CCACVL1_1.0:contig11154:54445:59307:1 gene:CCACVL1_16915 transcript:OMO74179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopenicillin N synthase MGGIDPAFIQEHEHRPKLSITETGGIPLIDLSVLINSTDAEQDPRTLDSLVSEIGHACRDWGFFQVINHGVSVEKRQKLEEASMKFFAQPLEEKNKVRKEENKVLGYYDREHTKNVRDWRQVLDFSLQNPTIVPASTNPDDKEVVEWHNQWPHNPPELREVCEEFAEEVHKLGYKLMELIALSLGLPLNRFHGFFKDQTSYIRLNHYPPCPAPDLALGLGRHKDSGALTILYQDDVGGLEVKRKSDGEWIRVKPTPDALIINVGDIIQVWSNETYESVEHRVAVNPERERFSIPFFFHPAHYTMVQPLEELTTNAEPDPSTLDQSLVSEIGSFKFNQYSQLKLQPLALDKINHCDQAVISHRQKPNSFTLFAMDQTLANKATTMGEVDPAFIQELEHRPKLSTTEAQGIPLIDLSVLTSADAEPDLRSLVSEIGNACREWGFFHIINHGVSVDQRQKLEKACREFFAQPLEEKVKVRRDDVKIYGYYDREHTKNVRDWKEVFDFSLQNPTIVPASTDPDDKEVVEWHNQWPDNPPELREVCEEFAEELQKLGYKLMELIALSLGLAPNRFHGFFKDQTSYIRLNHYPPCPAPDLALGLGRHNDSGALTILYQDDVGGLEVKRKSDGEWIRVKPTPDAFIVNVGDIIQVWSNETYESVEHRVAVNSERERFSIPFFFYPAHYTMAQPLEELTSEKNPPKYRPYNWGKFLVSRKVSNLKKLDVENIQIHQFKIQQTA >OMO74170 pep supercontig:CCACVL1_1.0:contig11154:21739:23060:1 gene:CCACVL1_16906 transcript:OMO74170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRIIVAIAMMRMMMIAVMAGDTNFVFSPCEDTKVQKSDGFTFGLAFSNKESFFADNVQLSPCDSRLALASKTAKLAVFRPKVDELSLLTINGSVPITAGGFMVAFAGRKYAARSFPVMVADDKNTITSFTLVLEFNEGTLQNLYWKSYGCDSCSGGSAVCLNNQDCAIPTSKCGSNGCSLGIQLAFSGTDKNLEALNSWYEVNNLRQYSLYGLYSDVRDSITASIPEFITGK >OMO74183 pep supercontig:CCACVL1_1.0:contig11154:72406:89802:1 gene:CCACVL1_16919 transcript:OMO74183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Semialdehyde dehydrogenase, NAD-binding protein MLRDFKFLRRNSSKNEEIENVPINPGDSLASQPSSDGSTRPPLNTIQDPMAAKTEAEGSTRSRIDRTPTKPKPKVPDSTLHFKTPDKHGFLSKNRFGWAKNEGAESDFRSGGLTNMTPRVSRGVGRANSSYYSESNSTQSTPTKSVSKPPASGFRNKFDGNGGVRGGNFSALYKGVPGSSCGPPTVVNTVEVPHFDLKEDPSFWMDHNVQVLIRVRPLNGSEKSTQGYNRCLKQESSQSITWIGQPEARFTFDHVACEAIDQEILFRMAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMLGEIDDLEAKPSPRRGMTPRIFEFLFARIQAEEEIRRDEKLKYNCKCSFLEIYNEQITDLLDPSATNLLLREDVKKGVYVENLSEFEVQTVSDILKLLTQGSLNRKVAATNMNRESSRSHSVFTCVIESRWEKDSTTNLRFARLNLVDLAGSERQKTSGAEGERLKEAASINKSLSTLGHVIMILVDVANGKPRHVPYRDSKLTFLLQDSLGGNSKTMIIANVSPSICCATETLNTLKFAQRAKLIQNNAVVNEDSTGDVIALQNQIRLLKEELSLLRRQNVSRSLSFGSTISGTMQLEDNPLNDNTDEMGLQQVDDLLGYESKGIVRLSTKQLKSLETTLAGTLRREKMEETCIKKLEAEIEQLNRLVRQREEDNRNTKMMLRLREDKIQRMESLVRGSLPADSFLFEENKALSEEIQLLQAKLDKNPEVTRFALENIRLLDQLRRYQEFYEEGEKEILLEEISNLRDQLLQLVDGNSKQHSYPSSNDTLQDTVCISKEENNSMQLKLKSTLSELEECRHNLNSCLEENAKLSREINDLHTMLNSLKSSGCHQAGNTRTLKGFDQNGGLKEINGIQAIATAEQIMDLQLELDILKIILQEEKASHCEVEQRANCLTRDLEMAKDKLLLLSNQVEDANSELKEAKLVIEALESQQILSINEIEDLRKNNSHFVKLLSEQEVEIDTLKKQLSSNAFRDHPRPKKIEGRDSILQLKLNRMHESLEKAKKMNMWYQSDRAFQASNEEEMDEIRQQVEGETAEVIVCLQEELAILQQEVQDCHSKEMEAQKGATILEMELKELQEKVNILTEDNKELVERLEMKDGELRTLLDEWELLAAEIENILADGHEELDDATDQLNLISSSFPQKRIWISEQVGRVVRILSEKELLIEELGRCLEDATDKRSELECRLESLRDATLAINELQQQECNEKEKEIVLLKSELNSKTSVMKRLEKRMKKAEDELINASVCATAAFVIVNRLSEANVNHLNSLKDKDIRLAESAKMILSKDAILIDQATMIEIAENHIQSLKTQVAKSEQACAELEQRRLEEEQYAAAMKQKLEDIEDNDILKAQEKLSELKTGVSTLRAHMGMKKDCDRSPERSVRQRLYSLDDRSDERRSGKDDKDLHSGQELDTDTPHCSFKVGESLHGSSCHKKFKESGETCKNLCDKEVTVILLKRELESAMESLKEVQAEISRICSEKEEIRLSEEKSKESLRCLATHVLALEATTRDLGKLLELKIGAVNNKVSTFEQTMQEIRTHWCQTKEFLELEVGDAKIIATQKAAEASCVLAKFVEAQDTITEADIMINGLMIANETMKLDMKRQKQVEAALVNERDALIDQVQSLQSINIVKDQQLEDLKEQFGTSLTETRYVVAELEGLVTELQTAFSQNILAVACDCHFLKSLLFDSVKLARSWLEDVWSEIIVKDCAMSVLHLCHTGILLETLTGLNAENGLLQHGLSESNAIMADLREHNSKSRRELEMCRVIKGKLLADIKNSFDRISKKEEETGELSVKLITFEKKISDLQSQEEVMLQRSNYMGSQLTVLMKELDMTNTNFIASLLDQEQLLKDKEELLESQAEVSMVDLCTKDFESLILASEMLQLVARLADSKKELTDAYAVLDSLRRDVIFSKLDEYLKEQLVVECEYESSLLQEKVEEGQGELRKLKEENCQLLRDLDEKKSNLESSVSCLDASNLEIHQLKEKTFSLEAMIASLQAEIELKAVELKELQHSQSVIAEDLGLKSHDLQISVERVNTLREENALLGKKLRSLEGNNLKAHNKSSLNAAKCVDSVETLDMMCSKLFSILNKSGGTIIDRMLQELQDNAERISKFIEEFEHLEHHAKELESENVSLQAELSRKDEVLKGLLFDLSLLQESASNTKDQKDEIEEIVSSLEALEEEVAIKSRELDEAVSHSQMLEVQLQEKLDIISNLQLGISNERKSLKHICSENQKLRAHNEDALAVKSSLEDELRERMKINESLEAELSEMSSAISQMNDTIESLSSSLDEVAGERDQLHMEVLSLEEKLGKTQAEAKQSEAIAMEAQQMAESRKTYAEDKEAEVKLLERSVEELECTVNVLENKVDIIKGEAERQRLQREELELELDALKNQMQNVKNADADRKSCIDEQRKDLQQALDHIQILERDIADKDKEIAQCKTHISELNLHAEAQAKEYKQKFKALEAMADQVKPEGYFTHAQSHSSNKLEKNASKSRGSGSPFKCIGLGLAQQIKSEKDEDLTAARLRIEELESLAANRQKEIFALNARLAATDSMTHDVIRDLLGVKLDMTNYASVLNNQQVQKITEKARLSSLESQVKEHDIVKLKQQLDEFVAERQGWLEEIDKKQAELVAAEVALEKLRQRDQLLKTENEMLKLENVNYKKKVMQLEGEVKKLSGQQNLQQRIHHHAKIKEENNMLKVQNEDLSAKLRRSEVVLSRVREELAHYRASIGKNPHINIDEEQRLNNRLRESNDDRVQLAQKLLGLCTSVLKAAGITKPISDICPAAAEEALEQLKNKVISLERELQTLTLKSKITSERNRLSELMPQTSPGDVKISKLKNRDGKLRLNAGGGASALSIKCVQSSEKSKKEVRIGLLGASGYTGAEIVRLLANHPYFGITLMTADRKAGQSMGSVFPHLITRDLPTLVSVKDADFSKVDAVFCCLPHGTTQEIIKGLPRHLKIVDLSADFRLRDIAEYEEWYGQPHSAPDLQKEAVYGLTEILREEVKSARLVANPGCYPTSIQLPLVPLIKAKLIEHRNIIIDSKSGVSGAGRGAKEANLYSEIAEGIYSYGVTRHRHAPEIEQGLSDAAQSKVTVSFTPHLMPMIRGMQSTIYVEMSQGVTVEDLYQQLRKYYQGEEFVKLLDKGVVPRTHNVRGSNYCFMNVFPDRIPGRAIIISVIDNLVKGASGGYEAELLNGKSFPTILKVS >OMO74185 pep supercontig:CCACVL1_1.0:contig11154:93932:96860:-1 gene:CCACVL1_16921 transcript:OMO74185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRSAITVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDKQTEELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPEDPKNFHKTIHRILNVQYSIPDYVHISPECRHLISRIFVADPAKRISIPEIRNHEWFLKNLPADLMDDNTTSNQFEEADQPVQSVDEIMQIISEATIPAANTNSLNQYLTGSLDIDDDMEEDLDSDPELDIDSSGEVIYAL >OMO74180 pep supercontig:CCACVL1_1.0:contig11154:61289:63540:1 gene:CCACVL1_16916 transcript:OMO74180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVDPAFIQELEHRPKLSAIEAEGIPLIDLSVLNSADAEPDPNTLHSLVSEVGNACREWGFFQVINHGVSVEQRQKLEKASREFFAQQLEEKVKVRRDEVKVYGYYDGEHTKNVRDWKEVFDFLVQNPTIVPASKNPDDKEVIEWHNQWPHYPPELREACEEYSEELEKLAFKLMELIALSLGLPPNKFHVLGNSSEPYSKHFVLVHGSCHGSWSWYKLVPLLKSGGHNVTAIDLAGSGVDPQQVNTLRSISDYIKPLRDFMESLPDQQKVVLVGHSLGGLAISQAMERFPHKISVAVFVTALMPGPTLNISTLTERAYIVWIFGPTF >OMO74181 pep supercontig:CCACVL1_1.0:contig11154:64124:64616:1 gene:CCACVL1_16917 transcript:OMO74181 gene_biotype:protein_coding transcript_biotype:protein_coding description:polyneuridine-aldehyde esterase MDNRYTYDDGPNKPPTTFVFGPLFLSSQVYQLSPPQDWTLASMLMRPIRLYSVEDMSRELVLSEKKYGSVNRVFIISENDMISTKDLVWWMIHENPPHQVEEVKGSDHMVMMSQPIQLSNLLLTIAVKYY >OMO74177 pep supercontig:CCACVL1_1.0:contig11154:47556:48790:1 gene:CCACVL1_16913 transcript:OMO74177 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MGYHDSEHTKNVRDWKEVFDFLLQDPTFVPASPEPEDKEMRKLTNQWPENPPEFRAICQEYAQEVEKLSYKLLELISLSLGLPADRFNGYFKDHTAMLRLNYYPPCPCPDLALGVGRHKDASALTVLAQDDVGGLQVKRKSDGEWIPVKPIPNTFIINVGDTIQVTMDSFLLNFGQVFLQLLGDTFSNLFHDILKVWSNELYESVEHRVVVNSERERFSIPTFFFPSHCVMVKPLEELVNEQNPAKYKEYNFGKFFVARNRSDYKKLQVENIQIDHFKISNN >OMO74182 pep supercontig:CCACVL1_1.0:contig11154:68305:70601:-1 gene:CCACVL1_16918 transcript:OMO74182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGRNKAQKEELEICVELLKLAIDFVVVVAEAVGVVIQQSERTPPMIAAASGRSISTPVPFVGFLP >OMO74172 pep supercontig:CCACVL1_1.0:contig11154:25876:32073:-1 gene:CCACVL1_16908 transcript:OMO74172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPMRTIQDLIEEAKVRTVWWALAIFSVTYFLSHTSTSMWMNLPIAILMVSMLRMICNEVDFKWKVHSVRPQTYLSHLEKKQLSVNDSRLSSSPPPPKWKRKIDSPTVEAAINDFIDKILRDFVVDLWYSEITPDKDAPELIRAVIMDALAEIAGRVKEINLVDLLTRDIIDLIGNHLDLFRRNQAAIGVDVMVTLSSEERDERLKHHLMISEELHPALISPESEYKVIQRLIGGVLAVVLRPREAQCPLVRTIAREIVTCLVVQPLMNLASPGYINEVIEYILLAIKDDIGKMMAGFDQSSAEVHDGDSTSKQISPSNNQGTDMISARIDNQKETNSDYNRYDEESEHPRPADWARILEAATQRRTEVLTPENLENMWTKGRNYKKKEKKYVKQGVQESFPTGREETSLMQLMPGSSLDTQLYDGDTSGTKLASEFSKSSSFEGGRLVNKLIDASEQGADGNKSRLKRSNSTSDLKIEPDTKKALTATGDVEGPIISEFYGPDFGKNREEFKGKSASVMVLRNEGQHIPKLRCRVMGAYFEKLGSKSFAVYSIAVTDAENRTWFVKRRYRNFERLHRHLKEIPNYTLHLPPKRIFSSSTEDAFVHQRCIQLDKYLQDLLSIANCAEQHEVWDFLSVSSKNYSFGKSSSVMRTLAVNVDDAVDDIVRQFRGVFGTSSPPSEASSSVTGRTLSWNADDMPKDISKHSNLETVNSGSDNEEGDKDGSHDHDDVRSGSPGHGSHSENELRSKSLPAPLIEHGGESGNLVSEKHSLGAKPESVGKGGGPAVKLSATSSHLEDPIGMPPEWTPPNVSVPLLNLVDKVFQLKRRGWLRRQVFWISKQICQLVMEDAIDDWLLRQIYWLRREDTVALGVRWVQDILWPGGTFFTRIGNIQSRMDNCHPNQTHSQNSSHFGGSTGSKHASFEEQLEATRRASDIKKMLFDGAPTTLVSLIGYKQYRRCARDIYFFTQSSICVKQLAYAILELILISVFPELRDLVKDLHDKKHTKVA >OMO74173 pep supercontig:CCACVL1_1.0:contig11154:38787:38849:1 gene:CCACVL1_16909 transcript:OMO74173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEWAKKPKKCKTGGRTCS >OMO74169 pep supercontig:CCACVL1_1.0:contig11154:17811:20097:-1 gene:CCACVL1_16905 transcript:OMO74169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSSKEAERDLLPFDNRPCFSVTPHESSQRLEIPPDFVRNHPPKPIPSKASSRRHQATPQVKEEEIDIDDLVEIIESNVQIANKTKIKTTTGQNPDQARVKKHNPSCFSAHLPQGNGSGGGGGGGRKYEKLNKEKMQKLAISELSHKKFKPSPPPKIIRNNISSSDGKTQTQLPLRHSSSSRLKTMAPVSSNGERVYDPTNEKTCHKYRNLESKKKISKSTAGRDVRPIDNRPCFYIILYPMTPEEASQSLEIPPDFVRNHLPNPIPSKAVLKDHSGDCWNISIIKKRNKTIMKHGWNQFYKDHCIRDKEFLFFRYNGDMSFNVQIFDISGREKKHVSTSRRAHQATPEVKEEEMDIVEVVELEIESHERSCCKQGKEEKNNNINGDKSNKSKEYLQSSSRFQLQIPSFQALLDKV >OMO74184 pep supercontig:CCACVL1_1.0:contig11154:90691:92379:1 gene:CCACVL1_16920 transcript:OMO74184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTPFSPHLIFASTHGHGFPKISLKIHSPFLHKLKDSFQYLEAQKCSLKMKQNRVMQNTRPGICSAMNMARGQSGDPEKINFDHIIEKARRLWDNTPLPVKRFPWNRALENFVQLILDLILAVIKYLSAPLLAVSALSEMSYCAHQKKLKIIPVPLLIGFVLVGILKETALELSPLLKDAEVPWHLIAIAILFTLIKLPGPYYPYWGRIFIPHFANGALLRTLWLMFSWYRRPKEISGAVLPNSSVTGNNSESE >OMO95002 pep supercontig:CCACVL1_1.0:contig07756:21346:24865:-1 gene:CCACVL1_05646 transcript:OMO95002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCEECSLLPETPGQTQTESASGFNSKTLFSNLNPGSEKGEESLVEGLRNLALEDNEWNGYGEDNNNQNLGVEWQNGDSFHHPLRPYAEDCTFFVKTGNCKFGSNCKFNHPVGRGFQYYRATGGCKFGNSCRYRHSSGDNVLTPLQENSFELPVGIKAKDKDGFIQQTGQIECKYYLTSGGCKYGESCRYSHSKVKPRYLEKYESPQPELNFLGLPIRTLEKECPYYMRTGSCAYGANCRFNHPDPSAAEGSNSCSSVFSGFGGHSSGNHNGGSNPLPLLSTSKPIGASLSLNRMSDKHDPYLDHNSSHAYGTHLNSEWNGSQEKAFHPYVAPSVPNAAVKVGDISELHQGQIQVSEYPERAGEPECPYFMKTGYCKYKAACKFHHPKTRSLKPPAVMFSRAGLPLRPDRKICWNYEKFGICKYGGNCHFHHPENLF >OMO95004 pep supercontig:CCACVL1_1.0:contig07756:39878:44231:-1 gene:CCACVL1_05648 transcript:OMO95004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSGNQETGVKPSVGQFSEYSAGIPLKKRRHLIWPLSQPTEDSPSLPRKNDSEQQGFSSPLQGSAISNASGVADSSNTSGVLASSNIGVVVASSNTSDVAASSDITGAVGNSNDSGVAASLITGSVAGSSNLSSVTSSSNAVAACSTAASVSGISDAKEKTVIEKENESSDGTNGSMMQGNSDFRSVKLEEQSFTVNSRYLADMDSKGKLVATGESENILQKPAKPELDFVGNDSLTLNIGKDLYIQQNADGKCRSESSIVSGKPGLSLGFKEHLASSMAGGNSEYDSRNQEKSEPVSLNLSLSKGEGSTQHRSNDVQPNTNGAKLLADRSNWDLNTTMDAWVGPANSDGACKKTTHVEDIKPLLCSVGMTSTSMPTQQLKKLAIPSILSSQQYNTEDTLRLGLTTPCPPLNPNEKPFSSSLKADLQKVLPNVSLPGKSVPVSNSTKVNLKPVKSEPPDESIKTNSAGVKANLMGASNTTQVKHELVGRCSSENSKSSTLSTFKLVDARSVKPEPVLESNQETLKRMEGSLNRADEQDTTALPSSSTDLSLHADVRNHAEHSIEAKKTEPSGEGQVASKMVSSAGHNVSESNISGTIDNSTPENKTVEDSNHCRQNFMNVQVPESRVTVEGPVSDEEKINLSGDILEEDSYGSDYESDGNRNLPADMDVDHKARAEDDFEDGEVREPVENTEVEAPISEGREVGIGSSGDTGNKNSDSVGLVGDSNPSSSFVDGKESQREDPAKTNNDITNECIDTSVNEDSNKAEDREACLHEPSASETPSTHSDKTRFIDAMPRNPLDVSEDKGAVEEQEGDQTSIQASDTSKGTSTTIAQGVDEAKKTDSEGRSNMVLPNAEAFISGDDAGKDVNSGGNRSRIIDLSRASNRSSPGRTRSFSGRTLQSRAERERLPDVALEGDKFHPRGRDEVYGDTSHRFSRERHQNQPSRNPRISYMRGRGRISGRINTLRGDRDSERNFASEFYNGPAEFRVVRHKYASAVSDADPDSSYNNGQDAAYFGTGRGGRKMLSDDSSIFPHLPPRRRSPSGRDGPAARGLPMVRRVPRNLSPSRCIGEDGSEVVGLRNMRGFADDHTEPIFARSQPSYEGLDGPFVRGNREFSSVQRRGVQRIRSKSPTRTRTRSPGPWPSRRSPDGFGGPMELPHRRSPPIYRIERPDRPCFAGDMVARRHGSPPYLSRPSNDLRDMDPGRDHGHPRPGIPNRSPSGRILLRNNRRMDLVDPRERNDGDDYFGGPMPSGRFHELGIDGNADERRRYVDRRGPIRPFRPPYSGADSENFHLNAEGGPRSFRFCPEDDSELHERGNLRGREFDRQIKNRPATAPRRTRNIEEQEGNFRHGGQVWHDDGFDDMSRVKRKRF >OMO94999 pep supercontig:CCACVL1_1.0:contig07756:9436:11813:-1 gene:CCACVL1_05643 transcript:OMO94999 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysosomal cystine transporter MASWNSVPLEITYEVLGWLAFFSWSISFYPQVILNFQRKRVVGLNFDFVVLNLTKHSSYMIYNVCLYFSPVIQKQYLEKYGSDQMIPVAANDVAFSIHAVLLTSITLFQIAIYDRGTQKVSKISIGIVSVVWLIAAICFFVALPSQSWLWLISIFNSIQVFMTVIKYIPQAVMNFARKSTDGFSIGNILLDFIGGLANYAQMAVQSIDQNSWVNFYGNVGKTLLSLISVFFDIVFMCQHYLLYPAKRSTIVYKLEGEGKEPLVKSTDQPGEENV >OMO95003 pep supercontig:CCACVL1_1.0:contig07756:29609:32732:-1 gene:CCACVL1_05647 transcript:OMO95003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSFIDIMDGTWSTITGSCTVVRDFRDFVFYSYMSFMEELRQKEGPPNGKYYEIRFLYLPPALIAAVLGIMVDFPVISLVAMFKSPYMLFKGWHRLFHDLIGREGPFLETICVPFAGLAILLWPLAVIGAVLGSMVSSIFLGAYAGVVVYQESSFWFGLCYIVASLSIYDEYSNDVLDMPEGSCFARPQYRRFPKESISNSSSFSKSDSFKPRPPARMDSITNERIDLKPRELLEGLFKECLRHGEKLVSEGLITPKDIEEAKSSKGTVVSIGLPAYCLLEALLRSVNNNKVGIMLSDNTEITATNRPKDKVFDWFLNPFLIIKEQIKAENLSEEEEDYLRNLVLLCGDPERLKSSNIGSPPESERKQAELDALARRLQGITKSISRYPTFRRQFENLVKKLLDDLAKRNGGSGKSSNGAHPISRSKSAGIIRIFSNKSFKRNTSYNNSDLESQSVTTRDRHCMMI >OMO95005 pep supercontig:CCACVL1_1.0:contig07756:59997:62219:-1 gene:CCACVL1_05649 transcript:OMO95005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALSIWPSHGGSLLIPHLDIELGTPCFASIKPRSRKKWGLVQNSDPSFLLLSSYSRVSRSGTGCRNLDFSLRSGFLCCCSKLKVVLFCEPKRDYCRRLVALAWALEQQEIGNELEGEESPSRDDDDGNEDRSEEVDISSEGEVESEESSRIDVRALAFRLRFAKTADDVETVLKDMEELPLQVHSSMIRGFGRDKYMNAAMALVQWLKRKKESGGGNGPNLYIYNSLLGAVKHSEQFGEMEKILKDMDEEGVVPNIVTYNVLMSIYLEQGETKKALGVLDKIWDKGFSPSPVSYSTALLAYRRMEDGNGALNFFIELREKYVKGDIGQDTNVNWEYEFVKLEKFTIRICQQVMRRWLVKDENLSTNVLKLLRDMDNAGIKLSREDYERLIWACTREEHYVVSKELYTRIRERHLDISLSVCNHLIWLMGKAKKWWAALEIYEDLLDKGPSPNNLSSELIVSHFNILLTAARKRGIWRWGVRLLNKMEEKGLKPGSREWNAVLVACSKASETAAAVQIFRRMVEQGEKPTIISYGALLSALEKGKLYDEALRVWDHMIKVGVKPNLYAYTIMVSIFTGKGNFKMVNAVFQEMAASGIEPTVVTYNAIISGCARSGMSSAAYEWFHRMKVQNISPNEITYEMLIEALAKDGKPRLAYELYLRARNEGLKLSSKAYDAVVQSSQAYGATTDLSVLGPRPPDKKKNVQIRKTLTEFCNLADVPRRSKPFDRKEIFIPQKGGNQ >OMO95006 pep supercontig:CCACVL1_1.0:contig07756:67563:68303:1 gene:CCACVL1_05650 transcript:OMO95006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MIKLKSKRFCRGSFKFGSSNNNSVKGGDQKSCSNNSSNNIISEIKWELRPGGMLVQKRETAGSSAGEGLITIRVSTVSQWHDISIESTSTFGELKMILSLVTNLEPREQRLLFKGKEREDDEYLHMVGVRDKDKVLLLEDPAIKEMKKLHGMAGSQQIGTAYRTISV >OMO95001 pep supercontig:CCACVL1_1.0:contig07756:14384:20254:-1 gene:CCACVL1_05645 transcript:OMO95001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLIRRAMNVTSRVSSSTVAPASRLVTVKPYASETEAQKVEPKAAAGTNIKTFQIYRWNPDNPSKPELQDYKINLKECGPMVLDALIKIKNEIDPSLTFRRSCREGICGSCAMNINGCNGLACLTKIDSSSPETTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKSPPPTAGKEIPQSKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWISDSRDEYTKERLDAINDEFKLQRYLSVEFSISDDFLSPQSTGKSSERWRLGIQFHCKSHMKYWVGLPFFHGPPAPILRVVGLNFDFVVLNLTKHSSYMIYNVCLYFSPVIQKQYLEKYGSDEMIPVAANDVAFSIHAVLLTCVTLFQIAIYDRGTQKVSKISIGIVSAVWLIAAICFFVALCSQSWLWLISIFNSIQVIMTVIKYIPQAFMNFARKSTDGFCIGNILLDFIGGLENYAQMAVQSIDQNSWVNFYGNIGKTLLSLASNRLLVPSEPLEPSTSVTIVSVMRTNIQASNRISVLTEAQGLQPSMYVTITGDHN >OMO95000 pep supercontig:CCACVL1_1.0:contig07756:12254:13877:1 gene:CCACVL1_05644 transcript:OMO95000 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP12, ATPase F1F0-assembly protein MATSLLNKSLKPIKIPYLISTLRSLNFNSVATARDSPSDAPSSSTFTFDNKGKDDDGIYLKPPNSNSKQETTSSVTMPMSFMTGSIVGKRFYKKVTTRESDDGIGWTVMLDYRTLKTPSKRPLKLPTLALAKAIAAEWEYQQTDGIRPFTMPLMKLACTALERVPLTRVKIIENLMKKFHQDLVFCRAPDDNDLTVGVHARQVEKIDPLLEWVASEFGYKPIVYTSYFGGKQGDELTKAVENVLKNTNDCELAGIDALAAAGHSLVIALGIFRGKLQIEEAIELIRLEEDLQVDKWGLVEGGHDVDIADLKVQISSAAVFLALSRRNNFSD >OMO62033 pep supercontig:CCACVL1_1.0:contig13375:22272:22430:1 gene:CCACVL1_23063 transcript:OMO62033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERESEMKGKGRDNEMWDWGALNREKESKGKSFELKGGALGESFEADVVQS >OMO62029 pep supercontig:CCACVL1_1.0:contig13375:7511:9514:1 gene:CCACVL1_23059 transcript:OMO62029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MNTLFQDFPSSMYGLKFDHGSVPVYSNYNLDNGFLEQVVPIPSPPSLATSPDSDSPVASASSASSSSGSGMSSSDSHPLDNVPFANEMLNYINEMLMEEDMEEKTCMLQDCLALQAAEKSLYEALGHEYPFSIDPSSPCIGQNYPSPDENLTQITNLVNPGEFKLSHIQTSLIDSLETTSLIPDLQKQTTKEPFRNFNGPDDGRYQSASSRGRKNYERDEDGDYLEEGRRNKQSALSLEESQEQSDMFDDVLLCKGENEDSPNCSLHKSTHLKGSSPTRRKKNGKKSEVVDLWSLLTQCAQAVTINDQRTANELLKQITQHSSDCGDGTQRLAHYFANALKTRLAGMGAPSYLPMLSNRTSAADVLKAYQVYVLACPFKKMSNFYANKKIMGVAEKATTLHIVDFGICYGFQWPCLIQRLSARANGPPKLRITGIEFPQPGFRPEERVEETGRRLKRYCERFNVPFEYNVIAKKWETIKLEELKITKDEVVVVNCMYRLKNLPDDTMAPNSARDTVLKLIRSMNPALYIHGVANGTYNAPFFVTRFREALFHFSAQFDVFDATVSRDNQPRMMFEKEVIGRDIMNVVACEGTERVERPETYKQWQARTLRAGFKQVPLDQELMKKVTNMVQSNYHSDFVVDVDGRWMLQGWKGRVIYALSCWKPVRN >OMO62034 pep supercontig:CCACVL1_1.0:contig13375:23093:24167:-1 gene:CCACVL1_23064 transcript:OMO62034 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IA, variant 3 MNCEVFQNFSIINYNFDNDEYNSFVHGRLPYDNLKPDPVLRSLLLSLPIRKVIFLNGDKVHVGKVLEKLGLEGCFETVISFETLSPTDGSGSESSTSESDDEEISLKFRGLSTKSDLDASNCPETPIICKPFGNAFEQAFKIANINPQKTIFFDDSIRNIQTGKEIGLHTVLMGTSHRTNGADYALESIHNIKEALRELWEATNKKSQGVMYSKKAHHYSSTLPP >OMO62036 pep supercontig:CCACVL1_1.0:contig13375:47430:48880:-1 gene:CCACVL1_23066 transcript:OMO62036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYEKQYQHVNPAAKYECLLFDVDDTLYSLSSGLSKACTKNIEEFMVQKLGIEENKVTEINRVLYRNYGTSMAGLRAIGYNFDYDEYHSFVHGRLPYDNLKPDPVLRTLLLSLPIRKVIFSNGDKVHVGKVLKKLGLEGCFETVISFETLNPTDGSGSSTSESDDEISLSTKSLDAPSQSALPETPIICKPFENAFEQAFKIANINPQKTIFFDDSIRNIQTGKEIGLHTVLVGTSHRTNGADYALESIHNIKEALPELWEAANKKSEGVMYSKKVAIQTSVMA >OMO62032 pep supercontig:CCACVL1_1.0:contig13375:20285:21841:-1 gene:CCACVL1_23062 transcript:OMO62032 gene_biotype:protein_coding transcript_biotype:protein_coding description:HAD-superfamily hydrolase, subfamily IA, variant 3 MEYEDKMNQANDAKYECLLFDLDDTLYPRTSGLSREVTQNIQEYMLKTLGIEEEKVPELCVSLYKYYGTTMAGLKAIGYNFDYDDFHSFVHGRLPYTMLKPDPVLRNLLVSMPIRKVIFTNADKNHALRVLKRLGLEDCFEGIICFETLNPTYKDQSSADGSTVISKTEIFDISNYSASPDSEHGLPSTPVICKPFQKAYEQVFEIANINPHKTLFFDDSIRNLQTGKRMGLHTVWVGTSHRADGVDYALESIHNIREALPELWEADERAENIHYSGKVSVETTVRA >OMO62031 pep supercontig:CCACVL1_1.0:contig13375:17401:19618:1 gene:CCACVL1_23061 transcript:OMO62031 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin fusion degradation protein UFD1 MEPGSSTFEQFYRCYPVSFIDKAHLEKGDKVIMPPSALDRLASLNIEYPMLFELTNTPAERVSHCGVLEFVAEEGLIFLPYWMMENMLLQEGDILQVKNASLAKGTYVKLQPHTTDFLDISNPKAILETTLRSYSCLTTGDTIMVPYNNKKYYINIVETKPSPAVSIIETDCEVDFAPPLDYKEPEKPGPSLPSNKRPPEEEEPTKMAKFSAFSGSARRLDGKPLTQPAALPVSPMIKQQNSNLGNGTAASKASSSTSRQHSGKLVFGSNGNQPSKETTKVAVKNSQEPPKEEEPKFQAFTGKKYSLKG >OMO62035 pep supercontig:CCACVL1_1.0:contig13375:40636:40948:1 gene:CCACVL1_23065 transcript:OMO62035 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock factor-binding protein 1-like protein 1 isoform 1 MESQQNPNVNRDRVENYLKSLKVDIKNLEASLNLREEEMPYEG >OMO62030 pep supercontig:CCACVL1_1.0:contig13375:10564:12345:-1 gene:CCACVL1_23060 transcript:OMO62030 gene_biotype:protein_coding transcript_biotype:protein_coding description:M-phase phosphoprotein 6 MAKRELSSTLRGLKFMQRAAQKEEKVKKEEEVKPEGTSTITRRCVVIMEGDPHPGAVVGRMSFQSFNPSIDKLKEEESNSCQPDPSGGRTLSSENGSASEGAEGSKVGTDKHEGNGDLKRKQSDTASEPQYPNKSPKNGHEVQSSPSSSKSSSKKQSKREKLDWNVLRPPKSKR >OMO87328 pep supercontig:CCACVL1_1.0:contig09248:11229:12473:-1 gene:CCACVL1_09126 transcript:OMO87328 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine/DAP/Arg decarboxylase MPSSPKTLQAILGAPGLRGEKVTALSKDGLTAFIQSTISTKHEMKEPFYVLDLGVVMDLFDKWAQTLPMAQPFYAVKCNPNPAFLGTLAALGSNFDCASKAEIDSVLSLGVSPDRIVFANPCKAESHIKYAATVGVNLTTFDSKEELEKIKKWHPKCALLIRVKGPDDGGARCPLGPKYGALPEEVSPLLQAAQAAGLNVKGVSFHIGSGAMQFRAYREAIAEAKTVFETAASLGMPKLRVLNIGGGFTAGPQFAEAASAVKSALQDYFPNEPELTVIAEPGRFFAESAFTLATNIIGKRVRGDLREYWINDGIYGSMNCILYDHAVVTCTPLAEASKHGNPTCKGAKTHDSTVFGPTCDALDTVLKDYPLPELQVNDWLVFPNMGAYTAAAGSNFNGFNTSAILTYLACSNPI >OMO87326 pep supercontig:CCACVL1_1.0:contig09248:5912:6415:-1 gene:CCACVL1_09124 transcript:OMO87326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTSAAVAIPSFTGLKAGSTQAKVSAKVATVATAAPRLSIKASLKDFGVAVAATAASAVLAGNAMAVDVLLGSDDGGLAFVPNEFSVSPGEKIVFKNNAGFPHNIIFDEDEIPSGVDASKISMSEEDLLNAPGETYAVTLTEKGTYSFYCAPHQGAGMVGKVTVN >OMO87327 pep supercontig:CCACVL1_1.0:contig09248:8664:9674:-1 gene:CCACVL1_09125 transcript:OMO87327 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DNL-type METLSSPSAAIVSPSPSSFSIFSPKRKDAPPRIIRFPTSSKKNGNDSDLQSDSNSTSIVPLFGNQTFSKDEAMGLVLSAASVRGWTTGSGMEGPSIPAGTEDQSNTEKVSTFPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHEMKCYVTPSFNYKGDHQWDGFKLFGGEEEEDDDNGNGVSYIN >OMO87325 pep supercontig:CCACVL1_1.0:contig09248:1236:5328:1 gene:CCACVL1_09123 transcript:OMO87325 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MADDFLDSNVVFLHGDLDLKIIEARCLPNMDLFSDRLRRCFSAFDTCRSPFVRRKKSHHHRKIITSDPYVTVCLAGATVARTRVISNSEHPDWNERFQIPLAHPASHIEFHVKDNDVFGADLIGVATVPAVEVLAGGPICGWFPIISSYGKPPKPDCAVHLEMRFVKCEDIPFYRYGMAANPTEFGVRNCYFPVRPGGGVTLYQDAHVNESMLPQIELDNGTVFKHEPCWEDICHAILEAHHLVYIVGWSIFHEVKLVREPTRPLPCGGHLSLGDLLKYKSQEGVRVLLLVWDDKTSHKNFFINTQGVMQTHDEETRKFFKHSSVTCVLSPRYASSKLSIFKQQVVGTLFTHHQKCVIVDTQASGNNRKITSFIGGLDLCDGRYDTPQHRLFRDLNTVFQDDFHNPTFSSATKAPRQPWHDLHCKVEGPAAYDILTNFEQRWRKATKWSEIGLRFKRVTRWHDDSLIKLERISWILSPSASMSTDDPELWVSREGDPENWHVQVFRSIDSGSVKGFPKDVFQAESQNLVCAKNLVIDKSIQTAYIQAIRCAQHFIYIENQYFLGSSYAWPAYKEAGADNLIPMELALKIVSKIRAKERFAVYIVIPMWPEGVPSSASVQEILFWQGQTIQMMYGIIAQELKAMGIKDSHPQDYLNFYCLGNREELPKEFGSNSPVSSNGDSVSASQKYQRFMIYVHAKGMVVDDEYVIIGSANINQRSMAGSRDTEIAMGAYQPHHTWGERKRHPRGQIYGYRMSLWAEHMEKVDDLFKEPESLDCVKNVNRIAEENWKRYSADEFTELQGHLLKYPIEVDSCGKVSTLPGQETFPDVGGKILGSRTTLPDALTT >OMP07281 pep supercontig:CCACVL1_1.0:contig04552:300:872:-1 gene:CCACVL1_01337 transcript:OMP07281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQRLNLNIPQNNTFLLPRDILAAADRLIGMKFGMGTLDDMNNLKNKRIRSVADLLQDQFGLALVRLENVVRGTICGAIRHKLIPTPQNLVTSTPLTTTYESFFGLHPLSQVLDRTNPLTQIVHGRKLSYLGPGGLTGRTANFRIRDIHPSHYGRICPIDTSEGINVGLIGSLAIHARIGHWGSLETSIL >OMP07280 pep supercontig:CCACVL1_1.0:contig04552:23:196:-1 gene:CCACVL1_01336 transcript:OMP07280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIQEEQVVPARYRQEFLTIAWEHGSSSKYFSLSIFFYWSFPSISFYRAIMTPNLGFK >OMP11206 pep supercontig:CCACVL1_1.0:contig01480:1211:1309:-1 gene:CCACVL1_00625 transcript:OMP11206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFPIRRLDNKSLLLKHAPFVPLAIIQSVPR >OMO55899 pep supercontig:CCACVL1_1.0:contig14573:117747:118086:1 gene:CCACVL1_26910 transcript:OMO55899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVPCKHHLLLVAFFLFCFITTTARARNMPAAPKETDQKLVHDEQQVVKAKENGSPDVNVDELVSMDYTPATRKPPIHN >OMO55901 pep supercontig:CCACVL1_1.0:contig14573:125602:128072:1 gene:CCACVL1_26912 transcript:OMO55901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLKLPLNQTQRIRLQRAFEKLQTLSAKANSDASVTVADSIQVNYDDAFLKGHGTTDLNGELVATVCGVVERVNKLVYVRGLRARYKPEVGDIVVGRIVEVAQKRWRLEINFTQDAVLMLSSMNMPDGIQRRRTALDELNMRSIFEENDVVCAEVRNFQHDGSLQLQARSQKYGKLEKGQLLMIDPYLVKKSKQHFHHLEQFGVDLILGRNGFIWVGEHVEVRDSMMIDQANSAEQSAVPEEKSPAYTSLEMRQHICRIANAIRVLSTLGFIMDADLIMQTVELSSSLNINIHDMLGSEFHVLVAEREAERRSLMSKRKR >OMO55894 pep supercontig:CCACVL1_1.0:contig14573:96005:98450:1 gene:CCACVL1_26905 transcript:OMO55894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKSDATRLDEIDRSMYTSFCSAANSLSQLYTQAMNHQRLSFQAGERHALEKLYQWILRQQEEGSRATMVDIVSYLQSELEYGEEPPMSPRMPLQHAHSPAAAQPNNLGAPISSNPFSSAMVVQGVRSGDSQVKNSVFSNALSSPVRQSLQHYHLAQGGHNSNNVLPSVNGQRNTESNYPHQQNRDVNSPSSNDFMDAC >OMO55912 pep supercontig:CCACVL1_1.0:contig14573:195747:195860:-1 gene:CCACVL1_26925 transcript:OMO55912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIAPKNCKSQRKKALKKLLCCVRLNSTDTYFSHYN >OMO55898 pep supercontig:CCACVL1_1.0:contig14573:108169:113400:-1 gene:CCACVL1_26909 transcript:OMO55898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPIILLSDEDDPNTPLPSISKKARVEPGRNFAPVLVLDDDPTPEKPSSRRPTFTSDSIPSFVAETPMSEPSVVRCSFAGPSARFSDSQLEDNKLSGSSKLICLESDDESESGSGRDNGQEKESKGSDIDESEEFQWRSRFVDYESHLGSPTLIHMYEDNSSQPSQPVHTQDDDDPLLPTEVNDDDEKENFSMEQMCNALKQKRKTKANSDKKSSTDGATVKKKLTKEERTRMLEEKKLKKEQEKLQKAAMKAEAAELKKLQKERQKWEKGKLAQNSIVAEIDTKVVELRSIGGHLLSRFADKGLKYRVTSNPIEKSIVWTMTAPEHISQISPERLEIQYVLIVCEAAEFCDQVANGTLLDHVSRVRSKYPSYTVCYLTNRLMAYINKRENEHYKNPANDSGWRRPPVEEVLAKLTTCYARIHSRQCADEAELAEHVVGLTCSLASCQFRKKLTRLTVSANGAVIPKDSVDKNIIKDNLWVKALLAIPKVQPRFALAIWGKYPTMKSLLRVYMDPTKSVHEKEFLLKDLVIEGLLGNDRRLGEICSKRVYRILMAQSGSIKTDDVENGADFFRQS >OMO55904 pep supercontig:CCACVL1_1.0:contig14573:149830:152771:1 gene:CCACVL1_26915 transcript:OMO55904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKESTSIPLSQAENGGVDPEDPAKSSPSSPNSSTRKACCFVLQSWVSKKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPLYEHLGIDIFGLGFITSLLFVFFVGVFVSSWMGAAVFSVGEWVIKKMPFVRHIYSASKQISSAISPDQNTTAFKEVAIIRHPRVGEYAFGFITSTVILQRENEDEELCSVFVPTNHLYIGDIFLVNSKEIIRPNLSIREGIEIIVSGGMTMPQVISPQERVARLNDRIPLNRMM >OMO55903 pep supercontig:CCACVL1_1.0:contig14573:143291:147129:-1 gene:CCACVL1_26914 transcript:OMO55903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTANHPDYACLAARIVVSNLHKNTKKSFSETVKIMYNHFNERSGLKAPLIADDVYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVIERPQHMLMRVAVGIHKDDIDSAIKTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQSNGQWSLFCPNEAPGLADCWGEEFEKLYIHYERVGKAKKVVQAQNLWFEILKSQIETGTPYMLFKDTCNKKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRYVREKGVPLESHPSKLVGSRGSQNRYFDFDKLGEVTEIVTRNLNKIIDVNYYPVETARRSNMRHRPIGIGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYHALKASSEIAEKEGPYETYSGCPVSKGILQPDMWGVTPSDRWDWNGLREKIAKNGVRNSLLLAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSPIVKNNIIHEDGSVQKIPEIPHDLKAIYRTVWEIKQKTLVDMAVDRGCYIDQSQSLNIHMDQPNFGKLTSLHFYAWSKGLKTGMYYLRSRAAADAIKFTVDTSMLNEKPKVEDDDTKMAQMVCSLTNREECMACGS >OMO55907 pep supercontig:CCACVL1_1.0:contig14573:164768:165103:-1 gene:CCACVL1_26918 transcript:OMO55907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLISEDMAAAAQQLMQLSDEDNSSVSSSSNGVDEKKILKASKSCKRLISHDQYHHQDEITSAKIEEIFGKEEEILRLRASNKKRKYRFLDSIYKETKPIKVSYGKNQLWY >OMO55895 pep supercontig:CCACVL1_1.0:contig14573:99117:101808:-1 gene:CCACVL1_26906 transcript:OMO55895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEFSLGQIETDGHSSPSEKFEALSSRLESKTTSFVKKCTSSSVLDLNTGESLHLPAKIKLQLFPIDESTRLGLEKDGFNPYLELTLSAKKKISSVIKHLDSKWGSSSIAFGDPMLFPSSVADNVAGYKWTRNDIGISARDVHLTMGSPAVFRLRYGWMCDPATKTQAQPSAPATFGASSKFQDVQNDCKAPLQKTYGNGEKTEVRGEESEKPIMATEETNAVVAEKMPSNEAVYSMDNEVKMDGGIGQSLALWADSLSNISIGGLLSEASLQAFCNFDPKSNGSNAGLQSSQLISDSFDAFLSGQINRSQNPSPPPQNPLLSILDAEDTCHAFSFQKFASSRKDAITSSGSAYCHASSHDTSSKSFKHPNAAEANIQLQGQACQQSDTDLQLCSRVYNDESSLGLSGIKWTESLGPFDLGLSSTRKIISGDSISISGIVNQAGCI >OMO55918 pep supercontig:CCACVL1_1.0:contig14573:221164:224944:1 gene:CCACVL1_26932 transcript:OMO55918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative nucleotide binding protein MTLKDTYVAPPSTAESDPHISVVSSVFETFVKDSEGVKTSGITVGSVSEDGSRPVNDFQTKIGRSERLGVPVQFPEQEKRNSRAERFGHVLPSTAADEEAKEKARLARFAPHSKTDSGRRNKKNKGNQALRDALLIYLTKPKLTTMGIFIMKGNNLSSEAIEQALVGNLSRPVIDLEFNAIASNLLASGADGGKICIWNLAAPVVSAIVNNDLMSLFNTGPLKFWKETLALICTFAQIEEWTVLCDMLALKLMAAGNTLAATLCCVFDLRVKATFMRTKVVCTRCLLTISIFLAEKETKPAVYKNFHLASGSVFEPS >OMO55896 pep supercontig:CCACVL1_1.0:contig14573:103212:105839:1 gene:CCACVL1_26907 transcript:OMO55896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIQAKSATYSPPQGLQRLKQEHGYAKRGSEGRRSIGKDGKGIFVIRHEGVKNEIVGRVLGAKGGGEKAVTKEGENVRNNGNVSQGKKIGADELVDGWPKWLVDNIPREVLAGLVPKSADSYDKLAKVGQGTYSNVYKARDRDSGKIVALKKVRFNTAEPESVKFMAREIMILQKLNHPNIISLEGLATSRMQYSLYLVFDFMQSDLTKVITRPGERLTEPQIKCYMQQLLSGLQHCHERGILHRDIKGSNLLIDRNGVLKIADFGLANTFIPKPKRPLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFVGRPIMPGRTEVEQLHRIFKLCGSPSEDYWKKMRLPASFRPPQHYKPGYHEAFGDFPGSAFGLLTMLLALDPSHRGTAASALQSEFFSTSPLACDILGLPVKEYKEEDEAHYITKDRKKRRNSKSKKSSRKKHQGHEKNLLTERKDDTDTSREEKSSKGHDETCPSASSSTSSSVRPSKREDKIRASLSPFLRSNSSQIAPSRTEAHPNAIQNIQNFTLLQASITDIKKNLGNPQYRRSLSTLDFRTLDPEKISKLFGLE >OMO55916 pep supercontig:CCACVL1_1.0:contig14573:208080:212647:-1 gene:CCACVL1_26929 transcript:OMO55916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanases superfamily MATALGYDYSSGVWQFEGYSYVPSRNGTSGVCIMQVFGASSHATTLMLRVYKGSLYYYREGPIEKNIYDRWFKLNVIHDVDASKLKVYIDGVLKLEAPGRGGENHYFKFGVYSQDDASHYMESRWKHIKGYDYSSGVHQFEGYFYVPSHHGTSGVCIMQIFGASPPHASTLMLRVYNGKLYYYRSGKPLLENIYDKWFRLNVIHDVDASKVHVYINGVKKLEADGRGGTNHFYKFGVYAQEGASHYMESRWKGIKIFKMK >OMO55911 pep supercontig:CCACVL1_1.0:contig14573:185714:186670:-1 gene:CCACVL1_26924 transcript:OMO55911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTQMEIVSSKPKALLLFFFLLLATATVTTADVTTQELDAAFFALYSHGYTLFPNAITTSDLHHLLLSSPNTSFTLFAPPDSLLFSLDLLSSARLYTTSLLLHVSPRFLSTSDLFALPRPRLQTLLPNHQLLVEQTVVAHDGNVFPLVTVDGVRVSVPDLFIGSNIAVHGLNGILVARYRDVVNDHGGSSAGGSSDVGSSSGGSSAELAPAMAPGPSWCKSCVSPANPPAIWSPMANPSAIWSPMANPSAIWSPMSNPSAIWSAIANPPEICVSPEMVNAHSGIKKDGGSNHDDHRGATNKRARSGRFFRLKHLFNL >OMO55891 pep supercontig:CCACVL1_1.0:contig14573:75156:75587:1 gene:CCACVL1_26902 transcript:OMO55891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPARSPHCPTNLVPKRMRVNIKRQVRFSKDATVFVAHLSISLDSTPILPPNNWRLFLTLLFLPVGFHIFRFREYLWLYLAVWYSTNEPTVSLNTHR >OMO55885 pep supercontig:CCACVL1_1.0:contig14573:3617:5387:-1 gene:CCACVL1_26896 transcript:OMO55885 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MARAMILLWALTLILLVPAISVVAQTIGVNWGTITFRQLDPNIVVKMLHDNGFKKVKLFEPDSGILDALAGTDIEVMLGIPNYFLQSLSSDYKSAQAWVKQNVTTYNPGKKDGVNIKIVTVGNEAFLTSYNGTYINITYPAMKNIYKALNEAGYGNKQIKVSTPVNGDVYTTTSYRPSDGVFRPDIKDVMIQICEFLQENESPFIVNIYPFFNLYQTPGFPEEYAFFDNNGNFSLEDHGAYYTNVFDANVDNLVASMRKAKFDNLKIVVGEVGWPSDGNVYATPKNAQRFYNGLFKKLAEKKGTPLMPNLDPEVYLFCLLDENFKSTDPGLFERHWGMFTFDGQPKYPMDLSGKGQNKMLVGAKNVPYLAKQWCVHNKEASNQQDLPEKVGYACNNTDCTSIAEGASCGGISADMNASVAFNMYYQMQDQNKKACDFQGLAKITTNDPSTGNCHFPIMIKKYVPSSHSHHGHHSGAGYSSSYLSLPFLFQIVVGICLVLFA >OMO55905 pep supercontig:CCACVL1_1.0:contig14573:153883:158873:1 gene:CCACVL1_26916 transcript:OMO55905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVEEQEDPKKKKKDGGGSGDKSERSYRKRDGEREEEDEEGRSSSKKSRSGRDEDDEEKRDRDKDRHRRSRDTEKEREKSRDRDRDLERRSSREREKERDRERRDRDKEKERDRDRERREREKERERREREKEKERERERRERSSSRSRRHESDREREREIDIRDSRRFKDKKEAVEPEADPERDQRTVFAYQMPLKASERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYIEFYEAMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSNTSGAGAGGVTGPYGAVDRKLYVGNLHFNMAEIQLRQLFEPFGPVELVQLPLDLETGQCKGFGFVQFAQLEHAKAAQSQLNGKLEIAGRTIKVSSVTDHVGTQDTAAKSADFDDDEGGGLALNAQSRALLMQKLDRSGIATSLTGSLGVPLLNGSATTPQAVTLPVNGQAAYPTPILPPIMSTAPLEPIGQPSECLLLKNMFDAATEEPDFDLDIKEDVEEECSKYGRVRHIYVDKHSSGHVYLRFDSIEGAIKAQRAMHLRWFAGRAISALFMQPHEYEAKFKG >OMO55892 pep supercontig:CCACVL1_1.0:contig14573:84075:87096:-1 gene:CCACVL1_26903 transcript:OMO55892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSKADRKAALDVASWFFNVVTSVGIIMVNKALMGTYGFSFATTLTGLHFATTTLLTVLLRWLGYIQGSQLPLPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVFDKVRYSRDTKLSILVVLLGVAVCTVTDVSVNFKGFLAAVIAVWSTALQQYYVHFLQRKHSLGSFDLLGHTAPVQAASLLLCGPFVDYWLTEKKVYAYDYTVISMFFLVLSCSIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLMLGFFFFGKEGLNLQVILGMVIAVAGMVWYGNASSKPGGKERRIYPVPSNKSQKQEDLSDSTETDEKV >OMO55906 pep supercontig:CCACVL1_1.0:contig14573:159372:162656:1 gene:CCACVL1_26917 transcript:OMO55906 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA/RNA-binding protein Alba-like protein MGIGESGPIGGKTATAEKNTTVAEGKMDRYQRVEKPKAETPINENEIRITTQGRMRNYITYATTLLQEKGSNEIVLKAMGRAINKTVMIAELIKRRIADLHQNTSIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSKKELDTSSTGYQPPLPADQVKPLNEFEDDGEASPRTRGRGRGGRGRGRGRGRGNYGGVGDYSGDGYDGGRGFGGRGRGRGRGRSFRGRGRGYGAGGYYDYGESDVPHAQGRGRGRGRGRGRGRGRGRGRYFQTDGPVQANTV >OMO55897 pep supercontig:CCACVL1_1.0:contig14573:106330:106599:-1 gene:CCACVL1_26908 transcript:OMO55897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKSFSGYSEVRRGFEDRTKSYSFNGPVSGSNNKADVLDTSGNPELKRRKRVASYNMYTMEGKLKSSFRNSFKWIKTKFVGDDFYDF >OMO55900 pep supercontig:CCACVL1_1.0:contig14573:122213:124449:1 gene:CCACVL1_26911 transcript:OMO55900 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, DHHC-type, palmitoyltransferase MAKRQYEVWKGKNIFIFKGRLIFGPDAKSLIITVLLIIVPVIIFCTNVARNLINEIPGNIQGFAILLVTVVLTIYVLLLLLLTSARDPGIVPRNPNPPTEELCYDSSASIDAFGSRSQTPTPRLPTTKEVIVNGVAIRVKYCTTCMLYRPPRCSHCSVCDNCVERFDHHCPWVGQCIGMRNYRSFFMFISSASLLCIFIFAMSALNIKFLVDDNGTVWKAMKESPLSVVLMVYSFIFLWFVGGLTCFHLYLIGTNQTTYETFRYRGVQRPRVYDHGCFNNFREIFCSKIKPSRNNFSAYVGENERGPGNLGMNYNYAGELDGNRREKVEDDREIGGDLLKISQRPE >OMO55893 pep supercontig:CCACVL1_1.0:contig14573:92166:94845:1 gene:CCACVL1_26904 transcript:OMO55893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKRKSVATRLDEVDRSMYTTFCSAANSLSQLYSQAMNHQRLSFQAGERHALEKLFQWVLRQQEEGSRVTTADVVSYLQNELEYGADESPMSPRLTFQQQHPQTATHMNSLSSPFPTNPVSSTIVQGVRSGDYQGKNSVFSNALSSPVRRSLQHCHSTQGNYHSNNIVSSVNGPRNNETNTNSPSANDCMDMHADSPGHDFPF >OMO55886 pep supercontig:CCACVL1_1.0:contig14573:8675:12043:1 gene:CCACVL1_26897 transcript:OMO55886 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MGPFALAVETKSSSKASSDVSNRKIQNLQLEARKCFASVNVEKEKPFDFLRILFEGIIAGGTAGVVVETALYPIDTIKTRLQAARGGGKIVWKGLYSGLSGNLAGVLPASALFVGVYEPTKQKLLSLFPDNLSAFAHLTAGAIGGLAASLIRVPTEVVKQRMQTGQFTSAPDAVRLIASKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKAAARRDLNDAENAIIGAFSGALTGAITTPLDVIKTRLMVQGSANQYKGIFDCVQTIVREEGPPALLKGIGPRVLWIGIGGSIFFGVLESTKRYLAERRPPPSQHSKHD >OMO55917 pep supercontig:CCACVL1_1.0:contig14573:213037:214941:-1 gene:CCACVL1_26930 transcript:OMO55917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVMTTLLKSDSSLKNELIVFWTPFLLWHLGSPHNITAYSLEDNELWLRHFFGLVLQVGEAIYIYAKYRSTTNTALNAMAVPIFLAGVIKYGERVWALRCASDKQLVNSFFAIPDTKATRWKKPVRTGLPELAIKDLFKKKGVVRELDFLREAYSSYIVFQPLFTDLPFRISKEWHDNWVNMKDKSAEDAFKFVDVELSFVYDLFFTKNPIQYRNLRVSLSLGSFCFLCAICSLIAFTAVVDRNEVSPIDIAITYLLLGGAILLDIYSFLMHSFSTWAMIQLPIPRTKVHKMYARIVAYRLKSIKASSGIKAMAQHDLIKYCVQAKTNWFTGAIKLFDTGSLLQKYGHTKWKPVDPKLKSFIFTHLLKKREKCNDFSLDKLEEVLNDKGDDVFKEKFKEMLEMDVGLEEFEREFLSIFPKMDPTYFIRSIFLWHIATELAYYDDLDNNRIGTSQSSCYISKSISDYMIYLALVRPAMLCKGFSDVINRKIYKEAQSFLMDKTRTSFRKARKQFTKDLLHFVAFQQQSYYQLPRTKGSGALLEGASFAVKLQLFVGEMRWDHEEKWEMISEVWVEMLTHAASRCSWKEHAQQLRHGGELLTHVALLMAHLGLSTKVGRDEDVYDSDALPPFDA >OMO55908 pep supercontig:CCACVL1_1.0:contig14573:168759:175198:-1 gene:CCACVL1_26919 transcript:OMO55908 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MDTGGRLIAGSHNRNEFVLINADENGRIRSVKELSGQTCQICGDEIEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDEEEDDIDDLDNEFDYDALDPQQVAEAMLTARLNTGRGSGMPTHSELDSSPPSSQIPLLTYGEEGSEISPDHHALIVPPFMGHGNRVHPMPSDPSVPLQPRPMVPKKDIAVYGYGSVAWKDRMEEWKKRQNDKLQVVKHEGGDDGGNFDGEQLDDADLPMMDEGRQPLSRKLPIRSSKINPYRMIIILRLAVLGLFFHYRLQHPVRDAYGLWLTSVICEIWFAVSWILDQFPKWNPIERETYLDRLSLRYEKEGKPSELASVDVFVSTVDPMKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEWYFSQKIDYLKNKVHPAFVRERRAMKRDYEEFKVRINGLVATAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQINMKGLDGIQGPIYVGTGCVFRRQALYGNDAPKTKKPPGKTCNCLPKWCCCCCFSRKNKKSKQKKEKTKKSKQREASKQIHALENIEDGVSEPNSQKSPETLEMKLEKKFGQSPVFVASTLLENGGVPRNASPASLLREAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLNQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSIPLLVYCTLPAICLLTGKFIVPEISNYASLVFMALFISIAVTGETNMNKERSSLALQLQHLEVAGGDVYYGHLLRRMLASVVILHDEVFLVMSKAEILW >OMO55914 pep supercontig:CCACVL1_1.0:contig14573:200006:200891:-1 gene:CCACVL1_26927 transcript:OMO55914 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanases superfamily MAFIEILSLTCLCLNHLISFQASAQLVNTLQGFTPVPLSESNFELHRPYDVAANQRYSYSNGEHRLWVYSTDKPHYQGYVYVPSGTTGVCIMQTFGGSSRATTMMLRVYSGAFTYYRSPVLLSNAYNRWIRVNVIHDVGASNVKIYLDQQLRYEGAGAGGTSHYFKFGVYAQNDESNYMESRWLGIKVLRKN >OMO55910 pep supercontig:CCACVL1_1.0:contig14573:184739:185167:1 gene:CCACVL1_26923 transcript:OMO55910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKNVFIALVLACVLASHVATAARELSSNSKAAVAAAAGHNLAARLDEAGGGLIECWNALNELKSCTNEIVLFFINGQTDIGPDCCRAIEIITRNCWPAMLTSLGFTPEEGNILRGYCDASSGGPAPGSTAAPYASPPVSV >OMO55888 pep supercontig:CCACVL1_1.0:contig14573:52095:55773:1 gene:CCACVL1_26899 transcript:OMO55888 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MSSTTSQAMLNQGLFEEQGDQIPSAAATQMGFFAFPPSLTSSLPFNCHQTLKAAFNNIAAASLYSPSAASNLTETLFSSATTQKHREDNIASDFGGPQLLSLQRSSANFWAWGEVNESCLGSKKMNNIGVEDNHHHHLGVSAMKMKRIKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSHDLEDSQAPSNLNNFFW >OMO55915 pep supercontig:CCACVL1_1.0:contig14573:204362:205206:-1 gene:CCACVL1_26928 transcript:OMO55915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Concanavalin A-like lectin/glucanases superfamily MMISLSLKANAQDPTSGFTAVPLSQSNFDLHKPYDVAANERYSFIDGVHKMWVYSTDKPFQAGSDTLPRTEIRIRGYDYSSGIWQFEGQAYVPSGTSATSIMQIFGGTSRATTIMLRVYTGSLTVYRSPIILSNMYNRWFKINVIHDVAASNVKVYIDGALKYEGSGSGTSNHYFKFGVYAQEGASNYMESRWRGIRVLRKN >OMO55890 pep supercontig:CCACVL1_1.0:contig14573:68382:71948:-1 gene:CCACVL1_26901 transcript:OMO55890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFFASTSYFFLFILTFLISLSAASSSSSNRDFQLLLNFKNSLPDPSLLKDWLPNQDSCSFTGVTCQDSRVSSIQLSSPLSIDFHSVSTTLLALENLESLSLFKANVSGNISFPSGSKCSSLLTNLDLSQNSLSGPLSTLSNLGSCSNLKSLNLSSNSLEFTGKESRLGLQLSLEVLDLSYNKVSGGNVVPWIVYGGCSELKSLVLKGNKITGDINVSNCKKMQFLDLSSNNFSVGVPSFGDCSALEHLDISANKFSGDISRAISSCVNLNFLNLSSNLFSGPIPALPTSKLQRLYLASNKFQGEIPLFLIEACSSLVELDLSLNNLSGTVPDGFGSCSLLESFDISTNSFSGKLPIEIFQNMSSLKELYLAFNYFSGPLPESLSSFTNLKVLDLSSNNFSGSIPPSLCENPRNSFEVLYLQNNVLTGSIPPSLSNCSQLVSLHLSFNYLTGTIPPSLGSLSKLRDLKLWLNQLHGEIPQELGNIQSLETLILDFNELTGTIPSALSNCSSLNWISLSSNRLTGEIPAWLGKFNNLAILKLSNNSFYGSIPPELGDCRSLIWLDLNTNNLTGSIPSVLFKQSGKIAVNFIAGKRYMYIKNDGSKACHGAGNLLEFAGIRQEELDRISSRSPCNATTRVYPGHTQPTFNNNGSMLFLDLSYNMLSGSIPKEIGTMLYLFILNLGHNNISGTIPQEIGGLKGLGILDLSYNRLEGSIPQSMTGITLLSEINLSNNLLSGMIPEMGQLETFPANDFQNNSGLCGVPLQPCGSPTAASNSEHRKSHRRQASLAGSVAMGLLLSLFCIFGLIIVVVETKKRRKKKDALDVYMDSRSHSGTANTSWKLTGAREALSINLATFEKPLRKLTFADLLEATNGFHNDSLIGSGGFGDVYKAQLKDGSTVAIKKLIHISGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMRYGSLEDVLHDQKKAGIKLNWAARRKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGKRPTDSADFGDNNLVGWVKQHAKLKISDVFDPELMKEDPSLEIELLQHLKVACACLDDRPWRRPTMIQVMAMFKEIQTGSGLDSQSTIATDDGGFNAVEMVDMTIKEDPEDKH >OMO55902 pep supercontig:CCACVL1_1.0:contig14573:132804:134474:-1 gene:CCACVL1_26913 transcript:OMO55902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEIKKVANKKEQRGGISSRVLEQDNQWLLMYQPLIMRSEEESSSSSAPSSSSMMLSEARRGREMSAMVSALTHVVAGDVPDHQEADGFGSFMSNYNNLNVSSSLGYGGQKRGREEEEGGGGSGGAGGGGMAVESVSKLCTQFGNFPHAAGRPVGSSSGGVRVSEANNTAQLVPTYEYNSNENYREEPRRRYRGVRQRPWGKWAAEIRDPFKAARVWLGTFDTAEAAARAYDEAALRFRGNKAKLNFPENVKLRSPPSTNIPTTTQLTISDSPTTLLSIPTSTEPIVHSQSHNNYLVQSQNPQVSGGYFDYSQFFLGSGATGNDYSRQQPMNLYDQILLSTSAAAQSSSLSASSMSTSSSVSSSTPTSYPLVFPIQTSGQGGGVGDFSVRWSDNSSSTPNYTSTSS >OMO55913 pep supercontig:CCACVL1_1.0:contig14573:199064:199432:-1 gene:CCACVL1_26926 transcript:OMO55913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAYRFSFLQFSGHLQKPHADVLSSNPKLFVT >OMO55909 pep supercontig:CCACVL1_1.0:contig14573:181421:184140:-1 gene:CCACVL1_26922 transcript:OMO55909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSELPRKEANVLKGHEGAVLAARFNSDGNYCLSCGKDRTIRLWNPHRGIHIKTYKSHGREVRDVHVTPDNSKLCSCGGDRQIFYWDVSTGRVIRKFRGHDGEVNAVKFNEYASVVVSAGYDRSLRAWDCRSHSTEPIQIIDSFMDTVMSVRLTKTEIIGGSVDGTVRTFDIRIGREISDDLGQPVNCISMSNDSNCILASCLDSTLRLLDRSTGELLQEYKGHTCKSFKMDCCLTNDDAYVTGGSEDGSIFFWDLVDASVVSKFRAHSSVVTSVSYHPKDNCMITSSVDGTVRVWKT >OMO55887 pep supercontig:CCACVL1_1.0:contig14573:12773:40509:-1 gene:CCACVL1_26898 transcript:OMO55887 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 19, catalytic MKMFYVVLFNLAFFLGSSTRRSDAQDVTDVITQELYENMFKHRNQFYSYDAFVVAARSFDGFGTTGDLINRKRELAAFFGQTSHETTGGSENAADGPYAWGYYFIEEQTNPRPVYCDGRGPCAPGRKYYGRGPTYLLGSATRRSDAQDVTEVISRDLYETMFKHRNRFYSYDAFVAAARFFNGFGTTGDFITRKRELAAFFGQTSHETTGGWDNAPDGRYAWGYYFVEEQTSPRPVYCDGGWPCAPGRKYFGRGPIQLSHNYNYKQAGDVLGRDLINSPELVANDATLSFKTAILVLDDSTRKQAIMPQCHPRPMDTVGDRQGSWSSARLRMDHSASSEGPAILQLHKWGPSELQLNLSEFREAFISPTRELLLLLSYQCQALLLPLITGDSVDTDVSESCYEDSSVYRSDSKDDTPCTSGSAMNNGISLECRFSRSNSYPFLCDVNSLAWGVCGDTYNQRKDGSFRELLFVSGKEGVMVHAFCQPDERNVPGEMLEREFREGTWVEWGPSTSSFQNVEGEEPIDVSFEAPINTIDKNNAHGKSGVPDYISKKAGDDNLSGTATSKRWLRSFFTKAETIEYEGGIWTRLPERSSFPCSAKVVSFGIFTGDFPVLRFFCKENSSSTKESCRETMGNLENGLNENLEPSSSDAAADNSYKCTRVFSSNSHQLIGFFLTVINPTSANTTDKSEKSTTKNIIFVARLNSWGIQWVSLVKLEESVNSCPLDEWKDFCFSDEFLICLNDCGLMFFYDALSGKYVAYLDILRTCGLNCLANLPKSESSTLDDDEMKSKSNYEHGDLVGKRTFRRLVVASYTSLVAVVDDYGVVYVIYFGDLLPDKSYAFDKLLPHYQHLGLGMLVGWDVGGSDVSLQRIYFNSPHSCNLSRSSKTNEFVPIRDNSGSNLLQKMHGWSLYGNGCFYDSVLNGFSAASKVTGEKVQDMQIKFHLMRKVFLPTDRYSDDECICFSPLGITRLIKRHNLKESKSTQIVHFALHTDSVVLDDRRLNSGSEKFSLQGREDASIGEAVGCTFQGCFYLVTEDGLSVVLPSVSVSSNFLPVETIGYQQPSSTVGLRWQVKDTLGLEETKMFWSPWKVEILDRVLLYEGPEEADRLCLENGWDLKFSRIRRLQLALDYLKFDEVKQSLEMLVGINLAEEGVLRLLFAAVYLMSRKNGNDNEVSAASRLLKLATWFATKMIREYGLLKHKRDTFMFQDLDGAHVLALPPVVSDKTQNEMGNSMKLRQMAHFLEIIRTLQYQLQSKLKKPGQGLVEREEPLSTVDSNSLQDGFQFSTTGDSLDSLNQRDLQIPALAFPSNNSEKLALLPNNSLSSEAYLDSEDSSEASALVPRGVISGKNILPSENPKEMIARWKIGNMDLKTVVKDALLSGRLPLAVLQLHLHRSSDLTSNEEPHDTFNEVSDIGRAIAYDLFLKGETGLAIATLQRLGEDVELCLKQLLFGTVRRTLRMQIAEEMRRYGYLGSFEWNILERISLIERLYPSCSFWKTFLDRQKGHMQVTSPSPGGVHLRLLDFFNNLIIECGEIDGVVLGSWANVNENLSDPVPDQDSVDAGYWAAAAVWSKAWDQRTIDRIVLDQPLVMGVHVSWESQLEYHIYHNDWEEVFKLLDLIPTSVLSIGTLQIALDGFQPASTVSESPDFGNYICSVDELDAVCMDVPDVRIFRLSSSVMSSTWLRMLMEQELVKKLIFLKEDWEGTAEIVSLLARSGFVVNRYKISSEDNSIKRSSDLYFSSSGNFQADTLQALDKLFIRYCAEYNLPNLLDLYLQHHNLVLNDDSLYSLLEAAGDCHWARWLLLSRIKGHEYDASFANARSIMSHNLVHGGNVPGHEIDEVIHTIDDIAEGGGELAALATLMYASAPIQNCLSSGSVNRQNSSTAQCTLENLKPTLQHYPTLWRTLVSGCFGQDTTFSFLGTGAKNALADYLNWRDTIFFSTGRDTSLLQMLPCWFPKAVRRLIQLYVQGPLGWQSLSGLPTGESLLDRDIDFYINADDQTEINAISWEATIQKHVEEELYHSSLEEAGLGLEHHLHRGRAIAAFNQLLTSRVEKLKIEGRTSTSGQTNVQSDVQMLLAPISESEESLLSSVMPFAITHFEDTRLVAACAFLLELCGLSASMLRVDVAALRRISSFYKSLENKENFRQLSLKGSAFHAASHDGNIMESLARALADDSMHRDNSRNSKQKGSLNSVSSKQPSRALMLVLQHLEKASLPLLVEGKTCGSWLLTGNGDGTELRSQQKAASQYWSLVTVFCQMHQLPLSTKYLAVLARDNDWVGFLCEAQIGYSFDVVFQVASKEFSDPRLKIHILTVLKSMQSRKMAGSQSYLDATEKRSESPFAAENVYIPVELFRVLADCEKQKNPGESLLIKAKDLSWSILAMIASCFPDVSPLSCLTVWLEITAARETKSIKVNDIASQIADNVAAAIEATNSLPAGSRELSFHYNRRNPKRRRLLESVSETPLRETSDPSTRLFSDEGSIAGEGKQVELGEQINVSSNINEGPASLSKMVAVLCEQRLFLPLLRAFELFLPSCSLLTFIRALQAFSQMRLSEASAHLGSFSARIKEEPSHLQTNVGRDGQIGLSWISSTAIKAADATLSTCPSPYEKRCLLQLLAAADFGDGGSAAAHYRRLYWKINLAEPSLRKDDDLHLGCETLDDATLLTALEENRQWEQARNWARQLEASGGPWKSTVNQVTETQAESMVAEWKEFLWDVPEERVALWGHCQTLFIRYSYPALQAGLFFLKHAEAVEKDLPARELHEMLLLSLQWLSGMITQSNPVYPLHLLREIETRVWLLAVESEDQVKGEGEISVTSSIRNPVAGNSSSIIDRTAAIIAKMDNHINTMKSRIVEKYDAREAHQRTQALDNSSSTVTIGSSKTKRRAKGYVPSRRTLVDTVDKGPELEDSSNPSILKSDSQLQDESLRIDLSFSKWEERVGPAELERAVLSLLEVGQITAAKQLQQKLSPGQMPSEFTLVDAALKLAAMSTPTSEILMVMLDEELLSLIQSYNIPTDRHLIHPLQVLETLATVFTEGSGRGLCKRILAVVRAANVLGLSFSEAFGKRPIELLQLLSLKAQESFEEAKLLVQTHIMPAASIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVSEGDFACLARLITGVGNFHTLNFILGILIENGQLDLLLQKFSTAADTNTGTAEAVRGFRMAVLTSLKHFNPYDLDAFAMVYNHFDMKHETAALLESRAEQASMQWFQRYDRDQNEDLLESMRYFIEAAEVHSSIDAGNKTRRACAQASLVSLQIRMPDSKWLNLSETNARRALVEQSRFQEALIVAEAYGLNQPTEWALVLWNQMLNPELTEEFVAEFVAVLPLQPSMLIELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLRLRLQLATAATGFADVVDGCTKALDRVPETAGPLVLRRGHGGAYLPLM >OMO55889 pep supercontig:CCACVL1_1.0:contig14573:57882:60192:1 gene:CCACVL1_26900 transcript:OMO55889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSSLIIQRAREKSSGDRRLGKRTWADPPTDFASLR >OMP11023 pep supercontig:CCACVL1_1.0:contig01689:124:222:-1 gene:CCACVL1_00721 transcript:OMP11023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWLIAPVLKTGIVRNKELSRVRIPLSPFAR >OMO95131 pep supercontig:CCACVL1_1.0:contig07733:1756:2163:1 gene:CCACVL1_05554 transcript:OMO95131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLFLNPFHESALPFLLLLLLLIIVPSSSSSAVGLQQLFSVIRLPSDVDACAGSPQPNYCPVNCFKTDPVCGENGVTYWCGCGDAHCAGVKVAKKGFCEIGSDGKNGTFPGQALLLVHIVWLIAIGFSVLLGLI >OMO95132 pep supercontig:CCACVL1_1.0:contig07733:3134:6473:-1 gene:CCACVL1_05555 transcript:OMO95132 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MNRMCRVNSINYGVRTLRYLQESSFTTSVVRQHSSSLPRLPSPAFASGVRFLRSGRDPSYNHEITPPVNWGVRIVPEKKAFVIERFGKYVKTLPSGIHFLIPFVDRIAYVHSLKEEAIPIPDQSAITKDNVSILIDGVLYVKIVDPKLASYGVENPIYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVESINVAAKDWGLQCLRYEIRDISPPRGVRAAMEMQAEAERKKRAQVLESEGERQANINIADGRKNAVILASEAAKMDQVNRAQGEAEAILARAQATAKGLALVSQSLKENGGVEAASLRIAEQYIQAFSNIAKEGTTMLLPSTAGNPANMIAQALTMYKSLVTNASTDGHGSNAQLSPEVSRTMIDAPSGETESKSQDAKSVETKATSHGGQPGFSLSKKKE >OMO95136 pep supercontig:CCACVL1_1.0:contig07733:30349:33508:1 gene:CCACVL1_05559 transcript:OMO95136 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MRKDRRTKMKGRVSRIGSYAIASSMRDHPHQPSITCTTFNILAPIYKRLSHKDQNCRESDFRAYWLARNQRILDSLLYERSSIICLQEFWVGNEELVNMYEKRLGDAGYLNFKLGRTNNRGDGLLTAVHKDYFRVISYRELLFNDFGDRVAQLLHVELVAPSSQCRNNDTCQEILIVNTHLLFPHDSSLCIVRLHQVYKILQCVESYQKEYKLNPLPILLCGDWNGSKRGHVYKFLRSQGFESSYDTAHQYTDADAHKWVSHLNHRGNVCGVDFIWLLNPNKYRKLLQTSWSEAVFGMFKNQLRKASLTAEDAFAFLKDDNDGDYITYSGFCEALRQLNIIGHCHGLSVEETKDLWVQADIDGNGVVDYKEFKQRIWNPSWSEQRDEQSNEVQDGQYKKEQAIGFSVKNAVLFPPEVEKGRWPEHYSLSDHARLTVVLSPIKMPVPTSQLTS >OMO95133 pep supercontig:CCACVL1_1.0:contig07733:14395:16428:-1 gene:CCACVL1_05556 transcript:OMO95133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIRICFFWFLGLLQYYAIFVQPSATTTNGGPVINVTKHISFTNFNLDDPRVISDVKLLGSAKLSVENGAIQIPDESSSSDVFDLKHQAGRAIYSSPVRLFDPGTQTPASFQTTFAFQFSNNSSNLINHGGSGLTFIIVPDEFTVGRPGPWLGMLNDACQEDYKAVAIEFDTRQNPQFGDPNDNHVGINLGTIVSNITIDASDFGVFLHDGSVHRAWIKYDGPKRWMEIHLGSDGHDDFPSKQIFSGPLDLAPHLNEYMFVGFSASTGNITQIHKVLSWNFSSNSQAFLRFPSDETCENKIFLQKSDSVQSSTIQKNKKSHKEPPSGFLIFVTVAVIALAITIGFFCSRRRRGSRNHDNSKLTDKTDRPRPPNKPRRFTFSEISAATRGFSEAEILGSDARGMYYRGKLPNGCQVAIKRLSPEFLNSQQGLDKRRILKEIGAMSRVRHPNLVPIRGWCHDSREMMIVYDFYTNGSLDKWLFGVGVLPWTRRFRVINDVAEALSFLHSKQLAHKNMKTSSVFLDVSFRAVVGDFGMVLAATESKRFEAAVSQTADVFEFGIFVLEVVSGRGRLDSEVNREERDLVDFAWRMHMKNEKAKVVDPRMGSLVNLEQAIRVLDIGLLCTLNESKGRPNMGEVVEFLSMDRPIPELPASRPMALFPYNSATGLCSGYSCGPFK >OMO95134 pep supercontig:CCACVL1_1.0:contig07733:18102:18212:1 gene:CCACVL1_05557 transcript:OMO95134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGWATAVEAAREKNEDPKRAPGMRAVYLSANPETS >OMO95135 pep supercontig:CCACVL1_1.0:contig07733:21554:24519:1 gene:CCACVL1_05558 transcript:OMO95135 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MAVMGPLKEWLGWSQMITGMLAVQVFATGQQLLSKVILNQGTFIFALMAYRHLVAALCVAPFAFFLERGNSKKLTWSTYFWLFINALTGITAAMGLFYYGLRDTTATYSTNFLNIIPIVTFVLSTLLGIEKLRLGTTGGKIKTLGAILCVGGAVTAGLYKGKAFYLDHPHGFHTAPAAMNVSKSHWTRGTFMLVGSCVCYAAWYILQVKLIKLFPSKYRATLITCIMASLQSTALGLCFDRRKAAWRLDWNLQLVTIVYSGALSTAATFCIISWSIARRGPTYAPMFNPLALIFVSISEALLLGQEMRLGIVIGTSMIIVGLYSFLWGRTKETTICLPPQQIAEPHEELGESTVSESGELQLKNIVITATPSPFISVCDMEEGALNSKG >OMO89234 pep supercontig:CCACVL1_1.0:contig08817:27227:34566:-1 gene:CCACVL1_07974 transcript:OMO89234 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase I, TruA MSKLDGEDEVVSLRSQLHSLQIRLKELEAENAKMSSQLSKSCCHNKTEDMLNGSGVERLHPGVASHSKNIGGDKDRKKKAIERIPGSNLEIMAHHSKRYVALKVMYFGQRFYGFASEAQMDPTVESELFKAFEKTRLLVGDKKASLYSRCGRTDKGVSSVGQVVALFLRSNLKEADANNKMSGESIPETRTEGEIDYVRVLNRVLPNDIRILGWSPVSVDFSARFSCLAREYKYFFWRGNLNLPAMENAGKKFIGEHDFRNFCKMDAANVHNYRRRVTMFEICSSNMRFEGNELCEIRVKGSAFLWHQVRCMVAVLFMIGQGLESVDVIDALLDTQKTPRKPQYAMAPEIPLVLQSCEFEDVKFICSSDSGQALRIHLDNECRAYQLQSAIYREAVRSCLPLANDQNLLNKGTIKKVASHVPLLSRPTEQVSLNASNTAKRKDCPGIF >OMO89232 pep supercontig:CCACVL1_1.0:contig08817:18350:20326:-1 gene:CCACVL1_07972 transcript:OMO89232 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein, Use1 MGMSKTQINLRRLLAAAPQQQNPSKLIHYVATLRELLEKLAEERTPDGLPRVSKAVINDYSEKIENIASELSAKLPTVKVSQEPATTSNSFKQSPQSDVENHAPSSPGLRRRVVPTSSFKDETRDNAEAGTSDPVKLDAAAQMHIEKHRKLQEDLTDEMVGLARQLKESSLMMSQSLENTEKLLDSTEKAVEDSLSRTGHANDRAMKIYSETSKTTCFQWLLIFFMTCIFIMVVLLIRVT >OMO89231 pep supercontig:CCACVL1_1.0:contig08817:13472:17170:1 gene:CCACVL1_07971 transcript:OMO89231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein MNRHHDPNPFDEEEVEVNPFSNGGAVAPARPLASEPLGFGQKHDATVDIPLDNMNDPKKKEKELAAWEADLKRREKDIKRREDAVSQAGVPADDKNWPPFFPIIHHDIAKEIPVHAQKLQYLAFASWLGIVLCLVFNVVSVIVCWIQGGGVKIFFLAVIYMLLGCPISYVLWYRPLYRAMRTDSALNFGWFFMFYLLHIGFCIFAAIAPPVVFHGKSLTGILAAFDVISDHMLAGIFYFVGFGLFCLESLLSLWVLQGEQVRNEVRLGVALTAEAEAEAEAEAEAEAEAEAEAEAEAEVV >OMO89233 pep supercontig:CCACVL1_1.0:contig08817:20941:25256:1 gene:CCACVL1_07973 transcript:OMO89233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidinol dehydrogenase MDSQLLLRLNRTTNLSLKPLHSPRFRSCTSPTFLPFSSLPFPRGLGCKRIRCAMKSYKLSELSHGEVESLKARPRIDFSSIFGIVQPIVDDVRGRGDAAVKVYTEKFDKVKLEKIVENVSELPYPELDPTVKKAFDVAYDNIYSFHLAQKSSEKSVENMKGVRCKRVARSIGSVGLYVPGGTAVLPSTALMLSVPAQIAGCKTVVLATPPGQDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKVEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADKHASPVHIAADLLSQAEHGPDSQVVLVIAGDGVDLKAIKDEISKQCQSLPRGDFASKALSHSFIVFARDMVEAISFSNLYAPEHLIINVKDAEKWEGFVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYITVQSLTEEGLRNLGPYVATMAEVEGLDAHKRAVTLRLEDIEAKHASSVR >OMO67508 pep supercontig:CCACVL1_1.0:contig12421:582:1229:1 gene:CCACVL1_20485 transcript:OMO67508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQSNGQQPHLQPSPLVRQNSWYSLTLNEVKNQLGNLGKPLGSMNLDELLNNVWSAESNQSLGMDSESTSSSSSLQRQASLTLARAFSGKTVDQVWKGIQEGQKKKYGEEMKGQEREATLGETTLEDFLVQAGLSVAESSLGPTMELDSTPQSLMPQIGLSPTPSIGTLSDTTMRGRKRDSQDALEKSIERRLKRKIKNRESAARSRARKQASH >OMO67509 pep supercontig:CCACVL1_1.0:contig12421:3366:6976:1 gene:CCACVL1_20486 transcript:OMO67509 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetracycline resistance protein, TetA/multidrug resistance protein MdtG MEENRICLLDRELEHYENCPGCKMDRLKQEQTGVPYKLLSFIWIVSLCTALPTSSLFPFIYFMIKDFHIAKREEDIGYYAGFLGSSFMVGRALTSLFWGYLADRYGRKPIILIGTSSVVVFNTIFGLSTSFWMALSMRFLLGCFNSLLGTIRAYASEVCREEYRSLALSVVSTSRGIGLIIGPAIGGFFAQPAEKYPNVFAKSSIFGRFPYFLPCLIISVSAVGVLIACKWLPETLHRHGQKGNEINSQSGHSSDVSGRKDDIEQLEERQTHKPNLLKNWPLMSTIIVYCVFSLQEMAYSEIFSLWAVSDKKYGGLSYSSQEVGEVLAISGFGLLLFQLLLYPPVERILGPLMVTRLSAAISIPLLACYPYIAMLSGVILFSVINCAAILRNSLSVSVVTGLFILQNNAVPQSQRGAANGISVTAMSIFKAFGPAGGGALFSWAQKRQVASFLPGDQMVFFALNVVQFIGLLLTFKPFLAEPRRQ >OMO67511 pep supercontig:CCACVL1_1.0:contig12421:12483:14673:1 gene:CCACVL1_20488 transcript:OMO67511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNANGREDGANGGVDDLSVRSNGGEPVVRGASSGAAAVRVPSSDSMAHTPPQSPRRSRSPLLFAPQAPVAPLPRGDGHSFFNQIWRNDSPGVPDMPSEKGIPVIITWNYGGNDVAVEGSWDNWRTRKTLQRAGKDHSILLVLPSGLYHYKFIVDGEWRYIPDLPFVADETGHVCNLLDVHDYVPENLDSVTEFEAPASPNSSYGQAFPTEEDFAKEPVVVPSQLHLTVLGTENQDGASSSKPQHVVLNHLYIEKGWASQSVVALGLTHRFESKYVTVVLYKPLKR >OMO67512 pep supercontig:CCACVL1_1.0:contig12421:15331:17722:-1 gene:CCACVL1_20489 transcript:OMO67512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease MDRLSNAFSVLEIDVDDHHPPSASSSASSSSGNGKSNGKGSADAASAVVKKQSELCSALLSESYKLPLVWIDLEMTGLDIEVDRILEIACIITDGNLTKSIEGPDLVIHQSKECLDRMGEWCQEHHAASGLTKKVLQSKISEREAEKQVIDFVKKHVGTYTPHIAGNSVYMDFLFLKKYMPHLASLFSHIVVDVSSVRALCIRWYPKDQKKAPVKEKKHRAMDDIRESILELKYFKETIFKGKSKRFDD >OMO67510 pep supercontig:CCACVL1_1.0:contig12421:7379:9740:-1 gene:CCACVL1_20487 transcript:OMO67510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHTPTVWFADNGERLGTYRGHNGAVWSCDVSRDSMRLITGSADQTAKLWNVQTGAQLYTFNFDSPARSVEFSVGDKLAVITTDPFMELTSAIHVKRIARDPADQTGESVLVLKGPQGRINRAVWGPLNRTIISGGEDSVIRIWDSETGKLLRESDKESGHKKTITSLVKAADGSHFLSGSLDKSAKLWDSRTLTLIKTYVTERPVNAVAMSPLLDHVVLGGGQDASAVTTTDHRAGKFEAKFYDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFNIKI >OMO88802 pep supercontig:CCACVL1_1.0:contig08928:33383:33445:-1 gene:CCACVL1_08197 transcript:OMO88802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELGLSLRESKSRRRELEIVE >OMO88801 pep supercontig:CCACVL1_1.0:contig08928:17372:25746:1 gene:CCACVL1_08196 transcript:OMO88801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPLAFANDNATSALNGQ >OMO88800 pep supercontig:CCACVL1_1.0:contig08928:3989:4051:-1 gene:CCACVL1_08195 transcript:OMO88800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERERIGLTVIYVTQLRRRE >OMO60719 pep supercontig:CCACVL1_1.0:contig13675:3852:12657:1 gene:CCACVL1_23920 transcript:OMO60719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEECTATSSPSPSLHSHSWWDILHPAAANSLSSWTSSNSATWQQQQPQHQNPNSNSSNCDQEDVVSNISTSLNTNASNHSVLTVESSGRHHQLVDQPVPHQSNNLIGEHVSDNHIWSQVLSSVGSNGDLRNSQDIGENNLFEAISSKTTSSPGLIFEPACDYLKKIDTNNWEFPNSSSVFNNFVKNINGNYTNDHHHHQSSIESADQRFTKLSNLVSNWSIAPPDPELNNNNHEHMSLNSSSSVENYSNQPSFCGMAATNIKTPVFLSCYGQNQNQNQNHHDHVKMEDMEASNSYFRRAFKGGNINGYNHHHRESFINNSSMEATDNFFGSSMSHSTSSITYSGRLSKPLVDIHVPRLQSAPTSLQTRSNNGRTQGISSEGKKKRNEETSSDSTGLKKPKQETSTASSVKMHAPKVKLGDRITALQQIVSPFGKTDTASVLLEAIGYINFLQEQVQLLSNPYMKPNSHKDPWGSLERKDQKGESKVDLRSRAIWVSIFMDYERIQKPQGGGGFSPAKLRTMLLGVEKKRKEEEQQEEEVESSVDLRSQLSHLDDTGGSGSDSCKDVDVVSVLPECSTSTTADSMAGLHNGSDRRLKDHSFGNTRNRYIEDHPGLDYDSGHDNMSVSSSSIFEFQKAERAGQRVPVGPFSKPAPSKWDDAQKWIASPTANRPKTGQGGQGAGSRKGGHISYGRQSSTKVVVEVPDQRIVALEEPDTKRIDMNHVKKNNGMQKFVNWESDSYPTVDTYGKPVLMIENSVAESAVSLSQHDSSMSIHSATTFIPPPSTARSVSMRDMGTEMTPIASQEPSRTGTPVRSTTPIRSPNSSRPSTPSRAAPVSSPANPPYNHLDLNMELSEKELQMKTRREIMVLGTQLGKANIAAWASKEEEDKDASTSLKTIAPEQTAKSAIETRAAAWEEAEKAKYLARFKREEMKIQAWENHQKAKTEAEMRKIEVEVEKMRGRAHDKLMNKLAAARHKAEEKRAASEAKRNRQAAKTERQAEYIRKTGRIPYSFPCWNWCS >OMO60720 pep supercontig:CCACVL1_1.0:contig13675:13010:16153:-1 gene:CCACVL1_23921 transcript:OMO60720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFLSSHSCNWSVGVSDHVSNRRGRLFYKVPSLGPYYFPLGGFGFSQGQVQLLASTSQSKGRCGPVFASVVDDDGSDPDESEDGNDDKKSSQGETGGINSDTLRENLERIVGRDDSTFSGLDLATLIRNRYGRSYDVQLIKKEFMGRNLLAMNVMWKYMEQRSFPLTEEEYLLRLDDVANTLKCWGAVSHIRNSLAKVKERPRIGKIQ >OMO60721 pep supercontig:CCACVL1_1.0:contig13675:27392:27535:-1 gene:CCACVL1_23923 transcript:OMO60721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLRVGPPMNSAPLSSSHGGIGSTSSTLTNAPGRSIMSLFQRRHYQSY >OMP11787 pep supercontig:CCACVL1_1.0:contig00876:56:1034:1 gene:CCACVL1_00263 transcript:OMP11787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECGRGVREMGFPFFSQEKGAGNNENSVDRRHKRMMKTESQLLGQELENR >OMO63638 pep supercontig:CCACVL1_1.0:contig12926:7943:8335:-1 gene:CCACVL1_22370 transcript:OMO63638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQLVLHHQHAAVEIYIPFALWWERYIHAKQSDDCHNGSDDRNTSHHCNNLPFGAKKIIDMESKLMNKLASSYLSNEFLTSSYNRHNIIRHKNKEQQETAQETALLIFIATFGRCLINNKAVKKTSQQA >OMO63640 pep supercontig:CCACVL1_1.0:contig12926:23709:26945:-1 gene:CCACVL1_22372 transcript:OMO63640 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MKTKREDGNSVDREGDWKRQRVADYPSSPSEGPLVPYNDDDDDERRALSRVGGEGNGQRVETEEDEDDDDPYGQGSIAGWQNRQVEVRRDCPYLDTVNRQVLDFDFEKFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEINDPSLDDIRYVLNPRFTREQVEQLDRNKQWSRALDGSDYLPGMVGLNNIQKTDFVNVTIQSLMRVTPLRNFFLIPENYQHCKSQLVQRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGAQSEPVEFISWLLNTLHADLKTKKNSGSIIHKCFQGELEVVKETQNKAITEKKESSGEENGTRKTEDGVTEKDNNGAETYRMPFLMLGLDLPEPPLFKDVMEKNIIPQVPLFNILKKFDGETVTTTVRPPARMRYRVTKLPQYLIVHMRRFTKNNFFREKNPTLVNFPVKNLELKDYIPLPAPTKENEKLRSKYDLIANIVHDGKPDEGFYRVFVQRKSEELWYEMQDLHVSETLPQMVALSEAYMQIYEQQQ >OMO63637 pep supercontig:CCACVL1_1.0:contig12926:2923:7278:1 gene:CCACVL1_22369 transcript:OMO63637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance complex-binding protein MGGPPYDCLANPLGAVRSTFEKAVGSGRHPSAFEGNDWGAVDLFRQFLFQESGLSQVPILNPKTISWVQPNTLVRYRGMIQDMLGNEFYVGAFKDGNLWRTNKFTDVSQYPMGSSPDMCVWERRLLYCVPVPGQSSWTEPSCDMGPNWSSQTREKRRRMDDEDADPMDLVPDDDIKSSPTTKKMREDGFPSPLPEAMESKPGSSGSSMSSFQQVDKDNLPCLVKIYDTPELELKLNDVFEFIGVLTFDSELPVEKDDNEDLDDAMVGLPPNKVPRLHCFIHRKLAVHDFLQGSPIMEPKPHLVKEIREALFRHLTAVLGNDGVAAHFMLLHLLSKVHARVDDVAVGKLSLNLTGLSKESMSVFGTRISQAFKDLLPFTHSIPLTLEYLNAASLAPKKDYQANRLIPGVLQLPEGSHLTVDETQLQSGTLNSNGVENTNVLKTLSEFQKVEYDFQYYKMEMAADVQLLIFSEGKSNIVPADVVVPFQPSSLESSETPVAEPLEAWRWYLATVRSLPHSIGSEMQKVVEDDLVAARQANRNLGSQDFSRWLTMARLVSTSFGETNLSLEHWQLVKELERLRMERLK >OMO63639 pep supercontig:CCACVL1_1.0:contig12926:10154:22441:-1 gene:CCACVL1_22371 transcript:OMO63639 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDLLFLEKALISLFVTIIVAIVVSKLRGKRYKLPPGPIPVPVFGNWLQVGDDLNHRNLTDLAKKFGDIFLLRMGQRNLVVVSSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRHGWEAEVAAVVEDVKKNPESATNGIVLRKRLQLMMYNNMYRIMFDRRFESEDDPLFVKLKALNGERSRLAQSFDYNYGDFIPILRPFLRGYLKLCKEVKEMRLQLFRDHFLEERKKLSSTKRPDNNALKCAIDHILDAQQKGEINEDNVLYIVENINVAGTFGCKIEPSTHANLNQWTSSQIVPIPGVVGGSGAGVKDLPYNIGDPYPSAWGSWSHFRGTSKDDGSPVSIFSLTGSNPQDGHLAAGRNGVKRLRTVRHPNILSFLHSTEVESFDGSSTKVTIYIVTEPVMPLSEKIKELGLEGTQRDEYYAWGLHQIAKAVSFLNNDCKLVHGNVCLSSVVVTPTLDWKLHAFDVLSEYDGSNESATGPMLQYEWLVGLQYKPMEMAKSDWAAIRKSPPWAIDSWGLGCLIYEIFSGMKLSRTEELRNTASIPKSLLPDYQRLLSSMPSRRLNTSKLLENSEYFQNKLVDTIHFMEILSLKDSVEKDTFFRKLPNLAEQLPRQIVLKKLLPLLASSLEFGSAAAPALTALLKMGSWLSAEEFSLKVLPTIVKLFASNDRAIRVALLQHIDQFGESLAAQIVDEQVYPHVSTGFADTSAFLRELTLKSMLVLAPKLSQRTLSGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFSPARAAGVMALCATSSYYDVTEIATRILPNIVVLTIDPDSDVRSKAFQAVEQFLQIVKQYNEKINAGDTAGAASLGISSIPGNASLLGWAMSSLTLKNKPSDQAPVATANNVTAATTTTSTASSGLVETPSTAPVHRVSSSTDFTDQPPSPTSTDGWGEMENGIHDEHDSDKDGWDDIEPLEDPKPSPALANIQAAQKRPVSQPVSQPKPQATSLRPKSTVKVAKDEDDELWGSIAAAPPKTASKPLNLKAAAPVDDDDPWAAIAAPAPTTKAKPLSAGRGRGAKPAVPKLGAQRINRTSSSGM >OMO85151 pep supercontig:CCACVL1_1.0:contig09660:3903:4022:1 gene:CCACVL1_10382 transcript:OMO85151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNTFPPVNIRMVTIMSWELEKTSISTVDILESVVADTE >OMO95992 pep supercontig:CCACVL1_1.0:contig07556:41545:45009:-1 gene:CCACVL1_05134 transcript:OMO95992 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S1 MVETRKRGRNSKSPASTTEAMDFQYSATTSQNESITAQNDVLVAANDAVLDATANNDAKNPPAARRGRGRPRKSGAGHTEDTEPHMGASPERRPYQNGTMVVEPPPQPVAKWESVAARVVPAMDAVVKVFCVHTEPNYSLPWQRKRQYSSSSSGFVIGGKRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGTECDIALLTVDDEEFWKGVSPVEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYAHGSTELLGVQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDAENIGYVIPTPVIRHFIQDYEKNGAYTGFPILGVEWQKMENPDLRLAMGMKPDQKGVRIRRIEPTAPESNLLKPSDIILSFDGVNVANDGTVPFRHGERIGFSYLVSQKYTGDTALVKVLRDSKILEYNIKLATHKRLIPAHTNGKPPSYYIIAGFVFTAVSVPYLRSEYGKDYDFDAPVKLLDKHLHAMAESIDEQLVVVSQVLVADINIGYEEIVNTQVIALNGKPVKNLKSLANMVENCTDEYLKFELEYQQIAVLQTKAAKAATLDILTTHCISSAMSDDLKT >OMO95991 pep supercontig:CCACVL1_1.0:contig07556:9282:11112:-1 gene:CCACVL1_05133 transcript:OMO95991 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan fucosyltransferase MRLFTKSLTTFLIALPVLIMLTMILRHQPSDPFTGFADARPLFSNLTTPPLLGVDQISKPTDKLFGGLLAAGFDEESCLSRYQSVLYRKTSPFKASPYLISKLRNYEQLHKRCGPNTQPYNAAVEQLRSGQSVSSSSTDCKYIVWVCYSGLGNRILTLASVFLYALLTDRVLLVDRGKDMANLFCEPFPEKSWFLPLNFPITNKFDSFGQKSPESYGNMLKKNIIKASTESLPSYLYLHLAHDYDDHDKLFFCDEEQALLNKVPWLIMKTDNYFVPSLFLMSSFEHELIMLFPEKETIFHHLGRYLFHPTNQVWGLITRYYKAYLAKADERIGIQVRTFDSGPGPYQYVNDQISACTQGEKLLPAVDAKGRIVPPSENSKLKAVLMTSLVSGYFENVKNTYWEHPTVTGDIIGVHQPSHEEKQQTEKPLHNMKAWAEMYLLSLSDELVTSAWSTFGYVAQGLGGLKPWILYKSENQTTPNPPCQRAMSMEPCFHAPPFYDCKAKKGIDTGKVVPHVRHCEDISWGLKVVDSQDEL >OMO95990 pep supercontig:CCACVL1_1.0:contig07556:5227:6434:1 gene:CCACVL1_05131 transcript:OMO95990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L32p MALRQTILKRAGVDSGSVLGLRRWVHASALPPPSDASITPPTASQPLVLPESDRIPDNDIGFGFQSFPFGGSMELMAVPKRKTSRHKRGIRNGPRALKPIPVIIRCKGCGRVKLPHFFCCSGDRGNTGEQDGSSG >OMP10688 pep supercontig:CCACVL1_1.0:contig02036:710:805:1 gene:CCACVL1_00818 transcript:OMP10688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TDWLNPKGKPVKHLTPRYEPKKGNPSLRKVA >OMP11955 pep supercontig:CCACVL1_1.0:contig00653:252:2514:1 gene:CCACVL1_00198 transcript:OMP11955 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative double-stranded RNA binding protein MAMVIDDRSKVWEDKDQPRVHVVPPFAPYYAPQAETANAVPVLCVARNVACNVRGLFFKEFDENVLRKISEVFYEDEVVNLPPAPDVSNYLMSEDAGFGPNGNSGAPISEGMNGAEVEQRLNQSEEKHVLDSSTGPVTKNAELRSETSQPPVTIVPNVIAHASSIAPLPSQKPSLLGVPGLLSPPTLLGPSVRRDSNCSDSDYDIKRRALGTKQGLDLRNQNPVQPPLLSKLPAQISSSSTLPQGGWLEEEDINKAHINDRLQALYKELDATKSDKLRGYQNPFLNNASVIVRLVTIYCITSEG >OMP06572 pep supercontig:CCACVL1_1.0:contig04883:7437:7934:1 gene:CCACVL1_01504 transcript:OMP06572 gene_biotype:protein_coding transcript_biotype:protein_coding description:Senescence regulator MAEEEFQESEVIFSDQISSNHYEDYSVSANDDSVGGGRGGGGYFDYRGFSKNGGFVSKNDNNNSKKNKSKKNSSSNKKKKMASSCPVNIPRYHHHSVFHCNEDDFEEEEDDEGEIVPPHVILGRRIAGKMAFSVCTGNGRTLKGRDLSQVRNSILRMTGFLEAEA >OMO99594 pep supercontig:CCACVL1_1.0:contig06854:13302:15222:1 gene:CCACVL1_03715 transcript:OMO99594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAAGKEQEDLAGWFNVAEEGGKALHIAKPMENRGEQKCECLLRGVALHGRSSSAEGLCS >OMO99595 pep supercontig:CCACVL1_1.0:contig06854:19332:22990:1 gene:CCACVL1_03716 transcript:OMO99595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLPLGTSLTVSPTSTTATTSSKSSLSSLPLPKKQQPPAVVSSPFRVSHDSSLCKSGVCKATQVVDLFPTVSPEIVVREARIEDCWEVAETHCSSFFPEYSFPLDFVLRVDRLVAMLSGFSIPAGCRRTCLVAVIGGSVDDTLLFGSDDFKIGGFDGKFSLNRGYVTGILTVDTVADFLPRKGPLRQRRTGIAYVSNVAVRERFRRKGIAKRLIAKAEAQARSWGCRAIALHCDLNNPGATKLYKSQGFRCIKVPEGANWPQPKTSPDVKFSFMMKLLNTPAMA >OMO99593 pep supercontig:CCACVL1_1.0:contig06854:11900:12103:-1 gene:CCACVL1_03714 transcript:OMO99593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFDHLKKRTCAYSVKRLGDFIVYLAKRLEKLQGEEIMIPQTGFCMIWIISRLESSIRKEEITKSS >OMO99596 pep supercontig:CCACVL1_1.0:contig06854:39330:46747:-1 gene:CCACVL1_03717 transcript:OMO99596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-D-phosphohexomutase superfamily MRNYSKYQTGFVNQGTVYCNAASSATAIPSLDKADFLKLQNGRATSRQTDVDMQSLSTGSNMKEKTLGLMLSDSRGVAVAGIEGEPVTLTEPVTEAIAAAFAAWLLDKKKVDASRRLKISIGHDSRISAHMLQEAVSRGITGAGLDVVQYGLASTPAMFNSTLTEHEAFLCPVDGVIMITASHLPYNRNGFKFFTYAGGLGKPYIKDILERAADIYSNFRAEGYSDKKASASVRKVDYMAVYTSDLVKAVRKAAGSRTACYSLIVIIFYEEKPLEGFHIIVDAGNGAGGFFAAKVLEPLSAITSGSQFLKPDGMFPNHTPNPEDKAAMKAITQAVLDNKADLGVIFDTDVDRSAAVDSTGCEFNQNRLNCFNVCHCARGRTTIVTDSATSDGLTSFIEKKLGGKHHRFKRGYKNVIDEAICLNSVGEESHLAIETSGHGALKENHWLDDGAYLMASGRNDGSKVLTDLVEGLEEPAVAVEPRLKINQSHPDLKGGPFRDYGEAVLKHLENSIASDPELQKAPVNYEGVRVSGFRGWFLLRPSLHDPVLPLNIEISSKPKFSKYFFVFKSKADLQTSQNNQLIDHNLISRGNGFGCKLVQFYRYEKR >OMO99592 pep supercontig:CCACVL1_1.0:contig06854:9978:10729:-1 gene:CCACVL1_03713 transcript:OMO99592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIPSGTQIDANATAEGVNRK >OMP11885 pep supercontig:CCACVL1_1.0:contig00743:11666:12970:-1 gene:CCACVL1_00228 transcript:OMP11885 gene_biotype:protein_coding transcript_biotype:protein_coding description:NB-ARC domain-containing protein MELENLAREMVTYCRGLPLAIVVLGGLLSSQRTMDHWDMVHRCIKSYFAEDVGVSQLFALSFDDLPYEMKPCLLYLGLLPEDFVISTDRLINLWVAEGLIFSRRTEREGEEALEDIAYRYLTKFAERYMVQVEKIDSFGRIKKCKMHDLMREFCMSKAEEENFFQVLQLFGQRKRLSITSSSPMVTGTVRRLSVHLNNFPGDMAVECEEYPPFSFKLLRVLDLEKVMGFSIPDAIGKLIHLRLLNLRSAWVGTLPPSIGNLRCLLTLRLDPLDSARTQPDVFWKLEKLRHLYLPREPGRKTTRLQFADLSDLRTLVNFPASVADVEDLIKLTNLRKLKIVISDEVSLQRLKGIFASPTIRFSYLRDFSINTRYLPPSALESQDVSNIVSRCPHLLRLKRNGTVIYRRHWE >OMO49883 pep supercontig:CCACVL1_1.0:contig16368:19911:21164:-1 gene:CCACVL1_30773 transcript:OMO49883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDENLFPLHQYYELRPHEPITAEAGEWGNAEP >OMO78048 pep supercontig:CCACVL1_1.0:contig10645:35809:37735:1 gene:CCACVL1_14685 transcript:OMO78048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDPSIYKDKSSWLFKSASSTELKVHVGTFPFTLDKELLASKSAKAAALLKDNPDEGLSCLLHDIPADMEIFQLVARFCHGYEVHMSTDNIIPLICLAFYLGMDENHSTNNLLSKAVTFFEHKVLPSWNQIIKALRVSEKFLQQIMQLGLFEACLQAMIIKALENPKLLGEPIESLGNFGDTEDSDDTYRPNAKRRLFALDWQEDITTFPLQLYEPIIYAMNQHQIPPEYISASIYRYAKKWIFSCNFTGETMSIYKRKSRRNAIETLERLLPQGRELVPCLSLFEMLHSAIDLEACSACRNGFEIRIGKQLDRAKVKDLLILSQGYDAREVQYDVECLRRILKIFYGNYSSSNISGFITVAELLEDFLAEIAGDIHLMKETFISLAEMSSAAALGVQRSSDGIYRAIDIYLDKHTYLTDKEKEEICRVLDFRKMSPEACEHAAKNERLPVRVVVRVLFMAQLQLRDIVFTKELPPPPQQVSDDKLLEKEEEESTVSCREGDEVRIEMEKMSIKVKELEKECNLMKKEITSGQSRPRVSMWTEMKRKFGCMTTMNDFHCQVKKKKKVHPKSGI >OMO78046 pep supercontig:CCACVL1_1.0:contig10645:13949:24290:-1 gene:CCACVL1_14683 transcript:OMO78046 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron-binding protein, aquarius MTKVSGTGVYDFKRHRVAEYPVEFTDKPEAKPGAGQSLPSSITLSEIQRDRLTRIAEANWIKSGDSKPKNPFDPQLVKEIYETELTVKPEGKSQRKTVPLQRVMVLEVSQYLENYLWPNFKAETASYEHVMSMILMVNEKFRENVAAWSCFHDRKDVFRGFLERVLRLKEGRDLTIAEKTNYLVFMINAFQSLEDEIVRETVLTLASLRSWHSLSYGRFQMELCLNPDLIKKWKRMIKKESDDAHKQGVHLDPLSSVEVNFLRNLIEEFLEVLDHKVFYQMHSVNEDDELDAASTFKQVDDASVLYCERFMEFLIDLLSQLPTRRFLRPLVADVAVVAKCHLSALYRHDKGKLFAQLVDLLQFYENFEINDHDGTQLKDDEVLKSHYDRVQSFQLLAFKKIPKLQELALANIGSIHKRADLSKKLSVLSPEELKDLVCCKLKLVSKEDPWSDKVDFLIEVMVSFFEKQQSQKEAINALPLYPNEQIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAIPHLLAYINNEGETAFRGWSRMAVPIKEFKITEVKQPNIGEVKPASVTAEITYSISSYKSRIRSEWDALKEHDVLFLLSISPSFKPLSAEEDAKASVPEKLGLQYVRGCEVIEIRDEEGTLMNDFSGRTKREDWKPPKGELRIVTVALDTAQYHMDVTDIAEKGAEDVYGTFNVLMRRKPKENNFKAILESIRDLMNEYCIVPDWLHQIFLGYGNPSAAQWTNMPDLLETVDFKDTFLNADHLKESFPHYQVCFVDSDGRENLDPRPPFRIKLPRSLKSDSRALSGNGGTDTGSANDANMVDSCVEKENLIVEAYIPPDPGPYPQDQPKQNSVRFTPTQIGAIISGIQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMERDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFLAACTGNEDKPSFVQDRFPFKDFFTNAPQAIFSGESFEKDMRAAKGCFRHLKTMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYVELNAQGRARPSIAQLYNWRYRDLGDLPYVKEEAIFHKANAGFSYDYQLVDVPDYHGRGETAPSPWFYQNEGEAEYVVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCLPYDFIGPPSKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLVVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDRLALNLNERTSYTERSVEDIGHPYLVSGVEEMANIVFGKINDLHLQQERAMYQYMAHSVQVLGTSEEQSTDGEHHLTNEAMDIDTSLAQNGASNNKAQEQNGEAKDADGLENGQDVVLPTEDQSNTDMDT >OMO78047 pep supercontig:CCACVL1_1.0:contig10645:24600:26612:1 gene:CCACVL1_14684 transcript:OMO78047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTSYTTLPAALGVCVAGRQSETEKDVKVERDVREEMSVLFLLKYQFL >OMO76835 pep supercontig:CCACVL1_1.0:contig10864:67434:75050:-1 gene:CCACVL1_15399 transcript:OMO76835 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MEASLQVQRPLNCKAVFNQTNGCFKIKPLVGSFPFARTTLFTPWRSQCPASRLSHRVTASAADFSKRRQRRSTPGSKGTAPKGFTPKTQVGTSTQKREQKSNGEKEGSSITTLRESAVPNKIEIESSVAPEEQSTVELYQKNKLNEAELEEPEEIIPSVGNELSAGKSNANVENGSVGKSLEDVARLQKKEPTLESDIVSSEGKHLDGKRTDDTVTVQNKSVESDEKAIEDALKVKREMEQKAIEDALKAKLEMEANLRKQEIEGLAEENFSRGYKIFVYPQIIKPDEDIEIFFNRSLSTLNNEPDILIMGAFNDWRWRSFTKRLNKTHLKGDWWSCQIHIPKEAFKMDFVFFNGQNVYDNNDKNDFCIPVEGGMDVFAFEDFLLEEKRRELETLAKERAEKEKQEEEQRRREAEKAASEADRAQAKVETERRREVLQQLTKKAARSADNIWFIEPNEFKGMDKVKLYYNKSSGPLAHANELWIHGGHNNWSDGLTIVEKLSRSEREDGDWWYAEVVIPDRAHVLDWVFADGPPSGAKIYDNNNRQDFHGIVPKSIPDDLYWVEEEHRIFRKLQDERKLQEEATRAKAEKTARIKAEMKERTLKRFLLSQKHIVYTEPLDVQAGTTVTVFYNPANTVLNGKPEIWFRCSFNRWTHRMGPLPPQRMLPADNGSHVTATVKVPLDAYMMDFVFSEREDGGIFDNKDGMDYHLPVFGGIAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLNHNVDIILPKYDCLNFSHVKDLHYQRSYSWGGTEIKVWFGKVEGLSVYFLEPQNGFFSTGCVYGRSNDAERFGFFCHAALEFLQQGGFHPDIIHCHDWSSAPVAWLFKDHYMHYGLSKTRIVFTIHNLEFGAHFIGKAMAYTDKATTVSHTYSKEVAGNPAIAPHLYKFHGIINGIDLDIWDPYNDKFIPIPYTSENVIEGKKAAKEALQQRLGLKRSDLPLVGIITRLTHQKGIHLIKHAIWRTLERNGQVVLLGSAPDPRIQNDFVNLANQLHNSHADRARLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGLYDTVFDVDHDKERAEAQGLEPNGFNFDAPDGAGVDYALNRAISAWYDGREWFYSLCKRVMEQDWSWNRPALDYLELYHAAKKD >OMO76825 pep supercontig:CCACVL1_1.0:contig10864:17736:19904:-1 gene:CCACVL1_15387 transcript:OMO76825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPQSSFYGRKRKRSILPELRHPDELIRYKDPASRERFMWRNIIRPDENNLRRWEEMYHEILVNIMKRISLPDWGRSIGALKACKSWLMAALDVFFPSHVIDLRPHADAIAVESNCRIIMVHVTLANDFRPLKLTELLFPKKCLPDMAYPFFARRLPSLRRFELPAGADFKRANVCSMVRFSCWRNLEEVRLPVSSLHDLATHYKRIHTLRLFGAIGNSMASVIAKNFPLLKHLEIPYCFVSDDALSIMLDCHKSLITLDARHCCCVDEKYIPKLSGLKTCDFETAMHPLFLMGNKWKEDEILQKAAGIKKLLRCGGINHCSQCLHLSNSKGCRYQDAFQKAAGK >OMO76830 pep supercontig:CCACVL1_1.0:contig10864:43763:46350:1 gene:CCACVL1_15392 transcript:OMO76830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSSSATMTAPFPAIKPEDYKHSPVHYAVVLGDHATLTRLVSTLPKLADPAQIHSECDSLSQERVADQISAVLDRRDVPFRETPLHLAVRLNDAVAARTLAAAGSDVSLQNAAGWNPLQEALCRRSSDIALVLLKLHHRSAWCKWRRRLPRVIAVLRRMRDFYMEISFHFESSVIPFVGKIAPSDTYKIWKRDGNLRADTSLAGFDGLKIQRADQSFLFLGDGDHVHNIPCGSLLVLNRDDHKIFDAFENAGGPMSESDIAGFCSQTSVYRPGMDVTRAELVGRTNWRRQEKTESVGEWKAKVYEVHNVVFSFRSRKVANSENDVAGSEQVLPLELDEDDDGFLVCENPNFGMPSSDRRRHSSFVREDREWISVGRKSVDVFPSKAPAAAAVPPRRSTTFSTAATVAPPPQTKEKEYIRSLRPSVWLTEQFPLKTEELLPLLDILANKVKAVRRMRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVELQSTEQFYTPLSSPRHFSNGGKSGQTEEDQKADTRNSSSSTTTSSWSSTAWLRRSNSQSVSTSKQQQHQRQSSSSSMVQQADPFAIPSGYTWTSVDDKSSKLKKSKSTRRSK >OMO76834 pep supercontig:CCACVL1_1.0:contig10864:64734:66754:-1 gene:CCACVL1_15398 transcript:OMO76834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFYTMMMMIRNVRYYLEGS >OMO76822 pep supercontig:CCACVL1_1.0:contig10864:1479:2728:-1 gene:CCACVL1_15384 transcript:OMO76822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFGNINVAICEEQATCEECLRGNTRFHLIGRHEAGG >OMO76831 pep supercontig:CCACVL1_1.0:contig10864:49107:49343:1 gene:CCACVL1_15393 transcript:OMO76831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAPSWADQWGTGGIGAMDDEDAMAKKENAGKKSEKKGGFNKAKTAALMGAKKVTLGVSKGITWVKKKCQKKSPSN >OMO76828 pep supercontig:CCACVL1_1.0:contig10864:29077:32169:-1 gene:CCACVL1_15390 transcript:OMO76828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate dehydratase MALKCSGIWVSSQKPHSQFGAIDLGSGRGRIVMDLKPPTWECHALGASLQRAITPVEDEKPLTPEVETSQTEKIGQAQDTESRGFHKDLSLLPKPLSSAALSPSPGDGTNERIAYQGIAGAYSEAAALKAYPNCETVPCDQFEATFKAVELWLVDKAVLPIENSIGGSIHRNYDLLLRHRLHIVREVQLTVNHCLLALPGVKKEEVKRVLSHPQALDQCEMTLSKMGIIRVSFDDSAGAAKIVASSGSRDTGAIASARAAEIYGLDILADNVQDEDNNITRFLVLAREPVIPKTDRPYKTSIVFTLEEGPGVLFKALAAFYLRSINLLKIESRPQKMRPLRVVDDSNKGTAMYFDYLFYLDFEASMAESRAQNALAHLQVSPLKVLGIHVFVHKCVCVCKLDGYYLAWVMNAV >OMO76832 pep supercontig:CCACVL1_1.0:contig10864:49883:51189:-1 gene:CCACVL1_15394 transcript:OMO76832 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein Atg8 ubiquitin-like protein MAKSSFKLEHPLERRQAEASRIREKYPDRIPVIVERAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFVFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGSPLLLA >OMO76826 pep supercontig:CCACVL1_1.0:contig10864:20566:22430:-1 gene:CCACVL1_15388 transcript:OMO76826 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoadenosine phosphosulfate reductase MAFAVTSSSSTAISGSGFSRTSAPSDLKVPQIGSFRLVDRHATVNLSQKRCAVKPVNAEPKRNDSMVPLAATIAAPEVSEKVEVEDFEQLAKGLDNASPLEIMDKALEKFGNDIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYRFFDEVEKHYGIRIEYMFPDAVEVQALVRSKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRAEVPVVQVDPVFEGLDGGVGSLVKWNPVANVDGKDIWNFLRAMNVPVNSLHSQGYVSIGCEPCTRPVLPGQHEREGRWWWEDARAKECGLHKGNLKEESSAQLNGNGNGATATQSDLFNSQNLVTLSRAGIENLAKLENRKEPWLVVLYAPWCQFCQGMEESYVQLADKLAGSGVKVAKFRADGDQKEYAKKELQLGSFPTILFFPKHSSKPVKYASEKRDVDSLMAFINALR >OMO76824 pep supercontig:CCACVL1_1.0:contig10864:14841:14992:1 gene:CCACVL1_15386 transcript:OMO76824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGILAGVSRGTLQQTRLYFSRFQ >OMO76823 pep supercontig:CCACVL1_1.0:contig10864:3777:14311:-1 gene:CCACVL1_15385 transcript:OMO76823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MADSKLTTFFISIIFFYFTVTSTAKSSNLVREIKQQSQQEDKEPFVGVNIGTDVSNLLSPADLVSFLQGQKISHIRLYDANPDILKALAKTKIRVIISVPNNQLLAIGSSNTTAASWIGRNVVAYYPQTLITAIAVGDEVLTTVPSSAPLLLPAIQSLYNALVAANLHTQIKVSTPNAASIILDTFPPSQAFFNQSWTSVMVPLLQFLSRTGSPLMMNLYPYYVFMENKGVVPLDNSLFKPLTPSKEMVDPNTLLHYTNVLDAMIDAAYVSMKNLNVTDVVVLVTESGWPSKGDSKEPYATVDNADTYNSNLIKHVLDRSGTPLHPEITSSVYIYELFNEDLRSPPVSEANWGLFYSNSTPVYLLHVSGSGTFLANDTTNQTYCIAMDGVDSKTLQAALDWACGPGRANCSEIQPGEDCYQPNNVKNHASYAFDSYYQKEGRAPGSCDFKGVAMITTTDPSHGSCVFPGSKKVSNKTRTVVNSTEIAEMPYQRVESLVNEACTFLNLYKNSTCEICGTRAPVSSVSSLKDLKDTSLDGKLDSSVGSVFLPLRPCSKRKIMEPEVPEVGIEDCVELGSFRGIKASNKAVAVKEDSSSGAVKTSLKILSYNVWFREDLELHMRMKAIGDLIQLHSPDIICFQEVIPAIYDIFRRSNWWKLYRCSVSDDMAESRGYFVMQLSKLPVKSFSCKPFKNSIMGRELSMTEVEVLGDKSLVVATSHLESPCPAPPKWDQMFSKERVEQAQEAINILQKHPNVIFGGDMNWDDKLDGQFPFPDGWIGAWRELRSAEDGFTYDTKSNQMLSGNRSLRKRLDRFVCSLRDFKVTGIDMIGMEAIPGLSYIKEKNVRKEKKLLELPVLPSDHYGLLLTISSLFPKDAKTQAAGGYGGIRIWSRPKIPVPYPLPAGDHTVLAGDWYKNPHYVLRRLLDSGVNLPFPDGLVINGRGWNGYKFNVDPGKTYRFRVSNVGIATSINFRIQGHALKLIEVEGSHTIQNIYTSFDIHLGQSCSFLVTADQAPKDYYVVVSSRFTRRVLTTTAVLHYTNSQQGVSGPVPGAPTVQIGPSLLQAKSIRRNLTASGPRPNPQGSYHYGLITPSRTIMLANSAPYINGKQRYAVNGVSYVQPDTPLKLADYFKIPGVFNLGSIPTSPPSGNNAYFQTSVMAANFREFVEIVFQNWEDTVQSWHMDGYSFFVVGMDGGQWTTASRKGYNLRDTVSRCTVQVYPKSWTAIYVALDNVGMWNIRSENWARQYLGQQFYLRVYSPANSWRDELPIPKNALLCGRARGRHTRPL >OMO76827 pep supercontig:CCACVL1_1.0:contig10864:26196:28120:1 gene:CCACVL1_15389 transcript:OMO76827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSASVPSTELLEWPKKDKRRFLHAVYRVDYGVTSYDIGTGFGHFAIATPDVYKMVEDIRAKGGNITREPGPVKGGSTVIAFVKDPDGYTFELIQRASTPEPLCQVMLRVGDLERSIKFYEKALGMKVVKRTDRPEYKYSIAMMGYAEEHETTVLELTYNYGVTEYTKGNAYAQVAISTDDVYKSGEVVEHVIQELGGKVTRKPGPIPGLNTKITSFLDPDGWKTVLVDNEDFLKELQ >OMO76829 pep supercontig:CCACVL1_1.0:contig10864:37641:38348:-1 gene:CCACVL1_15391 transcript:OMO76829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWALCHKIGPPKTEMSFTNRIRRAVVDFATKHLMKLHPSLSAIYIVMIPSSLLVIISAAAAMLIRREEVATFLNRWISDQIFQNGIIILMIFSSATDLVHTFWIFSSTFVLVLLAVMLLDKHIRRKIQAMNRILYLLTARGLYFAVQGQYFCCVALISLVSLWILVGRLRFQVYEDDVKITN >OMO76833 pep supercontig:CCACVL1_1.0:contig10864:57976:64292:-1 gene:CCACVL1_15397 transcript:OMO76833 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MADWHGVMSKNSGNLGRDEDFDEEEVWAPYVKEKEDLTLSPTPRKLPRESSSSTNSSSSSSAWRLPSKPRMIRRVGNSETKVVHQQSSAPVKIPDWSKINGKHANIMESSSSSSWVENNNGDSQDGYGEDDDDDDDIVPPHEWLARKLARSQISSFSVCEGMGRTLKGRDLSKVRNAVLTKTGFLEMWMLPDDKDIPTSRIGNEKSVNCDRKTKVLRNEANSTEGNPGSQNSIRTLDRAISDLEMKLVAARAERETIMKDPIISEDLKTVESTVRRKYFMVIGINTAFSSRKRRDSVRETWMPKAEKRKKLEEEQGIVIRFVIGHSSTAGGILDRAIEAEEKVHGDFLRLQHIEGYLELSAKTKIYFATAVSLWDADFYVKVDDDVHVNLATLGMTLAGHIKKPRVYVGCMKSGPVLARKGVKYHEPEYWKFGEVGNKYFRHATGQLYAISKDLATYISINQDVLHKYANEDVSLGSWFIGLDVEHVDDRRLCCGTPPDCEWKAQAGNICVASFDWRCSGICRSVERMMEVHERCGEDKNALWTTNFKQTSRSAI >OMP11576 pep supercontig:CCACVL1_1.0:contig01138:13269:14841:1 gene:CCACVL1_00431 transcript:OMP11576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLLWRICHGDMSEEIDFEAFLRGDVDFHPLAGIIVDIRLLMSRDWNCKLQHTYREGNFCADWLANAACELDDDLELLHAPSHEMKLLLAADERGVSFPRGFRNT >OMO89709 pep supercontig:CCACVL1_1.0:contig08642:36078:36536:-1 gene:CCACVL1_07677 transcript:OMO89709 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 81 MDGNQESTSEAVNAYYSAALMGLAYGDTHLVATGSTLAALEIQAAQTWWQVEGDDFYGEEFTRENRVVGVLWVNKRDSGLWFAPPEWRECKLGIQLLPILPISEVLSSDIGFTRELVNWTLPALGRDGVGEGWKGFVYALEAIYDEESALEY >OMO89711 pep supercontig:CCACVL1_1.0:contig08642:38528:38587:1 gene:CCACVL1_07679 transcript:OMO89711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIKMKEKTEKKTRRKNG >OMO89713 pep supercontig:CCACVL1_1.0:contig08642:85873:90248:1 gene:CCACVL1_07682 transcript:OMO89713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum oxidoreductin 1 MKAKLNQQKYPKPCKQSSLDHPLTLNQLGTKYPSPPPTVSAINRHRKTPKTQNTPTNSTLQKNCHALTPSHTYGQISSKFFNWPVGIGAGNIEGNAGQVKWNAPDDGCKKCRLWGKLQVLGLGTANFQFSVNGQEHLGQKDLWPNGITKITIVAVTASKVTTRLGIHYITSFHGRPPSTIIGKPTKNAQKFTAIPVKLRSCSKPSKTN >OMO89712 pep supercontig:CCACVL1_1.0:contig08642:46925:65236:-1 gene:CCACVL1_07681 transcript:OMO89712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPRSEQLPVLGDMSPMCQSLIHVIEEQLGATYCNDIVSADCAEFKKTPNFLELAKTTLKDPERVFLQDDKYNVTLYGDQQRLILVTTKPYFKVTIRQEYVADLSSGVATHKINLRLCSHEGSWRNKTCGLCGHVSYLPLNPPISQPFVILIYNVVETRISRVRAKDNMKSFGYCHAHQLDPFGYLGGEWLLWNCNDVDLDVTMKKMAMLDFETLESVLDTILNPSINSSLKKLGIPPTLVWDNLVAIEKTLDLGSYINDLVLSEAFFVLGTLPRETRVEKLVEDEFKEAKACAIREAEVPTPAHWVVDTLKRVIFPRKLPFVKLFAVPSDVRETSIVARSYTEIDSLQRVVSVVIMPLCHRNANIIWEGVNGGETDPQLAGSWWGPEKNSELSDRFIPSAINPNYVEIKEEEGSAYQKILAEALGMNRTRILAFKKKPPKPMEFYPPFYPPEDPSASVHPTRRRRIPRGPDRALDVPGFVDNFYLKLLDWGCNNVVLITVGDGVHLWDASDEISDRFIPMRSAMNFDFAHYMVTGGKSIKEKEPSASAYQKILAEALGMNRTQILAFKKKPPKPMEFYPPEDPSASVHPARRRRIPRGPDRALDVSGFVDDFYLNLLDWSCNNVVLTAVGDGVHLWDASDGSNSELVTVDAEIGPVTSVSRAPDGRHVAVGLNNSEVELWDSVSHQRLRTLKGCHSGRVGSLAWNNNDILTTGGMDGQILNNDVRIQSPVVGAYRGHRSEVCGLKWSTSGQQLASGGEDNLVHIWDRSMASSNSPRQWLHMLEDHTCAVKAISWCPFQRNLLATGGGEGDRSIKFWNSHTGACLNSVETGSQISGLLWSKNERELLSSHGFPRNQLTLWKYPSLVKMAELTDHTSRVLHMA >OMO89710 pep supercontig:CCACVL1_1.0:contig08642:37865:38158:-1 gene:CCACVL1_07678 transcript:OMO89710 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 81 MSPPKPQKPSRNNNPFLFPKAQSSVLPDPARFFSPNLLSSPLPTNSFFQNFTLKNGDQPEYIHPYLLKSADSSLSICYPSQFRNSAFLYQRFNHLCN >OMO54539 pep supercontig:CCACVL1_1.0:contig14963:3673:4977:1 gene:CCACVL1_27750 transcript:OMO54539 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MVKPSSKEIHLLKLFKLSLIDQLSPTNYVPLIFFYTKPSDSQFSDKLKNSLSETLNQFYPLSGRTKDNLYISCYDEGVPFVEAKVNGNLSDYIEQTELEALNQLLPYRPFCFLPNSTVPPLAIQLTTFDCGGISLALCCSHKIIDASTISVFLKSWAAFCKGSNGELPNPDLLQAASRYFPPIESMPTNSNMKSLLFNEGRRKTRNFVFDAKAIATLMFKSRSKSLKNPSRVVAVSAFVWKHAMIASRSASGIQKPSILSQSVNIRQKVKPQLPDYSIGNLFLLPATTYNSVEKEIKLHELAYLVRETVENVSTDIQGLLQGEEGFKVMSEQLSQMAEMVSKGKVQFYTLISWLNTLDGDEDFGWGKATLFSIPGVDIQNREFSNCIILKKKTRQHKAIEAWVTLFEKEMGFLEKDPEFLAFASPNPNYDKIKI >OMP01050 pep supercontig:CCACVL1_1.0:contig06546:11211:11279:1 gene:CCACVL1_03184 transcript:OMP01050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKMARQWHSKTLLPRACSSQ >OMO97228 pep supercontig:CCACVL1_1.0:contig07258:14749:15024:1 gene:CCACVL1_04622 transcript:OMO97228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPRSPPPEIIFAVPKIFSTVDGSETSTVTSYRSLDSDSDLSFVVGCLGSRENASDSRGKTSSDLSTPPLDRTQTQLCYSSPFSLHFHRWIF >OMO97227 pep supercontig:CCACVL1_1.0:contig07258:2465:2563:-1 gene:CCACVL1_04621 transcript:OMO97227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEHGITEVVVKGIKDYISLLNTVSTSKVWLS >OMO81696 pep supercontig:CCACVL1_1.0:contig10123:20677:23115:-1 gene:CCACVL1_12289 transcript:OMO81696 gene_biotype:protein_coding transcript_biotype:protein_coding description:CCAAT-binding transcription factor, subunit B MQSKSEGRSRLEPDPHGVPANAVYSEPWWRNIGYGGISAGVRGGNASNSSSMECPNGSESNDGQSLSNGGLNEDDDGNKETQTTATSRSAGNGGQEHHNMQHVPSAIPAMRDECLTQPPQLELVGHSIACASNPYQDPFYGGMMAAYGHQPLGYPPFVGMPHARMALPIEVAQEPVYVNAKQYQGILRRRQARAKAELEKKVVKSRKPYLHESRHQHAMRRARGTGGRFAKKTDGDISKHMAEEKGAGSGHTLSSHSPSSSGSEPVPADSAETWNSSLSRQEARVSQVHDKRHVNGSSHYQNRGGSISANPSHRPLAIQ >OMO81694 pep supercontig:CCACVL1_1.0:contig10123:16039:16330:-1 gene:CCACVL1_12287 transcript:OMO81694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLHFCLLQTKLPSSIFPPLLSHLIEHSGNSNYRKNNAKANRRKGLSSSSWVVHAVEKDSEQFEVDPEKAKEALQKLDQQLQTLSKKQVNSPKIR >OMO81695 pep supercontig:CCACVL1_1.0:contig10123:16895:19963:1 gene:CCACVL1_12288 transcript:OMO81695 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocation protein Sec62 MKKSGGAEKKKARRSSAAVQNGSRDPNSDTPPRKQAAKKDVFQLFAEKVRDHKDLVSRWAVLQETRVEYFRGKDFVSFMKNHPELKEILESNKNLETEDIANSLLQKNLLVRCDRVVKTVRPGKKKLSTWPAHLEIFPEQVFSENDAFFAWTFVKRRPLWQTLLSFFWPVLTLAICLFPVYPHRCKLLILYSCAGVLLLILSLLFLRAAIFGAIWIILGKRVWFFPNILAEEATLRELFRFWPKKDEEERPKWTARLFYAIVAVLVILLLRHHAPDEAARARYQKRMSNIIDDVLEWSPSLALSGMMEKQTVVNATENSTNFSDEGSKASAETVTPAEETLSFEETDQNDETLSFEETDQHQNDTV >OMO50781 pep supercontig:CCACVL1_1.0:contig16054:34159:39267:1 gene:CCACVL1_30255 transcript:OMO50781 gene_biotype:protein_coding transcript_biotype:protein_coding description:non-specific lipid-transfer protein 3-like protein MAQSPADKQAACNYAKDAAARVPNIKEDAATSLPAKCKLISPSPRTPIAKTLLQADITATSKRAKSLFLKIKPACDAADQSATASESESTTSLHNP >OMO50782 pep supercontig:CCACVL1_1.0:contig16054:39663:39752:1 gene:CCACVL1_30256 transcript:OMO50782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKGPNESGTNSNTGEDEPSSSSTSKDA >OMO50784 pep supercontig:CCACVL1_1.0:contig16054:43321:43959:1 gene:CCACVL1_30258 transcript:OMO50784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MWTDLLLQAAFILVTIFMFLAMHDIPKKIFNKIRYRNRADFQAKRHFVLGAQLLAQARSSKSRSSATSLAKQAESEAEKAISLDPKDAAAYILKALALDLQGFKTSALDSLDIALSPLASKSLTDVERADALFKRAELKMAMNRRGSRVDSAMDDLTKAVELRADNVKAFCLLGECYEMKKMKRDAKAAFEEALKLQPNSDVARAALDRLSS >OMO50785 pep supercontig:CCACVL1_1.0:contig16054:51984:52746:1 gene:CCACVL1_30259 transcript:OMO50785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSNNMNRAIILGALFGLLFGVLARSCVQVEEEINGGSYFEQQFGL >OMO50783 pep supercontig:CCACVL1_1.0:contig16054:41027:41107:-1 gene:CCACVL1_30257 transcript:OMO50783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRDVDGGRRLFGDFSRGGYGGDHK >OMO50780 pep supercontig:CCACVL1_1.0:contig16054:5451:33464:1 gene:CCACVL1_30254 transcript:OMO50780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-tRNA ligase, class II MASKPNMKNGTWIDYATTAANPTELAAMVARPVSTVWFLIDHDKMKIKYGNGVTSRLQDCIIPEVIRMQSCSRYVTPLPFSLSSFISTLQRPPLHHPPSRPPAIAPPSFFDLFHRLNLKVLHRLFDYGLSRAMLNSTCYDLFPRFSVMEAASKCAFKIGGSSTPFKELKSVACGLHAICAATASPVIPANQVETPIMNMTRGGAFARPFVVTHQSDKTQLYMHYNDLVECTEKMLSGMVKDLTDCYKIKYHANGLDNDPIEIDFTPPFRPKYGLSINRSTLFKSSK >OMO57041 pep supercontig:CCACVL1_1.0:contig14451:6411:7218:-1 gene:CCACVL1_26055 transcript:OMO57041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MVLTNLSQLHPPATNDAIKLRIARAWDITVPGSERIVGLAFVATDNQGNAIHVQTNESDAEIFRDLVFEGALYLIAAFRLTRANVSHVAVQSDLIMWLTRRSVLRPIPDDYHLTQDTILSFMLKKISPKWQTTMVFWQKFLLNTRPKKGFRGKGCLRIASMFEAQLTITLWDRSLDMINVPQLLSMNPKPVMNFCWDAG >OMO57040 pep supercontig:CCACVL1_1.0:contig14451:1866:5254:-1 gene:CCACVL1_26054 transcript:OMO57040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSRKSYRVSWAPDVNLCQVKLFHRDDCPPKVGGECQDTRQGKTSGSLRPILKRANNLPRGFEGVHNVNGLKYGLAAMPRIPWTCPPKFVLNFNWRVAAAEESKEVKSQKLREMRVLEAVYPLHSAIPPSPSVSPDLDVKPYDDSQTPLVPLTPIEDGETAEFAAQEQTRSNSQTPALMMPTSLSGSGTHHLPPCPTSTAKSPVLDILPNLSSDVNVIASAVLSSISKSNKQGSLIDTDLLIKILSDPNMVKTLINDREHLTTAANGFSMPVFTPELKTGLPCTKSPAVSSQMPSDRNSNHFSKEFQPTTVPVSRADNVSVSNEVSMPVYTSELKTAINPLPAVSSQMPADRNSNNFSKEFQPKIIPASRADNVPISMYKSVESSVPLSGMDKNMIPGESTGNGNASFILNKVQPTVTKMPIQTNFVQEVQAPINFTPVQLNAGQSVAATKANPVKDINYFKNLIREHGREKPEAKGHNISQTGTHVNHTENLKLLQNLNPMAMNAKFRKPCMYFNSPRGCRNGSNCAFLHEKSFQFQTGTMLEAPNAKRMRLSCEITGRT >OMP01469 pep supercontig:CCACVL1_1.0:contig06444:5684:13564:-1 gene:CCACVL1_03091 transcript:OMP01469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argonaute/Dicer protein, PAZ MVRKKKTDVPSTGGESSQTHDSGVGGGRGAPQRPTQQQGGGGGYQGGRGWGQPSQQAGRGGYGGGGRGRGIPQQPYAGGPPEYQGRGRGGPPQQGGRGGYGSGRSGGGRGGPFPGGPSRTPVPELHQATQPIQAAVTPQPAPSEAGSSSRPHEHTPLAEQVQQLSIQQEPSQAIQPVPPPSSKSMRFPLRPGKGSTGTKCIVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMEQLVKLYRESHLGKRLPAYDGRKSLYTAGPLPFVSKEFKITLIDEGDGSGMQRREREFRVVIKLAARADLHHLGLFLQGRQADAPQEALQVLDIVLRELPTTRYCPVGRSFYSPDLGRRQTLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKSVVEYFYETYGFIIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQEREYDIMKTVQHNAYHDDPFAKEFGIKISEKLASVEARILPAPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGTVNNWICINFSRQVQESVARGFCYELAQMCYISGMAFTPEPVLPPISARPEQVEKVLKTRYHDAMTKLQPLNKELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFRMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHHDRNAVDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADALQSLTNNLCYAYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSMTSGTAAGRGGMGGAAGARSTRGPGASAAVRPLPALKENVKRVMFYC >OMP11590 pep supercontig:CCACVL1_1.0:contig01129:12773:12961:1 gene:CCACVL1_00419 transcript:OMP11590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEDFKDFKDFKKFHQWSEP >OMO98914 pep supercontig:CCACVL1_1.0:contig06984:12325:12531:1 gene:CCACVL1_04000 transcript:OMO98914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPMRIKGEWLQHRRNILARRTGIAILVTKVWRAKSSSQAVAKSLFSGCKFATTNVVAHVVAKFATI >OMP11236 pep supercontig:CCACVL1_1.0:contig01457:133:5241:1 gene:CCACVL1_00611 transcript:OMP11236 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase ADGHRVRLATHSNFKDFVLNAGLEFFPLGGDPKVLAGYMVKNKGFLPSVPSEIPVQRQQIKEIIFSLLPACVADDPDSNVKFDVDAIIANPPAYGHTHVAEALKVPLHIFFTMPWTPTSEFPHPLSRVKQQVGYRLSYHIVDALIWLGIRDMINEFRKKKLKLRPVTYLRGNYSTPPDVPYGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASSYEPPESLVKWLEGGQKPIYIGFGSLPVQEPERMTQIIVQALEKTGQRGIINKGWGGLGNLAEPKDFVYLLDNCPHDWLFLECKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHARGVGPAPIPVEEFSLEKLVAAINFMLDPE >OMO51292 pep supercontig:CCACVL1_1.0:contig15889:610:702:1 gene:CCACVL1_29877 transcript:OMO51292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPEEVDEEEERESSGRGGGKNWLGCHDRV >OMO51293 pep supercontig:CCACVL1_1.0:contig15889:9697:11383:-1 gene:CCACVL1_29878 transcript:OMO51293 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin MAFRLSNNLVGILNFVTFLLSIPILGAGIWLSREGVTECERFLDKPVIVIGVFLMLVSLAGLIGACCRVTWLLWVYLVVMFLLIVLGIVFTIFAFAVTNKGAGEVLSNRGYKEYRLGDYSNWLQKRVTDGKNWNKIKSCLADSKVCTDYSQKYLNLSPEEFYKEHLSAVQSGCCKPSNDCGFTYAGPTNWTQGSAVSTNPDCNLWSNDLNTLCFNCNSCKAGFIDNLKSAWKKVAVVNVVFLVFLIIVYSVGCCAFRNNRRDNHYQQGWKA >OMO60232 pep supercontig:CCACVL1_1.0:contig13753:20141:22081:1 gene:CCACVL1_24313 transcript:OMO60232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPTNIHLQKQLEFDPRSSKTASHLCFAVSSLSPSTTPQIPVSDQHPKDGRRSANYQPTTWSYDFLQSLKNEHNDTIYRDRAAKLEQELRFALADEDAESVNLLELIDDIQRLGLAHRFEMDISKALEKIAILKDYGVAAAENSLHAAALRFRLVRQHGYDVSQDVFNGFKDQDGYFRENLCKDVKGMLSLYEASHLAFEGEDLLDEALSFTRMHLKNLHGNQDKDLSEQVSHALELPQHRRALKMEARWYIEAYSKKASANLQLLELAKLDFNMVQSKLQEDLQDMSSCNFTDKISLPTLIRWWKDMGLVSKLEFARDRLTECFIWALGMVPGPQFSKSRRGLTKVASLITTIDDVYDVYGTLEELELFTDAVKRWDVRAVKNLPDYMKLCYLALYNSVNEMAYDSLRDDGENILPYLSKAVWICKL >OMO72903 pep supercontig:CCACVL1_1.0:contig11338:18684:27725:-1 gene:CCACVL1_17533 transcript:OMO72903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSNGGNVEEAESDHLQLLSTVIPRQERERMCLIHHYHHAFRGFSAMLTQNEASELAGRDGIVSVFPDSILQLHTTRSWDFIEGTSYSNPNFKLTHHHHKSTYDVIIGMIDGGIWPESPSFRDEGMGEIPARWKGVCMEGPDFKKSNCNRKLIGARYYNIVQHTSKSKNSKEMNVVKSPRDSLGHGTHTASIAGGAQVSNASYNGLAQGTARGGYPYARIAMYKACSEDGCPSSTTLKAIDDAIKDGVDIISISIGMSSVLQSDYLKDPIAIGAFHAQEMGVMVACSGGNEGPDPFTVINAAPWIFTVAASNIDRDFQSTLLLGNGRTFQGSAINFSNLTRLETYPLAYGKSIASKFSPISEARSCYPGSLDPEKVKGKIIVCFDGFPFVSREIKKLVAEDAQAKGMILINQNGKGAPYDSGPFPFTEVETAIGYKILKYINSNKNPTATILPTTDIPRYRPAPVVAYFSSRGPGVLSENILKPDIMAPGVAILGAVIPKLEQGNAMLGEKPFHYAIKSGTSMACPHVSGASAFIKSVRPQWTSSMIRSSLMTTATVYDNVGQPLTNSSGSFAIPHEMGVGEISPLKALDPGLVFETTTQDYLNFLCYNGSPDKNIRSMSKKNFKCPKKSSAHLISNINYPSISISRLSKTSGFRIIKRRVTNVGPQNVTYTATVDAPLGLKVKVFPTKITFVENERRVPFKVLFDGRDASTGYNFGALTWSGGLYSVRMIFAVNVE >OMO72905 pep supercontig:CCACVL1_1.0:contig11338:41331:41498:1 gene:CCACVL1_17535 transcript:OMO72905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHVNTQEANRQNKVCKYYKRSTVASLTSETKSEREGVRRIYSIPVVGLPLSPT >OMO72904 pep supercontig:CCACVL1_1.0:contig11338:32447:34562:-1 gene:CCACVL1_17534 transcript:OMO72904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDSSAPTAKTLKTNKEQNTADTGQTLTNLPDSILQLILSFLPTKQAVRTSILSKRWRYLWMSVHNLVFDEYEPPVHSEGDESDEYDPPAHSEADESDEYEPPDHSEGDGKRLLFMNFVQRVLLLRDSSDMKKFTLSCDVLSDASRVSTWVSAAVRHNVEDLILHFDNIEVEHSFVLPHGLFSCQTLRKLELHMIYDLKLPSVISLSKLTVLYLKDVIFPDDHLTQKLFSCCPLLENLTLENCDWQNVTAVSISGPKLERVMIVDRTDPIVEAESDDDESNDQDGEVVDPHGGDMTGCQVVIFGTNLHNYNYVGNLRTDFRLYNSSPLVYASLYMRSTRIEASFRAHKLLGELSGVKHIIMQPATFKVLKCAEELLPHLPTFYNLNTLLIMDGQIDFACKGLLSMLQNSPQLNHLDIRSVISLSTHDEEQDDWKLEPVPPCLISHLKTIDMWTFLGSEDEMHVVKVLLRTATALEKMCFSFLSLIKNQAELYEQIKKFPRASLNCEISLL >OMO72902 pep supercontig:CCACVL1_1.0:contig11338:299:5866:-1 gene:CCACVL1_17532 transcript:OMO72902 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase, type IIA, subunit B MSSNLTTEAFQESKSSKAYNSEQIQVLEGLDPVRKRPGMYIGSTGTRGLHHLVYEILDNAVDEAQAGFATQIDVVLLSDGSVSITDNGRGIPTDLHPVTKKSALETVLTVLHAGGKFGGSSSGYSVSGGLHGVGLSVVNALSEALEVTVWRDGMEYRQKYSRGKPLTTLTSHVLSTESKDHQGTRIQFWPDKKIFTTAIEFDYNTLAGRIRELAFLNPKLTIMLKKEDNDPEKSVYNEYFFAGGLVEYVKWLNIDKKPLHDVLGFSRQTDGMTIDVALQWCSDAYSDTMLGYANSIRTIDGGTHIEGVKASLTRTLNNLGKKSKIIKEKDISLSGEHVREGMTCIISVKVPNPEFEGQTKTRLGNPEVRKVVDQSVQEFLTEYLELHPDVLDSILSKSLNAFKAALAAKRARELVRQKSVLKSSSLPGKLADCSSTNPEES >OMO88531 pep supercontig:CCACVL1_1.0:contig08995:2819:2983:1 gene:CCACVL1_08316 transcript:OMO88531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLETREIEKKTRQKPYIRNTRMPGVMNIKFDSVKRKAKGKSEVVSERETTRLDG >OMO73176 pep supercontig:CCACVL1_1.0:contig11290:26081:27928:1 gene:CCACVL1_17407 transcript:OMO73176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MANMAGMSVFSEGVTLPKTVQEMSINGDEPPPEFYVKDSSFGCLDSSDLSSSVNSVPVIDVSQLLSSNDELEKLKLALNSSGCFQAIGHGISSSFIDKVREVAKTFFGLPREEKQKYSRDVNEVEGYGHDLVVSEKQVLDWNTRLFLRVFPQHQRRLNLWPENPHDFREVLHEYSSKLKQMMDLLFKAMAKSLNIEENSFSDQFGDNPVMQVRFNFYPPCSKPDKVLGVKPHSDRSGVTVLLQDEEVEGLQIIKDGKWITVPVIPHALVVNLGDQMQIMSNGIFKSPVHRVVTNTDKLRISVAMFNEAEPDREIGPVESLIDENRPRLYRNVKNYASFNYECFQKGKVALEEVKV >OMO73189 pep supercontig:CCACVL1_1.0:contig11290:101315:101623:-1 gene:CCACVL1_17422 transcript:OMO73189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWIAIPKEPETLLLKFNSHEGVTTCLHMPEKISRPELLKLMPASWVTNYEKHMEIQKTVKSTDPRLIKNRDGSVTIKFDHDETEAPPPPAFSTQFMMQPCP >OMO73194 pep supercontig:CCACVL1_1.0:contig11290:141717:146755:1 gene:CCACVL1_17427 transcript:OMO73194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVTKNQSLLFLLLFTSFVFLNFVNNVNGGITSKYVRKEWPSVDIPLDNEVFKTPDGHNAPQQVHITQGDYDGKAVIISWVTPDEPAPSKVHYGTSAGHYKFTAEGKMTNYSFYEYKSGYIHHVLVDGLEYDTKYYYKIGSGDVAREFWFQTPPSVGPDVPYTFGIIGDLGQTYNSLSTLEHYMQSGAQAVLFLGDLSYADRYKYNDVGIRWDSWGRFVERSTAYQPWMWNVGNHEVEYMPYMNEVVPFKNYLYRYSTPYLASQSSSPLWYAVRRASAHIIVLSSYSPYVKYTPQYIWLKEELARVDREKTPWLIVLMHVPIYNSNEAHFMEGESMRAVFEEWFVQYKVDVIFAGHVHAYERSYRISNIRYNVSNGECYPVPDKSAPLYITVGDGGNQEGLAGSFRDPQPPYSAFREAAYGHATLEIKNRTHAFYHWNRNDDGNKVAADSFVLHNQYWSSKVNRRKLEKHHLRSVIGRIAEFEQVTSISGLVA >OMO73187 pep supercontig:CCACVL1_1.0:contig11290:98068:98610:-1 gene:CCACVL1_17420 transcript:OMO73187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQDIALAQGIQLSDDDDLESVFSLDDKQGLMK >OMO73178 pep supercontig:CCACVL1_1.0:contig11290:29695:30311:1 gene:CCACVL1_17409 transcript:OMO73178 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MARSLNLEENCFLNQYGDQATMQARFNYYPVCPRPEQVLGVKPHADGSAITMLLQDKEVEGLQVLKDDQWFRVPIIPQALLINVGDQVEIMSNGIFKSPVHRVLTNSERERITLAVFCIPHPDQEIGPVEELIDEERPRLYKKVKDYVSLYFQYYQKGRRPMEAALI >OMO73180 pep supercontig:CCACVL1_1.0:contig11290:38840:41252:-1 gene:CCACVL1_17411 transcript:OMO73180 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate dehydrogenase MNSSLSSLHSSKALQIRSCFSSNTSLITINSKPNIPISISSPTLKIAIIGFGNYGQHLAKTLVAQGHTVLAHSKSDHSRIARNIGVTFFSNPNDICERHPDVILLSTSITSTEQVLKSLPLQRLKRSTLFVDVLSVKEFAKNLLLDVLPPDFDIICSHPMFGPQSGKQGWENLFFAYERVRIGTEFSRIQRCNDFLAIFEREGCKMVEMSCQEHDKLAAGSQFVTHTVGRVLDMLGLQSTPINTKGYESLLNLVENTRGDSFELYYGLFMYNKSALEMLEKLDLAFDELRHELSGRLHDVVKKQLFDSKPYEFKGKISKTIDDSSKLKIAIVGFGNFGQFLAKTFVSQGHSVLAYSRTDYSDVAQKLGVSYFSDAEDLCEEHPDVILLCTSILSTEKVLKSLPLHRLKRSTLFVDVLSVKEFPRNLFLQNLPQDFDILCTHPMFGPESGKNGWKDLAFVFDKVRIGSDENRTARCNGFLDIFGREGCRMVEMSCAEHDWHAAGSQFITHTIGRVLEKLKLESTPINTKGYETLLKLVENTAGDSFDLYYGLFMYNVNAMEQLERLDLAFESLKKQLFGRLHGFFQRQLVGNFERKFQTFSNGNGAVLPNWDARLVSPKENFQLFWENSVVVSPGMKIEVLQDKSGGRNGAALASSSETVN >OMO73182 pep supercontig:CCACVL1_1.0:contig11290:67229:68417:1 gene:CCACVL1_17414 transcript:OMO73182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MPCSSGTELLSKEHSSNLDSGADVGDSSVKLLNSNSSVGHRHYNLGRSIFLKRSRHYYGHHYSRRNTGNHANPSTSRGKISPLNDERLSFKFAQYNPEAGQHGDYGREKAFNRPERIRSSSLVLDSVSIDKIKMVCGACQKHLRRKPYLLGNAMASGEASVVAVLVCGHVYHADCLETRTSDEDRRDPPCPLCSGSEAQG >OMO73183 pep supercontig:CCACVL1_1.0:contig11290:69071:72002:-1 gene:CCACVL1_17415 transcript:OMO73183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MVRGKLILICQSRGEFVTKDDGTMLYTGGEAHALDISPETVFDDLKHTLAETCNLEYKSLSIKYFLPGNRRTLITLANDKDLKRMYDFHGDAVTADIFLTGREGFDPVEFDMHANGRNGMASRAAPASPATTKGAATLKDVPVAIATPSGSAIALNAPIRTPTRAANSSKQMAGRSIADGLFEVSVADGTAHSPDTIDMSASPADTVKKRRRTASWRSGANGLTIVTVADNLEETGNTTSHKRHAKNQKAVPVADDLEQDTWLDDINYDLTPWDPNGTPSALIASWKNGITGEGQDFKNVVEFRDALQKYAIAHRFAYKMRKNDAKRVSVVCAAEGCPWRIHASWVPSDLVFRIKKVHETHTCGGESWKSSTPAKNWLVKLQEEFAKSRGLKVLFSSDTLFEVHDDSIHVVDVDKRQCSCGMWKPTGIPCRHGIAVFNCTNRSVYDYCSAYFTADSFRLAYSESIKPASTIVLPSNDDIGEPEDEQVLPPSLTRPPAMVQQKKPRRCKSQGIIRRSVCCTKCKGVGHNKATCKEITETPEIENGNALEIENGNALENENGNAPENENAFTSS >OMO73175 pep supercontig:CCACVL1_1.0:contig11290:24088:25335:1 gene:CCACVL1_17406 transcript:OMO73175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWLFPSMDWLSQVLQDWFPWLKQKKIPEIKPFPDLPDDLMLEIFTRLPATQVLNCQKVCRAWLRLTSTQDFAKQHLQRAIPIVFAHYTFPPNLASQFKLNFFLLDEDATGMQQVCPNFPMQRMSIMSHLVVVGSCNGLLVFTALPLDNYPNSQDPPINIYVCNPTTKEVTVMSKPLVDGYCCGIYFHSSTKEYRILYVTHGNEYLILTVGETGWRSVGTFACSPSKSFLPITFNKNLHWIVMLKEEEVDTASSCAKFIMVFNVETEEFNILPHPEAQRTLICSKIVHENMSLIEMDGGLSFWWSFRDGLLHGWVLIDYDRWDWSRTFMINIDSIAEQYPCEAQYPMMPPYDIKLVNIQKNQLLLVWSERGVFRYSLETGIIQKIKLPRMINKFNYKKELLSLTSYTESFISLKT >OMO73190 pep supercontig:CCACVL1_1.0:contig11290:113657:114718:-1 gene:CCACVL1_17423 transcript:OMO73190 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MRMENGPEGVSMVKNQDYGFWKSSTGDKAIVDGGKIIGYVNMLNFYAYNTINNNKSSNETKKKRKDVTKSSYIMYEYKLAAADRFQEWVVCKIKDTSRGEEDQSEAIWLDHLFGDLGGTQRPQEEHGNNQLVVEIQAQETMPLMTLNDEQGCEDEGGANWLDKLLDEGDTAEQESEPPQQTVQEEEEYYKLYSSRQTFQETPLAWTLNDDEHGFITKDQGGANWLDKLPSSDDGGAVTEPPPQMVQPEEEYYNLYSSRQPFLELQTKETPLSLSTLNNEHGYQTGFIAKDQGGPNWLLLSDNGGARAEQETEPPQQMVQQQDKLYSSRQPFLELQTQEETPLPWTLNPDEQHG >OMO73184 pep supercontig:CCACVL1_1.0:contig11290:74038:74508:-1 gene:CCACVL1_17416 transcript:OMO73184 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative membrane lipoprotein MMMKGALLVLVLAFSATLFKQGNAADDAFADSKWGFRGFRPGFSWRRHWPAFPPHPAHDVKPPEEVTKCAGAFKAAAVCLKPPIGEECCTALQTVQADCGGIKFLDPVLKAHCSTDAAQRMMHLHRLSMMHLHHQPKTEVITLDSKNVVLFIFVRD >OMO73195 pep supercontig:CCACVL1_1.0:contig11290:148050:154684:-1 gene:CCACVL1_17428 transcript:OMO73195 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MGFLTGLVFGVVVGLSMVVLFVRCENSRSKRRIDLAKTVAAFARMTVEDSRKILPAQFYPSWVVFSQRQKLNWLNQHLTKIWPYVNEAASDLIKTSVEPVLEQYRPVILASLKFSKFTLGTVAPQFTGVTILEDGADSVTMEMEMQWDANSSIILDIKTYLGVSLPVQVKDLGFTGVFRFIFKPLVNELPCFGAVCFSLRKKKKLDFTLKVIGGDISTIPGLSDAIESTIRDAIEDSIMWPVRKVIPILPGDYSDLELKPVGTLEVKLVQARDLTNKDIIGKSDPYAVLYIRPLPNRTKKSKTINNELNPIWNEHFEFIVEDATTQHLVVRIYDDEGVQASELIGCAQVMLKDLEPGKVKDVWLDLVKDLEIQRDTKNRGQVHLELLYCPFGMENSFTNPFSSNYSMTSLEKVLKSGANGTEAIEDEKAVTQKKKEVIIRGVLSVTVISAEDLPVVDLLGKADPYVVLTMKKSETRNKTRVVNENLNPVWNQTFDFVVEDGLHDMLILEVWDHDTFGKDYMGRCILTLTRVILEGEYKDTFQLEGAKSGKLNLYLKWVPQPIFRES >OMO73188 pep supercontig:CCACVL1_1.0:contig11290:99621:100826:-1 gene:CCACVL1_17421 transcript:OMO73188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNQNKNYDSEFPPLQEYTDEHQRYVPKVPRPTDRDAQGRQLVNSQPEAMFNWQSENAIAQNKVLQRIEKKVTRLTAHFDSNLSSIQKNISDIQTRINNLYNQINSSLLTYRVNTQKIAEKETKIRSLNTQLAELKQLKTSHDGFPTMNTYPVNLPLSKKTYPQLISNHSQHRLIQDPHSNLVNLNHFHSLSLTPLLTSKKPQPMFPMPPQGTTSTQINDSSERWIMKLREDRLQRMQKERKRKGQKPVHEQPPPPHSPKPQPGITINPTHTKQTYAAAASSSNPTPPTNQMMISPQEPSQNLISTFLQISARENLPMISLKPNFPENSFGSSEFSSDNDLTDNEFSFPDFMTIPHVYKPPDEPHEQKPDIHMEDTFTGGRHSYSNNFKSFTIDDIPPEQ >OMO73174 pep supercontig:CCACVL1_1.0:contig11290:11152:20789:-1 gene:CCACVL1_17405 transcript:OMO73174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MAKFTLRLDNNFCFLSRNTNYPSQSSIFLNNSSRKNLQLQLSPSEHRLNFKALCSIKEKENVKDEKERVGSGLVNGVRVEELDGKAGLGQESGSREVGFELDWPPWKNIPQRYKLIGTTSLAFVICNMDKVNLSVAIIPMSHQFGWNSSVAGLVQSSFFWGYALSQLPGGWLAKIFGGRKVLAIGVLTWSLATALVPVLAGFMPGLLLSRILVGIGEGVSPSAATDLIARHEAMIMYLSFIPTPVLWEEIALFNSLNITLEMQMNLHGFQENRESIPLAERSRAVSFVFGGLSVGSVTGLLLAPPLIQNFGWGSVFYIFGFLGLGWYLGFNFLGEEKAADAVQRVSLLRSAEHNKSSKEESVDQKKSSNNSLAELRASLKDVPWKAFFRSPAVWAMIYAHFCGSWGHFTCLSWLPTFFSEELNLNLTEAAWVSILPPLASVFVTSIAAQFADNLIANGVETTTVRKICQTIAFLSPAVCMILSSLDLGLQPWEIVGILSGGIALSSFALSGLYCTHQDMSPEYASILLGITNTVGAVPGIVGVALTGFLLDSTHSWSISLFAPSIFFYLTGTIFWLAFASSKPQSFSEID >OMO73186 pep supercontig:CCACVL1_1.0:contig11290:93852:97624:1 gene:CCACVL1_17419 transcript:OMO73186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C19, ubiquitin carboxyl-terminal hydrolase 2 MSSKINGQVSPQPCPHLLDFRSRNGAKPFRALQDCIRVKPPGGRASIRREPSEVPRCGTCQESSRPRLYACVSCAAVFCHAPPHHSHASAHALSIPGHEIAVDVDRAELFCCACRDQVYDRDFDAAVVLAQTITATATATTSTSGSTALQCNVAGSQPENLRKRRRVDYRPWTPDSREHVIIGKHSIPLNDATNASLVSQSELPWGLRGLNNLGNTCFMNSVLQALLHTPPLRNYFLSDRHNRYYCQHKNGAVNGTKNSRLCLACDMDAMFSAVFSGDRTPYSPAKFLYSWWQHAANLASYEQQDAHEFFISMLDGIHEKVEKDKRKSQCPGNGDCCIAHRVFSGILRSDVMCMACGFTSTTYDPCVDISLDLEPNQGGSGKSSSAKSHNACNVEADCMGSNQTCGISTLKGCLERFTTAEKLGSDQKFFCQKCQVRQESLKQMSIRKLPLVSCFHIKRFEHSSIRKMSRKVDRYLQFPFSLDMAPYLSSSILRSRFGNRIFPFDGDEQDASNELSSEFELFAVVTHSGRLDAGHYVTYLRLSNQWYKCDDDRVFRVNENIVRAAQGYMMFYVQKMLYYKASEKQAAS >OMO73191 pep supercontig:CCACVL1_1.0:contig11290:116619:124998:-1 gene:CCACVL1_17424 transcript:OMO73191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNPSGEESRKKLKNKESEENWPLPMNDNQFYQTGNFPINSDNNGIPSGWLGCPSLGHEILGCILPSKVPLSESYQGSIPPGKIYSFNQVVQWVENSGKNLGLVIDLTNTSRYYSASDLKQRGIDHVKIRCKGRDSVPDNFSVNTFVYEVLKFFSRQKTQDSKDYVLVHCTHGHNRTGLMIVHLLMRSQPLISVSQAIEIFAQARPPGIYKPDYIDALYSFYNETKPGIFACPPLPQWKANSPELNLNGNHIHNLDDVSVSVADQSASAAMMTNDDVFGGEIPFRQMRSFREFCYEMLKINVKGGGLLLIIGVVIMWVSSAEVTQGVYEDYRHPFAVSYLGTSLLALYLPIAFFKDWLLKYLRHRFSSTNKGGKGSDKSSLELNPHAKHDVKHGTNQTEHQASLPKECVINLCSKEGGHPLVCRDNETLEGSKKDRKLSPKEIVAFGLCMTPIWFATEYLWNAALARTTVASTTLLSSTSGLFTLVIGALLGQDSINTVKVLSVVISIAGVGMTTLGKTSNPDESKSGTNKSGNHALVGDLFAMLSAVCYGLFTVLLKKFSGEGERVDMQKLFGYIGLFALVGLWWLVWPLTAIGIEPKFIFPKSTKVQEIIIVNGLVGSFLSDYFWALGVVWTSPLVAALGVSLTIPVAMLEDMVIHHQQYSVIYIIGSAQVFLGFLIANISDWISDKLRW >OMO73173 pep supercontig:CCACVL1_1.0:contig11290:7434:10370:1 gene:CCACVL1_17404 transcript:OMO73173 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 79 MGFCFWVCFTLCFSFVSSQVAQGDAATTTVQGTVFIDGKAPIGSIDDDFVCATLDWWPPQKCDYGTCSWGLAGLLNLNLNNNIFLNAVKEFSPLKIRLGGTLQDKVIYDTVENHEPCGIPFVQNASELFGFTKGCLPMKRWDDLNDFFQKAGAKVIFGLNALNGRSIKPDGSAVGAWDYTNAESFIRYTVEKNYTIHGWELGNELSGSGVGTRVTANQYAADTIALQSIVQNTYKDVDFKPLIIAPGGFYDQNWFKEFLDKTTKSLDVVTHHIYNLGPGVDQHLVEKILDPSVLDGEAGTFSGLHNIIKSSPTSAIAWVGEAGGAYNSGHNLVTNAFVFSFWYLDQLGMAAKYDTKTYCRQSLIGGNYGLLNTTTFVPNPDYYSALLWHRLMGSNVLSTSFDGTKKIRSYTHCAKQSKGITLLLINLDNKTTVEAKLAFNSTMTLQHKHRSKLLHHHKHSSHNHKPSIIQLPQGTGTEIRREEYHLTAKDGNLHSQTMLLNGNILSVNSSGFIPPLEPIHVNSPNPVTVAPLSIVFVHMPDIIVPACKV >OMO73177 pep supercontig:CCACVL1_1.0:contig11290:28832:29225:1 gene:CCACVL1_17408 transcript:OMO73177 gene_biotype:protein_coding transcript_biotype:protein_coding description:codeine O-demethylase-like protein MAELPPLAKTVQELAAGNIKEVPERYIRKDSTLETSFPVLEIPTIDLELLASSSTNGEELEKLRSALSFCGCIQTGILIHTLK >OMO73181 pep supercontig:CCACVL1_1.0:contig11290:45711:50409:-1 gene:CCACVL1_17412 transcript:OMO73181 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate-energised proton pump MGAALLPDLGTEILIPVCAVIGILFSLVQYLLVSKVKLSPGRDSGAPGNNGAAPKNGYADYLIEEEEGLNDHNVVLKCAEIQNAISEGATSFLFTEYQYVGIFMVAFAILIFLFLGSVEGFSTKSQPCSYDHFKMCKPALATALFSTISFLLGAVTSVISGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLFIAINLFKLYYGDDWGGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHELTPMMYPLIISSVGILVCLITTLFATDFFEIKAVKEIEPSLKRQLIISTILMTIGIAIVSWIALPSSFTIFNFGEQKAVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSCIIPIFAIAVSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRADISTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >OMO73185 pep supercontig:CCACVL1_1.0:contig11290:82779:89866:1 gene:CCACVL1_17418 transcript:OMO73185 gene_biotype:protein_coding transcript_biotype:protein_coding description:ARP2/3 complex, 21kDa subunit (p21-Arc) MVMKVASPPKKVTSITERVWQRVYGSSSSSSYLHHHPRICCYESEDEFEEEDGNIQLMQIGAERTKNVLILMSDTGGGHRASAEAIRDAFRIEFGDEYRIIVKDVWKEYTGWPLNDMERSYKFMVKHVQLWKVAFHSTSPKWIHSCYLAAIAAYYAKEVEAGLMEYKPDIIISVHPLMQHIPLWVLKWQGLQKKVVFVTVITDLNTCHPTWFHPGVNRCYCPSNEVAKRALYDGLEESQVRVFGLPIRPSFARAVLSKDDLRKELEMDPDLPAVLLMGGGEGMGPVKKTAKALGESLYDKDQDKPIGQLIIICGRNKTLASTLESEKWKIPVKVRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIVLNDYIPGQEKGNVPYVVDNGAGVFTRSPKETARIVAEWFSTKTEELKRMSENALKLAQPEAVFDIVYHSSFVDEEGISKACGCPLLPLKSHIKGPAPVSDQDRTDIVDEAITFFRANVFFRNFDIKSPADKLLIYLTFYINIALKRLEGCRTLAEGTKAIINLGLEKVPVPGESGFPFPGLFALPQSQKEAELLRNYLKQIREETSGRLLSVAYRPNGTPNKWWLAFAKRKFMNIIVP >OMO73193 pep supercontig:CCACVL1_1.0:contig11290:132096:133277:-1 gene:CCACVL1_17426 transcript:OMO73193 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MEDLSFKEDKPRVLKVLEALKQASHELQAHPNHKSADSNSSAIKALLELETESDSILSKDPHLSTLSQDLADLKTLVETLEHTRGHGLRSFLTRRVSTHSISRVAGSIESEIQAWIDRESIESLIKGLKEPWKNEDELVRLLTQFEDRVSQGFDRELQNLVLKSKAFPVLQSVLCEPSCSKWIRENAAFCVAALIRFNKDVFVGQVNMEESKDLDMKVLAFDCILVIGYFGRKEAVEAMLKGGLIEKLVELQRSELGGDLIEMGNFDDESKEIHDKSRDEREKIFLENHPFASCVARFAVQLEVGEGLRQREKRAFKRDILQRIREASIGDAEAATIIAEVLWGSSP >OMO73172 pep supercontig:CCACVL1_1.0:contig11290:6986:7069:1 gene:CCACVL1_17403 transcript:OMO73172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAGTSLNWSHFSNKRNPFSHFILNR >OMO73179 pep supercontig:CCACVL1_1.0:contig11290:30804:33339:-1 gene:CCACVL1_17410 transcript:OMO73179 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate dehydrogenase MISIPSLQTSLPKPSLFHLSSSSQPFLKSLCFSTINTKPVSLHSLKLLPIKYFSTNPTSESTPDPDRTRPVSSLKIAIIGFGNYGQFLAKTLVSQGHKVLAHSRSDYSHIAKKLGVSFFINPHDLCEQHPDVILLCTSIISTEQVLKSLPFQRLRRSTLFVDVLSVKEFAKNLLLDVLPSDFDIICSHPMFGPQSAKQSWKDLFFVYEKVRIGNEPSRVQRCEEFLGIFRSEGCKMVEMNCLEHDKFAAGSQFMTHTVGRVLNMLGLESTPINTKGYEALLDLVENTCGDSFDLYYGLFLYNKSALAMVEKLDLAFDALRNELFGRLHHVVRKQLFEKGEKGNSLQDSYQNGHALASSSYALRSQVAMPPYEFKGKISESVDDSSKLKIAVVGFGNFGQFLAKTIARQGHTVLAYSRTDYRDVAQKLGVSFFLDANDLCEEHPEVILLCTSILSTEKVLKSLPFQRLKRSTLFVDVLSVKEFPRNMFLQHLPSGFDILCTHPMFGPESGKNGWNALPFVFDKVRVGSDERRVARCNKFLDIFAREGCRMVEMTCAEHDWHAAGSQFITHTMGRVLEKLELESTPINTKGYETLLKLVENTAGDSFDLYYGLFMFNVNAMEQLERMDFAFESLKKQLFGRLHGVLRKQLFENVEKFESLRVKSVEQNGATLSSSEDTVKIS >OMO73192 pep supercontig:CCACVL1_1.0:contig11290:126838:129730:1 gene:CCACVL1_17425 transcript:OMO73192 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translocon at the outer envelope membrane of chloroplast MKGIRDWVFTQVLSKSVASSRPLSGSGGFFSEAPSLQEQSDDQGSSQTIGLMALSESPDASSGGFHDNDPHNSQQQILVEDSNISDDSSDRKRIDPLAKIEDLQIKFLRLLQRLGQSRDNLLVAKVLYRMHLATLIRAGESDLKTVNLRNERAKRMAREQEASGLPELDYPIKILVLGKTGVGKSATINSIFDQPKAETNAFCPATDCIREVVGTVNGIKLTFIDTPGFLPSSMGNIRRNRKIMLSVKRFIRRSPPDVVLYFERLDLINMGYSDFPLLKLMTEVFGSAIWFNTILVMTHSSPTLPEGPNGYPVSYESYVKLCTDLVQHYIHQAVSDTRLESPILLVENDPQCKRNFMGQNVLPNGQVWKSQFLLLCICTKVLADANALLKFRDSMELGPVSNNRLPSLPHLLSSFLRHRSLPNPAVPENKMDEVPLSDDEGDDDYDQLPSIKILTKSQFNKLTKSQKKAYLDELEYRETLYMKKQLKEEYLRQKESKLSEEKPLSGDDNANDDVSPEAVQLPDMAIPPSFDSDCPVHRYRCIVTNEQWLARPVLDPHGWDHDVGFDGINLETALEVRKNVFASVMGQMNKDKHDFNVQSEFAAAYVDPVGPTYSVGLDLQSTGKDLMYTAHSNAKLRSLKHNVTDCGVSLTSFGNKYYVGAKLEDTILMGQRMKFVMNAGRMEGSGQVAYGGSFEATFRGSDYPVRNDSVSLSMTALSFNKETVIGGGFQSEFRPMRGMRLAINGNINSQKMGQVSVKMSSSEHVEFALVALFSICKALLRRMATENRDIEALEGG >OMO73196 pep supercontig:CCACVL1_1.0:contig11290:164005:164064:1 gene:CCACVL1_17429 transcript:OMO73196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLLGRNKASPVTLQPSHQ >OMO73171 pep supercontig:CCACVL1_1.0:contig11290:1704:2051:1 gene:CCACVL1_17402 transcript:OMO73171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKSATTICMLLLLAVLVQPGLSQFLLPPGSPIDVQKCFSSLMSIQGCMLEIFTSVFGGQFGKIGPQCCKAITDINDGCWPKLFPLLNPLTFLPMLKDSCGAGSASASAAPSPK >OMO84148 pep supercontig:CCACVL1_1.0:contig09786:24777:30158:1 gene:CCACVL1_10977 transcript:OMO84148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEKNRSIPSLTKTKLKQQSKGFNLMNDDLLQNILSRLPATSFASAACVSKSWNAVCTRILSRPKLSSAVSLNRSPRVALQEVLDKVLSEPIRPHFAIAYFSPGFVAEDVLQVMVEKLGSRTPILLSQVPGILGRDALTHEYKEVMWNGEERCDDNTGIVLTVGFVPGLKVEVIPLLSYKEDQFVRDIKSYTSSVSGFTSPLAILMIGDAESVDQRSIIEKLDFALSKETIIVGNESGLYLKYRSIDVSRNISSNLKCSPDAVALVFARDREKDPGIGDIEFHFALSDGVSATGPRFKAISVRPKASSTWLTARREGQQEILDGQHMLDEIGNEMENHLEYADVYIGVTKRRKCSVGSDKPKLMTSLALHGVERGDEEYLYVNGIGIRHGDCFQFYQPCPKTALSSCRKVSSALGNLKLDWDAKSSTSTRAIVTATEKKEAFGGLIISCCGRGESFFGQPNIDGAPFLENFPEVPVAGIFCGGEIGRGYTNLTMHEVQEETSVPCHLHVYSTIYLLMSYTPPTEH >OMO84147 pep supercontig:CCACVL1_1.0:contig09786:20941:24073:1 gene:CCACVL1_10976 transcript:OMO84147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFASANDDILQNIVSRLPALSFASAACVSKTWNKVCGRVLSRPKLAAALSVNGSLQDGVKEVVDKVLSSPIRPQFVIASVGLAFNLEEAHRLISEKLDSRIPVITNATYGIMGRDAVSNAMREIRWNIGPEEDANSQENTDFNRGIVLIAGFFPGLKVDTIPLLRPKRHGVAMIDNFLMDIKNYTASVSGCVAPAGIIVFGDKRIDMRPFLADIDSAIPEETVIVGDANSRFLCRNGKHSQNYSADEYNFDAVALVFARDKDKPHDMGETRFHVALATGVMPFGPELRAMSVTSSGSDYSWLTASINGFDDILDCQRLLVDISEEMDDESADVYIGVIQKRPSLIEPEKMESRTYMAYYEVLGGEEEYLVVEGAGIKPGDKFLFYHSDSATASSSVHNAYESIQVLKAASSSKRRYSMRDVAGPSSSAAAGGRLGEVFGGLIFGCHYQGESYYDCFPIFNSFPGVPIAGVVCNQQIGRDPTGVSMWPAQESGESPAICTQHACSTIYLVISYVPPSPN >OMO84146 pep supercontig:CCACVL1_1.0:contig09786:13684:16120:1 gene:CCACVL1_10975 transcript:OMO84146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, SBP-box MEWNLKATSWDLTEFVEEAVPSMNAENGSSSSSYGVARNKGDFSVDLKLGRVGNSGEESKMESSPSKRARATNNGTHQVSCLVDGCNSDLSNCRDYHRRHKVCELHSKTPQVTINGHKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPYPLSRSGSYFSNHQGTQMIQFSSLHVYPSTTVVKPTWPGVTNSQTDSRHLNQQQQLYSHEKQNLVVGSSSSNNRAGKQFTFLEGDNNTPQNQTLPATSICQQLLRPAPFSEGSGGSSHNMFSDRLATPVQDSDCALSLLSSPQTQTSGISLISSMVQPHSFPLVQPLGPSLQNHVIEPMDSVVVANGRDQTVHYPGMFHIGSEGSSGTEASQTLPFHWQ >OMO53198 pep supercontig:CCACVL1_1.0:contig15300:15116:28683:-1 gene:CCACVL1_28814 transcript:OMO53198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIEGNMELLKYGKGPAADHAEEHIPYEFIEKCNFEQNMLQVEDRVWAVKLKRYVYPKLGNRQAHAKLECPQAATEFFDYHGKINQSFDVDSIPAKKSCLGLNKIKDRLVELEEMPLVAMSKGRQLAFGAKLPILCLKYSPPLSHELPCSEKKEKEEFISNVTGASKKRAAKHNSP >OMO96345 pep supercontig:CCACVL1_1.0:contig07487:1783:2043:1 gene:CCACVL1_04992 transcript:OMO96345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVGSKAWVVAASLGTVEALKDQGFCKWNHTMRHAKNNVRSFSQANKLSSSSAMTSDHIISDERTKQAEESLRKVMYLSCWGPY >OMO96344 pep supercontig:CCACVL1_1.0:contig07487:388:669:-1 gene:CCACVL1_04991 transcript:OMO96344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRHLSRIFVRAIQVVKDHSCKGIMGGGGKPFYNQAAKPKSEGGTGKSSQIRWVSGSREPVKQVGLDDKQKLREAEKAENIMHLICWGPAVL >OMP02313 pep supercontig:CCACVL1_1.0:contig06277:3364:3513:1 gene:CCACVL1_02834 transcript:OMP02313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILLFLASSILNGSRLSKELGNGNLLICTAKEKQIRPIQHRNKQLRAA >OMO96040 pep supercontig:CCACVL1_1.0:contig07543:15055:15546:1 gene:CCACVL1_05103 transcript:OMO96040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEFGDVELPNLNINANPSTGFNNLATPSYNLNHHNHHGNLNMNLNMDFAAATAREVAASLPSSLAWPSSILNPNLSMNSFLLKALQFRNNYQPRDVTAMDYSLLSLGSTQGNIHQPLFGTGLGSNLQSAAAASSSKVLDPMPSQQQQQQQEQPFNMDSMWS >OMO96039 pep supercontig:CCACVL1_1.0:contig07543:557:3116:-1 gene:CCACVL1_05102 transcript:OMO96039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L34Ae MPCSKDEALLRFFYNLSSSSFLLLLFLYTSSILLAKFFCFIGSFPLFNRSQNEYDYAMFSEEEEEEEEEEAYGERFYRVNCMENDHLVADIIHGGESLVFLPETSFQKPQVDDFEEESMNMMNPHEQLTCSEDQEYASYSTEQFSAHDSSPCSDSDEHDDDDDDDTELVDEEISIKDADDSLQNSDTNQDGPTPMVSSVLTELDKSDHQVDNHKDVDELCKDQGIKHRVESDFSRNDKFFVIGPTKLESKRLLIHEKDDEEIYGDSCTIGSTSKSSSEWRSSINCRDSGTDDPFSSSSRRSCPKWESYTVFQKYDEEMMFLDRISAQKLQETESLRSIQACPRSISERIIHKFSTMNKKPSDIKHNPYHELESAYVAEICITWEALNWNYKNFERKRAARKDFDCPASIAQQFQQFQVLLQRYIENEPYEQGRRPEVFARMRLLAPKLLQVPEYRDYEDDQNEGYGSRISADSFLVIMEDGIQTFMSFLKADKEKAYQIIKAFFGRKRRGSVDPTLLQLMKKVNAKKKMKLKDLRRAHKCIRKRRMKVEKEMEILMGLIDLKVVSRVLRKSDLTEEQLHWCEEKMSKVRILEDYECANMNIQGNAFVNTIKKKLGEGSYKSKLTTCDVKGDIEIWRYGKSMEMKEEE >OMP06469 pep supercontig:CCACVL1_1.0:contig04924:3294:3725:-1 gene:CCACVL1_01557 transcript:OMP06469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYLLVLSFIVLVYIKGCTGCLEEERKGLLELKKVFVDDSHHSLLPSSWNIHDPESSSDCCSWERVTCNSTSGHVIELSLHDLKLGEAAIVKTSVNWSQISLFQSFEKLRILDLSSNRLPDWNTTAGTNNLSIKIMIFKISR >OMP06047 pep supercontig:CCACVL1_1.0:contig05075:8079:8150:1 gene:CCACVL1_01732 transcript:OMP06047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSKSLAARQFRIMRRQFDSLA >OMP06048 pep supercontig:CCACVL1_1.0:contig05075:9069:12257:1 gene:CCACVL1_01733 transcript:OMP06048 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAD-dependent pyridine nucleotide-disulfide oxidoreductase MAEKSFKYVIVGGGVAAGYAAREFAKQGVQPGELAIISKEAVAPYERPALSKAYLFPESPARLPGFHVCVGSGGERLLPEWYKEKGIELILSTEIVKADLAANTLVSAAGETFKYQILIIATGSTVIRLTDFGVQGADAKNIFYLREIDDADKLIEAIKAKKNGKAVIVGGGYIGLELGAVMKINNLDVNMVYPEPWCMPRLFTSDIAAFYEGYYANKGIKIIKGTVAVGFTTDSNGEVKEVQLKDGRVLEADIVVVGVGGRPLTSLFKGQVEEEKGGIKTDAFFKTSVPNVYAVGDVATFPLKLYNEMRRVEHVDHARKSAEQAVKAIMASEEGKSVGEYDYLPYFYSRSFDLSWQFYGDNVGDTVLFGDNNPETPKAKFGSYWIKDGKVVGAFLEGGSAEENQAIAKVARLQPAVESSEALKSEGLSFASKI >OMP06049 pep supercontig:CCACVL1_1.0:contig05075:13871:14852:-1 gene:CCACVL1_01734 transcript:OMP06049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein 60S MKVIAAYLLAVLGGNACPSADDIKEILGAVGAEAENDRIQLLLSEVKGKDITELIASGREKLASVPSGGGGVAVAAAAPGGGGGAAPAAAESKKEEKVEEKEESDDDMGFSLFD >OMO73581 pep supercontig:CCACVL1_1.0:contig11214:17537:20376:-1 gene:CCACVL1_17214 transcript:OMO73581 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase, type I MGKIKIGINGFGRIGRLVARVALQCEDVELVAVNDPFITTDYMTYMFKYDSVHGQWKHHELKVKDSKTLLFGEKPVTVFGIRNPEEIPWGETGAEFVVESTGVFTDKDKAALHLKGGAKKVVISAPSKDAPMFVMGVNEKEYKSDLTVVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHSLTATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKKASYEDVKAAIKEASETTMKGILGYVEEDLVSSDFVGDNRSSIFDAKAGIALNENFLKVVSWYDNEMGYSTRVIDLIKHMASTK >OMO73580 pep supercontig:CCACVL1_1.0:contig11214:10232:10291:-1 gene:CCACVL1_17213 transcript:OMO73580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPCPLVARHWTLTPTII >OMO52237 pep supercontig:CCACVL1_1.0:contig15557:4319:13039:-1 gene:CCACVL1_29298 transcript:OMO52237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASISLHHVCGRFTYPRRERGYHHGKRWWQQPTPRPKYRFRPINASVEAPAFPLLQPPAPEESPSLLEPADPDFYKIGYVRSMRAYGIQFKEGPDGFGVYASKDVEPLRRARVVMEIPLELMLTIRQKLPWMFFPDIVPIGHPIFDIINSTNPETDSDLRMACLLLYALDRDDNFWQLYGDFLPSADECTSLLLATEEDLSELQDADLASTMRNQQKRAFEFWQKNWHSGVPLKIKRLARDPERFIWAVSIAQSRCINMQVRIGALVQDANMLIPYADMLNHSYQPNCFLHWRFKDRMVEVMINAGQRIKKGDENPWDSIPFSGNAQIHLDSFLSVFNISGLPDEYYHNSQLADSGDNFVDGAVIAAARTLPTWSDGDVPPIPTMERKAVKELQEECQQMLAQFPTTSKQDQKLLDSMPDPRRSFETAIKYRLHRKLFIEKIRLINSSVPGFRWDRNWLIVNQKGRAYTQRVEPKLALVEVHLPKEAFSEGWEPTKTSYMEIKAPGMDLLKIPLSKPPEISDGVSVWEWSGSALDEGDEASEWFTTYIGKPSRLVRFNAASECRPVDPVYANGHNIMFSDGFPFMMISQESLDALNKLLKEPVPINRFRPNILVGGCEPFAEDLWTEIKISEFSFKGVRLCSRCKMPTINQDTAIAGPEPNETLMKVRSDKVLRPDKKQQGKFYFGQNLVCTDNLSAKKGKMVKVGDPIFVLQKVSSAAEAAA >OMO52238 pep supercontig:CCACVL1_1.0:contig15557:29443:30288:1 gene:CCACVL1_29299 transcript:OMO52238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHYSSKGTSGHHETSESGLDSGHHGHLGKDHGTAASGLGSGYGGDYGSKGSYNSQDSNSKVGSGYGGDYGFKGSHNSQDSHGKDYGTAASGLGSGYGGDSGSKGSHNSHDSHGKDLGSGYGGDYGSKGSHNSQDNNSKDYGTAASGLGSGYGTGDYGSKGSHNSHDSHGKDHGTAASGLGSGYGSKGSYDSQDSHGKDHGNVASGLGSGYGSKGSYDSQDSYGKDHETPTFGQGHHGTTASGLGSGYGGDYGSKGSHDSQDSYGKDSTGGYASGRHGGRG >OMO59002 pep supercontig:CCACVL1_1.0:contig14070:28904:29455:-1 gene:CCACVL1_25166 transcript:OMO59002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGHLVGIIIVAAFAVGVILVAIINWKSCCGGVKDKTVRTNVARRTTTLPVYVNGGVGRNSTAGFPKATNKNSGASSKYTTDNVYNAFVATASFAAANSDAGAANSDAGDHHKHHHHHDGGGDGGGHHKHHHHHGGGGGGGHRQEQHTGGGGGHHGGGHHHDSGISSSGGGFGDFGGGHHHG >OMO59004 pep supercontig:CCACVL1_1.0:contig14070:37800:38423:1 gene:CCACVL1_25168 transcript:OMO59004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFWIVLASIFFLVSQTLLSKSILCFNFDALDTIVTDYVWYFAVINAFFVMILARKGPFQTAMLDRKYGFVFKSMMELLVYEMIAQFIHLVVEEDVLDMYLMGSIACIRIYSLAVTRLAVEELDLSSLVISVKLLLHLAVHEGQALFMALCLLTLTVLLVQGYFEAEENRKTRREIIEDEGRLLPFHHGTSAKKNGNGKKKGARYH >OMO59006 pep supercontig:CCACVL1_1.0:contig14070:49844:52584:-1 gene:CCACVL1_25170 transcript:OMO59006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFEDFLMESSGEKKKKVELQEEVDNLQVQLDEEKEMNRVLRCALKGPVLAQPLLSSLLPPQVQEVFAELAMVEEEILLLEKRIDELKMKLYQEKKQTKEWKMQQLQQQQHQQKLHRQNHLICGPGNVSVQNDDLKQRTRSQNYEIFDKEKIKSHRRASIGSASDILSLSSSECTGELRERSKKHTWRIPNQHPMNKEIIYEKPNALSEELVKCLIGIFLELNQASQDREGSAVVPKLNFSCMASKGYMAKTSINFKSPLFPFNHNTSNIDPYGILPELDGIVRDVGPYKNFIQITRHSLDVSRFSECVAAIGKLRVLMNKLSNVDLTFLTYKQKLAFWINIYNACIMHAFLEHGLPSTQEKLLALMNKAALNVGGIVLNALAIEHFILRHPCESEFGPMDEKEMLLRHAYGLGYPEPNVTFALCRGNWSSPALRIYTADEVVNELGRARVEYLEASVGVTNKRKILVPKLLQWHMRDFADDMESLLEWIYSQLPRSASLKRLIMESLNKETKSLMTRIVEIQQYESEFRYLLPL >OMO59000 pep supercontig:CCACVL1_1.0:contig14070:12085:13906:-1 gene:CCACVL1_25164 transcript:OMO59000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQTNFQSRFHRHDGYRTKWRSRNRNSLDWRSRLHSVFVGNLHWRATLGILRKTFSDFGVVVDVFIPTRTSFGCRDDGSRFAFVRYKRKEEAEKAIEKGNGLSVGNCSIIVRKATQCRKGREERKIQSNSVETMGAKEGGFHFKSFERSFKEVTTQSQNDARPDFPSEAGLQKNGGLKLDILNSELEWGIGVTIAPMSDIQLLLTFLSKEEMGTLIQDYREMFQTWFDDIIPWKENIVERYHHVWIKVEEVPLLLWHGKFFNEIGSYWGKVLSIAEVTINRKNFTAAWLKLEVANKRSIPSVLKGEINGLKFRVEITIVSENNVPKVVPEYTPESSSNVVGDESPVFIVERVQDSEHEVSSACRIAILDEPAVMVVDHEKESEINGRILEEGTTLPIEQHAKGGIKCVNEGGLIPTARNLDVEVDFVPETNQLVGQVNGPKESINNEFDVEVSSAHNLLDIMDTCDYNKELESCSHVLDKEWTLINRGPKKKMGSRFQKKKLKKYRKVVADILGRKEGIGELGSDASLSDSDIARKNKVNREEALKTLETCELLGITFEGDREQIIQVLERFEEESQESL >OMO59001 pep supercontig:CCACVL1_1.0:contig14070:26417:26605:-1 gene:CCACVL1_25165 transcript:OMO59001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVFVFACNTRLHLCNHKESNTRHVVAMKLWLLHFISVGPKVVKFAYQPSGQPPSKKAEG >OMO59003 pep supercontig:CCACVL1_1.0:contig14070:31131:35446:-1 gene:CCACVL1_25167 transcript:OMO59003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSGDDSDISESEMEEYEDKCYEKLKNGKHIVKVSEETYTCPYCPKKKKQDYQYKDLLQHASGVGHSNSDKRSAKEKANHLALAKFLEKDLVAVGSSSKPKSEEDPISSCDHDEKIVWPWRGVVVNIPTRRSEDGRSVGESGSKLRDEFIRRGFNPIRVLPLWNYRGHSGTAIVEFHKDWPGLHNALSFEKAYEADHHGKKDWCANNGVKSGLYAWVARADDYNSSNIIGEHLRKTGNLKTISEIMEEEARKQERLVSNLTNIIETKYKHIQEMEARCSETTKSLNVLMEEKDNLLQAYNEEIKKIQLSAREHFQRILNDHEKLKLQLETHKTDLELRGAELEKREALNETERKKLAEELEQNAEQNSSLQLAAMEQKKADENVMKLAEDQKRKKEELHNRIIQLEKQLDQKQAIELEIEQLRGSLNVVRHMADDDDKEFLEKMEAILKELREKEAELDDLEALNQTLIVRERKSNDELQDARKELISGLKEISNRTDIGVKRMGELDSKPFLEVMKRRYNEDQAEERASELCSLWEEYLKDPDWHPFKRIKLEGEGEEEKYQEVIDEEDEKLRDLRNEMGPQVYESVTSSIKEINEYNPSGRYIISELWNYDKGRKATLTEGVQALLKLWNAAKRKRGIII >OMO59005 pep supercontig:CCACVL1_1.0:contig14070:41058:49267:1 gene:CCACVL1_25169 transcript:OMO59005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPQFGATAETLSKASTMVFRIGTDAHLYDDPDDVSIAPLLDSKFDSEKCEALKRLLALIAQGFDVSNFFPQVVKNVATQSLEVKKLVYLYVLHYAEKRPNEALLSINCFQKDLGDPNPLVRAWALRTMAGIRLHVIAPLVLVAVGKCARDPSVYVRKCAANALPKLHDLRQEEHTSAIEEIVGILLNDHSPGVVGAAAAAFASVCPYNLSLIGRNYRKLCEILPDVEEWGQIVLIGILLRCVIARHGLVKESIMFSLHHSESSQSEKDGSDVEFGLLKGSRDVNGTCDSEFVNMVSRCYIEGPDEYLSRSSYASKESFNLNGTQFTSGKSNDDVKILLHCTSPLLWCNNSAVVLAAAGVHWVMAPKEDVKRIVKPLLFILRSSNASKYVVLCNIQVFAKAMPSLFAPYYEDFFICSSDSYQIKALKLEILSSIATESSISSIFKEFQDYIRDPDRRFAADTVAAIGLCAQRLPKMAHTCVDGLLALTRQEFLTKDLGSGDQEAGVLIQAIMSIKSIIKQDPPGHEKVIIQLIRSLDSIKVPAARAMIIWMVGEYSSLGEIIPRMLATVLKYLAWCFISEALETKLQILNAASKVLLCATGEDLWMFKKIFSYLVELAECDLNYDIRDRARFLKKFPSGNSSSQGLEEGTNGVPEKDFVHVVAESIFGRQTREVKESINYRFYLPGSLSQIVLHAAPGYEPLPKPCSLVLDDLNVPEGTRAIENRANYSGTDDDDHGTSSDCSDDESADYNSQRSITGSSGSDDSEYTSESNDTADPLIKISDIGNASEYQNGVSQCSPDNLGDLMSNRSLEFWLEEQPGSSNSAISEKNEVRKPSARISIGDVGRQVKPKSYSLLDSAHGNGLKVDYSFSSEISSISPLLVCIEVFFKNCSSETLLEITLVDEEANRALDTADQAVAVNESSSSYDNVPTLVPIEEIASLEPGQTARRILQVRFHHHLLPLKLTLFCNFKKHPVKLRPDIGYFVKPLPIDVETFMDKESHLPGMFEYTRSCTFTDHIEELKKESGDSLLIKDKFLAICESLALKMLSNANLCLVSVDMPVAANLDDASA >OMO62068 pep supercontig:CCACVL1_1.0:contig13366:1480:1917:-1 gene:CCACVL1_23046 transcript:OMO62068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIFPKISKPLQVNLEIDDESTHVDYKCKLCGNEGGLTLNPSEATPLTLEDSMAGRFTFVVEFECLGCKSTEFVYGSGWKAFSMPHDTLFENIDFTGQSNFEGVDLDENGGSRATVMISTSVIFFRLQIRSIERTRSHESPLKFP >OMP11392 pep supercontig:CCACVL1_1.0:contig01330:8242:8448:1 gene:CCACVL1_00544 transcript:OMP11392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKHQHIVDPASNTAVTIHQSQNPSTKISQQKQWRIKTASNKPTMNKRTELKSNEPKHTTITITPLKVQ >OMO87989 pep supercontig:CCACVL1_1.0:contig09143:6038:12265:-1 gene:CCACVL1_08614 transcript:OMO87989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKFSEFSSSPSSSSSSSAPHQWRFKHQIFLSFCGDTRKTFTDHLFNALINKGIETFRDEESLEKGEELTPALLGAIGESWASIIVFSKGYASSRWCLKELAEIMKKRKERGLGVYPIFYDVDPSDLRNQRNSVEEAFKKHETNKKISKEEMQSWRRGLGDVPEIIGWDNHKKDQHEAKFLERIVENITKLISKEYPAESISLLPSKGIIPSKSAELAGGQIMEALKDDGVNIIGLWGMGGVGKTTLVKEVGRQAKESKLFAEVVIVTVSQNPNIGKLQKEIAESLKLTLNNKTDVGRREQLCAALLQKKESILIILDDLWRELDLMEVGILFDELQHKGCKILLTTRFERVCSDMGSGKVVWLDVLDKDEAWQLFKSCAKLDDDASDNILKMAAEVAKECKGLPIALSSLGNTLKGARLHKWREAIENLRHHTLLDMVGVEEDEKNAYKCLKLSYDFLRLDNTKKCFLLCSLYPEDHSIPIEELVRHVWGLELFKGRNSIQKARDAVYTAVDHLKACSLLLDDDKPWNKNRVKMHDMVRDVALWIGSQKETMMMMNHFVIKSELGAKEWPRSENFEQCTAISFMHCNIKGIPQGLQYPKLEFLSFRNFFFHEKKTMMFSGSSFEGMKSLKVLNLVRIKGSLSQDALQMLTNLRSLYLECCEINTYISFSSLGNLKKLEILSFCDSDIEVLPDEVGELKSLRLLDLSWCERLKRIPPNVIGRLSQLEELYLGLCGFKFDEWVVEGSTDAEVRNASLFEELNKLPRLTILVLEVGDSERVPEDFVFPELQNYTIAIGGYAHEAYPSRPYLKIQKTTSLHAFENLFEEVELLELNSIVNFQSLVDARNYQHVPVTFSNLSVLKIESMNCFKGLCNGQPPRGFLKKLETLEITRCGSVKSVFPPSVTKNLVQLKSVKIVDCDMLKQIFEGIEGGNDHKVLQKLETLEVRGCGNLKSLFPPPVARNLVQLKSVKITTCDVLEQIFDEGMEGDNDRALSKLETLKICGSLKSVFPLGVAKMLVQLKSLNIDGCHKLEQIFEEILEGADEVLQKLESLEIRRCSSLKSLFPQSVAEKLVQLKLLHIEDCDMLEKITEEMEVDDGEILSASTPYLLPNLETLKIGDCAKLECLIDTRKQHLPAINAVSNLEELELTGMTSLKWVFNGDCPKGLLHKLQTLKISKCGSMTSLFPLSFARNLLQLKKLEIEDCDMLERLVLEDDNFIEMLSNNHPHPPCLQELEEVAIRRCCKLEYVFPSSLVGNLNLPRLRYLWLENLFELKEIERDGNDVCLNLPISLKDLHLSGCPKLRPFIVSSTHQMEWISIEGAGSEQLWNNNNPVVAQGRLENSSNMEYAVIGNHVEQMFHLHQSGNNILSNLWWLAVQNLAELRVIWRTSRQLVTLQNLGYITVVGCKSLRYVFSPLLAPSLPKLEYLEINGCEDLEQIVDTSSPSSSLEDHHDLQSLSFPNLERIKIESCNNLKYVFPISIFAHLQRLRSIEISKAWKLEQVFGSGKDDDHQQEKVLQLPQLKWLSLEELPSLISFSSVDYHFLFPSLKVLKVRDCRQMTTTFSIDSQSRVHAKPLQRLDVLLPELQFCDVLPPQVNGTVKPLTWQCHVGQVGLTWHCHTRASQQIEDSAAAQKEMWARGSDIEYSN >OMO87990 pep supercontig:CCACVL1_1.0:contig09143:24537:29770:-1 gene:CCACVL1_08615 transcript:OMO87990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Disease resistance protein MSGFIEACGPNALATVFVDYGVKPTVRHVGYVFRFNKIIEDLREKIEQLKVTHASVEARVQDAENQIQTTDAVVEDWRKKASTLREDVEGFLQVKIQENKRCFNLCWRYQLSKQAAEKSLSISDLLEKSEFPVIGHPADLPRITLLPSKEFLPSKSADSAEKEIMEALEDDRVNIIGVWGMGGVGKTTLVKEVGRKAKESKLFGEVVLVTVSQNPNIEKIQNTISESLNSRLSNTTEDGKAGQLWARLQNEKSILIILDDLWNKLDLKAVGIPLDEHKYKGCKILLTTRSQRVCSLMGSGQVVRLDVLNENEAWQLFKSCAELDDGTPPDILKVAAEVVKECKGLPIALSSLGKALKGARLHKWREASQSLKNSTLLDIEGVAEDDKNAYMCLKLSYDFLKLDSTKKCFLLCSLYPEDHSIFIEELVRHAWGLELFKGRNSIQEARDAVCTAMDNLKACSLLLEEGEEWVKMHDMVRDVALWIGSQKENHFVIKSKSGAKEWPRIENSEYCTAISFMDCNIKGIPEGLDYPKLEFLSFRDGVQDKEKTMMFSGASFEGMKSLKVLNLWEIKGSLSKDALHMLTNLRSLYLEACEPNTYSSFSSLGNLKKLEILSLYDSDIEILPDGVGELKSLKLLDLTWCKRLKRIPPNVIGRLSQLEEFYLGRCGFKFDEWVVEGSTDAQVRNARLFEELNELPRLTILVLEVDDSERVPKDFVFPKLQNYSIGIGGRTDECYPSRRSLKIHKTDSLHTLKNLFEEVELLELNSIVNCQSLVDARNYQHVSVTSSKLSVLKIKNMDCFRGLCNGPPPDGFLKKLVILEITKCGSLKSVFPPSVAKNLVQLKSVEIADCDILEQVFEEMEGANDEVLQKLETLEIRGCGNLKSLFPPPVAKNLVQLRSVTISTCDVLEQIFEEMEGAKDRMLSKLETLEICGSFKSLFPLGVAKMLVQLKSLTIDGCHKLEQIFEEILEGANERLQKLETLEIRRCSSLKSLFPPSVAEKLVQLKKLHIEDCDMLEKIIEEMEVGDSEILSANTHIQPPYLLPNLKTLTIGNCAKLECLIDTRKQHLPTIAVSDLEVLELTGMSNMTSLKWVFNGDCPKGLLHKLQTLKIRGCSSMTSLFPLSFAQNLLQLKKLVIESCDMLERLVMEDNNFEMLSNNHYHPRNLQELEEVKINNCGKLEYVFPSSLVGNLNDLPRLKSLVLGDLPQLKQVIALGQGTDGNDVCLKLPISLKSLILSSCPKLRPFTVSSTHQMESIEIGGESDELCNNNPVVAQGRENSSNMEYAVFGNHVEQMFHLHQSGYILSNLEKFEVKNLAELRVIWRTPKQLVTLQNLQVIKVVGCKKLRYVFSPLLARNLPKLTHLKIKECEDLEQIVDTSSSSSSPEDHHDLQSLSFPNLYTIDIESCNNLKYVFPISIVGDLQRLRRISISKASKLERVFGCEDKADAKDHDHQEETVLQLPYLGQLSLEELPNLISISSVDYHFLFPDLTELKVRDCRQMTTTFSIDLQSCAHAKPQASQQIEESAATQKKMWPRGSDIDYEKRRDLV >OMP06367 pep supercontig:CCACVL1_1.0:contig04956:3259:9821:1 gene:CCACVL1_01604 transcript:OMP06367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRIGWLIGFSNRTGQTKKLPDAKPEPATLQPVVMLDTVQEIAVYIHRFHNLDLFQQGWYQLKITMRWDDDEHASVGTPARVVQYEAPSLGSDDGYAVWRIDDTDNSFLTQPFRIKYARQDVLLSIMVAFDLPLAENEGPPSSAVILKFELLYAPLLENGSEFQASPDGCPAAVHEFRIPRKALLGLHSYCPVYFDAFHSVLVDVSVHISLLKAGSRIAPMQVPSAPYTATDGVTGESINGSTQELDQTASTVVKQVSIVKALLDARDTLLLELQKLGNAINKAVDLTEFTSKMNDTELFDFFLQANQVTADGDVSGQGKPQNGLESINGKLDFRSERLLQNLSKDDVIKMFNLAGDQVLYLWNAFLNFHRDNRTKVLESLHDTWAKDRRAEWSIWMVYSKVEMPHHYINGGFDESSHQIVHKRGSSLLKLTDDPAQIAAMRAELHRRSIAQMRINNRSIQDMQIFGDPSGIPIVIIEHVMNAPRRTLSANSYLRNVDIIDSATSGTALSSEAEKKQSNLSAPRNGRDLKIVVFVHGFQGHHLDLRLVRNQWLLIDPKIEFLMSEANEDKTSGDFREMGQRLAQEVIAFVKKKMDKASRSGRLRDIKISFVGHSIGNIILRTALAESAMEPYLRFLHTYVSLSGPHLGYLYSSNSLFNSGLWLMKKFKGAQCIHQLTFTDDPDIRNTFLYKLCKAVCEFDETGQPLTDDMSQDGYVPHHSARIESCRSASMDYSKKGKAFLEMLNDCLDQIRASTSENRVFMRCDVNFDTSAYGRNLNTFIGRAAHIEFLESDIFARFIMWSFPDLFKVYTAATFLQIGRVDRCTETGEMVDAVNYQVEDAFMARLYCHLADSFSPFHTSIVSS >OMP06369 pep supercontig:CCACVL1_1.0:contig04956:16329:18056:-1 gene:CCACVL1_01606 transcript:OMP06369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYCKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESGEGDEGDEPDDYC >OMP06368 pep supercontig:CCACVL1_1.0:contig04956:10684:15629:1 gene:CCACVL1_01605 transcript:OMP06368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSLPKDALFLGFDSSTQSLKATVLDSNLVIVASELIHFDSDLPHYKTKDGVYRDPSVNGRIVSPTLMWVEALDLIFQRLSKAKLDFGKIAAVSGSGQQHGSVYWKTGSSALLSSLDSKKPLVDQLRSAFSIDESPIWMDCSTTAQCREIEKAVGGALELSKITGSRAYERFTGPQIRKIFETQPEAYKNTERISLVSSFMACLFIGAYACIDQTDGAGMNLMDIKERAWSKAALQATAPDLEQKLGKLAPAHAVAGPIASYFVERYNFNKNCLVVQWSGDNPNSLAGLTLSTPGDLAISLGTSDTVFGISKVPQPGLEGHVFPNPVDTEGYMVMLCYKNGSLTREDVRNRCAEKSWDVFNKFLEQTPPLNGGKIGFYYKEHEILPPLPVGFHRYHLENFTGETVDGLNEKEVQEFDPPSEVRAVIEGQFLSMRAHAERFGMPSPPKRIIATGGASANQSILNAIAAIFGCDVYTVERPDSASLGGALRAAHGWLCNKEGSFVPMSSMYKDSDKSSVSCKLSKTAGDQSLVKKYSVLMQKRIEIENRLVQKLGRC >OMO63238 pep supercontig:CCACVL1_1.0:contig13006:10716:11297:-1 gene:CCACVL1_22425 transcript:OMO63238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLQVLDLSHTSIKSLPKSLPKLVALKNLLLRCCDLFMELSSQIGELRNLEELDLDQTQIIDLPADMGKLLKLRHLRVSFYPLCGKKKLKSNITIHPGIISNLLQLTDLSIGVDPIDKRWHDIVEVVLKEVSNLKMLRSLSLYLPKSQLLDYMISIYPSLSRFRFPVGHIKRRIIISCVPQETEAEFRNWDK >OMP10919 pep supercontig:CCACVL1_1.0:contig01770:427:501:1 gene:CCACVL1_00748 transcript:OMP10919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYALSASGYNRRDLIDRMEEYIDK >OMP05226 pep supercontig:CCACVL1_1.0:contig05475:12542:13764:-1 gene:CCACVL1_01992 transcript:OMP05226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGWKTRNSAAALVIVTTLLISSCSFLVNAQDVSYPSVAYPSNSWLNVPVFDFSIWDSAGVRPILITGTFVCGFHCRVPKFDNCLFAISIFQANTSDGNNLSSAPKIVWSGNRNQPVRLRASLQLSGNGDLMLQDVDGTPVWNTNTTGKFVSGLKLTEEGNLVLYDGNNETVWQSFDHQTDTLVPGQALFSGQKLTANVSTSNSSSGLYSLTIVNDSLIAFLEPDAQQTYFGPLKVKTYEPGQSKALYSNGSFGPFLLPSTSAPQFIKLEADGYLRAYQLRESKWEQVSDLFINSTGPCDIPSVCGKYGLCSNGSCRCPDAKDKDSVVYLSQVSEDPSLGCSLKRPVSCRPSDHDHSHSLVELKDFDYFNFAPQIKDTDRENCKEACLKECSCKAAIYREQSNSSI >OMP05227 pep supercontig:CCACVL1_1.0:contig05475:16022:18406:-1 gene:CCACVL1_01993 transcript:OMP05227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGRKTDFVEAFLITLLISASSLLITAQNITYPSVAYPPNSWSNIPNLSFNSGRVRPILITGIFVCGFHCGVHDCLFAVSIFPANYTLSPRVVWSANRNNPVENGASLQLSENGDLMLQDADGSPIWNTKTSGKFVSRLELTGQGNLVLYDRNNKTVWQSFDHPTDTLVPGQALVSGQKLTADLSISNSSSGLYSLALVNDSLIAFVEPDAQQVYFGPLRLKTYEPGQPKALYLNGSFDPFVLPSTSSLQFIKLESDGYLMAYAYQFSESRWTWTVSDLFSNYIGPCGFPCGEYGLCSDGNCTCPRAGGNDSTQVYHDKGDSYSLLELKDFDINFSPHFESSANRKQCKDACLKNCSCKAAIYREQKINSSGHCSLLPRIYAFERYDENDSTRGYKSIAYIKVQSPTTSGGARRRRIIVLVLGSTLGVILLIPIVVVGMFFLQRNNRNSKDDREEYEKLERIRFTRFSYHNLGAVTDNFSVKLGEGGFGSVYYGSLPDSTKIAVKRLDRTGHIDKSFLAEAETLRSVNHVNLVNLIGFCAEDSHRLLVYEYMQNGSLDRWIFSRNQESTLTWKLRQSIILDVAKGLAYLHDGCNLKILHLDIKPQNILLDENFKACLADFGLSKLIGVDQNQVLTTMRGTPGYMAPEWSSATITEKVDVYSFGIVVLEILCGRRNVDRCQPEGEMHLLNVFKKKAEEGKLMELVDKISEEMDSDGAEIMKMVRLAAWCLQADYSRRPSMPIVVKVLEGDLDVEENLNFDFSNMQAPPIARNAAAPSNRVTSTSLLPSILSGPR >OMP05228 pep supercontig:CCACVL1_1.0:contig05475:20784:21671:-1 gene:CCACVL1_01994 transcript:OMP05228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFSFQSHLFRHSISFRSTIGEYDILTLITIQSSVLNLIIAQGLDYPWVVYPPLSWRNVVSNSFGSLEAAGVKPILVNGNFVCGFHCTSTAETCFFAISFFNTSFAAANADYSSPSPQIVWSANRNNPVQLEAELEFTQQGDLVLQDAHGALVWSTDTRAARLNLTNQGNLLLFDKTNKVLWQSFDHPTDSLLPGQRLVYGLKLRSSLSESNSSQGLYSYTIDENGHLVAYMEPDPSQVYYKSSIAVSRTKTGQFYAEFEEIALRMFEYRDTGVPNLTLIKLGSDGLSIVKFKLD >OMO64008 pep supercontig:CCACVL1_1.0:contig12874:96971:101089:-1 gene:CCACVL1_22129 transcript:OMO64008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFHHICSALSEDGGNGSTGSVSSPANALVEEDDENVDGGVKYRVPPSEIRDIVDAPPLPALSFSPLRDKILFLKRRSLPPLSELAKPEEKLAGIRIDGKCNTRSRMSFYTGIGIHQLMPDGSLGPEIEVRGFPDGAKINFVSWSNDGQHLAFSVRVEEEDSSSGKLRVWVANVETGIARPLFQSPDIYLNAVFDNYVWIDNSTLLVCTIPLSRGNPPKKPLVPSGPKIQSNEQKNVIQVRTFQDLLKDEYDEDLFDYYATSQLVLASLDGAVKEIGPPAVYTSMDPSPDEKYLLISSIHRPYSFIVPCGRFPKKVDVWATDGKFVRELCDLPLAEDIPIAFSSVRKGMRSINWRADKPSTLYWAETQDGGDAKVEVSPRDIIYTQPAEPQEGEQPEILHKLDLRYGGISWCDDSLALVYESWYKTRRTRTWVISPGSKDASPRILFDRSSEDVYSDPGSPMLRRAPNGTYVIAKIRKENDEGTYVLLNGNGATPEGNIPFLDLFDINTGSKERIWESDKEKYYESVVALMSDQKEGDIHLNELKILTSKESKTENTQYYIQSWPDRGVCQITDFPHPYPQLKSLQKEMIRYQRKDGVQLTATLYLPPGYDPAKEGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFPGIGEGDEEANDRYVEQLVSSAEAAVEEVIRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKKPILLVHGEEDNNPGTLTMQSDRFFNALKGHGALSRLVILPFESHGYAARESIMHVLWETDRWLRKYCVSNTSDVSADLDKNKDTASNEVTESENKAVAASGGGGAEFAESESVQFHSKPRSLMW >OMO64006 pep supercontig:CCACVL1_1.0:contig12874:86647:92179:-1 gene:CCACVL1_22127 transcript:OMO64006 gene_biotype:protein_coding transcript_biotype:protein_coding description:Six-bladed beta-propeller, TolB-like protein MALSLCSPRFLIFLLLISAIPIAYIISVERGEPATHVFHYHSNGYLRECAKWDEQGNRFLVTYMEGGVGEVHVPKDHSPDAVLKEVTVVKDFDLAGNASLGMFVDRPRNRLLVAVADVFGNRYSGLAAYDLSTWKRLFLTHLPSDEKSFADDVAVDADGTAYVTDTKGSKIWKVGVNGELLSVVRNPLFNPKEWYKTLFGLNGIVYHPDGYLIVIHTFSGNLLKIDLTKGEEVKLIQVTGGSLQFGDGIELVSPTKLVVAGNPSGRLVESSDGWETASVVGQFKGPAHRLATAATVKDGKIYLSHMFVSVFKEIQEQQNDSQVLEEEGDNENEDPETEQEGAPAPSAEDIEVESNELIEKDENRADSVDNKLELPSSTEHKEEKKINVTPIDKNEVPSNGKIEEMKIDSCDLTKGKWVYDESYPLFNAAKMLDSIRGKRLVFVGDSINRNQWESMLCMLMAAVKDPKKVYETHGRRITKEKGNYSFKFVDYKCTVEYYVSHYLVHESKARIGQKRRPTLRIDAIDHGSSRWRGADILVFNSAHWWSHYKTKAGVNYYQEGNQVHPKLDVSTAFRRALMTWGSWVDRHINPGKTQVFFRNSAPSHFSGGEWNSGGHCLEAAWPLNDTSAMNYPEKNKIVEEVVLQMKTPVTSLNITGLSAFRIDGHPSIHGKKPGTRFSSKIQDCSHWCLPGVPDAWNEILYVHLQSKLKHKNHSGS >OMO63998 pep supercontig:CCACVL1_1.0:contig12874:41765:45071:-1 gene:CCACVL1_22119 transcript:OMO63998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKFGFEGFGINRPATYNFERSQAPQRLFVPPSSRHGHDNYEDTDIDNIDYADNETSKDVDNDNPKGNGAEDDEIDPLDAFMQGIQEDLKSAPPPKPKEKAERYIDDDDEDDPVESFLRAKKDVGLTLAADALRAGYDSDEEVYAAAKAVDAGMLEYDSDDNPVVVDKKKIEPIAALDHSDIEYEPFNKDFYEEKDSISGMSEQEVAEYRKSLAIRVSGFDVPRPVKTFEDCGFATELSRAIAKQGYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIFLETKKFAKPYGIRTSAVYGGMSKLDQFKELKAGCEIVVATPGRLIDLLKMKALTMMRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREILADPVRVTVGEVGMANEDITQHVHVIPSDSEKLPWLLEKLPGMVDEGDVLVFASKKATVDEIESQLSQKGFKVAALHGDKDQASRMEILQKFKSGIYHVLVATDVAARGLDIKSIKSVVNFDIAKDMDMHVHRIGRTGRAGDKDGIAYTLITQKEARFAGELVNSLIAAGQNVSMELMDLAMKDGRFRSKRDARKGGGKKGRGRGGGGGGRGVRGVDFGLGIGYNPESNNASSQAGQGRNAAVNSLKTGMMAQFKSNFVAASSNSQSQGFSNSSSVRRPTLSGFVSGGTIGGDINRAQTSGSFSTAPTSGLNTPSNTGQNTSQRNSDSSRDRPRERRRPSGWDR >OMO64007 pep supercontig:CCACVL1_1.0:contig12874:95518:95709:1 gene:CCACVL1_22128 transcript:OMO64007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit Vc MAGGRVAHATLKGPSVVKEIIIGLSLGLFAGGLWKMHHWNEQRKVRAFYDMLEKGDISVVVEE >OMO63996 pep supercontig:CCACVL1_1.0:contig12874:37449:39105:-1 gene:CCACVL1_22117 transcript:OMO63996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYRRLVSSGSWLVRNLCTVARNAAGKSKSAAVDAASKRDNRLYVRLSRLPRTGGTVSEVLNGFINEGRKVRKEELTGIVKELRKYHRYQHALDIMDWMGMQNVQYNHKDHAMRIDLIAKIKGIEEAEKYFNSLPPIAKTQSTYGSLFSCYCIDCKKDEALAFFEKMDDLKLVNNDLPFSNLMSMFMKLGQPEKAPELIDEMKRRNIPLSYFNYVVWMQSYANMNDLEGVERVREELARDSPDKCTPTTYNNLAAIYVKFGEFEKAEECLKKIEEDKRPRDREAYHYLISLYAHTSNLAEVKRVWASLKQSFPTVTTNMSYLVMIQALAKLKDFKGLKECYEEWESSCSSYDMRLATSTVRGFLSADMLEQAEGVLDDAMKKRREISSKAMEPFMVYFLNKCQFDLALKHLEAAFSVDKTWQPTLGTMVAFFDYFMKEGDGDVDAAEEFCKLLKSRNCLDANAYLLLLKTYAAAGKQAPDMRQRLEKDAIELSQELQDLLANVCPE >OMO64003 pep supercontig:CCACVL1_1.0:contig12874:66623:67824:-1 gene:CCACVL1_22124 transcript:OMO64003 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MAEGKEKVVMDASVSPKLDSKGLEESELKSPENQASKRRKVVEKTVVTVKIGENSGKLKNEGPPSDLWSWRKYGQKPIKGSPFPRGYYRCSTSKGCSAKKQVERCRTDASMLIITYTSSHNHPGPDPHATNSPKEPSSAVNGEDQPPTPKQQEEVAEQDQETAEEEVETEPIKTSSVKNGSEEEQFHYLQSPLRSPQNIIVSQEDPFNGNLEKTQDHSLGFLLDEEPLSSCPHISITTTTTTATPPTSEENDFFDELEELPISSAFTSFMMRSKFFDEGIPVVPS >OMO64009 pep supercontig:CCACVL1_1.0:contig12874:101842:106952:-1 gene:CCACVL1_22130 transcript:OMO64009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTEKLQCGISGMHRGDYFEGLFLMTNGTGSVAMSAADGEKAVIVETIASSVLRFVREELSLDSKVCCEARSSYSWELKDSVMALRASVTDVSVFSTFLSTKRVLVRCAWADPEATKPWNIDEPKAL >OMO64001 pep supercontig:CCACVL1_1.0:contig12874:57244:59807:1 gene:CCACVL1_22122 transcript:OMO64001 gene_biotype:protein_coding transcript_biotype:protein_coding description:PAPA-1-like conserved region MEEFSGTRFENMNNAVRKKRSQPSRRPRPDSQSFAEDQDYSPPLSSTPPSDDMSKVSSDENVGGDANSKRKEFSLNQCMSRSSAVGIEVEKTHRRKKEDGGFNAFYNNEPGRSGSLNNKRSSEGVLAPANWKSTSKAKEWSEPELSNADVYGGSNGDIQSPVQGAVTDSNESKFKKVKLKVGGVTRTIHANSAANGLPGSGSSTRKNNVQGSSDDRHSPPDKRSSLQGVPWKDFSKGGFGFGKEDSLMGKTSGKNGKQGDQGGPVRKSKRVPKRRVLDEEFGEDDEDDEIRYLEKLKTSKISPGYREDDDESGKKQKKLSRVSNMENLGPSRSSKDEKRKSRSDRVSEDTDYEEEDELVSDSELEGKKRKKQRKESVDVLMETKREMTLTTRQRALQSSKDASSAPGSSLIEFPNGLPPAPSRKQKEKLTEVEQQLKKTEAAQRRRMQVEKANRESEAEAIRKILGQDSSRKKREEKIKKRQEELAQERAVNAEMLASNTIRLVMGPTGTTVTFPRDMGFPSIFDSKPSSYPPPRENCAGPSCNNPYKYRDSKSKLPLCSLQCYKAVQEQLPAETTC >OMO64002 pep supercontig:CCACVL1_1.0:contig12874:61019:64078:1 gene:CCACVL1_22123 transcript:OMO64002 gene_biotype:protein_coding transcript_biotype:protein_coding description:ClpP MAHTCVSTSASSLRFSSVPFSPNSSTNLDSHKLSVPFHPLRPRDDLQVPSSPYFPAYAQGQGPPPMVQERFMSVISQLFLYRIVRCGGPVDDDMANIIVAQLLYLDALDPKKDIVMYINSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGSQTDIEIQANEMLHHKANLNGYLAYHTGQSLERINQDTDRDFFMSAKEAKEYGLIDGVILNPLKSLQPLAAAADSSEQLSV >OMO64004 pep supercontig:CCACVL1_1.0:contig12874:71401:71460:-1 gene:CCACVL1_22125 transcript:OMO64004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLIIDLHSHLLVAGHA >OMO64005 pep supercontig:CCACVL1_1.0:contig12874:72570:85671:1 gene:CCACVL1_22126 transcript:OMO64005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKNLYQKARKFVTSPTLKQSFHLLTVTLLSLLLPLAFLLVARLSCVNYILTITSDSQPSPSNYFLFSFQFYTNPAVLYVLISAVSIATLVHGLTGKITFVSESPEATYRPHLYIAWIVLCVLQVSVGLGIEGSIATGIDGAAFGVERSLLSRVIFFLGLHEIMLLWFRTVVKPLVDDTIFGGATEERWVHRAAMALSVGTFWWWKLRDEVESLVVVAEAKKELSMEIEMADFLGWWLYYLTVTIGMVRVVKALIWLGIVMLCKRVRRNRADHENIEITTSELEVIQDKDYGKEAAAMFKGKQDHLFGIQEFAEGRENDPIFTEYGIYQENNVAKKSEDQGNQQRQGMGQGTKLDYLEEFNFSSVFSTNLAFQEFQRQDKSHQEPVKPPIPSSLQFSSLELLSNYGNSFKKLKLGKSNTTGEDQTNGRGRGKKLSPEETMRVAGARYVQFSDQRYDDFSMVMHPFGHALSGLSDDETKDVELVHLLLAAAEKVGYEQFERASRLLSRCEWIASERGSTVQRIVYYFAEALRERIDKATGRNIMVTKLQGTKLCNEIDHGLGTNVTSVKCYQQLPFLQVMHFTGVQAIIENVASASKIHVIDFQIRSGVQWTGLLQALAEREQRRVELLKITAVEFSGNRKLAAETGKRLESVAASLNLPFSFNVIYVQDVKDLKEELFNIRSDESLAVNFPLVLRTMISRPSCLEILMRVIKNLNPVIMVVIEVEANHNSPSFVGRFIEALFFYSAFFDCLDTSMAEDVELRTSIESVLFNGIRSIVAMEGEERITRSVKMEVWSAFFARFRMVELGFKTTRPWARVKSKEGLIPKMEKGQAGHVTDNSHVSVLCWVSFSSDSSLCLMRVAKQSRAAPILVTMVSKASDSSSKSRKSHGDNSAGGMGNLTYNLNQLKRQIQAERTASVKEKVEKNRKKLESHISEILSATSSRSILSEEEHGFGKMLSSRIEVPLCRYTGIAQVLGDRDHAHGHEVVSSTSVKLPCIEKLPPYTTWIFLDKNQRMADDQSVVGRRRIYYDQHGSEALICSDSEEDIAEPEEEKHDFTEGEDRIIWSISQEFGLGDEILQAVSQFIGVGISEIQERHSTLTEKYSDQNVNDSEDSGSEKGISLEKSLSDALDSFDNLFCRRCLLFDCRLHGCSQTLINPSEKQPYWSEYEDDRKPCSDQCYLRLRAVKDGTEGSGFNGLHVVKTASLEEKDHVTSSNAKEPNTDVGADLMHEERDISEEATPVTLECTPRSESAAEAQNLDISSLSTIDNHESSGKRKAYQEGNAPLDGSTYCSDGIQDLVSKKQKTVLALDEAKKSSEAASSDDHTPSSISRNHNVGALKENEGQVTDGTQSENSACPAIGSGDKTEDNIRCGAKDVREVPDLKCSSSEWRPIERELYLKGVEIFGRNSCLIARNLLSGLKTCREVSSYMFDAGASTLHRSNMTSSFLEENGKSETDYMEQEISTRPRLLRRRGRTRKLKYSWKSAGHPSIWKRIADGKNQSCKQYTPCGCQPMCGKQCPCLHNGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGGGSMGEPPKRGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNSVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKERIEASEELFYDYRYGPDQAPAWARKPEGSKRDDASVSQGRAKKHQSH >OMO63994 pep supercontig:CCACVL1_1.0:contig12874:28815:33619:1 gene:CCACVL1_22115 transcript:OMO63994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease P/MRP, subunit POP1 MVIEGSKKSSSQPPRKINVQKFAESRATELESLHSTISTRLNNDFRSRRNKRRRTTAFDSQVAKKRNRKRLRLVDKSKVSEQKKNKSTLPRCVRRRLELKKNPETGFVTSGDGTKRLRTHVWHAKRFTMTKRWGFYLPLGYQGRGRGSRAALRWFNQGALIHDASYNVAVQLEGPEDSLMAVLQMVLLPSPSVQSEGVSDSVLSGITYGTAMLHHVGAPLSKPIAPVTYMWRPYQQSKEDDGNNFGDYVETEPCRISYHWCFRQLWIWIHASAFSEGYDALKCACHKLMSERDITLNCFSREGQLAKLELIGSKAFQLIRKTVSPVTCIVENPQLLKKCSFAKDGDDFQRKNSLALENEEHVSNCAILSFTIKDPRILPAKRTTDFPQPNSILDMQEVEADDHANLTRNLDKNEEFISASFSKPEGNEILFDNKNLWDANCEIGPPEEENVLCMEKHQQRMDFFYLDDPKSSKCGASSGTDPQKPSNKAPCLHYCPILVQKNNTKVYLDRSGRWSIILPISWVRVFWVFLISKGAHAIGLREKHWIACENGLPCFPPDYPDCNAYLSLKETEATASRQIVEQRPPAIRPFRIPIPPPWDVVHITIDKLSLSEKEVQTSGGENKVGRNPLKNSNCGRSIETSFGCHNSFDSIIARTSSMLTDFLNGIHGEKLLLFPHFQNRKSSLVRFMKDKTMTGKGQNGITQINHSSKLCFVRVHLHAFKGGVFEDGAVVCAPCLTDISLWTSSTGRSEGGLEMPESAVGSYFKQQSSGKWELHEPKDPASEEYHRWPVGFVTTGFVRGSKRPMAVAFCDAVLLACLREKQWKEMPVNRRRKEIYVLVRNLRSSAYRLALATIVLEQQEEDLIFEIHAITK >OMO63997 pep supercontig:CCACVL1_1.0:contig12874:39372:40826:1 gene:CCACVL1_22118 transcript:OMO63997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLAMALLGPALPQAIVFSCSNSNYLPPSQSKSQLQKRSFSRVFCSSVPSKTVRILNSIFPPFLLELYKILIAPIDGGKVAAMMVARVTVLTCQWLMGTCTVNSVDLPDGTSCNSGVFVERCKYLEESKCVGVCINTCKLPTQSFFKDYMGVPLVMEPNFSDYSCQFKFGVLPPLPENDAALKEPCLDICPIANKRREVQRNVDGMKCPRA >OMO63995 pep supercontig:CCACVL1_1.0:contig12874:34178:36742:1 gene:CCACVL1_22116 transcript:OMO63995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKPQALLQQSKKKKGPARISVTTIIMSSLIVVLTVFFLYATYRHWSQRSRIQMENTQSVLEGESSFMDPKKSDLPGYAILNTAKGSITVELFKDSSPEVVDQFLDLCQRGHFNGMLFRHVIKHYVIQAGDSDKLGATEDWTLKGKHYSQLESSLKHEAFMLGTTKAKHDNKEFELFITTAPIPDLNEKLTVFGKIVKGEDIVQEIEEVDTDEHYRPKSTIGIHSVILKQSI >OMO63999 pep supercontig:CCACVL1_1.0:contig12874:49100:52914:1 gene:CCACVL1_22120 transcript:OMO63999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISAPSNPSGALGNFCSFLVRVVVVLSCAISIANADSARKLLQAPAESPARVPEGAPPVSDLPLPSNLPLIHKPHQRHSSPLSAPTVLAPGQPPFYGPLITSSHPPTSPRLSKPLMKRSASVPPAVELPNIAPTQISPGTIPAGLAEPPLSPEVSNCCKRDSILKRGTHGCHCVYPIKLDLLLLNVSQNPNWNVFLAELASQLDLLSSQIEIINFYVPSLSRLNISMDITPHTGISFSASDASKINSTLVMHRVHFDPNVVGDYKVLNLTWFEPPVPAPAPIVASEPVAAPAHQSSISTSPSPSNKGKHSNLILIFGISAGILVFAIISVLIICSCTFHEGKPKASPKESVKLRTIDAVARAGSLPHPSSTRFLQYEELKEATNNFSPASILGEGGFGRVFKGVLSDGTAVAIKRLTSGGPQGDKEFLVEVEMLSRLHHRNLVKLVGYYSSRESSQNLLCYELVPNGSLEAWLHGPLGARPILRDKDRLEELADPRLGGKYPKEDFIRVCTIAAACVAPEASQRPTMGEVVQSLKMVQRVTEYQDSMLTNNRPNQRQSSTTFESDETSSMFSSGPYSGLSVFDNDNISRTAVSSEDLHEGR >OMO63992 pep supercontig:CCACVL1_1.0:contig12874:151:9134:-1 gene:CCACVL1_22113 transcript:OMO63992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLISFASANSVHGCGGFVEASSSLIKSRRASDAKLDYSHVTVELRTVDGLVKERTQCAPNGYYFIPVYDKGSFVIKVSGPEGWSWDPDKVPVVIDDNGCNKNEDINFRFTGFTLSGRVVGAVGGQSCSLKNGGPSNVNVELLSPDDDVISSELTQSNGWYLFKNVIPGKYKLRASHPDLKIEVRGSTEVDLGFQNGLVKDIFFIPGYDVRGSVVAQGNPILGVHIYLYSDDVTEVDCPQGSGNALPGQRKALCHAVSDADGMFTFKSIPCGMYKLIPYYKGENTVFDVSPSVVSVSVEHQHVTVPQKFEVTGFSVGGRVIDANDVGVEGVKILVDGQERSITDKEGYYKLDQVTSNHYMIEAIKKHYKFNKLKDYLVKPNMASVADIKAVSYDICGIVRTVNSDYKAKVALTHGPENVKPQVKQTDKSGHFCFEVPPGEYRLSALGATPESAPELLFVPPYTDVVVKRPLFNVEFSQALVNVLGSVVCKEKCGASVSVTLQRLGGKRNEGKKTVSLTDESSQFLFTDVLPGKYRIEVKHTSMEAASKEDNWCWEQSFIDVDVGAEDVKGIEFVQKGFWVNVISTHDVDAYMTQQDGSPLNLKIKKGSQQICVETPGVHELHFVNSCIFFGSSSMKIDTSDPLPIHLKGEKYLLGGQINVNSSSSDELPVNIVVDILNGEGMIMHSTNAKLASSANDQIRTAVYEYSVWANLGEMLTLLPRDPRDNGEKKFLFYPILHHVVVTNDGCQAPVPTFSGRLGLYLEGSVTPPISGVHVRIIAGQGGSIGQVEKGEVVLETATKADGSFVAGPLHDDKTYDIKASK >OMO64000 pep supercontig:CCACVL1_1.0:contig12874:53677:54324:1 gene:CCACVL1_22121 transcript:OMO64000 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MEINKITLVLISLSCLFSFSASRLSNSLSPAPAPAPSSLVVKSHSDEVANENTITFSIMPSNADPGLQKICGDTDHPIECVTTTAPFLQENMLIEPVSILKIAVEAMGNKTKEALATANRLLLDPAVDETMVSCLETCIESYNAVLDVNRKVVDAITVHDLYVMNMELSANVENVHTCSDAFEEADIESPIKEFDSLLEKMISNSLAIGVDLVRF >OMO63993 pep supercontig:CCACVL1_1.0:contig12874:9476:13592:1 gene:CCACVL1_22114 transcript:OMO63993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRALLLAFLVLGSGLTTLVSSHQESGEWSCESNSEIRVQADFRPGVITLDGHADDWKDIDGFEFSLRPALDPDEDHEYTDGKMTVKALHDGNDVFFLLQVDGDYAYSKGDNSKCPSAALMFQIGDDATYHNMGGCKEQKGSCTNKTCKGHEVDIMHFSIGNAIPGRLYGGNPVDNREGNGGDRFGHLVDVYAWNPHCRYLDGMGPSGNDSSAQNDWKGAWWHSSFTVHSGFVVEDSPYSEGGQKGTYYFEFSRPLRTMDRLRQDVQFTIGGSSKMSVAFWYPVGGNPWDGSGHYTINCDWVSLDITSGGSLLTKSAPSSSWDASSAFALLFSVAALCVAIFVAYQVSRPKSIPFTPMENL >OMP04798 pep supercontig:CCACVL1_1.0:contig05643:1853:1996:1 gene:CCACVL1_02134 transcript:OMP04798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKQRKQEERERENGRNIGVSDEGLSTATRRLDDGGGSRAALSCSGDAR >OMO97738 pep supercontig:CCACVL1_1.0:contig07205:6174:15668:1 gene:CCACVL1_04476 transcript:OMO97738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEDDFAEALVAFTAQIQDLKSSLETKLEVEAFSIANLQVRFARLDKSPVSPSLPPLPPTASFSTISTVNNNLDVIFDNIQLTLKELVTSYEEQTEESAPTEGETLDTAMVKNGEQIEILDKSRFKDHKPNTTHLKFLELVPIEAVSEDLVARVGGLSQATMALPLPVFIEFRPGGIASSKENTINKCENDKLKLIWPQQVKTIDQTDHVDFIGVSLFLEALAANKIRCFIFTRQSDVVAIKNDMILLVVVAIDDVNSLSKIKVNLGIMREKNSRVFIGFRPGIMREFNDMCELWRVDDKAFHMFRKTLPFRIQIDALQFLYRKFKQSIRGCQEIATQRIIQAQSQREAAAGAAFKDGTDVLSERPNPSALKEHLMKMASEHRAEMASKRGKPTPPEQGNLEIGNGYGVPGGGAYYATPALNIAASGNLRLVNSDTSQKYSELYGESKEKAASKDLPEYLKEKLRARGILKDGANLECSSPQLMETGKLPPGWVEAKDPSTGASYYYNESIGKTQWERPAETSLSAHFAFAKQLVGDWVEAVDETTGHKYYYNTKTNTSQWECPDLLQSVVAGHPGSRASENIVDGNLASQSRNLDKCMGCGGWGVGLVQVWGYCNHCTRVLNLPQRRYLSSMDNQQHDSKLATTKVNYANKPPTQRCNGKSLTGKGNRKEKRKHVHNDDDELDPMDPSSYSDAPRGGWIVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQTKKSGSYWTPLSKKGDGSDGLGDAD >OMO88963 pep supercontig:CCACVL1_1.0:contig08878:26301:26828:1 gene:CCACVL1_08080 transcript:OMO88963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEEEQGKDKGLEDDAEKDLNQDEEEKGEDGSDHSQEEEMVQTFEEGDRESIEKAPSAIVDETNVSKAFGETNSAEADLTLATTEEVIAGLKSLATAPASPKGKGKAKPPSHSTSSPTKRSSVVIKPKKEVTKKVATAIDEAPAHATESDVVSEATNFLRPSLQNDQGPSILML >OMO70284 pep supercontig:CCACVL1_1.0:contig11846:42343:45866:1 gene:CCACVL1_19024 transcript:OMO70284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHVEPTTATAVPTPHSPPIEPVVFVPVGSPEEEIPSPPSEEDHDLDLEHEHEHGQDQDQNQTQDNSSAPGVEYYFSDENLPTDKYMMSLIKKNKEGFVPISLVASFRKMKKLTRNYPSIVAALRESSLLVVNSDGKKVKRRNPLPFIEVRDSKLFTVLVENLPEDHSAENIRRIFGEVGRIKNVCIRDPHAVEDSKKSGRADILYSSKLHALVEFETVEAAEKAVATLNDEHDWRNGMRVKLLKQVAKYGQRRQAWRGPEPEKNSNGRASDHTGDEENNTSNKHQEDIADEEDGEHLSKEKNGHRSRNKGRARRQKNRGTNGMGHGTTFAHPIEPSKPPPGPKMPDGTRGFTMGRGRPLVSKQEGKELS >OMO70280 pep supercontig:CCACVL1_1.0:contig11846:1751:2260:1 gene:CCACVL1_19020 transcript:OMO70280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKLVIESERENAEIYHGDEVCKQKCLEWLDEICLPKGVLPVPILDIVEFGRNRSTGYVWIKLKNKIKEHKFKPINRPVSYDSEITCYSEERRLKKVTGIKSKEMFIWITVSDIYIEDPSSGKIYFAIPNGMRGDFPVSAFEPEDVKNNIDDKKTSRNSDHNSKITN >OMO70281 pep supercontig:CCACVL1_1.0:contig11846:5770:9363:1 gene:CCACVL1_19021 transcript:OMO70281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 47 MSKSLPYSMKDVHYDNAKFRQRSFFKVISQSLLTSNMKRECVSCSTGKFLGLLLIFGLACLMLTHANSTHSVSDELAKGIRTNEEHKVVTDVGIRFKKLWRRAPRLPPRLSPDEKVSYTGKPIEPNVEAKWKAKQQNVKETFTHAWSGYKKFAMGYDELMPVSQRGVDGLGGLGATVVDALDTAMIMGLDEVVSDAGSWVESHLLERISQKGQVNLFETTIRVLGGLLSAYHLSGGDQGVTSAHKGPKPTIYLEIAKNLADRLLSAFTSSPTVVPFSDVVLRDSSAHPAPDGLSSTSEVSTLQLEFNYLSAISGDPKYSTAAMKVLAHLKTLPKVEGLVPIYISPHSGEFSGENIRLGSRGDSYYEYLIKVWLQLRTIQGGNFTYLYQMYEEAMKGVRHLLVKKSKPNQLVFVGELPYGPKGSFSPKMDHLVCFLPGTLALGATKGVTKEKAMRDNLFTFEDLENLKLAEDLAKTCFEMYSVTSTGLAPEIAYFHTEEYFEAGLDGGNKSSEYVNDIIIKRADRHNLLRPETVESLFVLYRITQDQKYREWGWQIFESFEKYTKVDSGGYTSLDDVTTLPPQRRDKMETFFLGETLKYLYLLFGDSSVIPLDKFVFNTEAHPLPIKVGIHKEA >OMO70283 pep supercontig:CCACVL1_1.0:contig11846:36716:40493:1 gene:CCACVL1_19023 transcript:OMO70283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSLVPNGDFETRPSNGFPSEAISDGPTEIPNWKTNGTVELVSSGEKVGGGMLLIVPRGMHAVRLGNDAQISQELTVEKGATYAVTFSAARTCAQLESLNVSVPPASQTVDLQTLYNVQGWDPYTISFEAGDDKVQLIFSNPGMEDDPECGPIIDDIAIKKLVAPDKPKDNAVVNSGFEFGPWMFPNVSLGVLLPTNLDEDTSPLPGWMVESNRAVRYIDSNHYAVPEGKRAIELVSGKEGIISQMVETTPDKLYSLTFSLGHAMDKCKEPLAVMAFAGDQAQNFHYTPDSNSTFQVASVNFTARADRTRIAFYSVYYNTRTDDMSSLCGPVVDDVRVWFSGSRRNQVQVLLGVGLAFWAYLLVLV >OMO70282 pep supercontig:CCACVL1_1.0:contig11846:9838:13514:-1 gene:CCACVL1_19022 transcript:OMO70282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDIHGNFFSSDLLPSLGARINQATKLRRYIISPFNPHYRAWEMWLVLLVIYSAWICPFEFAFLTYKKDALFIIDNIVNGFFAIDIILTFFVAYLDSQSYLLVDDPKRIAVRYISTWFVFDVCSTAPFQSLSLMFTDHGSELGLRLLNMLRLWRLRRVSSLFARLEKDIRFNYFWTRCTKLISVTLFAVHCAGCFNYLIADRYPDPSRTWIGAVYPDFKGYSLWDRYITSIYWSITTLTTTGYGDLHAQNPREMLFFIFYMLFNLGLTAYLIGNMTNLVVHWTSRTRNFRDTVRAASEFATRNQLPPRIQDQMLSHICLRFKTEGLKQQETLNSLPKAIRASIAQHLFFHIVQKVYLFQGVSHDFLFQLVPEMDAEYFPPKEDVILQNEAPTDLYILVSGAANIITHAEGHDQVIEKVSAGDMFGEVGVLCCRPQPYTVRTTELCQILRLNGTSLMNTIQVNMEDGRVVMQNLFMKLNRIGSSSFDQPNIDPGSVQNERLAGGGMGGSCLHTGYEDQPQRYASKEEAIDIDFLGSEKSQIGRSPMNGNSTAEDGQTVFHDVVSKGNVEMVKILLQGGASINKPDARGWTPKALAEQQGNKSMHELLLSYDNRGKLDEHKIEIIEPEKANDPKNSQSKHRSGAGNIFNSASCTDVITPTKKRVTIQMQLQSSSSSRRQLRKLILLPDSIEELLTMAGQKFGGYTFTKVVNAENAEIDDIHIIRDGDNLFLLQDEGENVAVNMT >OMO79209 pep supercontig:CCACVL1_1.0:contig10468:49067:49997:1 gene:CCACVL1_13841 transcript:OMO79209 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein MIVDRRDPIVESDDDDDESNDQDEMVVSHGGEMTGCQIMILGTNLHSFNYVGNLRTDFFLCNSSPLVYASLFMYSTSRGASYRAHKLLRKLTSMKLLIIHPTTFEACMAITLSAYDEEQDNWTLEPVPPSFSTHLKTIDIWRFIGSDDELHVVKVLLRTATVLEKMRFKFFALFINQIELYEEIKKFPKASPNCEIGLL >OMO79213 pep supercontig:CCACVL1_1.0:contig10468:68100:71137:1 gene:CCACVL1_13845 transcript:OMO79213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAAEKGSTTTKTPGDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNGS >OMO79214 pep supercontig:CCACVL1_1.0:contig10468:72848:77374:-1 gene:CCACVL1_13846 transcript:OMO79214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKQGFTLEPITLASQSHTAPSKQPHKAASSKQTSKRPVFKGTEGASSRVKKKDLSALTFASSLIAAI >OMO79218 pep supercontig:CCACVL1_1.0:contig10468:91060:91263:-1 gene:CCACVL1_13850 transcript:OMO79218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSYSFQTMQSDRRSNINAKNGIRTQGGFVVRNGQSIRSLSSSNGPQASPYHHPQPSPKPKAKES >OMO79217 pep supercontig:CCACVL1_1.0:contig10468:84757:86422:-1 gene:CCACVL1_13849 transcript:OMO79217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MGQSLGCFQVGQSTVAVKEQFGKFDEVLEPGCHCAPWCFGYKVAGKLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKAADAFYKLSNTRGQIQSYVFDVIRASVPKLNLDAAFEQKNSIAKAVEEELEKAMSAYGFEIVQTLIVDIEPDVHVKKAMNEINAAARLRVAANEKAEAEKIQQIKRAEGDAESKYLSGLGIARQRQAIVDGLRDSVLAFSENVPGTSARDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKGISSQIREGLLQAQATEK >OMO79203 pep supercontig:CCACVL1_1.0:contig10468:21336:23372:-1 gene:CCACVL1_13835 transcript:OMO79203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSTAVLLLILLFSATTSPISSAPILGLDSFLTRQSHIDPQSANDPFTSLPSSIKKSLASSSPGHLSVPSLISDLLSLSLPIPLHVRLVGHSFSSSAPSHLSSFLQSSLTSSHFHLISSSLSFHSLSLRHSLHLDVSLSSSSLASSLSDAISAALSSTPSSLRSPLLSIPYSTIDPIISRHFDSEKADNSLYIYILNLGLSSKQSYAYSYTHSDSSAGYTNCLGSLWTGTKRYLWIDLGAGPVDYGPALSGDGVLPRGEFHPLAALHGRPKSEKTLLSELASLIYSAYQVLVVPPLRIPVHFENTLTVQLIHVHASETKDLVGLDWNKIEKSFRDEANDGGLLIANQTLEFKRYSVKYEECSICSFAVSRSINSYTSRFLFDNYTLIVSEYLDSKRLHQILSDSAEEFRRVAGLPEEEFSSRVIPVYVFDLDFNTILLLDRYHQSVSFKDMVIAVRTKSAQTVSDYSCNGRHVFTRTRELERPLVGSILQSMWGVSPTHLLWSPTHNSTLVDYTWSVGQTPFGPFSEISSLSFVQKDAARRNFLLTSLNYSITSAIDVLESVDAHGGDRNLLKQNQHVEFVQRWHLFKYKLDKVVSALSHFDFEMALYYLKSSDHDLYAIHNLVYTASQEIEASLVCFKDPPFPWASVSFSAAGFLALSYVYAKRDKLFRNKRKQF >OMO79216 pep supercontig:CCACVL1_1.0:contig10468:82175:83451:-1 gene:CCACVL1_13848 transcript:OMO79216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Band 7 protein MGNLFCCVQVDQSTVAIKERFGKFDEVLEPGCHCLPWFLGSQLAGHLTLRLQQLDVRCETKTKDNVFVNVVASVQYRALAEKANDAFYKLSNPRTQIQAYVFDVIRASVPKLDLDDAFEQKNDIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRVAANEKAEAEKIIQIKRAEGEAESKYLSGVGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVLDMVLITQYFDTMKEIGAASKSSSVFIPHGPGAVRDIATQIRDGLLQASHHQEYLE >OMO79212 pep supercontig:CCACVL1_1.0:contig10468:62563:63117:1 gene:CCACVL1_13844 transcript:OMO79212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECPRLLPSTHLALLIFLIPLTLAADLFFDFRCISQPGNYTPNSPYQANLNSIFSQLISPNTDFNYGFYNLSAGENPDQVYSTALCRGDRTQDVCMSCLNETIARLKQNCPMNKEAIGWHENCTVRYANRNMFGEMEYTPQSPKPSTGENASNPDQFNQALDQLLSNLSATVVTFASLQLVTYN >OMO79211 pep supercontig:CCACVL1_1.0:contig10468:56546:58264:1 gene:CCACVL1_13843 transcript:OMO79211 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MGIPMLRAMYGDKSTTLLAQIIVLQSLIWYNLLLFLFELNATKAASEITPSPPQGTEMQEAPAGELGVQDRKEGSREEAQSRVVHKSKAMLIFLTVGKKLMANPNTHATILGLIWASIHFRWNIEFPAVIRESIAIVSGGGLGMAMFSLGLFMASRPSIIACGIRLAAVAMALKFMAGPALMAAASAAFGLKGESLRVAIVQAALPQGIVPFVFAKEYNVHPDVLSTGVIFGMLIALPIALVYYLILAV >OMO79202 pep supercontig:CCACVL1_1.0:contig10468:15152:17002:-1 gene:CCACVL1_13834 transcript:OMO79202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDAKGEDNQPDNYTLTIALKACAGLQLLKQGEILHGVLRKNEKIGLDLFVGSALIEFYSKCGKMRDASKVFKEFEKPDVVLWTSMVSGYEQNGCFEEAVAFFSERVVEKGVDPGHVTLVSLVSACAKLSNLKLGRSVHGFVIRRGFENELCLVNALLNLYAKTGFVKAAEILFRRMAGKDVVSWSSMIGCYSHNGHAVEALRLFNDMINKGVQPNAVTVVSALQACAVACDLGEGQRIHELATKEGFDVEVSVSTALIDMYMKCLSPNEAVNVFKKMPRKDVVSWAALLSGYSQAGMAYKSIGVFRDMLSSGIQPDAVSMVKILASSSELGILRQAVCLHGYISRSGFDSNAFVEASLVELYSKCGNLDYAVKVFEGSIDKDVVLWSAMIAGYGIHGQGGESLKLFEQMVKSSAARPNNVTFLSMLSACSHAGLVSQGIEIFHMMVNEYGLNPSSEHYGIVVDLLGRTGELDKAMEIINQMPIPVEPHVWGALLSACRIHHNLEIGELATKNLLHLDTNHAGYYILLSNMYAVDGKWGNVEKVRTLIKEKGLKKMFGQSMVEISNEVHSFVADDKFHPECEKIYELLRQLEVIMRLQLEQLYGIFEAPLSFKIT >OMO79204 pep supercontig:CCACVL1_1.0:contig10468:24350:27483:-1 gene:CCACVL1_13836 transcript:OMO79204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESPASPPQSATTTAATTKITDLDEDSLAHCATYLSLQDLSNLAMTSKFLKKVAYSDSIWLHRFRERWPLEMLSSSSTGARKAYFDWRTALHQFKFADPFVLDLYTEAKHFDHLLVDKNEIIFSQGSVVRRMKVDSFLRGGSLVQRSDHNARITCMRLFPLTEISLVRSETQREENVLVTSSYDHSIRLWWKGDCQRCFRGHNGAVSTLSDKFLGDGGSKVLASGGEDGTVRLWSLRSSGKRGQQALKATLYGHQKPVTLMSVAGHKTSLLVTMSKDSKVRVWDTTTSSAIRSSCCVGMTSVPGVPVDLKCHETLLYVAAGSSVVIVDLRIMQKVNTAAIFQPKLYSLAVMPSKSLICTGGFGKAMLWDIRRGQGTSKPEPVTELDGHMGSVTQLYMDPYKIVTGGLGDNFVNIWETDTGRKTNSLLCNHPELGSTNVGCSAMAVNACRIVTASYGETQGLICFRDFSTATYPITECDEEEDESSKFWGIQSYSDSDGSDE >OMO79208 pep supercontig:CCACVL1_1.0:contig10468:42337:47514:1 gene:CCACVL1_13840 transcript:OMO79208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structure-specific recognition protein MTDGHLFSNISLGGRGGTNPGQLKIYSGGILWKKQGGGKAVEVDKSDIVGVTWMKVPRTNQLGVRIKDGLYYKFTGFRDQDVVSLTSFFQNNCGITPEEKQLSVSGRNWGEVDLNGNMLTFLSGSKQAFEVSLADVSQTQLQGKNDVILEFHVDDTTGANEKDSLMEISFHIPNTNTQFVGDENRPPAQVFREKIMSVADVGAGVEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKSNQPHTFVVVTLDPPIRKGQTLYPHIVLQFETDYVVQSDLSINEDLLNTKYKDKLEPSYKGLIHEVFTTVMRGLSGAKVTKPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHNLFDFISSKGLKIMNLGDVRTADGVAEILQDEDDDAVDPHLERIKNEAGGDESDEEDEDFVIDKDDGGSPTDDSGDEESDASDSGDEKEKPIKKDPRKEASSSKATKKKAKDGPPDGGKKKKQKKDPNAPKKAMSGYMFFSQVERENVRKSNPGIPITEVSKVLGERWNKMTAAEKEPYLAKAQADKKRYNDEKSVYKNPQPMNIDSGNDSA >OMO79207 pep supercontig:CCACVL1_1.0:contig10468:36790:38677:-1 gene:CCACVL1_13839 transcript:OMO79207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMLAKNEQYQGRRRLCSVDGEVKPVRCVKRRRRDPASVALGCDDNQGQQNDQTNTAPTVKRSSRFRGVSRHRWTGRYEAHLWDKLSWNVTQKKKGKQGAYDDEEAAARAYDLAALKYWGTSTFTNFPISDYEKEVEIMQSMTKEEYLASLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPGTNNLIAPNETLGMPEPELVPLTSSYSPRDIESNKPSFCHSFATDYLNSPQKQEVLETKLPTNSYNKSSSSPTALGLLLRSSIFRELVEKNANINVSEDESTDADDEPKNRQARCDDELGGLFYDGFSDFPFLCSSGKDSLELQERENPFIL >OMO79215 pep supercontig:CCACVL1_1.0:contig10468:79472:81668:1 gene:CCACVL1_13847 transcript:OMO79215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGPGLYTDIGKKARDLLYKDYQTDQKFTLTTISDTGVAITSAGTKKGDLFLADVNTQLKNRNVTTDIKVDTNSNLFTTITLDQPAPGVKAILSFRVPDQRSGKMELQYLHDYAGISSSIGLTANPVINLSGVLGTNALALGTDLSFDTKTGNFTKCNAGFSFSNADLIASLALNEKGDSVNASYYHIVNPFTAVGAELTHSFSTNENTITVGTQHALDAKTIVKARVNNAGKASALVQHEWRPKSLFTVSGEVDSKAIDKSAKVGFALALKP >OMO79205 pep supercontig:CCACVL1_1.0:contig10468:27792:29028:1 gene:CCACVL1_13837 transcript:OMO79205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGRSNIIRGASTYGPNYYPAIAKIQKLVKAKIKNHCFSKAIAITNLQQAKPNSVKIMVGAKIAGEALGDD >OMO79206 pep supercontig:CCACVL1_1.0:contig10468:32941:35470:-1 gene:CCACVL1_13838 transcript:OMO79206 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, V0 complex, c/d subunit MYGFEAMTFNIHGGYLEAIVRGHRAGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLAQATEPLSTFLEYITYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMSDLLAFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAICEDIDQVRAVMEKYPPYQSIFSKLSYGESQMLDKAFYEEEVKRLCLAFEQQFHYAVFFAYMRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >OMO79210 pep supercontig:CCACVL1_1.0:contig10468:50586:52239:-1 gene:CCACVL1_13842 transcript:OMO79210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase/Amb allergen MEVNKLRLVFFFSFVTLIPTLLAGIGNFDDYWKKREQEAWKKTLAAYNPNPENVTSSTNHNVHKALVSHKNETRAYNKAHHAKTNNSTTPRRNMMGKHKKYSGPCLATNPIDRCWRCKPDWAKNRKKLANCALGFGHQTQGGKRGRFYIVTDNSDNDMLNPKPGTLRHAVIQKEPLWIVFAHDMHIKLNQELLITSKKTIDGRGANVHIAYGAGITIQFVEDVILHGLHIHNIIPRSGGLIRDSVDHYGFRTQSDGDGISIFGSSNIWLDHISMHECSDGLIDAIQGSTAITISNCHFTHHNDVILLGASDSYSKDKLMQVTVAFNHFGKELIQRMPRCRWGFFHVVNNDYTHWKMYAIGGSQHPTIISQGNRYIAPDDPKAKEITNRNYGTEAEYSTWVWRSEGDMFLNGAHFRTSGPDAPPKYNYNKLQMIKAKPATFVRRLTRFAGALDCKKGVKC >OMO87147 pep supercontig:CCACVL1_1.0:contig09310:49:409:-1 gene:CCACVL1_09238 transcript:OMO87147 gene_biotype:protein_coding transcript_biotype:protein_coding description:MATE efflux family protein ALF5 MVSSLNVEVEVGDGRRKGNWWERVLDLSEAKTQVKFVVPMVLCNVFNFSITMVSVIFACHGKLELSGSTLANSWAFVTGFDVMTGLSGALE >OMO83619 pep supercontig:CCACVL1_1.0:contig09873:24941:26670:-1 gene:CCACVL1_11324 transcript:OMO83619 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase III subunit RPC8-like protein KCKASKSLSIVGHQLEVKVIKGEDGRLSLEEDDEEEKWSESDLVSRELPIITIIMTVAGLGS >OMO83617 pep supercontig:CCACVL1_1.0:contig09873:19465:19995:1 gene:CCACVL1_11322 transcript:OMO83617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISLSCFRQPSPQKHDRSSCSSMLGCLWGKETKKRQLEQKEMPQLQQQLKKAKEVTLEEWLIASPGLQKHNNSGSGEYHVFKHSSKRVFPSFIGENHGNSSKINSCSNPRGSFSKESLLKFEDNVEREEVEISISRSQSGKSKKKVSFRLPEEADIFIFHSSSSSEEYSAGKEII >OMO83614 pep supercontig:CCACVL1_1.0:contig09873:11026:11518:1 gene:CCACVL1_11319 transcript:OMO83614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKITFMVSKASLTLTSSRSLSAKIEALDLKRKIPEIFA >OMO83618 pep supercontig:CCACVL1_1.0:contig09873:20814:24051:-1 gene:CCACVL1_11323 transcript:OMO83618 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MAPTPKGDNVVVSNMKLEKLLCMKGGRGEASYANNSQAQALHARSMLHLLEEALDSVQLNSPDVPFVVVDLGCSSGNNTIYIVDVIVKHMIKRYESSGYYEPPEFTAFFSDLPSNDFNTLFQLLPPLANDHGGRTSMEECLASNGQRSYFAAGVPGSFYRRLFPARSIDVFHSAFSLHWLSQMPEAVLDKRSMAYNKGRVFIHGANESTANAYKKQFQTDLAEFLRARSIEMKRGGSMFLVCLGRTAVDPTDQGGAGLLFGTHFQDAWDDLVQEGLISNEKRDNFNIPVYAPSLQDFKEVVEADGSFAINKLEVFKGGSPLVVSRPDDATEVGRALANSCRSVAGVLVDAHIGDKLSEELFLRVERRGTSHAKELLEQLQFFHIVASLSLA >OMO83613 pep supercontig:CCACVL1_1.0:contig09873:4773:8192:1 gene:CCACVL1_11318 transcript:OMO83613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNKETSVRCSWFRVICLVLLLRLRGSLSFYFNSSDQAQAQAQPTLCLPHQSSTLLQFKHSFSVNCSHFPWFWERIIYPKTNSWKEGSDCCVWDGVTCDAETGFVIALDLSCSCLHSVSPSNTSLLLLPHLRMLNLAFNDFRQSPLASVFGGQFTTLTHLNVSHSGFEGPIPSPIFSHLPKLVSLDLSFNYALSFERHGFEKMLANSTQIRQLFLDQVDMSLVDPASFLNLSSSIVSLSLGGRNNQLLGKLPQELFHFPFLQMLRTTPLHLDHDHVSWEVSFPKTNMTSPLRSLQLPFVSIAFAGQHLLNSIGNDLRFLEVLDMSNCNLNGSIPHSFANLTHLIRLDLSFNNILGQLPPPFSNFKHLQYFDLSHNQLDGQIRDDFGNLTQLFRLSLRSNQLSGNLPFSVLNLPNIVLVDLSQNELVGSLPSQVTGLSLLLKLWLSGNFLDGRIPPWLFTLPSLFSLDLTDNKFTGPIDPFAQPAASLLEYVDLQNNEIRGPIPTSVFALVSLTILDLSSNRLTGISEPNINLPKLNKLDTLNLSNNTLLSFKSTGRNANYISAPNLRSLKLSSCNITEFPWFVRNLEGLEELHLSYNRISVIEANMFTRLKSLRFLDLSHNTQLSLNAIPTLPLPNLERLLFSSCNTTEFPNFLTTLKNLSELNLSNNSIHGKISKWESESEGWPSLRSLDLSNNFLTGIDYYPWKNVMTLNLRSNLLEGSLLVPPLSTMIFIISKNKLTGKIPSLICSLEILEILDLSENNLSGTLPICIGGFSILLTILDLQRNKFHGNIPHSFLQGNRLQTINLGSNDLDGPLPKSLVNCSWLEVLNVGNNKIYDTFPHWLGALPKLKVLVLRSNNFHGELINSNMKGSPFPDLRIFDLSHNDFSGSLQPFLQSFQSMKNLGNVAMRYIGENGDLIDTYYHDSVVITMKGAEFQFERILTIFTTIDMSSNRFEGEIPEIIGKLASLQVLNFSHNNFISHIPSSIVNLTQLESLDVSSNKLTGHIPTQLTGLGFLEVLNLSENRLVGPIPMGKQFNTFPNDSYIGNPGLCGFPLSKTCGSGKPEAPPSHEQKADSDSVFQWKAALIGYGSGLVFGISASYIMLTLGRPFWLVKMVEEATYKLKTYLTTRARARARA >OMO83615 pep supercontig:CCACVL1_1.0:contig09873:12314:15453:1 gene:CCACVL1_11320 transcript:OMO83615 gene_biotype:protein_coding transcript_biotype:protein_coding description:Microtubule-associated protein, MAP65/Ase1/PRC1 MAVTDAQNPLLGETTCGSLLQKLQEIWDEVGESDEERDKMLLQIEQECLDVYKRKVEQAAKSRAQLLQTLSDAKLELSTLVSALGDKSFVGFPEKASGTIKEQLEAIAPALEKLWKQKEERVREFSDVQSQIQKICGEIAPNISEQNGPPAVDESDLSLKRLDEYQAKLQELQKEKSERLHKVLEFVSTVHDLCAVLGMDFFSTVTEVHPSLDDSTGVQSKSISNDTLLRLAKTVSALNEDKKQRLNKLQELATQLIDLWNLMDTPEEERKLFDHVTCNISASVDEVTVPGALALDLIEQAEVEVERLDQLKSSRMKEIAFKRQVELEEIFARAHIEIDPEAAREKIMALIDSGNVEPAELLADMDNQIAKAKEEALSRKEILDRVEKWMSACEEESWLEDYNRDENRYNSSRGAHLNLKRAEKARIMVNKIPGMVDTLVAKTRAWEEDRGISFTYDGVPLLAMLDEYAMLRQEREEEKRRLRDQKKFNEQQSTEQEAIFGSRPSPARPAGTKKVVGPRANGGANGTPNRRLSLNANQNGSRSVSKDGRRESMRAAAPANYVAISKEDASSHVSGTDPVPASP >OMO83616 pep supercontig:CCACVL1_1.0:contig09873:16066:18553:1 gene:CCACVL1_11321 transcript:OMO83616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANENEPAKLLLPYLQRADELQKHDPLVAYYCRLYAMEKGLKIPTSERTKTTNALLISLMNQLEKDKKSVKLGPEDNLHLEGFALNVFAKADKQDRAGRADLSTAKTFYAASIFFEIINQFGPLQPDLEQKQKYAVWKAADIRKAIKEGRKPTPGPPNGDEDLSIPSSTPSGAYDLGPSEAAVNLPRQDSVNLPRQDSDSSARFPDEVNNQNYTNIPPPAQFHDKIERQHSSDIPPSPHSYESYPSASYPSQDQSFRQEPQQHFHPPGPPPPSSRSEDPSYPPSYQHQTYPQEPQQHMPHSYPSSHDAPSYSYPNFQSYPSFSDSSLPSVPTHYPSYYQGSETPYTPQSAPPTTSYPSTSQYTSSSRNGTIPEPPAPTTPQYQYDSNYQPPPEKIAEAHKAARFAVGALAFDEVSVAVEHLRKSLELLTNPSASH >OMO57318 pep supercontig:CCACVL1_1.0:contig14406:1496:5024:-1 gene:CCACVL1_25843 transcript:OMO57318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGAKRIEVERRSAGHQPTVWGAQLVNSFTSPYSYENHSTRMEELKQGVLTKLLVDSTEPHDQLDLIDKVQRLGLASHFEKEINQILTQLQYPNYIATDLYAVALQFRLMRQNGFSITTDVFKQFMDRDGKFMDNLREDVSGLLSLYEASYLGFPEEVILEEAYNFSSESLSSMVMKVDEMISSEIVEMIQESLDFPLHLRFPWTESRYFIHIYQRDEAMDSTLLEFAKLNYNILQSVYLKELQQLVGWWKDLNFKEKLPFVRDRLLENYFFALGGSLELQFPKARRNIAKFCFVATAIDDIFDTYGSLDELEKFTEAINCWDLKAMKELPDYMKVCYSAMYDLVSEMAQDALKDTRMDVLPYIKKHLMCYIKGYLQEARWTQSGYIPTADEYIENARKSIAIHLCVIFGTFGVVGHSLNEYLYEFVEHESDLAYLAAVIVRLVDDLHTAKIEMERGENMNFIYCYMKQKGVSEEEATDHVKDLIRNSWKKFNKSIVGNYGRAPAIVNVALGLTRCVHRMYQYGDWYGIQSKENKDCLLKSILEPITMQLYVNTAVRKWY >OMP00236 pep supercontig:CCACVL1_1.0:contig06709:9309:12155:1 gene:CCACVL1_03433 transcript:OMP00236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTTTSDFEVGGDQFEPPIDHLVQQPLFEIDGAYGMKKILTS >OMP00237 pep supercontig:CCACVL1_1.0:contig06709:17390:17530:-1 gene:CCACVL1_03434 transcript:OMP00237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQVIRNSTGERETEVAFEATEMNTKEIENRKITRVEEAIGFGLN >OMP00241 pep supercontig:CCACVL1_1.0:contig06709:26272:30320:1 gene:CCACVL1_03438 transcript:OMP00241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MASLSHLVFCFSFLFCLKNAFFVLGFHSNSVFFPVSKDAATLQYVASISHGTPPAPTNLVVDLGGPFVWMDCDSGPVSSSKKLISSCSVNCSRAKFHDLESRGEKGCNFNTNCNVLPYNGVTGLTAEGKLVEDILAVDSVDRLQVGQVKAVDHFLFACAPTFLLQGLARGAKGILGLGKASISLPWQLSSSIGHSQKFTLCLSSSNGVVSTGNGDPIFGTKITRSLLYTPLVTKKNDYFINVQSIKINGKRLAIDKSMLLGDEEGKSGTKLSTVLPYTTMESSIYAIFSKAYVRAAESMNMTRVVAVSPFELCFNSQEGVAPEIDLILQSEMVKWKINGRNSMVKVSNEVMCLGILDGGLEQSSSIVIDNNVIRTATFAELSQDVVSVQSTDGTSPGPVVSVPKFLFSCGATFMVEGLANGVTGMAGLGRHQISIPSLFSAAFSFDRKFAICLTSSAKSKGVIFFGNGPYIFHPNIDVSKDLIYTPLILNPVSTDAIYYEGSPSTEYFVQVKSIKINGKTVPFEFYHLLSIDDQGNGGTKISTVNPYILLETSIYNAVIKAFVQEINANVSQVAAVSPFGACFSSNNIGKTRAGPAVPPIDLVLQSELVYWRIWGANSMVQVNENVLCLGVVDGGLNPRTSIVIGGHQLEDNLIQIDQATSRLGFSSSLLLKQTTCSNFNFTSIA >OMP00240 pep supercontig:CCACVL1_1.0:contig06709:24098:24208:1 gene:CCACVL1_03437 transcript:OMP00240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAYLILLPAIFSCFFFALPFHLQQTQRSQSLDS >OMP00238 pep supercontig:CCACVL1_1.0:contig06709:18773:21055:1 gene:CCACVL1_03435 transcript:OMP00238 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MASSSSICFLFSIAILFILGSNAATTTTKPSAFLLPIYKDKATLQYYTNIKMGNNPGMEMNVVIDLGGLFFWFSCDGTYASQSYHAVSCDDSAKCEAAKTMGCISCLGPVIRPGCTNNTCSLSPFNPFNKSLSGGDLSEDQLIVSSTDGLKTIVPSFPFACVSPFTGYLDGFAAGTKGMLGLSRGLIALPTQLSLKFKIPRKFALCLPSSTSNSGLGDIFIGGGPYLSKSLTTTPLVINPVSTAPAFFVGDASEEYFINVKSIKVDAKTVSLNNSLLKIDGNGFGGTKFSTIEPYTVLHTSIYKAVLQEFANKAASMKMTRVASVAPFGLCFSSKTIRTSGTGPAVPAIDLVLESSRAPWRIYGHNSMVQAHSIYKALVNEFVTKAKALKAKQVKSVAPFGACFSSKTITNSKTGPAVPVIDIVLHRSRVVWRIYGHNSMVKVKKNVKCLGFVDGGSKPTTSIVIGGHQLEDNLVVFDLASSKLGLSSSLLLKNTSCLHSRLF >OMP00239 pep supercontig:CCACVL1_1.0:contig06709:22204:23460:1 gene:CCACVL1_03436 transcript:OMP00239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MHPFTVLFLIAFSFISVSALAPPYKYKTLVAPIVKDPKTSLYSITLNSRENYVIDINAPLSWRLCPPGYPPYPVSCYAPQCIQARSFLSSMCPPADNVWMKYGQCQCVVTPVNPVAKSCVPERLTYGNFNLSWTNGKNPTGVAKLNKIYYSCASKALFKSLPQGASGLAALSRAPLAFSSQLTPSNLGVAKKFAICLPSTNKAPGVTFFGDGPYNFEHYIPAQLDASKILSYTPLVKKSNSAEYYIGVKGISINEKASKFSPNAFAFDSNGNGGVKLSTLVPYTVLRSDIYKTLLNDFSKATKNIPRAKTVSPFGLCMKASALGWSRVGLMAPTIEFELGNGAKWYMIGAHSMKVVGNDVACLAFVDGGKTAKEAVVIGSYQLEDNLLQFDLAASRLGFGSLTARRSSCTYFNFTSTV >OMO62080 pep supercontig:CCACVL1_1.0:contig13357:15902:15976:1 gene:CCACVL1_23042 transcript:OMO62080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRVRLAVTGPTLLTVRANPTPSW >OMO84906 pep supercontig:CCACVL1_1.0:contig09680:12826:18466:1 gene:CCACVL1_10577 transcript:OMO84906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab GDI protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLFQLWKKFRGNDKPPAHLGSSRDYNVDMIPKFMMANGTLVRVLIHTDVTKYLYFKAVDGSYVFNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYDEKDPKSHEGMDLTRVTTRELIAKYGLDDNTVDFIGHALALHRDDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDDEGKACGVTSEGETARCKKVVCDPSYLTNKVRKIGRVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRRSDMYVFCCSYSHNVAPKGKFIAFVSTEAETDHPETELKPGIDLLGPVDEIFFDIYDRYEPVNEPSLDNCFISTSYDATTHFESTVTDVLNMYTMITGKVLDLSVDLSAASAAEE >OMO84905 pep supercontig:CCACVL1_1.0:contig09680:4376:7657:1 gene:CCACVL1_10576 transcript:OMO84905 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAVAQVSKEVKVWRNEVFGNIFKRKRVLVSRICGIQQSPDYGRFSFLQDLESCLQQEYHEVLHQEELLWLQKLRLDWVQSVELGRSVVEFYKLLFAHHHCQALEAAFDTFSLKATEDEQLQLSCTIDLEEVKIATFSMKGLKAPGDDDIQPVFYQRNWDVVKDTLLDFVRMAWQHRRVDLDILKAHMVLIPKNPRPVFVKDFRPITLLNTSYKILSKFFREVLIFFHIPMNIVELIMFCVSNIDIVVLWNWEILLAFRPERGLLQGDPLSPYLFILVMESLSHMILENVDLKLWKPINVSQSGPAFSHLFFADDLMLFNVAEEDQVAVVRDVLSDFSKAFGLHVNLDKSKLWISPNVPNVKAQCLSRLCEIPLVSELGTYLGVPIIHGRVTKNTYKHMIDRVLNRLSSWKGKVLSYAGRRTLVQSTLNSIPIYTMQSTLLPVSVCNHLDQCNRNFLWSGKADNSYGHLVSWDRICQSKGNGGLGLRKARQSNLALLAKTWKLHIRQSSLCTEIFQKKYLKGRPFVNSTSSGRCSSTWRGLLQTRECIRRGTRWRIGKESDGASGQLITWQPPPPGCFLLNSDGSRQQLDNHDSVGGLIRDASGLWVSGFMVNIGFTSSLKAELWGVRQGLLLAKERGCVPLIVELDAAVVVNFLKNPIVDTHPCFTLVQDCLELIKGSWIMEIRYIYRERNRCADCMALLAHETAYGVTECNEPPDQILTLLQEDRTGIGVMRP >OMO79362 pep supercontig:CCACVL1_1.0:contig10430:7451:11108:-1 gene:CCACVL1_13714 transcript:OMO79362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCINSKQGRSIVAASPVSVAHNNNSHGLIFPSSSSSKPHSGKFEIERDDERLDENGKSINPFHRKSSLRKSKRANSSRKSGSFSLKLGFSHRFVEAEHVAAGWPAWLSSAAGEAVHGWVPLQADAFEKLDKIGQGTYSSVFQAREVETGRMVALKKVRFDNFQPESIRFMAREITILRRLDHPNIMKLEGVITSRFSSTIYLVFEYMEHDLAGLSSCPDIKFTEAQVKCYMKQLLLGLEHCHLRGIMHRDIKASNILVNNQGILKLGDFGLANILTSRNRNQLTSRVVTLWYRPPELLMGSTCYEVSVDLWSVGCVFAELLMGKPILKGRTEVEQLHKIFKLCGSPPDDYWKRLRLPHSTMFKPQHPYESSLQERCKGIPATAMSLLEILLSVEPHKRGTASSALMTEYFHSKPYPCSPSSLPKYSPNKEIDAKQRDEARRRKNGSRIRDAGLSRKTRRPRKALQEPNNFSKTATKEFLSDIYLQHNTEFSNKNPKEQEGGILIGMLNASFFDTRSETSQVTTLSSHGDIYTAPPPMTGPSSFSWAKKRKDTSSQLSYNEPSSLSQISDADSSNLGFSNINNFHLPIQENQEADEVDYTESREQKEPQRKGMNRHGSFDVTNDHHQQVQNQFDRSDYLGANDVYQTNDFSMPLHQQEESAVNNAKVKIDYSGPLVTESYKVDELLQRNESQIPQVARRSRK >OMO79366 pep supercontig:CCACVL1_1.0:contig10430:64901:71028:1 gene:CCACVL1_13718 transcript:OMO79366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTYVELFTIQVYLQSIILGDNRVINMSTRRNVRYSPLPADEDDDYVNGGRRYDPRRDRRSSLRIRRQPHPCPFH >OMO79365 pep supercontig:CCACVL1_1.0:contig10430:56784:57872:-1 gene:CCACVL1_13717 transcript:OMO79365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNRVVTSVNQAIVVQAPEPPTAFKEIDGPQDIVEVAATTSFKDALLQEGIYSKSLEYINCSGPVFLEDLVAANEVEPINDDLSLTLSTEDRNRIRDWWSLSLIVKVFGKKVGYRFLASKLKDLWEIQKQPLIVDLGNEFFMLKFHSAEDLNFVIKEGPWFIAGHFLTMRKWEPNFRPSAASFSSVAVWVRLLELPVELFEEAILKKIGSKIGLPLKIDSHTLTGERGKYTRICVQINLDKALAKSITIEGVKQPIVYEGIGSLCFHCGKIGHKKEVCPEKIPVVTSSMVEHILEDHGYGPWMVVQARKSKKDKSQLKVFNAKGTSQSGKRLVLQGNQVDSRTFNHKNDSRKVLSRVENSK >OMO79372 pep supercontig:CCACVL1_1.0:contig10430:107361:109308:-1 gene:CCACVL1_13724 transcript:OMO79372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVAAGFLAPSQQHYLVCRVENFRIGKWQVKLKNSPQLQCHANVKSSFSQKYLIRSELSVKPATYSARISTDIPLYEIPGVFLSYLFFLFFFFSFPFVRWVLIYSGAYGVQQAFFDQYLEDKPRIFNAMFPDKHRSKQLNEDEWRVQMLPLQLLVLTVWPVVDLRLRCKSRGQDYPPGVPRDITKVLELDITRWELRGLDNVVHPSDFTLIVKGTLYPDRRGTRSRLRGHLEMNISFVLPPALALVPENIRDSLGKAVMTKLVENMKQKVDGSLIADYSKFKRERSDNRV >OMO79361 pep supercontig:CCACVL1_1.0:contig10430:2581:5682:1 gene:CCACVL1_13713 transcript:OMO79361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASICYSSSLSFLSPSNSPNPNKPFPFPSKLPNPNPFPSFRSSKLRAANSAPVQDEHSMSIDNLHQFFDLNLGRWTGSFHQFDGNGNLLQKINTKLSASSYGEGELISLIQTLYIEQPQSSTSISGHDEEPEWAEYKIKETNMFTADKYQQIGFFPKEKAFSLRYQTAGMLETVLRQGVLGEDDTGEESPKNLKLPSRRPSLVCENCLYSQEKDGRARAFHIMDPQGFVDMLLIFLEDRGDGPLSHPSLGNMMDGQDRILPFLGKWEGHSLTKRSGVYGATIAEADTVALLEMDDNNKLIQDITTIDGERDVRTNVHWRGKISNNLAEFEDAYQLTLLPGGMYMGFPSDIAKSVAESKSFHLEFCWVEAPGKRQRLVRTYDAEGLVVSSTYFSEVRV >OMO79364 pep supercontig:CCACVL1_1.0:contig10430:43740:46477:-1 gene:CCACVL1_13716 transcript:OMO79364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSTPARRINTQRRHRHRSRKCHGKVSGSIADGTKKRTSDARVTDIAVSEYVHMDFQKGEATTCRRSEVTNSTFHLTQLQWHLSQIDANVACSEDAWFDSVSILESDSDDDFISVHGDGFPLGNISGGQVLQYESSSCIVDGKCKYEEYHESYLKIDGVKMSKDDLKESNRFPLVGNHGHELSRLGKADDICNRRKRLLDHNYGSFKGLRDEKRNSEEKALRLSRLVPSMSFNEKILTSSLAPQTQRRTSAVFRLSFKRRSCDGEDSSEKRFLFRPKAGYIIPCSKEEKRNNGCWSEIPPSNFKLRGDTYFKDKKKCPAPDSSPYTPIGVDLFICPKKVNHIAQHIELPNVKPNGKVPSLLIVNIQLPTYPAAMFLGDSDGEGMSLVLYFKVSENFDTAISPQSQENIKKLVEDEMETVKGFRKESLVPFRERLKIMAGLVNPDDLNLSSTERKLVNAYNEKPVLSRPQHNFYKGPNYFEIDLDIHRFSYISRKGLESFRDRLKNGILDLGLTIQAQKQEELPEQVLCCLRLNKIDFSDNGQIPTLVTVDDK >OMO79369 pep supercontig:CCACVL1_1.0:contig10430:84473:91237:-1 gene:CCACVL1_13721 transcript:OMO79369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSALLTSVGINLGLCFLFFTLYSILRKQPINVPVYSPRLFAERKTQQQNYFNLERLLPSPGWVKRAWQPSEDELLSISGLDVVVFMRMFVFCARVFTVASIIGVCVLLPINFLGNQLTDDISNLPTKSLESFSISNVNDGSNRLWIHFCAAYVFTGVVCYLLYYEYNHIALKRIAYFYSSKPQPHQFTILVRGIPNPAPSGRTFSQIVENFFTEYHPSTYLSHTVVRRTNKIRSLVNDAEKLYRRLGHLKSVNSHQTSKRDGCLGLFGRKVDLVDHYEKKLEDIEHNVRLEQSSFAGEEVPAAFVSFKSRFGAAIALHIQQGTNPTEWVTEKAPEPKDVYWPFFSASFIRKWICKIAAVVVCIALTILFLIPVVLVQGLANLDQLETYLPFLKGVLRLTFVSNLITGYLPSLILQMFLYVVPPIMKAISSMEGYISHSQIEKSACTKLLWFTVWNIFFANALSGSALYLVNVLLEPKKIPSLLAAALPAQATFFITYVVTSGWTSLSSEILRLIPLLCSYFTRLFAGKDDNADDFEVPCMPYHSEIPRVLFFALLGVTYFFLAPLILPFLLVYYCLGYIIYRNQFLNVYAPKFETGGKFWPIVHNSTIFFLVLMHIIAIGIFGLKKLPLASSLTVPLPFLTLLFNEYCRKRFLPIFKAYPTECLIKKDRENQNDPTMNEFLEKLSTAYQDPALMPVQYSRSDDGRSTPLLQPLDV >OMO79370 pep supercontig:CCACVL1_1.0:contig10430:95859:100312:1 gene:CCACVL1_13722 transcript:OMO79370 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC7-like protein MGDLATQSRSNGFNGNFVKPSRGAFACMINSEIGAVLAVMRRNVRWGVRYVSDDDQLEHSLIHSLKELRKQIFSWQHQWQNVDPVVYLKPFLDVIQSDETGAPITGVALSSILKILTLDVLDLDTVNVGDAMHLIVDAVTSCRFEVTDPASEEVVLMKILQVLLACMKSKAATRLSNKHVCMIANTCFRIVHQASSKGELLQRIARHTMHELVRCIFSQLPEISSTEHETANGSSSSATEVVAQNRNYMHGSAQLENGNVGIDHDGSSSHSNASSPPILKSATEMDASEIGDTDGEMLAPFGVPCMVEIFQFLCSLLNVIDHIGIGPKSNPIAYDEDVPLFALGLINSAIELGGPSFSKHPKLLALIQDELFRNLMQFGLSMSPLILSTVCSIVLNLYYHLRTELKMQLEAFFSSVLVRLAQSKYGSSYQQQEVAMEALVDLCRQQTFVAEMYANFDCDITCSNVFEDLANLLSKSAFPVNGPLSALHILALDGLVSMIKGMAERIGNELPVSDEASINGEGYEAFWTLQCQNYSDPSSWIPFVRKIKHIKRKLMLGADHFNRDPKKGLEFLQGIHLLPDKLDPVSVASFFRYTTGLDKNLIGDFLGNHDEFCVQVLHEFARTFDFHDMNLDSALRVFLGTFRLPGESQKIQRVLEAFAERYYEQSPHILCNKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRLINGGKDLPREYLSELYHSICENEIQMIPDQGAGFPVMTSSRWINVVHKSKESTPFITCDSRALLDHDMFAILSGPTIAAISVVFDQAEQEDVLQTCIDGFMAIAKISAHYHFGDVLDDLVVSLCKFTTLLTPLSVEDAIVTFEDDARARMATTTVFTIANSYGDYIHSGWRNILDCVLSLHKLGLLPARLASDAADEMESSSDHERGKPATNSSSTSQTAPVATPRKSSSLIGRFSQLLSFDMEEPRVQPTEEQLAAQQRLREIVQKCHIDNIFMESKFLQSESLLQLVGAIILAAGRFRKGSGFIEDEDSAVFCLELLIAITLNNRDRIMIIWQNVYKHIADIVQQRATPCTLVEKAVFGLLKICQRLLPYKENLTDELLKSMQLILKLDARVADAYCEPITQEVMRLVKANATHIRSHLGWRIIISLLFITARHPEASESGFEALAFIMSEGAHLLPSNYVLCVDAAREFAESRVGEVERSISALDMMAGSVVCLVRWSNETKNASEEDSTKVSQDIGEMWLRLVQGLRALCSDQREEVRNHAILMLQRSLAGVDGIHLPNAMWFQCFDLVIFTLLDDLLEIAQGSSSKEHRKMEGTLVLATKLMSKAFLQSLQDLSQQPSFCKLWLGVLNRMERYMKVRFRGKRSEKIHELVPELLKNTLLVMKTTGILMPSDDIGGDSFWQLTWLHVKNIVPSLQSEVFSEQELEQMQAKHKRTEGIPATDGAVLVPSNETTA >OMO79360 pep supercontig:CCACVL1_1.0:contig10430:178:591:-1 gene:CCACVL1_13712 transcript:OMO79360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDWLELRQRLKESIRRRNGGAADVSSQGKNYNLGSFFGPTETLISERVKALLKDKDITPMLSKMSSQSSLNQNSNSASRPKLGQGHRLRVEAPKPKPSTALLKAARDYSFLSDGAELPAPTSDSDQAPSMRPKTKP >OMO79363 pep supercontig:CCACVL1_1.0:contig10430:13770:17447:-1 gene:CCACVL1_13715 transcript:OMO79363 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MAEQGTRSKLSAPTRPTITLPPRPAMDGLFSGGSGLSPGPMTLVSAFFSDPDSTENRSFSQLLAGAMASPGARTPYNPMDGFMEMGFKDGGEKSPPGFKQTRPPLNMAAARSPWFTVPPGLSPSGLLNSPAFFPLSPQSPFGISHQQALAQVTAQAAFAQSHVRAQAEYQTLSAPAPSESLNHRPSLNPEEASQQMPPPASEPQSSGMEYSEASQSDRKNQPSVAVDKPAEDGYNWRKYGQKPIKGCEYPRSYYKCTHLNCPVKKKVERSADGQITEIIYKGAHNHEKPQPNKQAKGGNDGNANSELGSQGVAGNLNKLSETVPAHTIPAKTYESTQVAAEMPSDSEEACDGESREERDDDEPNAKRRNTTGESAVVLSHKTVTEPKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTSAGCNVRKHVERASTDPKAVITTYEGKHNHDVPAARNSSHNTVNNNLPQPKPQKMAAEKHSLLQEIDFRNTVQGPAVLRLKEEQIRV >OMO79367 pep supercontig:CCACVL1_1.0:contig10430:73403:77091:1 gene:CCACVL1_13719 transcript:OMO79367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSGGRGGSGVDNVLPNYKLGKTLGIGSFGKVKIAEHALTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETATDIFVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTIPEIRQHPWFQAHLPRYLAVPPPDTMQQAKKIDEEILQEVVRMGFERNHLIESLRNRIQNEGTVAYYLLLDNRFRVSSGYLGAEFQETMESGFNRMHPAEPASPTVGHRLPGYADYQAMGLRGLERKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGHYNMKCRWIPSIPGHHEGMVNNPVHNNHYFGDESNIIENDGVAKLPNVVKFEVQLYKTREEKYLLDLQRVQGPQFLFLDLCAAFLAQLRVL >OMO79368 pep supercontig:CCACVL1_1.0:contig10430:78608:79801:-1 gene:CCACVL1_13720 transcript:OMO79368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRKAGEVVEEKNVSNEQAMAWDEMVKEAAAAAALGGPRRARKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPCSPSSNPSPALSSKITNLLLQRLKARNNSCAPLPPSPPINHQPIQQEEYREETADFLDSKFPDFLNDPEEDYSMANDNINDDTTANAAGYLTTSLESCLTENEDSTRRELDCSYTLSDAAQSPSFDDDGEEEAEGHNMGALDFQFVDDIGSSSYFSPFQIAEEIEIPMETETFGDDMKRMTYERKFSASLYAFNGISELLRRKIGSENVMGRARSEQLSKLQNACNKNKRAKNVEEDETEMVRKTQEESPQSSFDSSSSSMDMAMGTSSSSSTGNDVESLWSSLDLPPICYVN >OMO79371 pep supercontig:CCACVL1_1.0:contig10430:101780:106272:1 gene:CCACVL1_13723 transcript:OMO79371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCELSANLSKKCTAAGALDGERKKQKLSEIRTGLEDAESLIRKMDLEARSLQPNVKAVLLAKLREYKSDLNNLKSEVKRIASGNLNPAARDELLESGMADALSASADQRSRLMMTTERLNQSSDRIKDSRRTMLETEELGVSILQDLHSQRQALLHANNTMKWPILQAFPAFSPRYLRPFLRLCAQQSAHAIGKKLHALILTTGLYTTQHSFLLNTLLHFYASCGNTPSARKLFDEIPLSSKDTADWTALMSSFSRQNMPREALCLFAQMHQNIVEIDDVAMICLFSACAWLRDLEVGSQGHGFVVKTGLKGRTKVSNGVMDMYGKCGLVGEMKRVFSEMKEKNVVSWTVFLDGVLKWEGVKSGRLVFDDMPERNEVAWTIMIVSYVGSGFCKEGFSLLSEMMFHWQFKLNYITLCSLLSACAQSGDVMMGRWVHVYGLKVMGMEMDIMVGTALVDMYAKCGRIDTAVKVFDCMPRRNVVAWNAMLSGLAMHGRGRVVVDMFPRMIEEVRPDDLTFIAILSACSHSGLIDEGSYYFESLESVYGIPPKIDHYACMVDLLGRAGRLEEAETLIKQMPIAPNEVVLGSLLGSCSAHGKLQLGERVLQRLIEMDPLNTEYHILLSNMYALAGKRNKANALRKVLKVKGIRKVPGMSSIYVDGQLHQFSAGDKSHPRTREIYPTLYDMIQRLRSAGYVPNTASQVLSGTDGVGDNVGELLEEKEQALFLHSEKLAVCFGLLSTKPGTRLYIFKNLRICQDCHAAFKIVSKIYNREIVVRDRNRFHCFKQGSCSCSDFW >OMO65630 pep supercontig:CCACVL1_1.0:contig12634:5616:7417:-1 gene:CCACVL1_21466 transcript:OMO65630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEMGEIVGKSSKSQMVSEEEVLDSISSLPDSVLIHILSFLPIQDAVRTVVVRRFSHLWNFLTNLTFDHSWYSANYERPEDCFHVNENFLNFVRHVLMFHQNKTINKLVLKLDLDLRYFNGAKPLHPLLHPEYFNREKRMAREVDSWVHFAMRKEVKYLDLVFFTPRYYVEPNARYRLPSVVFRGKYLEELKLVGCEIIPPSGGRIELNCLKRLVMESVVVNDEMIKQILSGCFVLEELSLEFCYGLSKLNFRNPSIKRLKVFHHNNTERLEISCPNIESLDISGCANLVDLANVSSVVDFSIAFIWGLEDSQEHQTIKQHFNKLSGANIFRPCARCILVFSGWQLLNQPSQLFRWKNLEFKLCPSKWYQPGISCLLRSSLCLETLAIYMCTECEPIEPLVECKWMESYDFDGAKFWKLQQGTFHCLEKNLKSIKIYGHITEPYVIDMIEFLLKNAMVLEKLEISTHKTFGPSPKNSCCERKVELTTEQRQEFSQKLFSLPRASTSDPFFLILHPAS >OMO86075 pep supercontig:CCACVL1_1.0:contig09537:33141:34593:1 gene:CCACVL1_09812 transcript:OMO86075 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEKQRWGIRKLVLVPCPFQGHINPMLQLGTLLHANGFSITVAHTKFNFPNPENHPDFTFLPIPDALFDRGITSSIDLTDIISCLNVSCKAPLKNSLAQIMESEKENHGGGQKLPCVIYDGSMYFAEAVAHELELPSIMLRTTNASTLLTYYSFPQLQKEGYIPLQDGMSLALVPGLYPLRFKDLPLANFKNLDILLQLMARTSDIRSSSAVICNTLDCLEKSSLAKLQEQCKVPVFPIGPLHTIVPSSSSSLLKEDRSCIEWLNKQSHNSVLYVSLGSIASMNQNELREMAKGLTSSRQPFLWVLRPGSLLPEDFDESIGEKGLIVKWAPQKEVLAHKAVGGFWSHCGWNSTLESICAGVAMICQPCFGDQTVNARLVSHVWKVGFEVDNNLVSIEIEKAIRRLMLDVEGQELRHRVISLKKKIELCTQEGASFCNSLSELRKCILSC >OMO86074 pep supercontig:CCACVL1_1.0:contig09537:12083:12664:1 gene:CCACVL1_09811 transcript:OMO86074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIISFRSWRRLLVKLLEPGGGINHYLTFEAYNPVNATTQTFELAVVLMGFPEKGTFPTLSSVLILQKLIDLAKQEEEKRGEVPGNMKQYGVSQGRRRLQLIKMEWRLLG >OMO86076 pep supercontig:CCACVL1_1.0:contig09537:56630:57322:-1 gene:CCACVL1_09813 transcript:OMO86076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTDAELEQYFAAVAASRGFDVPAFPDDVSACCVIIPLDLTEDGALEELEPLCLAAVDYLNRNGDYKFQKLVKANSEVCGFGINHYLTLKAYNSLKSTTETFEAIVLMGFPEGEDDDCPLTVSYCDFAKS >OMO86079 pep supercontig:CCACVL1_1.0:contig09537:80488:83662:1 gene:CCACVL1_09816 transcript:OMO86079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCSKLSICWWPSNLKSNINYSSDLENGKEALPGFTEFSLDQLRAATSGFCTDNIVSEHGEKAPNVVYRGKLDEDRLIAVKRFNRSAWPDPRQFLEEARAVGQLRSERLANLIGCCCEGDERLLVAEFMPNETLSKHLFHWDNQHMKWAMRLRVALYLAQALEYCSSRGRALYHDLNAYRILFDQDANPRLSSFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVIPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLTLMDSCLEGHFSNDDGTELMRLASRCLQYEARERPNLKSLVTALVPLQKETEVPSHVLMGIPHGTITSNQTILLTPLGEACSRKDLTAIHEILEKTGYKDDEGVANELSFQMWTDQIQETLTSKKRGDTAFRTKDFGTAIECYTHFIDGGTMVSPTVFARRCLCYLMNEMPQEALGDAMQAQVIAPEWPTAFYLQAAALFSLGMDNDAQETLKDGTNLEAKKHRN >OMO86077 pep supercontig:CCACVL1_1.0:contig09537:69318:72332:1 gene:CCACVL1_09814 transcript:OMO86077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQTSQRTNLQGRSKHEKPNLSSSDLHPEITKGGTDVSPESSGKHQKQHAKMKANEEEELVKYMSKLPSYLERRAKPQEKVLNVGVLEWGRLEKWQYSHKQILHRSSISSLSSSNTSSSFSTDESSAHSSGGHSCSPARQRSRRPSLQSHLISVPVEGHLSFSKPFRESVGKFQDLKVARSNTFNVQGRCIREESLSKNNAEIKLDQFKRREMYSKIDSESVTVPHGVKDKVASCDKMKMKNQFGECMKKAEKFQEVFPKGANKDVTGKRKEMYSKIGSDSVPVPHAVKDKVASCDTMKMNQVSQCMKKAEKFQEVIPKGANQDASGKRNTVVLLLPRDLPKSDQSGAASLSELTTKPCQRETETCQSNYPKTFKEAYHSEFISNVHHSGPLPCELDGGKHLRIQATGSIDANSNDLSSERSRSVPRAAKIESNSSRSGNIEERKLNSTPTKYAANEAYKGLDPKVSKPEKVRSTSPFRRFSFSISKTSRTSGSKEGSSIPQVSSTCSSGKTESEPVASSVDTSCGDKLNAKGRARSSPLRRLLDPLLKPKAVNCRNFTNQLQDSILTESACKSSEGQRHSTVTAESAKVRSDMITHSAINVNDSSQNKKYGSSAIQALLRVQVKNGLPLFTFAVDNESNILAATVKMLSSSGKSDYGCIYTFFAIQEVRKKNGRWLNQGGKGKGQDYVPNVVAQMKVSGSEISHLSRPNHVDQFSIREFVLLTLDLGQGNVQASDFQPNDEQAAIIVKIPKKNGRSSIRDGYLIDKRNHLPQAALKERLPEVKLESDSRKKCPFVDSQDFSATVILPSGIHSLPNKGEPSSLIQRWKSGGACDCGGWDLGCELRILSNRSQSNQQCSSLKSSSVSNQFELFFQGGLQDKPFFSLAPFKDGIYSVEFNSSLSLMQAFSICIAIWDSSKHYELSESVTSSEERTLGETILNDRISAPNPIEGESAARYVSYPPHSPVGRV >OMO86080 pep supercontig:CCACVL1_1.0:contig09537:84538:85943:-1 gene:CCACVL1_09817 transcript:OMO86080 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tim10/DDP family zinc finger MAANNPAGVDKEQAFGMAATEMEYRVELFNRLAQTCFNKCVDKRYKEAELNMGENSCIDRCVSKYWQVNGMIGQMLSAGGRPPM >OMO86078 pep supercontig:CCACVL1_1.0:contig09537:73537:76141:1 gene:CCACVL1_09815 transcript:OMO86078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVYVDREAPKLWRKFCTEAFIEISLLAENWKLILAGLVFQYIHGLAAHGVHYLHQPGPTLQDTGFFLLPELGQDNFYVSETLFTFMFGSFVLWTFHPFVFQNKRIYTVLIWCRILAYLVVSQFLRIVTFYSTQLPGPNYHCREGSKLARLPPPQSVAEVFLINFPRGVVYGCGDLIFSSHMIFTLVFVRTYQKYGTRRCIKQFAWLLAVVQSLLIIASRKHYTVDVVVAWYTVNLVLFFLDRKLPELPDRSSGSTTPPLLPLSTRNKDGKNKEENQKLLNGNSSATTISV >OMO86082 pep supercontig:CCACVL1_1.0:contig09537:88785:90347:-1 gene:CCACVL1_09819 transcript:OMO86082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTALANRTAPNQSSGLLRVSFNFLRNLSTAASTQKPGASSSSSPASKKPKRKKKKNLFEVAQFLPNWGLGYHMAKTHWTDVSYQITKINLYKDGRHGKAWGIVHKEGLAAADAPKKISGVHKRCWRYIPHLSKPGETTVNLVKPTENDAKPEAQEA >OMO86081 pep supercontig:CCACVL1_1.0:contig09537:86591:87636:1 gene:CCACVL1_09818 transcript:OMO86081 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, RBP11-like protein MEHGSGDHPAKEVLKDACQDLMQMCEHIRNTFDKTVEEFKASEAVKKKNSDSQEKAMNTDSQAKAMDIDSQESDSESEESESN >OMO98573 pep supercontig:CCACVL1_1.0:contig07090:1800:3128:1 gene:CCACVL1_04188 transcript:OMO98573 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MVPTGFRFNPTDEELLEILHQKVVTGNVEAVHNFIVERNIYELEPQDLQWSESVALNSNERYCFCKRESDSREVAGRGWWKATSHVKTISSANNAGLILGYKRPLTFHRFKVNQKNRKDAFKTDWIMHEYGLRSITTEWRLCKIKYKGKERFQEENVDIGETAAEEGCGSSIINPMQMQLDNHCAFQEHPILPLNNDIYEIGNCPYWSNSSQFDQYPIPSSLINMQPLLDYNQSAQAPTEQSPFPDLWFGSENWH >OMO55673 pep supercontig:CCACVL1_1.0:contig14612:21190:25374:1 gene:CCACVL1_27099 transcript:OMO55673 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MGQSNAFIFGSSEAQSANRSKGARKWKKTARSTPRRQNGASVSGFGNRVGVKRGSLINAESEIVEDAMVKRTRENCLHAIAKKKKEFDRAYFDAQLGGNSHDLQQCQDDLDCLYKREEVMWKQRSKAFWLKEGDRNTGYFHSMASTRQHRNLISGPDITHMALSFLNQGGSLPNINSFRIVLILKIDSPVSVKDYWSISLCNVVFKIISKALVNRLKVILPELIGENQSAFVPDRMIFDSDMIAFETVHFMKKKLTKRQKYMALKLDLSKAYDRVKWVFLENIMWSMGFPNRWGVAVNRHAPQGVMFFWRVVVGGLVMECISWLFMINGLKNPRTFTPRPRTLDFQPNDMRVSELIDLESKTWINEVLEDLFLEEDCLTIRCIPLSLHTVRVTLIWNFDSLGRYSVRSGYVVPRKLLGREDFPLELRSSVWKLVWSANIYPKVKYFIWRLIWAILPAKTILQQRGINIEVACEVLWRYHNRCLHDHIYGTSVALVSSVTHHMQQMMEGATEASTNCPRSSRINWSPPPPGTLKVNTDAAFDISGGKAGLGAVIRDHHRAVLCCATKRMQFVADSLHAEINKKEPMVWEGACLILEIRELAKNFEGVRFVHTRRDANMCAHSLAKFDCHMFASYVRYGVLSPGVCNSDSLIE >OMO55672 pep supercontig:CCACVL1_1.0:contig14612:3753:4693:-1 gene:CCACVL1_27097 transcript:OMO55672 gene_biotype:protein_coding transcript_biotype:protein_coding description:NTP pyrophosphohydrolase MazG, putative catalytic core MANFAKERDWDQFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPDWKEEEKVHLGEELSDVLLYLVRLSDICGIDLGKAALRKVELNAIKYPASNNNNNGTA >OMO55671 pep supercontig:CCACVL1_1.0:contig14612:2609:2704:1 gene:CCACVL1_27096 transcript:OMO55671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLKKEYRYLTAGQSDEIQDSSIPNYIKQIDD >OMO67341 pep supercontig:CCACVL1_1.0:contig12445:14252:18651:-1 gene:CCACVL1_20594 transcript:OMO67341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLPPPLVAEILSRLTDSTDLARCRLASKTLNSLSREVRSVNLLCTLSRYLKSRSPETKANVTPFKAIFTKLVREVRCINSVSIGVDKSLREISYDDVDDELDDLYLTDVGFVKEWLPKVCGELRKLAISDFWIQSCWRKSDVLGLISSCCNVLLELELKNAWLSVDVLNPMTRLTHLTLEFIRLDDEDLNKVNNCFPSLQVLNLIGVGGLKDPKIHLLHLKSCLWTVSNALLSLTILAPNLVKLRLKCIKPKSVVLDTPSLLDFQLSVEEAYDFRVKEFSTLETLQLESSSLHSLIGRFPSCKLIRKLTVDSLKWTEDLETRKFGLEALFDAFPNVISLNLGPGAWSEAEMCYRKGGLEDKNAMKELTEIVAHLVVYDVEVTLSFIFSILDKCTNLSDMTLLLHPKEDSGAASSLVSSCTAYGSRVKKMATVPGQLIWEIVKKNNCFLVKEFGNGTAGVQFSKESNNLCNLNSYKHSGLANKKTVTIQAGGKDKSVLLATTKTKKQNKPASLLHKSVMRKEFPRMAKAVVNQVADNYYRPDLKKAALARLSVIHRSLKVAKSGAKKRNRQALKGRK >OMO67342 pep supercontig:CCACVL1_1.0:contig12445:19005:20305:1 gene:CCACVL1_20595 transcript:OMO67342 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S8 MGRRILNDALRAIVNAERRGKATVELQPISTVMSSFLKIMRYRGYIKSFEVYDPHRVGRIKVELQGRVNDCRALMYRQDIQARDIEKYTTKKLPTHQWGYVVISTPDGILDHEEAIRRNVGGQVLGYFH >OMO67338 pep supercontig:CCACVL1_1.0:contig12445:3579:5973:-1 gene:CCACVL1_20590 transcript:OMO67338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLPADDSETTGKQKMGLLSAETTGEQSFRSLEILKLDMDKEFDKQPFGVDYGKLENGLVYYVKCNSKPKKRAALALAVKVGSVLEEEDERGVAHIVEHLAFSATKKYANHNIVKFLESIGAKFGPCQNASTSCDETIYDLLVPIDKPELLSEAIEVLAEFSSEIRFAEEDLEKERGAVMEEYREDRDADGRISDDYWTLVFQGSKYAERLPIGLENVIKTVSCQTLKQFYQKWYHMGHMAVIVVGDFSDTKSVIELIKTHFGHKHSALDPPPIPQFPVPSHDDPRFSYFVEPEASESAVCINYTMPVDELKTVKDYRDMLVTAMFQTAMNRRFYRISRKKDPPYFSCSIEDGDLVARVRLHGFTEREISVARDILMSSSESEYMERDQVESTDLQESYADHFTDNVRIIGIEYEAQLDKSLLPDILASEVSKIAEKYWTSCSCSIQIVEPHAYATIDDLKNIVMKINKIEKERSISSWDDKHVPEEIVDSKPNAG >OMO67343 pep supercontig:CCACVL1_1.0:contig12445:20995:30310:-1 gene:CCACVL1_20596 transcript:OMO67343 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-methyltransferase, family 3 MLHRSFKPAKCKTALKLAIPRLKLMKNKREAQVKQLRRELAQLLESGQDQTARIRVEHVVREEKTVAAYNLLEIYCELIVARMPIIESQKNCPIDLKEAIASVVFASARCGEIPELKDVSKHFTAKYGKEFTSAALELRPNCGVGRMLVEKLSASAPDGPTKLKILTAIAEEHNIKWDPESFGAKEAKVYEDLLNLPNTVKEATKIADPPKAQASTSHYEQRTPINQVPTHDKGPPNVQAPKHMEKNDAPASVYGHSSGSPPYAKNFGNSNSSASNKMSSGTYPPNSKPYGTEHQEMEFRNSYSGNESAFSSPRQHWNMEFKDATAAAQAAAESAELASMAARAAAELSSRENITRQNSTESRMSSAHGMRNDEPHQYTASASQNEHLARRPVAQGRNSQNYGDTDRKELHNRAGQAENMYSNIVMSADKSTHGSFKSTAASSIERPSVNNQIGDAYSQRNSSEGRQVEQFSEVTTKRSSGKNGMQFLSEVHGSKNVDNHEVRVREQSSYSSSHSQLNTSTDDHDVVSNLKWQSSDYDERNSSKTRMQFVNELHDIKNSEIADYQEATIRKQSSYSSSHSSSSAFADDHDVVSNLNRQNSGNNSGEESFPFNDKGSHHRSTKETTDSYDNPSAVFDNYGSDNGGCNFDLEEEHKVHEYSMDFLSPGRKSPTHPFTSTNSWRIGQTVDSPEKSISQSHIFSEKQSTPVFDESSTSSAVASQRDDLPAAFDDYGPSSESEEEVEKSKFDRSGDTSIGSDKQNNDFHQSKTSISTPQLAEGIEGTEPFKDFSMEESKELNLRNLTGGIRNKNKLPPYSRVPQSSTIHSEEATNFTSTRTKQSSTPTAVEASVSSGSYNQEPYSRKGSVEVNRKLSTRASRQIQQDSDSSDDDSEEEEIQPYTSTEDQHDKMPSFEENKVSNLRAPIPYFGSGNSDSDQELPKTSPNSRLNTGLSRRTKASPSNSRRSSNLKTTVSSEPKVFSDYGGEKYPSLRSSNANEALPRTRPQKKDSDYWESNQQSRLAAQATTKLVSETKKSSFDGPPDYGREKYSSLRNSNADEASPRTQPQKKDSDHWESNQQSRLAARTTTKLVSETKKSSFDGPPDYGREKYSSLRNSNADEAQPRSQPQKQDSDHWESNQQSRLAARSTNKLVSETKKSSFDGPPVSSQMEQQAPTSVPKVIASEESMENTSDKQLDKPTKGLLQSTDLYEYILETSVYPREAELLKELRAATANHPECIISTAPDAGQLMGMLLKLVNAKKTIEIGVYTGYSLLLTALSIPSDGQIIAIDINSETYEIGLPIIRKAGVENKINFIESQALPVLDKLLKDKENEGSFDFAFVDADKNNYWNYHERLLKLVKVGGVIIYDNTLWIGTVARPEEEVSEDKREWRRLRAMDNEKKESTSFSKGLLQNEELYRYILETSVYPHESEYLKELRDITATHPWSIMATAPDAGQLIAMLLNLINAKKTIEVGVFTGYSLLLTALTIPEDGKILAIDLNREAYEIGLPVIRRAGVENKIDFRESAALPVLDQLLEDPGNENAFDFAFIDADKINYWNYHERLMKLVKVGGIVAYDNTLWGGTVAIPEECTPEGVREGRQRTLDFNKLLAADSHVQILLAPLGDGITICRRLH >OMO67340 pep supercontig:CCACVL1_1.0:contig12445:9616:13019:-1 gene:CCACVL1_20592 transcript:OMO67340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA N-acyltransferase MGQKQQQPNADPLPDPKKRRRVGFSKIDAGVEANDCIKIYLVSRKEEVRTSDGLCITPVDLNQFFEEDGKIYGYEGLKITIWVSSISFHAHADITFEGTSDGGKGITDLKSSLEKIFGETLLENRDDFLQTFSTEKNFISSAVTNGEKLQNKALNGHISPIYSNSEAASSDLEVVRLVIGNLASGHLYSRLVPLVLLLVDGCSPIDVTDPSWELYLLIQKKVDQPENTQHLLLGFTAVYRFYRYPDGSRLRLSQILVLPPYQHKGYGSYLVEVLSNVAISENVYDLTVEEPLDYFQHVRTCYDVKRLLAFDPAQSAVKSAVLRLKGGKLSKKTHVPRFLPPLDVVEDVRRTLKINKKQFLQCWEILIYIGLDPVEKHMEDYVTIISNRVKADILGKDSETAGKQVIDVPSSYNEEISFVMFRSQNNEVGGVQMDEDQAKTQGEQLKQLVDERIEEIKLVAQKVSQKHV >OMO67339 pep supercontig:CCACVL1_1.0:contig12445:7046:8774:1 gene:CCACVL1_20591 transcript:OMO67339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEDDDDGNEEYERRSGFRGREDEKNYDKDPEFAEILGSCLDDPQKAQSRMEERLRRKRSKILHTKTGSGTPMKVKFNKFDFSNSYIWFEFYNAPLEKDISLICDTIRSWHIIGRLGGCNSMNMQLSQSPSEKRPTYDAVQGANVNPTTFYNIGDLEVQDNLARIWLDIGTTEPLLLDVLINALTQISSDYVGIKQLVFGGSEFENWKESLTTEDAGYSVHRI >OMO69199 pep supercontig:CCACVL1_1.0:contig12093:10438:10515:1 gene:CCACVL1_19603 transcript:OMO69199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLRFWSAYSMSLWSNVVAITSWVRP >OMO69200 pep supercontig:CCACVL1_1.0:contig12093:16172:17497:-1 gene:CCACVL1_19604 transcript:OMO69200 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MACSMKCGSYFSGFNETMLGSNTYKVAWFCTSLSSLESNDSTSKKFPSLTIDFWGQPVVVPDQKVSRNWSSKPGSRLSVQAQTACISRAMRWWEKNLKPNMVKIHSAQELVESLQNAGDRLVIIDFYSPGCGGCKALHPKICQLAEQNPNAIFLEVNFEELNTMCQCLNIHVLPFFRFYRGAEGRVCSFSCTNATIKKFKDALAKHGGVDGCSLGPAKGLNESELMKLVSAGELSTKFELPSTKEERLEDLLMRRIEQSGILNIPDSSSIELKEETALL >OMO79442 pep supercontig:CCACVL1_1.0:contig10415:34977:37512:-1 gene:CCACVL1_13671 transcript:OMO79442 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MASTAPTNRSSSDDIVDTTPFLSSSSSTSRDDSNVRRSVRRQSLREAARFLRRASSRRMMREPSLLVRETAAEQLEERQSDWAYSKPVVILDIIWNFAFVAVAVGVMVLSRDERPNMPLRLWIIGYALQCLLHMVCVCVEYRRRRRRQDIEYRQFNAGEEGVLSPGSRADSEQYVTLAQLEEDSGSSVAKHLESANTMFSFIWWIIGFYWVSVGGQALARGSPQLYWLCIIFLGFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVADQEGASKEDIDQLTKFKFRKVGDDEKSAADVQGPVGGIMTECGTDSPMEHMLSQEDAECCICLSSYDDGVELRELPCGHHFHCACVDKWLYINATCPLCKYNILKSSSHEEV >OMO79440 pep supercontig:CCACVL1_1.0:contig10415:21694:22188:1 gene:CCACVL1_13669 transcript:OMO79440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNYPQFVAEMTVLSTENLKKPSFTLLPRRRLLRPFISFISTSNGNNSHKGDNQKYYTFGDHCYVPIEANFFTNIHSCIYVQLHTKSLLGRRVQLGCCRIPAADIGAIQVGSLRHLSYKVWAKDKSHGHGIVNLKLKLDNYGSQVHSCQEVIDLPIQMLPPGD >OMO79446 pep supercontig:CCACVL1_1.0:contig10415:62830:68480:-1 gene:CCACVL1_13675 transcript:OMO79446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDDSEGTLYRVLVDRCLSLEASHAKLKEELEELVQENNKRNTELDVWSDSGHPTSYSGYGTFPGFYSTGSPFKNVLECIGHAIYVCSASSGKITFWNRAAENLFGWGSNEVIGQRDTELLIDEEYYASVEKIMEKLTSGQTWSGQFPFKRRSGEMFMALVCKSLWYEDGQFTGIITVSSDATIFNGINPGNLGILQHGRPKRLKMKKIHWHPPRPQIASSVSNLASRFLLKKQDSTSNVCTDFRDEEDATTITAEKLETPNTAEGKFNSNSHERRNPAEGTSSQKDENAFDFAEPSKIVAKFLAKLQIRKTNKCNENDESLQQNGTTGRFSRRNGMIYEPNSSEGSEASTPGLFNSFSAAENAYSSVHKHTSRASVEEISVVASSRQGNGQSFLNRIGDSMAGLVSQVNENDLELDFLNMDASDIQDEVEKHPDSKNSSSLGESIGSQGSPSSKGDNESNSMDDCEIRWENLQLGEEVGQVKVYFAGEYKESTLQDYKKEIDIMRKLRHPNVLLFMGAVYSQERLAIVTEFLPRGSLFKTLHKNNQALDLRKRVKMALDVARGMNYLHRRNPPIVHRDLKTSNLLVDKNWNVKVGDFGLSRFKNGTFLTTKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVILWELMTVSVPWNNLNPLQIVGVVGFMDRRLELPESLDPRLASIIRDCWQSDPGNRPSFEEIINRMSSMVPKAVIGLAR >OMO79437 pep supercontig:CCACVL1_1.0:contig10415:6739:6813:-1 gene:CCACVL1_13666 transcript:OMO79437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRRPDTVKSNVFNTVIERETAR >OMO79441 pep supercontig:CCACVL1_1.0:contig10415:23127:33613:-1 gene:CCACVL1_13670 transcript:OMO79441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDSLLQRYRRDRRKLLEFLFSSGLIKEIRTPSGPTPSLSDADFDTISADYILHCVKSGGILDVSEATKKFNAESTYPIMIHSKSGDSYFLISDPDMAGSPPRRVPPPAITSGTANHASSSSSQLDSFKAKNVNMLVDDYGLKHKAEKAVGRASLGDSGIPSLGLPPLKTGLSDDDLRESAYELLLASMLFSGIEVCPVEDRKKEKGTKLLSRLKSKREKPNSHPQLSERHSELIDTIRAQMQISEAMDACIRRNMVQLAARRTSGQIDLPQISLGLLIGIFRSDFPNEKSYTQWKSRQVNILEEILYFSAKLPETERLTIKSCLSKIREKEWDVAMSPSQRVEVISSIRQVALKVSSQQGQFGLQNETYYWHAVYHVNIRLYEKLLYVMFDILDEGQLIEEADAILLLIKLTWSTLGITQKMHDALYGLVFVQQFVHTDEGTLLEHAVRQLQRVVPAEEDDWNEGQYVNSLVCLKQCDGSQTKLNLVQAIFLSIGTWCDSKLQDYHLHFTEKPDNFRRAMALASAVGMLTCAEIKASFCPDVTLSCAYLLTMNASNSSPGEKIKNYVERSVEAAIGRVACIILESKVEKMHPLALLANQLRLVADREMNTFFPVFRQWAPESIMISVQQLHQFYGERLIPFLKGVSSLSEDARSVLSAAYMLDQKLTQLYSSALEEQRVHDSTMPHMHHYQIEKVSGPIILDWVIGQHSHILEWTGRALDLEEWEPLSFHQRQAASIVEVFRILEETVDQLFGMHLPLDITHLQALLSIVFHSLDGYLQRVLNQLVEKSHLYPAAPPLTRYTEAVIPIMKKRLNEYTVLDENVLDKLTELTVPKLCIRLNTLQYFQKQVGLLEDGIRKSWELARPSPNKRQGEEEPGEILESDALTHDEAVDELFVTTFNIVRDTANDIGRKICELIGTRVVFWDLRDTFLFHLYRGNVESARLESFLADFDTVLDNVCGLIDDAVRDLVVLSVYQASLEGFVWVLLDGGPSRAFSDSDIILMEEDLMMLKEFFIAEGEGLPRSLVEQEAKFAERILHMFSLQTETVIQMLMTASEIISMGLDSNKHGQLHLGDAHTLVRVLCHKKDREASKFLKVQYQLPMSSDYEDAPSRDSTSRPPFISDVLKRSTSIRWSKKGQSGLKSMKKKLQEATNEIRNVAR >OMO79447 pep supercontig:CCACVL1_1.0:contig10415:68828:68887:-1 gene:CCACVL1_13676 transcript:OMO79447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWVRDVINPPELGPFSS >OMO79443 pep supercontig:CCACVL1_1.0:contig10415:45295:47439:1 gene:CCACVL1_13672 transcript:OMO79443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSGGAAYDVPWVEKYRPNKVTDIVGNEDAVSRLQVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPIYKEGVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKIVILDEADSMTSGAQQALRRTMEIYSNSTRFALACNTSSKIIEPIQSRCALVRFSRLSDQEILGRLMVVVDAEKVPYVPEGLEAIIFTADGDMRQALNNLQATFSGFRFVNQENVFKVCDQPHPLHVKNMIRNVLEGKFDDACSALKQLYDLGYSPTDIITTLFRIIKNYDMAEYLKLEFMKETGFAHMRICDGVGSYLQLCGLLAKFSLVRETAKAA >OMO79445 pep supercontig:CCACVL1_1.0:contig10415:55229:59422:-1 gene:CCACVL1_13674 transcript:OMO79445 gene_biotype:protein_coding transcript_biotype:protein_coding description:IQ motif, EF-hand binding site MGKASKWFRSILGLKKSDSHHHQPHPSSSSSKRRWSFVKSYREKDSTIPTTTVQQYDLINSCESLSKLSLHNRKQQGKGSVSVVEANGDSGVADPDKHAIAVAAATAVVAEAAVAAAQAAAVVVRLTSSGRSVREPASHIAISYGPREELAAVKIQSVFRGYLARRALRALKGLVRLQALVRGHIERKRTAAWLKRMQAVLRAQARARACRAQISESSQSSSKSSHFHHHGPPTPEKFEHAIRSKTTRCEQPSMLKRNGSKSSGRTVDNHEKIRPSWNRRLDEQSWDQRGHSTKIGTMDDEKNDKVLEIDSGKPNFTPNRRNLFHSTHLALHPDLYSYSYTNSRDSHQTTAASPDSGEVQSISPLNFSNEVEENAFCTANNSPQFYSASSKGGSCKGRSPFTPAKSEDTRSFLSGYSDHPNYMAYTESSKAKLRSVSAPKQRPQYDRTGSAKRNSINGLGELKSNSQKSSMHATFMNKAYPGSGRLDRLGMPIGYRY >OMO79439 pep supercontig:CCACVL1_1.0:contig10415:16853:17431:1 gene:CCACVL1_13668 transcript:OMO79439 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MFDHNSSSQQQHDFTLEITVVSAQGLKTSSSSLFSHRIRPFITLTSFPPSSFGGDKSRQVYKTKVDDHGGVSPTWGDKFYVPIDDNFFANPYSCVYLQLFTKRLISGYSQLGWCQIPAADVGLPPVGSVRQLSYRLRSEDGTRTQGIVNVAVKLDGPAPAPVAATPQQLPDDTCQTVIGIPVSYATWNGNGS >OMO79444 pep supercontig:CCACVL1_1.0:contig10415:50993:53959:1 gene:CCACVL1_13673 transcript:OMO79444 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S54, rhomboid MASRDLERGGGHGKNRGNNYGPYYVESSEKQWTSWLVPMFVVANVAVFVVAMYVNNCPKNNDGFEGRCVARFLGRLSFQPLRENPLFGPSSNTLEKMGALNWDKVVHGNQGWRLITCIWLHAGVIHLLANMLSLVFIGIRLEQQFGFLRVGLIYLLSGLGGSILSSLFIQKNISVGASGALFGLLGAMLSELLTNWSIYSNKAAALITLVVIIVINLAVGILPHVDNFAHIGGFLTGLFLGFVLLLRPQFGWAERHNLPAGARVKSKYTFHGGVSNAVQRREWERPLQLVPLPELCAYIEMALWKLIKHYYIYYM >OMO79436 pep supercontig:CCACVL1_1.0:contig10415:3165:4794:1 gene:CCACVL1_13665 transcript:OMO79436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase inhibitor 2 (IPP-2) MGIKSSHYQQHKMKGRVRWNEANLNEIEANKPVRKKIDEPKTPYHPMINEEDGSPSKTTSETVKTIDYAAHAEALKDALNKVALISERHSNTSFGGETSSDEEGLVKQKDAVSFEEKRKAHYDEFKKVKGLQEKAPSFAHDDLNLSTNAREVDIEEL >OMO79438 pep supercontig:CCACVL1_1.0:contig10415:7410:8506:1 gene:CCACVL1_13667 transcript:OMO79438 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock factor (HSF)-type, DNA-binding protein MAPLPADQPCESPASATADSQRSLPTPFLTKTYQLVDDPSVDDLISWNDDGSTFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFRRGEKGLLRDIQRRKITPPAGAGTATASATVTIAAVSCKVSPSNSGEEQVISSYSPPFATVLQRTTSCTTTPELLEENERLRKENMQLSHELTQLKGLCNNILTLMTSYASGQVENNSNLAEGKALDLLPPRNSAGASEDGGSKETARVRREDEDEEQQNNNQVQQQEIEPGSEVKAEPLDGKSDNKDSTWLELGK >OMO81436 pep supercontig:CCACVL1_1.0:contig10176:25348:29531:-1 gene:CCACVL1_12419 transcript:OMO81436 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MAKAKPPTQLPLCERVSSKSIIRRTVDVTVLCLFLCLLSYRLHCLSSHGFTWLPLLAFLCESCFAFIWFLYLSTRWNPVEHKTYPENLLSRVNELPPVDMFVTTADPLLEPPLVTVNTVLSLLAVDYPEEKLACYVSDDGCSPFTFYSLVEASKFALLWVPFCKKYNVQVRAPFQYFSAAKPPPPDDHNLSGFKQEWIKMKDEYEQLCGKIENAAHDLILGSDSSEYADFKNIERNNHPSIVKVIWENKKDQSSSLPHLVYISREKRPNYPHHYKAGALNVLTRVSGVMTNAPFMLNVDCDMFANNPKIILHGVCLLVGIKDEQDCAFVQCLQMFYNVLKDDPFGNQMTVPLAIFKAGMNGIQGPPYAGTGCFHRRKTIYGIPPNHHDVHINDRRILEERFGKSTKFSESVVKILNGSGEKEEHFPCDISSTIESACRVADCAYENSTCWGKEVGLMYGSASEDILTGLRIHKMGWKSVLLIPNPPAFLGNAPPGGPVVMTQMKRWGTGLLEILFSKHFPIISVFTSQLHFRQALAYLSMLIWALRSIPEFVYVILPAYCIITNSHFLPKVEEPVVYIPVSLFLIHNLNALSQYLEYETQSDDDENDADKVGRFTFDESPMFVPDTALLMLHLTALAIWLLGLQPPARGGDGGSGLGEIAGSMWMVLSFWPFVKGLFGRGKYGIPLTTIFKSAALVLLFVQLCLRISTD >OMO81437 pep supercontig:CCACVL1_1.0:contig10176:30808:35772:1 gene:CCACVL1_12420 transcript:OMO81437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVFLERAILVYCVFYNFGIIRKWKRSEREKGKTRGGNEEEDGAVLTSVRKKEGEEEGRLQTVERDRARRRNQDGCGLLDKSPHQIRLSNDFGSLSTFKLLSPLIFQLNKLFSRVDRIIK >OMO81435 pep supercontig:CCACVL1_1.0:contig10176:11671:16047:1 gene:CCACVL1_12418 transcript:OMO81435 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MASTNALSAFSFANISNLISIKLDAENDLLWHDQITPFLLCSDLMSYVDGTISPPPKPTETTSKSSDKSSEQSSTISYSEWQKKDQFLLSCLKATLSPSAQAQVLGLASSRQVWTTLETIFNQQCQAELDLLRDELQSINKGSMSIEEYLAKIKSIADNLAAINNPISDSELVTRTLNGLPHTMEYQPIVVAIENRENPISFNDLKARLLVHEQRLKRLQALSPQPLLSTGSSHDTALVTRQSYQNRGGQWNNNRGGRHGGQNNQRYDNQRYDNRDSRSFSRFGTPRYDTQFPTHRDNRDNRNFPRPQYGNRGPLRCQICNQFDHTAVTCGYRYNKADQNLSTSFAGLHLSSTASHDGFPSTSSTSIGANGESIWLADSGATSHMTSNPSLLQQSTLYSGNDGAYIGDERSGSTARGLLLNPYVVDPVFSLPPSTSHDCSSIVNLPVQPFQEVEDVNDRVEQADTIENDHHSEAIPLLTDLDAPIIDDSIPSTTIAPLPQQAIEPLQSTLGSTHPMMTRSRDGTRKPKVPYSLDAATSKGLVSSSSFEPTSFKEACKDSKWISTMKEEYTTLLQNGTWSLVLKTPNMNIVGCRWFYKIKERADGTIERYKPRLVAKGYTQQEGIDFDYTFNPVVKATTIQIVLSLAISRGWPIRQLDVKNAFLHGQLNEEVFMAQPPGFVDSKQPNYVCKLHRSIYGLRQAPRAWFQRFSNALYDLGFSSSHADPSIIVGALQYLTFTRLDITYVVQQVCQFMHAPRDVHVQAVKRILRYLKGTSSCGIRFLPCHSSSLVCYVDAGWAGCPDTRHSTMGHCIFLGSNPISWSTKKQVSVAQSSTEAEYMALSIASRDVLWISYILREIGFPTKHIETSYHFIRDLVCKRFLQVFHVRSQSQLVEFFTKGLSSPVFHPFKHKLLWCSPQSFEGA >OMP10614 pep supercontig:CCACVL1_1.0:contig02110:1000:1269:-1 gene:CCACVL1_00848 transcript:OMP10614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNEVIREASKWSPELAAACEVWKEIKFEFQAMDTL >OMO95986 pep supercontig:CCACVL1_1.0:contig07558:14332:35557:1 gene:CCACVL1_05135 transcript:OMO95986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHLIYYNKCQTQKQIFTETHKTLIKDGSEWPTKTSESCSLIAALIATAAFAIAANIPNTGSVHEPVLNEPIFGVFAISSLIAICFSVTALASFTKLEKENSTLTSDYASLKKAEDEDASTFGAFKAQVQKEMEAMKPNAKPPNPGGSESDIKSNPFISTNDLRFE >OMO80196 pep supercontig:CCACVL1_1.0:contig10341:11578:12584:1 gene:CCACVL1_13130 transcript:OMO80196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRVLRKGCSENCSIRPCLQWIKSPESQANATVFLAKFYGRAGLMNLINAGPEHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGSWQLCQAAVEAVLKGAPITPIASEAAANGQGPPLKAYDIRHVSKDENSAASNDLQRVKTRCRVKRSVKSKLNKPVVEEENRAAEAEVRCYMDEGNRSTSHESSLSHQSELAAMDGESKETESMVSVETAAASRLFMTEPEFGGKVPSEERVAEGGGEIGLELTLGFQPVVTRACHVVVPVKKRRIEGYGTCEDSQTCKVELGLDYSA >OMO80195 pep supercontig:CCACVL1_1.0:contig10341:10861:10953:-1 gene:CCACVL1_13129 transcript:OMO80195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPKIEKGRGQRVAATFRCQSAVESPHMML >OMO80194 pep supercontig:CCACVL1_1.0:contig10341:6787:6864:1 gene:CCACVL1_13128 transcript:OMO80194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASGCGTHSDHPEKMRNVDATRRYQK >OMO80197 pep supercontig:CCACVL1_1.0:contig10341:15072:20626:1 gene:CCACVL1_13131 transcript:OMO80197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRKRNFFKKQMNTPFKRKGVSNNRKPKWGSNSGNEQSSVSFNPGDTVYRVLCPSKKIGSVIGKGGSIIKTLREETQAKITVGDSVLGCDERVIIIFSSPRKVRIHNSDEDEEEVMAIGPCCAAQDALLKVHDRIVEDDLYGGPTSDDYGNTVVSARLLVPNNMVGCLLGKRGDVIQRLRSETGASIRVLPADHLPACAMATDELVQISGKRDVAKRALHEVSTLLHQNPRKDNPPLGFTVPHAGQNFPPPSAIPPSNLMWSHRSSSPHDIQPIPWAGPHGKQPSGFDSGSFSSFPPAHGGEASAEFSMKILCPTGKIGGVIGKGGFNVKQLQQETGAGVHVEDASTESDERVIRVTAIEALWNTRSQTIDAILQLQNKTGEISDKGTIITRLLVPSSKVGCILGQGGHIINEMRRRTQADIRVYSKDDKPKCASQDEELVQISGNFGVAKDALAEIASRLRVRTLRDVNAGAEPAPVGPVHGFGPARSMPNGPPPAAASAIGPGRSGGYEPFRVGGGREYEPQNYSVPPAAARYSNMNSALEAKVPNVSSSVTGMRGSTIFNTSEVSGARIRLEDPQAGGSEEFYRPSERLTSAQSMFQSFRASSGQSMTAQHSSYQNLNVQQSSYPSMNAQQGSYPSMNAQQSSYTSMNAQHSPYPSMNAPHSPYQSMNAQHSPYQNVNAQHSPYQNRHPHQSPYQNFSTQRSPYSVNSQQGAYTNINAPQSAYHNYGAQQGAYQY >OMP04020 pep supercontig:CCACVL1_1.0:contig05860:1408:1559:-1 gene:CCACVL1_02210 transcript:OMP04020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CRLKIFVPIAFLASTIMVPVNWTNDTLERSSLTYSDIDKLSISNIPTGSC >OMO73366 pep supercontig:CCACVL1_1.0:contig11247:1392:1827:1 gene:CCACVL1_17305 transcript:OMO73366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSDQVVEVTAPPMMMGFRVVMMLSERNESQVVKGLSEKEPVVAERG >OMO73367 pep supercontig:CCACVL1_1.0:contig11247:5020:5241:1 gene:CCACVL1_17306 transcript:OMO73367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAKPLKISKKKAQDAKSFTSQDKAKGKSTLKERQEKKYPFPDSDVASMLDELLKSKVIELPEMTRPEESDK >OMO77185 pep supercontig:CCACVL1_1.0:contig10804:6318:8943:-1 gene:CCACVL1_15169 transcript:OMO77185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKGCLECLLKLLNFLMTIVGLAMVGYGIYLFVEYKNASDTLLSPVGSDQDLVQLGRPMLMAVSLSSNIFDNLPKAWRGSLRYLLFWLYRSCNAEFMLFDLLELGCAAFIFFDKSWKDELPGDRTGYFDMIYGFVREHWSIARWVALGIVILEALIFLLALMVRAANKPAEYDSDDEFIQPRQQIRQPLINRAPAPATGVPVSGSLDQRPSRNDAWSTRMREKYGLDTSEFTYNPSESNRYPQATPPPAEERSRCTIM >OMO77188 pep supercontig:CCACVL1_1.0:contig10804:33542:36439:-1 gene:CCACVL1_15172 transcript:OMO77188 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MVSKHNPSSNRTRSPLSIFAVLGLCCFFYILGAWQRSGFGKGDSIALEVTKQTDCNIFTKLNFESHHNDLEIVEPSKPKAVVFKPCDVKYTDYTPCQEQDRAMRFPRENMIYRERHCPPVDEKLRCLVPAPKGYMTPFEWPKGRDYVHYANVPHKSLTVEKANQHWVEFKGNVFKFPGGGTMFPQGADAYIDELASVIPIADGTVRTALDTGCGVASWGAYMMKRNVLTMSFAPRDNHEAQVQFALERGVPAIIGVLGSINLPYPPRAFDMAQCSRCLIPWTSNEGMYLMEVDRVLRPGGYWVLSGPPINWKTYYQTWKRSKEDLKAEQSRIEEIAEQLCWEKKYEKGDIAIWRKKINDKSCRRKSADLCKSKDADDVWYKRMETCITPYPKVSSTSEVAGGELKKFPARLFAVPPRIAKGLVEGVSAESYQEDNKLWKKHVNAYKRINNLIGTGRYRNMMDMNAGLGGFAAALESRKSWVMNVVPTIATDTLGVIYERGLIGIYHDWCEGFSTYPRTYDFIHANGVFSLYQDKCNFEDILLEMDRILRPEGSVIFRDEVDVLNKVRKIAGGMRWNTKMVDHEDGPLVPEKILVAVKQYWVGGSGNSTSNDE >OMO77186 pep supercontig:CCACVL1_1.0:contig10804:13635:17905:-1 gene:CCACVL1_15170 transcript:OMO77186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTANELSEWKDFPKGLRVLLLDEDSNSAADIKSKLEAMDYIVYTFCNENEALSAVSSRPESFHVAIVEVNADNNNGSFKFLETAKDLPTIMTSNIHCINTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGNDLSQTLKPVKESVVSMLHLELENGKLEDKDSEKTEDASMAHEDDHEPSPRSDKYPAPSTPQIKQGGRLLDDGDCQDNTNCSTEKESMEQDGESKSVETTSGNAIAEVTASDGQPQGPRETETVVKEEADLVDGTKESTKYSHSQNRSHSKDSHAGAEKPNTVSSLSKVNRKKLKVDWTPELHKKFVQAVDQLGIDQAIPSRILELMKVEGLTRHNVASHLQKYRMHRRHILPKEDDRRWPQRDQTQRSCFPHKPIMAFPPYHSNHVVPVSPVYPMWGAPPHPANIQMWGSPGYPPWQPTESWHWKPYPGVHADAWGCPVMPPPQGYCSAFTQNATGFNYSGTMDCRSPMTPNSVEHEPEDEIIDKVVKEAINKPWLPLPLGLKPPSTDIVLAELSRQGISTIPPHINGSNS >OMO77187 pep supercontig:CCACVL1_1.0:contig10804:32730:32904:-1 gene:CCACVL1_15171 transcript:OMO77187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGSIINAMARHDVDQLCSY >OMO50462 pep supercontig:CCACVL1_1.0:contig16171:4496:4558:1 gene:CCACVL1_30426 transcript:OMO50462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLQFLNKFTSRNHYLVCGAF >OMP10767 pep supercontig:CCACVL1_1.0:contig01950:272:391:-1 gene:CCACVL1_00797 transcript:OMP10767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISYADPLHAFEEQINGGSNSDEETPPNISNGKLPLKKNA >OMO67852 pep supercontig:CCACVL1_1.0:contig12363:17485:18510:1 gene:CCACVL1_20264 transcript:OMO67852 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MVNTNLNQLHPPAVNEAIKLRVARAWDCILLGSERIVGFAFVATDKQGNAIHVQANAEDAEIFKDLVIEGALYLIAAFRLTRANVTHVAVQRDLMMWLTKRSVLRLLPDNLSPYPRHFFELYAEENFPRMANNNAFLVDVGMVMTITQEISVEHPSEDRISRKRVLTIGSLLTTITLWDKSLDMIDVPEMLSMKPKPVVLFAGMLVKAGHSDPALTSCCATKLYVNLDLDETAMVQAIYEEMEGNVRLLPPPA >OMO67850 pep supercontig:CCACVL1_1.0:contig12363:1799:8118:-1 gene:CCACVL1_20262 transcript:OMO67850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKATADSINSKSQNKTSSHACLQSKYGTNSRSNSTYCDKDFREKHGSHPTPPKTINDQPNPAYTTWFRNDQLVLSWIIGSTHESYLSQIVGAATALEAWNKLATTYASGSRAQIRLLKSDE >OMO67851 pep supercontig:CCACVL1_1.0:contig12363:13887:16034:1 gene:CCACVL1_20263 transcript:OMO67851 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MSLKTINELTPPGQNQTVKLRITRIWEVFIPTTQRNLGIAFLAFDNQGQGIHVHIPESTADKFRPMLMEGMLYHVSRFQVVNPYMTHLTVIRNYGILFNRNTILKPIPDDPAGYPRHFFHFDNDEKLFELANSDKYLTDSYGVLVKIVVAENILIPKQNKTGKKEVIIKRISGDEMKITVWEGCFNQMDDDLLLSLNPPLVLIFDAVSVRTFRDTIYLQTTSPTKIYVDLDIPEKPQIEAVNESLAPANATIAELLSFEPKKIQRTIFKAEVTVDELNLNNGWYYKACNLCNKKVNEPEGSPINCTYHGEKIVPRIKMRLPIRISDDTDSMDITIFDKEAEQMTNTYITSLMSNGGVSEEKVPDGVLNILNKKFRIVIGLTQRAVEENSPTYKIYDAVQVIDIQKRKEMTLTNSQTKEDDDNDKELEKGDTLSSPIDAFVENDLFPSVSPLKKKKTR >OMO80924 pep supercontig:CCACVL1_1.0:contig10257:4298:5044:-1 gene:CCACVL1_12693 transcript:OMO80924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFIGPAKHQPFDSDGTIPSNHLHNFEHSAISMTFFTYSASAILLDKINPKAKLGLTQFLGAVAFSQQLLLFHLHSADHMGVEGQYHLLLQSAIVVSLATTLMGIGFPNSFMVSFVRSLSILFQGLWLIVMGYLLWTPSLIAKGCSIHSEEGHQVVRCSSDEALHRAKSLVNIQFSWALIVVSIFAMGFYLVLFRFYGEKVEYSTLTKEEEDLDSSEDNFSDDVESQKETKKFIHMGKGYAVMDIER >OMP05585 pep supercontig:CCACVL1_1.0:contig05315:2545:3219:-1 gene:CCACVL1_01865 transcript:OMP05585 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine rich protein MWAGQESSKAFLLLALLAAVVLLISSEVAAQDLAETSTDHKNNGQLPNQSDVAGLDYVKHSGGHGGYGDHGGHGGYGGRGGYGGGYGGRRGNEGRGRCAFGCCRSDYYGRGCLRCCSYAGEVVDAETHTDPHN >OMP05586 pep supercontig:CCACVL1_1.0:contig05315:8474:8968:-1 gene:CCACVL1_01866 transcript:OMP05586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine rich protein MSSKTSFLFFALLAAVVLLISSEVAARDLAETTTELNNGEVATESELVEDAKYGGYGNQGGYGGYGGRGGYGGYGGRGGYGGRGGYGGRGGYGGGCAYGCCRSDYYGRGCRRCCSYAGEAVDAQTHN >OMO90050 pep supercontig:CCACVL1_1.0:contig08554:4293:7608:-1 gene:CCACVL1_07533 transcript:OMO90050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MGRIPALISSFLLLLIMATTWAERENKSSSAGLFWSTAKEERDLVHKAGPSDVDDSATAAAAAEDIDGGFSSLEGMLQWAIGHSDAAKLKETAQDVHRLSPSELKQRQMEIKELIEKLKMPSDAQLMQIALDDLNNSSLSLEDRHRALQELLILVEPIDNANDMSKLGGLLVIIRELNHADPDIRKISAWILGKASQNNPFVQKQVLELGALAILMKMVKSSSADEATKAFYAISSLIRNNIAGQQLFYEERGDKMLQDILSNTSMDIRLQRKAVYLVGDLAESQLENIDEAEMPFFSDHSFLKSVVNLTASTDLDLQEKGLVAIKNLLQLRTTEPLVFRDLCGLSDALEKMRQRLQDLMLDEYHKDYAMDVEGLRKEVELIFQEKLGKEVRVPT >OMO90051 pep supercontig:CCACVL1_1.0:contig08554:19270:20905:-1 gene:CCACVL1_07534 transcript:OMO90051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYHLSTLEKNLLLRRERGRSRVRIHGMPFGDGDRRKKGGSPPVKRAEFNGRDFFDESLRPIRVPNRKGNEDWQPKPHRQEIRSRSYSRQITTNISNRRTIRPNSQIEHASKNRLNKAWIPKHRPNGNNSQWKSKLFSVFVGDNPRSNNQSPLKGLAVIDNRSYKEALCGSERPFSNGKAATPSAQNDLTYCQENDDNSSNFDGSLDPLVLNVDIPKAALEWLNRSVVGKSYRGADCSEIQEEFSKEGLKVQVACLSNIKTLLTFSSADLMEDTLKSHSTTLLKFFDEVVTWWDDDYDRASLLWIKLEEWKVRVISKYCLKKVNNIPFKIICTPFMAAPVVTEEGKTLSSREIRESSIQSSPPPEAHTPRSTRDATLGSNEDINNLVGQLNENGGLSVCGPLEIELRNMESPRLVNNPTREPSE >OMO90049 pep supercontig:CCACVL1_1.0:contig08554:269:3279:1 gene:CCACVL1_07532 transcript:OMO90049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLRSVVLPQWQWRPQLRCHDSNHCFIYSNTNTNSTNYWNGRLEVRRAGGRGRARYGGLVHCSGNNNSSGDAKKGGGVPNSNYVVPLDKSFSPSNSSCITRPLVEILRDLNKRIPDNIIKPLPKSSSSTFLPWYHANRMLSFYAPGWCGEVRDVIFADNGTITVVYRLTIRGSDGEAHRESTGTVSSSDVNIVDPVAAAEEIAFCRACARFGLGLYLYHEE >OMO60598 pep supercontig:CCACVL1_1.0:contig13706:5517:7354:1 gene:CCACVL1_24020 transcript:OMO60598 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MEKGKAKGESSSSPSTAHNLCYSPEAVQLLQKVIAEIIGTYFVIFAGCGSVVVNKMYGETITFPGICVVWGLIVMVMIYSVGHISGAHFNPAVTITFAIFRHFPLKHVPIYMVAQFLGSILASGTLCLIFDVKPEAYYGTVPVGSHSRSLVIEIIISFLLMFVVSGVATDSRAVGELAGIAVGMTIVINVFVAGPISGASMNPARSIGPALVMRIYKGVWVYVVGPILGTILGGFAYNLIRFTDKPLSELTKSASLVKSLSKTRSNIISL >OMO60599 pep supercontig:CCACVL1_1.0:contig13706:25295:26128:1 gene:CCACVL1_24022 transcript:OMO60599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCFNFGVSLPLIHEVLNKNEPDIEGLWSLAFVAAYFWSADLLITPLRELPVTLVFLHYLGIACVKHGKYKALSTYASLVFGISYFILNDVNVYGWIEKQVETEDENVNVNGRDAEVGNGAAIG >OMO53631 pep supercontig:CCACVL1_1.0:contig15175:4:2121:1 gene:CCACVL1_28485 transcript:OMO53631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVISGHLGWVRSLAFDPSNNWFCTGSADRTIKIWDVASGRLRLTLTGHIEQVRGLAVSNRYTYMFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRTKMQIFALSGHDNTVCSVFTRPTDPQVVTGSHDSTIKFWDLRYGKTMLTLTHHKKSVRAMALHPTEHSFASASADNIKKFNLPKGEFLHNMLSQQKTIINTMAVNEDGVMATGGDNGSLWFWDWRSGHNFQQAQTIVQPGSLDSEAGIYALSYDVTGTRLITCEADKTIKMWKEDETATEQTHPLNFKPPKDIRRF >OMO53632 pep supercontig:CCACVL1_1.0:contig15175:2917:9293:1 gene:CCACVL1_28486 transcript:OMO53632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELIHRNQTTSPEATTLTSPNKPSSLVKNTTTPFRYMLQKQRFVFVLVGIAIAALFFISFPISTSQEPSFGVFPFPDPVSASESSHVLTRRVLYEAYPAQLKRSSTNVGGKVPLGVKKKNLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENLHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGLGIEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKQPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGDHVGPFNLGNPGEFTMLELAEVVQKTIDPNAKIEFRPNTEDDPHKRKPDISKAKELLFWEPTVSLQKGLPLMVSDFRKRIFGDQNQKGGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPMCYKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFVSPPNMIVIRSFDVNKPGFEVDEIKGGVAGGSILRGVLKVNQFIEVRPGIVVKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVFVELEVNFFLLRRLLGVRTKGSERQGKVSKLAKGEILMLNIGSMSTGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGQIQAGTTLEVPPCPL >OMO53634 pep supercontig:CCACVL1_1.0:contig15175:14019:22919:1 gene:CCACVL1_28488 transcript:OMO53634 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 1 MSKAAAGGAKGKKKGATFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGNLGDSVVITREKSKITVTSDSAFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVHNRWMDGLVSCFTFGLMFYIYASKSKRMVEGGYLDDGKGLSNWDVFTHIPGKINNDENGDVADDDYHLFLEDIELAHSLGVNAYRFSISWARILPSMSFETRIFLYLILQPKQGGNIGIVVQSHWYEPLRDEEVDGQAVKRSLAFTGGWVLDPLVFGDYPPEMRQYHENALPRFSPEEAEYVKGSIDFIGLNHYSTLYAKDCIHSSCQLGGRHFIRGFAYTTGERDGILIGDPTGVDRFFVVPRGMEKIVDYFSKRYNNIPIYVTENGYSPPLPQTEQAPNLLHDDDRIKFHKSYLAALARAIRLFAVFRKRLPAPPPHPPQAPERGSSKSEAGGFEFLAPTTQKNALRVLRAMQLSKPGQFCITLDLILHLLQTDMMDFQVSDLPVESDEGVKFDWYDGILLPALKEVCWVLLDELNLAPQSVLEVLNVILDHRAEVFIPELGRTYRCPSSF >OMO53633 pep supercontig:CCACVL1_1.0:contig15175:9798:11979:-1 gene:CCACVL1_28487 transcript:OMO53633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIRCRRTARKMMMTRGGVFLKLEKKWEKWCCKTKTDSGLTRTVPSSNITA >OMP12026 pep supercontig:CCACVL1_1.0:contig00553:2891:6243:-1 gene:CCACVL1_00170 transcript:OMP12026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQVSSSGIAEEVAASLSTFVQTPHRIVSVSSCDITGMHGGNLGRMQVDLPRPSFGDLQRKSTGEHPNDPEKLNVTRDGRSNIYRVKLGTIEQNGRLAHKSGHNIQTPVPRILGFETRALNSPVDLLNGVPTSSTVVSINGNAAESNGSLARKRLLSPLNGMLCPDQFNGDTLDISGGINQSGFGDGAENHNIYVSQEHKKAHISNSSTLDSAIWSPSCFREWKNSPNDNCEANSMFFSDGPLLKSKELQSDDSFVATPPGLNYSGETNKKRHQTLAIAIPVKKAASPPLSLSPLGPKFNERIKNAEGCRDVAEKLEDDYMTFKDLEQSLNGMVSVHTDRDFRLSSKSYNHFDDLQNKFDPCSPELTSGIAQKWGQELNLARNGKLLRSPSGLSVRRSLVGSFEESLLSGRLLSGKVSQRIDGFLAVLSITGGNFSPQSQKLPFAVTSVDGDNYLLYYSSIDLARHVSTNKSRGPKLKRSLSIDESKAEKCRLRVPMKGRIQLVLSNPEKTPIHTFLCNYDLTDMPAGTKTILRQRTTLAPAAQSNIPGKERHQSSEANSNVKVPTIQNVDNSSPTISRKVHTTKYPDQIMKDIESETAGCLENLDNDSSGLNISRDIRPDEDNSSFNSRNVNVNKFVHSSSRVNENTMGAGVLRYALHLRFLCPSHKKCSKSVRRYKSDPLSAPAGNINTEGERRFYLYSDMRVVFPQRHSDADEGQLHVEYDFPSNPKYFDICS >OMP12027 pep supercontig:CCACVL1_1.0:contig00553:9985:10140:-1 gene:CCACVL1_00171 transcript:OMP12027 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative structural constituent of cell wall MVSYKNLLLAFFIALTSFSSIDVGLAACRRLQQLPTLPKIHTNAATIANNA >OMO60634 pep supercontig:CCACVL1_1.0:contig13697:7854:7928:1 gene:CCACVL1_23994 transcript:OMO60634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRSTTTQLLQPTPELTRQDVE >OMP01098 pep supercontig:CCACVL1_1.0:contig06534:12388:13384:1 gene:CCACVL1_03162 transcript:OMP01098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFCSLHPIVKNPKPQTLVFHKNQNPFINQNKEKKETQNLKGNVAER >OMO50593 pep supercontig:CCACVL1_1.0:contig16113:26016:26650:1 gene:CCACVL1_30357 transcript:OMO50593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSSFQIEENSAQLEALESQWVTQNAALKVLVDVYVNQNQCSPELLEAIVNMTEKTEKEDEEDNVMALDEDYHSHETTHKNEVMEAIPTNNVLVKSEDNGISHETRREKKHNMEILEKTPPLEYDNTKMVEEDVESQVMIKNLDDEDPDLLGIEEFMQPRCHGIPKVHTWKLNEYLVKTPHILKR >OMO50594 pep supercontig:CCACVL1_1.0:contig16113:28749:34657:-1 gene:CCACVL1_30358 transcript:OMO50594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKCGNLVDSRKVFDEMPERNVVSWNAMIGGYFKNGDRESALDLFEKIPIGRNSVTWIEMIDGFAKSGDTSKARQFFDKVPLEFRNVVIWTVMVDGYNANGELESARKLFEMMPERNFFVWSSMISGYCKRGEVKEARNIFDRIPVRNLVNWNSMISGYAQNGFCEEALEMFKKMQSEGFEPDEVTLTSVLSACAQLGKLDVGKEIHHLIMEKRIKVNQFVLNALLDMHAKCGDLAHARLIFEGISRRTTACWNSMISGFAIHGQSKEALEFFRRMEESDEIPDEITFLSLLSACAHGGFVDEGLDIFTKMGTYGLVASIKHYGCLVDLLGRAGRLKQAFDLIKRMPMKPNDVVWGALLGACRVHLDTNMVEQVMQELHTLNYDMDSGDYSQYVLLSNIYAASDKWEKAEKMRMAMLTKPERFSMVGFKIIRLLLENIGRICTSWMFAGSGRPLMKNKKKDATTQRWQLSRCTWLPVFFVLSHCFCFRIKTINVEMVATVHRPNEARLMYEKIHSHPNAKVSKKARQFMFSFKVNDSEQVFIEDRANYSLQGAEAEIIKLGGLRCHHYYERTPIVGARNLRLLGLSGNFILRKLGQEIEELGYKVSGIQYTFCYALTVKHPLMVVGTADRNLIVLNLQNPQHPSMEKDQAAMVVVEELLLLSIKILAFTIPPSGIAAIGTMRVQGVEACDSCLYHDVLEVNACVTLFI >OMO50595 pep supercontig:CCACVL1_1.0:contig16113:36630:39974:-1 gene:CCACVL1_30359 transcript:OMO50595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exostosin-like protein MGTFNSYPRTKTIWHHGTPCTGAHQIGALLLVVATHFITRLFDNLPLAMYSNSQCSLFNQDRSSHGVSRFNGGYPSTWPERGYGSYLSLKIYVYDENEIEGLKPLMYGKEGIIDVDICYQGQWGTQVKIHRLLLNSRFRTRKKEEADFFFVPSYVKCVHMLEGLTEEEINQTYVEVLKQMPYFRRSGGRDHIFVFPSGNGAHFFTSWKTFLNRSIFLTPEGDRTDNKNSTSFNTWKDIIIPGNVDDEMTKLGAALVEPLPLSSRKYLANYLGRAQGLKGRLQLIELSKQYPDKLEAPDLKHDPPDKLFKMEYFEHLRNAKFCLIPRGLSSWTLRFFESFFVNVIDYSQITIKWPASRIGPQLLEYLESIPDEVIESMIGRGRQVKCLWIYGPESEPCSAINGILWELQRKLRVFHQSPETFWLHNGTFVNRDLLGFHDWKPPMPLP >OMO50597 pep supercontig:CCACVL1_1.0:contig16113:69479:69613:1 gene:CCACVL1_30361 transcript:OMO50597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVGVVQFSVPFQKLGAFQAKAKPAGVEKRQKRRKQQNCAPRL >OMO50596 pep supercontig:CCACVL1_1.0:contig16113:47073:48484:-1 gene:CCACVL1_30360 transcript:OMO50596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MHKNTLFPLVPLVLGFLIVASILGVSQGQLRVGFYSKTCPNAESIIRRVVKKAVVNNPRNAAILLRLQFHDCFVEGCDGSILIKNDEDGEMKAQGNLGVAGFDIIESAKAELENLCPGIVSCADIVALAARDAVSLVRGPNYDVPTGRRDGRLSKKSLADKLPDVDDSIEVLKAKFRDKGLSDRDLVLLSGAHTIGVAACFFMQKRLYNFTPGGGSDPAINPLFLPKLKAKCPISGDVNVRIPLDWGSQNVFDLHILKNIRDGNAVLASDARLYDDQSTRQILDSYITSTPSNFNADFATAMVKMGTIGVKTGSQGEIRRVCTAVN >OMO56222 pep supercontig:CCACVL1_1.0:contig14548:7220:9849:-1 gene:CCACVL1_26691 transcript:OMO56222 gene_biotype:protein_coding transcript_biotype:protein_coding description:FH interacting protein 1 MEKSKTETEPKSSSTQSAAQELNPKDSDPAMDAEGFVIVPTSEPEKKDEKEIQNPKESQIQSEQSPNRTSSGSRKSVHWSPELVSESPAVDHSATMSSPNGSNPYVAHEKMDIVKDVLGRWGRKVGEATRKAEDLAGNTWQHLKTSPSFAEAAMGRIAQGTKVLAEGGYERIFRQTFETVPEEQLQNSFACYLSTSAGPVMGVLYVSTAKLAYCSDSPLSYKNGSQTEWSYYKVVIPLHQLKAVNPSKSRVNPSEKYIQVTSVDSHEFWFMGFLNYDGAVQTLQEVLQLHSLQSV >OMO70629 pep supercontig:CCACVL1_1.0:contig11802:19345:36380:-1 gene:CCACVL1_18746 transcript:OMO70629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID MDSIITSALEEICSHGQGGISLSSLCSRLSVSPPLKPSLWKNLLPIPALRFKARTAEFLSPSDDSIQCVEDAEKLEIKILADEKLRNNFVGLYDENALKMLRLESKSGRLTDGTCRTNGVTQSQLAKEFGIEGKNFFYILKNLECRGLIVKQPAVVRKKEPCIEGESKNSSPVTTNLIYLYRYAKRLGSQQRFEINKEEETVESLGYEDENVPDKDGVASENVKENVLVNDYLPAMKAVCDKLEEANGKVLVVSDIKRDLGYTRSSGHKAWRNIHRRLKDAGLVEDFHAVVNEKVELCLRSVKRFSEKNFDLKILGFDDQLNKGQQLKFGRTLPNVDQIVELPIDTQIYDIVDAEGSEGLPVMTVCEKLGIDKKRSYPRFFNMFSKFGMHHQAESHKKTTAYRVWTSGNSNPKSCNAFLIKSKNANDENETCNPNVGSSEVPNGSNQNFLEYDLSTSAGNSTPLKIDIVENDPEVSCGSHGETNRIVVYSDNMQEFPTEQSNNPLDSELRLVSTESEIRAPPPEPTQLALLKPSDSASCQTYSCQVQTADAARREQRILERLQDEKFILRPELYRWLVELEKDKSTKLDRKTVDRLLKKLQQQGHCKCMNINVPVVTNCGRSRITQVVLHPSVESLHPELLGGIHDRLRSFEMQIRSHGSSKLKKDDSVPVLDGVQRTQNHTVSDAKAAKSEAMRANGFVLAKMVRSKLLHGFLWGFLSSSNGWDDAFSLEKHLNDQRNLHGSCILFSLEAAIKAIPLELFSQIVGSTLKFDDMIEKCKKGFCLSDLPIQEYKLLMDTQATGRLSLLIDILRRLKLIRLVPDESSDNRVKVAHANLTHAMELKPYIEEPLSVISTSTFRSLDLRPRIRHDFILSNREAVDDYWKTLEYCYAAADPRAALHAFPGSAVHEVFLNRSWASVRVMTAEQRAELLKRIVKDNLNEKLSYKHCRKIANDLDLTLEQVLRVYYDKHQKRLNRFRGVPNSIEEHQVERNKQSSARKRKRSSKVKSAESTRVDARTIELDEQEIATPPVGSDGYTMEQHGALTSSVGPAVSQTHQEADNVEAVSKAGTLEEDEECYSLITQYAFPKLKPTRTKRFSWTDGADRELVMRYARYRAALGAKFHRVDWTSIDGLPAPPRACARRITFLKRNAKFRKALMKLCNMLSERYVMHLERNQNRSLNNSDCRLLVRSSSVGFSNGIEHGEDEGFEEERWDDFDDRKIKRALEDALRFKQIVKLEASKRAGSISAEWSNINMNSEDNLQGPEIDSPSTQGEDMGRPGAGRKGSSQSSRNHRFHQKLVKLWNVGNGAGRQVHDSLAVSNAVELFKLVFLSTSTAPPFPNLLAETLRRYSEHDLFAGFSYLRDRKIMIGGTCGQPFVLSQQFLHSISKSPFPRNTGKRAANFSAWLHERKNDLMEGGMNLTEDLQCGDIFHLFSLVSSGELSVFPCLPDEGIGEAEDLRSLKRRAEDNELCDADKAKKLKSIAEGEFVSRREKGFPGIMVSVYSTMVSASNALELFKDGTCTLDHVNDESLSQKVNRCSTNSDYMKEMLEFGNNVTIASKSKVSPWDAMASYSEHLLSNPSYEEGSHFDPEIIKAVHAEIQKAGDQGLGIEDVYNHIKVPGGMTPEIIIDTLQAFGRAFKVNAYDSVRVVDALYHSKYFLASSSCFHRDLRPSSSLASQGKDGGNFILQQESVGLDTANLSGSVTAGDVHKVTILNLPEEHALPSNKVPSSNVNESCMGGEVSLDGDNEGAINKPSSCEPLVPILPWVNADGTINQMVYNGLIRRVLGTVMQNPGMLEEDIICQMDVLNPQNCRKLLELMILDRHLIVKKMVQATGSGPPALLATLLGSSYRKPKFSPNPMMMDPSSSTTTAPTPSSSAATNINPAATPPPTAATTTTPQPAANTSILPQNNHPSPQIPPPSPSIDPSLQNPQQNLQQQQISQISSPPLPPLPQQQQQQQQQQLLQQQQTQQNVAALSNFQIQQSLQRSPSISRLNQLQQQQQQQSQQQQQQQQQQQQSPYGNVLRQQAGLYGQMNFGGSASIQANQQQNQQNQQMGNPNLSRSGLIGQSGHLPMLSGAAAAAAAQFSLQSHILSSPRQKAGLQGSQFHAGNPTGQSLQGMQAMGMMNLSSQLRANGALYAQQRMTAGQMRQQLSQQTQLTSPQVQSLPRTSQAFMNPQLSGLAQNGQAGMIQNSLLQQQWLKQMPSMSGPGSPSFRLQRSQALLQQQLASSSPQLHQNLGLNPQQLSQLVQQQSQMGHPQMQQAQQQHQQQQQPQQQQQQQQQLQQQPQQQLQPQQSPLHQSPQQQSPRMPGPAGQKSLSLTGSQPDATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSQGKLEPEVEDLLLEIADDFIDSVTTFACSLAKHRKSSTLESKDLLLHLEKNWHLTIPGFSSEEHNQTRPLSSDLHKKRLDMIRALMDSSQPEANANHPKEIIRQGIGNPVSANHLMRPSPSSEQLVSQAAGSQMLQQITRY >OMO70630 pep supercontig:CCACVL1_1.0:contig11802:37765:38634:1 gene:CCACVL1_18747 transcript:OMO70630 gene_biotype:protein_coding transcript_biotype:protein_coding description:39S ribosomal protein L50, mitochondrial MTFHIEETKNYEVILQLERQGFLEWPKSQSPPVQTPWMGQLYEEYDELELLTKDDWEDLSDEDIVMFYSLIGRLTTGAEDANHAIPNEDLELGGEDANHGIPKEDQELGGEGLAGDEEV >OMO70634 pep supercontig:CCACVL1_1.0:contig11802:57172:57504:1 gene:CCACVL1_18751 transcript:OMO70634 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHF5-like protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVIYGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >OMO70627 pep supercontig:CCACVL1_1.0:contig11802:5366:6140:1 gene:CCACVL1_18744 transcript:OMO70627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKMEENLSPRREINKGAWTAEEDRKLAEVIAVHGPKRWKSVAIKAGLNRCGKSCRLRWMNYLRPNIKRGNISDQEEDLILRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKINQKQKQSETSIKESCKAQKREVNESIIAREEVKEDKTSVRSSEEEDSNNISFDVDQFFDFSNEDPLNLEWMSRFLEVDS >OMO70632 pep supercontig:CCACVL1_1.0:contig11802:49334:49678:1 gene:CCACVL1_18749 transcript:OMO70632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDIFSGFSNGTQVDGKVLQTFQKSFVQVQDILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSSSFTRSMEASSEGDSAGTFKSDGKASQKRIRSG >OMO70631 pep supercontig:CCACVL1_1.0:contig11802:39323:40095:-1 gene:CCACVL1_18748 transcript:OMO70631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MKFDRLTRKPDFPLHFQYSVYALDYESGNRSQSIHTQPKDEDEGLDEEEHGETLCGACGENYASDEFWICCDVCNEWFHGKFVKITPARAEHIEHYKCPSCCNKERARP >OMO70628 pep supercontig:CCACVL1_1.0:contig11802:9674:9787:-1 gene:CCACVL1_18745 transcript:OMO70628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYELLEISEAVKLPCSVGSNFFNFAPNSKARLDLDS >OMO70633 pep supercontig:CCACVL1_1.0:contig11802:53346:55621:-1 gene:CCACVL1_18750 transcript:OMO70633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLSSSSSTLLSQTYQIFASQKPWRKTLNSSFLVNIDKPSLTRCSAKKKIGFFDQILDYIEGGPKLRRWYGAPELLPKDGSVVEEEDDFSDNEARDAVLVTDGDSEIGQMVILQLIVKRTRVKALVKDKRTANEAFGTYVESISGDTSNKIVVKKALQGVRAIICPNEGFLSSVESLKGVEHIILLSQLSIYRASSGIQALMTSNSMKLAEKDESVLMASGIPYTIIRAGMLKNTPGGTQGFNFSEGSAASGSLSMEDAAFICVIALEVVPQSRFIFEVVNGGEKVSDWKEVLTRLMEKTEQ >OMO99770 pep supercontig:CCACVL1_1.0:contig06813:1147:2470:-1 gene:CCACVL1_03641 transcript:OMO99770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFADLPEKHTEEAATLKTWDNRKPYEQAANIEIPKVVAIYYAGRDLDEVIQRENATSYGLAASLSFTSKVVLGMASSNNLNPVTLELGGKSPFKLGILSRGGLNKVLTPQIDNAQFQEIFKYIRSGIEGGATLETGGQQLGSKGYFIKPTVLLSRF >OMO99773 pep supercontig:CCACVL1_1.0:contig06813:37807:37890:1 gene:CCACVL1_03644 transcript:OMO99773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRWPPRGDRREQPKGGYREKKKKSI >OMO99771 pep supercontig:CCACVL1_1.0:contig06813:23644:23906:-1 gene:CCACVL1_03642 transcript:OMO99771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKKKGKGFSVGWFAPPFGLYKLDVDAAYRAETGIAKDAVVVRDS >OMO99772 pep supercontig:CCACVL1_1.0:contig06813:30863:37496:-1 gene:CCACVL1_03643 transcript:OMO99772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDQAGYGHKPEYERRPDYGSNLVMEGGLTMSNLNLVMGVSRRKEKEDLPDLHRSGDGRKSRRPPRAAALSCDLRQVECRPKAPAYGFLMSCDCVEEVVHEYGDDSNGGRCQGSIRFKRGAGEEEPY >OMP11082 pep supercontig:CCACVL1_1.0:contig01570:414:563:1 gene:CCACVL1_00675 transcript:OMP11082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGNKIRLLLLQTLQADAEIPSYGFRLIISDVALRVPSTIVLEEVKP >OMO61808 pep supercontig:CCACVL1_1.0:contig13410:1984:2046:1 gene:CCACVL1_23231 transcript:OMO61808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKEKKKMVFVSKVQTAPYEG >OMO95917 pep supercontig:CCACVL1_1.0:contig07574:15742:15975:1 gene:CCACVL1_05173 transcript:OMO95917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSRGMIVFCFMTLIFCSLLVKVSKADHETAEECARAHPDDSQRCADNFHEDDDFDDTYKVVNNMKISSEMLVIGH >OMO95919 pep supercontig:CCACVL1_1.0:contig07574:22081:22854:-1 gene:CCACVL1_05175 transcript:OMO95919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DIEIMAKDCLEKAAGHNPNLDAAGLAVKLSKAVPYRAEIEIEWYKAFCDQYHQMGYYDAFKLNEGSSIRESIVNMNRHRLAGFWNSVIYMLENNKLPHDFHRRSKWVNASQVYKLLVEPLDIADYYRKGMHREWGHYLEHGRERRYEIFDKWWKDRGVREKKYKRRKYANLTQDSCFWARVEEAKEWLNNVRIERDVTKRNMLWLKINEFEKYASKLIENKEVSEDVLAKNSTFSLWVEELKELKSQLIANFGWSSG >OMO95916 pep supercontig:CCACVL1_1.0:contig07574:11362:14910:1 gene:CCACVL1_05172 transcript:OMO95916 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 19 MSFAAIRCIRIKSSICFKNPFRRYLWVSSRSIVDSAAKEGELRVFIVAGEVSGDTIASRLMASLKKLSPLPIRFSGVGGSMMSKQGLKSLFPMENIAVMGIWELLPHLYNFRVKLKETVEAAFHFKPHVVVTVDSKGFSFRLLKQLRARYNQQSSDAPVHFHYVAPSFWAWKGGEARLKGLAEFVDHVLCILPNEEAGCRSNGLAATFVGHPVLEDVLELNMGKETALHEWQITGNGENFRKEYAIPSGATVITLLPGSRLQEATRMLPIFSNTMKLLKSSFPELVNVIHVAPNQLVDNFITRSINKWPVPAILIPGGIPHLKYDAFDASQVALCTSGTVAMELQLARLPCLVTYRAHFITEWFIQYKAKIPYISLPNILLDSPVIPEALFQACTPTKLAFLLMELMSNKALQEQQIVAAKSVMELISPSNRTGTNLAHPGMMSTLPIYTPSMIAASTILSYARR >OMO95915 pep supercontig:CCACVL1_1.0:contig07574:5935:7711:-1 gene:CCACVL1_05171 transcript:OMO95915 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MKNTAHYQMCRPWLIFILSLSLTLLFTPATAKVPAVIVFGDSSVDSGNNNLISTLLKSNFEPYGRDFYGGRPTGRFCNGRIPPDFISEAFGLKPAIPAYLDPAYTIADFATGVCFASAGTGYDNATAKVLNVIPFWKELEYYKEYQQKLRAYLGNKKANRILTQALYLMSLGTNDFLENYYIFPTRKSQFSVRQYQDFLLGICENFIRELHALGVRKLSLTGLPPMGCLPLERATNIFGQNECVDEYNNVALDFNRKLEKLVIKLNSELTGMRMVSAPAYDIFYKIIKKPSLFGFEVAGMACCSTGTFEMSYLCSQYNPFTCSDANKFVFWDAFHPTEKTNKIISDRLIPGLLAKFSH >OMO95920 pep supercontig:CCACVL1_1.0:contig07574:24325:24603:-1 gene:CCACVL1_05176 transcript:OMO95920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETLAAFLASTPLLEESWRLCSIANMTFPESYVVEQIGNVTYVAFSGRQMDSGFDHSENLVRLDAEDGGLFAPLYRHSETEEPIKVHHGML >OMO95918 pep supercontig:CCACVL1_1.0:contig07574:19440:21173:1 gene:CCACVL1_05174 transcript:OMO95918 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative leucine-rich repeat-containing protein MKSVTWLGFAFCSNLKSFPEIFEKMESLQVLCLDRTTIRSLPSSVANLSNLKYLGLKGTAMKGYWHPSVVNVFQKSFCVNNATNDRSDELTALEKVFLRDCSALARCIDKLEALSFLFYWNWNCLNYSYNFINCFNLIKDASSEIVANAHCTNQLIAAASVESVSCKFLWRGAKALFSFPTTEIPAWFNYQSVGSSIRVQLHPGWQNSRFLGFELCIVVESSEEYVIDQWRIKVLSRYIFGSKYQHLLHLCRISSFPRGWISEAATFCDHMLLLYDHEMYNDEAIKLNFKSNSLVEILFEFHLEGIDGLHHDYAKMIKKCGVHLLSIDEEEDPQSSMKFEETDFSGMMQIIEDDDNNEYVENSRMVYTLSRDKGIPFSFMWQKVHQKCKVPSNAVWLCAQSHTAFSLGFQS >OMO94629 pep supercontig:CCACVL1_1.0:contig07825:30692:35842:1 gene:CCACVL1_05901 transcript:OMO94629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATASHFDFKEIQERVSFHLRPWHRSFQFWVRAADIYTGYKLFQVRVSFVKDVQKQEAMWEAQHELAADKIYAMCSDLGGFFLKVAQIIGKPDLAPAAWVKKLVTLCDQAPATPFDDVQAVFDKELGCSIGEVFEKFDVTPLGSASIAQVHRARLRGDKNDVVVKVQHPGIQDLMMTDIRNLQAFALYMQKTDIKFDLYSVTKEMETQIGYEFDFSREANAMERIRRFLYENNKKSPVLVPRLIKDFVTRRVLVMEYIDGVPILNLGNEIARRGIDPNSKMAAAAKQNILKSLTLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPDQLRLGYANLVLAMADNDPVKAAESYRELGIGTLSNCKNEQQELLRLAQTMFDTKLPPGVVMLQPFSDDSSIKKIAVKSFPEELFSVLRTVHLLRGLSVGLGINFSCAEQWRPIAEEALYNAGRLKATDLKTKVRKRGSLRRLFWRD >OMO94630 pep supercontig:CCACVL1_1.0:contig07825:41378:42095:1 gene:CCACVL1_05902 transcript:OMO94630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRVRASPSPSPLDSLETPPSKIIKDDSFSIYEATVMKLKLGSQRHLISEVIVTETDSASETVPETTTDESSDAMNAEASCLSKGCQDISWDGEGEGEGEVMMTDTDCSSSSDCQSTGNLNSQQESKSMSILYMFSKFKGYQRAPANGSEAMMVENDGCASLSSQFLARAKEPGNCGSSTCFPM >OMO94625 pep supercontig:CCACVL1_1.0:contig07825:1414:3434:1 gene:CCACVL1_05897 transcript:OMO94625 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S21 MAMAMAMAFSLSKSLSSLFLPSRSQPSLVSPPSLQLPVKKASTRVSSSLTTADEITAVTCPSLAYANTLFFKSGVYNAQVVVAEDEPEEKLLSRFRRAVFLAGVIQECRRRMFFESSQDKKKRKAREASQRNRKSCRGCDSSVKSTHLEAIKRFWKPYVVRRRPQPKVSAQEETPKKKDDEEDDNWELPEGDLS >OMO94628 pep supercontig:CCACVL1_1.0:contig07825:24817:27702:1 gene:CCACVL1_05900 transcript:OMO94628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRVPDEAYFFYFSFLKQTPRPGPKKEAETRFLPPNSVESTMDVQDGTEQSQQLILANNVFLLKHPDVPDIEKVRLKDEVLNSVKSNDMTPYYETLVADKVVELDQSLLDSMRAKNEDEIKKLDEKIADAEENLGESEVREAHLAKSLYYIQIGEKDKALEQLKVTESKTVAVGQKMDLVFYTLQIGLFYMDFDLISKSIEKAKNLFEEGGDWERKNRLKVYEGLYCMSTRNFKKAANLFLDSISTFTTYELFSYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKVPYLSEFLNSLYDCQYKSFFLAFAGLTEQIKLDRYLHPHFRFYMREVRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >OMO94626 pep supercontig:CCACVL1_1.0:contig07825:6227:6334:-1 gene:CCACVL1_05898 transcript:OMO94626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNKRSDRGTHGETSPRQQGSQAAAGLTKNQRPV >OMO94627 pep supercontig:CCACVL1_1.0:contig07825:20507:21793:-1 gene:CCACVL1_05899 transcript:OMO94627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C48, SUMO/Sentrin/Ubl1 MKITKRRLKGFELSAPCLLANIPCRERSKRRPKSKNSVPKQKKRLDTAAFEFYMENLWSSFPEDKRICFTRFDCQWFTLYMNAKSRIKVLSWIKRKQIFSKKYVVIPLVFRGHWSLLILCHFGESLQSKSRTPCMLLLDSLQTANPRRLEPDIRKFVFDIYRAQGRPEKEDIIYKIPLLVPKVPQQRDGEECGNFVLYYINLFVEGAPDNFSIKDYPYFMRKDWFDAERLDRFCERLHSFGRDFW >OMO94631 pep supercontig:CCACVL1_1.0:contig07825:42956:44931:-1 gene:CCACVL1_05903 transcript:OMO94631 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase MEAVAFSPSLTTSSFGTSATAATEKRGSPTCLSYAPSNTLNVRHLAAFHKQSHLFSKSPCRPFPSTKTRAHQSTHGIFLPRLVASLEQVDQTYIMIKPDGVQRGLVGEIISRFERKGFKLTGLKMFQCPAELAEEHYKDLSAKPFYPKLIDYITSGPVVCMAWEGVGVVASARKLIGSTDPLQAEPGTIRGDLAVQTGRNVIHGSDSPENGKREIALWFKEGELCEWTPAQAPWLRE >OMO93065 pep supercontig:CCACVL1_1.0:contig08136:7875:13966:1 gene:CCACVL1_06643 transcript:OMO93065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGNIFHCRKNSWPPEEYISRNTLQLFDFDSAAPPKHAWRRRLNSHANILKEFSVTFKEAIKMVRLGIRLWSYIREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIVPGTCDSSPVMANQFSIFVSRDGGNKKYASVLAPGQHEGLGKASDEGISSWGWNLSGQHSTYHALFPRSWTIYDGEPDPDLKVSCRQISPFIPHNYRDSSLPAAVFVYTLVNTGKERAKVSLLFTWANSIGGVSHLSGDHVNEPFIGEDGVSGVLLHHKTAKGNPPVTFAVAACETQNVNVTVLPCFGLTEGSSVTAKEMWGKMMQDGQFDRENFNCGQSMPSSPGEMLCAAVSASAWVEPHGRCTVAFALAWSSPKIKFLKGSSYHRRYTKFYGTSERAAQNLVHDALTNYKRWEEEIEKWQSPILNDERLPEW >OMO59386 pep supercontig:CCACVL1_1.0:contig13992:2427:9699:-1 gene:CCACVL1_24867 transcript:OMO59386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMRPGELSSSVGFLEPSFDIRGQNWNQEEEGPAELSWNASHWNLNVRDRLEARKEGTFDKEHLVRVTCDVQNQRENLFHTMCYVNGKPSSVIIDGGSCTNIASVCLVRELQLPTSKHHKPYSLGWFNDREEIKVNKQVLVSLSLGKYKEDILCDVLLMQACHVLLGRPWQYDNKVQHDGETNKYSFMCGKIHVTLVLLSPQEALKDQLKLRDEFAKMETEYRAKEKEKHETSSSARCVENNVVLVDKKASSKKVVNEYLFPEEIPSGLPPIRGIEHHIDFNPGARIPNRPAYRTNPDETKELEKQVGELLEKGFDELHGACLFSKIDLKSEYHQIRIKEGDEWKTTFKTKLGLYECRTLEEHVEHLRCVLDVLRVEKLYANLKKCTFCTNKLFFLGFVVSAQGVGIVAVLMQGEKLMAYFSEKLNGAALNYPTYDKELYALLRALQTWQHYLLPTEFVIHTDHESLKYLRGQQKLNKRHAKWMEFIESFPYVVRYKQGKENVVADALSRSNHISCLHLHRPAERLPPPPPPPLGTVKLMKPGGVVKIYDRPIHVAELMSEFPKHMVCRSDSFYIGQKIPSLPMDDQLQLGHSYFLLPAQFFQSDLSFVSIASMAKASLSSKESINALLKKAATCQPFEIEKNWSSGCLRIRVCDEFISEFIQENSKIDERWKSKVCSTPLLQKQYGQLVGSRLRHWKPKLETIKEICEMNKKKKKKSQFKNNSNVHLICAKPKALPNSKPKILTVNHHHENDPNQTFVARDYDVVVKGISVSSFSCL >OMO53164 pep supercontig:CCACVL1_1.0:contig15311:77741:81563:1 gene:CCACVL1_28848 transcript:OMO53164 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, gamma subunit MAMAAFRREGRRLAPLISPRPITAVRSSPLPPTQEDEGPIGVRYISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAIQTKAENSRGLWQPFTALLGDNPSIDVKKSVIVTISSDKGLCGGINSTSVKISKALHKLNSGPEKENKYVVLGEKAKAQLIRDSKKDIELIITELQKNPLSYTQVSVVADDILKNVEFDALRIVFNKFHSVVSFLPTVSTILSPEVVEREAEAGGKLGDLDSYEIEGGETKSEILQNLAEFQFSCMK >OMO53161 pep supercontig:CCACVL1_1.0:contig15311:55462:67121:1 gene:CCACVL1_28845 transcript:OMO53161 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase superfamily MPQNTHSDKVCDVCGGDSATTYAELLIPCCKCSIQRHLYCMRVFVCGEQKDWDHWVCEDCVSGNDVSGKVNFDLRRQVAHRSQKAIETGKVKFLPTEEVIKLTSWSPNKECSSKSNFISKPEPPKFTASPSKRTFMGSKTVGPSVNPVRKRANPSYLQFGSVKPARVGGMHIDSSISQLGLKTPKDSKEEKAPQQRAKEFSRNVKLLSSIMSAIEVKTANSNPTALNITKETLSAPPNSMPSLPFVTAGNKAESVHVSYEENVRKKQKFDNILPDKEQKILHQKAEDTLRSSRQSPSRENTAFLGQNFHGASEPKNSDVAERETWNDQLKFVSSYVCGMKTHDVLHIYSGKFAIRGGFKFLDSATPGELYGGFLAKPPCIVHRKAYDFLTNMPPVIQVNLLHECHVQEDFLQNGGLDLRDIAFYIFPKDDTERSRQNYCQLFQLMDIKNSVMQSDMNGVELLIFTFKWLHVDSQDLFPRSKADFFCGVFRHKKDNQTKLHQKLPSIVPHLEYKHDDNASSEADMDIDMIGGQAVGTLDMAVSKKSTMDLNEWAGKEICDAKARGVSSSQPEEIIEDSSLQGSKKQSQVLAYDVLDDPSAACPPPPGFSGKGTKVGPVIKMEGSDNEKPSKLNEKNGLHVSLVSSKDKSHMIAPSVCGPCPDGKARGVSSSEPEEIIEDSSLQGSKKPSQALAYDVLDDPSAACPPPPGFSGKGTKVGPVIKTEGTDNEKPFRLNEKNGLHYSPVSSKDNSHIFAPSVCGPCPVELKAEVQEVVNNPSAACRSRLVDRETKIGLEVQGHDDEKAIVAGEKKGVQGSSIPSEDKSKRVTGSFNASCAGVFKAKVNVENDIVKTRVKLSFAGRSQGRIPGATTGGGLIRDESGKWIIGYNLKIGVCSSLTTYLWALYEGLKLSWDKGCRKVVVESDSVAAVECLKKPLCLLDSNRALIQSCRDLLNNNWDCKLQIIRRDENSCADWLAANVEVNQQGLSIIKQRRERVKVMMEVESSESKSDFNHINDAKDQRRVGLIYDQRMCKHRTPDDEHHPENPNRITVIWNKLELAGIPQRCVLLNAKEAEDKYICAVHSKNHVNLIRNISSKQYDSKRNRVASKLNSIYFNEGSSESAYLAAGSVIEVAEKVAKGELDSGFAIVRPPGHHAEYNEAMGFCLFNNVAVAASYLLDERPELGINKILIVDWDVHHGNGTQKTFWKDPRVLFFSVHRHEFGSFYPANDDGFYTMVGEGPGAGYNINVPWENGRCGDADYLAVWDHILVPVAKEFKPDIIIISAGFDAAVGDPLGGCRVTPYGYSVMLKKLMDFAQGRIVLALEGGYNLDSIANSALACMEVLLDDKPISGFSEAYPFESTWRVIEAVRQKLSAFWPTLADELPTKLTNQKAPPHFQLSSSESDDEDDETTKIVSAELVAAVEDVVTPLLRLKVEDDLDKVTTTSAPWRLQLSKSDIWYATFGSNMWKSRFLCYIEGGQVEGMKKPCSGSMDRNPPKEILWKSYPHRLFFGRDFTQTWGPGGVAFLHPESNSQDKTYMCLYRITLEQFNDVLLQENVPDHDMSSPLFDLYASNSIANEGSFSVEAVKRGWYHNVFYLGMENDIPILTMTCPLSVIESFKSGEIPLRAPAKEYADTLIRGLVEGKQLSEEEAAAYIQEATTKPL >OMO53165 pep supercontig:CCACVL1_1.0:contig15311:83152:86852:-1 gene:CCACVL1_28849 transcript:OMO53165 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b245, heavy chain MEIHEGSQSGQEHSVNISLSPSSSSSSSSSSSSFFYSSASVEHLTLSPLPQTQSPFRLEGGNVSILSWSDCERLRFVDNLANEWQNVENRFNQLATDRNGHGLPVIRWSDFGSCIGMKESPEFANELLRALRGRRYNWKKDITKIELHNYWCRMTDPWLDSRIELFFDICDRNLDGRVYERDIKQVISLIAPINKLSVKQEEAEEYATLIMEALDIQHRGYIEPSQMETLFKVSLPKGSPATSSYQKNTYKKQEPMSKAEILFRSYWRRAWIILFWLMICFALFTWKFIQYSHRTAFQVMGYCLSTAKGAAETLKFNMALILLPVCRNTITWLRKNRCFNSVIPFNDNINFHKLIAGGIVIGVILHGGTHLACDFPRISGSDRSVFRQTIAARFGYQQPSYFQILDTTEVATGIAMVILMMIAFSLATKWPRRQSPQLPRSVRKVTGYNTFWYSHHLFIPVYALLIVHSMFLFLTDNLIEKTTWMYIAIPVLLYAGERTIRAIRSRFSEVQILKVSLYPGKVLSLKLQKPEDFSHKSGMYIFIQCPQISPFEWHPFSLTSGPKDDYLSVHIRSLGDWSYQLYSLFQEAKLTGLQQYPKIYVDGPYGAASQDHIKYDIVVMIGLGIGVTPFISILKEFGSRLKISNSNHAACGEGSIDKGPLKAYLYWVTREQSSFNWFTDVMKEISETNQKQCVVEVFNYLSSVYEEGDARSALISIIQSLYHTKNGIDIFSRAPGFSTVDHWH >OMO53157 pep supercontig:CCACVL1_1.0:contig15311:467:6782:-1 gene:CCACVL1_28841 transcript:OMO53157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQLPRLTNSLREPFDIDKAYLQRKIILQSHNKATNSGNPLDESELARKIVPRWEEASVEVRQVYKQFVGAVVELIDGEVPSEEIHEVALTAYHLFSGSAEGDEGDKSLNEKKVELQKIIGHGVSYANVRKVASLVQKLSQSQPRDSGDTLVSEKHVNGSDDISEFGADLVFKAPARFLVDVSLEDVDLLGEASTASSSSFVEGWYDKNDPHPNHADSGNFNLSWLRDACERIVRGSTSQLSRDDLAMAISRVLDSDKPGEEIAGDLLDLVGDSAFETVQDLISHRKELVDAIHHGLSVLKSNKINPSSQLRMPSYGTQVTVQTESEKQIDKLRRKEEKRLRRGTEYGAESDMSAASFSSLLEASERKSPFDDLIGSGQESLVVTALPQGTVRKHFKGYEEVIIPPTPTAPMKPGEKLIEIKELDDFAQAAFRGYKSLNRIQSRIFQTVYYTNENILVCAPTGAGKTNIAMISILHEIGQHFKDGYLHKDEFKIVYVAPMKALAAEVTSTFSHRLSPLNICVRELTGDMQLTKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQSMIRIVGLSATLPNYIQVAQFLRVNPETGLFFFDSSYRPVPLAQQYIGISEQNFVARNELLNEICYKKVVDSLRQGHQVMVFVHSRKDTAKTAEKL >OMO53163 pep supercontig:CCACVL1_1.0:contig15311:73205:77153:-1 gene:CCACVL1_28847 transcript:OMO53163 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoplasmic reticulum-adenine nucleotide transporter MANHSSSSNKLEDLFSHVPNKATHNQNQFFVWREFLWGAMAGAFGEGMMHPVDTIKTRIQSQALLTGSQSQKSIVQMVQTVWVADGLKGFYRGIAPGIIGSLATGATYFGFIESTKKWIEESHPKLEGHWAHFIAGAIGDTLGSFVYVPCEVMKQRMQIQGTKKSWNTSIMTEKLQMKSSPQMYCYYTGMFQAGHSIWKEQGFKGLYAGYGSTIARDVPFAGLMVMFYEALKDLTEKGRQKWAPNFHVDGSFEGLVLGGLAGGFSAYLTTPLDVIKTRLQVQGSSTSYNGWLDAMTKIWKSEGVKGMFRGSIPRITWYIPASALTFMAVEFLRDHFNEKLDNDNVQEVTRLAIEKPESSFPKVA >OMO53159 pep supercontig:CCACVL1_1.0:contig15311:20035:21945:-1 gene:CCACVL1_28843 transcript:OMO53159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRGKEVEDVIDWSKVNEDAFIQLLIGKVREGKLQSSTFKKEVWSEINDELREVIGEDYGIDRLKGKFNRLRTRHRQFSELIGHTGVKWDVTTNVVNASMNVWDHFFKISKEFRRFKKQGCAEYELLGEIFNRTTATGKLQQLSTEDPLTDTQERRLEEEFLSGSMHVDLDTENSEVEGDQRGKKRGSDSSGHRNVKCSKTDKMDAFLDKWAATLTTREEAYKAKADSYKSSSSSGSVDPHSTGLSSPFSSPLERSQIPKMVYKMGVGCYEPQKVKAGCCKVELMWGRNKGEALRIAVAIWDAKIEDF >OMO53160 pep supercontig:CCACVL1_1.0:contig15311:26115:40193:-1 gene:CCACVL1_28844 transcript:OMO53160 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MASIVSAGAHELTIFVHEESEEQFARVNGRSSWPISIWNNSFPHAVQSEGSNEPVFTHLSSLKPGMRAFIIVRVCRLCETILPNGTVAVTTNLIIADEMGGFMQASLPQDLFHLFENILAEGNIYKVVRFQVVPWESNYNRLPSDHAIFFNSSIEVELLDQPADNFPWYYFDIADIDEISTKKEKDPLLTGRDSRSFTVWQAAVSKGGTEKPSSIKGVGDKIPTRRAHSLLITRYNNFNIAQRKIPAAARGKKTTRRP >OMO53158 pep supercontig:CCACVL1_1.0:contig15311:11808:16428:1 gene:CCACVL1_28842 transcript:OMO53158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALMLAVLILAMTGHSSATWCVCKDVGDANMQKALDYACGAGADCNPIHSNGPCFNPNTVKAHCNYAVNSYFQRKGQAPGSCEFAGAATVTASDPSTAGCVYPSSASTGTATPTTPVTTTTPSTTTPTTMNPGTNTPTGTTPYSSTTPGVLGGVGTGLGPSGAGINTDYSEGYRLQGFSFVTTLLFSGLMLLRA >OMO53162 pep supercontig:CCACVL1_1.0:contig15311:68171:70760:-1 gene:CCACVL1_28846 transcript:OMO53162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKRCLYEVLGLSRDCTQDEIRSAYKKLALQRHPDKLVQSGISQADATAQFQELVHAYEVLSDPKERSWYDSHRSQILFADRNATSNSPIPDLFSFFSNTVYSGYSDTGRGFYKVYSDLFSKIYANELNFAKRLGLGLDMVKEAPLMGNLESPYSQVTAFYNYWLGFSTVMDFVWVDEYDVMAGPNRKSRRVMEEENKKLRRKAKREYNETEDGFFDVEEGGEDRVEVDSDDNSDEEDSVLEAMVSGKRNKKNAFFKNEDVVSQPEFHVKDESDDGEFMEYDNRKNRRKNRRAKNDRGKKNGSEAVKSDANELESKNEEASLKDTLHVEEQPADDGNIGENDDKLGNSEKMSKQSVDRKGNSKKETNTKSSSSSKGKKSKATAKHSGNVCETCGEEFQSKNKLHKHLGDTGHASLKYR >OMO49473 pep supercontig:CCACVL1_1.0:contig16496:237:296:1 gene:CCACVL1_30988 transcript:OMO49473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQQPRPKKDPLRPKCQRA >OMO77994 pep supercontig:CCACVL1_1.0:contig10655:9423:9923:1 gene:CCACVL1_14712 transcript:OMO77994 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease E inhibitor RraA/Dimethylmenaquinone methyltransferase MALVTTAEVCDANPQLIVSGELRALQPIFQIYGRRPVFSGPIVTLKVFEDNVLIREFLEEKGNGRVLVVDGGGSLRCAILGGNPVVQAQNNGWAGIVVNGCVRDVDEINGCDIGVRALHSHPMKANKKGIGEKHVPITIAGTRICDGEWLYADTDGILISKTELSA >OMO77995 pep supercontig:CCACVL1_1.0:contig10655:19174:21579:-1 gene:CCACVL1_14713 transcript:OMO77995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSWIPVGAAASTAPTLDPPVLTDTSPDSFSQAFISEDGVPNNRARVLGGGTAINVGFYSRAQPLFIRQLGLDEALVEDSYKWVERKLVYRPNLLQWQSAVRNGLPEAGVLPYNGFTYHHINGTKIGGTILDRNGYRHTAADLLEYADPKRIKVYVHAVVNKIMFTAQDGSKPKAQGVIFYDARGERHTVLLKNGWRSEIILAAAAIGSPQLLLLSGIGPTSQLEPLGIEVVRNKTMVGQGMGDPPSNGLVIPSPLPIELALATTVGITKFGSYIESACGYDFTALYGSASQTRTLPKNVTASFTKVYGNKDFGQ >OMO83231 pep supercontig:CCACVL1_1.0:contig09921:12291:17455:1 gene:CCACVL1_11496 transcript:OMO83231 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snf7 MNLNIFKKKTSPKDALRTSKREMAVATRGIEREISSLQLEEKKLVAEIKKTAKTGNEAATKILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPAKQVKVIKNFQKESAQLDMTIEMMSEAIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASRNAAPAPEANARSESTDVEELEKRNFDSQFDCSSKNWNRFLVEGGGIGVSLSLVAT >OMO83232 pep supercontig:CCACVL1_1.0:contig09921:19641:20055:1 gene:CCACVL1_11497 transcript:OMO83232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVRVPLPVNRPNGVAPAGTMPSTSTSQTVVVENPMSVDESGKLVSNVVVGVTTDKK >OMO91354 pep supercontig:CCACVL1_1.0:contig08355:30046:30498:1 gene:CCACVL1_07143 transcript:OMO91354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPSKSKPWTPIFAAKCCSADEQTIFGNLSRCKTSRSDVSKNIAPLPSFRRLSYSDLSRSSSARINEDLAHSLGPESDLYDFEVSELRAITHNFSSNFLLGEGGFGKVHKGYVDENLRQGLKAQPVAVKLLDIEGLQGHREWLVSKTNP >OMO91352 pep supercontig:CCACVL1_1.0:contig08355:21579:27130:-1 gene:CCACVL1_07141 transcript:OMO91352 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutrophil cytosol factor 2 p67phox MPMAVLRHLGHFSNEDVTVDEAALQCHQKLQDLYSSTKAAKHLQRNIVRGIEGLIATSSKLMEIARKLADDCCKYGAENQSCGSSLARAALQFGKSHKSMEDERETLLGFLGEQVSEPLRALITGAPLEDARHLTHRYDRLRQEVEAQAADVLRRRSKTRESDISAESYMKLKQAEDRLTELKSSMMVLGREATAAMLSVEEQQQKITFQRLLAMVDAEKSYHQHVLVLLEKLYAEMILEEQSNEPMDSLHREVNVALAHDNTRSNGSEAQRNNQTDVYFIAKVVHPFDAQADGELSLAVGDYVVVRQVGSTGWSEGECKGKAGWFPSAYVERQEKAPASKLIETNSNSAAE >OMO91350 pep supercontig:CCACVL1_1.0:contig08355:3873:15840:1 gene:CCACVL1_07139 transcript:OMO91350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGKQKIEAQRRNAERNQKAKGSQLEARAVALKVSCPICKTLPDASSTGKTTCSVKVQLANANQLGDHYSSKHPKEKPPAEPTHCLHPADRIDLKGEALLSFRIAIVSSDGVLSQWRPEDPDPCKWKGVTCDYKTKRVTTLSLTNHKLSGPISPDLGKLDRLRLLMLHNNNFYGAIPSELGNCTELQGLYLQGNYLSGLIPSELGNLSSLQNLDISSNSLSGSIPPSLGKLDKLITFNVSNNFLVGPIPSQGVFSNYTLSSFIGNRDLCGKQINVACKDDSGGSTNSQSPSSAQNQGGKKKYSGRLLISASATVGALLLVALMCFWGCFLYKKFGKNEGRTLAMDVSGGASIVMFHGDLPYSSKDIIKKLETLNEEHIIGTGGFGTVYKLAMDDGSVFALKRIVKMNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLAGGSLDEALHERSEQLDWEARLNIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDSNLEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMASGRATEKTDVYSFGVLVLEVLSGKRPTDASFIEKGLNIVGWLNFLITENRQREIVDPNCEGVQVENLDALLSVAIQCVSSSPEDRPTMHRVVQLLESEVMTPCPSDFYDSSSD >OMO91360 pep supercontig:CCACVL1_1.0:contig08355:75509:78001:1 gene:CCACVL1_07149 transcript:OMO91360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRRLAATRPIYLYTPITRPLTCVTSTSTSAAAQPPNPQHPPPPPVPLTEKQSLLNTLSSILSNSSLDSSNCKQLLPLLSPVEFDRLFSSISSHVNPKTTLNFFKFASQAFNFHFTLRSYCILILLLLLSNQFTPARSLFIRLIDGKLPLSSPNNPAPNHIQIAAALADLNTLFKRLPGVDLLLHLYCTQFKNAGFSYAIDVFSKLAEKGIFPSSKTCNFFLNALIKDNELQKAYQVFETLSRFISLDVYLCTTMISAFCKGGRVEDAIALFSRMENLGISPNVVTYNNLIHGLCKSGRVDEAFLLRQNMKKQGVQPSVITFSVLINGLIKLNKFERANLVLKEMSDKGFVPNEFVYNTLIDGYCKTENIDEALRIKDDMLSKGMIPNSVTFNSLMQGFCRTGRMEHAEHLLEKMLSKGLSINLGAFNSIIHWFCMNSRFSSALHLTREMLRRNLRPNDGLMTTLVSGLCKDGKHSEAIELWFKHSEKGFVANTMTSNALIHGLCEAGKMQEAVRLLKKMLQSGLVFDRVLYNTLITGCCRQGKLEEAFRLKEEMCKRGIQPDIWTYNLLIHGYSNMGKMEDAVEIWDECKRHGLASNVYTYAIMIDGYCKVDKIEEGQNLFNHLVSNNVELNAVIYNTLIRAYCKEGNIMEAFKLRDDMKCKGIQPTMFTYCSLIHGMCNIGLLEDAGQLLVEMRQMGLSPNVVCYTALIGGYCKLGQMDKIGSLLQEMSSYNLQPNIITYTVMIDGYCKLGKVKEAAKLLCEMIKKGISPDVVTYNAYTNGLYKGGEVKDAFKVFDQMASEGLLLDEISYTTLIQRWHQLIRNEQSP >OMO91355 pep supercontig:CCACVL1_1.0:contig08355:34163:35647:1 gene:CCACVL1_07144 transcript:OMO91355 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MAFNSKSKKPPVMSSPSAPCTTRSSLFFILIFTVPFLFLLHTSRSTSSLCTPFASTNVNPWSGDLRTAQFAWNRLPLTQLQPPPIRLKIAVFSRKWPLGTAPGGMERHAYTLHNALARRGHQIHVFTSPLDDGKDTHPDETPTAANSPRIHCHEGEAGKWRYNKAYELFEEENQRQPFDVIHSESVALPHWLARNLNNLAVSWHGIALESLESSIYQDLTRKPGEPMSPAFNTSLYGVVPKVLNEIRFFHNYAHHVAISDSCGEMLRDVYQIPTKRVHVILNGVDETGFGNDLSLGLDLRSKIGVPKNGSLVFGVAGRLVKDKGHPLLYEAFSKLIKKHPDQNVYLVVAGSGPWEQRYKELGNRVLVLGSMTPSQLKAFYNAIDIFVNPTLRPQGLDLTLMEAMMSGKPVMASRFPSIKGSIVVNDELGFMFAPNVESLLEALEEAVREGPKRLAQRGKACQEYAASMFTATKMALAYERLFLCIKNHTFCVYP >OMO91358 pep supercontig:CCACVL1_1.0:contig08355:44191:48001:-1 gene:CCACVL1_07147 transcript:OMO91358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGAGQLNLNESPCWGSRSVDCFEKLEQIGEGTYGQVYMAREIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSPGPEKDEQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVSKIPWYNNFKPTRPMKRRLREVFRHFDRHALELLEKMLTLDPSQRIAAKDALDAEYFWTDPLPCDPKSLPKYESSHEFQTKKKRQQQRQHEENAKRQKLQHPQPHTRLPPIQQSGQAHAQMRPGPNPPMHGSQAPVAAGPSHHYGKPRGPAGGPGRYPPSGTSGGYNHPNRGGQGGGGGGYSSGPYPPQGRGPPYGSSGMPGAGPRGGGGSGYGVGAPNYSQGAPYGSSGTGRGSNMMGGNRNQQYGWQQ >OMO91359 pep supercontig:CCACVL1_1.0:contig08355:58041:62508:-1 gene:CCACVL1_07148 transcript:OMO91359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin-like protein MDDSSGDDLDSSVHGHEFRFLGVFVGDEESAGDIAGAAELSGSGIRYGEGLGVVFRLGSLVFSFVGRAFHGCFYGPFWLWNPMASHYQYHLSPLYAAGCSICWFNTVCFVLCIKNFPANRALALSLTVSYNGVSAALYALAGDAINPSSSSLYLLLNSIVPLMVSIAALIPILRQPSVDDPLPPEAVQSDSVKFLLLNLLAVITGIYLLVFGSNASQSDSDHSTAPLLLGGAIFLLVFPLCVPGVVYARNWFHHTVHSSFRLSGSGFILVHDDDLELHKRLIRESSFNDGIIDDSGSEYKLIMGAQLAQGQKQAGEDMTRCCDKMIGKDRLVILGEEHPAHVLVGRLDFWLYYVAYFCGGTIGLVYSNNLGQIAQSLGQSSNTTLLLTLYSSFSFFGRLLSAAPDYIRAKIYFARTGWLTIALLPTPIAFLLLAGFGNAMALRVGTALIGLSSGFIFAAAVSVTSELFGPNSVGVNHNILITNIPVGSLVYGLLAAVVYDANAGTGLKIVKFADSSVVCMGRQCYFLTFVWWGFLSLLGLVCSLLLFLRTKHAYDAFERNRASAEASQEDGERC >OMO91351 pep supercontig:CCACVL1_1.0:contig08355:17238:20913:-1 gene:CCACVL1_07140 transcript:OMO91351 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial periplasmic spermidine/putrescine-binding protein MALASSLCSSYVGFNARFSNSNFDPNRFKCKSSNFPPLLQDDANSKLLPLTPNKLKNTNSSLLVGFSTSTLAFVGLGLCICSSASASALNPLPPPCHSLQLQEEQVVKNSSAEEKDEKLEADFEAWKSKSFALSVPLSIVALQGSIPPSWPKEFIVSQSRRLKFQTKFLPTLEDIFSNLCMPFTKPKGNIGPASTVAADIVTLGDSWLSLAITKAIIEPITAAEHQDWFKELGHKWKVYLRRNLNGDIDPQGQIWAAPYRWGTIVIAYKKTKFQKHNLPPIQDWADLWRPELAGRIAMVNSPREVIGAVLKYMGASYNTTDLDLQVAGGRDAVQQNLTLLARQVRLFDSMHYLRAFSVGDVWVAVGWSSDVLPVVKRMSNVAVIVPKSGASLWADLWVIPAASRLETNRIGGRVRGPSPLIHQWVEFCLQAARALPFNQGVIPGASPSAMESVPFKSPEELTKGRPKLDTNLVAGVPPPEILERCEFLEPLPDRTLSDYQRLIDNIPKSEASFMRIIEHISSVIRTLRLKFS >OMO91353 pep supercontig:CCACVL1_1.0:contig08355:28217:28954:-1 gene:CCACVL1_07142 transcript:OMO91353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLGFFSDTDESAVEEVISQAKDLCVLEQLSALNCSSFSDSVLPSDLDSRFRRLKSFPVTLSQSHTTYPKPKPKPKPDEDHLFDSIGHSPRRKYPFKPNPKPDEDHLFDSIGHSPRRKHPSKPNQRPSSPPPVSDSSSTSSRSIASSPPQKTGCFWCSPKRLSKKNNKDRDRMLGDEFVSDLASFSLKETQKILNEAIKEQDKINREAEKIVNWAKQASMRMASPGPGIENELSHSDDEDDPAK >OMO91357 pep supercontig:CCACVL1_1.0:contig08355:40712:43840:1 gene:CCACVL1_07146 transcript:OMO91357 gene_biotype:protein_coding transcript_biotype:protein_coding description:RINT-1 / TIP-1 family protein MEGCRHRHRHRHRHQENLILPKVGKLHRPHSEYLDQQFKTQRDVSSSSSSCLLSEWTNHCSELDAILLHLRTNLSQSALSWISRSFRAKSCLLNLNLALYSSSPDATASQSHTMQRILGEQLPRLTLHLRQIHNIRQYLETALCLEALVGDLEDAVFSSGNAPTVNMFTKLSTSMTLQDFGLKQERLFQAIKAMNDIEEIIINVEKSQQWHHLSQSVDHRVDRTLSVVRPEALAEHRVLLASLGWPPNLLTSKVEDGGISELPNPLVQMHGDEKKFYAQSFQVLCSLQKLQRRREVRKFVTLSQKECDKHLWPIDELVSPIAERMEYHFLKWAEQPEFIFALVYKMTRDYIVGVSDILQPLIDAARLSSYSANEAWVSAMVHMLSGFLTKMIFPDLAERYKEKDMKLEVMSLWLHLVDLMVGFDKRMRSLLRSETCLFLPDAERYPVISRGISVLIIFCDRPDWLKIWAKIELKDGWKKLKAELKDARAWLIDDKHRVDIDGSTVSENFLLASREDYKAPLISESAVRIAQGMIDRSRTLPAILARVKFVRLTVARFFWYFSKLLLMHCKNAELSPENSDAGALVRVCQSINAARYVESKLQEWSDDVSFSEMKIAEENSNIQKEEKGVGDGCFFEEEIEGFAELETNLLMEVIAVLLRQFENLTLGFNHNIDMDENLTPNIDSAAIKLTVSSGFIEALDTLRNQLHFLKLNLNAKDFSDSWRSVADGLDHFISGNIFASDVQFSRKQTHQFSTDMHALFLVFQPFCARPEAFFPCIRDILRLLTISKEEVNQLLVALTSTKCDKYVQCYGSYHLSIDQLNKILRSRKF >OMO91356 pep supercontig:CCACVL1_1.0:contig08355:36964:37050:1 gene:CCACVL1_07145 transcript:OMO91356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSNLPPLKQLKLPEDPVHFAKTSSLT >OMP11417 pep supercontig:CCACVL1_1.0:contig01304:148:300:-1 gene:CCACVL1_00528 transcript:OMP11417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARFLTDQVLGIRAPVQALPVYARSKIIETGSCTVLFRDWQEKDVEISRGI >OMO85027 pep supercontig:CCACVL1_1.0:contig09672:29792:31903:-1 gene:CCACVL1_10463 transcript:OMO85027 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acid desaturase, type 1 MASFVISECGLKPLPQIYARPRAGFVSRNPSKSRFLHVSKNFSDLKVTNPLKFSTGIFGEKNWGLKVSVPLRVATVEEEDEGRDETIMGVNGIGKQGEESEFNPGAPPPFNLADIRAAIPKHCWVKNSWRSMSYVVRDVALVFGLAAAAAYLNNWLVWPLYWFAQGTMFWALFVLGHDCGHGSFSNNPKLNSVVGHILHSSILVPYNGWRISHRTHHQNHGHVENDESWHPISEKIYRDMDNGTKTLRFTFPLPMFAYPFYLWLRSPGKEGSHFHPNSDLFVPSERKDVITSTLCWTAMAAILVGLGFTMGPIQLLKLYGVPYWLFVMWLDFVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVFGKYYREPKKSGPLPFHLIGDLIRSLKTDHYVSTTGDVVYYQTDPEFK >OMO85028 pep supercontig:CCACVL1_1.0:contig09672:34267:41102:-1 gene:CCACVL1_10464 transcript:OMO85028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAKRLLQKAVHHSQVKERRDGRIKVMGGDGIEGLLISPKQLPYKYLEFIENQGFIIGISNDNDIQVWNLESRFLASCLQWESNITAFSVISGSHFMYIGDEYGSMSVVKYDADDGKLSRLPYNISSNSLNEAAGFSFPDDQPVVGILPQPHFSGNRVIIAYANGLIILWDVSEAQIIFIGGGKDLQLKDAVDSDVQDDTFEQHLQDKEISAICWASTDGSILAVGYIDGDILFWNTSSVASSKGERNGKNKNVVKLQLSSAERRLPVIVLQWSSNNQSRNDYNGQLFIYGGDEIGSEEVLTVLSLEWSSGMETVRCVGRVDLTLSGSFADMILLPNAGVTGGNLKADLFVLTNPGQLHLYEDSILSALLSEQERKQFACPVELPMVIPTADPSMTVAKFSVLPTGGNPSKGLSELASLMKPGSTPTPGTRWPLTGGVPTQLSVAKDNSIDSVYIAGYQDGSVRIWDASYPILRPICVLEGEVQGTNVPGSSAPVTTLNFCWLTSSLAVGNECGVVRIYDLNGSSGKTSFNYVTETKCEVQSLPQGKRPQYKAVFSLLKSPVLALQFGNCGAKLAVGFVFGRVAVLDVNLSSILFITDPVSSSPSPIVSVSWFELKNSHSLLKGLKHSETEVAVKPEEEIMFILTKDAKIISINGGDSKMIHPHAWHLKTEEAALSMYIIESSFPVSELNCEKQLEESSKDTTTKSEPGVDATSTETEHLYPSETASSQEHSLDALILLCCEKSLRLYSMKSVIQGKDKTIHKVKHAKPCCWTTTFKKDGRVCGLFLLFQTGDVEIRSLPDLELVKASSIISILKWNYKANMDKLMTSDNAKVTLASGCEVAFISLLAGENDFRIPEALPCLHDRVLAAAADAAFNFSSNQKKKQGPAPGILGGIVKGLKGKVNTSATPEFNITDLERKFLKPPFTDTAENVINKQEEVELDIDDIEIDETQPVTSSSSHEVVKTKGEKETDREKLLGAPDDSKPRLRTPQEIIAKYRKADDASSAAAHARNKLVERQERLERISRRTEELQSGAENFASLADELVKAMENRKWWQI >OMO85034 pep supercontig:CCACVL1_1.0:contig09672:64909:66991:1 gene:CCACVL1_10470 transcript:OMO85034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGASSPSSSTIIMMKHYRGKLPLSIITVIICSFAFLALLYTERITFLSSNSILKSKSCARRSAVVKAKAEENLENSEIIDDRFDFDPEECNIAKGKWVYNSSIKPLYTDTTCPYIDRQFSCGKNGRLDSDYVHWEWQPEDCNLPRFNPEIALKKLQGKRLLFAGDSLQRNQWESFVCLVEWIIPPEKKSMKRGRIHSVFKAKEYNATIEFYWAPFLIESNTDINVLEPKKRILKVDSLANHTKFWEGADILAFNTYVWWMSGLRLKTLSEDWGNKDGLKCFNETKPVKKKFWGSGSDKNMMSVVASTLKKMKVPVSILNITQLSEYRVDAHSSIYTETGGRLLTDEEKADPKRHADCIHWCLPGLPDTWNQIFLAHL >OMO85026 pep supercontig:CCACVL1_1.0:contig09672:26134:27333:-1 gene:CCACVL1_10462 transcript:OMO85026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSKSSNNSGCFSAVFRRLLCSGSPQTHPSDQITESNEFVENGPKPKAQVAPQGSENGPGIVARLMGLDSLPEKSRTPGPVTRSRSVNFVDYMLEFDLTQAKHRRVKTSASFREVLPPPPPQGFNRNQNHEFLFVYLDNNVDGNYNEAEFIKTRKYEKGNGSTSKQSKQKENVKEQKVACKKEINQEKNKKISKLKNEPRRVSGGKQSLKTSKAKSSLKMVNQKKEVSVLTRKKKNQHEVKKVEFKHDSEGSSPVSVLSSVSENILGSLELKAEKKCSSKSVNSNSHTMEFSKKSFGSTDIMELVGKPFKLTKEDIKFSNWIIKPKEVLTFEDFEEICSEFGEQILDFMLQQVADELTAFHMQN >OMO85024 pep supercontig:CCACVL1_1.0:contig09672:15650:16246:1 gene:CCACVL1_10460 transcript:OMO85024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLIKREERNKNEQQRFGPAMENSDDSAIGRILSAAPKKESPEPDHDCLKEDESTLAELIRQEREAKAKAIENKDTRKLIKIKFKGQPAMESPKEEGHCSKGDDEAAAAIENPKEPMVRENPLGANTIVDFDKLSTESLRNYCKHYKIKGVNSRSKREKLLNVVEQHFASYRQLSENQVIPEFVAAASSRRQKDEKP >OMO85032 pep supercontig:CCACVL1_1.0:contig09672:52944:53069:-1 gene:CCACVL1_10468 transcript:OMO85032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKNANHALVYDSSRSWMSSQATAALNAMNRREAPQSTAV >OMO85031 pep supercontig:CCACVL1_1.0:contig09672:51399:52115:-1 gene:CCACVL1_10467 transcript:OMO85031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPGTSAGNHRNAGSFDAFFEGWLVRQEHYLDELQSVQQRSHESQEDDLKDLITRVLSHYQQYLEEKSREAQRNIFLVFSQTWLSSFERASLWIGGFKPGFALKVVSNSVQDLSPEQSEKIKRLVEETRVEERVLNEELARVQESMAAPPLIEVARKRARRRMSVEMAGEEAAALDTLKKAVEEVVTGADLLRMTTAMKVVGILNPVQSVRFLTAATQLLVKLRNWGLQKDAEKGMKQ >OMO85037 pep supercontig:CCACVL1_1.0:contig09672:79487:86047:-1 gene:CCACVL1_10473 transcript:OMO85037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYTVHIAMAALVGASLVAVSAYYMHRKTLSQLLEFAKTVERERDEISDGESPQHSKKRRGHHSRRRGNGYYRRGSASLPDVTMMSGGIDGEEKRNGPIHVDGIPPGLPRLHTLPEGKSSGHGALAKRSSSLLRPTSPKSPVASASAFESMEGSDDEDNMTDNSKIDTTFLHTNGNAVNHINTNGEAIQIAASSMIRSHSVSGDLHGVQPDPIAADILRKEPEHETFARLRIAPTEVPSPDEVEAYVVLQECLEMRRRYVFREAVAPWVKEVISDPSTPKPNPEPFFYAPEGKSDHYFEMQDGVIHVYPNKDSREELFPVADATTFFTDLHHILRVIAAGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFSDLAASKYQMAEYRISIYGRKQSEWDQLASWIVNNDLYSENVVWLIQIPRLYNIYKEMGIVTSFQNILDNIFIPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPDQWTNVFNPAFAYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTAHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVLQSGFSHALKSHWIGKEYYRRGPDGNDIHRTNVPHIRLEFRDTVINHSTCYFCLDMERGNAAEVKNLPFYRYRGEGMMGYEKWKWRGQASNNLI >OMO85036 pep supercontig:CCACVL1_1.0:contig09672:73004:78689:1 gene:CCACVL1_10472 transcript:OMO85036 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper chaperone SCO1/SenC MARSRGRATKARFPPESCKRRYVPTFQRFAVRTSKTIDEVSSMTAKKRQELAEKLKDISKDAKTLSQCRSSIPPSPPVAHSLRPPSLPLFQSVIPAVDGIKSLGFYGRYLSITTVADSNNQEKSSSSSKTNSDGTQSSSSKANSEGTQSSSSKANSEGNQSSGGSQQSSGDAGKPVRGGPVSWLSFLLLLATGIGIVLYYDKLKKRHIEEINNASKAVKEGPSVGKAAIGGPFSLINHDGKPVTEKDFLGNWNVIYFGFTHCPDICPDELVKLVAAVDKIKEKAGIDIVPVFISVDPERDTVEQVREYVKEFHPKLIGLTGTPEEVRNVARAYRVYYMKTAEEDSDYLVDHSIVMYLMDPKMEFVKFFGKNNDVDSLTDGIIKEIKQKK >OMO85029 pep supercontig:CCACVL1_1.0:contig09672:44162:46903:1 gene:CCACVL1_10465 transcript:OMO85029 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine-tRNA ligase, class II MNFLFQLTLFVVREFDHCLEPGSARHSNMDENEFSKFHSGVKRGDIVGISGFPGKSQRGQLSIFPRSLVLLSPCLHMLPSEQPSDKKKRTNHSWTPGMARTPQTYILRDQETRYRQRYLDMMVNPGIQQLFKTRAKIISYYRNFLDNLGFIEVETPAMIMTVGGATARPFITYHNELDMNLYMRISPELYLKKLVIGGLDRVYEIGKVFRNEGMDLTHLPEFTMCEFYMAYADYNDLMDLIEKLLSGMVKELTGSYKIKYHGNGFDSEPIEIDFTPPFRRMDMIEELESRANLSIPKDLSSETANRYLLEACERFEVKCAPPHTTSRLLDKLVGHFLEETCINPTFIMNHPEIMSPLAKEHRSRPGLTERFELFVNKAELCNSYTELNDPEIQRQRFADQLKDRESGDDEAMVLDESYCTALEYGLPPTAGLGMGIDRLAMLLTDSPNAKEVILFPAMRPQESRLD >OMO85022 pep supercontig:CCACVL1_1.0:contig09672:3355:6100:1 gene:CCACVL1_10458 transcript:OMO85022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEQPGQGIKSPPAVRDGSSSA >OMO85030 pep supercontig:CCACVL1_1.0:contig09672:47433:50913:1 gene:CCACVL1_10466 transcript:OMO85030 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-CoA oxidase/dehydrogenase, type 1 MTLHSKFQDKAETEKGGKPSYFYSPPLDVSVAFPQATPASTFPPPASDYYQFDDLLTPDEQAIRKKVRECMEKAVAPIMAEYWEKAEFPFEVVPKLGALRIAGGTIKGYGCPGLSITGSAIATAEVARVDASCSTFILVHSSLAMLTIALCGSEEQKQKYLPSLAQLKTIACWALTEPDYGSDASALKTTATKVEGGWILEGQKRWIGNSTFADVLVIFARNTTTNQINGYIVKKGSPGLAATKIPNKIGLRIVQNGDIVLNKVFVPDEDRLAGVNSFQDTNKVLAVSRVMVAWQPIGISMGVYDMCHRYLKERKQFGAPLAAFQINQQKLASMLGNVQAMTLVGWRLCKLYEKGKMTPGHASLGKSWITSKARETVALGRELLGGNGILADFLVAKAFCDLEPIYTYEGTYDINSLVTGREITGIASFKPAAKSQRSRL >OMO85033 pep supercontig:CCACVL1_1.0:contig09672:53631:61767:-1 gene:CCACVL1_10469 transcript:OMO85033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATINLSPLSTSSSSLRMLSSYPTQNTPTLSFYSRFTPSISFSSISVRPLTSIKLKPRSLIIASAVKNISEIESAPFPVTPEEFAAKFPSEAGVYAVFDQNDELQFIGISRNIAASLFTHKKSVPELCGSVKVGVVDEPDRAALTQAWKSWMEEQIQTTGKVPPGNETGNTTWTRQPAKKKPDLRLTPGRHVQLTVPLQELIDKLVKENKVVAFIKGSRSAPMCGFSQRVIAILESQGVDYETLDVLDEEYNYGLRETLKQYSNWPTFPQVFVNGELVGGCDILTSMYEKGELADASSSENPSKSLTIYGVAGIIRLLAGTYVLLITSRKEVGSFLGFPVYRVESMKFLACNEALRYSNSQEKRDEAYFVTLLRIVEATPGLYYSYETDITLNLQRRCKLMEGWMSKPIWKQADPRFVWNKQLLEELIEFKLKLKDSPVTFTLISRRCTRRLGTRMWRRGANLDGDTANFIETEQLLELEGFRCSLLQVRGSIPLLWEQIVDLSYKPRLRVINHEQTPEVVERHFHDLCQRYGEIIAVDLTDKNGDEGQLSAAYSAEMQKLPNVRYVSFDFHHVCGSSNFDNIHVLYDDISEDFEKQGYFLIGSDGNILEEQKGIIRSNCIDCLDRTNVTQSYLAQKSLDIQLRRIGVFSSTESISMFTEDYGKFRTLWAQQGDEISLEYAGTHALKGDLVRYGKQTVAGIIKDGMSALSRYYLNNFHDGIRQDALDLISGRYTVSRHKPSPFQLNSFETLSYLPVASALLLGGLTITSVTIHQAGRNAQQYVTSVVWAGVTAGFVALVKANGRQFCSRPRLCGLL >OMO85035 pep supercontig:CCACVL1_1.0:contig09672:67804:70099:-1 gene:CCACVL1_10471 transcript:OMO85035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNPGNRDQRHMNVWRESKEHTAVAKEEADRARMDFEKIERAHAHVSLCNFKTKSSTGEKITFKTKPNVSL >OMO85023 pep supercontig:CCACVL1_1.0:contig09672:6720:7707:1 gene:CCACVL1_10459 transcript:OMO85023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQQHHHHHHHQHPQLQQQQHQQPINVDSDRFPQWSIQETKEFLLIRAELDRSFMETKRNKLLWEVISTRMREKGYNRSAEQCKCKWKNLVTRYKGCETMEAEAIRQQFPFYNELQAIYSSRMQNILWSESEGGGTSTGTKKKAVHLSSDEEEEVEETEIEKGSIRKKMKKRKTGSTSGGSNSSNNINSVKEILEDFMRQQMQMEMQWREAFEARENERRMKEMEWRQTMEALENERIMMDRRWREREEQRRVREEARAEKRDALITALLNKLRREDHM >OMO85025 pep supercontig:CCACVL1_1.0:contig09672:18595:21596:-1 gene:CCACVL1_10461 transcript:OMO85025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGAQAGDKEKTEVVMSSDFGPWMVAQNLWPRRVLKSRGIRNANKTKTLGFRRKRKKLRTLPLLSVPMCKTALSVAPIDIVIVAGFGAIVKIPEIPATIFFPVMEVWTILQNMGEIEWARRGYIVKYHQRREHIPFRPLIGRFSWITVDSTVNSSDPILRSKEEQTLTRDEMKNYQTRKERDRRRKELPERKIIKIKKRTIVGEQKMIQTRFAKSKKEGKEREKTKGKEIEIAS >OMO85038 pep supercontig:CCACVL1_1.0:contig09672:87451:88567:-1 gene:CCACVL1_10474 transcript:OMO85038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DKQTYQFDQIIIPAWHILELRLVLDKESEIHTSFYTNPPTPHWAPARPHFF >OMO74841 pep supercontig:CCACVL1_1.0:contig11077:26056:27534:-1 gene:CCACVL1_16436 transcript:OMO74841 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 1 MVVAMGSKHQHCRSSSSSNCISLKFSTIISAIVLLSTSFYLLISPVKHLPEPLSWAAGRKARFNGDLRDATFPWNSLCFGQNHNEKLKLAVFSKSWPIGAGPGGMERHAATLYQTLAARGHEIHVFTAPSDRKPHVDIHQGNLHVYFVANDHGSVNCSLAFEIFNTINGREAFDYVHTESVSLPHWRAKVVPRVAVTWHGIWYEIMHSKLFEELLLNPQEVSQKPVVAELQEAMPRLIDEIRFFPTYTQHICISNSAGEVLVKIYQLPEKNVHVILNGVDETKFVHDLEAGVRFRKKHGVPENVSLVMGVAGRLVRDKGHPLLHEAFSTITKTHAGIYLLVAGSGPWGKRYEELGPNVKVLGALESSELSEFYNAIDVFVNPTLRPQGLDLTLIEAMHCGKPVLTPNYPSIVGTVVVNESFGYTFSPNVKSFVEALKSVIRDGPKVLQRKGSICREYALSMFTATKMASAYERFFLCMKNPRFCQYPLPTDC >OMO74839 pep supercontig:CCACVL1_1.0:contig11077:19270:19662:1 gene:CCACVL1_16434 transcript:OMO74839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFKVLFCLFLHAWFFISTSGTRLFHPFSLSNQLALKEEAETQNKLATITDKLEEIHFEPKQVSDTGKRQLPLMPIMPIEGRKHESKAFPKRALIQEGREAIKASIARHDGVPFESARLSPGGPDPHHH >OMO74850 pep supercontig:CCACVL1_1.0:contig11077:58882:59004:-1 gene:CCACVL1_16445 transcript:OMO74850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAIGQDSGYIHIELQVFVAIRCRSSPVRPSFFKLERG >OMO74844 pep supercontig:CCACVL1_1.0:contig11077:38553:41021:-1 gene:CCACVL1_16439 transcript:OMO74844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSVARSAAGKHLLRRGYATESVPDRKVAVLGAAGGIGQPLALLMKLNPLVSRLALYDIANTPGVAADVSHINTRSEVEGFVGEDQLGKALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCEAIAKYCPHALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKAKVPVADVNVPVVGGHAGITILPLFSQATPSANLPEDVVVALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVKLGKNGVEEVLGLGPLSDFEKEGLEKLKPELKSSIEKGIKFANQS >OMO74845 pep supercontig:CCACVL1_1.0:contig11077:42092:45735:-1 gene:CCACVL1_16440 transcript:OMO74845 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA isopentenyltransferase MVPSGIYSLRGFRLPQKPIFPSLFCCRKTLVTTSSSVSVSKKKEKVIVISGPTGAGKSRLALELAKRLNGEIISADSVQVYRGLDVGSAKPSPSDRKEVPHHLIDILHPSQDYSVGQFFDDARQATRDVLDSGRVPIVTGGTGLYLRWFIYGKPDVPKASLEIAAKVYSELADFEREDDWEAAVQYVVKAGDPKAQSLASNDWYRLRRSLEIIRSSGSPPSAFEVPYDSFRHQLDSSEAIDSHDLKSSADAPEQEPVKELDYDFICFFLSSPRLDLYRLIDMRCEHMLSETDGILSEARWLLDTGLLPNSNSATRAIGYRQAMEYLLRCREKGGMSSSRDFYYFLSEFQKASRNFAKRQLTWFRNEHIYHWLNASRPLEKVLDCIYDAYKDESGNLYLPEFLQMKKDLSNRKEASELKAYRTRNRHFVHREDCSDILDWIRRTQGCEM >OMO74846 pep supercontig:CCACVL1_1.0:contig11077:46662:48110:1 gene:CCACVL1_16441 transcript:OMO74846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQSAVKNQIQSLFPVLLASLLVLGTAQLVFDSLKSNKSYVFQFYGKPEKQRKSVFVLPEDRIDETCNLFEGQWVWDNVSYPLYKEDSCPYLVKQTTCLKNGRPDSFYQNWRWQPNSCKLPRFDPLKLLDILRNKRLMFIGDSVQRAQFESMVCLVQSVVPKEKRSFKRIPPRKIFKAKEYNATIEYYWAPFIVESNSDHATNHTVLKRLVHLDSIAKHGKSWEGVDVLVFESYVWWMYRPQINATYGSVENVQEYNVTTAYRIALETWTNWLEENINPHLQKVFFMRVGNGGQAAMKTASMSLTQSKVHTGAQGLT >OMO74842 pep supercontig:CCACVL1_1.0:contig11077:28228:30296:1 gene:CCACVL1_16437 transcript:OMO74842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKIEGEGSERNKVFARKGQSIPQKSNFVPHQTRVATTTTTDRKNSSHHLPLQTLDVVASDDSSSRNRMHQGSQKAANGIAKSGYVRHNNLVKINLNVLSKDEARGLKRKLASELEQVRGLVKKFEAKESRFSGGYANSRVSGNENVVKGSGSLVRVNSDVGSVGLPNSRHNQGLSVSVAEQDHNGSQFVDKEKRMTNVNQYNKNSEFALGKEKLRPTENTKKMKPSVGKTNGSQMGGKLMKHKYGWPFNNPVDVKGLGLHDYHTIIKHPMDLGTVKTRLNTNWYKSPREFAEDVRLTFSNAMLYNPKEQDAHIMACQLLAMFEEKWKAVESEYNPNRRVERSHDPTSRRVPAPDSAPVQTHAPTAAPAPLPLESRTLERSESMTMPADPKSTALDLTPTGSNAVLKKPKAKDPEKRDMTYEEKHRLSVNLQNLPTDKLDGVIQIIKKRNPALFQEDEEIELDIDNVDRETLWELDRYVTNYKKSLNKNKKNAEPALQASVEDDHSIQETNLEAPLLEVPKVAETVESIVPPSPIHGERQQNNEIQSSSSSSSGSDSGSSSSDSDRTDSSSE >OMO74843 pep supercontig:CCACVL1_1.0:contig11077:32522:35466:-1 gene:CCACVL1_16438 transcript:OMO74843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKERKQEADACKAMKPFGFTQLQVKSAIKELLPLYGGSWELIKDSKYEVLLEFLLSKNEEEDKVAKSKPKVGKKCSTEAPKNMVEVLPLALPAISSDSEDSQPLLRRSRRRQQTDKSNPVMMQTSVGKVQDNENERPCGSQCSFNAEDMEIPLVRLLPWHQIEKASAFKKKSNLQLVESTVERVGCVAGQSSKSFGEEIAESTQLHGRNAVNDAKLLSHEGYEEDKCKKVDSSQIDKLFCHKRKRSLRSASRQEKGKTPLKPHGVVVGGGLTSDLGTAISCLATIVEPKKEQIPASELDEVPLAVVHPETVEKQTSACGTIKAIPYVEDVCKGEERVKIPLVNGCADEELPDFLYISQNFVYDKAYVNFTLASITDRDCCTRCFKDCLTCCMPCACANRTGGEFVYAPGGLLKDSFLEEIISSQRFKRPKLIFCRDCPLERSKGKYEPKSCKGHLERNFIKECWSKCRCSKQCGNRVVQCGISVALQVFQTPEGKGWGVQTVNDLPRGAFVSEYVGEIITNAEMHERNIQSRSGNKHIYLVPLDADWYTEGILEDEKALYLDGTLYGNVARFINHRCCDANLVGIPVEIESPDHHYYRFAFFTTREIAAMEELTWDYGIEFGEQDHHQPVQAFKCLCGSSFCRDINR >OMO74848 pep supercontig:CCACVL1_1.0:contig11077:53558:53692:-1 gene:CCACVL1_16443 transcript:OMO74848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKAGWASTALCRRLQKQDGEKGKEVRYESLVSASPLFHKIED >OMO74849 pep supercontig:CCACVL1_1.0:contig11077:57141:58409:-1 gene:CCACVL1_16444 transcript:OMO74849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPMDPTKKRKLDENGIVPTHSEPDPITKLSPQDARKLVERFSVDQLLNILQDAVARHFDVLCAVRSIADQDTAQRKLFIRGLGWDTTTEGLRSLFSSYGELEEAAVINDKTTGKSKGYGFVTFKHVDGALLALKEPSKKIDGRVTVTQLAAAGNSSTNNNPADVHMRKIYVANVPYDMPADKLLSHFAQYGEIEEGPLGFDKQTGKSRGFALFVYKTPDGAQAALVEPVKNIDGRQMNCKLAIEGKKGKPGPDGMMPSGGGAPGNAEMGMGAHGGGYGGPGGPGGYGYSGGLQGPPGPMGHPHPLNSSGVGVGALSGSVGGAGAGGYGGPFGGYGGPGSTGYGGLGGAGAGGGLGGAGGGSSMYRLPPSSAGVPSGGYPESGHYSLSSSSAFAGQHHQGAGTSPVPRVPPGGMYPNGPPFY >OMO74838 pep supercontig:CCACVL1_1.0:contig11077:10383:14656:1 gene:CCACVL1_16433 transcript:OMO74838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKISEHEELRYLIEVVMDLGRKPLARFPSGDFELSDSPITVQDIEHATSQVGHFAVDNRAGISRTLHRISAIRNRKGTIIGLTCRVGRAISGSADLLRDLVQDGASLLLIGPPGVGKTTIIRDIARMLANDYNKRVMIVDTSNEIGGDGDIPHAGIGNARRMQVPDSDMQHKVLIEAVENHMPQVIVIDEIGTKLEAMAACTIAQRGIQLVATAHGVTIENLIMNPSLEMLIGGIQSVTLGDEEANRRGVQKSVLERKGPSTFSCGVEIVSKNELRVHRFLEATVDAILSGRFPRVEIRKLNSKGLEETSEATGISSDKKDVVVNGDLLETNDEKIGHNQFPLQFPSELSSDMAEDSLEDGGLLRLFVYGIPEASAIEGLKKLKMDATVRLTDNISEADAMLAQLSRLKKNSGIQAAVKSHNIPVYVTKTSSLMQISKAIEALKDDFPDGLKNSGSGDDMNILEKVDALEEARIAIEQVVIPKGEAAELLPRPPHIISLQIELLEKYQLQSEKVGKGSDVWLRILPFQLSANQVKTSSDQNVDGNGDPEDLDTFDVYGANGSPHNVDRLPFLPD >OMO74847 pep supercontig:CCACVL1_1.0:contig11077:49403:51488:-1 gene:CCACVL1_16442 transcript:OMO74847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFKGIGWVGGIYQKFETLCHEVDNIVNQDTVKYVENQAQSVGKSMKRLYSGVIHDILPPLKHDGQGVSFKKSDKIDADVDSKASIDKYHIETVRKLSEPSAVDLFEKQPGHASNEVCLSHYLSIPASVEAAESSIMSGQVSDVMKNTDSDVKTEENAEVETSASEVLELIANSKEEFFGVSLGNEFIDCDENFSCQVSVEVSRTRSVNDEDFQSTRKEGNNEDGIAVDVEKKQLDCALGELCLVDQLGNPNSEDSLSGTEHITSEQVVDDLKDTKPEVNIGENATMEKPLAFEVSELISNAKKESFGVSLLSDFIDFNDEEPSWVEAEVSPATSVHDVIQPSESDVLGLISIVEEESCEGSLVHNVVNCNDKIPCVDRADVSSSTLINSDQNVRTIKNDFADDSECVSVASGGINSSKVICCEENMAEVAVGSSCGSILKELLPENSPENSPAKALLNHDHVDEAGFVFHSLHSSSMLDPNLPNEKKLPEEVSVSYSNDQSVDSFGIIDDSTDDISTSSMETIALCDEVKLEDSCVIVDSAALCAVSRIMRKHRSYKVSLSLSLSLSLSISHHMVSHALITYFRHDKM >OMO74840 pep supercontig:CCACVL1_1.0:contig11077:24556:24804:1 gene:CCACVL1_16435 transcript:OMO74840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASKTRLMLLFIMILLMVIMRNSEARPSHGISTTAKKVDSGAILKKLGYDLSKIAYYRRMLSGDIPNRVSPGGPDPQHHY >OMO96896 pep supercontig:CCACVL1_1.0:contig07350:1997:2074:1 gene:CCACVL1_04749 transcript:OMO96896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRCKKINEVHCPSTKVARRRSSV >OMO89349 pep supercontig:CCACVL1_1.0:contig08763:1760:4921:1 gene:CCACVL1_07895 transcript:OMO89349 gene_biotype:protein_coding transcript_biotype:protein_coding description:calmodulin-binding protein-like protein MGAAVSCPLSDIDDLEGRLEAVIVKPISFKDDDEVKKPVKERSALVARRYLHPHSL >OMO89350 pep supercontig:CCACVL1_1.0:contig08763:5464:5661:1 gene:CCACVL1_07896 transcript:OMO89350 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S28e MDSTIKHAVVVKVMGRTGSRGQVTQVRVKFLDDQNRYIMRNVKGPVREGDILTLLESEREARRLR >OMO92129 pep supercontig:CCACVL1_1.0:contig08261:16897:29466:-1 gene:CCACVL1_06916 transcript:OMO92129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMTSIKGRILAAQMSCGIHEFGLALEK >OMO91691 pep supercontig:CCACVL1_1.0:contig08313:15918:18545:-1 gene:CCACVL1_07049 transcript:OMO91691 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MTQQGKSSPAKVAEGARARGASKIIGVDINPSKFAKGKAVGITDFINPKDLDIPVREKIGAMTDGGVDYSFECAGNLEVLREAFLSTQFGWGLTVLLGVHPSPRLLPIHPMELFNGREIIGSVFGGFKGKTQLPGFAKQCMCGTLNLDEFITHQLPFEKINEAFQLIVDGKSLRCLLHL >OMO91690 pep supercontig:CCACVL1_1.0:contig08313:13128:14544:1 gene:CCACVL1_07048 transcript:OMO91690 gene_biotype:protein_coding transcript_biotype:protein_coding description:f-box family protein MSTDPFVGLVSLKSLQLCSCHIFGFKSFKITGPQLDSLAIIRNNVFDCKVEISAPRLRFFSFQSQALLKMFSSLDFPLLEMAEIGTPIGFSPSIPIESLKISFLHMINVFHGLNHVRSLVLSASIIEGLINCPGVLEDQASPFVRLKTLKVKYPRNSRNSFNILPDNVMEYFGGNVKVEKIYEVFAVFSANYERRQLDLGNIVGSEHCGIVNPTAALSQQNLRLQETIRQPSELYQESQNRLAELEARMEIVSTLAQHNIHIQLPGMPGHASESSKTVRQLIGLLGGLEYG >OMO77319 pep supercontig:CCACVL1_1.0:contig10782:28449:29552:-1 gene:CCACVL1_15090 transcript:OMO77319 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MNAKDCSQGFCSFYCPQWCYIIFPPPPPVEFPDDDSGPNFSPLVIAIIGILASAFLLVSYYTIISKYCGNGNSSRRRGNHDHDSAADVLEDHNQNPSVHEPWQTSATGLDEALIKSITVCKYKKGDGLIDGTDCSVCLGEFQEDESLRLLPKCSHAFHVQCIDTWLRSHSNCPLCRANVIFINASPVPLPTPVTESPPPGNQSLQDRQIATENENVAAVGNDDTGRRSNLQEDDGFQRFPKTPYRVYSDLSNVQGRSDSVIEIREEGYGYQQMRRSVSMDHHPCQIQTQVSVADILQMNQEEEDYSQVEASSSSSSSTSKQGNKAIISNKMRVLNCVMNPVAMKRSFSSGRFFLTRQGRVRDPSIPL >OMO77321 pep supercontig:CCACVL1_1.0:contig10782:36046:36301:1 gene:CCACVL1_15092 transcript:OMO77321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMNGNVTSIQEAWEVDEQIYNAVEFEIDKGDEDHEDDEREREDDKDNEYEGEDDNDNVIGNEILVYENEYEVFVKPHVGMAFD >OMO77315 pep supercontig:CCACVL1_1.0:contig10782:7099:7839:1 gene:CCACVL1_15085 transcript:OMO77315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MTWNQRRRPSGSIVGTAGANMRTGLDPELIQAFPTFSYSTVKEFRRQKYGLECAICLGEFSDEDMLRLLTICCHVFHKDCVDLWLDTHKTCPVCRRELDVPRKSLDKSLVHSNSMIELGPNHQSSQLPDSVCIDIKEDDEEEVDGGGGQAEGQGCSNTKEQHRKREKMERFSRSHSTGHSIARNREEEDKYTLKLPYHVKIKLLKGNNSAQSCFAFGDFSSPSDHRRNGGFGETTETRVGDNIDKV >OMO77316 pep supercontig:CCACVL1_1.0:contig10782:8286:10424:-1 gene:CCACVL1_15086 transcript:OMO77316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKIDSNSLQEDELSDDKEENPRMQHKFTWADKYQPKALNSFICHRAIADKVIAGDMNLLIFEGFPGIGKRTMALALLREIFGPDISKSKEQVLALDLKSVLKSGVSSTIQIRAQISSKHIEVNLSESDMKGYAAEVALLLVKETHNASRKQPSLQAKAIIFHLAENISKTAQSQIRSFLENSEGRYKVIFCYSDVSNKLQILKPLCTVIHLPLPSNKEIVGVLNFIAEQEDIELPHTLAQTIAENSKNCLRQAIRSFEATWLADYPFKEGQSITTGWEDEIAMIAKSIIQEPSPHVLFLVRKRVGNLMEHHICGDFVLSTLVAEMKKHVNIQAQLDLESLYQDYNNLQQSL >OMO77313 pep supercontig:CCACVL1_1.0:contig10782:185:1616:1 gene:CCACVL1_15083 transcript:OMO77313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPASFHDEKVCVMDASGCLGSTLVQRLLQRGYTVHAAVQRHGDLKFDGIAAANEKEKLKIFHADPFDYQSIVDALKGCSGLFYAFEPPEDQPTYDLWEAVSKTLAEKTAWALAMDRGINMVSINGGLLMGPHLSIDNPYLKGAAEMYECGTFVTVDLNFLVDAHICVFEDVSSYGRYLCFNHVINRHEDALQLARLLTPSAPSPAHR >OMO77317 pep supercontig:CCACVL1_1.0:contig10782:11646:15210:1 gene:CCACVL1_15087 transcript:OMO77317 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI-anchored wall transfer protein MDSLPRSFNPTKHLKEEFVSNLTGSSMLKISALLTTAPILVLLRHSIGSETLTDADIKETSAKKKDSEIIASKNLKAYLATLFVDFLLIVLPMVLLFTVLAEWIYIGTILLSLLLVFSIAVKRSPRVPRLEGPNSVRTSISSYRVGTMFITCLCILAVDFRIFPRELAKTETYGTSLMDLGVGSFVLVNSIISRQARNVSSSLTWWKAALKSTSPLLILGFARLVSTTSMDYQVHVGEYGVHWNFFFTLAGVSILTSIVNVPPKYAGVLGSVILVGYQSWLTNGLNVYLLSDERGMDIISRNKEGIFSLLGYWGMYLIGVQVGYYLFFENRSPSVSRSNDGTRIRVCLLSIMFWFLTLLLDWHVERISRRMCNLAYVTWVLAQNLQLLAMRMLSDYVTGGKISALEKAFDRNLLASFMLANVLTGLVNLSVDTLFASSISALLILTAYAFTLLFVMGIIDFYGIRLKFW >OMO77318 pep supercontig:CCACVL1_1.0:contig10782:17804:19964:1 gene:CCACVL1_15088 transcript:OMO77318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLETLSSNELLNFIIYDTISATATPFSSSHHDSLMSNFPLENNFTSTSQEQASTGLNCVSTSMVTPQCRSTEAEAVERRPKNLAVQGRKKRRRKPRVCKNKEEAETQRMTHIAVERNRRKQMNEHLAVLRSLMPESYVQRGDQASIVGGAIEFVKELEHLLQTLEAQKLKVVQQQEITTDSKLTLSSPPFAQFFMYPQYTWSQIPNKYTSKTKASIADIEVTLIETHANLRILARKSPRLLSKLVSGFHSLYLSILHLNVTTMDPFVLYSISAKVEEGCQLSSVDDIAGAVHHMLRIIEEDATSV >OMO77320 pep supercontig:CCACVL1_1.0:contig10782:33218:35179:-1 gene:CCACVL1_15091 transcript:OMO77320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVEAVAALSFSRYPSSSSKTLLCSKPTRISSLSSHFSKFTPILSHGFSIYYPVLSTRKESSSVLCSAVQEVEAEAETEKTQKPNVKRKLFVLNLPWSFSVEDIKDLFGQCGNVKDVEIIKEKDGRSRNFAFVTMASGEEAQAAVDKLDSHEVSGRIIRVEFARRFKRPAPSPPPVVPSHETRHKLYVSNLAWKVRSSHLREFFSGFNPVSARVVFSNNSGQSAGYGFVSFATREEADAAISALNEKELMERPLRLKFSEKNVDESGDEKKDEKEPADQTEES >OMO77314 pep supercontig:CCACVL1_1.0:contig10782:4250:6108:1 gene:CCACVL1_15084 transcript:OMO77314 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MDYSRENVVHVIPTNGPENWIPNSADPSVWATEEDYRVWNNHETSTDTPSNSSYDQRQPQSRSGSEQPPNKKPRNSQDLNSRSKAIGKMFFKTKLCCKFRAGTCPYITNCNFAHSIEELRRPPPNWQEIVAAHEEEKGNLGEPREEFQIPSLGSSSFTGESQRSYKGRHCKKFYTEEGCPYGDNCTFLHDEQSKNRESVAISLGPGGYGGGGGGGGGGGGSAVAGAGGVAGANGSNAKPSNWKTRICNKWELTGYCPFGNKCHFAHGAAELHRYGGGLVEGEAKDSTATPSDPKQGGVPSKPPADTVVASVPSIPHSDVYHLGVPSQRTALVIQRPGQRTLQKWKGPDKISRIYGDWIDDIE >OMO88705 pep supercontig:CCACVL1_1.0:contig08962:35232:41079:1 gene:CCACVL1_08248 transcript:OMO88705 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoesterase MASEASSATSFPIKTVVILVQENRSFDHMLGWFKTLNPEIDGVTGSESNPISTSDPNSTEVTFKDSAGYVEPDPDHSFQAIYEQVSGKTWDTNNPDPNPEIKMNGFVQNAERTQAGLSETVMNGFKPEAVPVFKELVTEFAVCDRWFASLPAATQPNRLYVHSATSHGAMSNDTQQLLQGFPQKTIFESLEDNGFTFGIYYQSFPSTLFYRNLRQLKYVDNFYPYDLSFKRHCENGELPNYVVIEPRYFDILAADANDDHPSHDVSEGQKLVKEIYEALRSSPQWNEILFVVTYDEHGGFYDHVPTPTGVPSPDDIVGPEPYNFNFDRLGCRVPAIMVSPWIERGTVLHSPAGPDPTSEFEHSSIPATIKKIFNLKEFLTKRDAWAGTFDMVVNRSSPRTDCPEKLTEPVKMRDSNAKETAKLSDFQQELVQTAAALKGDIISPDKLVENMTVSTGLQYVEDAFQKFYDEGNKVKGLNGALNSVSATINNTVGNLTQIWKNI >OMO88707 pep supercontig:CCACVL1_1.0:contig08962:63144:63311:-1 gene:CCACVL1_08250 transcript:OMO88707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHVNTQEANKQNKVCKYYTRSTVASLTSETKSEREGLRRIYSIPVVGLPLSPT >OMO88706 pep supercontig:CCACVL1_1.0:contig08962:43100:49964:-1 gene:CCACVL1_08249 transcript:OMO88706 gene_biotype:protein_coding transcript_biotype:protein_coding description:signal peptide peptidase-like 2B-like protein MKSQIDSRERESSERSIEGSSWYFGDENDTSMSCPGLMPKDEDGASLAELLRGGDNLVRGIFNPSRPDIQNSSPMPHMSNASPT >OMP07027 pep supercontig:CCACVL1_1.0:contig04718:60:167:-1 gene:CCACVL1_01392 transcript:OMP07027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGSLQILAWLIIAEIMVYFFTSTAQCTQLLIDRRIM >OMO57077 pep supercontig:CCACVL1_1.0:contig14448:9485:11440:-1 gene:CCACVL1_26025 transcript:OMO57077 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MADIVKQILAKPIQLADQVAKAADEASSFKQECAELKSKTEKLAGLLRQAARASSDLYERPTRRIIDDTEQVLDKALALVLKCRANGLMKRVFTIIPAAAFRKMSSQLENSLGDVSWLLRVSASADDRDDEYLGLPPIAANEPILCLIWEQIAILYTGSLDDRSDAAASLVSLARDNDRYGKLIIEEGGVGPLLKLVKEGKMEGQENAARAIGLLGRDPESVEHMVHAGVCTVFAKILKEGPMKVQAVTAWAVSELAANYPKCQDLFAQHNIIRLLVGHLAFETIQEHSKYAIASNKATSIHAVVMASSNNSNVNNVKNVVDEDHIQIPHPMGNQTPNQLHNVVTNTMAMNGGAKPQKPSNNHVRSNSQGNVKQINQNYYQHQHNVSMSGVNMKGRELEDPATKASMKAMAARALWHLAKGNAPICRSITESRALLCFAVLLEKGSDEVQYNSAMALMEITGVAEQDTDLRRSAFKPNSHACKLVVDQLLKIIEKADSDLLIPCIKAIGNLARTFRATETRMIAPLVKLLDEREAEVSKEASIALTKFACTENYLHLDHSKAIISAGGAKHLIQLVYFGEQVVQLSALILLCYIAFHVPDSEELAQAEVLTVLEWASKQSYMTQDETIETLLQEAKSRLELYQSRGSRGFH >OMO57076 pep supercontig:CCACVL1_1.0:contig14448:4111:6254:-1 gene:CCACVL1_26024 transcript:OMO57076 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxidoreductase FAD/NAD(P)-binding protein MAAVTAAVSFPSTKSTSLPSRTSIISPERVTFKKVPVYYRDVSSGGRVVSVRAQVTTETAEAPVKVKKESKKNEEGVVVNKFKPKNPYTGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGVDKNGKPHKLRLYSIASSAIGDFGDSKTVSLCVKRLVYTNDKGELVKGVCSNFLCDLKPGSEVKITGPVGKEMLMPKDPNATIIMLGTGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEMYPDKFRLDFAVSREQTNEKGEKMYIQTRMAQYAKELWELLKKDNTYVYMCGLKGMEKGIDDIMVSLAAEEGIDWIEYKRSLKKSEQWNVEVY >OMO57075 pep supercontig:CCACVL1_1.0:contig14448:2185:2949:-1 gene:CCACVL1_26023 transcript:OMO57075 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MAEQQQEQQQSRSTGKVSWFNDNKGFGFIKPDDGSEDLFVHQSEIKSEGYRSLADGESVEFTITQGNDGKTQAVDVTAIGGSPINKKDRRSRGGNDRKNGSSDGCYTCGSLNHLARDCGNRRRNGSGGGCFNCGDMKHRARDCSKVASDDNNNTPNGSDFRCYKCNGEGHIARDCRRDNGGSNGGGECYNCGKLGHFARDCNRNSGGGGGGNSGCYACGGFGHLARECYNNNRGSNCFNCGQAGHYARECKASA >OMO89463 pep supercontig:CCACVL1_1.0:contig08711:13870:18095:-1 gene:CCACVL1_07812 transcript:OMO89463 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MASSTMAGFGSGSRKVCKVCGDEIGHKEDGELFVACHVCTFPVCRPCYEYERSDGTQCCPHCNTRYKRHKGSPRVAGDDEDSDHDDFDDELQTKNHKDDSDHRQQENGDYNNQQWHPNGQAFSTAASTAGKDFEGDKEIYGSAEWKERVEKWKVRQEKRGLVSNDDGENDQAEEDDYLMAEARQPLWRKIPISSSLISPYRIVIVLRFIILVFFLRFRILTPAYDAFPLWLISVICEVWFAFSWILDQFPKWFPIRRETYLDRLSLRFEREGEPNQLLPVDVFVSTVDPLKEPPIITANTVLSILSVDYPVEKVSCYVSDDGASMLLFDTLSETAEFARRWVPFCKKHNVEPRAPEFYFSEKIDYLKDKVHPSFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTSWPGNNTRDHPGMIQVYLGSAGALDVDSKELPRLVYVSREKRPGYQHHKKAGAMNCLVRVSAVLTNAPFMLNLDCDHYINNSKALREAMCFLMDPQFGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMLGLDGIQGPVYVGTGCVFNRQALYGYDPPVSEKRPKMTCDCWPSWCCCCCGGSRKKSKKKGEKKRGLLGGLLYSKKKKMMGKNYMRKGSAPVFDLEEIEEGLEGYEELEKSSLMSQKNFEKRFGQSPVFIASTLMENGGLPEGTNATSLIKEAIHVISIGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCVPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGKLKWLERLAYTNTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLNNLTSVWFLALFLSIIATGVLELRWSGVSIQDWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTAKAAEDAEFGELYMFKWTTLLIPPTTLIILNMVGVVAGISDAINNGYGSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQTGPVLKQCGVEC >OMO89465 pep supercontig:CCACVL1_1.0:contig08711:20921:23759:1 gene:CCACVL1_07814 transcript:OMO89465 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MEILNYNDLIDAAVKNCVPMQGEFVGNKNWAWLACGLHDKYCRLLIGNYSENFAGSMAFSSDSSNGMRRRCAFSNVPNDLNQLHNSSSSQVLSMASNSSSDDLRILIGILTLPDQYHRRHFLRLIYGTQSTAGAQVDVKFVFCNLTKEDQKVLVALEIMRYDDIIILNCHENMNKGKTYTYFSSLPEIFNSSDRPYPPYHYVMKGDDDTYFRLEKLVESLRPLPREDLYYGYVIPCPSMDPFVHYMSGMGYLVSWDIAEWIRESDIPRNHMEGPEDKVFGDWIRDGHRAKNRFNAKWSMYNYPEPPTRCTHELWPDTVAVHLLKNQEKWIHTLKYFNHTSNLKPSKLYHIP >OMO89464 pep supercontig:CCACVL1_1.0:contig08711:19618:19719:-1 gene:CCACVL1_07813 transcript:OMO89464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRRAWLRIFKAADARVRRRTWWRGSQLIDSI >OMO87674 pep supercontig:CCACVL1_1.0:contig09202:18421:20441:-1 gene:CCACVL1_08834 transcript:OMO87674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMFHFFNCAILTFGPHAVYYSATPLSEYDTLGTSIKAALVYLGTALVKLVCLATFLKVSDNEAFDPYQELLKALIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHKLAPLWVGARGLEFTWDYILQGLEANANLVLSISLAALGSLMWLRKNKPKTLIPIIYLCAGIVATMPSITSIMHTVFVLHSH >OMO87673 pep supercontig:CCACVL1_1.0:contig09202:15264:17578:1 gene:CCACVL1_08833 transcript:OMO87673 gene_biotype:protein_coding transcript_biotype:protein_coding description:PetM of cytochrome b6/f complex subunit 7 MNETPVEVVHNSSPCGLESSPEKSTNIDIDTRTPKPPTTSAATNKKKKMGKPTKNQLTLSKIYTFPPYGTYCLWFLLLSQQNPRTHSKLSLNMATTSAVLAPVTVTGAAVSCGSRKTRQATKPRVVYIGGMSAYSGLKAHNNIVSLGQPVSTEQSFFNVVSSLKAHGKGRSGGGALSAKCNAVGEIFRIAAIMNGLVLVGVAVGFVLLRVEAYVEESEAE >OMO87672 pep supercontig:CCACVL1_1.0:contig09202:1436:10732:1 gene:CCACVL1_08832 transcript:OMO87672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKILPDIVRLKGPSEKIWYVELSYKGNILFFENGWEKFVRDHCLEVKDVLVLTYLGKSTFNVKIFNESGYEKEALYYIARDSPRDIIQAAGSSKDQPMRMVTNYIEVDSSEDGGDQDAPPHEASSRDLISFHVASPEEFINSHGHSNKILGNTTCAQTEASSARKRRARRTETSLSLRVEMIERHVYGQFRMGLRGSWVKKHLSDVKEVILRYEGKEWEVEIYHHPTIGYGELKTAGWRDFVYENRLRVKDICVFTPVGSFFSSFYFFLYLDIMESNKHGQKWQEEVYWNCFKRQGFFANLPSGFHEQLAIPKKFALKLRNKLPETATLKGPSGLTWSVELTRNGDALFFTNGWAEFVKDISLEENDLLIFRFNGESSFNVWVYDPSNGTEKEALYFPGGSSSRDAAGPSDAQGQPKRRDKAKFVVVKSSSDEDEGNASQGEKSENEDGYYRTPSDKSASDDGDDYYQAAPSRRCATSPSRPKKMARLTRIDTEPVQIRGAKDKSRRRQGKFKIFPKRRLVTEKEKSDTLQAAREASSPDTDSYIVVMRPTMVYYMFRVGIRSDWVKKHLSPEIRDVILRLKDQQKAWTVGYYLVKSRNSGLLTTNWKRFVVENGLEEDDVCVFKPALVLFFVDMGDSSKDRFKWQEDIYWTRFKCQHFCCPLISSDFHEQLAIPQKFVDKLRRKLPETVGLRGPSGYTWFVKLTSNGDSNSLFFSNGWPEFVKDHCLEENDMLTFRFNGESSFDVVIYDPINLCEKENLYFLQGPNKEAANARNHQSKRKIKAPHVKVESSDHQDGNGDRDAYQESQNDDGFKTPSSDESFQAAAHHRSNTQAHSKKFRRETNRGSNGKIGVNLEGLSNKSAVRELKKLETLMAARRGSTLDSEVVVMQPRHVDKPFRVGMRTKWVAKYLSPETLELILRMEGKESLVGFYFHKRNKNGELCNGWKEFAVRNRLKESDVCVFTPGGPSEKGTLILDVSIFRVGVGEAVVPPSLNHDTMTLPLEGLAQPQQKQQED >OMO58056 pep supercontig:CCACVL1_1.0:contig14279:12258:12993:-1 gene:CCACVL1_25600 transcript:OMO58056 gene_biotype:protein_coding transcript_biotype:protein_coding description:myb-related protein Myb4-like protein MQEGNQGRLPTAAIRKKQFKSKAKAKHDGRGIRNSLRVFEEELARERGKKRKLEEHGRADQAALSAKAREFTAFRQERDRAFAVKEAGERLVDAFPYDKMTFDEDDVVFMEDIVAWMNLFTGGGHGNDGDGV >OMO58057 pep supercontig:CCACVL1_1.0:contig14279:23934:24071:1 gene:CCACVL1_25601 transcript:OMO58057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNFRKHRPTNIKFQHNLAIHPMAFNNRYVFFNPSYNMLTDAIKT >OMO74625 pep supercontig:CCACVL1_1.0:contig11108:122:2019:-1 gene:CCACVL1_16570 transcript:OMO74625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEIVPVDPIIEEESKPQKQSSAFSHGRGEDESKGVTKSLTYGECSSSGSGGYVSEVFNSERLPPTLASEIQRFLRVANMLEWKAPRVAYLCRFHAFEIAHNLDRNSTGRGVRQFKTLLLERLERDEETTKTTRQEQSDLRELKRVYDENRRYINQHAGAFDFENSHGEKLIDACIIASVLYEVLKTVTAGPQALADRDIIQAKSELFAYNILPLDHGGIQQAIMKFPELEKLVESAVNVLCPILFNVKKCLNGILFVDKL >OMO88285 pep supercontig:CCACVL1_1.0:contig09053:213:767:1 gene:CCACVL1_08482 transcript:OMO88285 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative protein binding protein PLSTPSPEEEEEDDPVTDSPVGRNRSPPPAVVSSSPPPPVYSPSPPPVSSPPPPVFSPPPPVYSPPPSPVHSPPPPPVSSPPPPVSSPPPPVYSPPPPSPPPPVLAPTPPPTPVASDEDIVLPPNLGFQYSSPPPPMFPGY >OMO88287 pep supercontig:CCACVL1_1.0:contig09053:4487:4564:1 gene:CCACVL1_08484 transcript:OMO88287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAKVEFNRIVSHVTACNVRHVA >OMO88286 pep supercontig:CCACVL1_1.0:contig09053:1106:3691:1 gene:CCACVL1_08483 transcript:OMO88286 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytochrome P450 MATWTILSSNRILATYFSSSFNSPSYPPQHRLIPSLFPFFFVAFSFLSHKGVAVNHPNEGDKCAPTSFLNCPSDH >OMP04175 pep supercontig:CCACVL1_1.0:contig05793:4854:5346:-1 gene:CCACVL1_02183 transcript:OMP04175 gene_biotype:protein_coding transcript_biotype:protein_coding description:beta-lactamase MASNGVRRSGSQSVPSKPVTSGGRFRDHVRDLRIFKWFMGLVLIWLGGEVDHGAAGCAARNGIGKHCALDKGEGQFHGVNRGLVGLTDVESSGDSPLSLAANSLESNSTIPLSASNSRRVVSVGT >OMO96180 pep supercontig:CCACVL1_1.0:contig07514:9497:13596:1 gene:CCACVL1_05054 transcript:OMO96180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FIAMGSNGNSSNEQDAYDSHSRNAKKIYHRHNTQQIQTLEAYFKECPHPDDNQRRQLSKDLGLEPRQIKFWFQNKRTQIKTQNERADNSVLRAENERIHCENLAIREALKNVICPSCGGPPFGEEERQLSLQKLKLENEQLKEKHKNVSKLLYKYTGKEFAEIESLSSSISTPMLPISVTTQETRCLALHHNLDPKTYNNIHMERAILFEAAGNAMDELVRLLQVNEPLWIKLPSNGTYVIQRENYERTFSKAIHLRSPSARFESSKDSAVVSMNAIQLVNMFLDADKWADLFPTIVTKAKTIQVLETGLIGSRHGSLQLMYAQMHILSPFVAHREFYFLRHCQQIKTGLWVIVDVSYTVIEESTSQCWKLPSGCMIQEMPNGCSKSIEIGQPKGVIVSAATSLWLPNPCQNVFSLLTDEKTRVQWDVLCDGNLVNEIANISIGDIPRNRVTILRPFVPSESNMLMLQEMSGNELLGYMLVYAPISTPAINIALNGEDSSNVPILPSGFIVSNDGHRPERGVGAAESTKSSGSLLTVVFQILVSSNPSSSKELNIQSVATVNTLISSTVQRVKALLSCCNNLD >OMO80038 pep supercontig:CCACVL1_1.0:contig10352:26333:30057:-1 gene:CCACVL1_13196 transcript:OMO80038 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MGKKKKRVSSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGREATDIEIYGMQGIPPDVLAAHYGEDEEEAPSKAAKVDIPSTQLMGGLVSGPLGVGYPPQSTLGAVRPLYNAAVPVPPAGWAVPPRPQPWFPQHPAVSVPLSGPMGYVQQPLFPVQSVRPPLPVASTSPALQPSQIAPPGLPTTTPPLPVSQPLFPVVNNNLPTQSSPFSTSLPTTVPPSVPAEVKGSIDVHSSVNTSVAGGVGANSHSYASGPNTGGPSIGPPPVIANKAPATQPAVNEVYLVWDDEAMSMEERRMSLAKYQVHDETSQMSSIDAAIDRRILENRLAGRMAF >OMO80037 pep supercontig:CCACVL1_1.0:contig10352:21556:25133:-1 gene:CCACVL1_13195 transcript:OMO80037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLRAVRSLTYLYRPLPNKSEHHLLLPRLFSAQPQQHPDDSDDSHHHSGNSSDSVFDSSQFPILENPSTAPQVEQPTWDVRHRQRIERLVFNQDYKFKEEEEEKRRRILAKALLEAALEGPEEDVDDEEVVREEDQKSLSVGIIGAPNAGKSALTNFMVGTKVSAVSRKTNTTTHEVLGVMTKGDTQICFFDTPGLMVKSSGHLHKDMKVRVESAWSSVNLYDVLMVIFDVHRHLTKPDTRVIRLIKRMGAEANPRQKRILCMNKVDLVEKKKDLLKVAEQFKDLPGYERIFMIAGLKGYGVGDLTRYLMEQAVKRPWDEDPLSMSEEVMKNISLEVVRERLLDHIHQEIPYGIEHRLVDWKELRDGSLRIEQHLITNKLSQRKILVGKNGSKIGRIGIEANEELRSIFKRNVHLILQVRLKT >OMO80040 pep supercontig:CCACVL1_1.0:contig10352:47227:50583:1 gene:CCACVL1_13198 transcript:OMO80040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKLVPLQFVLLAASLALPLVASETLSNGSTATSNSTSKNEEIHCRQYHGHLAILTSSEELTFAQHLCGQNVNGCWVGGRGSSSNMSFDWRWSDNTSVWNESLLGLPAESNCTKSPCLANTSVDWCTLVKNGSVNLVRERCNASHSFLCMVDIDNKCYYMHCHREYLIILAVVSGLILFTTFAVVVWLLAYKRSKRRRRSRKLSNPAASALVPPSWKIFTSDELRSITKNFSEGNRLLGDAKTGGTYSGLLPDGSKVAVKRLKRSNFQRKKEFYSEIGRVARLHHPNLVAVKGCCYDHGDRYIVYEFIANGPLDRWLYHIPRGGRSLDWAMRIKIATTLAQGIAFLHDKVKPHVVHRDIRASNVLLDEEFGAHLMGVGLSKIVPWEAHRYPKLLDPHISSSSSDVPEAGDIQKVVDLVYACTQNVPSMRPRMSHVVHQLQQLAQAPITK >OMO80036 pep supercontig:CCACVL1_1.0:contig10352:18864:20180:1 gene:CCACVL1_13194 transcript:OMO80036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPFSYTFTITNSSCTSSANTISNPWMDSRIWSKLPQRLLDRVIAFLPPPGFFRARSVCKRWYGLLFSSTFLELYMQVSPRHHWFLFFKQKKLKSYIYRSNTGTTGTSGGNNGDNRSSTNCEGYLFDPNDIAWYRLSFSLIPSGFSPASSSGGLVCWVSDEAGMKTILLWLTVNPTSIDVAVAGDDLISPYSVKNLSTESFHIDAGGFYSIWGTTSSLPRLCSLESGRMVHVEGKFYCMNYSPFSVLSYDIAANNWFKIQAPMRRFLRSPSLVESRGKLVLVAAVEKSKLNVPKSLRLWGLQACGTTWVEIERMPLQLYMQFAEVEGGNGFDCVGHGEFIVIMIRATDKALLFDICRKRWQWIPPCPFLGTGCDGDGHNGDGGELHGLPYEPRLAAPVISLLDQLTIPFPTFNG >OMO80041 pep supercontig:CCACVL1_1.0:contig10352:51316:54827:-1 gene:CCACVL1_13199 transcript:OMO80041 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20 MTITRISLLSLFSSSVLFSLLSILLSLPSSSSSSSSSSYLYPPDKQSRTRDLYSEILRDEAVARLNELGKVSDADGYLERTFMSPASVRAGILIREWMEDAGLTTWVDSMGNLHGRVEGINATAQALLIGSHSDTVVDAGIFDGSLGIISAISALKVLKSNGKLGQLKRPVEVIAFSDEEGVRFQSTFLGSAAIAGVLPVSALKISDKSGVTVQDALKKNSIDIAEESLLELKYDPASVWGYVELHIEQGPVLEWMGYPLGVVKGIAGQTRMKVTVRGSQGHAGTVPMSMRKDPMSAAAELIVLLESLCKHPRDFLSDCGNCNEFTMESLSTSLVCTVGEISTWPSASNVIPGQVTFTVDLRAIDDVGREAVLYELSNRMYQICDRRSVSCIIERKHDANAVICDHELSSRLKSAAYTAISRMIGEVQEEAPVLMSGAGHDAMAMSHLTKVGMLFVRCRGGISHSPEEHVLDDDVWTAGLAILAFLETHM >OMO80039 pep supercontig:CCACVL1_1.0:contig10352:31447:43561:-1 gene:CCACVL1_13197 transcript:OMO80039 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome, subunit alpha/beta MNNFNSSCSCKFLSIISFLLLLSPILVSSKCACEAEDQEKEQQDSGQALKLKLVAISSILVASAIGVCLPFLVKNIRALHPDKVIFSLIKTFAGGVILATGFIHILPDAYESLTSPCLGEDPIWHHFPFPSFVAMMAAILTLMMESFATGYHKRNELSKALPVNGDEENHHSESDHAHAQAQGGHVHGHGRSAFLLDRTNSSDLIRHRVVSQVLELGILVHSVIIGLSLGASENPRTIKPLVAALTFHQFFEGMGLGGCIFQAKFKHRTVAIMVLFFSLTTPIGVAVGIGISNAYNENSPTALIVQGLLNSASAGILIYMALVDLLADDFMSPKMQTSFRLQLGTTFTLLLGVSCQRFCVKSNYTSSPGEQEKLVLRQLCCEDELQDSSINKTKALKYKLVAISSILMASALGVSLPILGKKFPAFQPENHIFFLIKAFAGGVILATGFVHILPDAYESLSSPCLSEKPWGVFPFTGLLAMVSAIGTMMIDTFATSFYKKSHFNKALPVNGDEEMRGEHEGHVHVHTHASHGHAHGSAFVAEDSGTEIGLSGHIIRQRIVSQVLEIGIVVHSVIIGISLGASQSVRTIKPLLAALTFHQFFEGMGLGGCISQAKFKTRAIAIMILFFSFTTPFGIAFGMSISKIYSEKSPTALVVEGIFNSLSAGILIYMSLVDLLAADFMNPIMQSNIKLQLGSNLSLLLGAASSKQPEHVLVGPESDSQRTMYPYVTGTSVVALKYKDGILMAADMGGSYGSTLRYKSVERIKPIGKHSLLGASGEISDFQEILRYLDELILYDNMWDDGNSLGPKEVHNYLTRIMYNRRNKFNPLWNSLVLGGVKNGQKYLGTVSMIGVNFEDNHVASGFGNHLARPILRQEWHENLSFEDGVRLLEKCMLVLLYRDRSAVNKLQIAKITEEGVTIHKPYSLKTNWEFSAFQNPTHGAVGSW >OMO80042 pep supercontig:CCACVL1_1.0:contig10352:57824:65918:1 gene:CCACVL1_13200 transcript:OMO80042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKRVSALTFNSLIFSSKQTQYGQPLNPSQGFGKCPTNNDYAGSPVGDNHTYIGDGWQRGNQNSAAGQSGNFGGYHYHGETNGNLQINPSGIYETGSLRGAKQSSNGFHQNHSVMGWESSRNELQNNSVYGNVNFRGYGANTEQSVNMQNQGGRYWEGPTEVRQNQNDLNLQRFPESQGSLNENYLQNNCQLQQNQSGQLCSFSSQYQQNPHNIVNANSYGQVAATSNLEGESAEASETSSNNAMVEALDGFCEEGNVQEAVEVLGLMEKQGVHVDLPRILQLMKACGETKALQEAKTVHEYLQRLLSEQRRIRAERLVGLNYESAAIVAAVFYLTFVFTKSGAVEAILLTGSRLEQWRQEPEATKAVCSIFGLVPGEDRLFANTILLRLAHAFQFGDKDIRLSVVRIFLTYVRYDRNKKHRKQKRRTFLYGGVHNHAELLRRVKIVFDTGDVESRALALVLFGCWANFAKDSAEIRYIILSSMVSSTFLEVKASLFAASCFCELANDFASIVLEMLVNMMASPETLPCVRLAGARALTRMVCSYSVSNRAFKTGVKLVTDSSDEKFIITMLVSLSKLVSRATPFISEQVDLLISYLRLENTGQLRVTSLRCLHLIFVKEGCCSPVNMHVIKTLFSILDENELPSVMPFRALQILHKILLYTLANLPSFEMLDFAQLLAILEKASKSPTTSKSIVALRVLTDMSTKLWARTRSECFTVCSSPLPSWVISLIMERLSSLLKLLLDPCQTSSRPVQEIKSLLNLILQLVEEHPHLCAMVLDEISLIIKYIANLNEDVVANKQIGTSESGQKCKVFRFKILSTIHRFVAACLQNLSEAGAITDDVFDKVKLLIENLHHGRVFDCYTHTIYSLLLHSHLFGKIDACLIEHPFKHELATLGHAGKMLLERDNWNAYKAGIYAACQGAWITATFIFAQLMTRVQSDSCCCWFKSLFQFSYSELKVQLNLSPKQRSILVGSLDMYELAFLKDYLGELRQVTEGNNSEPNYRDVLVEACCNLSSSIKTLETVAVSRKEFCFQRWFFSLRAKVLGTAGEIVELWDTSDEEKIRNINEIENIALANLKRLQIISQLSFRVKRLAEELDLISSTFIGIDSESSKILATLALNCSILAFTTGFPLFFPNLPDYKNLRICDHDSEQNFSSEILLQDLLGRLLHIDHEISMNLCLLLDDGEHPRNCFHMQSRNQILKSAHEVRDTLDMITYAVSTVVRLRSETNKMQNEEFISHVTKNGMQLLLDIIKKWLQIPFQIPKHFFKIRPLVGSELFAFNADTDTRSQKSKITVLAGSHLSLNLCLQLRNTPPDFPLRLAKSYCILHCTVSNDVENSEQKEWDCTPWESQDMVEMNDKLFQYVTECAKKTNYGKRFREYDMDGEQVVNELVCFEPNVKGLGFSTCVLEVSHFPVGSYRVKWYSCCIDNQGSYWSLMPLNSGPVFTVKQQSHVISSCA >OMO80043 pep supercontig:CCACVL1_1.0:contig10352:68722:71119:1 gene:CCACVL1_13201 transcript:OMO80043 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin lyase/virulence factor MARNNAATLVFFILGLATLLQIHVSAHNSRLGRPDQFSSSAIYHEQVRHLESFKASLVRRDHHHHKVSGSAIPPSPSSVPLGAIEAAAASTPHVYRVTNYGADPSGKSDSSEALNKAISDACKNSSDGVLMSGITNLGGPQIDLEGGTYLISKPLRLPAPGFGNLLIHGGSLRASDDFPANGYLIELSPGSGPAPAYNYEYITLRDMLLDSNYRGGGISVINSLRTSIDNCFITHFNTTGIFVQGGHETYIRNCFLGQHITAGGDPGEKAFSGTAINLSSNDNAVTDVVIFSAAVGIMVSGQANTFNGVHCYNKATGFGGTGIYLKLPGLTQTRIVNSYMDYTGIVAEDPVQLIISSSFFLGDAFILLKSVNGVANGVNIVDNMFSGSDSGVEIVQLDQSEGPFQKVDQVVVDRNNVNGMKVKATVARGEVQGNGSTWAIDFNPVLLFPNMIKHVQYSLSTADSALPTHALRNVSDNRVVIQSDVHVPANVFITVDQWGSSFST >OMO80044 pep supercontig:CCACVL1_1.0:contig10352:71913:75078:1 gene:CCACVL1_13202 transcript:OMO80044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKKDDIKTEKRILIDDDMNLKSSKLARVVPFPKPPWDRNQEEKEDIKIKEDFVPPWDKEVTGGDLAHCRYPMDRKKEDIEDVKIEGDFVGDLKSSKLVRVIPLPRPPWDEGASKLVRVIPLPRPPWDRKKEDITTEGDLAHCRYKKEDIEDVKIEGDFVRDLPRPPWDRKKEDITTERVIPLPRPPWDRKKEDIITERVIPPPPMDPVVVRKPPPIPCGGTYVTLRNEESFERFGVHPLCVVAIDSFNNKYINSLLLAARSLSIMSSSVPPPFIHVLSSKKHIHPEEDIKIEEDFVPKAVPSKKHKHPMDAMEAMGPKKEDIRTEGDFVHLVRPPPPMDPVLCPPSLEGVLPPLWSRALKDFVRTGSLLRLPPGMRKPKPPPMPPMDPILPPTDPVVRTLKPPMDPIPPPIPSDTILPPIPRDLKPPIDPVLPPIPPIPMDPVVSKPPPMVRPVLPPIPVVVRPVLPPVGMPPPMPLPPVRMPPPMPPPLYPEGSSEPIRGEVCFEMLGLHPLCVLAIYAFNKKYVR >OMO80035 pep supercontig:CCACVL1_1.0:contig10352:6878:8445:-1 gene:CCACVL1_13192 transcript:OMO80035 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MKEEMGRELESGKVAHVVFLPFPAEGHIKPMLKLAELLSQAKAKAGSIQIQITIINTQHTHQRLLVTSNLKEFQQTHPNIQFLTFPDGLPPEHPRVGRGFKEFISALKTVAPALREVFLSMTLPATCFIADMIMSTSAVEVANEFQVPLLSLQTMNACTTWIDLHLPKFLEEGEIPIEITDEACLNKPVTQITGLESFTFLYRDLPGFCRTQEVAMEFCIGEFKAASQASALIINTFEELEAPMISKISSFYSKIFTIGPLHCLNLSTQTDDDSSHRSSADGILSKEDNSCLEWLDSQQSGSVIYVSFGTWASLPSHVFFEFWHGLINSGKPFLWVVRPNSIHVTDGVRTPSEVLAELEEKSKGKGLIVSWVPQEKVLAHPAVGGFLTHTGWNSTLESIYAGIPMICWPIKADQFVNSKCVGDIWKIGFDMKDKCDRSVIEKMVRDLLGDKREEIMESVNKFRDQARQSVMVDGSSYRNLENLIDHIISLVP >OMO68808 pep supercontig:CCACVL1_1.0:contig12171:42288:42407:-1 gene:CCACVL1_19821 transcript:OMO68808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSRQAKPQADASICKLIINISLALGTLALGNGNRHRS >OMO68809 pep supercontig:CCACVL1_1.0:contig12171:46819:56102:1 gene:CCACVL1_19822 transcript:OMO68809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVRPLEVDISTPNLIIQQDYTFGIEIMTAMWCRWLAKVTRMRQCKKFFGFGVEDSDGMLAGMGDFHESHDEPSNKENPSLQPVPVPLG >OMO68805 pep supercontig:CCACVL1_1.0:contig12171:7706:14553:-1 gene:CCACVL1_19818 transcript:OMO68805 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S27e MFNSGPEPGQENSGVSGPLLIPMRFVWPYGGRRVFLSGSFTRWSEHVPMSPMEGCPTVFQVICSLSPGYHQFKFFVDGEWRHDEHQPFVNGNYGVVNTVFIARESDMVPPTLTPETPGRTNMDVDDVFIRPEAVPTISDADLELSRHRISAFLSRHTAYELLPESGKVIALDVDIAVKQAFHILHEQGIPVAPLWDSCKGQFVGVLSALDFILILRELGNHGSNLTEEELETHTISAWKEGKVQLSRQIDGNARSYPRRLVHAGPYDSLKDVALKILKSKVATVPITYSSAQDGSFPQLLHLATLSEILKCLCRHFKHSSSSLPILQQPICSIPLGTWVPKIGESNGRPLAMLRPNASLGAALSLLVQAEVSSVPIVDENDSLLDIYARSDITALAKDKAYAQIHLDEMSIHQALQLGQDANSFNGQRCQMCLRSDTLHKVMERLANPGARRLVIVEAGSKRVEGIISLSDVLQNDIDLLNPPAELEKKKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEGCSFRKKGD >OMO68806 pep supercontig:CCACVL1_1.0:contig12171:30946:31152:-1 gene:CCACVL1_19819 transcript:OMO68806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKSNHQIVHIRQYPNSKHGIGTAIEATKLLYLPSLTIACSRAYSLHMQDTKGDLDEEIHRISQCPY >OMO68807 pep supercontig:CCACVL1_1.0:contig12171:41704:41953:1 gene:CCACVL1_19820 transcript:OMO68807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVRPLEVDPSTLHFIFQQHYTFGDDE >OMO68810 pep supercontig:CCACVL1_1.0:contig12171:60285:60877:-1 gene:CCACVL1_19823 transcript:OMO68810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGKNKDEHPCGFARVFFYLFQAGSPIASPRRLMMAGGIASPVEGVMLNEVKPEQLVLNHGRS >OMO82597 pep supercontig:CCACVL1_1.0:contig10007:14849:16018:1 gene:CCACVL1_11869 transcript:OMO82597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIASSQQSFLTNAFTFTHKHKPKSKLQSSTTFVSCKYQSDEQKDSIWKNEKQLAKLAIVTLAAGVLTLGSVGDASAAKTGGRVGGQAFRSSAPRSAPRAKSNSRTNIYANPPVAPPLVGGYGYGFGVPFYGGWGWSPFSFFAPGPSVAIGVGGGFDTIFFFMFLGAVAAVVRRFFRSTDEDEDDYY >OMO82598 pep supercontig:CCACVL1_1.0:contig10007:16496:17419:-1 gene:CCACVL1_11870 transcript:OMO82598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPEILEVEQIMDTETGLELNEENIEKVLDEIRPYLVGTGGGELELVQINDYVVQVRLSGPAAGVMTVRVALTQKLREKIPAIAAVQLLD >OMO82599 pep supercontig:CCACVL1_1.0:contig10007:18872:19449:1 gene:CCACVL1_11871 transcript:OMO82599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPKPLRVLGKGAAIILGGFVTLNIAGVVAIGALRSVAEKKRKKFAPPCGVCKGKGYYLCKLCKGNATIKWSPLYDPVHINPCVCPTCDGDRVQDCLNCVGKGYCLLVHLQELQFWLLNRVDFCK >OMO95874 pep supercontig:CCACVL1_1.0:contig07591:528:2175:-1 gene:CCACVL1_05209 transcript:OMO95874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MDVVDSLMPYFLHLVVLYVSAGVIYLVYKFKSTAGTRNLPPGKKGLPYIGETMDFVLASRRGTPEKFVTDRTTKYSPDVFRTSLLGEDMAIFCGAAGNKFLFSGQNKYVTSWWPNSIKKALMDPSSVDNSSKEESTKLRAYLPPFLKPDSLQHYIPVMDIMAKEHLDQYWSPYDQVQVFPLSKKYTFALACRLFMSVQDQDEIEKFARPFALATAGLISVPIDLPGTTFNRAVKAGRQIRKELFALITKKKNEILDKAKTVASDLVDSMLMDGMTEVEIGNKIVGFFIASHDTTSTAITFIVSYLTDYPDVFKRVLEEHMDILRSKEAGEALKWEDIQKMKYTWCVACEAMRLAPPANGAFREAITDFNYAGHTIPKGWKAFWTVHSTNKNPNYFPDPEKFDPSRFEGNGPAPYTFVPFGGGPRMCPGKEYARLEILTFIHNLVTKFKWDKVNPNEKISYIPSPIPEEGLPIKIHPISIN >OMO60061 pep supercontig:CCACVL1_1.0:contig13796:30884:30973:1 gene:CCACVL1_24430 transcript:OMO60061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKPFKFQIPTANRICTPIRLSFGLTN >OMO60060 pep supercontig:CCACVL1_1.0:contig13796:23398:26470:-1 gene:CCACVL1_24429 transcript:OMO60060 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MDVKFKSKDTLNARKDIAVICDHNDIAIDVDNVGSKPKTVYTLTKEPKKKKRYKQHASTGGSSTGQSNLTSAAGRKRKSPTLPFMPPDDVEERPPPPPPAPDAADFLNEEEGEDENLEDVNPEFGIGGPLRYDLPPLPLNENPVEHITLDEAKYEFVVQKVMIEQVQAMYLCAWPHWKSVPKDVYYTYPLEQHKKMLRVPKPTWEILKAYWRSPAFKKLSDQNKKNQLANGRNLISGYRGGCVKTTVHVNRLLNRPPYPNELFEVTHGSKKLKGTYPEGRAQDTIKQYEDALKAAEDAIGGDEEALAAIDKYQIWIKLADRDAQIAKRDAEQVEANRMNDLFRETLIATLTQAGINLDLSALSSMRQTSGPSTQDQVQDPVNSSDQHV >OMO60062 pep supercontig:CCACVL1_1.0:contig13796:58623:60894:-1 gene:CCACVL1_24431 transcript:OMO60062 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MIGWEDVYKVTVAMVPLYVALLLGYGSVKWWGIFTPEQSDAINRLVCYFTLPLFAVEFTAHIDPFEMNYRFIGADAISKLVILAVLAFWAKCSSKGSYCWSITSFSLSTLTNALVVGVPLMKAMYGQVGVDLVVQSSVIQAIIWLTLLLFVLEFRRSGVTITKEQEKDVEGNTENGGEGSNRPSFWYLMKVVGMKMAMNPNSYGCMVGLAWAFVANRWHFEMPSMMEGSILIMSRAGTGTAMFSMGIFMANQEKLVACGTSLTIFGMVLRFIAGPAAMAIGAIAMGLHGDVLRVAIIQAALPQSITSFIFAKEYGMHAEVLSTAVIFGTIVSLPLLVAYYAILEFVH >OMO82586 pep supercontig:CCACVL1_1.0:contig10013:776:1226:1 gene:CCACVL1_11876 transcript:OMO82586 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chorismate synthase KKQNTVTREKEEIELIARGRHDPCVVPRAVVMVEAMVALVLMDQLMAQHAQCNLFPINPELQEPLSINFPNLEPANF >OMO84779 pep supercontig:CCACVL1_1.0:contig09700:7851:10162:-1 gene:CCACVL1_10664 transcript:OMO84779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase/squalene oxidase MGELAVDKHVKYIISVEKKKDSFESVVMDHLRMNGAYWGLTTLDILGKLHDVDADEVISWIMKCQHESGGFAGNIGHDPHMLYTLSAVQVLALFNKLDVLDIDKVAYYISGLQNEDGSFSGDIWGEVDTRFSYIAICCLSILRCLDKINVEKAVNYIVSCKNLDGGFGCTPGGESHAGQIFCCVGALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKDKLVKFILDCQDVENGGISDRPDDAVDVFHTYFGVAGLSLLEYPGLKAIDPAYALPVDVINRIFFSK >OMO55449 pep supercontig:CCACVL1_1.0:contig14690:13107:14136:1 gene:CCACVL1_27243 transcript:OMO55449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVRTEVRSGGGMSKVGPGPNPTQPGP >OMO55450 pep supercontig:CCACVL1_1.0:contig14690:16825:28787:1 gene:CCACVL1_27244 transcript:OMO55450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGRKRMKVGRRRRREELSFQRRFETVEKDGDSPKSYSNVLKEFKMASGNCYKGLSRVLELSRNLQFSAHKE >OMO55448 pep supercontig:CCACVL1_1.0:contig14690:3519:6333:-1 gene:CCACVL1_27242 transcript:OMO55448 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tir-nbs-lrr resistance protein MIHRVKDYKESSFSFLFCFLDEEISVKECGIHVFSVDAESFTVTPVCCTVSFRSDEDNRSCGPNIIQPSSVIQEDSTIEFDEPSSSIGRKPVRRASAEDKFPPFSNKELAKLVHKGLKRKGDLEFEMQLAMAICATSFGTHEIGAGSLDASIPKRWKRIQKDGESGRGAKDVTRRFGSFLIIPVFFRCPLLKCLPTLFLDIACFGYDAQQGSYYGDMADCALSNLVDKCLLTPSVGIPTYKKFEMHDLLREMGRTIVRQESDNPGERSRLWKADDVCPALENNLVEGVDTSLCIERCDNPPC >OMO51990 pep supercontig:CCACVL1_1.0:contig15647:3269:3928:-1 gene:CCACVL1_29454 transcript:OMO51990 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MGENGAAKNQIFDLSIDVLPQGGSKCFDDDGRLKRTGTVWTASAHIITAVIGSGVLSLAWATAQLGWIAGPTVMFLFSFVTYYTSTLLAACYRSGDPVNGKRNYTYMDAVRSNLGGFKVKICGWVQYLNLMKNLDSLLHAIRINLDNLGFWS >OMO77048 pep supercontig:CCACVL1_1.0:contig10837:328:390:-1 gene:CCACVL1_15222 transcript:OMO77048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILDNFFNFAARILGKLPDKN >OMO98724 pep supercontig:CCACVL1_1.0:contig07044:2529:8962:1 gene:CCACVL1_04086 transcript:OMO98724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWLYTVRSNRFGLQFSRKRYFILDHNVLKSYKAIPISEKEEPVRSAIIDSSIRVTDNGRESIQKKVFFVFTVYSSLDNTDQLKLGALSSEEAARWIQSLKDAALKVSADQDKDFVAFPKKRWPSIRLGSRKATHHRKNSVDWPSIHTEAMTSDVVAPSPWKIFGCQNGLRLFKEARDGDSRGEQWDDNPAIMAVGVVPGTSEAIFRALMSLGPVRTEWDFCLYRGSVVEHIDGHTDIIHKKLYSDWLPWGMQRRDLLVQRYWRREDDGTYVILYHSVFHKKCLPQSGYVRARLKSGGYVITPVDHGKQSLVKHMLAIDWRFWNLYFRPSASRSLTMRMLERVAALRELFKANQGNYSSELLPRELTVEIDLPQAENVDVKIETKSPKSMIQTEGDGSIDNEMEKPRASHVSLVGMNDSPDEFFDVPEFVDYEHLESEWSTEFSELQPPNMQQSKLATAAGFVRKLQDLAIQKKGYTELNETTKEDGILYTYGNSLQKDPTCSLPGTCSTSDPSLYLLRGKNYLNDHEKIKAKGTMMQMVGADFLISDKREDDLGGRLGGIVQKYAERGGPEFFFIVNFQVPGTPMYTIAMYYMIKTPLEDYPSLYNFVNGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLLGQALEAHYFRGKNYLEVEIDVGSSTVARGVANLVLGYLNNLVVEMAFIIQGNTQEELPESLLGTCRLNHLDVSKAPPAMP >OMP11729 pep supercontig:CCACVL1_1.0:contig00949:9234:20382:-1 gene:CCACVL1_00309 transcript:OMP11729 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MLFSTVVNCSSHQSVSIAGRGIEEKLSGKRDKNHMLFGGTKILQHTADKSFPLKTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFAIIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFCGVVGLSDSSDLESDMSKVSPRTVEILASCHALVFVDNKLVGDPLEKAALKGIDWNYKSDEKAIPKKGSGNAVQIVQRHHFASHLKRMAVVVRVQEEFFAFVKGAPETIQDRLIDLPPAYVETYKKYTRQGARVLALAYKSLPDMTVSEARNLEREDVESGLTFAGFAVFNCPIRVDSSTILSELKNSSHDLVMITGDQALTACHVAGQVHIVSKPVLILSPVKDEEGYDWVSPDETERISYREKEVEALSETHDLCIGGDCIEMLQQTSAVLRVIPFVKVFARVAPEQKELIMTTFKTVGRMTLMCGDGTNDVGALKQAHVGIALLNAIPPTKSESSSPETSKDENAKSSKSKKSKSGVEATGKAVSVNAEASSKGKVATRSESSSHATNNRHLNAADMQRQKLKKLMDELNEEGDGRSAPIVKLGDASMASPFTAKHASVSPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLPTLSAARPHPNIFCSYVFLSLMGQFAIHLFFLISCVKEAEKHMPEECIEPDSEFHPNLVNTVSYMVSMMLQVATFAVNYMGHPFNQSIPENKPFLYALAAAVGFFVVITSDVFRELNDWLKLVPLPMGMRDKLLLWALLMFLSCYTWERLLRWAFPGKIPAWRKRQRAAAATSDKKEV >OMO95787 pep supercontig:CCACVL1_1.0:contig07611:125442:126743:-1 gene:CCACVL1_05261 transcript:OMO95787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPTSSPPQPSPVTTTRRVPPPCWNKEESLALIEAYKERWFALRRGNLKASDWDAVSAAVSSVADPGTTKSSLQCRHKIEKLRKRYRAEKQRSLKNPGKFSSSWDLFPLLDSMNFASTSVAGSDDQDHSFEQKVSVVDGYSSKLKSRGKIDGNSGSNLGFNHEFQGGYGKFGGGYGLKSPSHRDFVAKGMNFKNNSRIGDVYGSMDDFDPGFSEGVDSIGEYPVRTLGNRSFMNPEFKPKSYYSPNLGYEYYNDMEEYDIDEAMGYGGVSGAWESAPPGFHPKKRSRVYSSFEPGVDYRGSNGFASSSRPGLGGKNAGVEVKSSVDPVQEVVTSIKLLGERFLRMEKMKMETVKEIEKMRMEMELKHNEMILESQQKIVDAFAKALLDSKKRKKKPTTLPANVNGNGVEEWQGDDTIDAKKVDMVSPNVRIV >OMO95785 pep supercontig:CCACVL1_1.0:contig07611:122334:122396:-1 gene:CCACVL1_05259 transcript:OMO95785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTRRADRHKGPCAGTKL >OMO95780 pep supercontig:CCACVL1_1.0:contig07611:67944:69924:1 gene:CCACVL1_05254 transcript:OMO95780 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monooxygenase, FAD-binding protein MATTRSSLLRLKTPLSRLSSLYNISLGRSFLQNNSWFQAQPGSTKPISTSSSKTEAGVQKEDIVIVGGGVAGLATAVSLRRLGIKSLVLEQAESLRIGGSSLGLSKNAWQVLDAIGVADSLRSQFLEIQGLVIKSEDGRVLRSFNLRDEDPTQEIRSVERRILVETLANELPSEAVQFSSKLAKIESRENGETLLELTNGTRLHAKIVIGCDGIGSPIAKWMGFSVPKYAGYRAFRGLGYYPDGQPFAQRVNYIYGRGLRAGYVPASPTKVYWFIIYNSPSAGPKVTDPVVLKKQAKELINDWPEDQLPKLIDTSPDETISETPIVDRWLWPHISPPASRGNVVLIGDAWHPMTPNLGQGACIALEDAVTLARKLAGAIKSGHPSIEGAFRAFGEERLPRIFPLTIRANLMGSFLHWDNSFVCSFRNNVLLPKAKLGPMFAHTNFECEPLRE >OMO95779 pep supercontig:CCACVL1_1.0:contig07611:65320:67246:1 gene:CCACVL1_05253 transcript:OMO95779 gene_biotype:protein_coding transcript_biotype:protein_coding description:Monooxygenase, FAD-binding protein MATTTSSLLRLNSPMPRPSLYNSQGRVFLQNRSKFRAQPRTKPICASSLKTESGVQKEDIVIVGAGIAGLATAVSLKRLGIESLVLEQAESLRTTGTSLTLFKNGWRVLDAIGVAESLRTQFLEIQGMVVKSEDGRELHSFTFKDEDQTQEVRAVERKILLDTLANQLPSEAVQFSSKLANIESRDNGENLLELTNGTRLLAKIVIGCDGIGSPIAKWMGFSEPNYSGHCAYRGLGFYPNGQPFAQRVNYIYGRGLRAGYVPVSHTKVYWFICYNSPSAGPKITDPSLLKKQAKELVSNWPAELLELIDISPDETISRTTLVDRWLWPGVTPQASRGKVVLVGDAWHPMTPNLGQGACCALEDAITLTRKLADSLKSGTPSIEDALTAYGEERWPRVFPLTIRANLVGSLLQWDNPLVCSARNNVVIPKLVRLGPMLEHTNFECEPLKA >OMO95786 pep supercontig:CCACVL1_1.0:contig07611:123089:124529:1 gene:CCACVL1_05260 transcript:OMO95786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMADYVELKTYNFSVSISAIH >OMO95777 pep supercontig:CCACVL1_1.0:contig07611:54652:57378:-1 gene:CCACVL1_05251 transcript:OMO95777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWGGLFSPKNDDICSKLLPEKTRAIPMLGQVMSATRGATDAFSGVSRHVNGALRKLGAKNIEAGIGCGVGLGHGFGVGLAVKPGVVQQVQSCAIQAMTTLMMKFGMAPKLPFSEGAFPTPFQSDLTTTNEPSIQTPLGNMKQVVSKIQDPTSQGLLGPGRISRGSGYEKVPSKSPTETSFGSRTEKVLNDFLKSPVLRGDETSLNEMAGHLRSENNMLQLVLKHQQMIEELMEENQKLREVLMEDLKIPPSKFQASYSSKIKSPCSECFHCRRKLRKSR >OMO95784 pep supercontig:CCACVL1_1.0:contig07611:119540:121348:1 gene:CCACVL1_05258 transcript:OMO95784 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid/polyamine transporter I MLATWTPDQELNQVRLRSGADMKRKLRWYDLVALGIGGMLGVGVFVTTGPVARNNSGPSVFISYIIAGISALLSSLCYTEFSVQIPVAGGAFSYLRVTFGEFVGYFAGANILMEYVLSNAAVARSFTEYLCSAFGVNDPNSWRIEVHGLLEGYNNLDFTAVALVLLLTLCLCHSTKESSILNLIMTVFHVIFFGFIIIVGFSNGSVENLVKPGGLAPNGIRGVLDGAAIVYFSYIGYDSVSTLAEEIQNPPVSLPIGIVGEGQASAILVI >OMO95794 pep supercontig:CCACVL1_1.0:contig07611:148459:148548:-1 gene:CCACVL1_05269 transcript:OMO95794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSQLHEIGPVLLGNPDPFYCYAFASRSRL >OMO95773 pep supercontig:CCACVL1_1.0:contig07611:26024:27579:1 gene:CCACVL1_05247 transcript:OMO95773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSPETKASSQPNQGPSLSAFFDAIALQEQCFEQRLLSQRLWSQQFADAQEARIKAVARQTTAYAAEVNHILRGGKSVAPEVGTEIFSSEGGNKEEPRLQLPQPSSISIPRSTSLFQNPEDHVEHRMYSPGGDVSKRGLPNFNCHTNDDNYNDRHRLNNRERRRDGRLRRSPSVERSWNRRRRSPSKSEHRYREDYHSSRVGSFRGHSGDDKGRNHYRRRRSPVDDHIPHHGRSSDSRKHREHRGMKGASSLVTGRNNESRDHLSEDRYNHHGDYGNDKINEHGLPKGTNSCTKYMKTREPLSKDLIVKNEQLDKTSLINKEFDHRDAKELPKLRCKDESEPLGRKDMGRKHQRYEKAETGIDSDRVDKKLGAKKKTRTE >OMO95775 pep supercontig:CCACVL1_1.0:contig07611:45753:47614:1 gene:CCACVL1_05249 transcript:OMO95775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major facilitator superfamily MGIWNAHTSVGNISGSLLAAAVLDYGWGWSFIVPGALIASSGVLVYLFLPAYPEDIGYAGANASPKLDSAESQNQKFNAVVVETNSGFKEGSSSRKGVGLFEACSIPGVIPFALCLFFSKLVAYTFLYWLPFYLSQTEIGGEYVSVKSAGNLSTLFDVGGIFGGILAGYISDKLNARATTAASFMSAAIPSMLLYRAYGHISSNMNIVLMMIAGLFVNGPYALITTAVSADLGTHSSLRGDSRALATVTAIIDGTGSVGAALGPLLTGFLSTKGWDAVFIMLTVGALIAALLLLRLVIAEITEKTQKPIPVSHGQQSLEGNGVENYSTDAYRVLSFLNAYEMKHSYLLLSHI >OMO95792 pep supercontig:CCACVL1_1.0:contig07611:137048:140983:-1 gene:CCACVL1_05267 transcript:OMO95792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKFHEQIVSDLLEDPNGGLVILSSGLSLTKLLSCFLSFHSQSNGSLLLLHSPQLSASLKSLLLSLSPDLPLSEITAELPASNRLTLYSSNRILILSPRILIVDLLTQKAQTSLISGVIFLNTHSLSESSTESFIVRIIKTFNKNASVYAFSDKPQAMVSGFAKTERIMKSLFIKKLHLWPRFQVNVSDELERDPPEVVDIRVPMSKYMEGIQKAIVEVMDACLKEMRKTNKVDVEDLTVENGLFKSFDEIVRRQLDPIWHTLGKKTKQLVSDLKTLRKLLDYLVRYDAVSYLKYLDTLRVSESFRSVWIFAESSYKIFDYAKKRVYRFSRSDDIKINKPNKNMTGKKRKLKEDESNKEGASAGTSSTSTGNGVVLEEVLEESPKWKVLREILEEIEAERQKRASNGEVLLDVEENNNGIVLVACKDERSCMQLEDCITNSPQKVMRDEWQKYLLSKVELRGVQTPQKKKKPKTPKGYGILDGIVPVTPAQNVEASSACKLENEALLAAASEIRRNQVKKENDAGGDPEPQVESSGHGKGRGRARGRSKKGAANTRRTRNKDASNDIEAPPTDDRPEISNSDHEGQRNEVYTTIENVISRKQNDRIDDTKTDDSKQLPPVYFHALERDQPILDVLQPSVVIVYHPDVTFVRELEVYKAENPGKKLKVYFLFYEASTEVQKFEASIRRENGAFESLIRQKSLMMIPVDQDGFCLGSNSSYELQGSSSQNSITRKAGGRKEAEKVMQVIVDMREFMSTLPNVLHQKGMRIIPVTLEVGDYVLSPLICVERKSIQDLFMSFTSGRLYHQVETMVRYYRIPVLLIEFSQDKSFSFQSASDIGDDVTPNNIISKLSLLVLHFPRLRIIWSRSLHATAEIFASLKANQDEPDESKAMRVGVPSEEGIIENDVRAENYNTSAVEFLRRLPGVTDSNYRAIMDGCKSLAELTLLPVEKLAELMGGRKAAQTLKDFLDAKYPTLL >OMO95776 pep supercontig:CCACVL1_1.0:contig07611:50887:51375:1 gene:CCACVL1_05250 transcript:OMO95776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRAMLVLTLVLALVSTSVGQGPSAAPAMSPTSGSPPSTGGSPPPATSSPPPTTTMAPPPSTMSSPPPSMAPTTPMTPTPMSPPMEAPSPMSMAPGPSMSSPPMPSTATPPGPPEAGAPGPAMDTAPATPPVMASPSFAASSREYSMGLLALFGGIALFV >OMO95770 pep supercontig:CCACVL1_1.0:contig07611:20731:21658:-1 gene:CCACVL1_05244 transcript:OMO95770 gene_biotype:protein_coding transcript_biotype:protein_coding description:G10 protein MPKVKTNRVKYPEGWELIEPTLRELQAKMREAENDPHDGKRKCETLWPIFKIAHQKSRYIFDLYHRRKEITKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNFATTCVCRVPKHLREEKVIECVHCGCRGCASGD >OMO95768 pep supercontig:CCACVL1_1.0:contig07611:2912:2995:1 gene:CCACVL1_05242 transcript:OMO95768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQMGAFPAFPPSTKNGTVICSTGLV >OMO95790 pep supercontig:CCACVL1_1.0:contig07611:131470:132021:-1 gene:CCACVL1_05264 transcript:OMO95790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARVVSLVARWYFIRMQSRKRKITYSMSPERERIRDEITKPTTSRCSVQNATSFEDDDLDMMSPSDSNHVSPSDGAARGNKKAKISVDKEKDAAEGIKEAIEKMADAIRTSGELLGKKAPIDATQTFQLLVDLGFEPPLIHVIYSKLTMNVNLLNLVLGCPMERRKEFLLSGVLGDLNSFGM >OMO95791 pep supercontig:CCACVL1_1.0:contig07611:134083:136478:-1 gene:CCACVL1_05266 transcript:OMO95791 gene_biotype:protein_coding transcript_biotype:protein_coding description:DAHP synthetase, class II MAAALSGSATLAAPKPSLTGTASRFPLSSPIPTLNVTRKPNFTFVCSVSASSSSPPTDQTQWSLDSWKSKRAHQLPEYPDADDLESVLQTIGSFPPIVFAGEARKLEEKLANAAVGKAFLLQGGDCAESFKEFNGTNIRDTFRVLLQMGVVLTFGAQMPVITVGRMAGQFAKPRSDPFEEKDGVKLPSYRGDNINGDAFDEKSRIPDPQRLIRAYLQSVGTLNLLRAFATGGYAAMQRVSQWNLDFVQHSEQGDRYMELAQRVDEALGFMAAAGLTIDDPLMNTVDFWTSHECLHLPYEQALTREDSTTGLYYDCSAHLLWVGERTRQLDGAHVEFLRGISNPLGIKVSDKMDPKELVKLCEILNPHNKPGRLTIITRMGADNMRIKLPHLIRAVRQAGLIVTWVSDPMHGNTIKAPCGLKTRPFDAIRAELRAFFDVHEQEGSYPGGVHLEMTGQNVTECVGGSNTVTFDDLNSRYHTHCDPRLNASQSLELAFAISDRLRKRRLKSANELLLGRRVN >OMO95774 pep supercontig:CCACVL1_1.0:contig07611:31157:43529:-1 gene:CCACVL1_05248 transcript:OMO95774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MASQVLPQALHMIPRNQVQSFNPVKNVGFSAFLSRGPSPLTTSKVSVSGFHLKIPVGSSSRLSARRDFVVRAESTPEGEAEVEATENVAEEGETKAEAEEEAGAVEEGEDEVEEKPKEPRKPRVKLGDIMGILNKRAIEASDKERPTPDLRTGDIVEIKLEVPENRRRLSVYKGIVISKQNAGIHTTIRIRRIIAGIGVEIVFPVSGCGGGSGGPVMTSGDGNQLISVHPNDLKFNFELEKQSCCDLKVVNKTEHHVAFKVKTTSPKKYFVRPNTGVVQAWDSCVIRVTLQAQKEYPPDMQCRDKFLLQSTIVPTHTDVDDLPADTFNKDSGREIEELKLKVYYVTPSSTQASSEDEGLKSSTQSPDSNSTLWHLKEERDAAVRQTQQLQQELEFMKRRRQRRNDSGFSFTFASLVGLIGLMPWSVEERVRVEGKRVNTISTRSSYQMKTLAGKLARAKSRNRITCPIPAYLSTVPKISVKNSSISKPYHFHFHSPSIIIMKSDSERLTDSLILSATESDKAWHIFSLLVLIGKPTSLPDLGFRCTLFPATAALISSLCSIPNSPITLLNNNQFVAISSIGLSFFAAYLARNFTVVDAFKSSPIRSGGFPEIRLGKRKRDASDFAEDEEGRELVLSSKRIRNYRTKVHYHLSDDIIRIVELSNPSFLVNNIVLKPYLLAETSARQLSCNVKGIENVESEMNVEEYMEGSVSKELVASEPEPESAIPTGKPDHELESKVVKTSPLDSLESDYQSKLSVVAREIFRVDHITKNFRVAENEVIAEGRRRLEKHDLASQSQRSLEFSPSINIRPPSNIPPERDLKGLDEDNCNHKMPTLGEEYPTLPEGASVMQSVTELSDPKEQLLQTSLKMKTSPRCMVAPELETPSKSLGHTKIVNTYKRKQHFERDHPSVHTVQKSNQKPKDTSVKERRGNSTSILSQDQPKPKVLPDFESYIVEEEEGSGGYGTVYRARRKNDGNLVAIKCPHPNAHKYYVNNELKMLERFGGRNFVIRYEGCFKSANSDCFVLEYVEHDRPEVLKKEINVSQLQWYAYCMFKALANLHKEGVVHRDVKPGNFLFSRKTNKGYLIDFNLAMVLHPKYKSMDKSKLGYDASFHHNTVPAKAIHPANSSKLNTKALEGTNKEATKGSKLSIEPKNMKKTAGQRKPRNDLSSWNKINSQGADGSGITSAKDVLFRSQHQGPKLDVWSAGVTLLYLMIGKSPFVGDPEQNLKDIAKLRGSEDLWEVAKLHNRESSFPEELYRPQSLTSFNLREWCQMNTKRREFLKEIPNSLYDLVDKCLTVNPRLRITSEDALKHEFFASIHETFRKYRALKQGQKLAS >OMO95769 pep supercontig:CCACVL1_1.0:contig07611:4388:8869:-1 gene:CCACVL1_05243 transcript:OMO95769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MGKQGPCYHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLANYTPLHARAEPDEYEDHRASKVRSISINKNKEVKLLKRKPNHDIAVVTPDYYQGFRKFVDEDTSNRSSSGSAISNSESCAQFGSADASDLTGPAQSNVWDSMVPSKKRTCVNRPKPSPVEKLTKDLYTILHEQQSSYFSGSSEEDLLLESETPMVSVEIGHGSVLIRHPSSIAREEESEASSLSVENKQYSMNEAYSHSSSFPAYNDSNGIKFSGHEIEKAKNMQHDQMKRDKVQHEKSLLLESRSSLLCNIDLNDILNFEEFVKHFTHEEQQHLMQYLPPLDIAKLPDSLNSMFDSPQFKENLCYFQQLLEEGVFNISAPGVKAEDCKTLKRLTLFNLTKSHWVERRHLLKKCKSGNGGSVTARGPNAITSNNLVTMKRPRDSQSQNFSEARTLKSPKRVIMKATYENKEHLDNEASCFSPRSLFALPPDGSSLVLDSLHFVDESSDQDLLLDVPSNGSFAQAELLHPTISFGKQASTSSSSAHPHLVHP >OMO95781 pep supercontig:CCACVL1_1.0:contig07611:71436:76293:1 gene:CCACVL1_05255 transcript:OMO95781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MMWMAFSADLRRDLSFLAACERGFDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLTANKVVSYETAKAFADEIGIPFMETSAKNSTNVEEAFMAMAASIKNRMASQPAMNNARPPTVQIRGQPVNQKSGCCSS >OMO95782 pep supercontig:CCACVL1_1.0:contig07611:76784:78908:-1 gene:CCACVL1_05256 transcript:OMO95782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHCLKNTRHHPFLPFLKTFPPTKHRNAHFSSFAIPNPNDNPNHEPIPANFPCRSPPESPLLVPTSNITSKPPTALSCNRSPPFDSHNHNDIVSSNKIITSCIRSGDIDSALRVFNNMTVRTTVTWNSILAGYSKAPGKIKEAQQLFDRIPEKDAVSYNIMLGCYC >OMO95778 pep supercontig:CCACVL1_1.0:contig07611:60930:62317:-1 gene:CCACVL1_05252 transcript:OMO95778 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MSKQTDSIFVPKVAIEGIQTVTPMTITDPRQTRQVVSAGEVVGPGIFQRCLNMVQYYKKEKEEDSGWLVAGWIKETLGRALLEQPMICGRLRKEESDGGELEIVSNDSGIRMIEARIQMNLSEFLELKQREEAEAQLVFWKDIDEQNPQFSPLFYVQVTNFQCGGYSIGISCSILLADLLLRTEFLKTWANIHNNIINNNNGRKLPLFYLPGLKNITASSPNIIGSNSSKNSGKTLIFKINAETDQSLGGEKWYREVALTCLDEAEKNLGSEMGADFSLFVNESLEAIKVESCSNHGVLKPQLKLNKESLITAKWDDLVGANEVTFRQGNKPAYVSYWFRSILGGIVFVIPSTEEKTCKVMNIIVTIPNVKA >OMO95788 pep supercontig:CCACVL1_1.0:contig07611:127150:127224:-1 gene:CCACVL1_05262 transcript:OMO95788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPPPLTTTRKKRKREWAQPQP >OMO95767 pep supercontig:CCACVL1_1.0:contig07611:117:2051:1 gene:CCACVL1_05241 transcript:OMO95767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCRRPASEEYRLRSYSYFGQPDSDSDSDSESDSEKTRDVPVASTGITMVSLPESTEDETETLSDEEIFHTPPESRSPSTSFNNGNDDQVIGADADNDGETVSVTADTGESRSAVDLGRGTDLGFSALEVAVDSTQRIEVSSSPDHAFGREFEEIRASKRGFSPEGQSSIEPPSKMLKILGSESPTVVKLGSPEDRSTEEILKSLEKWKLEMDSLYPVASSELELKNQQNPEFRFEIGEGSVRKKLHFSTEVIDLENVEGNTESEDNNKGEGSGGEKGLDLWNKVIERDIEERNTVRENENNNSEGNSERNKNIMFEDLDRFMYIGKNVSNSREIEEKTETKRALPSWATWTVGADERAEVSEGESVQTDKDLDDLSEGGSNLPEIEEENEKKRALPLWACRSVAADKGVEVDESVSAEVEVKVNEEESERVEVNEIESERLEVNEMESERVEINEMESERVEVNVMESDRVEINEMESLTVKLNREADLDSDVGFNEIESERVAVNVIESPRFELNREADMDSDVEVNEIESERVEVNEKQSERVEVDEIESERGEVNEIESGRVEVNVMESLKVELNREADMDSEFWSEDPEDTPLLDVLMGLNEEHDSEEERNLECISLWELAKEKGVADTDFPSDGLQE >OMO95793 pep supercontig:CCACVL1_1.0:contig07611:141378:143915:-1 gene:CCACVL1_05268 transcript:OMO95793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKPNDQEPSRFASLVLILVTLFSCVFVYAVVSTLLNPGVNSQSSSFESLEVAGSGDLGEKKGECCRGIDNLELWGPAVKWGSEFKFNSSVECCQACKTMCNGNDGPCLCDTWVFCGNREACGSRFGECWLKKQKDPLSPDRQEAGEAVSWTSGLTFGKGEGIVGMETEYGTIHIKLLPDCAPHSVTYILELLTLRHCAGCQIYRAESRGESWDSEGNHIKDAPFGPPFALIQGVLEAQGTPFKKMPTEACPTIRRGSVAWIGSGPEFFISLANHEEWRTSYTVFGSVLPEDMEIAEKIAQLPTRSDVWNNINVSVLQKPVPLVMRRMKKSLGDLNAKAKSE >OMO95771 pep supercontig:CCACVL1_1.0:contig07611:22981:23550:-1 gene:CCACVL1_05245 transcript:OMO95771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFRKEAAFNEYFKPIDKEVETIMKAQLDGEEKTMKEMLATMQQRAFIEEIKAERAAKMKRQDTKQNNQDATTSSSNQSDQMR >OMO95772 pep supercontig:CCACVL1_1.0:contig07611:24658:25156:-1 gene:CCACVL1_05246 transcript:OMO95772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQRLFFLIFRSDHRNLHRDYHSPPSDSIQGHRRSSTELF >OMO95783 pep supercontig:CCACVL1_1.0:contig07611:106468:109274:1 gene:CCACVL1_05257 transcript:OMO95783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPSLPQLHSFLLLYCFTLISLFIQVLGDGGFCSAPSILEADVSSKPLYWKVTNPTLSPSHLQDLPGFTRSVYKRDHALITPESHVFSPLPDWTNTLGAYLITPAMGSHFVMYLAKMQENSRSALPPHDVERFVFVIQGTVTLTKTSGISNKLMVDSYAYLPPNFEHSLKSDEPATLVVFERRYAFPDDHSTEQIVGSTDKQPLLETPGEVFQLRKLLPASVPYDFNIHVMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDVIWMAPFVPQWYAALGKTPSRYLLYKDVNRNPL >OMO95789 pep supercontig:CCACVL1_1.0:contig07611:128664:131120:1 gene:CCACVL1_05263 transcript:OMO95789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRLLKAARSGEINVIKQLADAEGNILGGTTPQGNTALHMAARFGHENLVQEIIKRQPNLVLKSNLKGETPVHVAARAGHWKIALLFRDAVRGSTDVQVARIRDNYGNTPLHCAVRNDHYLVVWRLADKDREALEVVNNAGESPLSIAIDLKLAVTAEAIIGLNRSTLDYRGPNGQTPLHCAVIRRDLHIMRKMQIRKPKLVQMKDEKQRTPLHYAAALGESEIVKLLLEWDTSAAYHGDDNQQIPLHLAAENGQHLALKALKSAFAKRAINPKDVVDNAQFSYVELEKVVERGSKKSQEMARTISVMATLIATFTFTAAFTIPGGFKNEDPDEGMATLINKPAFQAFVITDTIAMTSSMTAAVIVFWSSSRRNSESFMDTLPFAIGLTWIALVAMALAFVTGLFVVLSNTLWLGIVVIGDSSSPA >OMO62196 pep supercontig:CCACVL1_1.0:contig13322:20221:20427:1 gene:CCACVL1_22966 transcript:OMO62196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYTFRLRGRGRRFEAGLESRGNVLRFRTDWDFFARVSRLKKGEKYSFGFLERDNEGEMTILVKRTPKH >OMO62195 pep supercontig:CCACVL1_1.0:contig13322:18613:18696:-1 gene:CCACVL1_22965 transcript:OMO62195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKSRTRSKIASPVILGAGRSHYLE >OMO62194 pep supercontig:CCACVL1_1.0:contig13322:14672:17326:1 gene:CCACVL1_22964 transcript:OMO62194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSFEEKLKDFIEAGPGDLKFVDSRKQGKFLRYISSRTKAVGVSSFSGTTAAASAAAIRWVSKDGIGALGRLFIGGRFGNLFDDDPKQWHMYADFIGSAGRGGTRNFFFGRPTRAVARGLKDPSFRVIQNHFAISGNLGEVAAKEEVWEVTA >OMO94280 pep supercontig:CCACVL1_1.0:contig07927:6401:19471:-1 gene:CCACVL1_06070 transcript:OMO94280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPLDMSKPKYSACPFGPADFLNPKSPSKFYRQGNTFCLPISQGFCAKDSMADSMASNPPLQVIGEDDEDDEDEFDWEAAVREIDKACQTSKPSSNNSSSQFPPPPSAQIPKRNAAMATAAGTSKQSTLDKFIARVGPRPASDKHQTVEPEVDIEGDYRVASVDIDMEAAKTWIYPVNVPLRDYQLAITRTALFSNTLVALPTGLGKTLIAAVVIYNYFRWFPEGKIVFAAPSRPLVMQQIEACHNVVGIPQEWTIDMTGQISPTRRASFWKTKRVFFVTPQVLEKDIQSGTCLAKYLVCLVIDEAHRALGNYSYCVAVRELMAMPVQLRILALTATPGSKQLAIQQIIDNLYISKLEYRNESDHDVSPYVHNRKIEVIEVPLGQDAAEVNNRLLEVIRPYVARLHAIGLIQNRDYQTLSPVDLLNSRDKFRQAPPPDLPHVKHGEVEACFAVLITLYHIRKLLSSHGIRPAYEMLEEKLRQGHFSRLMSKNEDIMKAKLLMQRSLSHGAPSPKLSKMLQVLVKHFETKDPKNSRVIIFSNFRGSVRDIMNALASVGDLVKATEFIGQSSGKALKGQSQKVQQAVLEKFRAGGYNVIVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKHDGRIPHICKPEVHYVELSIERFVPRGKKLKVDQAIETPPFREKLTVAETELIAKYFHPTSESTWRPSLIAFPDFQASPSKVCKVKHSCRTEMLIESMQYLQGLTFPGGNGDYFVEDEVSSGDCFGVGIVDQHDSDSLALDNSPAANTQLGGLYPAESSMRISRTKEKLNGPDCGQKCPQSHSYLFGSDFVSVDALGNILIISVPSLHFEDLMHSKHESPHTKEMKNSLKEDANHVKISAGTMLTEAVPNVTASQTQSIKDDTLSIPGFCEINFKNEKMLDGAEKIPEIPGGTCETPDIEEIKAPPPLADERYTDLQDTELSPRLTNLIKIGVVPESPITEIRILKDKIRNEPLIPDLASPAKLCTGLLLRSSSPVENEGAVMDNSPCGRKVLVVKDKMTPLVKMNPVFRCSPASPLETKTPLAHLTNSIGSKSWHLSSGEKVEPVNHARKFKRLRKVGDCGKVRSSKGMKENSIISIEDVAKSYSGTSPIRTKHGRGKRKQENDARTFIDEEAEVSTDAEISADEDDDNESYDDSFIDDRINPTADSSQTESGRVDMMAIYRRSLLSQSPMVGRPTSSEFSPNCVASTSKENGSGCAFGKTLYSPQVLESIYQPTKKNTESFQMEKRVSSESIPCRTNDFPKENGCMHSRKRKLSFLQLESIPAINLDREFLFQSEVQGKESSKGSQQPQVGKITVNKNEFDDDDDDDDQFYASLDLDAVEAQATLLLRHRSESSIEKQENIAPSNLQHGGLQGSPSFDLGIW >OMO94282 pep supercontig:CCACVL1_1.0:contig07927:33166:36422:-1 gene:CCACVL1_06072 transcript:OMO94282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERANSTLDDFIVSLLFYFIFTDINPAKFYFAVRPPGRIFFEPLGLKVAIC >OMO94283 pep supercontig:CCACVL1_1.0:contig07927:42694:43461:-1 gene:CCACVL1_06073 transcript:OMO94283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MADQQRIHPVGDVEAPAPAPPQTVSQTAPLVPHGTSKSDQKYPAFHRTYPAMDSKPPKKKRSCLCKCLCWTISLLLLLILVLGILVGLFFLVFQPKLPKYSIDNLQITQFSPSSVDSSVSAAFDVTITARNPNEKIGIYYEGGSHISAYYTETELCQGSMPKFYQGHENTTVLLLPLTGRIENGTAVLTAVQEQQQQTGNIPLRVRVKQPVRIKVGKLKLFKVKFLVKCGLVVDALSANNAISIQSSSCSFTLKL >OMO94279 pep supercontig:CCACVL1_1.0:contig07927:1825:4881:1 gene:CCACVL1_06069 transcript:OMO94279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxynucleoside kinase MPAAALPGTGSALAAALALTNKNLCASRRFYTAATCTVYPPSLRLSTKTHLRAWPGRVGIRPAWCHSAGVEEAFSPVQGSKSLGDKAEEEKSIRLNRRQKGSGVLVESPDLLTIPGVGPRNLRKLVDNGIQGVAELKKLYKDKFYGKGSQKMVEYLQSSVGIIHKNHAESITTFIKESLDEELRDSDSDVKPAAKKRLTFCVEGNISVGKTTFLQRIANETLELRDLVEIVPEPIDKWQNVGPDHFNILNAFYDEPQRYAYTFQNYVFVTRVMQERESSGGLKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSCLPGLIPDGFIYLRASPDTCHKRMMLRKRAEEGGVSLEYLRGLHEKHESWLFPFESGNHGMLSVSKLPVHMDSSLHPDIRDRVFFLEGNHMHSSIQKVPALILDCEPNIDFSRDIDAKRQYARQVAEFFEFVKKKQEVSTKPSEEGKASSQPQILLPHAGGLWVPDGKHFPDSALKSLDFRRAMSYMSGSG >OMO94281 pep supercontig:CCACVL1_1.0:contig07927:20763:20999:1 gene:CCACVL1_06071 transcript:OMO94281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVDAQVQPRTRERDGAGFAVDRANRERVKQPMRVKVGKLKLFKVMFLVQCGLVVDALSANNAISIQSFSCSFSLKL >OMP05760 pep supercontig:CCACVL1_1.0:contig05233:296:355:1 gene:CCACVL1_01834 transcript:OMP05760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRWGNRNALGTRKGLYF >OMP11364 pep supercontig:CCACVL1_1.0:contig01351:4564:6825:-1 gene:CCACVL1_00560 transcript:OMP11364 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase/Amb allergen MGNAHGHRKNRRDNQNYSGSYPTPAPPYYKYGPPPPPLSQPQFPSSATQPQMAHSLPYACVDASLRALAGQAEGFGRSAIGGLHGPLYHVTTLADDGPGSLRDGCRKKEPLWIVFEVSGTIHLSSFLNVSSYKTIDGRGQRIKLTGKGLRLKECEHVIICNLEFEGGKGPDADAIQIKPNSKHIWIDRCSLRDYDDGLIDITRGSTDITVSRCHFSQHDKTMLIGADPSNVGDRGIRVTIHHCFFDGTRQRHPRVRFGKVHLYNNYTRNWGIYAVCASVESQIYSQCNIYEAGQKKVAFKYLTEKAADKDEACSGSIKSEGDLFITGTEAGLMSENVISNSFHPSEYYPTWTVEAPTDALKHVIQQCAGCKCIPQPAEAAS >OMP11363 pep supercontig:CCACVL1_1.0:contig01351:1031:2944:1 gene:CCACVL1_00559 transcript:OMP11363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex protein Exo70 MRSLCFSSSRTPSFSISRGRSVSPSRTSLSSTPRRRFSESMIEQTIDTAATIIMKWDAETSAYAKVTSLFYESKREAMQFIKSVNDLQKIMHLLVSEESGSDLRLVRAQHLMQIAMKRLQKEFYQILSMNRAHLDPESVSTRSSRTSASARSSLSDFDDDGSPEDEIRAAGDSISEVEEVSSMVMSDLKSIADCMIGSGYAKECIHIYKIIRKSIIDEGIYKLGVEKMSSSQINKMDWDVLEFKIKNWLEAVRISMKTLFTGERILCDHVFATSDSIRESCFADISKEGGTLLFGFPELVAKTKKSPPEKIFRVLDMYTAVSENWQEIDTIFSFESTSVVRQAALNSLIRLSESVRSLLADFESAIQKDSSKAMVPGGGLHALTMYSMNYLTLLADYTNILADIISDWPPPAKSSLPESYFDSPESDESQAAPAMSVRIAWLILVLLCKLDGKAKHYKDVSLSYLFLANNLQHVISRVRTSNLRYILGEEWITKHEAKVRQFSANYERLAWGHVFDSLPVNPTAPMTAGQAKESFRKFNTSFEDAYWKQRSCIVPDGKLRDEIKVSIGRKLVTAYRELYNNHKSTVGDERAARLFVRFSPEDVGNYLSDLFFGTVSSGGSSSSSSTSSHRRHMRSPR >OMP11365 pep supercontig:CCACVL1_1.0:contig01351:7287:7415:1 gene:CCACVL1_00561 transcript:OMP11365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKRKSNDITQNKQKGSQLSSQLSGGPGGGRPGRLGRLLFP >OMO89925 pep supercontig:CCACVL1_1.0:contig08577:700:774:1 gene:CCACVL1_07562 transcript:OMO89925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DRNIFYDLRSSTIGLGRGSFGFRE >OMO89585 pep supercontig:CCACVL1_1.0:contig08668:31667:35988:1 gene:CCACVL1_07756 transcript:OMO89585 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative short-chain dehydrogenase/reductase family 42E member 2-like protein MVTRNQLKEVVKMEEKEMGNVNEDLGFGFFRFLLKDVGTQYPMTTPKRAAQKRAPSK >OMO89584 pep supercontig:CCACVL1_1.0:contig08668:20240:30694:-1 gene:CCACVL1_07755 transcript:OMO89584 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MTTIFLLLTTFLHLFTTTTAIGVNYGMAADNLPSPYAVANFIKTRTIFDSVKIYDTNPDVIKAFANTGISVTVTVGNGDIPALTNNDAAMRWVAEHISPFHPQTKFKYVCVGSEVLFSKNTDWINNLVPAMNSLHYALVKAGMPDIKVTTAHALNIFRRETIPSLMRFYDKNFFAPILQFHQRTKSPFMVNPYPYFSPDLSRRLNYALFKTNRGVYDKYTRKTYTNMFDALMDSNYMAMKALGFGDVEIAIGETGWPTQGDATRPFATMENSISYNSHVIKEIVAGKGTPLMPNRSFETYMFALFNENAKIGPIDEKYWGLFKTDFTPVYNVGLLRHEQSSPTPNPSPANKDFCIPKDAASSAQLQANLDYACGQVDCKPIQPGGPCYDPNTVKSHAAFAMNSYFQTHGASTASCDFSGSGQVTTTDPSYGSCKYMRPQPRSFSSASLELFHSMWRPHSSLRLKFLVATAYANTAVMSSSGRPYRGGRSQWRRGFSDRANSGGRGQLVTGDSHLNSVREGNLGIQRGTFSNQGSFQPQQFGYNPRPPSPYNYNQQQFRQPPPFHSHNRYQRPRQSFDQNQAARPFRPRNSKPWDYREWEYAKTPPPPHSERFIVLSYNILADYLASTHRNLYYHIPHHMMNWEWRKKNLIFELGLWSADIMCFQEVDKFQDLEEQLKCRGYSGIWKMRTGNAIDGCAIFWRTSRFKLLHEESIEFNKHELRDNVAQICVLEVSTTPFYSANKVVVCNIHVLYNPKRGEIKLGQVRRLLERAHAVSKSWDDALVVLCGDFNCTPKSPLYNFISEQKLDLSGVDRDKVSGQASAQITPPPRPYNNTNNTGVQSGDSSVQVPVTVDVKEAGMDKNASQSDTHKQINLDKNIKDASINNLSQSSDTMRDLSDKSCNNLQLEGIGSAQHDEVTTEIPHNAVDVAKAETESASFISVDCSEKSSSHCYSECKIPLNQMDDDMQRFAPSNDSCLQNACSDVTEMEHRGTDVTIHSNEGTDLDGIVMDDLSNRVSTDPEFLNASKSEISSTRSLCQTHSPDSVEISPPGRSGNQSSQSGVKDRVSPSVPCQVDFSGLSTGIDIEVEGKMDNLSLEDLTETMVEGGSINEDNNAFVAALYGNEDLYPENSGQSVTSDLDHSSNKFSSSHNSQFQLPSDEVLDDISSPLDPEDPEVEQVTYDPSVWSPMEIATATGSEDCNLIQHSLQLKSTYTEVKEFSGTRDSNGEPLVTSYNRRFLGTVDYIWRSEGLQTVRVLAPIPKHAMQWTPGFPTKKWGSDHIALASELAFTKDINNN >OMO89582 pep supercontig:CCACVL1_1.0:contig08668:9251:14083:-1 gene:CCACVL1_07753 transcript:OMO89582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKHFPLKTLLSISPSTSSVSPPLYSLSIFISHFSTSQTHYYYPSRRQEEESRQIKVSVWWDFENCSLPSGVNGFRIAHLITAAVRANGIKGPIQITAFGDVIQLNRAHQEALSSTGINMTHVPRGGKNSADRSLLVDLLYWISQNPPPAHLFLISGDRDFASTLHRLRMNNYNVLLASPDHVPSVLRSAASIMWNWHALAKGENLTGKRCNLPPDGPYGSWYGHDKLPLQNPFLAVEPPASSQNDESSEVVRPVPKVVTKLICQILNSCPEGISIYELRNELNSRVNLDKDLYGHKKFYRFLLSMPEILRLQGKFVVRRTAPNAGELSETSPCSSAGHLSRSGDALPVSSRLYDDLSLGGAPNVMSPLHNSPEVNVGVDPRKVQETRKVNADKQPLPVVEKITEEVQQPLPVVEKITEEVQQPLPVVEKITEEVQQPLPVVEKITEEVQQPLPVVEKIAESDERVTESHQTLILEQESASEVSFFRKFWQRWFYGSNDNSEVKSHFLEKCGDSGGSSEKIKNNMLKQCAGVCSEREETKEESEAKSDEGAHTKATASSNNDLTIDSKASPEAGENHSGKRSGVLAVWCKFWRGSLDNEASADQSCERHNQINNISLKHEIFTQDSFWKDMEILLDSPRGLALVTQSMTREEMAEKLQKEGPLALRSVSNCDLLQLVDLLISDKKWIEECSSETLPFRITRAVAKSPISGNTPATNGLRSIFMRTSSQADVQRKHEGPKKLENVPHSGVSFPSINKKTTERSRSEILGDCQKLVKVILKEHPEGYNIGSFRKLFLERYGYPLDIQRLGYKRLSSLLEETPGVKLESTYIIPASLVPNDSNLETVVPNVQEDNSQAFQNSAGVLPYASMKGEDFESTWDELGPVSCTSSNKKEMLSVLGRKTAEDAEIAHFTYEPSVSDDEFSDSEEELSTPERTGKQQKPEIVEFDSSLLQILDSWYCSKEGKDRKDNSEECEDMVDSSEYQVKPSADAG >OMO89583 pep supercontig:CCACVL1_1.0:contig08668:15389:16930:-1 gene:CCACVL1_07754 transcript:OMO89583 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain 3-ketoacyl-CoA synthase MSDSNLKTPLIPKSPSNNNKLPDFKQSVKLKYVKLGYHYLITHGMYLFLTPLAVVIAAQLSTFSLEDLHDLWDHLRFNLISVVLCSALLVFLSTLYFLTRPRPVYLVDFSCYKPDDARKCPRQIFMERSTLTGTFTEENLEFQRKILERSGLGESTYLPEAVLRVPPNPCMAEARKEAEAVMFGAIDQLFEKTCLNPKDIGILIVNCSLFNPTPSLSAMVINHYKLRGNIISYNLGGMGCSAGLISIDLAKDLLQAYPNSYALVISMENITLNWYFGNERSMLVSNCLFRMGGAALLLSNKRSDGWRSKYRLVHTVRTHKGSDDKCFTCVTQKEDPSGKIGVSLSKDLMAVAGDALKTNITTLGPLVLPMSEQLIFFATLVARKLLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIKKGDRTWQIAFGSGFKCNSAVWKALRTINPAKEKNPWMDEIHQFPVDVPKVSAI >OMO71896 pep supercontig:CCACVL1_1.0:contig11526:1956:2021:-1 gene:CCACVL1_18032 transcript:OMO71896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPPFAVQPVIMAKLLVANV >OMO71895 pep supercontig:CCACVL1_1.0:contig11526:188:502:-1 gene:CCACVL1_18031 transcript:OMO71895 gene_biotype:protein_coding transcript_biotype:protein_coding description:trans-2,3-enoyl-CoA reductase MLLNLFFSPSLFFTVLSVLTWASMANGGLAEVKGKHVQYSKFLGVGSQASKSSNTMLSSRAAMVLVYAPSLINGVASFIFFPGEGFRFLLLKSAITIHYGKRVFE >OMO71897 pep supercontig:CCACVL1_1.0:contig11526:4543:6330:-1 gene:CCACVL1_18033 transcript:OMO71897 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin MKRLSLSIVFLATLVASAAAQSGSGVFAYFRDYNLIGNDYKFEALGVSCAKALANTTVEWRKKYGWTAYCTPHADLGEDICGQCISVTNTANGANEIVRVFDYDSCGVVQLDLDLHTSFAKIDDGNGVNVGHLVVDYEFVEPCDAVPIGPGETNVNATFAYFDVEKHNWSLSDAGVECASYLPDVSYEWLKKYGWTGYCGNVEQMPDACGKCLKVTNTETKEEVTVRIVDACGGDFHALNMDYDYAFKKIDGNNNGIRQGHLVVDYNFVECGDALENKNVHLLLPSK >OMO97469 pep supercontig:CCACVL1_1.0:contig07238:27253:28641:1 gene:CCACVL1_04543 transcript:OMO97469 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MASKVLMPSLNMNHLAFPVVDKNQPNKSHSFGQVCLPKKTGISSSRQSVIANNNSTASAVLMSAVAKTSSILAHFWREVQGSNNWENLVEPLHPLLRKEIIRYGEFVAACYKAFDLDPNSKRYLNCKYGKKSMFKQVGLENSGYQVTKYIYATAPDVSIPIQNGASCGRWIGYVAVASDEETVKKLGRRDVVVTFRGTVTNHEWVANFMSSLTAARLDPHNPRPEVKVESGFLSLYTSDETDTKFGLESCREQLLSEVSRLINKYKGEELSITVAGHSMGSALALLLAYDIAELGLNKKTDFSESEQEIPIAVFSFGGPRVGNSSFKQRCEELGVKVLRIVNVNDPITKLPGVLFNENFRVLGGRYEFPWSCSCYAHVGVELVLDFFNMQNPSCVHDLETYISLLKWPKTSLQNIHNDHAGVDFLNWARDFLFTAQNLNININLPWKDAAINMVNLVQSQRP >OMO97468 pep supercontig:CCACVL1_1.0:contig07238:9088:16837:1 gene:CCACVL1_04542 transcript:OMO97468 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MWVKRIRFSSIVLGALNILVLVLGGILVSIAFPGCNRRNILPIVAVSILAAIKIGTMIKLGIAQEATAKTITDSPSESGVLEAVMRQDRRLKYKRWLWWSRWAMVITILQILGAFYLMVYVTRYVSQDGNSTECFVGLATSSSTWKRKVMISFMITACCIAVVQCFAGSDVLKWRFYYATQDVAWKAHYQEVFDYGIREALCCLGRVEYLTVSEDDEVYSVAKLLGDLVTYRASGTGHLELLTGLALLQKHGQSRLSHDCLVEAPIEHLQLAAAFHKFAEAAYTGPLLDVGRNPFVFPCAWLYRQGILKPWTRNRRPALDGDNWWRGHAAAFLKFVNLPPEVLRRGRVCQNKCEAAYFIVVLHHLRTVIIAVRGTETAEDLITDGLGRECPLSVMDLDGLLNCSYINPSVKQHLESTFPHYGHSGIVEAARELYAQIEGKSADDTVEGYRGGTPDFYYQIIGLVKEQALHCFSGFQKLYGECQSGGFLSSLLGAGCECDGYRLTIVGHSLGGAISALLGIRLYRQFPNLHVYSYGPLPCVDFVVADACSKFVTSIVHDNEFSSRLSVGSILRLRAAAITALSENTQTDSALILRLAHQFLNANKHFRVKIEAEDPVQYYSETSIDSKDQDEKYSLCGDRKKNLVDMNESEFINPFASDLNDESDDPISQLVESVTRSENECSSDPTEMFLPGLIIHIVPQQQENLDAPMWKNWIVHQKYKAFLVNREQLKDIVVSPNMFFDHLPWRCDYAMRKVLEADDVMAATDVSHIV >OMO73455 pep supercontig:CCACVL1_1.0:contig11227:49762:49932:-1 gene:CCACVL1_17249 transcript:OMO73455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMWQGEQEKQDRIDQGQAANSVDVKNRHTSSRVSKQFLQFVSYISSGISNSNASV >OMO73454 pep supercontig:CCACVL1_1.0:contig11227:48642:48755:1 gene:CCACVL1_17248 transcript:OMO73454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIASSKTHCKPPQTFETRTSIIISDPPNPSMNLSDF >OMO73453 pep supercontig:CCACVL1_1.0:contig11227:6475:9333:-1 gene:CCACVL1_17247 transcript:OMO73453 gene_biotype:protein_coding transcript_biotype:protein_coding description:EGF-like, alliinase MVKSSSFNSKYAAICLVSSVILNLFLVLNTYVGVKWNLSWTSRAAADAEAVAALFCSGHGRAYLDGLVGDGNGEPVCECNICYSGSDCSHFIPDCIANADSGDPLFLEPFWLQHAATSAVVVAGWHRLSYSYNYDGDISKELERLIRKLHAIVGNAVTENRYIIFGAGSTQVLSAAAYALSPENTSSPAGVVASVPYYALYKQQVEYFNLAKFKFEGDAHKWMNKSDGSTYMIEYVTAPNNPDGRLNKPLLQGTNVKTVYDRAYYWPHFTPIPAPADEDLMVFTLSKLTGHAGSRFGWAVVKDETVFNKMMHHMEVNSIGVSRDAQLRAFQLLKAALRGEGRELFDFGYQTMKTRWESLSSIISLSKRFSVQKINPEYCTFCNNVREFSPAYAWVKCEREEDKDCYAVLQAAKITGRQGYRFGAEDRYVRLSLVRNQDDFDMLIERLNELVSEEDGAKIM >OMO79330 pep supercontig:CCACVL1_1.0:contig10441:16373:16623:-1 gene:CCACVL1_13746 transcript:OMO79330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DHGCFAVDIDHGFRIYNCDPFREIFRRDFDRGGGIGVVKMLFRCNILALVGGGPNPQYLPNKVMIWDNHQSRCYGELSFRSKV >OMO78283 pep supercontig:CCACVL1_1.0:contig10606:3431:3580:-1 gene:CCACVL1_14521 transcript:OMO78283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTGRENLTRAEKPRAKKKQDDSGYGYGSPSASSIIAKDESKTIVLFL >OMO78284 pep supercontig:CCACVL1_1.0:contig10606:4020:5876:1 gene:CCACVL1_14522 transcript:OMO78284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVMEIPLDLAGDDEVEATQFALIGKIVVDRQLNRRGIMNVLRAIWSPRVLHGVTEVSQNLYGFYFVDRKSMEMALNQGPWLVMGHCLMLKRWAATQSVQEIVFDEIFFWIQAHNLPLNLQIKSNTIRIGRTIGRLIDVEDPEWNRGVGRGFLRFKVAMDFKKPLVNGFWTSRADLTKVWVDLKYERLGDFCYNCGMLGHTDRGCEKDFKILSYNVREGRYGPGMRTGLVRDWTIRELSNDELGDGGVPELAIDQDQSVEKQQTSSNRLEEVVNQGSNMRNNGIGDKEQTRIYVGESSRHGKNVVTVDRVGFENSAEGLGNDNVGGNSVTNSEGGVDSLEVEIEAEQVGKEKLPVRKPLLPVYVNTLNIQTEHIPNTNTEGLQTTPIHTKTTHTHTIHTTPILDKENSCPEPDISYTVNTHFPCKTVEPNNPIIISNTEHNNNTYRVETEDGEYTVEQVSESGDDVEQTNGGVGSQLVPFFRNMSIKRNLEQRDKVEISNTRNKARLVGREGMIQKVFKESEEVVMFETETNEQVIGDKEKELVKVGRKVRRSRVSQKRGSGVSRSTRQIKIEKERLWEVPVMAVEAWERITVVEHIESARSRVGLSGCPSTATEPI >OMO85287 pep supercontig:CCACVL1_1.0:contig09644:114424:119320:1 gene:CCACVL1_10305 transcript:OMO85287 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI transamidase subunit PIG-U MGKKKTETAPFSFWSWVIASIVLRLILIYFPKNLNLASRPEVSTPLTSFRRLAEGYWLKQSSMSPYAGSMYHGSPLLLSLLGPLTVKRIEGQPNHLLYSLVSVIADVVSAMLLRATGKSLQLTYLRSLESLDLVKQIRFSEILSSGDVAALIYLWNPFTIVACVGLSTSPIENMAVILCLYGACSRRVPLAAFGWVIATHLSLYPAILIIPVIFLLGCGPDAPPRKLFLQTNQQEKVLNPSKLPPAFSWRPIVHFVFWALLWSVYVLVLCGVSLRQFGGLLEMFKSTYGFILTVEDLSPNIGVLWYFFAEVFDFFRDFFLIVFHVNILFMILPLAIRLHHHPCFLAFVYVAIFSMLKSYPSVGDSALYLGLLEMQFSFFLFCGYVGVSLLSPVMHNLWIWRGTGNANFYFATAMVYACLQIILVVESLPLGTMEFPSNRLMAVSSASELCLPPFAVFLPLTIERDPVDGRQTFLERDAFQYRGRARGMHVILPVKCKWEVVMEMIGMGMD >OMO85282 pep supercontig:CCACVL1_1.0:contig09644:85660:86595:1 gene:CCACVL1_10300 transcript:OMO85282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRVRFIFLAIVCSVLELHKRVLQIFLGNFMDSVSCLKFFTQSELFGCGFLLFGRFSHVFNVVGLVLMFGLGLKFLQFGFIGKGLMQFLCVVRGKSNDLRSGICSRHDLDEVSDPKIRSFRSGSLKPLENCEELVNGDIDGRAKNLVLEDSDEKEKECCPEDEEIDAMALRKLVKIERERTKAACQELEKERVAAASAANEAMAMILRLQSDKSSIEIDANQYKRMAEQKQEYDQQVIESLQWIVMKHESERSLLESQLQMCKQKLKLYLKDDEFDQFEVDADFSFLHSVQEDSMENNSVSSHENETLML >OMO85281 pep supercontig:CCACVL1_1.0:contig09644:82937:83011:-1 gene:CCACVL1_10299 transcript:OMO85281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKVKTKKNKKKVDDQGDIACMS >OMO85278 pep supercontig:CCACVL1_1.0:contig09644:41005:55450:1 gene:CCACVL1_10296 transcript:OMO85278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGQWDHDLLNALFESSDVERILCIPLSPMSSSDTIIWNGTHNGHYTVRSGYHVARQFLGKLVPMIEDRTDYWRTIWGAPVQPKVKFFLWRVRHNILPSKINLQRRGVPVDELCPVCGSIEDSLVHTFFTCSFSAKVWENSCPWVMEILQNMRDGDDLWNCLMAKAAQLGSLALMANLLWLVWHNRNHSLHELICMTPNALSLAAARHVTMVANALQTSLVPKPISRAIHWIPPMIAHYKINTDASFTASNGEAGLGVLIRDRQGLVFASATARIAHVLDPLFAEVYAIKFGITLAHFFDLKSCEFECDSLLAVKEINSTNQVLWEGGNLIDQIRFLAFLFDSCSFRHVHRNVNVFAHNLANLHCDVGNYISWCGYPLPVNWPIDLISNTNKTSSSITSIRASLQHLCLVPHLPKMMKTLNAASFYLKKRRNHAPFFVFCCIIPFVLLPLSNATTEHNINFNFTSFNPNMREIVFQGDAFSSDNAIQLTVNQKNKVLSYSAGWATYYKPMHLWDNSSGNLVLADFTTHFSFVIDSLQNSSRADGFTFFLVPTSSKFPEIYKGGGYFALENPDPGSINQFVAVEFDTYSGNPWDPQGVLDHVAIDLNTVTKYLNYTPWAWNDIAYGGKVDAFISYNSPTKELSVLLFDTYDISRQNSSTISTILDLSKVLPEWVTFGFSGATGTWFEIHTINSWNFSSSLQVPTSSSNKANPPTPPPEAATNTTTPPVNPRKKSRAWLWVVLGVTGGAIFALVSVLTLLWFFCWRDKYKHKEDDAMFFNEDMKRVTGAPREFSYKELRFATSNFTDEGLLGEGGFGKEECEQCVLHRDIKSSNVLLDLSFNAKLGDFGLARLVHHGEGSQTTILLGTDGYVAPECLETYKTIKESDIYSFGVVVLEIASGKQAIHRDGNRLKTKLVEWVWELYGRDAIFEAADPRLSENYDREQMERLLLAGLACAHPNYYARPTITKVIDILGFKAQVPTLPLEMPAPTHIEAHQATVFSSSASNSPHNTASNRTQIQLLGASFSGESSELLMMSLLFNFLTIAIFFSLIPPFTNSLSFNFTGFNTNDNNITYEKSAYPANQVIQLTTNQRDVLMTASIGRATYHKPMQLYHKASGNLTDFTTHFTFVIDSQNRTAYGDGIAFFLAPEGSKIPENVTKGGTLALASDGMQLNTTVNQFVAVEFDIYQNPWDPEHEHVGINIDSMKSDANITWWSDIRDGKVNEAWISYDSFRQNLSVRFTGFRNNTIVMQQLSLIVDLRLYLPERVTFGFSAATGNASALHQIHSWDFSSSLEIDNNITDPGVSPTLEPNLNRNRRRKNRTGLAVGLGVAGALVGGAVLVWLATLYRKKFGKDEENGPVIDEEIEDEFQRGTGPRKFTYKELSLATDNFKDVGKLGQGGFGGVYKGFLKDLNSHVAIKRVSSGSKQGIKEYASEVKIISRLRHRNLVQLIGWCHDKKELLLVYEYMPNGSLDSHLFKENCLLTWEFRYKIAHGLASGLLYLHEGWEQCVVHRDIKSSNVLLDSDFNAKLGDFGLARLVDHAKGSQTTVLAGTMGYMAPECHIAGKASKQSDTYSFGVVALEIACGRKPIEPKAGEGKVNLVEWVWELYGRGKLLEACDSRLSGEFVKEEMEQLMIVGLWCSHPDENSRPSMQQALHVLNFEAPLPILPSKMPVPTYFAPPLNAFELSYSGTDSERQQTQSSSYSYSTNSSQFTESSTSSASKSLLSARQIGLDFSFATGGFDPNDAILIVDDSTRGKSEFGEYVIRLIDPEPNGGPRKNSTGRAYSAKPFQLWDPRTKTVTDFTTSFDFAIWFSGEYNSSLSSGGLAFFITSKNSLVAPPNSAGKWLGLFNETNNGNSSNQMVAVEFDTFKDEWDPSNFHVGLNVNSIASENYIDWNENMLSNGETYHHATISYNGTSKNFSVVVKKSGHSEVLNFTYNLDLSTVLPEKAYIGFSASSGQSAAAQVIISWNFTSAMGLIEDKGFEMWKVWVIVIAILVFIAVLGLIGGIYWRNSRRNKKPNIEEQEKNSDEEDDTMDMDFAKETGPKRFTFKGLAAATGNFSEEGKLGQGGFGGVYKGYLAEENVEIAVKKVASNSKQGKKERLAKLLDHGLGSQTTVLAGTMGYLSPEYVMTSKASKESDVFSFGIVALEVACGRRAVAHWEGEIKAVLHKWVWELHANGNILEAVDEKLNGEFDEDEMRCLLSVGLWCSHPDYRLRPSIKQAIQVLNFEVPMPVLPSQMPVVLYYPTVSSEQFSYTAGSSEIQSQTTTSATISSSNQSNLFECFQPTSPPGEEVSALIYSIPLAASQSFNFTSFDANNRNIIYKGDAIATDSVIQLTKTDVWRSGHAIYFKPMHLWDKSSGSLADFTTHFSFSINAHNNVTHADGLAFFIASLQYRVPDVADGSGIGLAAGNYIINSTENPFVTVEFDTYHNFWDPDNDHVGININSLVSSKTVTWYSSVMDGKIMDAWIDYNSSSKLLNVSFTGFQDNATIQQNIHYEVDFRDYLSEWANFGFSSATGIYFELHTIHSWYFSSNLQITGNTTSKKSHTEGKKGKINIGLVVGFTVGPCVLLGGLFLIFLLLKKRNKGKKEELFGVESMDFEFEKITGAKKKTLAELVQATNNFAEGQKLGEGGFGEVYRGFLKDLNSEVAVKKISNTSRQGIKEYASEVMVTSRLRHKNLVQLVGWCHEKKELLLVYEYMSNRSLDYHLFKGERLLTWKERYKIAQDLASGLHYLHFECEPCVLHRDIKPSNVLLGSSFNAKFGDFGLARIVVHEKSFESTKCGGTFGYMAPEYASTGRASQETDVYSFGIVALEIACGRRPIFYTEDYGYEITVVEWIWELYARGNLMEAADSRLQGNFDEQQMERLMIVGLCCSHPKSNSRPSTKEVINMLNFDIAVPLLPLEMPVLNDFSASFRVRVLSSISSYATTTLRRMWTQK >OMO85276 pep supercontig:CCACVL1_1.0:contig09644:6403:6579:1 gene:CCACVL1_10292 transcript:OMO85276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTHVWVSSAIEGTSTLYPSASGSGATIHLSQEAFAIVADPDAGKIKIHYTTLMTL >OMO85285 pep supercontig:CCACVL1_1.0:contig09644:110196:112135:-1 gene:CCACVL1_10303 transcript:OMO85285 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-type MGFTAFVKFALICFDALAWPLFALGYPLNASIQAIEANSNVDTEKIVTYWVLFSLISLFESTFMGLLQWLPFWPYMKLTIICWLMIPGFDGAFYVYNHFVNPCLYMDVQTIMSWFKKQREFLLEDNFLANGSEALQKLIANESKGREPSMLQKDIKSVQVMEEKEIASVKTIPETEPNASETQANMLAVACPESKVTTTTCCDLSEIASDQKQVQKEWTCALCLVTTTSEHDLKMHLRGLRHRAAFVEKMKAKNLHTKPKVSMASKKEPEKRAGAGSPTSQQIGKNHAREYMNSQLRCSICNIICCRSEDLNCHLRGKKHLARIEELNNSLVGGKLA >OMO85277 pep supercontig:CCACVL1_1.0:contig09644:20098:22281:1 gene:CCACVL1_10295 transcript:OMO85277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAENAESFFYPRKPASLFLLCCIFSVLLPLSNATTEHNINFNFTSFDPNLRDIVYQGDAFPSNNAIQLTVNQKDKGLNGSAGWATYYKPMHLWDNSSGNLLLADFTTNFSFVINSLGNDSRADGFAFFLAPNGSTIPPINSSAGGHLALESWDPTHVNFKFVAVEFDTFSNEWDPHGVSDHVAIDVNTVLKNLSYVSWWWNDIEKGGKVDAFISYNSATKNLSVFLFDANDTSREKSSSLSKIIDLSNVPLPEWVTFGFSGTTGTLFEIHTINSWNFSSTLQVPTKASPPTPPPEAATNPIIPSGKKSRTWLWVVLGVVGGGILALLSVWFFCYRRRESNLYRDKFGKDEENGPDIDEDIEDEFEKGTGPRKFTYKELDLATDDFNDVGKLGEGGFGGVYKGFLKDSNSYVAIKRVSSGSKQGIKEYGSEVKIISRLRHRNLVQLIGWCHDKKELLLVYEYMPNGSLDSHLFKENSLLSWEFRYKIAHGLASGLLYLHEGWEQCVVHRDIKSSNVLLDSDFNAKLGDFGLARLVDHAKGSQTTVLAGTMGYLAPECHIAGKASKQSDVYSFGVVALEIACGRKPIEPKAGEGKVNLVEWVWELYGRGKLLEACDSRLSGEFVKEEMEQLMIVGLWCSHPDENSRPSMQQALLVLNFEAPLPILPSKMPVPTYFAPPLNAFELSYSGTDSERQQTQFSSYSYSTNSSQFTGSSTSSASKSLLSAPQM >OMO85280 pep supercontig:CCACVL1_1.0:contig09644:75255:75852:-1 gene:CCACVL1_10298 transcript:OMO85280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKMKTKKNKKQAQGHPPKEEADNIDVKTVTWPDFPQTLVNIIAKQPALMQSELVIQHFFSTEQVM >OMO85286 pep supercontig:CCACVL1_1.0:contig09644:112560:113948:-1 gene:CCACVL1_10304 transcript:OMO85286 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MSTTQSSKEQHVAVLAFPFGSHDLTILGLTRRLARALPNVQFSFLSTAKSNDSIFSAFKLEDLPNNVKPYNVDDGIPPNHVFSENPLEILEFFLKAAPGNFKKGLDVAELESGKKVNCLIADMFLTFVADMAKGMEASWIPLCVAVPYVLSAHVYTDLIRRLYNNDDGANFETQTLGFIPGLNGFRFSDLSEEILPRESGESFFSYELSKIGCLLPQATAIVMNFCQELYSAPLLEDLKSVSPNSLNVGFLTQELPPPELPPSDSDQTGCLLWLDKQEPKSVAYIGFGTVAIPEGNELTAIAEALEESVFVTHCGANSVFESVANEVPMICRPMFGDHGVNGRLVEEIWGIGVKVEGIVFNKSGLLKSLELVLGQEQGREMRQKSRSLRELVLKAAEPSGSASQDFKTLVETILNS >OMO85283 pep supercontig:CCACVL1_1.0:contig09644:90334:104301:1 gene:CCACVL1_10301 transcript:OMO85283 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylmalonate-semialdehyde dehydrogenase MDIISSIELDEQPQMLPPPPGTFVDREELIQHVGEFAVSQGYVVTIKQSKRDKVVVLGCDRGGVYRNRRKHVDESAAECIRRRKTGSRLTNCPFEVVGKKDDGLWVLTVKNGTHNHEPLKDIGEHPSARRFSEKEVLLIKEMTEAGLKPRQILKRLRQSNPDLLSTPKHVYNVKAKLRQGNMSVRNYKSFRPEKSAVRNNYLSVMEPSWRQRNPPRVPNLIGGRFVDSQSFASIDVINPATQEVVSQVPLTTNEELRAAVFAAKRAFSSWRNTPITTRQRIMFKFQELIQRDIDKLAMIITNEHGKALKDAYGDVLCGLEVVEHACGLANLQIGEFVSNVSDGVDSYSIREPLGVCAGICPFDFPAMIPLWMISIAVTCGNTFILKPSEEDPGAAVMLAELAVEAGLPNGVLNIVHGSDDIINAICDDDDIKAISFVGPNSAGTYVYSRASAKGKRVQCNIGAKNHAVVMPDASMDTTLNALVTAGFGGAGQKCMALSTVVFVGGLTPWEEKLVERAKAIKVQPGIEPDSDLGPVISKQAKEFIFKLIQSSVESGARLVVDGRNIVVPGHEQGNFIGPTILSHVNPSMECYKEEICGPVVLCMEADSIEEAIDIVNRNKYGNGASIFTTSGVAARKFQTEIEVGQVGINVPISVPLPFSCYTSSKPSFAGELSFDVKNLRSSLRPPILASAGYRFSTATEFSHNQRNPPRVPNLIGGSFVDSKSTTTIDVLNPATQQVVSQVPLTTNQEFKAAVAAAKHAFPSWRNTPITTRQRVMLKLQELIRRDMDKLAKNITAEQGKTLKDAHGDVFRGLEVVEHACGMATLQMGEYVPNVSSGIDTYSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFILKPSEKDPGASIILAELAMEAGLPDGVLNIVHGTNDIVNAICDDDDIRAISFVGSNTAGMHIYGRASAKGKRVQSNMGAKNHGIVMPDANKDAALNALVAAGFGAAGQRCMALSTVVFVGDSESWETELVERAKALKVNAGTEPDADLGPVISKEAKERICRLIQSGVDSGAKLLLDGRNIVVPGYEKGNFIGPTILSGVTADMECYKEEIFGPVLICMKADSLEEAINILNRNKYGNGAAIFTTSGAAARKFQTEIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVNFYTQIKTITQQWKDLPTGSGVSLAMPTSQKL >OMO85284 pep supercontig:CCACVL1_1.0:contig09644:105353:108692:-1 gene:CCACVL1_10302 transcript:OMO85284 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-type MKLTVVCWLMIPGFDGAFYVYNHIVHPCLYVDMQTIINWLKKQLEFYLKDDFLAEADKYVKAHGPEALEKLIASESKGRVLQKDIKPVQLMEEKEIAAVKAIPETEPNASQTQAKMFTVACPEIKEKSCWVLPEIPSDKQVQKEWTCALCQVTTTCEQNLKMHLEGRRHKAACEELIKAKNQPSKAKVSPASAVEDSKKEPEKCASSCSSPASQKKQQPFKVQVSAASVAKNSDVSKNDAEKCATSNGTHISSEVVDLPTGISDGNKADLPNEEPNKFLANNTTGNRLQHKENVQDQQQIGTKHAGDKNPQFQCTICNISCARSEDLNCHRSGKKHKAKVSATSVAKNSDVSKNDAEKCAASNGTHIPSKAVDLTTGISNGNKPDLPNEEPNRFLANNMAGNQLKHKENVQDQQQIGKIHAGDNNPQFRCTICHISCTRLEDMNCHLSGKKHLAKVSAASVAKNSDVSKNDAEKCATSNGTHISSEVVDLPTGISDGNEADLPNEEPNKFLANNTTGNRLHHKENVQDQQQIGTKHAGDKNPQFQCTICNISCARSEDLNCHHSGKKHKAKVSAALAKVSATSVAKKSDVTKNDAEKCATSNSTHISTKAVDLTTGISNGNKPDLPNEEQNRFLANNMAGNQLKHKENVQDQQQIGKIHAGDNNPQFQCIICNISCTGLENLNCHLSGKKHLAKVSAASVAKNTDVSKNNAEKCASSNGTHISSKAVDLTTGISNGNKPNLPNEETNKFLANNMTGYWLQVQHKENVPDQQQIGTKHARDENPQFQCTICNISCSHSEDLNCHLSGKKHLAKVSAASVAKNSDVSKYDAEKCATSNGTHISSKAVDLTTGVSNGTKHDLPNEEPNKLLPNNMAGNQLKHEDKENVQDQQQIGKKHAGDQNPQFQCTICNVSCTRSEDLNCHLWGKKHLAQIQALNSLGQGENLLESLW >OMO85279 pep supercontig:CCACVL1_1.0:contig09644:72412:73647:-1 gene:CCACVL1_10297 transcript:OMO85279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKVKTKNKKKAHEGRLSQIEANINRSKTWPDLPQTLVDIIVRQPTLMQSISYGGLTKLCLSPPKKCYPNNSTSTPPFLQLLDEIKAKNDELNEVEPFLNVSFYRKWFWPCHYESWNKRPICSDWKRYVGYSNDAIVVKGATNPYWESSDHVHIYLWYLVEGYCHRQLPEWDKSIPLVRVVKSRSCPRYLSKQNCTVMVLTGISHPAFLFYRLSGEGTSWDQWVKQDCSLSEPHCSTKSEGKNFMTFTNAIGFNGKFYVLSLQGTLAVIDDTDSYPPRITALSSERAVPSVLAKHFREYLLESEGNVLLVFLISKNSIKIVDDVEVYQLNTTKLLWVRMASLGDRTLFLGSDCCMSVSASRIGCKSNSVYFSHQTADGWSVYDMEKGCISSGWTDATTKSPVWTEPIQEE >OMP10788 pep supercontig:CCACVL1_1.0:contig01926:526:585:1 gene:CCACVL1_00791 transcript:OMP10788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVEVKEFVSESHYRELHK >OMP04618 pep supercontig:CCACVL1_1.0:contig05706:2943:4076:-1 gene:CCACVL1_02151 transcript:OMP04618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHRCLSTLETLSHHISCLAVHDNLLYAAAINEINVFDLFNNSHIESFNDDPTSGLVKSIAFNNRKIFTAHQDGKIRIWKIIKLSQKHQLLSTLPTVKDKLLHFVLPKNYVNVRRHKKKLWIEHWDTVSGLVINESGLMYSISWDKSFKIWNLKNQRCLESVKAHDDAVNTLVVSENGTVYTGSADGLIRVWERDEKERRHSLVATLNKHKSTVNALALNGDGSVLFSGGCDSSIMVWEKKGENNNNNNNNNNDDAQDHDRVGLVEALWGHSGAILCLINVGDLFVSGSSDQTVRVWQRGMEGGFHCTLVLEGHEKPVKSVVAVPKHVGDGEDVFSICSGSLDGEIKVWEISTISSKLKNKRHDQIRFLLDRVPKNC >OMO87019 pep supercontig:CCACVL1_1.0:contig09360:10424:10711:1 gene:CCACVL1_09319 transcript:OMO87019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIKDVDLDRSNITLEDISWEAQKMQLIDHLNLLNAHSFIVDHNLWIVMPFMSKGSCLHHLKKSYPNGFEEVIIHSEGNPAGFGVSSLSRIYPS >OMO87018 pep supercontig:CCACVL1_1.0:contig09360:8863:9901:-1 gene:CCACVL1_09318 transcript:OMO87018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALFFKASSCYDEYLSEGHDHSIVIKKYVPSLHDGYIPDNEDTPNPAGFPSEWMKTSSNPLGYDFLRWCKYEPFDMKGMTIQRLWSTMKECALRRFK >OMO87020 pep supercontig:CCACVL1_1.0:contig09360:11433:12723:-1 gene:CCACVL1_09320 transcript:OMO87020 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MHPFKLSKCFSFTASRDWFYKQSFSNAGLRSVATDLGEGTVMHCWVPKIQNTARPNLLLLHGFGANAMWQYGEHLRHFTPRFNVYVPDLVFFGESYTTRTDRSESFQAQCIMKMMEKHGALRMNLVGISYGGFVGYSMAAQFPDRLDKLVLCCTGVCLEEKDLEEGFFHVADLDEAISILLPQSPEKLRELMSFSFVKPQARWVPSYFLTDFIDVMCTDYLEEKKELIQAILKNRKFSNLPKISQRTLIVWGEEDHIFPLELGHRLKRHVGESAELKVIKNAGHALNIEKPKEFIKHLKSFLFDSDSCPPSQSTYSGLLFRYWYEYKASFTKQH >OMO87016 pep supercontig:CCACVL1_1.0:contig09360:1412:1483:-1 gene:CCACVL1_09316 transcript:OMO87016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRPHGRMFLSKTVTLIGKLFR >OMO87017 pep supercontig:CCACVL1_1.0:contig09360:2513:3696:-1 gene:CCACVL1_09317 transcript:OMO87017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHFQKKATNRSSVEASICEAYIVEEISTFCSFYFESNVPTRLNRVPRNDDGGEVDSMGRLSIFTHPGRPFGPNSSRYLTEDEYKATELYVLLNCEEVEPYIENKKERPNISDGELEKLRATNFPSWLKSFVDNNKDQVDSQIKIMANGPLRFAKFYNGYFVNGYKFHTFNYGQNRETMNYGVCVKGSCYNDYDCDFYGILVEILELEYVRNGNKVVLFKCHWFDMSDRGVRVHPHYGLVEVKHASTLSSNEPFVLAQQAQQVCYTSYPSKRRERRDWWAVCKIKARSRFHIPSNEEDKQFEKVDGFYQDDESTSFNVLPNLELDDPNVLVSED >OMO51562 pep supercontig:CCACVL1_1.0:contig15790:1613:1720:-1 gene:CCACVL1_29718 transcript:OMO51562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTAIHVTVYGHFTQAARTKTTVLGSQGNMAYKN >OMO87007 pep supercontig:CCACVL1_1.0:contig09364:23772:25243:-1 gene:CCACVL1_09328 transcript:OMO87007 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MGGGVLTSHADAANIFSDSDNASKLTPSVVIICIVAASAGLIFGYDVGVSGGVTTMAPFLEKFFPFVLQRMADAKQSQYCLFDSQTLTAFTSSFYIAGLVSSLIAGAVNTTTGRRGSLIIGGVVFMLGTALNAFAFNLWMLIAGLLLLGFGVGFTNQAAPVYLSEMAPPKWRGTLSTGFQLFLTLGVMLACIINFAVAKHGSDYGWRIALGAGGVPALIMTIGALFIPDTPSSLIQRGKVDAARRSLLTVRGRGTDSEAELNQLINQNKAVTEANQNPYKLIMERRFRPHLVLAIAIPSFQQLTGISVIAFYGHVLFRSIGFGSDGALIGAIILGAVYIISTLVSTYVVDRLGRRILFLQAGIQFHLPDCTGDSFVYGDRDRRDKTFIKERRRCSIGSDM >OMO87005 pep supercontig:CCACVL1_1.0:contig09364:20254:20610:-1 gene:CCACVL1_09326 transcript:OMO87005 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MESKNININLESTNKTPLEPEPLAIHDDDDDDDEVEEVEGGATSLRKRRRTSNVWSVFQERKEKAPDGKPLAKCKWCGKLQKYESKYGIGNLKRHVGTCVKRDTKDVGQMLIDTKDSP >OMO87006 pep supercontig:CCACVL1_1.0:contig09364:21670:22399:-1 gene:CCACVL1_09327 transcript:OMO87006 gene_biotype:protein_coding transcript_biotype:protein_coding description:fibrillin-1-like isoform 2 MAKTNEPSRITKIQNHFENHFKRRPVTGSIVSLSFISLQPATNPNFSSLLSLFALPAQPRRRFLIQPSYRASATRQHRFRPPASASATCRPSFYLLLPKSCDSASEVTLPLRDSFHGDS >OMO87008 pep supercontig:CCACVL1_1.0:contig09364:25838:37842:-1 gene:CCACVL1_09329 transcript:OMO87008 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting P-type ATPase MEATLSAAAPAFTLCSISKALHRHLSNNNSPALFARCFHSRLSARGRLVTPLSSLSYSSPLRTLCPPPVPKRFHRPLECSASSIASFGTGGGDDGSGAGGGGGGGGGGGGGDSEAKLGAAGANELSPLSPDVIILDVGGMTCGGCAASVKRILESQPQVSSASVNLTTETAVVWPVSEAKVVPNWQKELGEALARHLTSCGFKSNLRDSGRDNFFKVFERKMDEKRNRLKQSGRELAVSWALCAVCLIGHLAHFFGAKASWLHAFHSTGFHLSLSLFTLLGPGRQLIIEGVKNLFKGAPNMNTLVGLGALSSFAVSSLAVLIPKLGWKAFFEEPVMLIAFVLLGRNLEQRAKIKATSDLTGLLSILPSKARLMVDGSIVEVPCNSLSVGDEIVVLPGDRVPADGIVRAGRSTIDESSFTGEPMPVTKEPGSQVAAGSINLNGTLTVEVKRPGGETAMGDIVRLVEEAQSREAPVQRLADKVSGHFTYGVMALSAATFMFWNFFGPRILPAAFSQGSAVSLALQLSCSVLVVACPCALGLATPTAMLVGTSLGATRGLLLRGGNILEKFSMVNAVVFDKTGTLTIGRPVVTKVVTPGDVDQGNSRQNLDNVSSEYELLKLAAAVESNTIHPVGKAIVDAARAAKCPNIKVVDGTFVEEPGSGAVAIVDDKKVSVGTLEWVQRHGVAETLFQEVDQELRNKSVVYVGVNNALAGLIYFEDQIREDARHIVDSLQRQGVSVYMLSGDKRSTAEYVASIVGIPREKVLSEVKPDGKKKFVSELQKNHIVAMVGDGINDAAALASAHVGVAMGGGVGAASEVSSIVLMGNRLSQVLDALELSRLTMKTVKQNLWWAFAYNIVGIPIAAGMLLPLTGTMLTPSIAGALMGLSSIGVMTNSLLLRFKFSLKQQQNYGASPHAPVYINTDFKVDVDPKGKLKKPYSSAL >OMO62267 pep supercontig:CCACVL1_1.0:contig13287:60:2203:-1 gene:CCACVL1_22918 transcript:OMO62267 gene_biotype:protein_coding transcript_biotype:protein_coding description:CMP/dCMP deaminase, zinc-binding protein MEEANVLEAKDGTISVATAFPGHQEAVQDRDHKFLTKAVEEAYKGVDCKDGGPFGAVVVRNDEVVVSCHNMVLSHTDPTAHAEVTAIREACKKLNKIELSDCEIYASCEPCPMCFGAIHLSRLKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANLEIKKADGTGAVIAEQVFEKTKEKFTLY >OMO62272 pep supercontig:CCACVL1_1.0:contig13287:44107:46238:1 gene:CCACVL1_22923 transcript:OMO62272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLSAAAAAASSPYLLLRLNSLKFKQHFPLNSPSPSLPRLSKSIGALTLRSHGSANKLNVKCCLLPEKREQSCFYNLNRLSLRSNDKNPFENIAETILKAINALKRPAMAAVVLGLLLMSSGGSSCRSSSGGMSSYSSSSSSSSSSGESSEISDEELKAIEDAIGKFFLVVFGGLLGLFFVATLVDMLSSLQRTSVIKLQVGLSGMARSLQRELNRIAEVADTSTSKGLSYVLTETAVALLRHPEYCISAYSSVDVKYSTDAGEKHFNKLSIEERAKFDEETLVNVDNLRRKGKASQKPSGFSNEYLVVTILVAAEGVHKLPPINGSKDLKEALQKLASITTSKTLGVEVLWTPQVENDTLSEKELLEDYPELRPL >OMO62273 pep supercontig:CCACVL1_1.0:contig13287:53806:54359:1 gene:CCACVL1_22924 transcript:OMO62273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGEKHFNQLSIEERAKFDEETLVNVNNVRRKNKASQKASGFSNEYLVVTILVAAEGEHKLPPINGSKDLKQALQELASIQTSKTLAVEVLWTPQDENDTLSEKELLEDYPEMRPL >OMO62270 pep supercontig:CCACVL1_1.0:contig13287:27526:31608:1 gene:CCACVL1_22921 transcript:OMO62270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGDNISLLKYQSCNRTVIYFQVDVKRSIDDGEKRFNQLSIEERGKFDEETLVNVNNIRRQSTGSQKASGFSNEYIVITILVAAEGVHKLPPINGSGDLKEALQKLASIPTSKTLVDVKGSVNDGEKRFKQLTIEERVKFDEETLVNVNNIRRKSKASQEASGFSNEYMVITILVAAKGENKLPPINGRSDLKEALQKLASIPTSKTLAVRVLWTPQDENDTLSEKEMLEDYPELRPL >OMO62274 pep supercontig:CCACVL1_1.0:contig13287:57508:59344:1 gene:CCACVL1_22925 transcript:OMO62274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMENQRSSGGCGPTKNLVHWDQEQLYQALKECPVVDVKRSIDDGEKLFNQLSIEERAKFDEETLVNVDNIRTQSTRSQKATGFSNEYMVITILVAAEGEHKLPPINGSKDLKEALLKLACILTKKILGVEVLWTPQDENDTLSKKELIEDYPELRPL >OMO62271 pep supercontig:CCACVL1_1.0:contig13287:41391:42906:1 gene:CCACVL1_22922 transcript:OMO62271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLLGLLLMCNPYNPALAASGGRIGGSSFSSRSSSSSSSSGGSSYSTSSSSWSEGSSYSSSLSKSKSDSESESESDLGSELIEIVVRTLIRCLVRLSFQIFSDWLESRGSERTAATERTSVRTSVIKLQVGLSSTARALQRDLNQIAADTSTSEGQTHVLTEATLALLRNPDYCISAYSSVDVKVGKSTDLVPVLTQNVHFGPYTLKRSILVPLLTEVCTTSPKIDSPSNWKWRLKRSLAKACLHPGKQNTVEVLWTPRNENDTLSERELLEDYPLLRELGI >OMO62269 pep supercontig:CCACVL1_1.0:contig13287:22908:23771:1 gene:CCACVL1_22920 transcript:OMO62269 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L2 MALLRSRVASWSLVNRLLHPPSPVAYRNLSTVAGDFNNPTVIENMKRELLNLDINSQIGSCMPIASMRIGTIIHNIEVNPGQGGKLVRAAGTSAKILKDPTKSRQCLIRLPSGVEKLIDSRCRATVGTVSNPSHGAKKLRNAGHSRWMGRRPVVRGVAMNPIDHPHGGGEGKSKSSGSYGKTSRTPWGKPCKCGYKTAASKRRKK >OMO62275 pep supercontig:CCACVL1_1.0:contig13287:63572:66561:-1 gene:CCACVL1_22926 transcript:OMO62275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLSHLESDCSEFVEVDPTGRYGRYNEILGKGASKIVYRAFDEYDGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKHWCRQILKGLYYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFEYPYSECNHPAQIYKKVISGRKPDALYKVKDPEVRQFVEKCLATVSSRLSARELLNDPFLQIDDCESDLRSLEYGREFDELGPLIRQPYLELHHSSNSYSNGYSNGYGYETPNEWGYHPAEIESSGIELFEYQEDEHAANVDISIKGKRGDDGGIFLRLRIADKEGRIRNIYFPFDIETDTALSVATEMVAELDITDQDVTKIADMIDGEIASLVPGWRIGPGIVETPRFANQNFCDNCASNRTSTGSLLDYLAHNGGVKDLQLLQCCRNGCASMHGRFEEITFQPEESEHYVTDGAPNESSQSDNLHYQEIWDQHESRELSPVGSRQSHSDEEYEKLDQSFSTKDGKEVKGENKTISGERSSIQCLPGSRSFSTVQPLYCDPSDNYENEVQQELRWLKAKYQMQLRELRDQQLGLVSDSSAYSNRDKKRSDEVLSSTFSTLRKDHGNELKLSAHDNHFNSDFHEHNKNKSCPDSDTQRARYREATESPKAENMVTAQNFFTGSHSLHRTKSLPVDAVDI >OMO62268 pep supercontig:CCACVL1_1.0:contig13287:17818:22479:-1 gene:CCACVL1_22919 transcript:OMO62268 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, PHD-type MSDKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRPNVSKAELKEKLARMYEVKDPNAIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRSKKVRGVKKTKAGDAKKNLKCLGVLRTCFCGNWILVLFDGELKPKPVDIPGNEELPRRMAYLEDECCRECRKPILGSPGFICEDCKVGFHKECAERPLKIHDHPSHRIHTLFLQSHLDPGQTTRNAWICELCSGRTCKEAFYQCFSCEFYLHTDCAREVVEIQHKDHEHPLVFVVDKRIYLDKDTGNICICCSEEVWGSYFVCLDCKVYLHMHCALGLAADMINHQPCHRKHSLFLHGSTTNLELVSCIICQTTDERRFFYRCSTCKFGLHIECVSPSPVIDTASHEHPFTCLLRQQSFICDACGTGGDCAPYHCHICNLLVHKKCISLPRTFKITRHHHLLSHAYFHQESEFRSWECKICHEVVDCEHGSYSCSVCNYVVHVNCAKEMIDSDGLVETMNKDVKKFNNSSVLLFDESKTFIVIEEDEEHKIDKEKMRHLKSSVNWMILRGIGAVKLDSNEIAMKKRQFSLLVLDLIRRDEIVDDEIRHLSCELDSIVRDEINGDNELDEARKKDLKMMHLRHVFDSVLRGDIGFNDLDEEYIIAKKIRHFSHVQHNLILSDEIGDVKHCYGCTHSISTPFFYGCSDCDFFLHKSCVELPRQKYKWLHKHLLTLYSDTIFKCNWCYHECSGFSYYCGKCDVNLCLHCHRIPEDSVHHPAHKHPLYFYDKQEGNCNGCGNHMDYVFKCKSCNFALDFQCLNLPSWVEQKCDRHTLTLTYQDDDDPSSHYCDICEEERDPNQWYYHCAICDKSVHPKCVRGKYPFIDPGGYYRDKHPHLLKFVKKAYYYPKCFSCGKHCLDLALECTEFGCNYIVHWECIKPPWLCLEGKMGRKCQMSETWRK >OMO58764 pep supercontig:CCACVL1_1.0:contig14169:47549:53387:-1 gene:CCACVL1_25368 transcript:OMO58764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAISSDRLRSVAELGAAAGIDAK >OMO58763 pep supercontig:CCACVL1_1.0:contig14169:46170:46940:1 gene:CCACVL1_25367 transcript:OMO58763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSEGKSPDCLISDPGLSPRITADLQQNRENKNPNSSKSGFDMDISDHETAATSKDACEYDVDEPGYASSQPGNADGYWKDSCYEGNNEFLKSGDGWNQIRYASDDVTSVRGWLEDLGFSRYAGIFEMHEVDEEALPLLTLDDLKEMGVFAVGHRRRLYTAIQQLRGDVSS >OMO58762 pep supercontig:CCACVL1_1.0:contig14169:2390:7567:-1 gene:CCACVL1_25366 transcript:OMO58762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIWNKARSFAEEAAKRSSELTIGSAKLSDIVTEASKRSKEIAAEASKRADRIRVEAVKRADLIKSSLAEGIAPPQYTEAQVEQVKELERFGINEELRDFVKGITPSTFQDFPIPDDSPMSDVPTVSNVRQDLSEWQEKHAHLVLSTVKEISKLRYELCPRVMRERKFWRIYFILVNSHVAPFEKQYMEEIKQNSADQVTNENLKKSSGVEMTSKPEGKEITSKPEAKETKQPSKTSTSSAEQDLDVFLLGGDSDEGPDDEDGDFDDDFDKMVDSSYEEENAILEVNPSLVQETLGVGSHCLLGGLPIRALGDKINLFQFEKDAEKVRRFDADLKSVTGNTVTSFHPTYVEDYKNQNQFQAWEYNSFFFVWSKKKSRGS >OMO72943 pep supercontig:CCACVL1_1.0:contig11329:2906:7877:1 gene:CCACVL1_17501 transcript:OMO72943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCHGKPIENQQNQSRDLSISGEIDPPPNSHSKKSSNFPFYSPSPLPSLFKTSPAIPSVNSTPLRIFKRPFPPPSPAKHIRALLARRHGSIKPNEASIPEGNECEIGLDKSFGFSKHFMSHYELGEEVGRGHFGYTCSAKAKKGSLKGHDVAVKVIPKSKMTTAISIEDVRREVKILRALTGHKNLVQFYDAYEDDDNVYVVMELCKGGELLDRILSRLLKAYIGSSALRKTALGALAKTLTLPQLAYLREQFTLLGPNKNGFISMHNYKTAIMKNSTDAMKDSRVLDYINMISSLQYRKLDFEEFCAASISVHQLEGMETWEQHARRAYDLFEKDGNRPIMIEELASELGLSPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGISSRTFQKS >OMO84087 pep supercontig:CCACVL1_1.0:contig09794:2209:2882:-1 gene:CCACVL1_11003 transcript:OMO84087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAHLFNFNFDFSAAFMVIIVGPEFDALDRFFLTSRDKNCDEMASAIAA >OMO90925 pep supercontig:CCACVL1_1.0:contig08407:729:4700:-1 gene:CCACVL1_07261 transcript:OMO90925 gene_biotype:protein_coding transcript_biotype:protein_coding description:disease resistance protein MLEELEIGWMENLEKVWPNNQIADEEPLPELIEFRLECCKKIRYVLPLSMSKRLQRLKKLKIDNCELVEEIFEPQLPVSPERFPSSSVAQAENVITLKFPQLTKLSLRDLPNLKSFYHGTHTISFPSLKKGLKVCDCDKVKVLFASNFPETSGNKQQENLIQLPLFCLDKESFINLEEFSFGATETVDGMKEIWHAYGPGHNMKEYFPALKAVELKVHIKDMAQSYLKSLPNLECLKVRIYGYGGPGVGGDDEHEHELNPSSSLALLADRSRSTELSLDRVKELRYLWKDREGIFQNNIGDHGFINLVKLDVYGCRGMVKLVTPSVAKSMLLVHLQQMNISWCYNLEEIIDADGEGEVVKAESICFPKLKHLELSTLKKLESFSSSGNYTFQFPSLQTVQLNDCPNMKMFSPADPSTPSCFKVIFGRKERYSSNSLNKTVQEMLKLEEAY >OMO96821 pep supercontig:CCACVL1_1.0:contig07370:18544:21424:-1 gene:CCACVL1_04763 transcript:OMO96821 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin/lipoyl attachment MKTGGLQHSQNCSTVVKAQLNEVAVDGSSNASASSPVKSGASAAEAKDAKLSSEASPPALATEESISEFLNQVSSLVKLVDSRDIVELQLKQLDCELIIRKKEAMPQPQSAAPVVMMQPPSLPPVMPPTSIAPAPSAQAPSAPTPAPSAAAPKSAKSSLPPLKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVICIVEAMKLMNEIEADRSGTVVEIVAEDGKPVSVDTPLFVIEP >OMO74278 pep supercontig:CCACVL1_1.0:contig11146:38135:41081:-1 gene:CCACVL1_16856 transcript:OMO74278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPEDSPTPSSSPPPSPDVSPPPPPSKSSPPPPPPPSSSPPAPAESEESPPPPPPPSSSSPSPSSSPPPAPGKANNGTPSPPPPPLSHSPTTPAPKVTPKNGTPTLASPPPPPSSPNSDGSDSLPTLPIVVGVTVGVALLFTIIIALVVAIVWNRKKKSKYDNQIQYYTTPSTSPNGGGYGMSPIEEQNKMKNGYRANNDHLVINLPPPPGGNGGRGGWPQAMLSPQGQRPPVEMSPTYSVQNSSPSIPPSYPSPMGLSQGTFSYEELAIATHGFSQANVLGQGGFGFVHKGILPNSKEIAVKSLKSGSGQGAREFQAEVEIISRVHHRHLVSLVGYCIEGDQKMLVYEFVPNKTLEFHLHSKQYVAMDWPTRLKIALGAAKGLAYLHEDCSPRIIHRDIKSANILLDFNFEAQVADFGLAKLTQDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVYSFGVVLLELITGRRPLDLNSDMDESLVDWARPLCTSATEDGDFTRLVDPRLENNYDHEEMARIVACAGACIRHSARRRPKMRQIVRALEDDVPLDDLKDAVKAVKSSRSMNYSYDYDADMARFRKLALESHGDGTSEFGNTSEYGLNPSSSSSDESGSYEIPSRRKP >OMO74274 pep supercontig:CCACVL1_1.0:contig11146:14354:18190:1 gene:CCACVL1_16851 transcript:OMO74274 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate cyclase-associated CAP MEGKLIERLEAAVARLEALSAGGGVSSRDLPDIGVDVSSDPSIVAFDDLMAQYASKLSAAAEKIGGQVLDVTKILIEAFSVQKKLLMEIKQTQKPDMAGLAGFLKPLNEVIMKANAMTEGRRSDFFNHLKSAGDSLAALAWIAYTGKDCGMSMPIAHVEESWQMSEFYCNKVLVEYKNKDPNHVEWAKALKELYLPGLRDYVKSHYPLGPVWSASGKKASSAPPKAPPGAPAPPPPPPASLFSSEPSQPSSSRPKEGMSAVFSEINSGNVTAGLKKVTADMKSKNRADRTGVVSASEKEPRSSPSSFSKAGPPKFELQMGRKWVVENQIGRKNLVIDECDSKQSVYVYGCKDSVLQIQGKVNNITIDKCTKMGVVFKDVVAACEIVNCNGVEVQCQGSAPTISVDNTSGCQLYLSKDSLGASITTAKSSEINVLVPAADSDGDWAEHALPQQYIHTFKDGQFETTSISHSGG >OMO74273 pep supercontig:CCACVL1_1.0:contig11146:8878:12143:1 gene:CCACVL1_16850 transcript:OMO74273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSGEPDNKNNSITHILSSKTPLFGLKLYIILSILVVVVLLFSFTIFLCFRRNRNARKRKVKHSSGLIPLVSKEIVEIKALRQNLDCFPEKGKAGNVVPTKSSEGVSDDASGTSDVSADVQNIGWGRWYTLKELEMATRGFAEENVIGEGGYGVVFRGILPDGSVVAVKNLLNNKGQAEKEFSVEVEAIGKVRHKNLVGLVGYCAEGAQRMLVYEYVDNGNLEQWLHGDVGPVSPLTWDIRMKIAIGTAKGLAYLHEGLEPKVVHRDVKSSNILLDKKWNAKVSDFGLAKLLGSEASYVTTRVMGTFGYVSPEYASTGMLNEGSDVYSFGVLLMEMITGRSPIDYSRPPGEMNLVDWFKGMVASRRGEELVDPLIEVQPSPRALKRALLVCLRCIDLDANKRPKMGQIVHMLEADDFPFRSEHRPARERDTVPASAPKSSTKVAHQTKNVESAGLEKTRWR >OMO74277 pep supercontig:CCACVL1_1.0:contig11146:30836:36336:-1 gene:CCACVL1_16855 transcript:OMO74277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLVKKDDDRDDEADYSPFLGIEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGESFTKVEATEVFFAVTKLFQSRDIGLRRMVYMMIKELSPSADEVIIVTSSLMKDMTSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVNKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESANNTQTGDRPFYDFLEGCLRHKAEMVIFEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKHRSLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPEAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTSDPSKYIRYIYNRVHLENATVRAGAVSTLAKFGAMVDSLKPRIFVLLRRCVFDNDDEVRDRATLYLNTLGGDGAVVETGEDVKDFLFGSLDIPLVNLENSLKNYEASEEPFDINSVPREVKTQPLAEKKAPGKKPTGLGAPPPGPPSTVDAYEKLLSSIPEFANFGKLFKSSAPVELTEAETEYAVNVVKHIFDGHVVFQYNCTNTIPEQLLENVIVVVDASEAEEFAEVASKPLRSLPYDSPGQTFVAFEKPEGVPAVGKFSNMLRFIVKEVDPSTGEAEDDGVEDEYQLEDLEVVAADYMLKVGVSNFRNAWESMGADFERVDEYGLGPRDSLAEAVNAVINLLGMQPCEGTEVVPNNSRSHTCLLSGVYIGNVKVLVRLQFGLDGPKDVAMKLAVRSEDEVVSDAIHEIVASG >OMO74279 pep supercontig:CCACVL1_1.0:contig11146:42117:44779:1 gene:CCACVL1_16858 transcript:OMO74279 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MPSRRRTLLKVIILGDSGYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGVNVEEAFQCIAKNALKSGEEEEMDDLTVCLNFPLHYNFLWMLTGNVKMVGNSGFLNAIEI >OMO74281 pep supercontig:CCACVL1_1.0:contig11146:52713:53597:-1 gene:CCACVL1_16860 transcript:OMO74281 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organ specific protein MKSFLSFLGFLSLLLLADSIAAARKDGGEYWRAAIMKDESIPEAIESALVRVNAAAASSSGDKTDCHDSPKNVETKEEKIIVEEFELPRPNNYNHVEGGKSFADDFEPRPNISAYGDGDVKGEKKSFVEDFEPRPNISAYGDGDLKGEKKSFANDFEPRPNISTYHD >OMO74276 pep supercontig:CCACVL1_1.0:contig11146:26927:29780:1 gene:CCACVL1_16854 transcript:OMO74276 gene_biotype:protein_coding transcript_biotype:protein_coding description:G-protein, beta subunit MSVAELKERHAAATETVNNLRERLRQRRQQLLDTDVAGYARSQGRSPVTFGPTDLVCCRTLQGHTGKVYSLDWTPERNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPTGQSVACGGLDSMCSIFNLNSPTDRDGNLPVSKSLSGHKGYVSCCQYVPDEDIHIITSSGDQTCILWDITTGLRTSVFGGEFQSGHTADVLSVSINGQNSRMFVSGSCDGTARLWDTRVASRAVRTFHGHEGDVNTVKFFPDGNRFGTGSDDGTCRLFDIRTGHQLQVYYQQHGDGEVPHVTSIAFSTSGRLLFAGYTNGDCYVWDTLLARVVLNLGSLQNSHEGRISCLGLSADGSALCTGSWDTNLKIWAFGGHRKVI >OMO74280 pep supercontig:CCACVL1_1.0:contig11146:45704:51135:-1 gene:CCACVL1_16859 transcript:OMO74280 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, ZZ-type MDEKRREAGNSPAGPSSAEPEPASTRRRGGALKRKANSLSGSSSSSTPSKRITREKSNLISHSPINHYGPLTRARQGAPGASQASGLGPGSGGAKLEEISLGKECVKAEDLEELNKASEEWEALEAKIEAEFEAIRSRDSNAHVVPNHCGWFSWTKIHNVEERVLPSFFNGKNPMRTPDVYMEIRNWILKKFHANPSTQIELKDLSDLEVGDLDARQEVLEFLDYWGLINFHPFPPVDSTLPTADGDGTAKTDSLLDKLFHFEAIESRPPVVACPNPSTPSVPSGFFPESAIAEDLVRPEGPPEYHCNSCSADCSRKRYHCQKQADFDLCTDCFNNGKFGSGMSSSDFILMEPAEVPGLTGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDVFFDSDGNVDANSKEAAGPAAMSDEASVPKEAPETTESKATPQEDQAETTPMETSKPEDEKEVRVETSNPEPGTDAKVGPETSKPEETNEAKDGQETDENCALIALREAFEAVGYLSTSESSLSFTDVGNPVMALAGFFARLVGPNNAAASAQNSLKSLSCSSPNIQLAARNCFLLEDPPDDKKEPTVSESVVNDMANRDSQNVENMEEGSLKEDKSSPVSDQKNSLSNHVDQNAEVSPPEEKVTSSVSPNDLPSDKKEPSTLGTSEEVKKANLNDSSTIDQSKDNQPNVIGQSDNASSQVPPGPVEETGGKKTSVEDPSQPTGSVREVDMSDSVPLEKNEPCDAAASKPVGEQSKPAEVSESVEKVSDSPSRGKNEQQPVKLSSLGEPTQATEAPNDVEMVSDSQPLEQSEPQQQVGSNAVKENGATTDEKGGKNENHDSTETKADLSIDKVKRAAVTALAAAAVKAKLLAEQEEDQIRQLTTSLIEKKMTKMESKLAFFNELEGVMMRVKEQLDRSRQRLYHERAQIIAARLGLPASSSRAMPPSNTANRIATNFANSVARPPMSMIAPRPPMSRPLGPMTPTPSNPFVSTTVTGSSIRPASSDNLSSVESK >OMO74275 pep supercontig:CCACVL1_1.0:contig11146:20476:24643:1 gene:CCACVL1_16852 transcript:OMO74275 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MATDLFFFLSLLLLSLHYSSAEPFIGVNYGQVADNLPPPSATAKLLQSTSIEKVRLYGADPAVIKALANTGIGIVIGTANGDIPALASDPNSAAQWVNANVLAFYPASKIILITVGNEVLTTNDPNLINQLLPAMQNIQSALTAASLGGKIKVSTVHSMAVLGQSDPPSSGSFVPSYQPALKGLLQFQKDNGSPFAINPYPFFAYESDSRPETLAFCLFQPNAGRVDSGNGIKYMNMFDAQVDAVHSALSSMGFKDVEIMVAETGWPYAGDSNEVGPSIENAKAYTGNLIAHLRSKVGTPLMPGKSVDTYLFALYDEDLKPGPGSERAFGLFKPDLSMTYDAGISKTSQTPSTPTNPVTPVTPQPKPTVAGWCVPKAGVSDAELQSSLDYACGQGIDCSPIQPGGACFEPNTIASHAAYAMNLYYQSSAKNPWNCDFSQTATLTSQNPSYNNCIYPGGST >OMO74282 pep supercontig:CCACVL1_1.0:contig11146:57928:58497:-1 gene:CCACVL1_16861 transcript:OMO74282 gene_biotype:protein_coding transcript_biotype:protein_coding description:Organ specific protein FANSSIAARKDPGEQWRAIMKDQPMPEAIKGLLHTDAISSFSDEKTNCHDDTPADRSFKIKKEEIFVKDFEPGHGVTDSIGLKSFTKDFEPRPNPTAYSNDVGLKSFAKDFEPRPSASAYTDDVGLKSFAKDFEPRPSASAYTDDVGLKSFAKDFEPRPSASAYTDDAGLKSFVKDFEPRPSASVYTDE >OMO74272 pep supercontig:CCACVL1_1.0:contig11146:6232:6414:1 gene:CCACVL1_16849 transcript:OMO74272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIKPGAPRIESMFFDFLAHELYTFLINMVFIIKGPALVPHCKLCVRFDFDLIGQDTGG >OMO95037 pep supercontig:CCACVL1_1.0:contig07748:40355:45921:1 gene:CCACVL1_05627 transcript:OMO95037 gene_biotype:protein_coding transcript_biotype:protein_coding description:Donson MAKVATHGALTSTSLQIGGDALKVGPTVKRKTPSELRGEQLKRTNVAELVNKSFAPSEMENGLKKPDLPRNPRYIDTRMNEVYPAKKSRFKLLAGKENAKENSSIEQPSSLRKISALSDLAAKRRQQLSCPEASIASVDDPKDDEAHARRTLEKCSQGTFLSVTELSSGGQKASGLAAIDMDKALKGLAARESIPNVPPESSGKFDDLSPTGNFCSEFHVTGQKIPVDFTLKTYMRLVSSSSVNWLNRAMMCGTYNGMPQLKSQSGWSENQNISSASQTRFASQVLDSKALHSWIYPQSTLPPSIVSILASSACEGEMDFLRNRQRAWEDSFRSLYYMFRENVCSIFYVCTSNFVVMFAAAGGSGRSRKSCQAYISQSTRGLRSLLKEHDVSFSMPLCRSQIEQVTTEDLVELSEIEKHNLGQTRRKHSFSDVDNTPQSLLAFSGIDNVHGLYEILLNYRSFLTFLNAADVPVLYSPVPFQNAALSAPNVRCREIKMADHGAAPPKGSTLKDGDFRAISSNGLCYCLEIKDSYIPPWIISNICALMASEGRSFEASFTTELASVGLNTALEAVCEEADSEATIDEESEEISDAFGIPEAIVSPRMLSGLLKGLNYCNGSYTASLSPV >OMO95036 pep supercontig:CCACVL1_1.0:contig07748:29137:29394:1 gene:CCACVL1_05626 transcript:OMO95036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDSTTATTSSDIQQISYLSSPSSSAGDIVADESMADKLNKDNYYKMFDGDGIMAKTSNNNNEVDFADQILGMSPTWLRFLACV >OMO95035 pep supercontig:CCACVL1_1.0:contig07748:27225:27704:1 gene:CCACVL1_05625 transcript:OMO95035 gene_biotype:protein_coding transcript_biotype:protein_coding description:VQ motif-containing protein MSSSRRIRELQGPRPTPLKVSNTSSKIIKKSSTTSHLQAPKNNRSKIIDPVVIYLRSPKIIHVRPEEFMSLVQRLTGKDSTTATTSSDIHQISYPSSPSSSGDIVADESMADKLNKDYYKMFDGDGIMGKTSNNNSNEVDFADQILGMSPTWLRFLACV >OMO95034 pep supercontig:CCACVL1_1.0:contig07748:365:746:-1 gene:CCACVL1_05623 transcript:OMO95034 gene_biotype:protein_coding transcript_biotype:protein_coding description:white-brown-complex ABC transporter family MEKPPLNSTTLARTKSDQLVETLAAAFKSPTQSDQAPGTSDSGGTLSRKSSRRLMMASPGRSSGGGKNTHIRKSRSAQMKLDMEELSSGAALSRASSASLGLSFSFTGFTVPPDEIADSKPFSDDDI >OMO82487 pep supercontig:CCACVL1_1.0:contig10029:177:482:1 gene:CCACVL1_11939 transcript:OMO82487 gene_biotype:protein_coding transcript_biotype:protein_coding description:TLDc CAVNFSSEAIPNGIGFGGRINHFGMFLSAGFDQGHTFSCTTFNSPCLSKTSQIIPEVIECWGVVRKGMEQDKQDAVKGTVLERFKEDRHMLNMVGIANASE >OMO82488 pep supercontig:CCACVL1_1.0:contig10029:27921:28076:1 gene:CCACVL1_11940 transcript:OMO82488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHIADECRLAGAPIDDDDLVLHILRGLGSEYRELTTTSIYELGSFDLYAI >OMO82489 pep supercontig:CCACVL1_1.0:contig10029:63161:67306:1 gene:CCACVL1_11941 transcript:OMO82489 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reverse transcriptase, RNA-dependent DNA polymerase MLQVKLTATNFSSWRAQFDALLFGYDLVGYVDGSLPAPASEIEKDGQKVPNPTYSFWMRQDKLILLSIFASTSENILPFIASSITSMEAWEKLIKIENPISFEELHDKVAAFELQLKHEAFLSDVSIPTAHYTGKSATDHSSRNFHSRGRSFHNGRGYNDQRSNSRPVCQICDKVGHLAKTCRQGKRFFTSSPTSSPTANYASATSGENSWCMDTGASHHITSNLKNLSIVSDYEGNDEVIVGNGSGLPITHSGIVNLHCHDKSYKLRDVLCVPTMKQDLIFVSKFCKTNNVFVEFHDDFFLIKDVQTKQALTRGLLIDDVYQLPTSTLLKSPFAFSSVHVSLVDWHNRLGHPSHSILQSILNNFSLPSSKAEFSLCNACTIANDISNSFLSYPHLIHLQKILLPASSLESSSLQQSSSTTAPVHEVPSTSMPSEVILLLPSSTAAPSVTPMQAELPSSSMPSQSLPVTSQGRASVRRPAGNTHSMQARAKNNIFKPKTVSIATKYPCSSSIELTCVSQALKDEKWSAAMSEEINALLRNGTCQLVPKSNAQNLVGCKWVFRIKRNPDGSVSRYKARGQRQNWKLFQLDVNNAFLQGTLDEDVFMKQPPGFVDSSNPNHVCKLKKALFGLKQAPRAWYTALSSFLHDYGFMQSKSDPSLFLYHKDGITVYFLVYVDDIILTGSDLQFVHKFVNALSTRFSLKELLPLHYFLGIETVFVRDGLFLSQRKYIVDLLQRTNMLDSKAVTTPMASTTSLTIDDSESLSDPTRAGDKGDRKSVSSYLIYFAGNLVSWKCSKQKTVAKSSTEAEYRAIANAAGESSWLQNILQELHVSTKQVPLILCDNVGATYVSMNLAFHSKMKHMSIDFHFVRDKVNTGQLTVRHVPTHDQLADLLTKPLPRSKFLHLVSKI >OMP11281 pep supercontig:CCACVL1_1.0:contig01424:3711:6704:-1 gene:CCACVL1_00587 transcript:OMP11281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RQLLEAKEAVERQRKQLKKRQSDKGDAIDAEQGAQEEDILIQDEIYKSRLASIKREEESILRERDRYEIDKGRLIREMKRIRDEDGSRFNNFQILNNRYALLNLLGKGGFSEVYKAYDLVDHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHHNIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPVLPEKEARIIIVQIFQGLVYLNKRSQKIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSKIPLISSKVDVWSAGVLFYQMLFGRRPFGHDQTQERILREDTIIKARKVEFPSRPTVSNEAKDLIRRCLTYNQAERPDVLTIAQDPYLTYSKK >OMP11280 pep supercontig:CCACVL1_1.0:contig01424:2642:3123:-1 gene:CCACVL1_00586 transcript:OMP11280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLSSASTFPCSNPPKTQIPQLRNSTVRVRNPSLVARCESSSPEPRRSRLEIGSPIIVVEAPKMIKTAASVPCLRVNAGLVKPGDVGRVVSRKPKDVWAVRLAIGTYLLDGKYFKPLEFDE >OMO70362 pep supercontig:CCACVL1_1.0:contig11836:21674:31156:-1 gene:CCACVL1_18958 transcript:OMO70362 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyprenyl synthetase MTTLFSRIVSRISGTPRKTLFGCRQCYQSLVFKTLCHSAIDSDSKGDTALMEKVDPYSLVADEISLVSKRLRLSVVAKVPELSSAAGYFFKEGVEGKRTCSMVLLLMARAMDIHGTETPFYCTKDSVTTELHRRQQLIAEVTEMIHVASLIHDDVLDDADTRRGIGSLNFVVGNKLAVLAGDFLLFRALRVLASLENTEVVSLLATAVENLVTGETMQMAATVEQCCSMEYYMQKTYNKTASLISNSCKAIALLSGQTPRVATLAFEYGKHLGLAYQFIDDILDFTGTSASLGKGSLSDIRQGIITAPILYALEEFPQLQYVIEQGFENPANALDYLWKSHGIQRTRELARKHANLAAAAIHSLPQTTTMDVHGAREISSWRLPALLGLGRQINQSSSVTEEQLDPFSLVADELSLVANRLRAMVVAEVPKLASAAEYFFKMGVEGKRFRPTVLLLMASALNVHLPEPTTSDTLVTDLRTRQQSIAEITEMIHVASLLHDDVLDDADKRRGVSSLNAVMGDKFAVLAGDFLLSRACVSLAALKNTEVVSLIATVVEHLVTGETMQMNASSEQRCSMEYYMQKTYYKTASLISNSCKAIALLAGQTAEVAMLAFEYGKNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIVTAPILFAMEEFPQLHAIVYQGFDNPENVDIALEYLGKSRGIQRTRELAMKHANLAAAAIDSLPESNDENVRRSRRALVELTQRVITRNK >OMO70363 pep supercontig:CCACVL1_1.0:contig11836:31561:32484:-1 gene:CCACVL1_18959 transcript:OMO70363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochodrial transcription termination factor-related protein MSAAAATALQSSLCISSQKPSSSSSSPANSQQPNTLLSAKPKSILHKHPLYSSTHQNLSLQIKEKILCLEIMGIDSGKALSLNPSLHTASLHSIHSIISFLQSKGIHQKDLPRIFGMCPKILTSNIKTELNPVFNFLSQYLNVPENNYRKVINKCPRLLTSSVRDQLKPALFYLQRLGFRDLEALAYQDPVLLVSNVENTLIPKLKFLETLGFSSHEAKSMVLRCPGLFTFSIENNYKPKFEYFNEVMKGKLEELKEFPQFFAFSLEKRIKPRHIEVMQSGVKLPLANMLKSTDEEFKELLRKGGNS >OMO70359 pep supercontig:CCACVL1_1.0:contig11836:4982:9766:-1 gene:CCACVL1_18955 transcript:OMO70359 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative hydrolase MCLIQPSDPPCPVCSQSLSVPPERNPNYRCNASLLIDYCSNNGNHNYIIIDVGKTFREQVLRWFTFHKIPRIDSIVLTHEHADAVLGLDDIRAVQPYSPTNDIDPTSIYLTPYAMDSIATKFPYLVQKKLREGQEIRRVAQLDWKIIEEDCNKPFVASGLKFVPLPVMHGEDYICLGFLFGEKFKVAYISDVSRFPPNTEYVISKSGAGQLDLLILDCLYKKGSHNVHLCLPQTLEALKRICPKRALLVGMTHEFDHHKDNEFLMEWSKREGINVQLACDVVFVDELIESLKQFSVCTETLGCVQSSIFKSIHGNLIIWYGGWMKKSTENKELLTTTLLSMVTSMSSMAVLVEHGFFDAYAGESKDGSNAAKFSSGDIVSMNVISSSSNNDLNDVSYANLALFKSRFLKMEGATAGVCLKCQSMPRVASLYVWKSLLHCYSWILTSDYRKTMMPYLDRFSLSVKYDIFWVVYVSSENVQNYQIP >OMO70364 pep supercontig:CCACVL1_1.0:contig11836:34613:45442:-1 gene:CCACVL1_18960 transcript:OMO70364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIRHPNVIRMYEVMASKTKIYIVLEFVTGGELFDKIASRGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDANGVLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMALYKKIHKADFNCPPWFSSSAKKLIKRILDPNPLTRILVPEILENEWFKKGYMPPRFEQADVSLDDVDAIFNESGDSQNLVVERREEGPVAPATMNAFELISTSQGLNLSSLFEKQMGLVKRETRFTSKCPANEIISKIEEAAMPLGFDVKKNNHKMKLLGEKTGRKGHLAVTTEIFQVAPSLCMVELRKSGGDTLEFHKFYNNLSTGLKDIVWKTEEGIVEEKNGGATASGRSQPKRAKREKGAAMREEVISSGGTIDPTPAASSAGASSPAVPNVGSIDWSGHGQNSKAVSQSCVGSQAPWTSLSTSAGGSALGSSRTSCRPWERGDLLRRLATFKPMNWFGKPKLASSLACAQRGWMNIDVDKIACETCGACLHFASSPSWPASEAEDAGLAFSKQLDVGHKVACPWRGNSCPESLVQFPPTPQSALIAGYKDRCDGLLQFQSLPVIAASALEHMRVSRGPQVDRLLSQLQNYMTEFESRSENIPEFDNPRDGAFCLYYRSQKLISLCGWEPRWLPNIQDCEEHSAQSARNGCSFGPSTAQLHLSQDPGPSKNALAASAKDTGKNKLLVVESRSELRSPLLDCSLCGATVRILDFLTVPRPARVGPNNIDIPDTSKKMGLTRGVSAASGISGWVAADDPDKETEDRDEVGTNDERKLMQKSDVDLNLTMAGGLSVNQLGRATTSRNMNDADMGRDLMIGQPAGSEVGDRAASYESRGPSSRKRSLEIGASSDDRPQLPLQQADSVEGTVIDRDGDEVTDGRQYSAGPSKRARDSDIFDTNCSYPRDSSDAGPSHSMGFETHADGNRFALFRQGSDHVFGNPSARDSTRASSVIAMDTVCHSADDDSMESVENYPGDVDDIHFPSSSTYGHLDMNDTSELNYSNQAQQSICFQPAAEALPGEMGISSTNDGEEIFNAETVTAQARDGLSFGISGGSVGMCASHEAEIHGADVSVHRTDSVVGDVEPRIEDAENQGQTGESAPDPGLMDEVVPDEINREDPHGDSQEMLSRSLGRADSGSKVDGFAKAESVESGEKISQSCKLVPDNTAHPSLSCNANVYGHETPKKGVKDAGKSSSINNFPYPYPESDYAVANGIGPPKGESNYEEAMEFDPIIHHNQFCPWVNGNVAAAGCGNSGSSTSADVVALCGWQLTLDALDALRSLGNIPVQTVQSESAASMHKDDHQTPGKKLLRLHSMNQSHGQH >OMO70358 pep supercontig:CCACVL1_1.0:contig11836:2970:4417:1 gene:CCACVL1_18954 transcript:OMO70358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWVQGDMVDQAEAGFNKVNKPIFLLMLFNPNPFN >OMO70361 pep supercontig:CCACVL1_1.0:contig11836:19058:20288:1 gene:CCACVL1_18957 transcript:OMO70361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDNSSLDAEDFSDVFGGPPRSVLCRKYSGDFTRSSSFYEEVFRPPEFFSSQATKGGRSLPAFRIPARSDGFYSDIFGSDDDIRRSRERSRSNSKAKSNSSSVLSSEELSPLRPAVGDDVGLSSFASKLRPINVPCRWNSTTMMPEQQLLSAFPSSRSFYNENLYMENEYINGDNLRNSNSYYGFSRRATSPEIISLEPHSFRSVKISADDLDQFNSPSSPASSLCQEPETRAGMFVPKKGQVKLFRLMKQLLGQKRDIILKVPKQNMRNMNSPLKQKNKTSLNQKKKKKTKDQKKM >OMO70360 pep supercontig:CCACVL1_1.0:contig11836:10762:13623:1 gene:CCACVL1_18956 transcript:OMO70360 gene_biotype:protein_coding transcript_biotype:protein_coding description:pentatricopeptide repeat-containing protein MGVQDAGELSLFNDPKGGQQKNLHPDFGDFDEVDEEEGEKGGDCSDDDDDDFMVLDSCKEPRVQKEDVWRVELGEDEFRHPLVREVDERVALEFFYWADRQWRERWMRQKLILGQGQSGGTLSVTALTTSWDRTIHAQMENNS >OMO58559 pep supercontig:CCACVL1_1.0:contig14207:14443:14973:1 gene:CCACVL1_25443 transcript:OMO58559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEGEETDEEKDNELKKKALSDGEGSNKEKGMQTKTMEQKWKELAEKKKQAEIAARKQGEAIAKKMIEEAKRKKKVGTNSDKEAEKSKQQGDADKLVQPSTNTLKIADSEVIHSSLQQFAEEDPKFAKAATKTIENFAELIHAHSTRGGRKYKGSNQTGKETYEQIIDRQQSQK >OMO58560 pep supercontig:CCACVL1_1.0:contig14207:31203:34622:-1 gene:CCACVL1_25444 transcript:OMO58560 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase S10, serine carboxypeptidase MGRWIGIGIGIGMIALVVLGVKGYPEEDLVVKLPGQPPVGFKQYAGYVDVDHKAGRSLFYYFVEAHHHPHNKPLTLWLNGGPGCSSIGGGAFTELGPFFPRGDGRGLRTNSMSWNRASNLLFVESPAGVGWSYSNTSSDYIRGDASTAMDMHIFMMKWYDKFPEFKSRELFLTGESYAGHYIPQLADLLLDHNARSTGFKFNIKGVAIGNPLLRLDRDVPATYEFFWSHGMISDEIGLTIMNECDFEDYVFESPHNVSQTCNDAIAEANNIVGEYINNYDVILDVCYPSIVEQELRLRKIATKISVGVDVCMTYERRFYFNLPEVQMALHANRTKLPYPWSMCSGQLNYSDTDGNINILPILKKIIKNGIPVWIFSGDQDSVVPLLGSRTLVRELAHDLNFKITVPYGAWFHKHQVGGWVTEYGNLLTFATVRGAAHMVPYAQPSRALHLFSSFVRGRRLPNNTRPSIDD >OMO58561 pep supercontig:CCACVL1_1.0:contig14207:38669:38755:-1 gene:CCACVL1_25445 transcript:OMO58561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DREKGMSVILMAFGSSGEVLIGNHGGRQ >OMP10425 pep supercontig:CCACVL1_1.0:contig02532:559:627:-1 gene:CCACVL1_00973 transcript:OMP10425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNAQYPSRRTKFDHDQALSGSS >OMO67805 pep supercontig:CCACVL1_1.0:contig12383:3848:8080:1 gene:CCACVL1_20302 transcript:OMO67805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAFGRTKILTNHLLQLQLQSSASPNSSTASLSSNACLSFTPPELSETYAFDIKEMRKLVDRHHVEERDWLFGMMRQSEVFNPRERGGKVFVTPDYNQSMEQQREMTWKRIEYLRDRGVFKGWLTERSEESEMRCFACMEVMCTFDHSLAIKVGVHFFLWGGAILFFGTKQHHDKWLRDTENYLIKGCFAMTELGHGSNVRGIETVTTYDANTQEFVINTPCESAHKYWIGGAAKLNINGINQGVHAFIAQIRDANGNVCPNVRIADCGHKIGLNGVDNGRIWFDNVRVPRENLLNSVADVSADGKYLSSIKDPDQRFAAFLAPLTVGRVNIAVGAVYQSKVALAIAIRYALTRRAFSVKPYEPEVLLLDYPSHQRRLLPLVAKTYAMSFAANYLKMLYVKRSPQTNKTIHVVSSSFKATFTWNTMQILQECREACGGQGLKTENRVGQLKGEYDVTTTFEGDNNILMQQVSKALLAEYVAAQKKNKPFKGLGLEHMNKPCPVIPSQLTSTTLRCSQFQMDALCLRERDLLNRLVANISQHVAKGESKEHAFIMSFELAEDLGRAFSDRVIFQKFLEAEATLAEGSLKDVLGTLRSLYALTCVEDASFLRYGYLSVDNSAFVRREITKICSELRPHALALVSSFGIPDAFLSPIAFNWIDANSWSSVQHR >OMP11225 pep supercontig:CCACVL1_1.0:contig01471:104:1154:-1 gene:CCACVL1_00618 transcript:OMP11225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMAISFPEFIKSKQDNSYVIMATNDFSFHLTANIIKIKACISKDHLARLLGDPYREGRNHPWRNIAK >OMP11226 pep supercontig:CCACVL1_1.0:contig01471:2095:6021:1 gene:CCACVL1_00619 transcript:OMP11226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANPPKPWKAEYAKSARSSCKTCKNIIAKEVFRLGKMVVATQFDGFMPMWHHANCILKKAKQIKSVDDVEGIESLRWEDQQRIRNYVNNAETANTTTATPPAMEYAIEVSQTSRATCKHCSQKILKGEVRISSKPKGQGSRGLVWNHAGCFSKLSPATQVEKMPGWESLSSSDQATVIVLFSGKGTEVSDDNQPKSTSKAGTKRKVGVGNDQRSKVTKLEGNVPTDRAVSTKNTSDLTDKMPKDFDLESKLEAQTKELWALKDDLKKHVTTGEMREMLEANDQDATGSELDLRDRCVDGMMFGALSRCSICSGFLRFSGGMYRCHGYLSAWSKCSYSTREPERLKGKWKVPDETKNEFLSKWFKSQKVKKPVRILPPPSASSSQAATGQSQTSKAESLADLKVSFAGLARESVEEWKGKIEGAGGLIHAKIRKDTNCFVVSGELDGHDAEMRKARRMKLPIVREDYLVDCFKRQKKLPFDLYKVEAIGEASSMVTVKVKGRSAVHEASGLQDSCHILEDGGSIYNTTLNMSDLSTGVNRCIGTSISLGGS >OMO55603 pep supercontig:CCACVL1_1.0:contig14655:16179:18728:-1 gene:CCACVL1_27151 transcript:OMO55603 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone chaperone, ASF1-like protein MSAVNITNVTVLDNPASFLTPFQFEISYECLTPLKDDLEWKLIYVGSAEDETYDQLLESVLVGPVNVGNYRFVFQADPPDPSKIREEDIIGVTVLLLTCSYLGQEFVRVGYYVNNDYDDEQLREEPPTKVLIEKVQRNILSDKPRVTKFPINFHPEDGGNEEPPSGDHPVENDGNEELPASPIQKEVQEP >OMO55605 pep supercontig:CCACVL1_1.0:contig14655:30170:37765:-1 gene:CCACVL1_27153 transcript:OMO55605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHEKLPEFSPLNPHTTVTSMSSHSAVAGTVTAKTSSLDSIQLYLTAIKSPDSTLSFPPNSLLFPSLQTHPQHPLPRRRLPPLHRHLLSRLLPLPLPLRPLLRPLPFLVQRYHLLFPPHFTPPHSNP >OMO55602 pep supercontig:CCACVL1_1.0:contig14655:10901:15876:1 gene:CCACVL1_27150 transcript:OMO55602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYISFVSPPSQAVATLPSRNLKEKRMIKRRFYRFEHGDNDNASSSSSSSDSELEVEASEESESEEEEVEAVAQVKENDQNCSTSSGYESEDSSANEVNVDSAGLIYRDDDDESEDDDREIYIKNNLPIKRDAQILELKSVAPTQEDPLPDDFSACVLKCKSIFKCRLCPRIVCLNEETMRAHLKSKRHARSEKLLKEGRLKTMLNSDGEIENQEIAEETDAPVQVVAQDKQKKKHKGGRHRQGKRLKRKDDKNRNQKGTKWDHIAAVCRDKITSL >OMO55601 pep supercontig:CCACVL1_1.0:contig14655:7524:10440:-1 gene:CCACVL1_27149 transcript:OMO55601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLDGNVLAAKSLARFGVTHMFGVVGIPVTSLANCAVQLGIRFIAFHNEQSAGYAASAYGYLTGLPGLLLTVSGPGCVHGLAGLSNAMINTWPMVMISGSCDQKDCGRGDFQELDQIEAAKPFSKFSVKAKDIKEIPNCVAKVLDHAVSGRPGGCYLDLPTDVLHQTISESEAENLLAEAEKGRILEETRVPPSSEIEKAVTLLRKAERPLIVFGKGAAYGRAEEELRKLVERTGIPFLPTPMGKGLVSDNHELAASAARSLAIGKCDVALVVGARLNWLLHFGESPKWDKDVKFILVDVCEEEIKLRKPHLGLVGDAKKVMEMINKEIKDDPFCLGKSHPWVEAVSKKVKDNVLRMEAQLAKDVVPFNFLTPMRIIRDAILGLGSPAPVVVSEGANTMDVGRSVLVQTEPRTRLDAGTWGTMGVGLGYCIAAAVANPDRLVVAVEGDSGFGFSAMEVETLVRYKLPVVVIVFNNGGVYGGDRRNPEEVTGPFKDDPAPTSFVPSAAYHTLIEAFGGKGYLVGTPDELKSALSESFSARKPAVINVIIDPFAGAESGRMQHKN >OMO55604 pep supercontig:CCACVL1_1.0:contig14655:19901:29985:-1 gene:CCACVL1_27152 transcript:OMO55604 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase, ATP-dependent MKVNSYLNGFVGCDGIFLDTTYCDPKFVFPSQKESVDYVVSVVDSIGKEFENKRVLFLVATYVIGKERILVEVARRCNRKVCVDGRKMGILRILGYGDDGVFTEDESESDVHVVGWNVLGETWPYFRPNFVRMKEIMVEKGYEKVVGFVPTGWTYEVKRNKFAVRSKDSFEIHLVPYSEHSNYDELREYVKFLKPKKVIPTVGMDIEKLDSKHADKMRKHFAGLVDEMANKKDFLMGFHRGNCESGEKVEMDVTAGLDYEQDLETKQNILETEIDESSVLDTTLNDLSSLDKCDLEVLTIPTEEERERIVGEFRDCLPKWVTRDQILDLISSSRWNIVEAVSSFYERETELYEQVPACRVSDSASQGSSPNTIMLLSNSGPFKSSTSESVSIHLSQASKSPSLKLSVRSNVSPRKRKKKTEKKSNKKVKSNSKLESSGSKQPTITSFFGKVLAGENKGGGTVQKIEECPKDENTLSSDFTKSYVEKLDQFIQITNANESSRNYVATLLEKTQGDINKALDIYYSKPELNHGENSERVAVSSISLQVQSCIDDCSIAKKENVPEESRCKVDLVLQRQPTENVDVTLVSLPPDKYKPIDHACWRSGQPAPYVHLARTFDLVGAQKGKIKATSMLCNMFRSLLALSPEDVLPAVYLCTNKIAADHENIELNIGGSLVTSALEEACGTNRSKIREMYNDIGDLGDVAQAFRQTQTLLAPPPPLLIRDVFSVVRKISVQTGSGSTTRKKNLIVNLMRSCREKEMKFLVRTLVRNLRIGAMMKTILPALAQAVVMHSSLNLYHEGNADSLKEKLQDVSTAVIEAYNVLPTLDMIVPSLMKEGISFSSSTLSITPGIPIKPMLAKITNGVPEVLKLFQNKAFTCEYKYDGQRAQIHKLPNGAVRVYSRNGDETTSRFPDLINTIKESCKPAAQTFILDAEVVAIDRKNNNKIMSFQELSSRERGSKDSLITVDKIKVDICIFVFDIMFANGEQLLGFPLRQRRKCLKELFYDEKFGHFEYAKEITVEANDACLTREATLTRINSFLEDALNFSCEGIMVKSLDTDAGYFPSKRGDTWLKVKRDYVEGLNDSLDLVPIGAWHGNGRKAGWYSPFLMACYNPDTEDFQSVCRVMSGFSDSFYKEMKEFFSGDRILAKKPAYYQTAEVPDMWFSPELVWAIIGANFTVSPVHQAAIGLVHPSRGISIRFPRFKRTVSDRNPEECSTSAEIAEMFRSQNRGMDVTAEH >OMO55606 pep supercontig:CCACVL1_1.0:contig14655:38948:39487:-1 gene:CCACVL1_27154 transcript:OMO55606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYASCTLSTSLGKSSKSTKVILPSGEVKQFNEPIKAAELMLEIPNFFVVNSQSLKLGRRFSPLNADEDLEFANVYVMFPMKRVNSTVTAGDMGALFLAANSAAKKGFGGKVRILPETAEEANYSLMESDQEEKTAMPKLNLDDIEEFSTPEFMHRLSMSRSKKPLLETIAEEPVSAR >OMP05471 pep supercontig:CCACVL1_1.0:contig05369:846:4019:1 gene:CCACVL1_01885 transcript:OMP05471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSDICTDSLLSNFDQVYEDFKKGIEEIQLLKSSWNAEIKRREALEFTCDSLKQENARLTKLYVESLNNLAEQLENRSNCYSLKEELQRRNAEYLSKEAEHTKAMELLKQDYEQKLGNCDAKVRGLLLERAANEATISHLHKELATHKGHAQVLSKKLDQLDSDEESKYVFEILDLKDCLMIEQEEKNELNKKLHDMEKELLISRTKLVEQQQDSASSRQVETLKLKIMKLRKENEIIKRKLSSLDDG >OMO99192 pep supercontig:CCACVL1_1.0:contig06938:25166:31520:-1 gene:CCACVL1_03895 transcript:OMO99192 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative DNA-binding protein smubp-2 MEIASCFFCGSVSSITTKTLALSVPKSSTFSSLPLSFSSSSAVKSICLFVSHKYSYPSAKFPWKQLVCNGSISKSSSSQSSSKSTATKKKPRSKSNVGNKPKISKEKKSGIVISSESTSKPNSNVSGTKLIVEEMGLLKKKNQQKVKKTKAVNVRTLYQNGDPLGRKDLGKTVIRWISEGMRAMALDFASAELQGEFPELRQRMGPGLTFVIQAQPYLNAIPIPLGLEAISLKACTHYPTLFDHFQRELRNVLQELQQKSMVEDWRETESWKMLKELANSAQHRAIARKSTQPKPVQGVLGMDLEKVKAMQGRIDEFTKWMSELLQIERDAELEFTQEELNAVPTPDEGSNPSKPIEFLVSHGQAQQELCDTICNLNAVSTSTGLGGMHLVLFRVEGNHRLPPTTLSPGDMVCVRICDNRGAGATACMQGFVDNLGEDGCSISVALESRHGDPTFSKLFGKTVRIDRIQGLADALTYERNCEALMLLQKNGLQKKNPSIAVVATLFGDKEDMDWLEKNDLADWNETKLDGLLQNGIFDDSQRKAIALGLNKKRPVLVVQGPPGTGKTGLLKEIIALAVQQGERVLVTAPTNAAVDNMVEKLSDTGLNIVRVGNPARISSAVASKSLVEIVNSKLANFRAEFERKKSDLRKDLRLCLKDDSLAAGIRQLLKQLGKTLKKKEKETVREILSSAQVVLSTNTGAADPLIRRLKTFDLVVIDEAGQAIEPSCWIPILQGKRCILAGDQCQLAPVILSRKALEGGLGVSLLERAATLHEGVLTTLLTTQYRMNDAIAGWASKEMYNGELKSSPSVASHLLVDSPFVKPTWITQCPLLLLDTRMPYGSLSVGCEEHLDPAGTGSFYNEGEADIVVQHVFYLIYAGVSPKTIAVQSPYVAQVQLLRDRLDEFPEAAGVEVATIDSFQGREADAVIISMVRSNTLGAVGFLGDSRRMNVAITRARKHVAVVCDSSTICHNTFLARLLRHIRYFGRVKHAEPGNSGGSGLGMDPMLPSIS >OMO99191 pep supercontig:CCACVL1_1.0:contig06938:823:4261:1 gene:CCACVL1_03894 transcript:OMO99191 gene_biotype:protein_coding transcript_biotype:protein_coding description:BolA protein MLGHRRFSIKATHVNDPGSFDSPLMQSMEKKIKEHLNAESVIVKDASGDGRHVCIDVVSSAFEGQSAVNRQRMVYKAIWEELQNTVHAVDQMTTKTPSEAASQ >OMP08753 pep supercontig:CCACVL1_1.0:contig03613:196:829:-1 gene:CCACVL1_01093 transcript:OMP08753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TDKERHWKRAKTTKVHSFCDVEELFDGIPNCSKVSENLDQLLQSQRLTIDMFGDISPDHSEASPHPKALPLSAPEFTRFSADKVIEDGQLQLALILWESIQLKIARTPFDQVHLLVDEVRKIFAAIEGIKAVVLLH >OMO72906 pep supercontig:CCACVL1_1.0:contig11337:983:3677:1 gene:CCACVL1_17530 transcript:OMO72906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGLKFLPVHCCVFFRDRRISIGASYGASSNIKISSNCIQENAVILESSQTISDKRQSLLRFYHSRHASSNFSVGRRSLSSQAGAESSGEEDGFSELETPDYTKKKQKIGRAETVDGLIPDPELSGDEGDVEDTLPHELEFSETESDPMEKKKSSTRWPTSELFEAIVSAPGLSVHKVLDKWLEAGKELSRDGILQATLNLRKRRMYGRALQLSEWLEANEQIEFDEKYYASRLDLIAKTRGLHKAENYLQMVPLSFKGELIYRTLLANCVVANNAKKAEEVFNKMKDLELPITAFACNQLLLLYKRFDKKKIADVLLLMEKENVKPSLFTYKLLIDTKGLSHDITGMDEIVQTMKAEGLEPDTSIKFILAKHYVSGGLTEKAEAVLKEMEGDNLKENRWACRFLLPLYAELRKADDVGRVWKVCESKPRLEECTAAILAWGKLNKVEEAEAVFDRMLKVTKKLPARCYNALLKVYSDHKMLQKGKDLVKIMGDTGCVIGPFTWDALIKLYVEAGEVEKADSILQKACQQNQLKPLYISFMTVMEQYSKRGDVHNCEKLFHRMRQAGYVARLGQFQSLVQAYINAKAPAYGMRERMKADNIFPNKHLAAQLFQVDAFRRTAVSDLLD >OMO56556 pep supercontig:CCACVL1_1.0:contig14504:66178:67251:-1 gene:CCACVL1_26469 transcript:OMO56556 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative calcium ion binding protein MGNSMGGFMGKGLPSTQMLGYVFKSLHEQFTDSEIKDFDDFHAAILDILSVINSALPGKHYDAPSRDEVENCFEEWRSEEDPAKKKEIFIKFIKKIKLSKLDNNTIMTGIVTPPAAMAAKRAGEFLPHLSMIKVIPDVVFVPSVTVAALVISKLSRRLYHRSAKSQPLRSKSSISEEDRPEEEDPVPEETSPPCPPDSNQ >OMO56549 pep supercontig:CCACVL1_1.0:contig14504:31622:34912:-1 gene:CCACVL1_26462 transcript:OMO56549 gene_biotype:protein_coding transcript_biotype:protein_coding description:jagged 1-like protein MNSCSFIRSMITQMKQELDEAGIANHPNNSSKEENDAKLQEVQKEILTQRTQLENVEKQLADFYWKQSVVINKPHKINSEHLISSLRNSSPEPLFQNLPFTTLLQQGLGNPFFLAENELNFGPEVGGYMNPPTPTTFDANLLPPSMDNISQQAMATSGEGYGKRGLP >OMO56555 pep supercontig:CCACVL1_1.0:contig14504:62625:65184:1 gene:CCACVL1_26468 transcript:OMO56555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPDVEPEQMSKPMEVASNTNENGGLPHDSKDKLMHCVSNGEHHSFGDEAVCSVGQGKVSEGDEDMEIDITDANSCGYKLDVAECQDATENSSSFGGTFSGCENNSAMSDSEVESSLNGASPLGSVFDGLFRMRKRKLTDHWRRFIRPLMWRCKWLELHLKEFKSQASAYDRELAEYDQGKKLEYEKFELEGLNVKSQPFRHAMQRKKVMKRRKRKRVEETTDLASYMSCHNVFSYFESKKAVVPSAALDDDNGNLENKSVNSNDNFGLNDGLSCLEFIDGDSWSGEILKKIDLVQSQVHKLRTRVDKVVNEGPQKFSSINLLSSLVPCDALTGSRNRPSPPESGERIPIRSQYTSSQHLSECNMGDLLTPRSAVSSHGEVAPFPDMIEGTGQHLAGISYEITEDDILIHNQAAKEELSNFQSCLISAQQTEEPKTVSIVAAPGDHLPTNAAAQAQPNLELPSTSKSKGSNNKRKRGKRKSGSGKWSRRASG >OMO56545 pep supercontig:CCACVL1_1.0:contig14504:3422:8869:1 gene:CCACVL1_26457 transcript:OMO56545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITSVELNYLVFRYLQESGFTHSAFTLGYEAGINKCTIDGNLIPPGALITFVQKGLQYLEMEANLSNNDVEMDEDFSFLQPLDIITKDVNQLRQMIKEKRKNIQKDRDKDKDKEKDKEYDREHEGERVRVREKERHEKEREREKDKEKAERDKEREKQPEELADRDMPMDQEDRDTVKHEENGTTSVVGPEPMDIATTSTITSQESCEIPNSDVTILEGHTSEVCACAWSPTGSLLASGLLMTTIEGEGTLLATGSYDGQARIWTTNGELRTTLSKHKGPIFSLKWNKKGDYLLTGSCDKTAIVWDVKAEEWKQQFEFHTGPTLDVDWRNNVSFATSSTDNMIYVCKIGETRAIKTFAGHQGEVNCVKWDPTGSLLASCSDDITAKIWCMKQDKYVHDLREHTKEIYAIRWSPTGPGTNNPNHPLILASASFDSTVKLWDVELGKLLYSLNGHRDPVYSVAFSPNGEYLASGSLDKSMHVWSLKEGKIVKTYTGNGGIFEVCWNKEGDKIAACFANNTLCVLDFRM >OMO56552 pep supercontig:CCACVL1_1.0:contig14504:42405:54491:1 gene:CCACVL1_26465 transcript:OMO56552 gene_biotype:protein_coding transcript_biotype:protein_coding description:Natural resistance-associated macrophage protein MPPADHRAPLLSDHDSDVDVAYESDEKVHIVGINEPDEEGSNGVPPFSWKKLWLFTGPGLLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLMWATVIGLLVQLLSARLGVATGKHLAELCREEYPTWARMVLWVMAELALIGADIQEVIGSAIAIKILSNGVLPLWAGVIITACDCFIFLFLENYGVRKLEAFFAVLIAIMAISFAWMFGETKPSGRELLLGILIPKLNSRTIQQAVGVVGCIIMPHNVFLHSALVQSREVDHTKKNRVQEALNYYSIESAAALLVSFIINLFVTTVFAKAFYGTPIADSIGLVNAGQYLQERYGGGLFPILYIWAIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSCAIIPTIIVALVFDTSDAALDVLNEWLNVLQSIQIPFALIPLLYLVSKEQLMGTFKIGPVLKTISWLVAALVIVINGYLLLEFFSAEVTGVMFTTVVCAFTGAYVAFIVYLAGDQKLEMLAADSFIVDQSAFSEMKSSRLKHIHFAYACFMGTLCTNIVLVVLVACTTMASDGQHLENDTASMIEQLRKAVLVLETCKDGSEKIQWTEIEQHFHNLEMTLKKKSEELEAKEKEYEEKEAETCALIAEREAAVAAKEQDFLDRVQELKDAAVAAIAEARANFQPTCIEPLDAGDTKVSSPIGNKNSPDEDIPQNTGENTENVAADVKPRPELTQFCEQMDAKGLLNFVMENQKNLSALRQELPLALESASEPARLVLDSLEGFYPPDETTQTVDKRDAALQGMRKSCVFLIEAMAAFLARTDPGADHLLNPETKQQAKAIADEWKPKLSRAGSTAANSNSLEAEAFLQLLATFRIASEFDAEELCKLVVIVAHRWQAPELCHSIGLTEKMPGVVELLINSGRQVDAVRLIHAFQLTESFPPVPLLKAYLKDLRRNSQGKGGNSGGAAGGQADTNAQELSALKAVIKCVQEYGLEADYPLDPLQKRVAQLDKGKSDNRKRVGDYGRHQQQKKSRPGGGFRGFRGPPARQAAPVYNDRSAYAGMPERYPHPAAPNPYDYQVSNQPAYPPQANDQRLYYYSQDDRAPAPSYNAATSNYGSYAETELLNVAVLEYDDPKSRTFFAFVQFPPPQPEPRHTVMARALVYFTVATVTFSFLFHISQSNPSTSPPPPLLLAPPHHGAPPAMILPLFPSSKKFSRTSHGSRRHLLRSDSNSSLPDARMRLYDDLLLNGYYTTRLWIGTPPQRFALIVDTGSTVTYVPCSTCDQCGRHQDPKFQPDLSSSYQPVKCNLDCNCDSDREQCIYERQYAEMSSSSGVLGEDIISFGNQSELKPQRAVFGCENEETGDLYSQHADGIMGLGRGDLSVVDQLVEKGVISDSFSLCYGGMDIGGGAMVLGGISAPSDMVFSYSDPVRSPYYNIDLKEIHVAGKQLPLNPSVFDGKYGTVLDSGTTYAYLPEKAFAAFKDAILEELNSLKQIRGPDPNYNDICFSGASSDVTELSKAFPTVEMVFDNQQKLLLSPENYLFRHSKVQGAYCLGIFQNEKDPTTLLGGIIVRNTLVTYDREHAKIGFWKTNCSELWERLHITGAPSPSPSSNGKDNPTVESQPTSAPDGSTHYALPGDIQIGEILLDMSLSINYSYLKPHINELSEFIAKELDINSSQVHLLNLTSEGNGSLVRWAIVPSGSATYISNVNAISIISRLAEHHVKLPDTFGSYELVQWKVEPSMQQTWWQQHHLAVLLGMFITIIIVLSASIGWVIWRRRQQTNLYKPVDGAVPEQELQPLGS >OMO56548 pep supercontig:CCACVL1_1.0:contig14504:26776:28765:1 gene:CCACVL1_26460 transcript:OMO56548 gene_biotype:protein_coding transcript_biotype:protein_coding description:GH3 auxin-responsive promoter MAVDSTLPSPLGPPAHERDAKALQFIAEMTKNVDSVQERVLGEILAQNAETEYLKRFNLNGATDRETFKSKIPVVTYEDLQPEIQRIANGDKSPILSSHPISEFLTSSGTSAGERKLMPTIAQEMDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKAETKTPGGLLARPVLTAYYNSQQFKTRPFDPFNVYTSPNEAILCVDSFQSMYAQMLCGLLMREEVLRVGAVFASGLLRAIHFLQVNWKELAHDISTGTLNPKITDGSIRERMSQILKPNPELAEFIINECSGENWERIIVRIWPNTKYLDVIVTGAMAQYIPTLEYYSGGLPMPCTMYASSECYFGLNLRPMSKPSEVSYTIMPNMAYFEFLPHDQSNPSALSRDSPPRLVDLADVELGKEYELIVSTYAGLCRYRVGDILRVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQKAIETASVLLKEFNTSVVEYTSYADTKQIPGHYVIYWELFIKDPANAPTDDVLNQCCLQMEESLNSVYRQSRVADNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVVSKHFSPALPHWTPERRR >OMO56554 pep supercontig:CCACVL1_1.0:contig14504:61917:62216:1 gene:CCACVL1_26467 transcript:OMO56554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWIAVGPWAQKNESVAVGANVLPRLP >OMO56546 pep supercontig:CCACVL1_1.0:contig14504:9237:11341:-1 gene:CCACVL1_26458 transcript:OMO56546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPLFTTPPPPTPPSINRNTLSANFANFPPSPDKLAILLDKPNSINQLLQIHATLLRHGLDQHHILNFKLQRSYSSLGRLDYSLALFNRTRNPNLFFYTSIINAHSLHGLSEEALLIYTQMLFEGLQPNEFTFSSILKSCSIEIGKMLHSQVIKLGFDSDLSTSDRDMGWKLNTPKTPVRSNRKSNLNE >OMO56550 pep supercontig:CCACVL1_1.0:contig14504:36757:38078:1 gene:CCACVL1_26463 transcript:OMO56550 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MTTLSTESDLVEWCYALDFFARLVAYVAVLAVLVLIVLIILKYVTDFGEESTGDEDLPVTGRAATVTVTETETHLLFSEKARLLTDGTCEEEEGEDIERGSCSSSEELYDGRMCVICYDEQRNCFLVPCGHCATCYDCAQRIYEGENKMNGVKPRTKKKAGESLRMDGDNHCKAPTMDGHHTTYWNILLRLFSTSS >OMO56553 pep supercontig:CCACVL1_1.0:contig14504:58202:61205:1 gene:CCACVL1_26466 transcript:OMO56553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MEISLLKALLGNISSFLNLSSFENISSEPVQKYYQRAEEILKFLKPILDAIVDSELASDEVLSKAFEGLGLSVEELREQFESRQPLLSKVYLVLQVESLISKIRNFSLDIFQFLKSSHQQLPDELSSASLEHCIQKIKHVGFEQTSSVIKEAIRDQADSDGPSSEILVKIAESLSLRSNQEVLIEAVALEKLKENAEQAEKNAEAEFIDQIIALVTRMHDRLVLIKQSQTCSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKKWIDLGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPMKSASLNQPTPLLVHAESGLPRESNSFPHSRSSQPLSPESRSTGSSGQNLVTSGGLNREGTSPVHPRSTSEGSLSGIAENGEGLDIARISLNSAEDRSNLEQRDRDSVGQPSMSPSSKEFHNAGQSSQNHTRSASASSTLSNSDFPREVGDANENSEVSTHLASYSSDHSGEVKSNPQPEASSAIPQREPEFSPRLMDARSRSQTMWRRPSERFIPRIVSSPGIENRADLSGVETQVKKLVEDLKSTSVITQREATAELRLLAKHNMDNRIIIANCGAINLLVDLLRSTDTKIQENAVTALLNLSINDNNKSAIANANAIEPLIYVLETGSPEAKENSAATLFSLSVIEDNKVKIGRSGAIRPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVQAGAVRHLVELMDPAAGMVDKAVAVLANLATIPEGRTAIGQEGGIPVLVEVVELGSARGKENAAAALLQLCTTSNRFCSQVLQEGAVPPLVALSQSGTPRAKEKAQALLSYFRNQRHGNAGRG >OMO56547 pep supercontig:CCACVL1_1.0:contig14504:14981:15592:1 gene:CCACVL1_26459 transcript:OMO56547 gene_biotype:protein_coding transcript_biotype:protein_coding description:zinc finger protein 7-like protein MTFHRDEFEDLNETTSVTNNQDAESKTGFEINEDDSLGDWLSLGLNRNEIATTDQECDPHQQSNSKPSSNKVFSCNFCMRKFYSSQALGGHQNAHKRERGAAKRFQSHKMMMTTMGFPFNNSLAVRSLGVQPHSLVHKPMREGSSMVARFGDLNSGFGMAWTPFLLEESMDLIWPGSFRLDNKLPNQESADNNLQKLDLNLRL >OMO56551 pep supercontig:CCACVL1_1.0:contig14504:41612:41734:-1 gene:CCACVL1_26464 transcript:OMO56551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLMATVARGNRDEGRVRMLPSVWKNGPAYVLAVDGLW >OMO72410 pep supercontig:CCACVL1_1.0:contig11446:1637:2659:-1 gene:CCACVL1_17819 transcript:OMO72410 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein MALAGSQLFIDQIKAREKVPKVNEYPNHQHLKEVEIIGYGGGLYDTKLVIYLLESAVALDKIVINPRSPAVLAWPFMFKDGKKERRARKVALQLKKERPIRAEFV >OMO72411 pep supercontig:CCACVL1_1.0:contig11446:4408:6503:1 gene:CCACVL1_17820 transcript:OMO72411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRYLGYTFEYPTVAGILDFG >OMO72412 pep supercontig:CCACVL1_1.0:contig11446:11248:11586:-1 gene:CCACVL1_17821 transcript:OMO72412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQQSGPFLKLVVQAYEFLAEEVPVK >OMO88216 pep supercontig:CCACVL1_1.0:contig09080:19627:24937:-1 gene:CCACVL1_08519 transcript:OMO88216 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MAKEVKEMLFMNKGDGENSYVKSSGYTQKVAAITQPIVFNAIQSFIRENCSSQFEVLNVADLGCAAGPNTFTVMSTVIESTVKNCRELGFQIPEIQFYLNDLVGNDFNTLFNGLLVIQEKYKNVVSCFAMGAPGSFHGRLFPKNSIHFLHSSYSVHWLSKVPKLTNEEGLPLNKGKIYISKTSLPAVSKAYLSQFQEDFLSFLKCRSLEMVTNGRMVLIIHGRETEDPADRDGCYVWEVLADAISYMVSQVKCPEFGLIDSLISGLIEASPCINYHSPVMENHVSFGSLSSFCHGIRAEKILGFLFYFFLFPFTMEEDSSSSHPKTQSTTSQTTYDISSPYALHASDNPGTTLVTCLLKEENYPTWRRAMTNALQAKSKFGFVDGSVPRPSLGSQEESSWVKCNSMVISWIFNALHPTLHDSVAYCVTAQEMWNDLEERFSQGNAARIHQLKTEMVNTLQQGMSVSAYYTKLKGIWDELGTYSHIPPCTCGSAKGLAAEREKEKVHQFLMGLNEKYNVVHSQILNTDPLHSLSRAYALVAQEERQQLVAASRLPSVEGAAFMTNNANKSNFNRKPASNRDLSKLFCEHCKKTRHTKDSCFELLGYPEWWDKGKKPSKTKAANTAQHMETASGNNNVPINGLTSEQYAQLISMLNLDKIQIPTANFAGKATSLSNTAIEWILDSGASDHMTCHKSAITSHKTVPHFSPIKIPDGSFVPAKSCGDVPLNSLVTLNDVLYIPSFSCNLISISKLTQALNCVAHFFPTFCTLQDLATRKLIGMGELRDGLYYFQAIKVPIAATSISRDSQLILWHRRLGHLSFDRLSLLNDLGPFPVKSFNKCCDSCHRAKQTRPPFPISSIKTHEAFELIHCDVWGPYHTPSLSNAHYFLSIVDDFSRTSWVYLLKTKTEVYTWLLSFIAMVAKQFGKAVKQIRSDNGTEFTNQNFQLFCQQNGILTQFSCVSTPQQNGVVERKHRHILEVARALRFQANLPIKFWGECVLTATYLINYVPTPLLSGKSPYEVLFSRKPSYSHLRVFGCLCYTSVIPRSRDKFHARATACLFLGYPHGQKGYKVYDLTTHKIFTSRDVVFYGHIFPFQDKNSSNHNTSTITPIPLPIFDDTDSMSLDSAPHTTTMMTHTPEILDSNDTTNTNTPPTIPQENRPVRVRKLPSRYHNFHVDLPGNNKSTPTSSNNASSEQLPTGKKAIGSKWVYKIKYHSDGTIERYKARLVAKGYTQVEGLDYTETFAPVAKLTTVRTLLAVAAAKLWELHQLDVHNAFLHGDLDEEVYMKPPPGYLSSNENRVCRLHKSLYGLKQASRQWYAKFSTAILNFGFIQSKADSSLFLHHKGTSFTALLVYVDDVIIASNNSSHTKALKEYLDAWFHIKDLGPLKYFLGLEVARSPEGIVLSQRKYALDILQEVGMLGTKPVLFPMEQNHKLAVDDSALLDDPGAYRRLVGRLIYLTITRPEICYSVHILSQFMHQPRHGYWVAALRVLRYLKYAPGQGIFLSSKSDFCLQAYCDSDWAGCPLTRRSITGYFVLLGCSPISWKTKKQTTVSRSSAEAEYRSMATTACEVTWLRTLLHDLTIQLPMPANLYCDNRVALSNCCKSCSS >OMO69939 pep supercontig:CCACVL1_1.0:contig11930:1981:8735:-1 gene:CCACVL1_19191 transcript:OMO69939 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MGSRKEEERNEKIIRGLMKLPPNRRCINCNSLGPQYVCTNFWTFVCMTCSGIHREFTHRVKSVSMSKFTSQEVEALQNGGNQRARDIFLKDWDLQRQRLPDSSNADKIRDFIKNVYVDRKYAGGKSSDKPPRDMQSVRNHEDEMRRASSYHSYSQSPPYDYQYEDRRYGKQVAAALSRKPGSDRGHYVGKVSSFVYSPGRLSDQMFEDRFANEGSAPRVSDYSVSSGSDPFKSGTGSPNFQKDIGFSSPTAQPPRDFLSEDRQHQTINLFADPSFNKDAGGIPRPQRTKSMGSSGSFDGTSMSVKSYNSGTGVDVVCEPEQTSGSHHHKASTTPQSSVHLNYGGLDLFEAPQPSSAPPIDLFQLPSTSPASSVDIFQPVAVSSMPPVNSYQPPPSTPSIDLFVGIAEQPPASSCDTKSPELPVPKTEGWATFDTPQRAAPGPVSNNNSSAVMPNDGDLSVKFDQLPPLNTTMQWPLFENSDAVGDTSSVSSQWQEGFDDGQASTAATRTQSWNAFNVPAESFSMEPQLLPYNHLVTADQHLGLGVPENLDNDGIQKAASHATTLPLEDGIAPSYAPLGEKQLHVAEHKSTNPFDLPFDSEFEQSDVFLDMSSLQSALPNAQLPSTFLGGVSQPWFPQNQVTPYIPATPQGGLTYMSGQAPSSQLPNVPAQGPVASIGGNPFA >OMO59475 pep supercontig:CCACVL1_1.0:contig13950:1167:9875:1 gene:CCACVL1_24799 transcript:OMO59475 gene_biotype:protein_coding transcript_biotype:protein_coding description:myosin heavy chain MGHRHRRPLNPREKNERAREGKIKEKRRRGEDRRGYRPTTRGLWIERETDKAREIKRGKSDTPARRTAKLDDSHGLRCRAPSIHRFSWSPDPPPMMPTSNREAFLGSNFLNPLHRGRVDFNGNGERRREESEGRRFHATVELFNSFKASIYDYSRHIRLQQSRNKDVNIKAFAKLLKSKGRS >OMO49828 pep supercontig:CCACVL1_1.0:contig16385:3573:11573:1 gene:CCACVL1_30789 transcript:OMO49828 gene_biotype:protein_coding transcript_biotype:protein_coding description:Condensin subunit 1 MAPLFVFPPNLRALENQDEDDEHQLNRLSVQNPIDVASFRPSELEEFVKGVAFDLSDKELFCIEEQDVLDRVYSLVRGFSGLTPSCKFILVESLRSNLSVLLPNVDSLVRVSQGRDDDEPPMLDRINSHRNAFKIYTFFLLHIILTEESNNVSSNNPKVTASSRKKQPVNMWNWELQRGRMLNLIANSLEINLALLFGSADPDENYLSFIVKNAFSMFENALLLKDSETRDALCRIIGACATKYHYTEQLSASIMHLLHKYDFVVSHMADAVALAEKKYADGTLATSLIREIGRTNPKAYLKDTAGAENVGRFLVELADRLPKLMSTNIGLLVPHFGGESYKIRNALAGVLGKLVAKAFKDVEGEVSSKCVRLRTKQAMLEILLERCRDVSAYTRCRVLQVWAELCEEHSVSIGLWNEVAAVAAGRLEDKSAIVRKSALNLLIMMLQHNPFGPQLRISSFEATLEQYKKKLNELEPDKLSEGMKDGVPFDNDTCNDEGEVDNTNAEEVANHQPESLTDSVPNVDQEISHKDSSVPDVGNLEQTRALVASLEAGLKFSKCVSGTTPTLLQLMASSSATDVENTILLLMRCRQFQIDGAEACLRKMLPLVFSQDKSIYEAVENAFITIYISKNSVETAKNLLNLAIDSNVGDQAALEFIVGALVSKGDISSSVISALWDFFCFNVNGTTAEQSRGALAILSMAAKSSTSILGSHLQDVIDIGFGRWAKVEPLLARTACIAIQRLSEEDKKKLLLNNGRRIFGILESLITSSGLPDNIWYAAADKAIGAVYMIHPTPETLAADLVKKSLSSVFEGSGGEALQNDIDSGNSRVLSTVQVTKLSRFLFVTSHVAMSQLVYIESCIRKIQKQKSRKEKTDAEGTGNTEPQKDNSINAELGLAASEDAVIDALAEKTEKEIVSGGSSEKNLIGECAPFLSKLCRNFSLMQKYPVLQASAMLALCRFMIIDANYCDANLQLLFTVVENAPSEIVRSNCTIALGDLAVRFPNLLEPWTENMYARLRDPSVSVRKNAVLVLSHLILNDMMKVKGYINEMAVCVEDRDERIANLAKLFFHELSKKGSNPIYNLLPDILGKLSTQDLQKESFCNIMQFLIGSIKKDKQMESLVEKLCNRFSGVTDARQWEHISYCLSQLQFTEKGMKKLIESFKTYEYALSEDTVMDHFRNIISKAKKFAKPELKACIEEFEEKLNKFHMDKKEQEVTARNAEIHRQKIGNIEGFPMAGNDGESVESDVIEGVRDGEVINTSMEGETDSSDAEPASQIVESEESSGASGEVTEQEEGETEVQSKRTKKKGISQSGVRKGHVKDINFDLSTTTRRNIRSKKR >OMO49830 pep supercontig:CCACVL1_1.0:contig16385:27669:31458:-1 gene:CCACVL1_30791 transcript:OMO49830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLQIEGDDSVLLRVTHSNLKNFAADVRFSLQMSVEAVKDKLWKKCGTSVNSMRLELYDDCKNKLCDLSDDSRPLGFYSPLDGFRIHVVDLDPSSVTSGGWLEDTSLVEKYTISEEDYNKRSGTFRKFKENLASQNPSAFRNKISDDYMEDLCANIKVGDRCEVEPGEKRGVVKYVGRAEALGPGFWIGVQLDEPLGKHDGMVKGTRYFQCPPLCGAMVRPDKIKVGDYPERDPFEEDEI >OMO49832 pep supercontig:CCACVL1_1.0:contig16385:61121:79775:-1 gene:CCACVL1_30793 transcript:OMO49832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTKCHVGDSRCARVSEVTLVAGCVKDVLAGIENSLFLSLLTGDSRCARVSEVTLVAGCVKDILAGIANSLFSSLLTGYS >OMO49829 pep supercontig:CCACVL1_1.0:contig16385:14110:16926:-1 gene:CCACVL1_30790 transcript:OMO49829 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF2/IF5 MADEENRDEVAEITPFDPTKKKKKKKVVIQDPADDSADKLAEKTEALSVSDGLDFTSMKKKKKKPVETSFLNEESGDAGDDADDHIDGDEENDGIVLEKYPWTGTDRDYKYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPDHVMAFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRNAGT >OMO49831 pep supercontig:CCACVL1_1.0:contig16385:42685:59885:-1 gene:CCACVL1_30792 transcript:OMO49831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEALLTWPNGTLMMPIEKHRVGKVFVAELAWLLALSSSLLLADVAKWDPHDANYKVPRRRDG >OMP11153 pep supercontig:CCACVL1_1.0:contig01525:3457:3519:-1 gene:CCACVL1_00656 transcript:OMP11153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AFLAIAKSSSLSSGNRCFAR >OMP11152 pep supercontig:CCACVL1_1.0:contig01525:1508:2629:-1 gene:CCACVL1_00655 transcript:OMP11152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHTSKKTSETSSAINLSNNLQYTTDLSSYEAACKLDKDLQTFDTNVQARTNQVINSLAVGVEVRALSFDSLKEVTECLLEMNQEVVKVILECKKDIWKSQELFELVEEYFENSLQTLDFCSALEKCLKRARDSQLLILVALQQFEEESEVGGSRYVRTLEELKNFKAAGDPFTEEFFQIFQSVYRQQLVMLEKLQLKKNKLDKKLKYIHAWRKVSSMIFVATFATVLICSVVAAAMAAPPVAAALAAATSIPLGSMGKWIDSLWRNYENALKGQKEVISSMQAGTYVAIKDLDNIRILIDRLEIDIQALLQTADFAIEEEAVKIGIEEIKKKLGVFMKNVEDLGQQADMCSRDIRRARTVVLQRIIKHPNN >OMO67741 pep supercontig:CCACVL1_1.0:contig12398:1818:2082:-1 gene:CCACVL1_20347 transcript:OMO67741 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Dof-type MADRARLAKIPQPEAALKCPRCDSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKKSKSSSSKSSASGDHKQV >OMP08781 pep supercontig:CCACVL1_1.0:contig03606:192:791:-1 gene:CCACVL1_01092 transcript:OMP08781 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin Cpn60/TCP-1 GFLLYLRSTEPFSHRKEMAAVTAAQPRSSKTESYVDNKRKEDIRHANINAARAVADAVRTSLGPKGMDKMISTANGEVIITNDGATILNKMEVLQPAAKMLVELSKSQDAAAGDGTTTVVVIAGALLKQCLSLLSHGIHPTVISDSLHKASNKAVDVLTAMAVPLELSDRESLIKSASTSLNSKVVSQYSTLLAPLAVDS >OMO60973 pep supercontig:CCACVL1_1.0:contig13637:52913:52981:-1 gene:CCACVL1_23787 transcript:OMO60973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMDIDSSGRASDRVSLSAFD >OMO60976 pep supercontig:CCACVL1_1.0:contig13637:57862:59671:-1 gene:CCACVL1_23790 transcript:OMO60976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNTFQSGFLSILYSLGSKPLQIWDKEVVNGHVKRPHDEDIQSNVLEVIGSNIQSTYITCPADPAATLGIKLPFLVILVKNLKKYFSFEIQILDDKNVRRRFRASNFQSVTRVKPYICTMPLRLDEGWNQIQLNLADYTRRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPVQKT >OMO60969 pep supercontig:CCACVL1_1.0:contig13637:15437:15913:1 gene:CCACVL1_23783 transcript:OMO60969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLRQPSEIEIDASGHTMGAVLLQGEQFHLLIRYKNGKTNQLADWLSRPPLKVANVVMYREPSDSLIYKEQYIDDSCFQNTYR >OMO60975 pep supercontig:CCACVL1_1.0:contig13637:55826:56972:1 gene:CCACVL1_23789 transcript:OMO60975 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative disease resistance RPP8-like protein 2-like protein MAGLDFEISVRRLILLWEAQGFVQPRGQEPLEDVAEDYLEELLGRSMVQIAAKKLNGKIKSIRVHDLLRELAIKKGKDDRFFDIIHGNVRDRFLTRPRMLSTSFGITPKTRSSSRIRSLLVFDNNEHRLKDFKKIKLLRVLDLVYSTLMLGNSFI >OMO60968 pep supercontig:CCACVL1_1.0:contig13637:13777:14343:1 gene:CCACVL1_23782 transcript:OMO60968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEEEIECSEKPDEKLVCMAYRVKQKHLSAATTSTNTGKVVVCVPDRVKEELFWVYVQIQMSKVVALYDSASQRNLISQQLVKQLNLKSTPRLEPYPLGWLKKDVELQVTEQCTFKFAINEKFKDEVTCDVVPLDICQVGFGSPYLWDRDAIFYRRENVWKIVKDGVGYRICPAKDARKLSLVSASK >OMO60974 pep supercontig:CCACVL1_1.0:contig13637:55244:55507:1 gene:CCACVL1_23788 transcript:OMO60974 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative disease resistance RPP8-like protein 2-like protein MAEYIVSLVIDNVASQMVEEAVSLARVWDRVEWVQGELRRMLCFLKDADEKKDGDERVRNWIADIRKIAYDAEDAVDSYILKMMRQK >OMO60966 pep supercontig:CCACVL1_1.0:contig13637:9690:10985:1 gene:CCACVL1_23780 transcript:OMO60966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIISAGMQSLSIWRRQSISRHTKERSTSSEGEENSSREKV >OMO60970 pep supercontig:CCACVL1_1.0:contig13637:34553:35251:-1 gene:CCACVL1_23784 transcript:OMO60970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMDSSRTTQSQSPCKDQNSKQLDQSESFHDEDGDHQKIRSKKKIPKISIKNNGRRYLGVRQRPSGRWVAEIKDSSQKLRLWLGTFDRAEEAALAYDSAARLLRGRNAKTNFEYNHGVINTYEQNCNLLGKNPRLFRLLQLTIMKNHARSTCHKSNGSLVPAWINNQSNVNGFDTLVEETIFCSSDDHHDEGSNNKLCQLSLGSSKVYSSVVVAPSFSTSLDHEKDSQDAK >OMO60967 pep supercontig:CCACVL1_1.0:contig13637:12841:13299:1 gene:CCACVL1_23781 transcript:OMO60967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLAEGSDGKGGDQDMLGRISALESKLESMMDLLKQSIQVRDVIEPAKTEPVAQPVAEQRVVESFKMEVRIEIPIYDGELDPEKLNRWIKQLEVYFSTKPYTNQQKISFARLRLGNHAVTWWESFNSGLEAEGMAPIQTWQEFTASIKNQL >OMO60971 pep supercontig:CCACVL1_1.0:contig13637:38786:40695:1 gene:CCACVL1_23785 transcript:OMO60971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNRTEEGTEKLDQKLFRESVALEIIEHGPPFSYAEYEGSRRSNRILNPNVEFFSRNTLKAEVLKVHNKLKEDLKNTLHKLSSRICLTSDMWTSCQNRGYLSLTAHYVDENWKLCSKVLNFCHVPPPDRANNLCGTIHGLLRDWGIEKKIFTITLDNARNMDNMQELLRSKLVQQDGLLSRGAYFHIRCSAHILNLIVQDGLKVLGDALEKARESVKWNSTYLMLERFLLYVNAFKILPTEDPTFLMEDLPTEEELHKIEYICNFLKPFYDITTLFLGVDYPTANLYFENVCKVRSNLLKEIESPDSCLREVAKAMKEKFDKYWNEHCVVLAFAVILDPRFKLKFVKFYLKKFDDNFENKAKSILDKLKALFKEYESASSSSGGNCDIQGGGKELDQSLIEFQSYESDDSTSSNKSQLELYLEEPRVLITMPYDVLAYWKDNYARYPELATMARDIMTIPITTVASESTFSMGGRVVNQWRSSLTCKNAEALITTRNWLHGYTTKDDRSKATHVSISSLSQQASNVVD >OMO60972 pep supercontig:CCACVL1_1.0:contig13637:48532:49248:1 gene:CCACVL1_23786 transcript:OMO60972 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MIAVGPLIPSSFLGRGTDPDGSLKADVFQGSKDHYVQWLGSKPNSSVIYISFGSIAALAKQQVEEIARALLAGGRPFLWVLRNQKLNGEEETEQDKLSCKEELEQVGMIVPWCSQLEVLSHPSLGCFVTHCGWNSTLESLASGVPLVALPQWTDQPTNAKLIEDVWGNGVRVRGNEEGIVERDEINRCLDLVMGDGERAKEVRRNADKRKELAREAAKEGGSLDRNLKSFIDDLAHKD >OMO99052 pep supercontig:CCACVL1_1.0:contig06965:4673:10879:-1 gene:CCACVL1_03955 transcript:OMO99052 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MSMDETEPDHKLCGYLYAVLTVPSPSETIPFSTPCHLSTDGDNNVCFQSENAVVLSLIGIGGVSSGDNEGSSRKRGGRKKIGTVNGSMSVVHQIHALVAHKCLRIDARVLRVEETAEEARAAVLVDVYLPIELWSGWQFPRSGSVAGSLFRHLSCDWKERSLMLNNNTEIGKDAHGNIRSIWTVSDCHVLGCKLHCNGVDPSNKRLFELHDIFKSLPSVTIRGTAASSRILPANNSSSSGIWDVSDDILVNILAALGPMDLTRVAATCRHLRFLAALIMPCMKLKLFPHQQSAVEWMLRRERNAEVLHHPLYMEFSTEDGFSFYVNCVSGSIVTGMAPTITDFRGGMFCDEPGLGKTITALSLILKTQGTMADPPEGVKIVWCTRNGNDKCGYYELRGDEFACNKMIWGKRSMSQNALREQLSLRKSTLMEDVTHSLPKRARLMDPGEASAEFIDSCSNRKIKSPSASCSEPVTWAVRSPRNLGHVRRNLFSAYDEVSGSCEGKAMEKNAPRWNKLKHAKCGKQVRELDGCRRPGKATAGWMVSNETWVQCDACHKWRKLTNSSLADAKVAWFCSMNADPAHQRCIDPEEAWDKHESITYLPGFYTKGTAGGKEENVSFFISVLKEHYAIINSKTKKALLWLAKLSPERLSEMENFGLSSPVLGSGVAGDALGFHKIFQAFGLIKRVEKGISRWYYPRTLENLVFDLVALRIALCEPLDSVRLYLSRATLVVVPPNLVDHWKTQIQKHVRSDQLQIYVWTDHRKPPAHSLAWDYDIVITTFSRLSAEWDPHKRSALMQVHWLRIILDEGHTLGSSLNLTNKLQMAISLTASSRWLLTGTPTPNTPNSQLSHLQPLLKFLHEEAYGQNQKSWEAGILKPFEAKMEEGRSRLLQLLHRCMISARKIDLQTIPPCIKKVTFVNFTDEHARSYNELVVTVRRNILMADWNDPSHIESLLNPKQWKFRSATIRNVRLSCCVAGHIKVKEAGEDIQETMDILVENGLDPISEEYAIIKHNLIYGGNCQRCNEWCRLPIITPCRHLLCLDCVGMDSRMCTFPGCGHLYEMQTPETLARPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVAYLVERLKALQEVNKEIHCSMGEDNDAKHTDKLLWPSHKSNMSVPLLQNFSRQGNESYKRLPEKVLIFSQFLEHIHVIEQQLTFAGIKFAGMYSPMHSSNKMKSLAMFQYDDNCMALLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPIHVETLAMRGTVEEQMLEFLQDADACREFLKEESQRPDREGSRTCRTLHDFAESNYLARLGFVHRTLVS >OMO73640 pep supercontig:CCACVL1_1.0:contig11203:18462:20874:-1 gene:CCACVL1_17198 transcript:OMO73640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYVVLFLHRKGSCRSARKKERLQLATLEGLEMSVKCNPPFTPPVKF >OMO73639 pep supercontig:CCACVL1_1.0:contig11203:8671:9609:-1 gene:CCACVL1_17197 transcript:OMO73639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDAWGMLKCARGAQDKTIINRIMLRFRPIAPKPVAGDSVSGDSLFGNKNLVVTGKRAKRKYVRVCKKNNSRRKKKPSDDDEAKEDDDEKKSFVTLQLMPEKADLEEKSTVVDRSFGVDLDRRVGLDYHHFEDPPSLCLKLKKLVTDDAAVMGFSDQKAVVVSPPRRRVSVVESWVTVESLTDSCMGEGEMGNCTDVEKMKSLEYDTCPGFVSDGLNRVLWVNQAYKNMIIAAAQVELIDGERAETTVGLVVKDGFVFPHGAFSCRVRLQYGDIGKGKKFSKMVPCDVWKMNTGGFAWRLDVKAALSLGL >OMO73638 pep supercontig:CCACVL1_1.0:contig11203:5015:5905:-1 gene:CCACVL1_17196 transcript:OMO73638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAEKWSMLSYTGDSQDKTVINRIMLRFRPIAPKPVVGETGSGGAQFDNKNLLLCKPRTKRKYVRVRKNNIRRKKRSSSDQLHDEKASKDPEKIVTLQLLPEKTEANTGTINNQNGAVLVGNQDPPRFFNLNFSNGWIDRMGAVDEADRTAAVIQKRKVTVVESWVTVECVTDTCMEGRELGSTDVERMKNLEADTCPGFISDSLNRVQWVNGAYKRMLTLGKLGFEGVTPEIMVWVVIKQELPRFCTAFSLKVRLQYTWQKEKCSRMLPCDVWKMDSGGFAWRLDVEAALSLGR >OMO84285 pep supercontig:CCACVL1_1.0:contig09758:2130:2204:1 gene:CCACVL1_10905 transcript:OMO84285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVESDDWEPNQAVDDLNGGRIFKA >OMO87105 pep supercontig:CCACVL1_1.0:contig09329:817:2836:-1 gene:CCACVL1_09264 transcript:OMO87105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTDSILKVSISEKLLHLLSDFNYDPDSIDVLSDYVIVLVSNGKCQSEVKADLEPFLGDSASDFVSWLWDILSETSDDDSNANLSPSDLETNISGASSTEADASGKRRHSKKCGSGSPTSQSHSPSLSNSSTEKTNEKASADISSKSNKKFGAFENNQEYGSRTKSSADFLVADVQHGQYKKAQERSPLKYFQDSNVGGRRLFSKAAGAIFHQDKINGTKRGNVWDRLGKTSVKIKSNEGDNIKEQTAEQHSPRAGQITRMPTVQEGKVNQNLSWASNVKTCGSNDGQKRQSNNFNPILGSSSDSLHHEEENSRKYSRQPEQSTYMRTDDGASCKSEKLKSYNKRCTPEFDASVTSRPEKVSKKKMSLDASESTESTQTLLSQGALPSATGRIMPVQT >OMO87106 pep supercontig:CCACVL1_1.0:contig09329:11767:14511:1 gene:CCACVL1_09265 transcript:OMO87106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLSISLDTVDSKKLNFSKGLVELGAKWFRMMLEYGVRPDVATFGMLMGLYQKSWNVKEAEFAFSQMRDSGIVCQSAYSAMITIYTRLSLYDKAEEIIGFMRKDEVILNLENWLVMLNAYSQQGKLEEAEQVLVSMQEAGFSPNIVAYNTLITGYGKSSNMDAAQRVFLLIRQVGLEPDETTYRSMIEGWGRAENYREAGWYYKELKQLGFKPNSSNLYTLINLQAKHGDEEGAIRTIDDMLKMRCQQSSILGTVLQAYERAGRIDKVPLILTGSFYQDVLNDQTSCSILVMAYVKNGLVDDAMKVLESKQWKDPAFEDNLYHLLICSCKESGDVDNAIKIFSQIPNADNKPNLHIMCTMIDIYSAMGCFSEAETLYLKLKSSKIALDMIGFSIVVRMYVKAGSLKDACSVLQMMENQKDIVPDMYLFRDMLRIYQKCNMQDKLAELYYRILKSGVTWDQEMYNCVINCCARALPVDEVSKIFDRMLQRGFSPNTITFNVMLDVYGKAKLFKKVKKLFWMAKTRGMADVISYNTIIAAYGQNKDFKNMLSSVQEMQFNGFSVSLEAYNCMLDAYGKEGQMENFRSVLRKMKELNCASDHYTYNIMINIYGEQKWIEEVAAVLGELKECGLGPDLCSYNTLIKAYGIAGMVEDAVSLIKEMRENGIEPDNITYNNLIAALRRNDKFLEAVKWSLWMKQMGMRT >OMO87107 pep supercontig:CCACVL1_1.0:contig09329:17332:24556:-1 gene:CCACVL1_09266 transcript:OMO87107 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase, phage-type LEAAAVNLFAGEKPADVYSEIAARVHNIMMRDSKKDPATHPNALLAKLLIDQVDRKLVKQTVMTSVYGVTFFGAREQIKRRLQEKGHIMDDRVLYPAACYAAKVTLAALGELFQAARNIMAWLGDCAKVIASANQPVRWTTPLGLPVVQPYYRSQRHLIRTSLQVLALQREGDSVKVRRQRTAFPPNFVHSLDGSHMMMTAVACRDAGLNFAGVHDSFWTHACDVEKMNEILREKFVELYSTPVLENLLESFQQSYPSLVFPPLPERGNFDLREAILFCLLRREFEFDSATIHDPQPSKGGHPVTDFAVFISETVGERKEETQGGAKGDEPQGQVLADVNKYDAEWEKQWFGAGIFYEGSEEVEVDVFKKLEKKKVLSNVEKAGLLSKAEDLGFTLSSIEKLGVFSKAEELGLLSLLEKAATFSPSTLASAALPAFVAAVLTVVIIPDDSAGLVAVQAVLGGALAVGAAGLFVGSVVLGGLQEAD >OMP12087 pep supercontig:CCACVL1_1.0:contig00446:752:832:-1 gene:CCACVL1_00133 transcript:OMP12087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALVASKPISLKDDAVVAAGKTKVTIC >OMO56887 pep supercontig:CCACVL1_1.0:contig14466:70485:70865:-1 gene:CCACVL1_26198 transcript:OMO56887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKETSESGDGVVAKAAIAGGLVANPVIAWSLYTLKTTGCGLPPGPGGSIGALEGVSYLVVVGIVGWSLYTKTKTGSGLPNGPFGLLGAVEGLSFLSLLAILVVFGLQFFQTGSIPGPLPSDQCFG >OMO56886 pep supercontig:CCACVL1_1.0:contig14466:67666:68751:-1 gene:CCACVL1_26197 transcript:OMO56886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGKRATNLFGNKNCKIYMGLKDIIRENALAHLPAKSLFRCNGVCRDWKLQISTPFFAHNQSNCFQSTSGFFYQTTSGEPSFMSVDPKAYGVPDPSLSFLPEPVDVRSSSNGLLCCQGRTGYRAYYVCNPVTKQWKKLPKPDNDHGADPAVVLVFEPSLLNFIAEFKLVCAFKSELDGLEFDIYCSQKGSWRTSGEICFANITLSPKSGVYVNGTVYWRSGYGFTSFDLKSERCQFQSQYYASGGVLGSMNGKLCVADLRGNRLSFSVLSNTHSNTMQLKSNAKPWDQIQHDNITDVMGVDNTWKYNGCIKHIGGDIVLIQNGSTYSSYDMKKKTATTLGEFNVASNSAIVGYVNSLVEL >OMO56883 pep supercontig:CCACVL1_1.0:contig14466:47687:56746:-1 gene:CCACVL1_26194 transcript:OMO56883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFNDAFMRNPNAAVQARAKAPQNRANIQQLKLIGQSHPTGLTANLLKLFEPRPPLEFKPPPEKRKCPPYTGMAQFVSNFAEPGDAEYAPPVQEAETPAQRRARIHQLRLEKGAEKAAEELKNYDPNNDPNISGDPYKTLFVARLNYETSESRIKREFESYGPIKRVRLITDKTTNKPRGYAFIEYTHTRDMKAAYKQADGRKIDGRRVLVDVERGRTVPNWRPRRLGGGLGTTRIGGEDVSQREQMQSGPSRSEEPRIREDRHGEREREKSRERGRERERDREKSRERSHDKPRDRDREDRHHRDRERTRDRDRDRDRERDRTRDRDRDRTRDRERGRDRGRDYERDRERDRDRDRDYDVGDYDRDRGRSRDREADYDRGDSKHDRDRHGDRDYDHGEPDEDRGWYEQHEHGHRRSDLDDQRYEQYDHHRGRGEYDLDGHGDHDRYDQYERERDRDRYDGMEEDDYRRSQFPERPRSKRLLKDKKNQNVFKNKENTQGILVRVGNFLWQPWNSSYEPVWPEAARLEAEESKPADGTTQDYKPLPSGPSNQPEDEVPLLQNIYWKELSLLVYVWIGFLIVQIAKVGIYSNLLSHVLDCQLLAAAYFVLVATIAAFTGQHVVRKIIAVLGRASIIIFILALTIFISAISLGGVGIADMVEKLANEEYMGFQDLCKLS >OMO56888 pep supercontig:CCACVL1_1.0:contig14466:76397:79339:-1 gene:CCACVL1_26199 transcript:OMO56888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor TFIIB MKCPYCSATQGRCATTTTGRSITECTSCGRVVEERQYQNHHLFHVRAQDTPVCLVTSDIPTPAATYQVDEDDPFEPTGFITAFSTWSLEPTPLFLRSSLSFSGHLAELERTLELSSSSTPSSTSSSTVVVDNLRAYMQIIDVASILGLDCDISDHAFQLFRDCCSATCLRNRSIEALATAALVQAIREAQEPRTLQEISIAANVPQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWEDLLPSNFTPAVPLEKAFPTTTIATGRSSVPRVDPPIDMTSSFDRDKQQDCKANKPNETAEPGLQARGKGDSETNGNPSGVHIAMLNQPQQYRQPWLQFCPLVVRPPGDKNPTTSIRGDMNEAKPSCSELEQKGDMPKIDTKGASSSLRPSQFSTNPTSNVSTISWPFRSPPSSGPSANMPIVHPPKLPPGYAELKGPGNQNASKNPNQMES >OMO56879 pep supercontig:CCACVL1_1.0:contig14466:11102:15530:-1 gene:CCACVL1_26189 transcript:OMO56879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRCVSRSLRASYSKRSVSNDSVRSHISRFFSTGRSSYTIVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMSNDGTCQGVIALNMEDGTLHRFRAASTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNHHGEVVTIKGNNPDSVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIQRPGEKQKPLESDAGEKTVAWLDKLRNSNGSLPTSNIRLNMQRVMQNNAAVFRTQETLEEGCQLIDKAWESFQEVKLKDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDENWMKHTLGYWEKEKVRLDYRPVHMNTLDDEIETFPPKARVY >OMO56878 pep supercontig:CCACVL1_1.0:contig14466:2305:4134:-1 gene:CCACVL1_26188 transcript:OMO56878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS MAYMCADSGNLMAIAQQVIKQKQQQEQQQQQHHHQQQQLLGLNPFSLNPWPNTHHGVSGSPNLGYGLSGPGFADPFQGDTGEGFQFPNMEHHSSGFRFPDFGGAAGGEFDSDEWMDTLMNSGDSTDSSNLPSGCDAWHNNADFGLYGADPFSACPNRMSVGCSPPSDLNRVLFTSETQKNPNTTLQAQTQLPTWAPSPPPPLPQVTVKETKTSAPPSQTQSKNDAVGVSSGCPDIESAPPLLKALLDCARIAESEPERAIKSLIRLRESVSDCGDPTERVAFYFTEALYSRVSLQAEKRLTILETTSEDFTLAYKALNDACPYSKFAHLTANQAILEATERASKIHIVDFGIVQGVQWAALLQALATRSAGKPTLIRISGIPAPVLGKNPAPSLHATGNRLRDFAKLLDLNFEFEPILTPIQELDEFSFRVDDDEVLAVNFMLQLYNLLDETPVTVQTALRLAKSLDPEIVTLGEYEASLNRVGFANRFKNALRYYSAMFESLEPNLPRESQDRIQVERLLLGRRIAGVIGPEEADSRRERMEDKEQWKVLMESAGFETVGLSHYAISQAKILLWNYNYSSSFSLVESQPGFLSLSWKEVPLLTVSSWR >OMO56880 pep supercontig:CCACVL1_1.0:contig14466:21616:25711:-1 gene:CCACVL1_26190 transcript:OMO56880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MGWPFIGETIGYLKPYSAISVGEFMQQHISRYGNIYKSNLFGEKTIVSADAGLNKFILQNEGRLFECSYPRSIGGILGKWSMLVLVGDMHRDMRIISLNFLSNARLRTHLLREVEKHTLLVLSTWKENCIFSAQDEAKKFTFNLMAKNIMSMDPGQPETEKLKQEYVTFMKGVVSAPLNLPGTAYRKALQSRSTILKFIEKKMEERTKKMKEGKDNSEEDDLLNWVLKNSNLSTEQILDLILSLLFAGHETSSVAIALAIYFLPEHLEIVRAKKQSGETELNWDDYKKMEFTQCVVSETLRLGNVVRFLHRKALKDVRYKGYDIPCGWKVLPVIAAVHLDPCLFDHPQHFNPWRWQQSNGTRGTTSTSSSASGASSNYFMPFGGGPRLCAGSELAKMEMAVFIHHLVLNYQWEIADNDQAFAFPFVDFPKGLPIKVHHQTSSI >OMO56881 pep supercontig:CCACVL1_1.0:contig14466:38906:40565:1 gene:CCACVL1_26191 transcript:OMO56881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREEQLNLIQTSAVDNLENGGESVPSSASIPHSSSSKEAFYKVIRSWASKKFMTGCVILLPIAITFYITWWFIDFVDGFFSPIYKHLGINIFGLGFVTSITFIFVVGVFMSSWLGASILSLGEWLIKKMPLISYIYSASKQISAAISPDQNTHAFKEVAIIRHPRIGEYAFGFITSSVTLHGSSGPEELSCVYVPSNHLYIGDIFLISSKDIIRPNLSVREGIEIVISGGMSVPQKLTTLDAHAVRIAGLESFNNPQVNDG >OMO56885 pep supercontig:CCACVL1_1.0:contig14466:62149:63890:-1 gene:CCACVL1_26196 transcript:OMO56885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDSDNSWVLAELESDGQEKIDDDDFCILGVERNTKLNADVESTAVADAEDSLFFQPLQSNSDTELEANADASSEESLQEVEVPIPTSWKYRTKRGLEYYSEFPKQIKLNKKVNIEDVVREHALHFLPAKALCRFKTVSRGWDQWISTPFFAHRQSSCFKNVSGLFYQEPGCKPSFISFHDDAYGMPDPSLDFLPERVNIRSSCNGLVCCQSIGDDVYYICNPATQQWKMLPRPAMYHGDEAAIVLVFEPRALNFNESYELVCAVTVPDSQTIQFEIYSSRSSSWRLSETICCEQYCHGLDGIGFYMKDVVYWKTSSGVILAFHLRSEEYGVLPLPLNAGENGALTVMNGELCYILPEKQGYECNIYIYGNLDMSLNTVINLTSDDVGTILGVCRAFPSVNDDILILMLGRKVIAYHVREQKAELICTSEVAPWINCLPYVNNLVEVKDPLIGQFA >OMO56882 pep supercontig:CCACVL1_1.0:contig14466:41571:46432:-1 gene:CCACVL1_26193 transcript:OMO56882 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MGAKKEKENDASADSPTSVLEDEEKCKAEIVKLEEEAVLYAKNGDSSLLSEEMVNEEEKLLEARLKEEAKEEGPEEAVNLNETQFTRLDELLTQTQLYSEFLLEKMEDITNNGVEPEAEAAQPKKGRGSKRRAANQYNNRKAKRAVAAMLTRSKEAEKIEDETEEEKLEKQQKELVPLLTGGKLKSYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTIAFLAHLKGNGMDGPFLIIAPLSTLSNWLNEIARFAPSMNAILYHGNKKERDEICRKHMPKVIGPKFPIVVTSYEMAMSDAKRVLRHYEWKYVVVDEGHRLKNSNCKLVKELKHLRVANKLLLTGTPLQNNLAELWSLLNFILPDIFQSHEEFESWFDFSGKINGDVSKEEMEENRRAQVVAKLHAILRPFLLRRMKADVELMLPRKKELILYATLTEHQKNFQDHLLNQTLESYLREKVDTGRGMKGKLNNLMIQLRKNCNHPDLLESVFDGSYFYPPIEQIVEQCGKFRLLERLLTKLFERKHKVLIFSQWTRILDIMDYYFSEKGFPVCRIDGAVKLDERRRQIAEFNDVNSNYRIFILSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAQSVDCRIMKRAQSKLKLEHVVIGKGQFHQERKTDNDDLKEDDILSLLKDEETAEDKMVQTDISDEDLEKIMDRSDLIADPDEGEKAKAAIANAVPLKGPGWEVVLPTGTGGMLSTLNG >OMO56884 pep supercontig:CCACVL1_1.0:contig14466:58176:60880:-1 gene:CCACVL1_26195 transcript:OMO56884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPEESPNQDSPPPPPPPDSSSDDSSPPPSSPSPPPPKSSSSSPPPPPPSSDNNDSPSRPSPPAPKGGGGGGKDDSPSTPAPKSGGGNKNNPPTSKDSSSGGPDVKVIVGVAVAAGILLLIMIILLSRCLSKRKKRRNQQMQYYPSHQPNKGGSDQYYNSSQSPPWLNNSQGGEHIVKVPPPPPGGGSWGAAPPMQMQSQPHYSGGDSSSNFSGPFRPPPLPPPSPGIALGFNKSTFTYEELAAATNGFSQVNLLGQGGFGYVHKGILPNGKEVAVKSLKSGSGQGEREFSAEVEIISRVHHRHLVSLVGYCIAEGQRMLVYEFVTNKTLEHHLHGKNLPVMDFSTRLRIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDFNFEAMVADFGLAKLSSDNYTHVSTRVMGTFGPVDPTSTMEDSLVDWARPLLARALEDGNVDQLADARLEGNFSHHEMQRMVACAAASIRHSARKRPKMSQIVRALEGDSSLDDLNEGGVKPGQSHIYNNSGMMSSDYSASNYQADMARFRQLALSSQEFPSNEFGGTGTSTSSGDHSSEMTTPPGMRKHNI >OMO56889 pep supercontig:CCACVL1_1.0:contig14466:86193:86758:-1 gene:CCACVL1_26200 transcript:OMO56889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDDTLPTTTATTTTASVKKESSDSSLFGKGRYKFWALAAILLLAFWSMFTGTVTLRWSAGNLNSLSDDLDSPINDDLDVLEMEEREKVVKHMWDVYTNSRRIRLPRFWQEAFEAAYEELTSDVPGVREAAITEIAKMSIRSVDLDPPPIQSTVRI >OMO72390 pep supercontig:CCACVL1_1.0:contig11452:113293:114861:-1 gene:CCACVL1_17834 transcript:OMO72390 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, B-box MISSKNVANAVGGKTARACDSCIKKRARWYCAADDAFLCQSCDSSVHSANPLARRHERVRLKTSSLKSTNNEGCDLETAPSWHKGFTRKARTRRPTKVSSIHQKLKAEYTKRNCSNPVPLVPEVGADEISHEENEEEQLLYRVPIFDPFVAELCTSTTSNEEAAVGNNIELADAAASHESKACLGCNGQDGLFPSEMDLAEFAADVESLLGKGLENESFAMEELGLMDSNKDNDFKECCLANGKVKIEDEESFEAGGRDKCQADSEMDMAREPFELNFDYDSPASCGEQDESVKDEVTLKYKEEGYSTKISKKRKILLSLDYESVIAAWATQGSPWTSGDRPEFDPDEYWPDCMGTGGTEFHHCSDLIGMGMGGLQQAMGDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRTSFVAPPVFSLHNK >OMO72388 pep supercontig:CCACVL1_1.0:contig11452:48891:50774:1 gene:CCACVL1_17832 transcript:OMO72388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLQVLQLQATPSSCAAHVSSKRHHFEALGTCSSMALLKQHHSRLIKLGLSTDNDSMGRLIKFCTTSENGDFDYALHVFDTLPHPDAFIYNTIIRGYLQRQQPAHCIIFYLQMLQHSVVPNKFTFPCLIRACCLGNAVEEGAQIHAHIFKFGFATDVFCLNNLIHMFVNFQALEKARRIFDKMPSRDVVSWTTLMSGYAQLGLVDEAIKIFELMPKKNSVSWNAIIAAYVQSNRFHEAFALFNRMRDEKVPLDKYVVASMLSACTGLGALEQGKWIHGYIQNNGIELDTKLATTIIDMYCKCGSLDKAYETFKGLSCKGISSWNCMIGGFAIHGKGKAAIELLKEMEKEAVAPDNITFLNILSACAHSGLVEEGRHYFQYMTEVYGIEHRMEHYGCMVDLLGRAGLLDEAKRLIDRMPMSPDVSVLGALFGACRIYRNIELGEQVGKRVIELEPENSGRYVLLANLYADAGRWEDVANVRRTMNERSVKKVPGFSVIELKGVVSEFIAGGTSHPETKEIYAKVDEMLGCIRSVGYVPQTEGGVNDLIEEEGDNPSYYHSEKLAIALGLLKTRSGETFRITKNLRVCRDCHQASKLISKVFNREIIVRDRNRFHHFKDGECSCKDYW >OMO72389 pep supercontig:CCACVL1_1.0:contig11452:51879:64936:-1 gene:CCACVL1_17833 transcript:OMO72389 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prenyltransferase/squalene oxidase MWKLKFAEGGNPWLISVNNHVGRQVWEFDPNLGSPEELLEIEKARRYFSDNRFRKKQSSDLLMRLQFSKENPVHVALPQVKVDEANNITEGMVSSTLRRAINFHSSLQAHDGHWPGDYGGPMFLMPGLVITLSITGALNAVLSEEHKKEIQRYLYNHQNEDGGWGLHIEGPSTMFGTVLTYVTLRLLGEGANDGQGAMERGRDWILTHGGATAITSWGKMWLSVLGVFEWSGNNPLPPEIWLLPYMLPFHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTVLSLRKELYTIPYHEIDWNQARNECAKEDLYYPHPLVQDILWASLHKVVEPILMNWPGKKLREKALQTAIQHIHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKMHLPRIYDYLWLAEDGMKMQGYNGSQLWDTAFAVQAIISTNLAEEYGPTLRKANEYIRNTQVREDCPGDLNFWYRHISKGAWPFSTADHGWPISDCTAEGLKASLLLSKIPSEIVGEPLEAKRLYDAVNVILSLQNEDGGLATYELTRSYPWLELINPAETFGDIVIDYPYVECTSAAIQALTSFKDSYPGHRQEEIDLCIQKAAKFIEDIQDSDGSWYGSWGVCFTYGTWFGVKGLVAAGKNFSNCPSIRKACKFLLSKQLPSGGWGESYLSCQNKVYSNIEGNEPHLVNTAWAMLALMSAGQAERDPMPLHKGARYLINSQMENGDFPQQEIMGVFNKNCMITYAAYRNIFPIWALGEYRAHLFQRF >OMO92373 pep supercontig:CCACVL1_1.0:contig08231:2381:3624:1 gene:CCACVL1_06873 transcript:OMO92373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATVENGGIVQTDPDEAVKSGDQINDLMMLEENPDPKSRLKHAKKVLASLREDHWRVYERLRQREVESQVYKLSCLENREEEFRRMWIDRGEKLASLKRDLEKLTFANNAYKDRAIKSENKINNYNSHFRMHHGTNNMRNEKKVLKEINASCHKKGDDSTLSVDVISIHWGYHYPVNMVTDKAIANAPVKGKFWNSLPPKNVIKQEIKIMEKDSLDEDRKKHLRLKAKIEVLKQSISIEAKNDIDSLKRQLSHLETKKEEALKVVLDLINIRDQTMS >OMO61636 pep supercontig:CCACVL1_1.0:contig13439:51067:53546:-1 gene:CCACVL1_23365 transcript:OMO61636 gene_biotype:protein_coding transcript_biotype:protein_coding description:UPF0103/Mediator of ErbB2-driven cell motility (Memo-related) MEKIRRASHAGSWYTDNPKKLADELDGWIRAAGLPKSSDVRGVIAPHAGYSYSGRAAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALSQATVYKTPIGDLPIDLEVIEELKATGKFELMDLRVDEAEHSMEMHLPYLAKVFEGHSVKIVPILVGALSAENEAMYGKLLAKYIDDPNNFFSVSSDFCHWGSRFNYMHYDKKYGAIHKSIEALDKMGMDIIETGDADAFKQYLLEYDNTICGRHPISVFLHMLRNSSTKIKIKFLRYEQSSQCKTMRDSSVSYASAAAKVDA >OMO61633 pep supercontig:CCACVL1_1.0:contig13439:32709:33889:-1 gene:CCACVL1_23362 transcript:OMO61633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVTPMGSLSQKAVVLDNFVGFALQAAFVEAAIVASKSVAWFLMMMGTLPNGIDVFLKEPEAYAGFPLAQLLPVGKPGPENKDSSDTEDDDEEEEEVADDQDEDEDEGEDEDASGEDEGDPEDEPEANGGGGTGDDEDEDEDDDDDDDGEEEEEEEEDDDEDEEEEEELQPPAKKRK >OMO61635 pep supercontig:CCACVL1_1.0:contig13439:44171:50453:1 gene:CCACVL1_23364 transcript:OMO61635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKKSALNKELLFLILQFCNDEGYKRTAHMLERESGCYFDMEFFGDMVLNGKWKKVDKYLSGFTKVDDNKYSTKIYFEIRKQYFLETLDNNDRAKALDILMKHLKVFAQDHEELFREMTLLLTLDNIRENESLRYYGNAESTRKTLWYELKKIIDANPILRGKLEFPSIKSQRLRRLINQGLNWQHIQCKYPQPNPDITTLFEDHVCQWQENQLFMQSNKNTQPTQDASVPVFPSSSISGPSTVTHGDEDTHFRGPITLGTATTLNLIGEPHTESQKSLLRTEDEVTSTVMHPGQSHSPASSISDELAVISINNDMLENIEPTSYSDLPKTVALVLNEVSSPVSMDFHPVQQTFLLVGTDVGGIGLWDVNSGQKLVSRNFVVWNIKACSMIFKTAMMKDPHVSVNRVAWSPDGSFFGVAYSKHIVQLYSFDVGIKIQQIVEIDAHVGGVNDLVFSRPRKQLLIITGGDDKLVKVVFFNYLPMSDSLACTTMAYGADNRRLFSCGTNKSGESFLVEWDESEGITKRTYQGLSKNSSGVVHFGPMKGKFLAAADDHVIKIWDMDNVELLTTIDAGGLPANPHIRLNEEGTLLAVIANENKIKILATYCGLRLLKASVHGFVDSSSDVSDSLRQVNILVVSQLLLVEDLSFLILFIFQINRPSQCQSLQLSGHRKGDKISRLIYTNAGNAILALASNASHFLWKWAQNDLNLSGKATSNVPPQLWQPRSCSRPMTNDLTGSKLEEAVPCLALSKNDSYLLSASGGKISLFNMLTFKTMMSFMPPSPAATCLAFHPQDNNIIAIGMDDSIILIYNVRLTKIISRLKGHSGTVTGLAFSTTLNVLVSSGVDSQIFTWSLDGWVTCSKFLEYPDNEGMPVVGSNTQVQFHQDQVHFLVTCETQLSIHEAKRLACVKQWIPENDTRISQATFSCDSQMVYACFRDGTISIFGASDLEIRCQIIPTAYLPTDASLNVHPNVHPIAMAAHPQKSTQFAVGLTDGGVIVFEPLAPGNTWYEGENEPATTSSTSSLEDD >OMO61628 pep supercontig:CCACVL1_1.0:contig13439:5199:11752:-1 gene:CCACVL1_23357 transcript:OMO61628 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MAGWKYKAGLFLIGTVVVIWVTSAEVTQDIFTDYKQPFAVTYLGASLMVIYLPIAWLKDWVCNLLRRRSSKTVKDIESIDESSVELSSPLRHKIYELEHQGALTRKDSDADLSPHTEGKPLVPKNKDEVHFQKQDKELTTREIAFFGLYLAPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGAFLGQDSLNVAKVVAVFVSMAGVAMTTLGKTWAADDALTSASNGKRSLVGDLFGLLSAMSYGLFTVLLKKCAGEEGERVDVQKLFGYIGFFTLVALWWLVWPLTALGIEPKFTIPHSAKVDEVVLANGFVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMIIHGRHYSAIYILGSAQWKCRSGQGQIKFNESPEAGRAELFFFLCFHQLSKLANLSCYGTDHTIRTDSFVTLRTSLYGTPDFFRVRIYSISGDGYTINGRVRIPQGLGAKGFALPGRLSNIKVLLNGGQNVTFLRPDGYFSFHNVPAGTHLIEVAAIGYFFSPVRVDVSARNPGKVQAALTENRRGLSELVLDPLRDEQYYEIREPFSIMSVVKSPMGLMVGFMLVVVFLMPKLVENMDPEEMRRAQEEMRNQGVPSLASLLPGAARN >OMO61632 pep supercontig:CCACVL1_1.0:contig13439:31506:31959:1 gene:CCACVL1_23361 transcript:OMO61632 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MASPVKAFVAVLLILGLVTEGLCACSLNDINIGTVRSGRTIQGKAEWNVTVINNCKCSQSKIKLTCKGFQSVEAVDENIFLKQGDNCLLIKGDSLPGFRSVSFSYAWDPPFIMFPSDSVVKTC >OMO61627 pep supercontig:CCACVL1_1.0:contig13439:1073:4082:-1 gene:CCACVL1_23356 transcript:OMO61627 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 31 MEGLPTTTKADRRWRSKNLQTSKPSLVMAFFSCVAWLYVAGRLWQDAENRKLLSTLLKKNIEQRPKVLTVEDKLMVLGCKDLERRIVEAEMDLTLAKSQGYLKHQLRQSDSSDQKLLAVIGVYTGFGSHLKRNTFRGSWMPRGDALKKLEERGVVIRFVIGRSANRGDSLDRNIDEENRKTKDFFILEGHEEAQEEVPKKAKFFFSTAVQNWDADFYVKVDDNIDIDLEGLIGLLERRRGQDSAYIGCMKSGEVVAEEGRPWYEPDWWKFGDEKTYFRHASGSLLILSKNLAQYININSASLKTYAHDDISVGSWMMGLQATYIDDNRLCCSSIRQ >OMO61629 pep supercontig:CCACVL1_1.0:contig13439:14811:15986:-1 gene:CCACVL1_23358 transcript:OMO61629 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo-like helical MDQTPNQDDRQLFTMLKSLQQASKDLQNSSIFATNKPQSAIELFLDLENKANALLSNDPNLFKVSQILCNLKTLVEKLQKYQGYSLLCIFRRQIINYKIYRVACEMETEIQAYFDREDVENLVETLEDSDEYDEDEKVRVLIEFEERLSQGFDLYFQDLILKAKVFSILEFLLCDSSCSTRIRDQVSLAIAALVRFNKDVFVGLVLMGPTVRALISMSSSCSIRVLSLLIQFIRIPLVDELEAYKEIPRIINLLSSENVSIQVGAMDCILGITYYGRSEAIEAMIEAGLVEKLMELQRLETQCQMNGNETNSENGEKSSRKMESDEGDYSENCPFEGCVTRFAVQLEAGEVLSKKEKKEFKLEILRRIRAASVSEAESAAIVAEVLWGSLS >OMO61631 pep supercontig:CCACVL1_1.0:contig13439:21495:30029:-1 gene:CCACVL1_23360 transcript:OMO61631 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2 calcium-dependent membrane targeting MNFLFRLLVFYFGVHLINKGSFIKLQGGPGNVVISGTVFVNGTAPIGRTDENFICATLDWWPPEKCDYGTCSWGKASLLNLDLGNPKLLNAIKAFSPLKIRLGGTLQDKLIFETIGDKNPCLSFTKNSSEMFGFSKGCLPMSRWDELNIFFKKAGAMVVFGLNALSGKIISPNGSAMGAWNSSNAESLIRYTVNKGYTIYGWELGNELCGSGIGARIAADQYASDVKSLQNIVQNIYTGFEVKPLVIAPGGFFDANWFTNFVQKTPKSLQVVTQHIYNLGPGNDNQLMNKILNPSYLDGGQQPFRDIQAILKKSASPAVAWVGESGGAYNSGQNHVSNSFVYSFWYLDQLGMAASYDTKTYCRQTLIGGNYGLLDTSTFVPNPDYYSALLWHRLMGSNVLSTSFSGTTDFRAYAHCSKKSAKTVSSFARMTVADSRKILPAQFYPPWLIWLNHQLNKIWPYVDEAASELIRMSVEPILEQYTPAILSSLKFAKLTLGTVAPQFTGISIVESESGDGGITMEFELQWDGNPNIVLNINTRLGVALPVQVKNIGFTGVFRLIFKPLVDEIPCFGAVCYSLREKKDLDFTLKVIGGDISTIPGLADAIEDTIRDAIEDSITWPVRKIIPILPGDYSDLELKPVGTLEVKLVQAKELTNKDIVGKSDPFAVLFVRPLRDRMKTSKTINNQLNPIWNENFEFIIEDVSTQHLTVKVYDDEGVSAAELIGCAQVALKDLEPGKVKDVWLKLVKDLVIQRDTKNRGQVHLELLYCPFGTESSIKNPFNPDFSLTSLEKALKNVTIETPPAELEKSITQKKKEVIVRGVLSVTVIAAENLPPVDFMGKADPFVVLTMKKSEAKSKTRVATETLNPVWNQTFDFVVEDALHEMLILEVWDHDTFKKDRIGRCIMTLTRVLLEGEIQDGFQLDGAKSGKLFLHLKWSPQLVFRDA >OMO61634 pep supercontig:CCACVL1_1.0:contig13439:35080:40578:-1 gene:CCACVL1_23363 transcript:OMO61634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSLSRDLIFLILQFLDEEKYKESIHILEQESGLFFSTKYFEELILAGKWQEAEKYLSAFTRIDDNRYSMKTFFEIRKQKYLEALDKQDRATALNILNKDLKVFSSFNEDLFNEMTHLLTLDNFRENEQLANYRDAKTARAVMMVELKKLLEANPLFRDKLQFPTIKNSRLRMLINQSLNWQHSLCPNPRQNPDIRTLLVDHSCRNSSDPYPQIASSNQLMAPAQRPEGFVPMAANGPFPPTSTPLQTPLNAWVSPPSSSMSHPVVPGGGVALTAPTIPAAVSRGLGDFDNMAKPRLPAVPDRIMLPGMSSSQNNGLQINMTEDLPKTVARVLNIGSAPTTMDFHPVHQNLLLVGTNNGEISLWEVRSGEKLVSKNFQVWDIGASSMPLKAALIKDPSVSVRRILWSPDGSLIGVAYSKHMLQLYTYFGGSDIRQHLEIDSHIGAVNDLAFCNPNKQPAVITCGDDKTIRVWDLATGTKLFTFEGHEAAVHSVCPHFKENVHFVFSTSVDGMIKAWLYDVMGSRVDYYAPNHSCATMAYSTNGKRLFSCGTTKDGESHIVEWNEIDGTVKRAYQGFHKRSLGVVQFDTSKNRFLAAGDDFSVKFWDMDNCLPLTTIDAEGGLPATPRVRFNKEGCLLAVSANDNKIKILATADSLRLIRASDTNSHIAMRPSSDAPPKNGDLKRMEDTRPRSAEELKPTKVHKLSEISTPAQVRTLKLSSHVKTDKISRLIYTNSGTAILALAFDATHLLWKWPRGDLNLNGKATTKVSPQLLQPTSGILMVNDRANGNPDEIMPCFALSKNDSYVMSASGGKISLFNMLTFKTMVAFMAPPPMATSLAFHPQDNNIVAIGMDDSAVHIYNVRSDEVKTKLQGHNKRITGLAFSHVLNTLVSAGADAQLVVWDSGLWEKQKACFLQSPATRANLNTQVQFHHDHIHLLVVNETQLAIYEMRKVECVKQWVKGENSAPISHATFSCDSQFIYSSFLDGKVRIFSASNLQVKCQINPTAYLPADISPAGCHPLVVAAHPQEANQFAIGLSDGSVYVFEPIESEGRWDAVQRLENGGPSTSASPSTAMDQSQG >OMO61630 pep supercontig:CCACVL1_1.0:contig13439:17266:20647:1 gene:CCACVL1_23359 transcript:OMO61630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGLRFTLFEPLLTLFLFLAVVKKGNAGITSSFVRSQWQSFDIPLDNKVFAIPNGYNAPQQVHITQGDYDGKAVIISWLTPDEPGLSKVQYGTSENKYEFSAHGTVTNYTFYNYKSGYIHHCLVDGLEYDTKYYYKIGVGGSSREFWFQTPPKIDPDSPFTFGIIGDLGQTYNSLSTLEHYMQSGGQTVLFVGDLSYADRYQYNDVGIRWDSWGRFIERSAAYQPWIWSAGNHEIEYMPDVGEVLPFKSYLHRFSTPYLASGSNSPLWYAIRRASAHIIVLSSYSSHVTYSLQWRWLQDELERVDRKKTPWLIILMHVPIYNSNQAHFMEGESMRAVFEPWFVRYRVDFIFAGHVHAYERSYRISNIRYNVSSGPRYPVPDRSAPVYVTVGDGGNQEGLAARFWDPQPEYSAFREASYGHSTLEIKNRTHAFLHWHRNDDGQKVPADSVLFLNRHW >OMO61637 pep supercontig:CCACVL1_1.0:contig13439:55022:66255:-1 gene:CCACVL1_23366 transcript:OMO61637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MPALTSLKRSSPSIHRLSLIQQHQRLQTRQFSSLPLINASNVNSGDQKDSVASSFMRWISGIAIGSSLGLAYYYYSTSTSDSSSGFFKKPLFSFADFSTATAESAVDGSRKGFRKLALPNYSSKFIFGEVYRRKVFFNYEKRLRLRSPPEKVFEYFASFRNPKGELFMRPADLMRAVVPVFPPSESHLVRDGYLTGERSPGELRCDPSEFFMLFDINTDGLISFKEYIFFVTLLSIPESSFSIAFKMFDTDNSGEIDKEEFKRVMALMRSNNRQGAVHRDGLRIGLKVTGSVDDGGLVEYFFGKDGKARLQHDKFVQFLRALQDEMLRLEFDHYDYKGRGTISAKDFALSMVASADMSHLHRLLERVDELNDEPRLREIRIDLDEFKHFAELRRKLQPFSLALFSYGRINGLLTKDDFKRAASHVCGLSLTDNVIDIIFHVFDTNRDGHLSSDEFVRVLLKRERDIAQPVESGILGLLSCCWKCSNDSSIGRVADCQYKFFYQCFACHEEEKLALLELKASFYPDESFAPPSWEDNDDSDCCGWDNVVCDKATQRVSKLFLNSSWDPMEVYDFVYLDASLFLPFKELTFLNLSSNMFSGLVDNDVSSVTTLSLATNVMTGATHFQELAALPNLEELDLSENPLENFMDIKGLKSMSKLKVLKLNSNELNMSTLQSLRNLHSLKRLYLSQNKLEGSITVEEISSLRNLEVLDVSSSNVSNSFLQVLEFMTSLKGLSLRENGLNATLDSLVVEISDRLWIPHFQLKVFRLSGCSVDKESIMKFLSFQHDLRLLDLSNNNLDGDVPTWLMEGNTRIEELHLSNNSFKGQFPLPSRPTSFISAVDISNNQLQGQIPSNISIYLPNLKVLNLSQNSFEGNLPSSFSNMRNLLILDLSKNEFLGDIPESLFLGCFSLHALILSNNHLTGQMLPKLSNLTSLQILALNGNLLHGKIPDSLLNSSNLERLDVSNNNLSGNLPTWMGNLTSLQSLFMAGNHLEGPIPVEFCSHESFEVLDLSQNNLSGSIPSCFSPPSLLRIYLHDNKLTGPVTKSFSGSLSLVALDIRNNYLNGSIPHSIPRSLSILLLKGNQFEGRIPDQLCQFSMLSLLDLSHNNLSGPIPSCLNKIPFKIGFRSGKFRLAFALDIDLKLSAYSYQSSYIDLPQIPSFSDPVSYDIASAEFTTKNRFLIQKMGKPTGKKKFQEAAQKTIEANRQIKAADRTSKAFDEDTAIFINMSQELKEEGNKLFQKRDHEGAMLKYEKALNLLPRNHIDVAYLRSNMAACYMQMGLGEYPRAINECNLALEVSPKYSKALLKRARCYEALNRLDLAYRDVHNVLTIEPNNLSALEILESVKKAMDEKGVTVNENELGLFDNEPSGASRLRKVVKEKLRKKKNKGKKVEKDEKEEKSDDNKVTEEKKAEDKAVVDEKKVSVVKDEEVVMKTIEEEKQAVIMEERVITKTVKLVRGEDIRWAQLPINCTVKLLIDIIRDRFPGLKGVLVKYRDSEGDLVTITTTDELRMAESSGSVSGGSLRFYIVEVSPDQEPAYEGVSKEEVVKSEEKLRNIFENGNPDHGVEIPRPTCVEDWIVQFARLFKNHVGFDSDSYLDLHELGMKLYSEAMEDTVTSEEAQELFEIAADKFQEMSALAMFNWGNVHMSRARKHAFFTEDGSRESILAQVKSAYEWAQKEYALAEKRYEEALKIKPDFHEGLLAMGQQQFEQAKLCWYHAIGSKIDLESGTSQEVLQLYNKAEDSMEKGMQMWEEMEERRLNGLSKFDKYKPILQKMGLDRLFKDVSAEEASEQAANMGSQIYLLWGTLLYERSIVEYKIGLPTWEECLEVAVEKFELAGASATDIAVMIKNHCSNQNALEGLGFKIDEIVQAWNEMYDAKRWLIGVPSFRLEPLFRRRVPKLHSVLEQL >OMO75383 pep supercontig:CCACVL1_1.0:contig11048:11089:11922:1 gene:CCACVL1_16185 transcript:OMO75383 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MHGSVLYVLEGYSSSCDPSEPSERNHVFCIDTSEDAGAGGGWYKCPSMITRRSGAMATFFKGELYVMGGSLPLLGENWAECLNLASKKWSILALPPPPISPPFIACGAKKLFLGSFGVLQVMERYNKLKEVENIPPNFPLQRHGLITNGCILFFYNCSLHSYNLRTRGYTNRIIVGLEGILGYQCEDIPSLIYLNGSITKPVGARFCLVRSDRRPCDRHPLNDCLHVHCTTFHAVYEFWDEYDEYVLVAYAQASESFVIECRQDSTRLCHFKGAMPL >OMO75382 pep supercontig:CCACVL1_1.0:contig11048:2147:4798:1 gene:CCACVL1_16184 transcript:OMO75382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRRWMYNRNYPSHGGIRQEFFDGVVEFLEFATHPPQYMSGDKIRCPCYKCENRSFLDSEDVSEHLCRKGFMEKYWNWTAHGEEAWALHERQGFVVNPELTEPVPEQMAHWDDYEHMRWDQRMVYDSVRPNFVSHPEEEAGPNNIDEPSIMSNEGGGSRNLDQSLFDMIAVSDQPRGTATASGSTSQQQPEFSSRKVFRLQPPPRRTETSPAATNDAVTTRDTATNSGSTSQQQPESEPPSRSVFRLQPAPRQTATSPPANIHPLQDNDEEEEQEEDAMGEEGVDRDGLDNDLEAEEDAPEVQHDQQQPVKLPPDPGLHDPPMEPLPCREDPGVPPLELSDNMLYGYDFHFRRIFTRTIEGYYCGAWPGWSFIPRGVKKEMFETIKVYYTWPKSRGKEVMSVFNKMASEHIRRQFQFARALQEQNKRNRNADGFTSTSGYRGGCISTYTHRQRYIIEKKQKPTRLQLYERMHGRKNGSIPPGRTTITILMFIVVIFCLVVLFFLQEKFKDALQRAKDAARGDREALQAIDEDAIFDEVAGGTKGRRLGLGNIARAERCGVVDPCSSLTQENQELKETIRSLSQDNEATKAKQIPI >OMO73684 pep supercontig:CCACVL1_1.0:contig11191:11857:17058:1 gene:CCACVL1_17181 transcript:OMO73684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGMNAKNRRGPAVHVDYRIHIQEIKPWPPSQSLRSLRSVLIQWENGERNSGSTNTVSPTLGSIVGEGKIEFNESFKLPVNLVKDLSVKGKDADAFHRNCLEFNLYEPRRDKIQLLATAIVDLAEYGIIKETLDVAVPMNSKRTFSNTSQPLLFIKIDRIYKGRNSSSSRGSLSKEQSLDRKGSESVSALMDEEYAEEAEVASLTDDDVSSHSSLTVSSSTLESNGSSLPRNEEIESDGKGEVKGDTLASKLNLERTDMLTQTAQCKDSKGNSSFSSSADVSSVSTDFESSGGARASASSNSHSSSSPVRANVLTPKVHLSSPSLANVSTLEEPNKRSNDSEDLSRKVQVNVANKGTTIKYNGQSKEDISGSSEAKLASSASSPHVVDKRDSKDYNDSPADGEDDRKAQRNGKIFSEEALVSCDAYDNSLEGNSGYDYLENLHEGQYLEEKRYSTEDERLDIHSPDNSLGQGSLGAKSNVLKSDRLKHVKSVRSSPDSVRSNGLFSNNQHSEFKEIGIPGDVQLGAGTFRSKSSNERKDAKVYLKDTKSAILDNKMQQLEHKIKMLEGELREAAAVEAALYAVVAEHGSSMSKVHAPARRLSRLYLHACKEGFQSRRASAARSAVSGLALVAKACGNDVPRLTFWLSNSVVLRAIISESIGDSELPVSAGSIERNGGTGKEQVSSSLKWKQSNPSKKENKLIAFGSFNDWDTPRAFISALERVEAWIFSRIIESVWWQTLTPHMQSAARKEMDRGTGSGSGKSYGRISSLSDQDQVNFSLDHWKNAFKDACERLCPVRAAGHECGCLHLLSRLIMEQCVARLDVAMFNAILRDSGDEIPTDPVSDPISDPLVLPIPAGKTSFGAGAQLKNAIGNWSRWLTDLFSIDDDSVGDENDEDDNDERQDTSLKSFHLLNALSDLMMLPKDMLLNRSIREEVCPTFGATLIKRVLDNFVPDEFCPDPVPDDVLQALETEDSVEAREGSITNFPCAASPPVYLPPSATSVAQIIGEVGSQSQLRRSGSSVVRKSYTSDDELDELNSPLASIFIDGFRSSPVQSKPNWISKGNGYQNAIRYELLRDVWINSE >OMO73683 pep supercontig:CCACVL1_1.0:contig11191:10946:11017:-1 gene:CCACVL1_17180 transcript:OMO73683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKCEAKRSVLEWFPPWKKNKGS >OMO73685 pep supercontig:CCACVL1_1.0:contig11191:17966:19514:-1 gene:CCACVL1_17182 transcript:OMO73685 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylate kinase MAWLPKLQVATAAASAAVKPVRHGLRAFGSAAALELDYDYDYYEYEQAHRDQRPAQPKVDVGGSAPERGVQWVLIGEPGAKRHAYAERLSKLLEVPHISMGTLVTQELNPHSSLYKQIANAVNEGKLVPEDVIFGLLSKRLEENYYKGENGFILDGIPRTRIQAEILDQIADIDLVVNFKCTEEYLLKKKSESELLRIGNSKNVGSSWKENAHVFSEQAKSVEDYYSKQKKLLNYPVAGAPGDAWQGLLAALRLQHMNALSSSQKLTA >OMO73682 pep supercontig:CCACVL1_1.0:contig11191:4028:8344:1 gene:CCACVL1_17179 transcript:OMO73682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYFYLFVLALFSWNCFVQTSYGLQAYQTQLLLQIRKHLEYPSQLQILDYYNGDLCNLSETHLMISCQDNVVTELRIRGDKLANVSGFNGYAIHNKTLSETFSIDSLVTTLTRLTSLRVLSLVSLGIWGPLPEKIHRLYSLEVLDLSSNFMFGSIPSQISRMVKLQTLTIDGNYFNDTIPDTLDSLSNLTVLSLRGNRLKGQFPSSISKISSLTDIALCHNKLSGKLPDLSSLTRLRVLDLRENQFDSKLPVMPQGLVTALLAENLFSSEIPEQFGKLNHLQHLDLSFNQLSGIPPSALFDLPSISYLNLASNMLSGSLPKHLTCGSKLGFVDISRNKLVGELPSCLDNTSDKRVVKFGGNCLSIDGQHQHQSSHCKETNTKSRKNIAILIAVIVGALLLLVLLALVVIVLSRRCCRRQTFETHIRQKVVQDNTTTGVSPEVLANARFISEVVKLGAQGAPVCRQFSLEELKEATNNFDSSMFMGEGSTGKLYKGRLENGSFVGIRSLTLLKKYSIQTIKARLDFFSKLHHPHLVGLLGHCIDGGVQDDSSANKVFLVYDYVPNGNYRMHLSENSPEKVLTWSDRLAILIDVAKAVHFLHTGVIPGVYNNRLKTNNILLDEHRMAKLSDYGMSIILEENEKLEAKGDGLKSSQRKNLEDDVYNFGFILLESLVGPIVTGKGETFLLNEMASFGSQDGRKRIVDPTVLTTCSQESLSIVVSITGKCICPEPSSRPSFEDVLWNLQYAAQVQATADADQRSESPS >OMO85521 pep supercontig:CCACVL1_1.0:contig09611:13996:19783:-1 gene:CCACVL1_10131 transcript:OMO85521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNCCKVLGKRKAGESDDDDGGVNLTKTQGERQGTQNETLQATHEESMVKSMKKSLQVINSNWRFSWECEGYGKKSRTNFGVSLINGNAVFSSFSYLSLKEVGGGAV >OMO89775 pep supercontig:CCACVL1_1.0:contig08618:3123:4003:1 gene:CCACVL1_07635 transcript:OMO89775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEAASRLTSAGRLPETKAGEAEIRSRDGD >OMO89776 pep supercontig:CCACVL1_1.0:contig08618:9995:10695:-1 gene:CCACVL1_07637 transcript:OMO89776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVTDSPVHSSSSDDFAAMLDAELEVQKV >OMO89774 pep supercontig:CCACVL1_1.0:contig08618:1633:2763:-1 gene:CCACVL1_07634 transcript:OMO89774 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator complex, subunit Med21 MDIISQLQEQVNSVAALAFNTFGALQRDAPPVRLSANYPEPPANPTEDSANFADQPKLMSAALVKAAKQFDALVAALPSSEGGEEAQLRRIAELQAENDIVGQELQKQLEAAEKELKQVQELFSQAADNCLNLKKPD >OMP06307 pep supercontig:CCACVL1_1.0:contig04978:973:1047:1 gene:CCACVL1_01632 transcript:OMP06307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDYKPTGSVDRVQLVVISRSVV >OMP00878 pep supercontig:CCACVL1_1.0:contig06595:3960:4466:1 gene:CCACVL1_03269 transcript:OMP00878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHAGTVVGKLEGERDITLGFVDLLRDDFIEKDRSRGIYFTQDWVSIPGVLPVASGGIHVWHMPALTEIFGDDS >OMO78643 pep supercontig:CCACVL1_1.0:contig10556:9519:11581:-1 gene:CCACVL1_14240 transcript:OMO78643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADENSSKPIPDRWLFVKKAQKDGSLIASSHWCWKGWGLITDLETLKFYTCPETGQKFYSYEDLIRYVKYAEGAKLSIYSPDFVAGVNPRKPKKKASSSDVDDEEDEPVESSDSDDSMFELPTIASLDLLGVTGSETEKEAASGKEKSKNRASNEACSSSGHKDKKQKM >OMO78642 pep supercontig:CCACVL1_1.0:contig10556:6063:7974:-1 gene:CCACVL1_14239 transcript:OMO78642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKDGEGNNNTTSIDDNINDNEVAKAASNQGRISGPTRRSTKGGWTEEEDKMLTIAVQKFNGKSWKKIAECVPDRTDVQCLHRWQKVLNPNLIKGPWSKEEDDLIFELVQKQGKTRWSEIAKYLPGRIGKQCRERWCNHLNPDIKKSAWTEEEELMLIRAHGTYGNRWAEIAKLLPGRTENSIKNHWNCSVRKKAELLAASGINLGNGQGITSTNPYIAVGNSNSFGDYVRFPFLHERTTEQYGLANKMLSEPQPLLPNAALPGFVERLSNSSVRPQDTNGTGNTEYMELTGRTPDSFDSDQPVIVNTNSCPESILRNAAKSFRNTPSIIRKRSCQTTRETSSNHN >OMO78640 pep supercontig:CCACVL1_1.0:contig10556:1106:3583:1 gene:CCACVL1_14237 transcript:OMO78640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTQLSLISKASPSPLFSTIPGTRFTPPTTVRPTSSRFTCLASQTGFFSRLGRLIKEKAKSDFEKLSSGFSKTRDNLAVIDELLLYWNLADTDRVLDELEEALLVSDFGPRITIKIVESLREDILSGKLKSGSEIKDALKKSVLDILTTKGSKTELQLGFRKPAVIMIVGVNGGGKTTSLGKLAHRLKNEGAKILMAAGDTFRAAASDQLEIWAERTGCEIVVAEKENAKASSVLSQAVKRGKEQGFDIVLCDTSGRLHTNFSLMEELIACKKAVGKVIPGAPNEILLVLDGNTGLNMLPQAREFNEVVGITGFILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVEDLQPFDAEAFVNAIFP >OMO78647 pep supercontig:CCACVL1_1.0:contig10556:29956:31794:1 gene:CCACVL1_14244 transcript:OMO78647 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1,4-galacturonosyltransferase 1-like protein MMFKMIPANRMLFGLWREMLALQFIRNLFTKEVINFVTTSTTDTGPLILDSFRKANLSALWKIIGVESSVEDNASSESNQKDADLKQETPQVKEAMILDAIEQSKAVDSAVLSKCNTWRKEHENDNPDSTVRLMRDKIIMTKAYNGMQILKAAKGEYVQGNVRPGTSNGVTLREGSSISPWQQKENAWNVLVTHGTSNGVKVSGKVQGECAA >OMO78641 pep supercontig:CCACVL1_1.0:contig10556:4329:5513:-1 gene:CCACVL1_14238 transcript:OMO78641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioesterase superfamily MGKPSAKAPASQEAQALASSSSSAPVAAAAANLTNSEESPPYANNVMRFFKSVGISDPNVDNFSSKDYYSNLLCAYLKTDTVSRGRVTCFATVGPPITNFFGGFHGGAVAAIAERVAIATARTLLGEDKDIFLGELGISYLSAASKDAELIVDGSIVRSGRNITVVGVEFKMKNSGKLVYTCRATFYNSPVAKL >OMO78645 pep supercontig:CCACVL1_1.0:contig10556:22287:24360:1 gene:CCACVL1_14242 transcript:OMO78645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGHADGLSEFRSSRDRLLADPEVLEDDFHLDSSEQILYTASFEELAKNNLQYDTIIWFAISLLLVLAWGVGIVMLLYLPYKRYVLQKDISSRRLYVTPSEIVYKVSRPSYIPFWGVTTIEKHVPLSKVIDIIIEQGWLQSIYGIHTFRVESIAHGKAAPVDELQVQGVANPALLRKVIVKEAAKAIQDVGKSWKLSTVTGEVDTLSQMTSLTEGQPILRSPAKSWKMAASPHHSSVERRAVVPGELFMQKLDEVNKSVKFIYGVDLEHYHSAIRIHRGHSLCLLV >OMO78646 pep supercontig:CCACVL1_1.0:contig10556:25787:28285:1 gene:CCACVL1_14243 transcript:OMO78646 gene_biotype:protein_coding transcript_biotype:protein_coding description:dTDP-4-dehydrorhamnose reductase MSKTKVLVVGGTGYLGQHLLQGFSEIQGTPYDVAFTYNSFPPQPLLDAFPHSLAFHVDLKTGLGFDSISHQFGQPDVLVNCAALSVPRACEKDPAAATSINVPTSLVQWLSSFTQNQNTLLIHLSTDQVYEGVKSFYKEEDEAVPVNVYGQSKVAAEQFISEKWPNFAILRSSIIYGPQTVSPVPKSLPVQWMDGVLSKGDKVEFFYDEYRCPVYVKDIVTIILTLIDKWLSEGKKMQLLLNVGGPDRISRVQMAETVAQIKGYDSSLIKSVSASTVDRGVMSPADISMDITKLVQTLNFSPTPFKDGVTLTLASEANS >OMO78644 pep supercontig:CCACVL1_1.0:contig10556:13902:18776:-1 gene:CCACVL1_14241 transcript:OMO78644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKQHIAIFTTASLPWMTGTAVNPLFRAAYLAKDGERKITLVIPWLSLKDQKLVYPTNTTFSSPSQQESYIRQWLEERIAFVSDFHIRFYPGKFAVDKRSILPVGDISEIIPDDEADIAVLEEPEHLTWFHHGKRWKTKFRLVIGIIHTNYLEYVKREKNGRFQAFLLKYINSWVVSIYCHKVIRLSAATQDYPNSIICNVHGVNPKFLEIGKKKYEQQHSGNQAFTKGAYYIGKMIWGKGYAELLKLLGDHQKELACLEVDLYGSGEDSDQVKEAAEKLKLTVRVHPGRDHADSLFHDYKVFLNPSTTDVVCTTTAEVLAMGKIVVCANHPSNDFFKQFPNCRIYDDGKGFVEATVKALDEEPAPLTEAQRHELSWEAATERKLVFLTPQTLKLLFNVRFKMKKVIPGLWEGSLNLRKETDAVVAGDVDTIAAVVMDLFSFE >OMO85774 pep supercontig:CCACVL1_1.0:contig09579:8200:8777:-1 gene:CCACVL1_10003 transcript:OMO85774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCEGCARKVKSVLSGVKGAKSVDVDLKQQKATVTGYVDAKKVLAAAQSTKKKVELWPYVPYTLVANPYVAQAYDKKAPPNHVRAVPVTATIAETTVDDRYTNMFSDENPNACSIM >OMO85775 pep supercontig:CCACVL1_1.0:contig09579:11111:17416:-1 gene:CCACVL1_10004 transcript:OMO85775 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MEKGAVVFVLSVWLLAEGSAAVTFSSKLIHRFSDEAKALWTARNGNAGDGVVSWPKRNSLEYLELLIGNDVKRQKMKLGSQHPLLFPSQGSQTLFFGNEFDWLHYTWIDIGTPNVSFLVALDAGSDLLWVPCDCIQCAPLSASYYSSLDKDLSEYTPSLSSSSKNLSCSHQLCEASAYCKGPNDPCPYIIEYDSDNTSTSGYLVEDKLHLKSFSGHAAESSVQASVVVGCGRKQTGGYLDGAAPDGLMGLGPGNISVPSLLAKAGLIKNSFSICLDENGSGRIYFGDKGLASQQSTPFLPIEGKYETYVVRVEHVCVGSSCPERSGFLALVDSGTSFTYLPPEIYDKIVLEFDKQVHARRISNDDLWKYCYNVSSQDTFKIPNMRLKFAMNQSFEIHNHIYSYTETEGHTVFCLTVLRGQEDFGVIGQNFMTGHRIVFDRENLKLGWSHTSCSDVSDKSSVPLKPPSSGESPVPLPTNEQQSANSTQAVAPAVAGRASTKPSAASLPQIPTLFLNSDGDGDSDGMFCLITQERHQGLPGIHQNPMDGIGMFYGGHYPV >OMO51263 pep supercontig:CCACVL1_1.0:contig15911:8413:15842:1 gene:CCACVL1_29900 transcript:OMO51263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKTLKTITTLSLNHPFFIPFKSFSTHSQPLPKPQLKSLVLNQYSHGTFSNLLRHVVASPSVLLTACQNLSNSPHSTTTSLLHSVSNHFSVDQMGLEISHNKFDISSCCVKMAPPSASGNLGLKVDRVKTAIHSAVSEKIIFLEMELQAVPPSVLHPPMSEKAIRARKKYLRQKEVRALELRKARERNRKKLGLKIWSHVFKKLKQSNNGFKFEFQIENEVREIFRTWADEIVQEFFGSLEERWNWHRLLSRGDFLSLRRIRDQLPQDLVDAYDKFQEQVDMHLTPVKARKALEEEERRVIEEEEQKYAENTVEDLTKLCMKVSAPIELIRKAVRIVGFTNNMGRPRPISLLFALEDADIIKWYAGIGRRWLDFFCCCHNFKMVKTVVSYHLRFSCILTLAHKHESTKLEAIKHYSKDLKVSDMNGNEEVYFPTERDVKMMGDKNLSDPKPVDGALSLALIRLASDEPSHSCVAHFCDRIDTIMYRVRLLQNNLNLNPLDEAQWVKGMGENSLSAEKAEKQDPSIANESISLRMATRYVENQVQDVNTVSESRSYQSKLMVPQVVHNISTSIPIAFLAVPVISGAISSFFYIFIIVCLFKWTKENGVTLPVNQSKPWTILAHKKPQKGWIAYNPKTMRPPPPTGSTKFVKKHHPGVVNYCYWGYRHGGSKTNKGLRLDYCLVSEAIAYYVHDSYILPAVLGSDHCPIGLIPTKDPPECPWDSCKFCSQPKPNLKQVMKATFVPLLFNLPLLVRCHNLKLFSTRNSSFPSPSHSLLHSCRSIQVLKQLHASFIVSSGNFLSTSMGSRLASLYAHFDDLDSAVSVFESLKQPDTHTWNLIIKSHVDFGYTEKALFLYRKMVKEGVKHDRFTFPIINRAILWMNSDIGFAKMIHCVAMKMGFGFDLYFGNTIIEVYGECGCFSDACKIFDEMLERDLVTWTSMISGYFCEGNIVGAFTLFRKMRMEMEPNSVTVIVLLHGCCKWGSLIGGRQMHGYVVKSGILIDGSVQNSVLKMYTTMGCAEEVETLFSELFQKDIVSWNTLISYYSQRGDVGEVEDRFHKMQGEVQVSIETLTLVISATARSGNLSQGEVLHCYALKLGLHDDVLQTSLLDFYAKFGVLKNSVQLFKGISSRNSIAWSAMLSGYIQNGFFKEAIVLFKEMQAVGLHPRPEILGNIVNACIHLGALEVGKEIHCYSIRNVFCSHEKERAYLELQTSILNMYIRCGSISSARACFNGMLVKDVVAWTSMIEGYGTHGLGSEALKLFVQMLEGGVTPNSVTFLSLLSGCSHSGLVTEGCNLFHSMKWRFSIEPDLDHYTCMVDLLGRAGKLKEALATIMKMLPFPDSRIWGALLAASTVHGHRKLGECAALRLLELEPDNVGYHTLLSNIRASDGQWSEVEEVRRAMFDKDLKKQPGWSFIEDNGYTHYFVSGDK >OMO51262 pep supercontig:CCACVL1_1.0:contig15911:3695:6366:1 gene:CCACVL1_29899 transcript:OMO51262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEFMREMSWGMNHPNPDHYRPQKRPNETFSGAVDGEDKMGNEYMYLNFDPTGMMVPYHSGTSQAIQSPNTSSTPHLTRSASARISDPEKAEKKKRIDQAYREKCKRMKLDMQINLEILTVENDSLKKENESLKKANASMNQTLKDQAKEIDRLRNDLSQLKREWEKQNVLVQTLSGLIADPVQLENEKLKDENASLRKNADVNHNLLLEENSKLKLENKVLRVQNDALCGKIINDNDIKHITV >OMO91018 pep supercontig:CCACVL1_1.0:contig08392:857:934:-1 gene:CCACVL1_07235 transcript:OMO91018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATFLRQGFDATNISNFSFIEP >OMO79187 pep supercontig:CCACVL1_1.0:contig10473:7234:10335:1 gene:CCACVL1_13864 transcript:OMO79187 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase MidA protein MLRKLLQQAPTARRFLSAHSTPPLLNKFSVFSYSYSSSSQSEFPHSNSIEHLEDQSTNTISIDRSGLYNPPEHSHEPSSDSELVKHLKGIIKFRGGPISVAEYMEEVLTNPKAGFYINRDVFGTEGDFITSPEVSQMFGEMVGVWAMCLWEQMGQPKRVNLVELGPGRGTLMADLLRGASKFKNFTESLHIHMVECSPALQKLQHQNLKCVDEDNTSENVEKRITSTLAGAPVSWHAALEQVPSGLPTIIIAHEFYDALPIHQFQRASRGWCEKMVDVTEDSSFRFVLSPQPTPATLYLMKRCKWAVPEEIEKLNQIEVCPKSIDLTQTLAKRIGTDGGGALIIDYGLNGVVSDSLQAIRKHKFVDILDNPGTADLSAYVDFACIRHSAEEASDDVSVHGPITQSQFLGSLGINFRVEALLQNCTDEQAESLRTGYWRLVGEGEAPFWEGPDDQVPIGMGTRYMAMAIVNKKQGVPVPFQ >OMO79186 pep supercontig:CCACVL1_1.0:contig10473:6238:6327:1 gene:CCACVL1_13863 transcript:OMO79186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NALNSAGSDFKSDRIWSVNDVTGSVLVGR >OMO79188 pep supercontig:CCACVL1_1.0:contig10473:10878:12500:-1 gene:CCACVL1_13865 transcript:OMO79188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPQELIELMVSNTLSLSDVYDRFSDLPEAIILHVFSFLDYNDIVHLSSVSRNFRKICLCCPNLLFKLAFDNKKCTANCKKFQRFLKDFLDLHKAQEIHRLGLHWFCHSKAYDSRGSIFPEWVQKALRNKVQELDIAVPVTTDHKPFKFPGGRDQFKSLRALKLKLKGGKSNLLALKLPSLEALSLTSVSVDDRSKFGEWVSNSCKSLEVLNLEEFSDIHYLNITSLSAMFLEMGASSSVVPPLRSYQLPSAALEGSIPSINLPNSLSLRDAVISLEPSTSFFGLHEYSIFTHNGLVTLIDGVRHAKSLQLNFQIIEALSQHDQLPEFKRLEHLEVAVAELNLDNIATLAAFLVELCSLNTLTLRFKENATQLSEAEVANEICSEVEKHGVDSNYLKMKIICSAIENKEEQVLGHT >OMO51524 pep supercontig:CCACVL1_1.0:contig15797:39372:41863:1 gene:CCACVL1_29747 transcript:OMO51524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Modification methylase HemK MMKLRLCSLHYGVCANIWRRPVSHYCSNSKSIISSSSIEVKPKLPLYLRPPSFSTSLSELTKWHKWAKNLASSVGSSFVHLDNGPDSTLLQRELNWLLEDLLEVQHHSRVLPLLKSDSNENADVAVALRVPLEDLYCAWRQRIEERRPFQYVVGCEHWRDLVLSVQEGVLIPRPETEIIIDLVEDVALKNNPKLAQGLWADLGTGSGAIAIATARTLATRAHGRVIATDLSPVAVAVAAFNVQRYGLQDLIEVRKGSWFEPLKDVEGKLAGLLSNPPYIPSGDISGLQAEVGQHEPKLALDGGIAGTNDLLHLIDGAASMLGPGGFFAFETNGEKQCKFLVDYIEKNKPDSFCNIKIVPDFAGIQRFVMGFHQ >OMO51520 pep supercontig:CCACVL1_1.0:contig15797:23404:26237:1 gene:CCACVL1_29743 transcript:OMO51520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGNGRRQRREVPVVEDGDRGLIWKLPKLKLKDVGKVGPAFGLGAGCGLGFGVGLVGGAGFGPGIPGLQVGFGFGAGCGVGLGFGYGVGRGIAHDEYRTYSNVGKLFGSQDLPLQGDEIGSLIDEIVINTKKLAIAASREIDKWRR >OMO51522 pep supercontig:CCACVL1_1.0:contig15797:29899:30882:1 gene:CCACVL1_29745 transcript:OMO51522 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MQDVSWKERMYRSMVSFGINFSRRSDGTINRLIMNLFDLKAPPSKQPLDGIKTLDTMVDASRNLWFRLYIPSTTTEDANMPVIIYFHGGGFAYMSANSIGCDNLCRRLSKQTGAVIISVNYRLTPEHKYPCQYEDGFDVLKFIDINNSSLEDFPSYANLNQCFIAGDSAGGNLAHHVTVKACEYEFRNMKFIGLIAIQPFFGGEERTESEIRLTEAPIISVKGTDWLWKAFLPQGSDRDHPASNVFGPKSVDISRLNFPATMVFVGGVDILHDWQIRYYEGLKKCGKEAYLIEYPNAFHSFYAVPEFPETALFMQDLKDFVQNQSAK >OMO51521 pep supercontig:CCACVL1_1.0:contig15797:27309:28977:1 gene:CCACVL1_29744 transcript:OMO51521 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein, plant MASLAASTAAASLGVSEMLGNPLNFSGAGAARAAPTASNAASFKIVALFSKKKAAPAPKKVAAVAPADEELAKWYGPDRRIFLPEGLLDRSEIPEYLTGEVPGDYGYDPFGLSKKPEDFAKYQAYELIHARWAMLGAAGFIIPEAFNKFGANCGPEAVWFKTGALLLDGNTLNYFGKPIPINLIVAVAAEVVLVGGAEYYRIINGLDLEDKLHPGGPFDPLGLAKDPDQAALLKVKEIKNGRLAMFAMLGFFLQAYVTGEGPVENLSKHLSDPFGNNLLTVIGGAAERAPTL >OMO51523 pep supercontig:CCACVL1_1.0:contig15797:34995:37544:-1 gene:CCACVL1_29746 transcript:OMO51523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGWFSRPIVNTKYRPGIALFSTAEITASLLRKCKTLGQAKLIHQQLLLTQGLSHPLAPHLVSAYLTLDAPSLSLALLQRLSPSPSALFCWNSLLRRYLHLGFFHDVLTLFRSMLTLGYSPDHYTFPFVLTACGHLPSFRRGSAVHAIVCTTGLDSDVFVCNAVVGMYARCGGLDEARQMFDEMCDRGICDVISWNSIVAAYMQSRDARTALDLFRKMTSDCEIHPDVVSLVNVLPACASLTASLHGRQLHAFALRSGSFEDVFVSNALVDMYAKCAMMDEANKVFERMKVKDVVSWNTMVTGYSQIGRFEESLGLIKKMKEENIELDVVTWSAMISGYAQRGHGYEALDVFRQMQISGSKPNVVTLVSLLSACASIEALVQGKETHCYAIKCVLNYDWNDPGEDLMVINGLIDMYAKCKSTDVARSMFDSLAPSNRNVVTWTVMIGGYAQHGEANDALKLLSEMFQKHKSVKPNTYTICCALMACAHLAAVRFGRQVHAYILRNRYESVLLFMENCLIDMYSKSGDIHAARVVFDNMQQRNSVSWTSLLTGYGMHGHGEEAIKVFDEMRTAGLVPDGITFLVVLYACSHSGMVDQGIRFFNTMHSDFGVIPGLEHYACMVDLLGRAGRLSEALEIIESMPMEPTAIIWIALLSGCRIHGNVELGEYAANKLQELDSENDGTYTLLSNIYANARRWRDVARIRSLMKNSGVKKRPGCSWVQGKKGTATFYVGDRSHPQFEQIYELLADLIQRIKEIGYVPATDFALHDVDDEEKGDLLFEHSEKLALAYGILTSAPGVPIRITKNLRVCGDCHNAITYISMIVDHEIILRDSSRFHHFKNGSCSCRGYW >OMO51518 pep supercontig:CCACVL1_1.0:contig15797:11962:13236:-1 gene:CCACVL1_29741 transcript:OMO51518 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide chain release factor class I/class II MATAGGAAMAMGGIVNAMKYVDYMVKRNNRSSLCFLKRRGLQLQLYCSSCSSSFNNDTNNNSYLNLKDEELMRQCEMATFKASGPGGQHRNKRESAVRLKHLPTGIIAQAVEDRSQHMNRASALARLRSLIAIKVRNSVDLQTYSPPPELLRILPPKSTIRGSDSGPQIGPNNPKFILGMQALLDLIFAVEGSVSEAAKLLGLSTGALSRLILSDDSLRKAVNDLRTSKVDYLTFIRAICF >OMO51519 pep supercontig:CCACVL1_1.0:contig15797:13534:22568:1 gene:CCACVL1_29742 transcript:OMO51519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRRWISRGMLPLLAIHAVNEYYRLPWKPPVTAALLAANSLVYLRPPFLDSLLPSIEEVSFNPHLILKYKDFKRFFLSPFYHGSESHLFYNMMSLLWKGIQLETSMGSSEFASMVITLLGMSQGITLLLSKSLLLFFDYEGAYYSNAIGFSGVLFAMKVVLNSQSGNYTDVHGLIVPTRYAAWAELILIQMIVPGTSFLGHLGGILAGLLYLKMKGSYSGPDPLTRIIRGVTGVLRGPLSLVRNIFRSRRGRISGRGSVGGSRTGNVSGIWRCQACTYDNSGMLRNCEMCGTPRGSNGGLSRQASRDPTLEELRRRRLESFLSQYYPLGKQCDIWKQMLANLNLLHPIPFPVATSLGGKWRPLNFVEFRRGFIKTSFEAAVVDHKTADNFIVSDATDSDSDSDSDWSFAPNPPAADVDPAMAATGKFSAKSGTKSITMGFGRLKANKVKALVKKTSRIKQEISTDDDESVEQSHKRGVSRRFQSKERRETYQITETEKDIDYSNSSTDYIQRLDKPPHESYSKNAEPKGSSSRGSATPSRGWGGGPSIQKPKLKSLDFPKKHLKMSDGGNFFSRKKFRDLGCTDYLIKSLKEQHFLRPSVIQAMAFGPVLKGQSCIIADQSGSGKTLAYLMPVIQRLREEELQGFSKSSSGSPRAVIIVPTAELASQVLSCCRSMSKFGVPFRSMVVTGGFRQKTQLENLEQGVDILIATPGRFMYLIKEGFLQLANLRSAVFDEVDILFNDEDFKVSLQSLIDSSPVLTQYLFVTATLPVDIYNKLIEFFPDTKVIMGPGMHHISSGLEEILVDCSGEGTIRTPESAFLNKKNALLKLVEGNPACKTIVFCNKIDTCRQVENALKRFDRKETQVRVLPFHAALAQETRLANMKEFTHSHAEGESLIMVCTDRASRGIDFASVDHVVLFDFPRDPSEYVRRVGRTARGAGGQGKAFVFVVGKQVSLARKIIERNQKGHPLHDVPSAYEMMS >OMO51517 pep supercontig:CCACVL1_1.0:contig15797:7403:8518:-1 gene:CCACVL1_29740 transcript:OMO51517 gene_biotype:protein_coding transcript_biotype:protein_coding description:ENTH/ANTH/VHS superfamily protein MGVDIQGKLRLALGSFKDHASIGKAMIYNHVHEGKGFSDIEIAVLRATGHDNGPIEDKYMHEILFLVSNSPGSIPFLAERISRRLCKTRDRLVALKTLSLIHRLLRGGNRYFEQELRSAHVSGHLQMSTSCFLRKNSSDFSSFSFLHSYAAYLEERVGWAINQAGKLEPVMFNGMEFRCYQEKSVDMVFRRLPKCQAFIDRVLECSPRHILPADNLAQAAMGNTLKESFQVYLMFCEGIEILVNMFFELTTAARSLACEILKRASRQSIELHDLYENCKRIIENKNLEYPNVQIITMDHVLALEQCSSFMSKTPSPSPSIMSKESEKEEDKITDISFSSNPFSCSLETKISKVWVVFEEDYGDLKAPMDIV >OMP07892 pep supercontig:CCACVL1_1.0:contig04142:870:962:1 gene:CCACVL1_01199 transcript:OMP07892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFSRSKGHRKPTIPISSSEPKKYTHKKAGL >OMO80374 pep supercontig:CCACVL1_1.0:contig10330:21282:26618:-1 gene:CCACVL1_13007 transcript:OMO80374 gene_biotype:protein_coding transcript_biotype:protein_coding description:photosystem reaction center subunit H MEKGEKGVETVLVLELEAQEILIKQVPGSGYVPLPEPEKEEVRVVVIVG >OMO80372 pep supercontig:CCACVL1_1.0:contig10330:18803:19867:-1 gene:CCACVL1_13005 transcript:OMO80372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MAVQSSPAAMDPYKFLNIVENPDGSLTRRNYFPSVPATQSNSKSFKDISLNPINQTFIRIYKPPIPPPDTDTNKLPLLINFHGGGFILYSAKTIFFHQVCADNAAKLPAVVISVDYRLAPEHRLPAAYDDAMDAIMWVRDQAMDKNNDCDPWLKEYVDFSKCFLIGNNVGGNLVFHAGLRALDVDISPLKIRGLIMNQAFFGGVERTESELRFINDKMVPLAATDLMWSLALPKGADRDHEQKELVKMLEARGVEVVAKFDEVGCHAIEFINPLKAKALLESVKEFIKDSCQPVDVATATNSTIATTTNNI >OMO80371 pep supercontig:CCACVL1_1.0:contig10330:14473:17811:-1 gene:CCACVL1_13004 transcript:OMO80371 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacyl-tRNA synthetase, class Ia MEDVCEGKDFSFPSQEETILSYWSKIDAFNGLHERTKNLPEYIFYDGPPFATGLPHYGHLLAGTIKDIVTRYQSMTGHHVTRRFGWDCHGLPVENEIDGKLGIKRRDEILEMGIGKYNEECRSIVTRYVEEWEKIVSRTGRWIDFKNDYKTMDFKYMESVWWVFSQLHQKDLIYKGCKVMPYSTGCKTPLSNFEAGQNYKTVHDPEVMVSFPIVGDADNAAFVAWTTIPWTLPSNLALCVNANFVYLKVRNNYSGKIYVIAESRLSELPTEKPNGDSKKSKSKGDSFEVLDKFSGASLVGKKYEPLFNYFSELSNTAFRVVADNYVTDKSGTGIVHCAPAFGEDDYRVCIGNQIINKGENENLVNAAVDEDGCFTGKITDFSGRYVKDADKDIIEAVKAKGRLVKHGTITHTYPFCWRSETPLIHRAVPSWFVKVEQLKEQLLENNKQTYWVPDYVKDKRFHNWLENARDWAISRSRFWGTPIPVWISEDGKEVEVMDSVEKLERLSGVKIFDLHRHNIDHITIPSYRGPEFGVLRRIDDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLADDGKKMSKKLRNYPSPIEDVINHYGADPLRLYLINSPVVRGETLRFKKEGVYGVVKDVFLPWYNAYRFLVQNAKRLEAETSKPFVPMDLSSNLDESSNVLDRWINSATHSLVQFVREEMDKYRLYTVVPYLLKFLDDLTNIYVRFNRKRLKGRTGETDCRTALSTLYHVLLTSCKVMAPFTPFFTELLYQNMRKVLPDGGEESIHFCSFPDLPQERGRDGRIEQSVARMMKIIDLARNIRERHNKPLKAPLREMVVVHPDEEFLGDIAAELREYVLEELNIRDLVTCNDPLKYACLRAEPDYRVLGERLGKSLKEVAKNVKAMSQEDILAFLEAGEVTFVTKSGTAHCLKLSDIKVVREFKRPDGMKDGEIDAAGDGDVLVVLDLRADESLFEAGAAREIVSRIQRLRKKAGLEPTDMVDVYFESLDQDQSLIQQVFNSQESYIKDAIGSSPLLLSSNVMPLHTVVLCQESFQGISNMSFKLSLARPGEETTEITTGKH >OMO80373 pep supercontig:CCACVL1_1.0:contig10330:20237:20329:-1 gene:CCACVL1_13006 transcript:OMO80373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQSSPAAIDPYKFLNIVQNPDGSLTRLN >OMO80375 pep supercontig:CCACVL1_1.0:contig10330:26997:27350:-1 gene:CCACVL1_13008 transcript:OMO80375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTTPEEQTVQQGLDIPATPSMVVDTAEENIKGRRKSYMASSSSPRPRPRCTCLNHPGSAPCSRHGYMVPRQNMRKSYSANKEILRRALTPPNRKMTLRWWNFRPTPSRLSNMSMA >OMO80376 pep supercontig:CCACVL1_1.0:contig10330:33306:34628:-1 gene:CCACVL1_13009 transcript:OMO80376 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MESTDSSTGSQQPNLPPGFRFHPTDEELVVHYLKKKASSAPLPVAIIAEVDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTSGGTQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLADNKTNNKPPGCDIGKKNSLRLDDWVLCRIYKKNNTHRPLDHDKDDSMDDMLGSLPPSMSIGSNARFQLGITKGTSFGTLLESQEHCQLFEGMMAAAAATSSDGINNTSSMSHLGCTSSSKPDLSLVNPLKRTLPSLYWTDDQDAAAGASTSKRFHHGGGDQSNDGSMEKTDGNGSIATLLSQLPQTPPLQQQAMLGSMGDGIFRPPYQLPGLNWYT >OMO80370 pep supercontig:CCACVL1_1.0:contig10330:1721:2902:1 gene:CCACVL1_13003 transcript:OMO80370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSIEAQEKELIQLKREAKLNGGFYVDPEAKLLFSRIRGIHAVHPRTRKILQLLCLRQVFVLRTHGVSHFNADFQWSFSKGEQGNNEYASSGRTICYLWVSQSEECVRADL >OMO98883 pep supercontig:CCACVL1_1.0:contig06998:20746:23397:1 gene:CCACVL1_04013 transcript:OMO98883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIFCTYSGGEKSTIGGEGFDSVCNVSGAALSCLADDSVIYADIVSGNRERRGGCRPFKVLASSWGVQEMNTLLSGIDDYGIEKKGSSFNGDGHEQCLMEEADVPSSPKEKGDNGDELQWLKTEFAKTNAKAESCMSLLKVVLEDVKFIKSQLLVGTKSNVGKQDAGIPRRDGDDRVNDDFKGTGDAGERHDAFGGFDKTPDFKELGDAGERHYAFGCFDKTPSK >OMO98885 pep supercontig:CCACVL1_1.0:contig06998:31049:32371:-1 gene:CCACVL1_04015 transcript:OMO98885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDGAAWDDPVVNISLDGSDAMHVDNVSNSSHYWNALDSYVLFNPTPANLERFALVLPSKALTFFKTKMPVHTIIVDRHESITNIDGQIVIIDGWHEFVVSHNLQPRDLFCFSVYNDDCMFVKIYCPCRTEVVEFPTRGVHNHCVHIMNSEFHIATVEHSTPSLVLQVRYDGSSSSSASELSGLLFADSNIPKDARQFTSIYPSFGRCLKSISYLTEELRLPSNLCSFLPSGCTVVTLEVLRGHSSTDTDPSVFTYSNSKGARSSDLYLLCIM >OMO98882 pep supercontig:CCACVL1_1.0:contig06998:14565:17523:-1 gene:CCACVL1_04012 transcript:OMO98882 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MDAADTVPRFIAGAISGALTGLFAFAGAFTGAFMGALAGRASDSGILRGAGLGAIAGAVLSVEVLEASRAYWCLEQSGSRGSSSMADFIEQLFHGRLVQEGLTPAMLRAYHWQMSIADISYDEIHDIYGEVLSRGLSGDSLKKLPCHVILDEIRATQSIFCIICLQDVKVGETARSLPKCQHSFHRACVDKWLRRQGSCPVCRQDV >OMO98884 pep supercontig:CCACVL1_1.0:contig06998:23739:29533:1 gene:CCACVL1_04014 transcript:OMO98884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGIYRNQSSAPPNYGMESFDGCGGIRAALFPPPPSIYWRLERWIPPEVTLRDINGMTWQVKVVVRESDQQILLGRGWPTFYDAHYLQGCVYLLFRLVKDYVFEVSVFCDCGIEVVDFRSECCH >OMO98881 pep supercontig:CCACVL1_1.0:contig06998:8899:13532:1 gene:CCACVL1_04011 transcript:OMO98881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose-phosphate 3-epimerase-like protein MSTASSLCSSTQVNGFGGGLRLPRTHLAQPKTLPFSRRRIATVVKATARVDKFSKSDIIVSPSILSANFAKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLSTIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISDLRRLCAEKGVNPWIEVDGGVGPKNAYKVIEAGANALVAGSAVFGAKDYAEAIRGIKTSKRPEAVAV >OMO52930 pep supercontig:CCACVL1_1.0:contig15393:329:703:-1 gene:CCACVL1_28998 transcript:OMO52930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IADLTASGFAEWWSKVHIIDDFDQYIELLISSTRTTLSKKAKQCKEVVDTQLSVVKHGKGKVLAIRSSNDKEDMASKRKSAQLTQEVIGTPENHHVDSGNNNMLVDNLSDETDKERHWKRAKTTK >OMO81746 pep supercontig:CCACVL1_1.0:contig10112:7553:10293:-1 gene:CCACVL1_12240 transcript:OMO81746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLAASTMIGISKRFQLCEIANIAGILTLLLAEIRILAMLNLHFLCIFTLFFNKAVSILFKLSLLYNSIANPNTTTTAEPVTIAASSTQLPVKLTSQNFSSWRAQLNALLFSLGLFGYVDGSSTPPSAEITRGEALVPNPAYTLWKRQDKLILHAILTSTS >OMO81752 pep supercontig:CCACVL1_1.0:contig10112:60816:60905:-1 gene:CCACVL1_12247 transcript:OMO81752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARYMLLDRVKQSNVTSDGKIVRRRIPG >OMO81751 pep supercontig:CCACVL1_1.0:contig10112:46882:50196:-1 gene:CCACVL1_12246 transcript:OMO81751 gene_biotype:protein_coding transcript_biotype:protein_coding description:SPla/RYanodine receptor SPRY MDTLQANYNDEEDEESTPQPPPSTTIGSDSAAASPPQPSSPSEHQNEALASNDVEPRKESDPLNDISTGEPETTQLAAPSPDSPSEEDRTTTTTTASDDTQNPSKVPLNDDEFDDEEEEPPPKKQKQLSSLTTSSQGPNSVPTANEDNPTAEAGENNDNNGNAPSNPSPATKTTTAKKSKKKNNNVWVTKTSRKGKKKNKVSNQNPGNAEDTVMITPIPRFPDKGDDNPDMKICLSKVYKAEKVEVSEDRLSAGSTKGYRMVRATRGVVEGAWYFEIKVVKLGETGHTRLGWSTEKGDLQAPVGYDGNSFGYRDIDGSKVHKALREKYGEEGYKEGDVIGFYINLPEGGSFAPKAPHLVCYKGQRYARGADAKEEPPKVVPDSEISFFKNGVCQGVAFKDLYGGRYYPAASMYTLPNQPNCVVKFNFGPDFECFPEDFGGRPLPRPMIEVPYHGFDNRVENGESIEKKP >OMO81753 pep supercontig:CCACVL1_1.0:contig10112:65098:76486:1 gene:CCACVL1_12248 transcript:OMO81753 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Inorganic polyphosphate/ATP-NAD kinase MASLGHGDASISCSKPENGFVDSVSFIHSEKAVEELLQQTPVDGMDEHLIEFSEALRTVAKALRRAAEGKASAQAEAAEWKRRYELERTRNIQMERKARIAARSSAEENGDFDCGRVENPDNQLIQQNELDEHSEKCCMKHGICSHEVLRDRVSDSNCNKDHSKMMRKASFKLSWWCKGENGDQHKHDIVSFEKGNITTAERSSRQISLKWESDPQTVLILTKPNSVSVRILCAQMVRWLKEKKKLHIYVEPRVRVELLAESSNFNYVQTWKDDGEISLLHTKVDLVITLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFHSEHYKEYLDSVLRGPISITLRHRLLCRVVRDAAKNEYETEEPILVLNEVTIDRGISSYLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTVRIVVPFNSRSPAWASFDGKDRKQLAAGDALLCSMAPWPVPTACQVDSTNDFLRSIHEGLHWNLRKTQSFDGPRDVNEDLNKLRVFPKLRFPAAFRIFRSILETFKLGDDENIRWYVMGDDDTLFFVDNLVEVLSKYDHTKYHYIGMNSEAIKSNMDFTFDMAYGGAGYALSYSLVEQALVPAIDECTERYSFSRTSDELLSFCLSDFGIDVTTEKGFHQIDVHGDISGLLSSHPVSPIVSLHHFDGVNPLFPSKNQYESVNHLMEAAKLDQSRLLQQTICYHRATNWSFSVSWGYSAHIYENVFPRSFLKLPIETFWPFKRSAKPPFYIFKTRFPSGDPCEAPHVFSLES >OMO81750 pep supercontig:CCACVL1_1.0:contig10112:38871:44524:1 gene:CCACVL1_12245 transcript:OMO81750 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter MAEVEIVEVNGGAGGGGADANGGEQEQPPETLKGSNRISEFQNTSDPLTNLPTAQIKALLTKKYRTSDYAAKARARIQEISSLEGLVIEKKLSSEAKWLKQLSTLTQRSFLNMSRDLGYYWLRIGIYIVLAISVGSIFFNIGTNYNAILARGACGGFLSGFMTFLSIGGFPSFIEELKASNLILRIFWRYPVSYINYGAWALEGAYKNDMLGLEFDGSVPGGPKLKGDVILTTKLGIRLDHSKWWDLAAVVMILTTYRFLFLVILKFKERASPFFRTLYAKRTLQHLKKRPSFRKTLAFPSKRHQVLHSLSSQEGLNSPIH >OMO81748 pep supercontig:CCACVL1_1.0:contig10112:24401:24835:1 gene:CCACVL1_12242 transcript:OMO81748 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serpin family MSLEDIGGADAVDLGLQIVKLQLLKESEAGFDRNFAGSPLSLTFFLSLLASNSKGDIKDRLLASLGSKSSDELYEKSSNLLFLANSRQDSSKDAQGQGPLLLMNNGIWVDQRFSLKPTFKDVLDHVFKTEVRFLDFQNKVFIHS >OMO81745 pep supercontig:CCACVL1_1.0:contig10112:5410:7231:-1 gene:CCACVL1_12239 transcript:OMO81745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAHELLLRQQDAATSDISIPTANFARRSSHQGSRNSNFYKNRSNQRFFHDRRQNSSSSGLRIACKICDKPGHSAKTCRRGQQFFSATPSHSSTQVSPAPLASTDSSSHEIDNTQALPSCASDQTLGSTSNTTSSPLQ >OMO81749 pep supercontig:CCACVL1_1.0:contig10112:30771:33360:1 gene:CCACVL1_12244 transcript:OMO81749 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC-2 type transporter MTGNILLNGKKKRLEYGGVDKPATSSDPFMEMPTAQIKATLVEKYKRSKYAKRARERIQEISKIEGLEVEIPSGSQASWWKQLKTLTKRSFVNMCRDAGYYWARIVIYILVSICVGTVFYDLGYGNTAILARGILMMTSGFFRLLPDLPKLFWRYPISYISFSSWALQAAYKNDFIGLEFDPLLPGEKKLTGEEIITKYFGVPVEPSKWWDLSIVVLILVCYRFIFFLILKVKERASPLFQEIYAKKTLQHLDKRPSFRKIPSVSISSKRHQPLHSLSSQEGLNSPLH >OMO81747 pep supercontig:CCACVL1_1.0:contig10112:15241:17905:1 gene:CCACVL1_12241 transcript:OMO81747 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serpin family MPLTPEPWFEDGDTDAVNLGLQIVKLEFLERAKAGLDRNFAGSPLSLTFFLSLLASGSKGDIKDRLLASLGSKNSDELYQKSFNLLSLANSNEDSSKNSQGPFLLMNSSIWVDQSFSLKPAFQHVIDNFYKSEIRFLDFQNKGLQQSQDDMNK >OMO68713 pep supercontig:CCACVL1_1.0:contig12190:9553:9624:-1 gene:CCACVL1_19863 transcript:OMO68713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGHNRDRYYILAASMDYILRRK >OMO54409 pep supercontig:CCACVL1_1.0:contig15001:6229:10475:-1 gene:CCACVL1_27811 transcript:OMO54409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYLLVLMLSFIVLVYIKGCNGCVDEERKALLELKKAFVDNSDDSLLPSSWIHDPESSSDCCSWERVTCNSTTRHVIELSLHHLKLGEAAIVKTPGNWSQISLFQSFEQLRILNLSSNRLPDWNATAGYSSFSRLQRLVKLDLANNSFTNSILPSLSALTSLKKLNLRSNRFEGSISDQGMHETGPECEPDPLTVRGSIGSIVDLKSLGKLRKLEYVNFSRNRFNKGIMKALRALPSLTYLDLSRNNLEGPLIAQDLDQLTNLEVLNLRRNYLNGTFPLTGLCELKSLQVLDLSFNYALEGSLPPCLNNLTSLKVLDLSLNQFNGTISCDLLSTSLQELVLKGNHFEGHLPPCLNNLTSLKVLDLHWNQFNGTISCDLLSTSLQELLLSGNRLEGNLPPCLNNLTSLKLLDLSWNQFSGNISSNLLSSLTSLKYIDVSHNYFQGMLSFNSTAAYHSNLRVVILGMETNLPETNNLHVDMENSGWSGTALPQLEILTLSNCNLNSIPKFVYYVHELKAVDLSHNKIKGKFPTWLLERNAQLGVLNLKNNSFAGPFYLPPHLVRNVVIFDISNNQFNGQIPEYIGKIFPNLKKLSFSNNSFEGDLPSSIGGLKDLVALDLSFNNFTGDVPKGLVADCTNLRVLILSDNNFSGQIFSAHFNLSNLWFLRLNNNHFTGSLTDVNFELLEEIVLLDVSNNNFTGIIPRSFANLHSSLWLLNMKHNYFEGDFPCGQNLWSSLIAADLSDNFFSGPLPSCFGSDRLMALDLERNRFTGSIHVPLLNSIELRMLNLRNNRLSGSIPAELVGVGVPNIRLLLLANNGLSGLIPEHLCDLKDISMMDLSHNSFSGSIPSCFNNITFGSLFPGDVPRDLDQLISVNFNGIFSVTYVSDLQRTYAVDFHSSYEINLQVEIDFITIYLLMPYKGGILKYMSGLDLSSNNLRGEIPWSIGDLSSIRALNLSHNHLTGFIPISLSNLSEVESLDLSYNNLSGKIPFDLTRLHSLGAFRVAHNNLSGKIPDVPQLSTFDESSYEGNPFLCGKPLPKSCTTSVVVDELGQSGTSAESEGKWYEVDRISFFASLVATYIMVLLGLVTILYINPYWRRRVVCNFMSSSELL >OMP06657 pep supercontig:CCACVL1_1.0:contig04867:1200:2363:1 gene:CCACVL1_01484 transcript:OMP06657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKSLFSLLVLCFLFTTLASAEPCGNHRFDEGKTFASCNDLPSLNFSLHWNYHSSSHTVEIAIRQGGVERESSSTWIAWAINPYSKAMVGSQALVAFQRDDGTMAAYTCPITSYATQLEPGNLTFPVNGVSLVVKGNEMIIFATLTLPDNQTTTVNHLWQEGPLLVGNVPGMHKLSGPNVGSMGTLDFLSGKIVLDKTYSRSRNKGKIVHGVLCTFGWGFLIAFGALIAGYGKQYLGPAWFYVHVTCQCIGYLLSLGGGIIGVLLWKGVLFGGGNMHGGSHQYIGIILLCLGAIQVLVGYFRPHKEDKNRVYFNVFHYLIGYGSIGLSIANVFLGFHVVHLKVKAWPQLTYIAAISFLVCIAAILEAVSCWKRIKSSKTTKQNEV >OMO81451 pep supercontig:CCACVL1_1.0:contig10172:3677:3820:1 gene:CCACVL1_12412 transcript:OMO81451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKEHRWLKKVEKEHIKEVVKATHFDESEDLGVCNILVEDCTPFID >OMO81453 pep supercontig:CCACVL1_1.0:contig10172:17959:31468:-1 gene:CCACVL1_12414 transcript:OMO81453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VYWLSIDYLIVARSAIICGSYFTSLMYVEYWCEEHFRSLTLGNPDFSDVEMLPQHIQILMSAITQINEPDSLYGIIQSHTLNSQIITFEHEGNWNKALEYYDLQVRSEATTHVASSSRTLSLAETQSLSHSSLSTLEDESKRKPYNGLIRSLQQIGCRHLLDLYCQGLTSRKGQFQQDSEFKELQYEAAWRTGNWDFSLLYTGSSSHSSDQPTKTHHFNENLHSSLRALQEGDFDEFQRKLKDSKEELVWSVSHASEESTEFIYSTIIKFQILYHLRIAWDIRWEMALYEGGIELQKNKQKRFSDPVIPSMDQLSWMNKDWSSMLKKCQLHMNLLEPFISFRRVLLQILNCDNCTMQHLLQSASTLRKSSRFSQAAAALHEFKFLCMGTGEQGSTPYWLGRLEEAKLLRAQGQHEMAINLGKYILQTYQLNDEASDVYRLVGKWLAETRSSNSRTIFEKYLKPAVSLAENQRTADKKSAERQSQTHFHLAHYADALFRSYEERLNSNEWQAAMRLRKHKKLELEALIKRVKGSTKGDKIDYSVKIQELQKQLALDQEEAQKLQDDRDIFLSRALEGYKRCLVLGDKYDVRVVFRLLSLWFSLSSRQDVIDNMIKTIDEVQTYKFVPLVYQIASRMGSVKDGSGPNNFQFALVSLVKKMAIDHPYHTIFHLLALANGDRIKDKLRSRNSFVVDIDKKLAAENLLGELLAYHGPVIRQMKQMVEIYIKLAELEIRREDNSRKIPLPREIRSIRQLELVPVVTATFPVDRSCQYRDGSFPYFKGLSDSVMVMNGINAPKVVECLGSDGRKYKQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLIIRTYKVVPFTPSAGVIEWVDGTLPLGEYLIGSSRNGGAHGRYGIGDWSFLKCREHMLNEKDKRKAFQEVCENFRPVMHHFFVERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHTMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIVDGMGVAGVEGIFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLDTSLEGSQDEYEGNKDAARALLRVKQKLDGYEDGEMRSVHGQVQQLIQDAIDPERLCQMFPGWGAWM >OMO81452 pep supercontig:CCACVL1_1.0:contig10172:7617:9464:-1 gene:CCACVL1_12413 transcript:OMO81452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNQNQYTSNNFLSLQTQSSDRRTNTITSNSASLDTENDQESVVSDSESCVCGPCFDQSPLLSNGLVPLFPGDKAHDIIKKRFLSNLGSMAAHTKDLTIHKNCFSGITWQARLQAFQIFMKAMEKKCGGDANVKYAWCSASRDEISKILEHGFGHCGMPENSGLYGCGLYLSPDNSPMESVKNAMADKNGLRYLMLCRVILGKAELVQPGSKQCHPNSDEFDSGVDDLSSPKKYILWSTHLNTHILPEFVLSFRAPSALKGSLGMQDRLKMPSSPWISFPALISALSEFLPTTSINLISKYYKDHRDKKISRNELIQFVRQIAGDKLLIAVIRSSRSKQPIGFGRIQRTNENGWRKRVKMQSEGRLTK >OMO87715 pep supercontig:CCACVL1_1.0:contig09191:7715:17522:1 gene:CCACVL1_08805 transcript:OMO87715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLPNVKIPAPAFSLFSLSCSLLLSDSVPHPLSDRSPLFLKNPNFYKISL >OMO87717 pep supercontig:CCACVL1_1.0:contig09191:33024:33707:1 gene:CCACVL1_08807 transcript:OMO87717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MVYNYCIKIRQEADNRLEKLCSWFPYDIFNIELDFLYVSPLNLDTTLKTIHQSVIYPRRMFLSRDYGPNMVLSLFADTDAPLDFLRTILHDILVYAFKADADSVNLGRKVIKLIVEVLVVEPDEDDDYDQAVDESLSKLNFKPASRASIQTLKRVKLGDDGPLLPLKKRRKLDCLSSKKQCTICLDEFSDGEELVWMPCGHVYHNHCIVTWLETSHLCPLCRFQMPS >OMO87716 pep supercontig:CCACVL1_1.0:contig09191:17873:30484:1 gene:CCACVL1_08806 transcript:OMO87716 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, Sec23/Sec24-type MSAPVPPGAPRPGANAQQPSPPNFIPNFQNNPNYLADNMQNLNLNRPLSMANSGPRPSPFGQQPPFPQSAVSAGYPVASPPMSRPGPPPGVMGRPSVPPTGPPQSSLPANVPPGRPVAPPVSHPPPFGSRPPPASLSSSTGAVVPPSGGFPSSGAPAGAVAPQLPSARPSPFASSSPLTAGPAVPLGAPLSNGPPVIGSGGLPGAPRFPPAASISQPPVGPPPSMMSARAPAQAPTMRSVLGSSAFNAPTTPPTPPIASASPFSALPQARPPTPGSPYGPQTWPMQSQQGFQPPIPGPTQAQPPRMYAMPHQLPNQAMTTIPPAMGQPGAPGSGHSKIDPNQIPRPIPSSSPIVYETRQGNSANPPPPATSDYIVKDTGNCSPRYMRCTISQIPCTADLLTTSAMQLALLVQPLALPHPSEDPIQVVDFGESGPVRCSRCKGYINPFMKFIDQGRKFICNLCGFTDDTPRDYHCNLGPDGRRRDADERPELCRGTVEFVASKEYMVRDPMPALYFFLIDVSMNAVQTGATAAACSAINQVISDLPEGPRTLVGIATFDSTIHFYNLKRALQQPLMLIVPDIQDVYTPLQTDVIVPLSECRQHLELLLESIPTMFQSSKTAESCFGAAIKAAFLAMKSTGGKLLVFQSVLPSVGIGALSSREAEGRTNVSAGEKEAHKLLQPADKILKTMAIEFAEYQVCVDIFVTTQTYVDIASISVIPRTTGGQVYYYYPFSAVSDPAKLYNDLRWNITRPQGFEAVMRVRCSQGIQVQDYSGNFCKRIPTDIDLPGIDCDKCILVTLKHDDKLQDGSECAFQCALLYTTVYGQRRIRVTNLSLPCTNMLSNLFRAADLDTQFACLVKQAAIEVPTSPLSQVRDQVTNLCINILLSYRKFCATVSSSGQLILPEALKLLPLYTLALIKSTGLRNDGRIDDRSFWFNYVSSLSTPLAVPLVYPRMFAIHNIDSKEGDEFGLPPVIPLSSEHVSDDGIYLLENGEDALIYFGSSVDSSILQQLFGFTSVDEVPTQYVLQQYDNPLSNKFNEVVNEIRRQRCSYLRLKLCKKGDPS >OMO87714 pep supercontig:CCACVL1_1.0:contig09191:2910:6408:1 gene:CCACVL1_08804 transcript:OMO87714 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein METMINVKFDPNQELDLIVGTRELICILEEILLLKYPKQYSRFYIENVMKPELKEITKFIVGYYYITEAHRIVADRMHPSDLPSCSDIFRQLHTPSFVNTLSSYAILNYALPKLAIDAVCPVPSKVFLDQPLHTYRLLSTEKVAYIVHIENVVQLQVNIQTESNVLKQSLHYQMDWMLGKKGTLSKVPDVALPYAKPIGLLALIYNARGANQPGFQIHLKSILATDCPMFLIITEVREGMRAGHDLAAEIGFRQVITFAPMSSVGGIWLFSNLKQVALHELERTIFERPLRTCDDFIWSVDSTFLGRFIIDVEFLDMKIFPLNGGEPISIWPMDGAMESAQSTLHCRARMHHEGIHADVTINTADGTLKAHKAVLSASSPVFESMFHHDLKENESSTIHIEDMSLESCMAFLSYLYGTIKQEDFWKHRLALLGAANKYDIVDLKDACEDSLLEDINS >OMO55059 pep supercontig:CCACVL1_1.0:contig14800:7622:13853:1 gene:CCACVL1_27417 transcript:OMO55059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKANASPILLIDEIVDDILLRLPVKSLKRFKLVSKPWNSLISDPKFAVSYLQDKPLRVGQIYAKGGPKPSLSLYSMDADGSNREILTVDYDYDHDDYYDNPYDSNSDVQILGSCNGLLFLLVGTSKYLLWNPITREYKYIALDSSHRNEERGMKISALGYDSSSGNYKGITVSHYISGISSPHDEDVLYEEVRCYVYDYKKDSWTDKKQYIYNEFPYTLHSCNSAIMVNGVPHWCVYRRHGRHREGYDRLQIRVSYVIVYFDLETEKFKEVGLPGWAAEEVKFYLGVLGGCLCMCLDPQKNSSSFEVWTMKEYRIVESWTKLFVISSPFFKELRPLCYTDTGKNQVLMEVEEKNKAGRKLIIFNLGEKTQKTLLVDKNFESTIGGILCQSGDLGRALYHMNSEGLSRKVVMIDHDDFARCIDLAGILGTCNGLFLVLIITRQSKNCILWNPSSRKCMTIAPYHVPSDMALVISGLGYESSSRNYQGVVVYSHYNPWTSQDAYGNKEFLCLVYNYKINSWTRKYESDYDLFDFSHSWDVAMVNGVPHWCVFRIDQLNFSKTYLIVYFDLENENFKVLVGVPEWGIEEMKFHLGVLGGLGYESTSGNYKGIIVSHYISGPSSPHHADGQYEAIDYKVYDNKLNEWKWKEYGDFYPYRVHSGGSGSAVMVNGIPHWCVYRREEAADGQNDGEGYGSIFFRVTYVIVYVDLITEKLKEVELPVWALNEEIEFDLGVLGGCLCMSLHPQGSSTGFEVWAMKEIFEACKDRHLWAMATLVSRTLYRTLPVRDEILRALLNCDLIGFQFHTFDYARHFLSSSRRVLGLHSESIRGHIAIDYYGRNVTIKILPAGIHMEQLQPVMSEETTVRKAKELKQEYEGKFLMVGVNDLDLFKGIPQKLLAMEKLLEFNPELRAKYAVADCCVVTPVRDGMNLVPYKYTVCRQGCPVLDKALGLPMIYCKLIY >OMO50470 pep supercontig:CCACVL1_1.0:contig16166:18287:21953:1 gene:CCACVL1_30423 transcript:OMO50470 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phox/Bem1p MEDAIFSPGTILGAPPDSAMDFNYMDELLLDGCWLETTEGSDFLNLSPSRSNAFFDPSFMWPTSESYGGELGASPSHIHNQGENQRSLFPGNSHMNGTQGQSLINPHFTNNMADVDRSHNLHGDCITDGSEQSRRWYIAPRTNPGPATSVTQRLIQALTYIKDLAKEKDVLVQLWVPVHRGGKRVLTTSEQPFSLAPNCQRLASYRNISVKYQFSAEEDSKETVGLPGRVFLSKVPEWTPDVRFFRKDEYPRVNDAQQHDVRGTFALPVFEQGSKTCLGVIEVVMTTEKIKIRPELESVCKALEAVNLRSSIASSSQNIKACTNPYQAALPEIKEVLRCACETHKLPLAQTWVSCMQQGKEGCRHSSENYVHCVSTVDDACYIGDPDIQGFHEACSEHHLLKGQGVAGGAFVTNQPCFSADITSFKKTEYPLAHHARMFNLHAAVAIRLRCIHTGKADFVLEFFLPSDCRDPEGQKKMLNSLSIIIQQVCCSLRVVTDKELEEETDLAVSEVIAPSDGIPNREEVSEEHYTHRSPNCSRENSSWTTCLSEVQQSSNAALELRKEKARGILNEKLSEVKQDQERINLRESVECGDSTFNEISFSSAAMGKTGEKRRTKAEKTITLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGIQRWPSRKIKKVGHSLQKLQHVINSVQGASGAIHISAFYTNFPELASPKLSGTSTLSTSRLNDQPTQTIIQPEGGNFLPQAANSNSPSSSYSQSSSSSQCFSSGTRQPSTFDISGSEDVILGENSGDGEMKRVRSDAELHASSQGGPKQFPRSQSLRSLNEQLVSDSLQPMPKNTSQIAQDLEAQRVKVTYGDENIRFRLQSKWRFKDLLHEISRRFSIDDINRFDLKYLDDDSEWVLLTCDADLEECLEVCRSCKGNTIKLSLQVSHQHWDRSSGSSGP >OMO50469 pep supercontig:CCACVL1_1.0:contig16166:17263:17331:1 gene:CCACVL1_30422 transcript:OMO50469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESSFSSNHKSLNNFKTLRR >OMO50468 pep supercontig:CCACVL1_1.0:contig16166:259:336:-1 gene:CCACVL1_30421 transcript:OMO50468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPTEAVNRVGNWEKIDGENEKMK >OMO50471 pep supercontig:CCACVL1_1.0:contig16166:23368:38089:-1 gene:CCACVL1_30424 transcript:OMO50471 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patched MEMDFFRRRRMVLFIVVPTVVAQTTNDELSERHSEGYCAMYDICGTRSDGKVLNCPVGSPAVKPDELLSAKIQSLCPTITGNVCCTEAQFDTLRAQVQQAIPFLVGCPACLRNFLNLFCELSCSPNQSLFINVTTVSKVKNNLTVDGIDFYITDAFGEGLYESCKDVKFGTMNTRALEFIGLGAKNFKEWFAFIGRRALPNLPGSPYAIQFKPSAPESSGMKPMNVSTYSCGDVSLGCSCGDCPASPVCSITAQPPSHKGATCSVRMGSLKPRCVDLALAILYIVLVSMFFGWGLFHRTRKRSRSFRMKPFLDTADGGESHSVHRQKVENLPMQRLQDANQTSTGVQLSIVQGYMSNFFRKYGQWVARNPTLVLSLSVGMILLLCLGLIRFKVETRPEKLWVGPGSKAAEEKQFFDSHLAPFYRIEQLVIATVPDALHDKPPSILTEENINLLFEIQKKIDGIRANYSGSMISLTDICMKPMGQDCATQSVLQYFKMDPTYDADDRVDHMKYCFQHYTSADSCMSAFKAPLDPSTVLGGFSGSNYTEASAFIVTYPVNNAIESEGNKTEKAVAWEKAFIQLAKDELVPMVQSRNLTFSFSSESSIEEELKRESTADAITILISYLVMFAYISLTLGDTPRLSSFYISSKVLLGLSGVILVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLDLPLEGRISNALVEVGPSITLASLAEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVSLIVFDFMRAEDRRIDCFPCIKVSSTHESEKGIGGRKPGLLARYMKEVHAPILSLWGVKIIIVSTFVAFTLASIALSTRIDPGLEQKIVLPQDSYLQGYFNNISEYLRIGPPLYFVVKNYNYRYLTGQKHEETCTLLLIARASSTPESSYIAKPAASWLDDFLVWISPEAFGCCRKFTNGTYCPPDDQPPCCSVNDGACGMSAVCKDCTTCFRHSDLDNDRPSTAQFKEKLPWFLDALPSADCSKGGHGAYTTSVELNGYENGVIQASSFRTYHTPLNKQVDYVNSMRAAREFSSRVSDSLKMEIFPYSVFYMFFEQYLDIWKTALINLAIAIGAVFIVCLVITCSFWSSAIILLVLAMIVVDLMGVMAILGIQLNAVSVVNLVMAVGIAVEFCVHITHAFSVSSGSKDERVKEALGTMGASVFSGITLTKLVGVLVLCFSRTEVFVVYYFQMYLALVLLGFLHGLVFLPVVLSMFGPPSRCVQVERQEERPSVSSQP >OMO91732 pep supercontig:CCACVL1_1.0:contig08308:5234:6355:-1 gene:CCACVL1_07040 transcript:OMO91732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELNQLCDIIVEPLRDRVVTSLLQAALEGLLRVLLDGGASRIFSASDAKLLEEDLEILKEFFISGGDGLPRGVVENQVARVRLVVKLHGLETRELIEDLRTSSGKLGADNQTLLRILCHRADSEASQFVKKQYKIPKSSA >OMO71586 pep supercontig:CCACVL1_1.0:contig11595:1511:1702:1 gene:CCACVL1_18149 transcript:OMO71586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKGNTKTVKKEKPLAPPRRGQIKAKIFGEIVESLVTTGGGQCWGSEEGSQQNPSPHEPNRK >OMO87615 pep supercontig:CCACVL1_1.0:contig09215:5510:8319:-1 gene:CCACVL1_08884 transcript:OMO87615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSMKLADSRVPVLMNLISSSVVFGVRNSSFSAPPNLDEQRRDQACHDSSFRSVPNLPTPVPKHQLPKKDSVAAGQSNSEEAHPVPKVKKDVQSSAAPTAGQTQKPSFLSMPKQMLFYQKPHVSMQFGGHNPHSVSKCYCHFNSKANAHAIVYGRAPQVQQQVFVPGLQPQLHQILRLVSLCKVNPAFLQMHSASLRPVARRGCRRFKTEAVLSKFKRPEGLHIHMARRLGEDAEGSKTEAATSNVDRRVGK >OMO89390 pep supercontig:CCACVL1_1.0:contig08741:59472:59600:-1 gene:CCACVL1_07867 transcript:OMO89390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGIRVSAGSLPYIPLPEYKDDDDGRLKQLGYKQELSRSLS >OMO89389 pep supercontig:CCACVL1_1.0:contig08741:57867:57932:1 gene:CCACVL1_07866 transcript:OMO89389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTREKLARKARTRPRPESD >OMO53090 pep supercontig:CCACVL1_1.0:contig15337:36172:38920:-1 gene:CCACVL1_28889 transcript:OMO53090 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MAPSNDSFVDMTGIEGKVRKEHICIIFPSKVSSPGLAGLYSNNIKLDAFIDYSGPRLYLQMVASFVLTQSIHTMALKHLGFPMLISQVLAGVILGPMLFHDHNSLITMSESSVEVLGTIGSLGFVFYLFLSGVKMDTSMTRKAGWKAISIGSLTVLVPFVFCLITDVILNGGHRDMIKNKNFFLAMTYSTTSFPVIHSLLNDLKILNSELGRLGLAAALVSDLLSMAILNIGKYFKTYVDKEIDDVLREIGLNVAFVLIVFYVLRPAMNWMVRRTPDGGKIKDVFVYAVIFMLMIIPMVTGMTHLFILVGPFMLGLAVPDGPPLGSALVEKLEPFVSGLLLPFFASACGMRFNVDDIINISPYAKSQSIAAVVTLFVKFFVSLALPLLCKMPTSDSLALALIMTAKGLFEIGSYSILFDVGMISADIFSTNFVIIVLLASVTPLLVNKFYDPSRKYIGYQKRSILHAKVNENLKIMGCIHVPGNVNSMINLLDSSCLTKETPITLDVLHLVKLTGRATPLLITHSKNSKTMPTKSYSENVILAFNQFERDNWGALFVNVFIAISPSNLMYEDVCNLAMKQLTSFIILPFHRRWYIDGSIESEDVSIRNLNRKMLERAPCSVGILVEGRQTTKCSNSNSIEISSSLPSLDKIAVIFLGGKDDREALALAKRMSQDQEVNITVIHLKIENDYAATLVDSEKMIDDETLRFMKQTTYVTYIEHYVNEGTETSDYLKSIVDKFQLIIVGRRYKKEDNRTLGLEQWTEFQEIGVLGDLLASSDFSANFSLLIVQQQLRIYK >OMO53089 pep supercontig:CCACVL1_1.0:contig15337:21138:23785:-1 gene:CCACVL1_28888 transcript:OMO53089 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation/H+ exchanger MASSNDSFVDMTGCDGTMMQKELCLKFPAKVSSTGLAVLYCTKIKLKEFMNYSGPRLHLQMVASFVLTQLIHNMVLKYLGFPMLISQILARTCAALVSDLLSMALLNIGKYFNSYTMNTNEKLLKEIGLNIAFVLIVFYVLRPGMNWMVRRTPNGGKIKDVFVYAVIFMLMIIPTVTEMTHLFVLVGPFMLGLAIPDGPPLGSALVEKLEPFVSGLLLPFFASACGMRFNIYDIINISPYAKSQSIASVVTLFVKFLVSLALPLLCKMPTSDSLALALIMIAKGLFEIGSYSILFDVGMISADIFSTNFVIIVLLASVTPMLVKKFYDPSRKYIGYQKRSILHAKLNENLRIMGCIHVPSNVNSIINLLDSLCLTKESPITLDVLHLVKLTGRATPLLIAHSKNSKTMPTKSYSENVILAFNQFERDNWGALSVNIFIAISPPNLMYEDVCNLAMKQLTTFIILPFHRRWYIDGSIESEDASIRNLNCQMLERAPCSLGILVEGRQTTKCSNSNNSIEISSSLTSLDKIVVIFLGGKDDREALALAKRMSQDQEVNITVIHLKDANSYGATLVDSEKMLDDETLRFMKQTTYITYIEQYVNEGTETSNYLKSIVDDFQLIIVGRRYKKEDSRTSGLEE >OMO53909 pep supercontig:CCACVL1_1.0:contig15107:28116:30994:1 gene:CCACVL1_28247 transcript:OMO53909 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MGLLLIITVSIVAIILSYKLIQRLRFKLPPGPRPLPVVGNLYDVKPVRFRCYSEWAKVYGPIISVWFGSTLNVVVSNTELAKEVLKDRDQQLADRHRTRSAAKFSRDGQDLIWADYGPHYVKVRKVCTLELFTPKRLEGLRPIREDEVTAMVESIFKDCTNPESKNKTLQVRDYLGAVAFNNITRLVFGKRFMNSEGIIDEQGKEFKGIVANGTKIGASLAMAEHIPWLRWMFPLEEEAFAKHAARRDNLTRTIMEEHTAARKKSGGAKQHFVDALLTLQEKYDLSDDTVIGLLWDMITAGMDTTAIAAEWAMAELIKNPRVQQKAQEELDRVVGFERVMSETDFSSLPYLQSVTKEAFRMHPPTPLMLPHKANANVKIGGYDIPKGSNVHVNVWAVANDPAVWKDPEVFRPERFLEEDVDMKGHDYRLLPFGAGRRVCPGAQLGINLVTSMLGHLLHHFVWTPPEGVKAEEIDMAENPGLVAYMRTPVQAVATPRLPSDLYKRVAVDI >OMO53908 pep supercontig:CCACVL1_1.0:contig15107:19839:21294:-1 gene:CCACVL1_28246 transcript:OMO53908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRNCAASTQFTFGKLKMG >OMO53910 pep supercontig:CCACVL1_1.0:contig15107:34615:38656:1 gene:CCACVL1_28248 transcript:OMO53910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLNNAHIKSHLQMYQTKKIAVSLSEGDEDVDREYMALYKEVAMIATAKSIVTYKSPEALEGPTHMMVYTCSALGARFIFHSMKH >OMO53907 pep supercontig:CCACVL1_1.0:contig15107:8042:8286:1 gene:CCACVL1_28245 transcript:OMO53907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKLKITASREVGRGFLSSNSSLLEGGGFTEFRGILSRSSFSDFSLSINNFLSL >OMO49373 pep supercontig:CCACVL1_1.0:contig16523:22821:23108:1 gene:CCACVL1_31049 transcript:OMO49373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKAEKQPKRMDWVTKYGRMLKKMLTSPDDATNTSPEANAVAVSPPRPVSCEELFLPSEKKKGHCRPGDSCMDPFVAAHIAAQNHFSLRSNIYL >OMO49374 pep supercontig:CCACVL1_1.0:contig16523:23835:29805:-1 gene:CCACVL1_31050 transcript:OMO49374 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MEEVSNTVTTCIVLLILFCGSAAGSRTKLFSFQAQENGVAMAPALASSSQDGICNKMVVPQGYPCEEHMVTTEDGYILSLQRIPTGRSGGTPGNSQPVLLQHGLLVDGATWLLLPPEQSLAFALADNGFDVWIANSRGTKYSLGHTSLSPNDSAYWNWSWDELVGYDLPATFQYVYDQSGQKMHYVGHSQGTLVALAAFSKNQLLSMLRSAALLSPIAYMNQMTSPLAKDAANNFIAEMVYWLGLSEFNLRGDAVINLLKDLCSQPDVDCTNLLTAFTGQNCCLNSSIVDVFLDHEPQPSATKNMVHLAQMIRQGTITMFDYMDPDENMKHYGQTTPPAYNMTTIPNDLPLFLSYGGDDALSDVNDVQRLLDSLKDHDGDKLVVQYRQDYAHADYVMAENARQDYSNSDPAAILAAIQPASTLFLEYCSTSNAVAIKKVHFSITDDDTICKSLVQTQGYACEEHNVTTKDGYILSLQRIPAGRSGKTADKPPVLLQHGILVDAAPWLLNSPDESLGFILADNGFDVWLANTRGTTYSRGHTSLSPIDSAYWEWSWDELVQYDLSAFVQYVHDQTNQKLHYVGHSLGTLIALAAFSKQELVNMFRTAALLSPIAHLDQIPSQLMKVAADMHIAETLYNSGFDQFPPGWDVLGPILNEICNKSGTNCSDLMTAMTGANCCVNSSKAGELLKHEPQPTATKNIIHLSQMIRTGSIAMYDYGSKDENVGHYGQPNPPAYDMTSIPKDLPLFLGYGGQDQLSDVKDVQSLLNDLKDHVKGNLVELFIKDYAHADFVLGVNANQVVYDPIVSFFNLYST >OMO49372 pep supercontig:CCACVL1_1.0:contig16523:15731:21776:-1 gene:CCACVL1_31048 transcript:OMO49372 gene_biotype:protein_coding transcript_biotype:protein_coding description:RZZ complex, subunit Zw10 MDPLLDRINVRDLLSGHDLSDPSTPLSAPDLRLLINRLESHSLHIKSKVRSYILSHHDDFASLFSLCNDAVSKTNGISNNLSDILSLISDRPIDVEIRELIDEIGKKTKEAKEKRDLLGLLRIIVGICERLEGARSALRDGRLKFAAEEVEELKKALRIGDEEEGEPIVYGLLRKQWTDLFDEMQELLAKFMENAVQFEQNSRTIRVKYRLSVDQIDGIELHTVLEAMDVAGILGYSLAKAADLIIKHVITPAVNYGSPVTFIEDIDQGSEGIREAALKILPSQDGKVANSDGDAIYARVIQVIRFIFERICFENHSWIHSFGRLTWPRISDLIISNFLSKVVPEDASKLAEFQKIIKCTSEFEISLKEMMFISPSNNEEDRLSNFAENVEVHFAFKKRTEILAKARNLLLKCDFSIPQEYTTKSTSLKNDGMAIYTSEKVVDLLFQSGKCVVSKAASQLMGLVHQTLKDVCLSTTRVALEFYQAARDAILLYEAVIPVKLERQLDGINQVAVLMHNDCLYLSQEILGLAFEYRSDFPDSIREHAVFADMAPRFRLMAEEILQRQMQLVIFNLREAIDGADGFQNTHQMHQFESAKFSIDQVVFVLEKVHIIWEPFLLPSTYKRSMCMVLESVFSRITKDILLLDDLAAEETLQLQRLILLMLENLSSLLNSLTSINSKGKSEDDLRRPIDDLVPSLCKIRKLAELLDMPLKSITAAWECGELISCGFTVEEIKEFIGAIFADSPLRKECLWRIENVSFL >OMO49375 pep supercontig:CCACVL1_1.0:contig16523:36713:39217:-1 gene:CCACVL1_31051 transcript:OMO49375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLRNRGTSLLGSMVVPRLKKKALNSWAAVQDTYFSTKDTFERHKVVFTIGTSVASVATAWVGYSLRHYHETKVDRRLESIEKAMKDNHNLEHADIKKLVDPGPSRTAAWVATVGTALIVGYGFGWRGGIWYANRKFRKEQLKLLGQIKPRRWQFLGKIKPRGLQLRFLRPSARSRGPDSAMKASEKTLKNDSVSCDSAEARQSC >OMO49370 pep supercontig:CCACVL1_1.0:contig16523:7064:10795:1 gene:CCACVL1_31046 transcript:OMO49370 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin MLRSRLPLLIALSFTFFSLSFALYGPSSPVVQLTPSNFKSKVLNSNGVVLVEFFAPWCGHCQALTPTWEKAANVLKGVATVAALDADAHKSLAQEYGIRGFPTIKVFVPGKPPIDYQGARDVKPIAEFALQQVKALLKDRLAGKASGGSSEKSEPSASVELNSRNFDELVLKSKELWIVEFFAPWCGHCKKLAPEWKKAANNLKGKVKLGHVDCDSEQSLMGRYKVQGFPTILVFGADKDSPIPYEGARTASAIESFALEQLETNVGPAEVTELTGPDVMDEKCGSAAICFVAFLPDILDSKAEGRNKYLAMLLSVAEKFKRSPYSYVWAAAGKQPDLEKRVGVGGYGYPALVALNVKKGAYAPLKSAFELEHLIEFVKEAGRGGKGNLPLEGTPEIAKIEPWDGKDGEIIEEDEFSLEELMGEDTSSKDEL >OMO49371 pep supercontig:CCACVL1_1.0:contig16523:11489:13726:1 gene:CCACVL1_31047 transcript:OMO49371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNLGLVGEQASHVFNQNTKITQLAKSGKIEEAIKLFSEMTHKNTVTYNSMISAYGKNGRLNDARQLFDIMPRRNLVSWNTMIAGYLHHDKVDEAYRLLVRMPQKDRYAWTLMLTCLTRRGELEKARELFDSLPYKHDVACWNVMIGEYGKKGRFIEAKRLFDEMPVKNAVSWNLMLSSYTRNGEMRLGREFFEGMATRDVVSWNLMVDGFIQVGDLASALEFFDKIPTRNVVSWVTMLSGFARRGNILESRRLFDQMPNKNVAAWNAMIDAYVKNCQIEEAAELFNEMPKRDSVSWTTMIAGYVHVGQLDKASELLNQMPQKSTAAQTAIVSGYIKNKRMDKAHEVFNEIADRDIVCWNTMIAGYAQMGRMDEALTLFKEMKNKDLVTWNTMINGYAQIGEMDKALEIFEEMKIRNVVSWNSLITGFLQNGLSLHALNSFKMMAHEGTIPDHSSFACGLSACANLAALQVGNQVHSMVLKRGYLNDLFVGNALITMYAKCGRILCAQLIFKDLDEVDLISWNSMITGYALNGHGKEAVQLFQQMVLKGVRPDHVTFIGALSGCSHNGLVDKGLELFKSMTEIYSIEPLIEHYACVVDMLGRAGMLYEAFEVIKGLKIKANAGIWGALMATCKSHGNLKLGKIAAKKLLEFEPQKTSSIVLLSNMKAEAGCWNEVENMRSMMKEHEAEKQPGCSWIEVRNQLHCFLSNVPMQPKVAEISSILKAFTSHIRNLDCVSDDFCIYTW >OMO71539 pep supercontig:CCACVL1_1.0:contig11603:12940:13125:-1 gene:CCACVL1_18174 transcript:OMO71539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRIPSPPDREAMEGTKLNVGAEEVCLVLSQQMISCFPPASMAQKKPLSPKAAAIGPNDP >OMO71535 pep supercontig:CCACVL1_1.0:contig11603:993:1178:-1 gene:CCACVL1_18170 transcript:OMO71535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKQRKRKRKGPTLHSKEEREEEEQKVIIIIIITQNPQFESYPKLLESKLVIESKTKIT >OMO71541 pep supercontig:CCACVL1_1.0:contig11603:16275:18515:1 gene:CCACVL1_18176 transcript:OMO71541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAASSEDQPDQNQNSGPVMPLQQDLSPEILTGETFQPQDKGKCDDDQELEDPIEEETNADDPDTELQVTTTTSSPTITAGIGSFCGGGTTTKKMATKRRAQEKMCQKKLEVLTETLKPIPFVPSKKLDFSSHEMLLKRIGLWDFVHLEFDGNVRNDLIAQLIATYNSESRCSYVNGCHIRVSRADLARALKLSVKKDKDKDKDGTLEVEESKESIGFVEEVVSKWVLMHEDTWMMPMEVLNWNKMIKEGHFDKVDWAGLIWFMVEKELMAAPKLENCYYASHMQSLIKFQRVELLQEESKMDVDEAEEEEEHNIQEDVKTDADEIDKVHGGLHLEEHNNELCLGGQDNLMNEDDDEKEAGRHEDTMDCEETKEDGFPMSPKGEAFEGVNSTNLLEAMETGDLHFTTGFHIRHNSSGEFITTRGNTQTVPGVSSFLGNGNNREIGHDKFFSHHSLNGSNKRLRTDGQWTNKSSEFDICMEQMQHCMAKARMLYAAKDQAYADASMHQQMLLDQLQRKDSIIEYLQKAKYEEQTKRQVEVHRLEHELFMMENLLNGYRKALKETNKAFTEYRARFRLSDEPLYKDVSGSGGLVLSTMELEKQLLKQEEEDRLNKSLIEKRIKDFEAGWIGKFEAHKDAISLFSIRLIDAEKDVNLLKELFANRKSPDTPECVP >OMO71538 pep supercontig:CCACVL1_1.0:contig11603:7544:10229:-1 gene:CCACVL1_18173 transcript:OMO71538 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferrin family MEISSFLPFFLILFFSALCTVQGIEPSPAPSPPSSLAPELASSHTLAPDSGETLAPDNGSGGSLAPSNDLSPPSPTMSLPPSLAPVPMMAEKGGEADAAPAPEMEGSESFEGNGPSSDQEMEEAGEQMVRWCTVRQEFEDCQLLIRSMDQSNGYTWKCIQMETAQECLESIKRGEADLINLEAGLAYTAFMNYSMKAIANEVYCDHAKSYQAVAVVNRNSCKLNKRITLMDFEGHKSCHGGYSTAAGWNFPIKHIKESLGSQKLNDREIAAGFFSKICAPSEFEGSGICSGCGNENGSCYMNSPYFGDLGAFRCLMEELGDIAFVKADTVLLYSMEGPYNQSWSSKSIRDFMYLCPDGGCREINDYPGSCSFGAVPANVIMASNSLPNKKRLIILETLTNVTLVEALNAGKYGASPLLSPSTQEIAVIKQLTRCGLSIIFMWSELFSDQTLFSPNIYFVTAPKPAERVQITVQCSQPDCQKKCIAAYGQQVD >OMO71542 pep supercontig:CCACVL1_1.0:contig11603:20551:20691:-1 gene:CCACVL1_18177 transcript:OMO71542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPTLRNETLVLPRSITSSQHRGSSTKQRHFSARKIYSTSEDCKLIA >OMO71536 pep supercontig:CCACVL1_1.0:contig11603:2001:2735:-1 gene:CCACVL1_18171 transcript:OMO71536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVISGGGSALKFEIEPFNGTNSFQMWQNTITDVLVQQGLGDAFETDKSAALNENMWRDIQRNMKMKVGTSLRAHLNEYNRLVTQLASVDEVMKEADKAVLLINSLTDKYDPVTRALMVCRKTLSLQDVTSAIFKYDGLKETEKKDEENDALMVKRGRTNGRDKNDARSRGRFNERGRSSSRPRVDMGSKDLG >OMO71537 pep supercontig:CCACVL1_1.0:contig11603:4838:7104:1 gene:CCACVL1_18172 transcript:OMO71537 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll A-B binding protein, plant MIFREQLFEYGDAKSERALRLGELKKLIEANPPFHDKLQFPTLKDSRLRTLIINQRYCQATSDALRLNFTYGDPPLGHKRVENSIPLQFKGTLHSAESEALKITTLPNTNFTDQAMAANTLMSCGIATAFPSVLSSSRSKFLAAVSFPGVGANSGHRVSMSADWMPGQPRPPYLDGSAPGDFGFDPLRLGEVPENLERYKESELIHCRWAMLAVPGILVPEALGYGNWVKAQEWAAVPGGQATYLGNPVPWGTLPTILVIEFLAIAFVEHQRSMEKDPEKKKYPGGAFDPLGYSKDPKKFEEYKVKEIKNGRLALLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDIIIPRSL >OMO71540 pep supercontig:CCACVL1_1.0:contig11603:13755:13880:-1 gene:CCACVL1_18175 transcript:OMO71540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALENIHQDYIAAKVDCNLAKTALSHPSNQEPSQLLEPHKL >OMO65300 pep supercontig:CCACVL1_1.0:contig12672:12165:12865:-1 gene:CCACVL1_21562 transcript:OMO65300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALDKSKYRDGLRAVRRHIPKLEQDLELLRAQAENRPNPMQHMQNPDDDNVDSKNISSMQFEGSNKMKEILDESKELSENESSTDSLMASDSEDLSDIFETESDTETEDREERPLYLDEFDKFPVESNGEPEHFEEHLRQISMGSKVPTVSEKDSPNFDEMFIILFPQLRLDCIALTHCEGAVLDGNYHCNSRVFSNLAAQDL >OMO65299 pep supercontig:CCACVL1_1.0:contig12672:10425:11439:1 gene:CCACVL1_21561 transcript:OMO65299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSSQGIDSDESVRCLQVENFDDQELVDHELSDNIDLCMGEVDKIIDESNESSPLFGDAVEPYIGMEFKTRDAAKEFYVVYGRRTGFTVRIHHNRRSRINNMVIGQDFVCSKEGFREKKYMYRKDRVLPPPPITREGCPAMLRLALRDGVKWVVTKFVKEHNHSLMSPGKVPWRGTAKNLISEDEKDQRIRELTQELNNEKQKCKRRCAAYEEQLRSILKFVEEHSDQMSKRVEDIVKNIREFEDSQHEGSNCRYV >OMO65896 pep supercontig:CCACVL1_1.0:contig12609:14314:20598:1 gene:CCACVL1_21350 transcript:OMO65896 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MAAATAAASSLQLSSTAAYGSFQTSNFTCLGVSKPPSFPDPKLKTSSAVIRCQSTSTKEPKTKRNLLDNASNLLTNFLSGGSIGSMPIAEGAVSDLFGKPLFFSLYDWFIEHGSVYKLAFGPKAFVVVSDPIVARHILRENAFSFDKGVLADILEPIMGKGLIPADLDTWKQRRRVIAPGFHALYLEAMVKVFTDCSERTTLKFEKLLDREHSHGGEAIELDLEAEFSSLALDIIGLGVFNYDFGSITKESPVIKAVYGTLFEAEHRSTFYIPYWKIPLARWVVPRQRKFQYDLKIINDCLDGLIRNAKESREEADVEKLQQRDYLNLKDASLLRFLVDMRGADVDDRQLRDDLMTMLVAGHETTAAVLTWAVFLLAQNPSKIRKAQAEIDSVLGQGRPTYDTIKKLEYIRLIVVESLRLYPQPPLLIRRSLKADVLPGGYKGDKDGYKIPAGTDIFISVYNLHRSPYFWDRPQEFIPERFLVQKESEGIEGWAGFDPSRSPGALYPNEIISDFAFLPFGGGPRKCVGDQFALLESTVALAMLLQKFDVELKGSPESVELVTGATIHTKNGLWCKLKKRSRGL >OMO65897 pep supercontig:CCACVL1_1.0:contig12609:21094:24328:-1 gene:CCACVL1_21351 transcript:OMO65897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLELPSKEQEKLITDSNEDDDATDAVEEIDAEDNDEEPSATCELAEEVCEPNLIESSTGCEDQADLNTVETDVCKGSIFEPENGMEFESKEAAYSFYREYARSVGFGITIVSSRRSKRSGKFIDVKVACSRFGSKRESSTTLNPRSCPKTGCNARMHMKRRQDEKWIIHSFVKEHNHEICADDFYYATKGRNKQSGAVTCQKKGLQLALDDEDVKLMLDYFISMQDKNPDFFYAIDLDKEKCARSVFWIDAKGRQNYSHFSDVVFFDTFFVRNKYKIPYVPIIGVNHHFQYMLLGCALIGDSTTSSFVWLMRSWLKSVGGQAPKVIITDQDKVLNDAVADVFPDSCHCFCLWHVLSKLPESLGFIINQNENFMKKFDKCIRRSWTHEQFEKRWSRMIEKFELKEHEWVLSLYNDREKWVPTYIQDTFLAGISTAERAGSIASFFDKFMHKEATFKEFLEQLKSLSIDLYDKEAKADIETQNKQPELRSFSSFEKQMSMIYTDTIFKKFQVEILGVVSCHLQKESEDEDTVVFRVDDFEERQNFLVAWNRREFHICCLCRSFEYRGFLCKHAILVLQISGVSDIPSHYILKRWTKEAKIRQNLGEISNRLHYRVERFNDLCKRAIKLGEEGCLSEEAYTIALQTLEEALKHCVCVNNSAKSVFEPNTLSVHGFLEIEAEHHWSTMSKSLKKKKMYKRRKVHSQSEEVAVGQDSCQQMISSRAHMDGCYVTQNDMEGMDGGSRVPTLDGYYGSHQNIQGVGQLNLISPVRDGYYSNQQLLGLGQLHSIPARVNQYGTQQSTQGLGQLGFRAQPMQAFDIQDSLEDDDQSMNSIHFHGIASKHLHDKHLS >OMO58901 pep supercontig:CCACVL1_1.0:contig14089:7589:9181:1 gene:CCACVL1_25269 transcript:OMO58901 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MVVASALISPFAIVLERKSRPKMTFKIFAKIMLISLFEPVLDHNCFYTGMKYTTATFSTAMCNILPTLTFILACIFKLEKVELRKVQSQAKVVGTLVTVGGAMMMTFIKGTTLHPPWTKGRNHRGQSRTGGAHQEDLLKGSLLLIAGCCCWSFFIISQAVIIKSYPAKLSLTALICTMGAVEGAILALAVERNDTSVWQIHLDSKLVAVLYGGLVSAFAIYTMGSVMKKRGPVFVSAFNPLSMVIVAILGSFFLAEEMYMGRVIGSIVIVIGLYLVLWGKSKDQMKSKPDAGTVEHSDQQMAVIENTEAPPDLESITIEANRVRPIKESDRIDMK >OMO58902 pep supercontig:CCACVL1_1.0:contig14089:10245:12312:-1 gene:CCACVL1_25270 transcript:OMO58902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDGGCLTNGEMGYDNSSRIEPKRGHQWFMDAAPPELFSNKKQAIESVNNRPVSGIADINVSPWHNDSSFQSASCQFSDRLFGSESMRTVNLVDKNLSSVDSGNVNMGRKDFEDQYGNASSAGLSMSHTIEDASSFLSFGGVRKVKVNQVRDSNYGMPASMGHPYGRGVSSTVSMSTVYNKGDNNAISLGPTYGTADENTISMGPTFTKADGNFISMGHTFNRRDGDFISMGHNYNKGSENILSMAQPFDKEDGNFISMSQSYEKGDANLMSLNPSYGKGQENFISMAPAYGKPNESLISMAPSFDKEDDTIIPMGSSYDKADCNVTAMAPTEDKGESGILSMGQNYNKGESNTISFGGFHDESEANPSGSIISGYDLLMNNQNPVQASEVLIQKELVVVNPDSNANSAPKSNSKPDTIVKNKEPKTAKKVSPNNFPSNVKSLLSTGMLDGVHVKYVSWSREKNLKGIIQGTGYMCGCNECDFKKALNAYEFERHANCKTKHPNNHIYFENGKTIYAVVQELKNTPQEMLFDVIQNVTGSQINQKNFRVWKASYQAATRELQRIYGKDDIIVSS >OMO58903 pep supercontig:CCACVL1_1.0:contig14089:19853:25317:-1 gene:CCACVL1_25271 transcript:OMO58903 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C2H2-like protein MKRSYSEIENNFALANCLMLLSQGGNKYEHHQHAIMNNNSINIPSRVFECKTCNRQFPSFQALGGHRASHKKPRLGEGDGGAENQPPAKPKTHECSICGLEFAIGQALGGHMRRHRAGLSENQHGAEQQQPVILRSRPQRATTIDCPKLNVDGSYCRYVSLFPATLLGLIFAT >OMO81906 pep supercontig:CCACVL1_1.0:contig10086:15196:17071:1 gene:CCACVL1_12147 transcript:OMO81906 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MSPPAMAMTDDFDARDQTEMFQKGVKHLFENGVNKLPKKYILPVSDRPNVDKHHPNVANSNLKLPIIDFAELQGPNRSQILNSLSSACQEYGFFQVVNHGIPIEVIKNMIDVSGRFFGMPYEERAKYMTADMSSPVRYGTSFNQTKDSVFSWRDFLKLICNPLPEVLPRWPSSPVDFRELAADYAKETKNLFLRITEAILESLGIFSNGRYKSVLHRVLVNPAKPRLSVATLHSLPFDRMVGPSPKLIDEANPRRYMDTDFATFLEYISSREPKKKNFLESRKLT >OMO79338 pep supercontig:CCACVL1_1.0:contig10436:260:472:-1 gene:CCACVL1_13741 transcript:OMO79338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLGVGMFLIILGLMLEAKTGESMRFELQSGNTKCISEDIKSNAMSVGKYGIVNPNEGYPLPDSHRLTVR >OMO79339 pep supercontig:CCACVL1_1.0:contig10436:8298:11669:1 gene:CCACVL1_13742 transcript:OMO79339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIQEVEMSYEGSSDEEIKEDNDRDKQNGGDPNIQGFRPASLEVLNHVKINVEPETPVSTLRGVIKSSKSDLSFSRQELRQAEEKIIQAFVEFYRKLRLLRSYCFLNQLAFSKIMKKYDKITSRNASKAYLEMVDKSDLGSSDEVSKLMERVEATYVKHFANGNHRKGMKILRPQAKKEKHRISFLYGFFSGCSIALIVAIIVHIHARNLLKSEGRHDYMANIFPLYSLFGYMVLHMLMYAGNIYFWKRYRVNFSFIFGFKQGTELGYREVLLLGTGLSLITLAGVISHLDMEIDPGRKSFQVVTELIPVFLLATVLSIIFCPFNIIYRSSRFFLIRCTFHCVCAPLYKVTLPDFFLADQLTSQMQAFRSLEFYVCYYFWGDFTKRTNTCADSEVYKVFYIVVAIIPFWFRFLQCLRRLFEEKDASQGLNALKYFSTIAALALRTIYQFQTQKTITWLVLAAASSSVATIYNTYWDIVIDWGLLRRDAANPWLRDKLIVPHKAVYFVAMVLNCILRLAWMQQVLGIQSVPFLHNTALIAVVASLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFYYGDDGDKSL >OMO79340 pep supercontig:CCACVL1_1.0:contig10436:12270:13110:-1 gene:CCACVL1_13743 transcript:OMO79340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVELKERIEQIKESSGKGGAFTSESEFSEEMMDIRKDLVTIHGEMVLLKNYSSLNFAGLVKILKKYDKRTGGLLRLQFTQLVLRQPFFTTESLTRLVHECEANLELLFPIEAEVIESTAAGEPKPQSNKTANPSLDASSTLGEETLDIYRSTLAAMKAIRGLQKASSTYNPLSFSALFKNRDDDNGAVTAENSASNSSATLQKGEEVDKEDAQSTQQR >OMP00762 pep supercontig:CCACVL1_1.0:contig06621:223:314:-1 gene:CCACVL1_03300 transcript:OMP00762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPRAAGQKRITYGTLYIKKEKSEIRFIA >OMP00763 pep supercontig:CCACVL1_1.0:contig06621:977:1090:-1 gene:CCACVL1_03301 transcript:OMP00763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FAAPLLWENLYGALLRFGKPYSSSAQPFPYPFFEIEY >OMO88909 pep supercontig:CCACVL1_1.0:contig08888:17864:19730:-1 gene:CCACVL1_08119 transcript:OMO88909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLASASTPSSTFACNKILRRHYVNPCNSVMIPRTHLCSSASDLCLKSFIFVGARVPQKRSILCTSSTGPGAPNSGDNESRNVLDAFFLGKALAEALNERIESTIGEFLSTVGRLQAEQQKQVLDFQDEVLERAKRAKEKAAREALEAQGLIPKSSTINSTSVTNGAASKASPSTSDDGVSANLSPDNPANPAAYTDPDPAKGE >OMO88907 pep supercontig:CCACVL1_1.0:contig08888:1635:5201:-1 gene:CCACVL1_08117 transcript:OMO88907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESRTLLVKVFHVLYPLTDEVLNQIFSRYGLVEMFANLDELWGPSNTIEEREKSSEISASISTAKSDLGVVFDRIKPTLEALAAACEEKEQESATTEKEQESATTNLDELQFQDPNPIDRHLEFPQKVPVKAVPAKLSSASVLEGCEDSVAGVRGLPKQVTTIEQVDIDFIGTSIYLESIAANTMCWFICTWKSNVVEIKKNDLVDLLVVVAIYDLNSLSKIKVNQGILKEKNYLVFIGFRPGICFYQSPAFVSAQFAKIHKLDNVSRMSFAPTRSLTRHNMTAFKHIVALFWLIMAVVKSIINGGFGKVFGSADPKKIIFYSLAHVLVVSCLVSPPYRRASQVPMHSSSFKIFNLFIIPSLCVLVSKSKSLYGKAIKDYGIAIAMSFDRVSFSCLGTYSVALYVFNLEGKMPPAGVRIEEGASGYARKISLEHKAAAIKVSDITCSDLHGASNNDYAIVSQVSKNERFDLSRAKEMIFYCLQGHKDYGV >OMO88908 pep supercontig:CCACVL1_1.0:contig08888:12958:14164:1 gene:CCACVL1_08118 transcript:OMO88908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFGLSTGASEQRDGGAGLLGRGVGRVRELLE >OMO82238 pep supercontig:CCACVL1_1.0:contig10060:55953:58131:1 gene:CCACVL1_12023 transcript:OMO82238 gene_biotype:protein_coding transcript_biotype:protein_coding description:FeS cluster biogenesis MSRSLIQRISPFFIARIKQNHKLLSSSSSSALHEASSESAPSPAPSLDAIHLTDNCVKRMKELQSSEESSEEKMLRLSVETGGCSGFQYVFNLDDKTNPEDRVFEREGVKLVVDDISYDFVKGATVDYVEELIRSAFLVTTNPSAVGGCSCKSSFMVKQ >OMO82239 pep supercontig:CCACVL1_1.0:contig10060:59080:59559:-1 gene:CCACVL1_12024 transcript:OMO82239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1 MDAGKFPTLVTHQESLESKVNETKAMIKFQLKKVLCMGVAVGNCSMEEKQVFQNVQLSINFLVSLLKKNWQNVRCLHLKSTMGRPQRIY >OMO82230 pep supercontig:CCACVL1_1.0:contig10060:6336:9926:-1 gene:CCACVL1_12014 transcript:OMO82230 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MVGVGLVNIPKLPVFSPVSLRKPSRPSFSIKASSESSNSQSSPASTSIKEEQKPSLDSSSSTATTFAAPPNFKAPEPKAFSVRPDQTWDILGASLALFFRVGTGVFVNGYSSSVVSENEIPPGQYCLQVREIVAYLDIDVLFYPCPRNGPNFRPKAAQMGGKQQFPYMVDPNTGVAMYESDEIIKYLVDKYGDGSIPFMLKLGLLTTLTAGFALIGRMGRGSSYTPSKMPPKPLEIWAYEGSPFCKIVREVLVELELPHIQRSCARGSPKRQILYERVGHFQVPYLEDPNTGVQMFESAEIVEYLKATYAQ >OMO82232 pep supercontig:CCACVL1_1.0:contig10060:26314:28632:-1 gene:CCACVL1_12016 transcript:OMO82232 gene_biotype:protein_coding transcript_biotype:protein_coding description:SOUL heme-binding protein MAAQSLPKTLAFSSIQSPNTNRASLNIAKSMATTERTATSTSTTTLAPPQRRTMSATEARISLVFALASQASSVSQRLLMDLATETAKYVFPKRFESRNLEEALMTVPDLETVRFKVLSRTDQYEIREVEPYFIAETTMLGKTGFDLNGASQSFNVLAEYLFGKNINKEKMEMTTPVFTSRAAQSDGERMEMTTPVITKKDKNQDKWQMSFVMPSKYGSNVPLPKDPSVKIREVPRKTVAVIAFSGFVTDEEIKQRELKLRDALKNDRQFQVKEGASVEVAQYNPPFTLPFNRRNEIALEVEKKEQ >OMO82233 pep supercontig:CCACVL1_1.0:contig10060:29267:32409:-1 gene:CCACVL1_12017 transcript:OMO82233 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine biosynthesis MRTCSLHETSILELGSRNLFKSSFYGLTRNPFTRASPSISSKSLRFSVRCAVSFRPCIDIHKGKVKQIVGSTLRDSKEDGSTLVTNFESDKSAAEFAKLYMKAGLKGGHVIMLGADPLSQAAAIEALNAYPGGLQVGGGINSDNCLSYIKEGASHVIVTSYVFNNGKMDLQRLEGLVSVVGKQRLVLDLSCRKKEGKYAIVTDRWQKFSDVYLDKEVLDFLAKYADEFLVHGVDVEGKKLGIDEELVALLGEHSPIPVTYAGGVTVMDDLETIKAAGKGRVDVTVGSALDLFGGNLPYSQVVAWHKRNLAVTNKA >OMO82240 pep supercontig:CCACVL1_1.0:contig10060:59985:60666:-1 gene:CCACVL1_12025 transcript:OMO82240 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L1 MSKVQGDTLREAISTVMGDAKEKERKFVETIELQVALKNYDPQKDKRFSGSVRLPHIPRPKMKICMLGDALHVEEAEKMGLDWMDVEALKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGK >OMO82241 pep supercontig:CCACVL1_1.0:contig10060:62439:63950:-1 gene:CCACVL1_12026 transcript:OMO82241 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit 9 MDYASRRRSQGGLFEGIYKYIMRRNSVYVTFVIAGAFLGERAVDYGVHKLWEHNNVGKRYEDIPMLGQRQSEE >OMO82237 pep supercontig:CCACVL1_1.0:contig10060:54997:55470:-1 gene:CCACVL1_12022 transcript:OMO82237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENANSSKEEEKFRVRKLELSDKSKGFIELLQQLTVCDSVSDKEFEDRFKEISSYGDDHLVCVIEDDSSGKILATGSVFIEKKFLRNCGKVGHIEDVVVDGNARGLQLGKKIVGFLSDHAHSMGCYKVILDCSVDNKGFYEKCGFKQKEIQMVKYFV >OMO82243 pep supercontig:CCACVL1_1.0:contig10060:71412:72157:-1 gene:CCACVL1_12028 transcript:OMO82243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTHQNFVDHQPDDELAIHHPQISDEPDDDHQPDGDAAQAETTAAAAIGIETSCRNDNLERNNGSETDKDPLQCSSASGLKVEEGEAMRDENETSSEIVEENGRERLKRHRIEVAGRVWIPEIWGQEELLKDWIDCSAFDASLVPNGIMSARAALVEEGRRANSGGFRIENRQCNDESGKLILVTKNEL >OMO82231 pep supercontig:CCACVL1_1.0:contig10060:22866:23354:1 gene:CCACVL1_12015 transcript:OMO82231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLVLEDKVIKVMKTDGLQHLRPDAKLLGGQLYYLIPIPSPSQKSKKKKVRFSDPEVTDEQGSPAGVVRIKLVISKQELQELLQKEGVSVRDVVSQIQSKESTKAMDTSESDGGDDCRGWKPVLESIAEAN >OMO82242 pep supercontig:CCACVL1_1.0:contig10060:66779:70747:1 gene:CCACVL1_12027 transcript:OMO82242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLDANLELKEIQKLQGHTDRVWSLAWNPATAAADVPSVFASCSGDKTVRIWEESPSTRSWDCKAVLEETHTRTVRSCAWSPSGKLLATASFDATTAIWENVGGDFECVSTLEGHENEVKSVSWNASGSLLATCGRDKTVWIWEVMPGNEFDCIQVLQGHTQDVKMVQWHPTMDMLFSCSYDNTVKVWWSEDADGDWSCVQTLGESNNGHSSTVWSLAFNAKGDKLVTCSDDLSLKIWEADIIRMQSGDGYAPWNHMCTLSGYHDRTVFSVHWSRDGIIASGAADDAVRLFVESKDGSMNGPTYQLLLKKKAHDMDVNSVQWFPGEKRLLASASDDGTIKIWELASLA >OMO82234 pep supercontig:CCACVL1_1.0:contig10060:35530:40911:1 gene:CCACVL1_12019 transcript:OMO82234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISTCLTVSPKSQFVSSQSSVSLKFFPGIQTLTSSPTFPRKSVNILRPRVSFPVIKASIDVGSNAIRPGGAVETDKLPSDVRKRAMEAVDACGGRVTIGDVASKAGLNLNQAQKALQALASDTNGFLEVSDEGDVLYVFPKDYRAKLAAKSFRIKFEPLVDKAKAALEYLVRVSFGTALIASIVLVYTTIIALLSSSRSDEDNRGRRGRSYDSGFTFYFSPTDLFWYWDPYYYRRRRLRTDDDERMNFIESVFSFVFGDGDPNQGIEEERWKLIGQYIASHGGVVTAEELAPYLDLQTTNGVLSDESYILPVLLRFDGQPEIDEEGNILYRFPSLQRTASSQRSGRKEYVGRRWSDWVGGVEKFFKEKKWQFSKTSASERAMVIGLGGINLFGVIILGAMLKDAAITPSSFIKFVMDIFPLLQIYAGSFFAIPLVRWFIISKRNSDIEKRNQVRERFARALELPDISLGRKLLSARDMSQKTVIGQDRIVYSTDRDLVEQDYEAQDWDRRFREIEKSE >OMO82235 pep supercontig:CCACVL1_1.0:contig10060:42065:47696:1 gene:CCACVL1_12020 transcript:OMO82235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISTCLTVSAKSHFLSSKPSVSLKSFPRIQTLTSYPSFPRKSVNILRPRVSFPVIKASIDVRSNAIRPVVVVETDKLPSDVRKRAIEAVDACGRRVTIGDVSSKAGLNLNQAQKALQALASDTNGFLEVSDEGDVLYAFPKDYRAKLAAKSFRIKFEPWVDKAKQDNDSPETVKSGSSSPDFLLFLPLCPMVVFSFVFGDGDPNQGIEEERWKLIGQYIASHGGIVMAEELAPYLDLQTTNGALSDESYILPVLLRFDGQPEIDKEGNILYRFPSLQRTASSQRSVRKEYVVRRWSDWVGGVEEFVKEKKWQFSKTSPSDRGIIVVLGGINLFGVIILGAMLKDAAIAPCSFIKFYMDILPLLQIYAVSFFAIPLVRCLIIIKRNSDIEKRNQIREQFARAFVLPDISLRRKLFSARNMAQKTVIGQDRIVYSTDRDLVEQDYEAREWDRRFREIEK >OMO82236 pep supercontig:CCACVL1_1.0:contig10060:49217:52068:-1 gene:CCACVL1_12021 transcript:OMO82236 gene_biotype:protein_coding transcript_biotype:protein_coding description:t-SNARE MGSSLLQWESDPLFSAAEVVQDSADRMESIFRLLLHEQSLVQGDHSDPKLLTSIEYHRRDLATILETAKWQLEDFERAVTSSARLGTSHSREDVISRHKQFILAIREQIIHVEKSLEEMSMGNTMKTSEWRNLNEQDRDGLALFLSGGNYNEHNRRYDLDDSDILKRFLDPATASCSTDAGIAGNECREIEEVHMNGADYNSHYFDSTKENNLSHSLKLGLDAVDSVQESSCYRNGEHGSWDLEASEVKPKNVFYENKVRGSSSRMNLFGVFNNLWTLYGSRDPSNYTKRLKDGEEAHSPSFMDASRAAQGQRIGLSSAPGDNGLLGLHGFLAKVMHLRRRLGACILVFCVA >OMO82229 pep supercontig:CCACVL1_1.0:contig10060:468:2571:-1 gene:CCACVL1_12013 transcript:OMO82229 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha carbonic anhydrase MATARICFCIFALSLLLAIASAYDGHDHAFEFGYSGSHGPDKWGNLDPAFSACASGKKQSPINIQKNMTSLNKSLKPIVRNYKPANATLINNGFNIGVRFEEYVGNISIDNKNYSLKQMHWHLPSEHHIHGEQFAAELHLVHKGGDGSLAVIAILFKEEGADPLVSKMEGGLKELAKDKCKADEDSVIALGTLDTKQLNKSSRKYYRYVGSLTTPPCSETVTWTILGKVRSISKEQIALLQTPVKADCKKNARPCQPLNGRKIELYDEAKDA >OMO71405 pep supercontig:CCACVL1_1.0:contig11652:25063:27406:1 gene:CCACVL1_18235 transcript:OMO71405 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MEQTLLRYNRGVAEQSMEHPSDEQNAESNHPIDVNALKEEYLKLRSEYMKLNGKELEGLDYKELQHIEQQLNDGISQVKKRKEQVLIDQVNFSRLQEQKAILENEALRKQIDELQKKTSSNPFEINPLQRRYSPNSSKAEYDYASEEDDDHDDDDDHSDTSLHLGLASEVGRKRKIAKIEPISNDSGSQVASE >OMO71403 pep supercontig:CCACVL1_1.0:contig11652:3568:5552:1 gene:CCACVL1_18233 transcript:OMO71403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHYQRYHQFKKGGDEEAGTLVFVLTNPGFYRRRRPKLFSFLLLSLISCTFILAPHFFGSSSAFSHLYSFGVQNEDLDCDMAVNAPLCSSISNGTICCDRSHHRTDICFMKGDVRTHSPSSSIFLYSSKIRNDFINAPSVVDDGEEEGPNEFQHEKVKPYTRKWETSVMDTIDELDLISKRATLGVHHACDVLHDVPAVFFSTGGYTGNVYHEFNDGIIPLYITSQHFNKKVVFVILEYHNWWVMKYGDILSHLSDYPAIDFSGDNRTHCFTEAIVGLRIHDELTVDSSLMSDNKSMVDFRNLLDKAYWPRIRGLIEDEEREAQEKKISLRPTSGSPLATRKRMKHHQPWRPKLVILSRNGSRAITNENLLVKAAEEIGFQVNILRPEKTTELAKIYRELNSSDVMIGVHGAAMTHFMFMKPGSVFIQVIPLGTDWAAETYYGEPAKKLGLKYMGYKIMPNESSLYDEYDKDDPVLRHPSSVNKKGWEYTKKIYLERQMVRLEFGRFRKRLVRAYNYITRLHRQSHLRRPQ >OMO71404 pep supercontig:CCACVL1_1.0:contig11652:7078:14531:-1 gene:CCACVL1_18234 transcript:OMO71404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVTRTGFHDLNLASRKAEEAALRRYVAVAWLETLVGPLGISNQPSEKEFISCLRNGLILCNVINKIQPGAVSKVVESNSHAQSLNREFQPPRAYQYFENVRNFLVAIEDLKLPAFEACDLERDNLEAGSAAKVVDCILALKSYHECKQINCGNANGYYKLTRSPMVMHSATKMQSRALSESCRRLDLSSACDKQPTANGETNKLQDTIVKVLADHMVDTKENIDDNLLGSFNKRNPDSVKLLKKLIASCFDEQLQNNFPELKSVLQDFLKENNGSNLQSIPMPLEDVTSLQCSRARMKKANPNHRHLLKVQEKELLDLKALLSATRREFEDLQSHLQSDLKNLGSQVEEMSTAALQYHKVVEENRKLYNMVQDLKGNIRVFCRIRPSFCAGMRNAVDFIGKDGSVVILDPSKPQKDGRKLFQFNHVFGPSATQDDVFKETQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPSEIRSCTSDNGLSLPDATMHTVKSTSDVLNLMKFGEVNRVVCSTALNNRSSRSHSILTVHVNGKDGSGNMLRSCLHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSCLGDVITALAQKNSHIPYRNSKLTLLLQDSLGGHAKTLMFAHVSPEGDSFGETISTLKFAQRVSTVELGAARMNKESSEVMQLKEQIENLKKALANKEAQGTLSYKIKEPKSPFEKQKATMERTPPRTRRLSIENGSSTMKSEKATNLEDRRGPKTPSGPTRARRLSLEDAEAITKQFGNLSNGSSMMENYHSKAPRSPTSSSFQKQALKTDCRTHIPRLQLPKTPDAKVLVTEPCTENRMTNGKGSQIRKSLRTTIGKLISGSEKRNPQNSMELKSPTVGECNNNDVKSPLAANTRAVRRQSLTGIQTSGSDRRSSLGGKPNESSKTMSDNRNAKTPPPVHPSSKTTKRWM >OMO93853 pep supercontig:CCACVL1_1.0:contig08048:9910:15458:1 gene:CCACVL1_06323 transcript:OMO93853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKYNMIVRQISTPLCAWCLVVAMVAVGC >OMO57442 pep supercontig:CCACVL1_1.0:contig14373:15225:22606:1 gene:CCACVL1_25758 transcript:OMO57442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKRAMKGINIRLIKREKKNGLKERFLKERLGALVEEEKLIELLRVRRRLIRQNLRGQSRSKADTNLTPIGSGEQF >OMP11187 pep supercontig:CCACVL1_1.0:contig01495:2707:2772:-1 gene:CCACVL1_00634 transcript:OMP11187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AATAGLVSPTAPPLKSRPAAG >OMO53329 pep supercontig:CCACVL1_1.0:contig15227:23217:31268:-1 gene:CCACVL1_28718 transcript:OMO53329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRNAVGYPPKPESPPKILEDLDKYIRPDIGFWTK >OMO53326 pep supercontig:CCACVL1_1.0:contig15227:4306:4815:-1 gene:CCACVL1_28715 transcript:OMO53326 gene_biotype:protein_coding transcript_biotype:protein_coding description:Barwin-related endoglucanase MSKPWLIIFIFLLIQTFSHLSYGDVGTAAHYSPPYIPTACFGNDASQFPSSNLFAAAGEGIWDNGASCGRQYLVRCISAARPGTCNPGETIQIRIVDRAETSVSRPSSNGATIVLSTTAFGAIANPSAASVNIEFQQ >OMO53328 pep supercontig:CCACVL1_1.0:contig15227:18525:22243:-1 gene:CCACVL1_28717 transcript:OMO53328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSIQTKSIPHKTFRNLTNEERQKIYEALLRESKDGLLPKGILTSLASSGPSMVAIALMFIVRPVGGCKQVRPSDEKSFLFTYSVGLILGIIYFGNKAA >OMO53327 pep supercontig:CCACVL1_1.0:contig15227:6610:16914:1 gene:CCACVL1_28716 transcript:OMO53327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLHMIVKPKKRIGIFVDCLKEALGSENLARSDETVKLQREAAMFFSVSKLCTLKGLNYESDKEIYEIPRMLKVMFSGLKSNSSCHVGIPTTQVVAGRVKEIILNDEWWDQVDYIVSFTAHIYEMPRIMDTDRPTLHLVYEMWDEMIEKARQVDIIGGSELELS >OMO53330 pep supercontig:CCACVL1_1.0:contig15227:35685:36251:-1 gene:CCACVL1_28719 transcript:OMO53330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCYGDETVKKHPEEAHSDAQATPAESAKSAAESVKYAAERVKSTAKMVKCAAEMVETEKQPVTAEVAEQPVEVGFKAFLEWFWGFIKKVTKKPL >OMP05661 pep supercontig:CCACVL1_1.0:contig05294:6339:8675:1 gene:CCACVL1_01855 transcript:OMP05661 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maf1 regulator MKFLEYTPLDRINDFLSELNLGERKHTGTDKRLSLSLENEILDYLGKSSDTDSSSPVESLLSRSSRKTLIYLVLTLYHMYPDYDFSAVKAHWFFTEESWDTFKQIFDTYMLEASEEWVETYGGSSLLETVYKALDEVVKLSECEIYSYNPDSDADPFLEKGAIWSFSFFFYNRKLKCVVSFNFSCLSNLVADGFLADKLCYEEDGEIFDNMDI >OMO59262 pep supercontig:CCACVL1_1.0:contig14023:922:1008:-1 gene:CCACVL1_24966 transcript:OMO59262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRLSSSRTLGEHAGISKTKLPWHIAK >OMO59329 pep supercontig:CCACVL1_1.0:contig14007:18533:24287:-1 gene:CCACVL1_24910 transcript:OMO59329 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative dimethylaniline monooxygenase MAFVGYIESVSNLHTTELRCKLLSKLAETCKLEEVLASLDSHLHLSSDEMWFAYLTFEELVDSDC >OMO96715 pep supercontig:CCACVL1_1.0:contig07391:1649:1987:1 gene:CCACVL1_04813 transcript:OMO96715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLCCILPKSMFLVLPYFTSPSLAQMFLHLKLSHQEPFANPPLTLVTANSSHNENVTVYNYGQFFVRKFLSHSQKTLNLIDKYLNQYRSSLSITAIRAFEEQHGFPLEFL >OMP04940 pep supercontig:CCACVL1_1.0:contig05560:1921:3235:-1 gene:CCACVL1_02091 transcript:OMP04940 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MASPNHNKLLCVLVLVVSCMLAVAPMATAARCSGIVCDLHGIVDGVITTAGNVVNGIIGGKNEPQKIKGTVVLTKKNFLDFNALASSAMDNVYELLGQKVTLQLISADTADPANGNGGKLGKAASLEKTWNPTSLLTSLLASDSTYGVSFEWDEGFGTPGAIIVRNNQASEFYLKTITLEDVPGAGRIHFVCNSWIYPDNQYKKPRIFFSNKLYLPHEMPAPLRKYRQEELEVLRGDGKTQLKTGDRVYDYATYNDLGNPDSNPGLARPILGGSVEHPYPRRGRTSRPPSRS >OMO92321 pep supercontig:CCACVL1_1.0:contig08237:22134:22193:-1 gene:CCACVL1_06881 transcript:OMO92321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGIRPFRHMTLLTIEYPKT >OMP12406 pep supercontig:CCACVL1_1.0:contig00010:419:827:1 gene:CCACVL1_00005 transcript:OMP12406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TDWLNPKGKPVKHLTPRYEPKKGSEEESQLIIYSLALRISSIHGLTQQLSTYF >OMO65473 pep supercontig:CCACVL1_1.0:contig12647:10272:10349:1 gene:CCACVL1_21521 transcript:OMO65473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFSGVCDIRRHYLAASVTSDATI >OMO65474 pep supercontig:CCACVL1_1.0:contig12647:65104:67005:1 gene:CCACVL1_21522 transcript:OMO65474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQPTSSSHKQSSINPLPLPLPSSQPNMLDPGSKFPISDVDFSDQTGRRLSAADGYDSHSYNNLHHIHHGVLNYESRVQKTAQNYANYRGFGAQASMVEDEDDESGDSTPPLWRSSPSRSPPSPHRQYRCLSPSSKAQAIARGQKELMEMVSRMPESCYELSLKDLVEHQYQHQPVNVVESRQESFAHGTNEESINKVKKKKKKQNNQKKPQINRSGSMDNGGFLLKMVFPISLGSKKTKKKKKNESNTNQSVKISPKPTLADSSGNNKTTVDKEWWKKRSESSESESGGSTMNSGSTRSSRSSSTSSSSSCRSITNTTTRTRNGKNGCLDFILSRRSKASR >OMO59665 pep supercontig:CCACVL1_1.0:contig13909:87316:91444:1 gene:CCACVL1_24693 transcript:OMO59665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase PRMT7 MSSDSSQRVFQLKLDPLTGNSEWVVIEDNDELQETSNEPLLATTSYLDMLNDSYRNKAFRLAIEKTVTKPCHVLDIGAGTGLLSMMAARAMGLNGKVTACEAYLPMAKLMRKVLHRNGMTKNINLINKRSDELEVGIDIPSRADVLVSEILDSELLGEGLIPTLQHAHDKLLVENPLTVPYRAVTYGQLVESSYLWKLHDLSGNEAEGSDSIHLVPTGLDTIIDVKSRQYPMHCDAIRKEIKLLSEPFKIFEFDFWKRPDSHGETEVQIKAIGDGNIHAVVSWWILQLDREGTVFYSTAPRWINSTANVGDRNWCDHWKQCVWLLPGKGMPVSKEEEVLLRATHTETSVSYNLNVQVPQTDRRQHDHRIGDLQLLLSPERIAAYGDSEWQLSTLAAVRNALQGKVNPLCVVADDSIFLTLLAANLSKTSHVIALFPGLRGKGAQYVRTVSKANGFSTDHVEVPEQRKACLTMYDTHGKKIDLLIGEPYYYGNEGMLPWQNLRFWKERTLLDPVLSENALVMPCKGILKACAMSLPDLWRSRRCLGELEGFEHSIVNTTLGACGELPALKEGPYLPFSIWQCGEIKELSETFTILEFDCSKPISSCYGKAQVQFNEHGICHGFVLWMDWVMDPENSIVASTGPDQRYWKQGVKLLAKPIAVGIHGSQSTSEFGSAVMEAFFDPSNSELIIKHIFS >OMO59651 pep supercontig:CCACVL1_1.0:contig13909:20455:23535:1 gene:CCACVL1_24679 transcript:OMO59651 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MGTRSNFYKNPSISYKKDLSLSSALQNLQAYNIATGNAPSPAELEAQPPLDNKSACKKRSRERKPLPPPDIGREIEEKDGHMSHQDYILKRRREICPSPGYEELSTDILRASSSNVNLVDYESDESSSSECKESQNPPDSGHLNQVDQVKSRIEQRFPLPGEPVCVVCGRYGEYICDKTDDDICSMECKSALLQSLQITEKSSSNQNPSLLSSELAYISPPPELGEDTWDYNNHRWSKKISNLCTYKCWKCQRPGHLAEDCLVAVGHSKVTSISRDLLELYRRCHQMGKNLSSASCNICRSSIGLATCLDCSTVFCDNAGHLNEHLRSHPSHQQYYSHKLKRLVKCCKSTCKVTEIKDLMVCHYCFDKAFDKFYDMYTATWKGAGLSIIWGSICCDDHFAWHRMNCLNADVEDWAYIINRNTQKEKHVQISDFIF >OMO59648 pep supercontig:CCACVL1_1.0:contig13909:11439:11678:1 gene:CCACVL1_24676 transcript:OMO59648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIKISLSVVAGTVGGTVAGTVLGAYLAQNYSLPNIKTLATTGKLIKTHLNEATYRNPEAQEQKQTQEISHNDARQDN >OMO59660 pep supercontig:CCACVL1_1.0:contig13909:44107:44556:-1 gene:CCACVL1_24688 transcript:OMO59660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKVLTRPISGRWIISPLESIRSYTVSFNNKPIENPFQISGPKASRTVTKDDEFGHHVRCDMPGVDCKVRVRVGNDPRVGDVDLLVISGWSVYDRREKNDEHLVQVLRSLPRRDYNFDQIKAKMKDGVLRVFVPFKLPPAAASSESD >OMO59652 pep supercontig:CCACVL1_1.0:contig13909:24514:25197:1 gene:CCACVL1_24680 transcript:OMO59652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHGGLNILPQKRWNVYNYENREKVRKDEEAAAKEEQLKREQARKRDAEFRLERLRTARGLAPLQKAEESGSAAEPEKAVESESNSGHINLFEGIKIFDPIKGLEEERKGAEKDGFKRKKLKKEEAPRVVAPEDEKYRLGYGIAGKGVKLPWYMEKRNTDVEEESGNDGQGRVQKDEGRKSGKKTIEELRAERLKREKQEKERERALLLEKKKSERTMNNGRFSRR >OMO59646 pep supercontig:CCACVL1_1.0:contig13909:370:2628:1 gene:CCACVL1_24674 transcript:OMO59646 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor GRAS LQAKGAVEIAGFASICQQDKWIKPQEANSFSFANSFYYNNEQEPTSVLHMRRSQSPPTSASTLSSSFNGGAAGAGGGGNSTDNTTTTTAATIAAPETPLPNNGKEEWATELQPIPSELDLVPGPGGGAQRCNLGLEDWETMLSEPAISPSQDHSLLRWIAGDVDDPSFGLKQLLQTGSTVPNQGLDFEGNAGLGVVDQGPGFDPIGSLGSSGPVNVISSVAPNLGGFPVSGFVQNPNNNNGNGVVNNHKVLGATVGLNTNIQNPVFTSPANNMGLPVSLPMLYHQQQQQGQFIESQEEKPQILNQNPNFFLPLPQEQHLLQPLPKRLNPGNLDLSSQISKHPFPQHMGFTQGLQFVPQQQKPLKKVLGPGEEMAQQHQFQLHQQQQATLFDLLYKAAELVGTGNFSHAQGILARLNHQLSPVGKPLQRAAFYFKEALQLLLLMNNNPVSPLPRSPTPFDVIFKMGAYKVFSEVSPVLQFVNFTCNQALLEALDDADQIHIVDFDIGFGAQWASFMQELPMRSRGAPSLRITAFASPSMHHPIELGLMRENLTQFANEIGVSFELEVLNFDCLDQSPYSLPMFRSNDNEAVAVNFPVWSSSSQPSCLPNLLRFVKQLSPKIVVSLDRGCDRIDLPFPQHVIHAFKSYINLLESLDAVNVTSDAVNKIERFLLVPRIESTVLGRLHAPEKMPLWKTLFSSAGFSPVSFSNFTETQAECVVKRAPVRGFHVEKRQASLVLCWQQRDLISASAWRC >OMO59656 pep supercontig:CCACVL1_1.0:contig13909:34309:35018:-1 gene:CCACVL1_24684 transcript:OMO59656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRFLARNAKVLLPKFSPFILPKGHRSLATLKIGGQTFEFGGNGRDDDLQAMVNHCEVLLVDLQHEINNKRLNPDMPGVDKKGAKVWLQNNYLYFSGEVVKEDPELGFADMDYSSKKYGGRFYLNPAFYQGDKMKAAIKNGVLRIAVPKVKLYGTFRLVDVEFE >OMO59662 pep supercontig:CCACVL1_1.0:contig13909:62243:67134:-1 gene:CCACVL1_24690 transcript:OMO59662 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major sperm protein MSTGDLLSIEPLELKFPFELKKQISCSLQLSNKTDNYVAFKVKTTNPKKYCVRPNTGVVLPRSTCDVIVTMQAQKEAPPDMQCKDKFLLQSVKTNDGVAAKDITAEMFNKEAGHVVEECKLRVVYVSPPQPPSPVPEGSEEGSSPRGSVSDNGHVNAADFATAAKAFNERLEAQDKSTEAKALITKLTEEKHNAIQLNNKLRQELELLKRGSGKSSAAEMASSIALRRVHVSTLFSKLANFSPLRAISAATPSVSRSFNTDAQVTNFNGEDRSVDVQRRSAPSLSRRSDYSPGFFSDTFDPFSPTRSLSQILNLMDEFMDHPFLSSPSMSSRRGWDVREDDNALYVRIEMPGLSKENVNISVDQNTLIIKGEGGKESEDDQESGRRYSSRLDLPPTTYKVDEIKAEMKNGVLKVIVPKVKEEERKDHVYQVTVE >OMO59667 pep supercontig:CCACVL1_1.0:contig13909:100740:101111:1 gene:CCACVL1_24695 transcript:OMO59667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHLLALAKLQFLSSSPTFTPLLAATLICPFLLKLSFTLGIVRRTYSDVIESTRLFFFQLRQIIAFEDDHDQPAPGGNGGGVPDRWQRALRLVCQRITLVRRSPAAVESDEDNFHTLTMLSL >OMO59655 pep supercontig:CCACVL1_1.0:contig13909:30380:33816:1 gene:CCACVL1_24683 transcript:OMO59655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGRIHAIFPVVTVATDVEAIADMLKVPLDAAGDSTPKAVSITKV >OMO59657 pep supercontig:CCACVL1_1.0:contig13909:36008:36593:-1 gene:CCACVL1_24685 transcript:OMO59657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRVFSRPKMLSQYLIRPIRSVHHVKVHADESHIAPPTHGHKCYPFLVKGCPKETRFAKTDERGQYLRIDMPGVKQEGLKLTLKGSTLFFEGDAPVDPEFGDFETTGRKYGGSFEFFPAENVDLDNIQCKISAGVLRLFVPHKNFEHEISGY >OMO59649 pep supercontig:CCACVL1_1.0:contig13909:12405:14432:1 gene:CCACVL1_24677 transcript:OMO59649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVLGAYVAQNYGIPNIKTLATSGKLITQGHHYEETHRKPEPEQQHRNRTVIVKLEPVLPFRKPKQEQHGNPTDTVIVQINPLHWNYWFNFNCSLHYGGHRVGRLLGSKLLSSKYQDSSNYRQVLDNPTHPYEETYRKPEQQEQPPTPVPVVIVNQPTPEPEQHRNRTVIVKLEPILPFQKPEHHGNDTDTVIVQISPMHWNLLFK >OMO59654 pep supercontig:CCACVL1_1.0:contig13909:29817:29993:-1 gene:CCACVL1_24682 transcript:OMO59654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPAKTKAPVSGIEIASYSDDPCQRQKASPQTPTSRLASPVKRSKYKVAQPYESTDG >OMO59669 pep supercontig:CCACVL1_1.0:contig13909:114778:117292:1 gene:CCACVL1_24697 transcript:OMO59669 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase, catalytic MESFTSFLFFYLCFFFVFTYFICPAPPLSLAAESSFVGNATANCTTNSTRHGHHWIGPIGHRRITVDLNGLGHFRSVQDAVDAVPENNRKNILIQISPGYYIEKVVVPATKPYITFQGAGRDVTFIEWHDRACDRGANGQQLPPAPMPGMQGWQAVAFRISGDKAYFSGCGFYGAQDTLCDDAGRHYFKECYIEGSIDFIFGNGRSMYKNCELHSIATRFGSIAAHDRNSPDEKTGFAFVNCTVTGTGPLYVGRAMGQYSRIVYAYTYFDDLVAHGGWDDWDHVSNKNKTVFFGVYKCWGPGAAAVRGVSWARELDFDTAHPFLAKSFVNGRHWIAPWDA >OMO59672 pep supercontig:CCACVL1_1.0:contig13909:128780:135459:-1 gene:CCACVL1_24700 transcript:OMO59672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPPFQVEDQTDEDFFDKLVNDDDDDNVGPTDPKSTEANDSDDARAFANLTIGEDFGGEAESDKEKEKVPVDGSPAPANVQAVDDGKDSLGSGNGLLESNNHGEEGAELEVGLDPNLSKDSGSINSGVKEIGWNSFYADSNENGVEGFGSYSDFFNEIGENSTGDFPGKLDENAKVGVLDQNSVNSYGQHNDGGQVYGASATGNGNEQDLNSSQYWENMYPGWKYDANTGQWYQVDGYEGSFQGTYQSSGGNGSGTTDVKAADVSYLQQTVQSVAGTMATVESSATESVANWNQASQVNNGYPEHMVFDPQYPGWYYDTIAQEWRTLESYNASVESSIQSNVLSHDQKNQNGLASSVGHSRSNSSTVYEEYGLGDNYGSQGLGSPGGHGNWGDSYGNYKSQVNMWQPGTVAKTEAVPNFTGNQQLNTSFGLNMSVNNHVNQSKSSYNSLQEVQSLSNANQVHTEANGVIGFRSFVPNENFNHQFNHTNLKQNEQMHFSNDFYGSQKSVNVAQQPFQSSQQFSYASNTGRSSAGRPPHALVTFGFGGKLIVMKDSSPLQNSSFGSQDSVGASISVLNLLEVVSGNTNGSGAAVVGCDYFRTLCQQSFPGPLVGGNVGSKELNKWVDDRIVNCESPDMDYRKGSVLRLLLSLLKIACQHYGKLRSPFGADTVLKETDTPESAVAKLFASSKRNDTPYGALTHCLQQLPSEGQLRATASEVQNLLVSGRKKEALQCAQEGQLWGPALVLASQLGNQFYVDTVKQMAIHQLVAGSPLRTLCLLIAGQPAEVFSTGTEVNSMDMSQQNAQLGANFMLDDWEENLAVITANRTKDDELVIIHLGDCLWKEKSEITAAHICYLIAEANFESYSDTARLCLIGSDHWKFPRTYASPEAIQRTELYEYSKVLGNSQFILLPFQPYKLIYAHMLAEVGKVSDSLKYCQAVLKSLKTGRSPEVETWKQLVSSLEERIRIHQQGGYAANLAPAKLVGKLLNFFDSTAHRVVGGLPPAVPSASNGYSQGNENYHQQTGPRVSSSQSTMAMSSLMSSASMEPISDWTGRRTADGKMTMHNRSVSEPDFGRTPRQEAGGATPQGKESSSGGASRFARFGFGSQLLQKTVGLVLRPRADKQAKLGEKNKFYYDEKLKRWVEEGAEPPAEEAALPPPPTTAAFQNGMSDYNLKSGMKSEGSPPNGSPKFRHPTPIEHASGIPPIPTSSNQFSARGRMGVRARYVDTFNQGGGSQANLFQSPAVPSVKPAVAANAKFFIPAPAATSEHTMEAIAETAQEENASNNNPTISNSNESFQSPTPISSMTMQRFPSMDHIARNGIVTNANGSSAHSRRTASWSAGNFSDALSPPSKPEIRPLGEALGIPPSAYMPGPTNGSFGDELHEVEL >OMO59653 pep supercontig:CCACVL1_1.0:contig13909:27955:28760:-1 gene:CCACVL1_24681 transcript:OMO59653 gene_biotype:protein_coding transcript_biotype:protein_coding description:heat shock protein 14.7 MASLLISLSRPKISVSGLSSLVGSFGHSLLKPKAAPLSFVSRSLYTISGRNQRFEDSDSDSSSDHDISHLLHLLREVLSLRDRMCQVVKEKPIPHELLLEFLRVLGWNLFVKEGNQAPHVWTEDEKNVYSRVEMPGVEQAGSKLWVDPDGHLQFLGVAEQEQEQELDPELGKVDAGRKFKLKNNFFSPLQYDVENIRYVIKNGVMWIVVPKLVPGLHLGMGQRTVTLTSS >OMO59663 pep supercontig:CCACVL1_1.0:contig13909:69929:72400:-1 gene:CCACVL1_24691 transcript:OMO59663 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellular retinaldehyde binding/alpha-tocopherol transport MNKSSEKYREIVIDKSCEESDDSPNRETPENLKGMNNSKTIHPPIENHFLLPPQVGEKPSSSMASTGLKSMLLYPLKVRDSLKRLGKSKTMEVILEGTHDPKDEQIVQSFRELLFLEGQLPAKHNDYHTLLRFLRMRDFDLLKAKEMFLNYLQWRKDYGVDTIHKEFKYIEFPEVKKCYPHGFHGVDRNGRPVYIERVGMVDLNALLQVTTIDRFVKYHVSEQEKTLNLRFPACSIAAKRHIASSTSILDVKGVGMSNFSKPARYLFMEIQKIDSCYYPETLNRLFIINAGSGFRMLWKVIRAFLDARTLAKIHVLGYNYYSNLIEVIDPSNLPAFLGGNCTCADYGGCLLSDKGPWNNPEITEMLQAVSATEETNNEGNGNLASIDSSLPEEENGENKEELGNGEEVMNDKFAPDKIMALEIALSDTNKKIDALEVALEDTKIVLKALAQHIKDLRV >OMO59666 pep supercontig:CCACVL1_1.0:contig13909:91917:96024:-1 gene:CCACVL1_24694 transcript:OMO59666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDNDAAGPPHTELYELLNLSPEASDEEIRKAYRQWAQVYHPDKYQASHMKEIATENFQRICQAYEILSDETKRQIYDIYGMEGVTSGLELGPNLTKVEEIKAELEKLKRRAQQEKMSAHFGTSGTIMANLSVPQFLEGDGIMGGMAMVTQVQSQLSKNNALAIGGNMQVNENSGGGVASAVFRHQISSASSIEFIGSTGLRGIIGVQTTRHLSSHSTATMTIAKSLQDGSINLSNAWTRQLSDTASGNIELILGPESAIGVGWQKKDEKMSAAGEVKIGTSSFGVQAHYNHRFSPKSHGLIAGRIGSTALEVEVGGGRKVSEFGTIRALYTIGIRGIFWKFEFHRGGQKLIVPILLSRDLNPVFATGAFAIPTSIYFILKKFLFKPYFLKREKQRALETAEMTATQVQKAREAAAKAQQLLENVANRKRNKQQETDGLVITKAVYGNSKALRKGYELGEANDEIASQVLDVTLPLNFLVNDSGQLKLHDGIKKSGIMGFCDPCPGEPKQLYVEYTYRGERFEVFVDDYEELIIPQMAHRI >OMO59661 pep supercontig:CCACVL1_1.0:contig13909:60954:61593:-1 gene:CCACVL1_24689 transcript:OMO59661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRLSGSPLFKNLVGRNVSSVERSVARSFSSKIPVSDPDEELCSINTYGGSMSRTVEFNLRHGAIDQIVENPWQLEGPKGTFEAKKVEEGLFVRVDMPGIGKNELQLWQDNDTVFIKGEGRKKSEHESSGRTYNGSIDLCANYFDLKKIKASIQNGVFRMLIPKKESDGRKKVNQIKLEH >OMO59650 pep supercontig:CCACVL1_1.0:contig13909:17328:19607:-1 gene:CCACVL1_24678 transcript:OMO59650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGCNIDGVLNEAKFSEPLPWIGIYIAAASLACAIAMAADAIHGFRHWKLWFPCKYFTINATSLTIITVAIKLSVDLNTPMPRGEDQLTKLSSTALICTIMGNSMPSLGTMENKEILTNIIALGILVITVIVNICIQLATGVIYVFCMEHAIIMFLMLVLLLVLSFTAFIVPTIKHHLELKYSKKYEIAEKECSKTCAKDRSVVDKLKEDLMKYWMMAHTCSPQFVMGRSVTCTASGALCLLSAATLAEAMVRYYLMPGSFRFCKGESDYKWSIKIILYAQAVAVGLGTIAPAIRWFIAINFRCPTRGRKMSHKKDYYRVEGYWTKRFVEMKGCPLDIKIRNPRCRRVIHDAKVKLLNLCIGIQTGIVLASKFIRFISIYFVSIVLLCYDQCRNCLMKCRPNNSIRNELGSDSASSSSSKLDLSRFVLYLEGEDTLVEIMMKENRDATDYWRQRANKRQPKHLMKLLELSRPSEGFKGLTEFDSFKVPSLDIEEAPNSWALSVVTLTGIAVALPNVNSSSIKHLIDGVSEGFWYVRHIEDNLDIQGNLTNMRKAADVVWLGVELYRKWLDVDLRKPSLQGTTPKEIMELLSDSAKNIFMEFKKTKVSCLLDSPSKWPAKVLAANCMYRISQSILIDYESRKFQTEEKLFEAITVMISDILAACLTNLQRFISIKCSTSSIEVREESVRHAVYVLGKTEKILNLLHQKELPGLSPGQMAHMDEWRSFHKLNSFLTETPLSPESESDSCFRSEVYLTID >OMO59664 pep supercontig:CCACVL1_1.0:contig13909:78147:79526:-1 gene:CCACVL1_24692 transcript:OMO59664 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MLFFPLTHSLSKTQFTTPHHLLRANSARSAARFRHTKHRHKQVSVPLSPGSDYTLSFTLGSPPSPAISLYLDTGSDLVWLPCSPFECILCESKPPLSPPLNLSSSATAVPCKSSACSAAHSSLPSSDLCAIARCPLDSIETSDCNSFPCPPFYYAYGDGSLIARLYRDSLTLPNSLHIQNFTFGCAHKTLAEPVGVAGFGFGHLSLPAQLSAVSPQLGNRFSYCLISHSFDSDKVRRPSPLILGRYEEKEKQFGDEIVEFVYTDMLSNPKHPYFYSVGLEGISVGKKNIPAPANLKRVDRKGSGGMVVDSGTTFTMLPASLYNSVVAEFDHRVGRNYERATEIEGTTGLSPCYYYDKVAKVPVISLHFVGNGSSVVLPRRNYFYEFMDGGDGVGKKRNVGCLMLMNGGDEEELSGGPGATLGNYQQQGFEVVYDLEERKVGFARRKCSSLWDSLNNKLI >OMO59668 pep supercontig:CCACVL1_1.0:contig13909:107399:112724:1 gene:CCACVL1_24696 transcript:OMO59668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MAWSGGEKRKEAFTIFLRVLLIFLVVVQTTAFRGGVQLKKKEHRNAYATMMYMGTPRDYEFYVATRVLIKSLVRHKVDADLIVIASLDVPLRWVRALEEEDGAKVVRVDNIENPYKKQQDFDKRFKLTLNKLYAWNLVDYERVVMLDADNLFLQKTDELFQCGQFCAVFINPCIFHTGLFVLQPSLEVFKDMIHQLESGFKNPDGADQGFIGAYFPDLLDQPMFHPPLNGTALNGQYRLPLGYQMDASYYYLRLKWRVPCGPNSVITFPGALWLKPWYWWSWPVLPLGIQWHETRRQTLGYAAEMPIVIVQSIIFLGIIVMTRLARPSISKLCYRNSDKTTSLMQIALKFIAIWSILAAYIVPFAIIPRTIHPLVGWTLYFLGSSALSSIAIHSFLLPAIPVFLPLIGIFGSLLVMAYPWYPNGVVRALAVFAYAFCYAPIVWASMVKVVARLHVSLEREQFFPKLGESSSSPPSGFNKLY >OMO59671 pep supercontig:CCACVL1_1.0:contig13909:123695:127756:1 gene:CCACVL1_24699 transcript:OMO59671 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartyl/Asparaginyl-tRNA synthetase, class IIb MAAAAAAAAAAFAPATPLRLKPYSTLRFLSFYSKNLTTARVFSPLPSRPFPLHSTVPRKRCFCSVISAALQSGERTTTEFPENKVGEFGNRIGEFRNKLKIADIKGGPDEGLDRVGQTLVAMGWVRTLRVQSSVTFLEVNDGSCLSNMQCVLSSDAEGYDQVESGLISTGASIWVQGTLVASQGAKQKVELKVDKVVLVGKSDPSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALSYATHKFFQENGFVWISSPIITASDCEGAGEQFCVTTLIPSSREAADSPVNAIPNTKDGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACATAYLQYVVRHVLDNCKEDMEFFNTWIEKGIISRLNDVAEKDFVQLTYTDAIELLLKAKKKFEFPVKWGCDLQSEHERYITEEAFNGCPVIIRDYPKDIKAFYMRQNDDGKTVAAMDLLVPRVGELIGGSQREERLEYLENRLDEMKLNKESFWWYLDLRRYGSVPHAGFGLGFERLVQFATGVENIRDAIPFPRTPGSAEF >OMO59658 pep supercontig:CCACVL1_1.0:contig13909:37627:38091:-1 gene:CCACVL1_24686 transcript:OMO59658 gene_biotype:protein_coding transcript_biotype:protein_coding description:small heat shock protein, chloroplastic-like protein MALSKVLTRPMRLSGLISRLGISPLQSIRSFHEDMVGSDEKPIENPFQISGPKTTHSVTKDDEFGHHVRYDMPGVDRKVRVMVGKDPRFGDQLDLLIISGWSVYDIREKNDEHLMQLILSLPPADYNFDHIKAKMKDGVLRVFVPFKVIPAASD >OMO59647 pep supercontig:CCACVL1_1.0:contig13909:3744:8988:-1 gene:CCACVL1_24675 transcript:OMO59647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTYPNKDQLIRYIEQPKEDL >OMO59659 pep supercontig:CCACVL1_1.0:contig13909:42158:42720:-1 gene:CCACVL1_24687 transcript:OMO59659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRIFSRTKMLRPVMINPIRSVHHVDVHADEAHIAPPTTQGHKCYPFLSSGSKETVFCKVYLGKGSYFRVDMPGVKQDGLKLTLKGATLFFEGTAHAEPEFDGFDDKSTERKFKGSVEYFPVENYSLDKIQSKISAGVLRVFVPFKNAGEFIIPGV >OMO59670 pep supercontig:CCACVL1_1.0:contig13909:118281:123174:-1 gene:CCACVL1_24698 transcript:OMO59670 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, U1-type MDPSSSTPESQKAPENHDQKQLPAQLTLSSYYYQQSQQNYSASTPPPPGYAFHQVSLQQNLEPSGGWVNAAPQTVDSAGTMAQVAPNPVAMAALVALSQLTQFAGNGTAVLQTNVGMAPLHNGSTLVPPPPAGVSPCGSSRRRGRKSFRGIGQEHNTQRFPQTGNHGTGPDSGGGCPQCFQQHGIGASSASQQEPPSENVKPTDKAKALACLPKEAAQTAVPPVASQSTTAKEKGPSRRRPAQLSWCEFCSVLCTSLEMFEEHKNGKKHKRKMQKTEESKSGIEKTNREETAAKPEMDLTPQPNRAEVGKEKKSIENLLEESVGGENSKEPDQKIQKINREKNAEANVEETPMIDCFDNKRSGMKMKMQVGQEGKNEKALEAPKPKVGPYKRKGAIPLMCDVCNERCSSQEVFNSHLSGKKHAARVKQSGPVGLQVLYPPNPIPQTLLLPQRNEHKPAISPRGSHPAPEADMPPQIHQATPATSGALDDFQNLNLTPPLQRGGGDGEAQKQESGSLPSGVQGLGMGLPDLKSKKKGKQKVSRESHVAEALDKLREQTRETVKGLESMSKPGGDDFGKDAMMEDWVKQFEELAGSQDMESIVETMMQQLLSKDILHEPMKEIGERYPQWLEDHKTSLSKEDYERYSHQYALIKELNGVYENDPNNFTRIVDLMQKMQECGQPPNDIVQELAPEFDLSTLGQL >OMO79642 pep supercontig:CCACVL1_1.0:contig10392:45671:48959:-1 gene:CCACVL1_13529 transcript:OMO79642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVLDCKLCFSTFLKGRILSTKQVKYPIFVGIK >OMO79640 pep supercontig:CCACVL1_1.0:contig10392:20828:38676:1 gene:CCACVL1_13527 transcript:OMO79640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPFPVRSSVEGLKVRASNLHYGLVVATACILLVGLFVLQHRGTYRVAFMFAPIVILWSLSIAAIGLYNILKWNPRVYEALSPYYIYIYFRETGRDGWVSLGGVLLCVTVLQYMGQAAFLSKNFNAVSTSFYASIPGLACISATLVTTLLTALVINFVWRQSLVLALLFVFFFCSIEIIFLSASCIKIPKGGLVPLILSVSFMLIMYVWHYGARKKYLYDLHNKVSMKWILTLGPSLGIVRVPGIGLIFTELVNGVPATFTHFLTNLPAFYQVVVFVCEKTVHVPYVPQKERFLIGRIGPKSFRMYRCIVRNGYKDVQKNDDDFENDLVMSVAEFIQLEAEGCGTLDGSVDGRLAVVRASEKFGKRLEISAPGSNGECSSSSPLTSLNSGKSHLLQYLQSTYELESPRFSLRRRVQFKLQDVKYKDPNVKEELLELVEAKHAGVAYVLGHSHIKAKWNAPFFKNFVINVAYSFLRKNCRGPAVVLNIPQTCLIERPILAPSLSKFRVPHRPGTFSLTRSSRILEFNPKLTKNKWKISCFRQEGLSPENPKSEYIEHFLPEELVEPEFDKSSSACKKDWKSTLQEAADAVLRAVGSRWTVPWTAETILQVMLLWVAAFWFIGSWMVPFAAHMAGFSKESLTFRGQALFSLVTDVTEGLAGIAILHRCLSQFHPLPSDWFKFSLRGKWIFDVVLGCLMFPVVNRLSQFNLNLLPLMPSTPVTLSSVEQSILARDPVAMALYAVVVSVCAPVWEEIVFRGFLLPSLTKYMPVWCAILGKWTGSGSGASTGITLANMEKELLRQIVDGRESQVTFDKFPYYLSGQTQALLTSAAYFHLKHGDVSKYTRNLSPASQAILLSGPAELYQQMLAKALAHYFECKLLLLDITEFSLKMQSKHGTGKESSFKRSPSESALERLSGLFGSFSLYTQEEPKGTLRRQSSGVDLRSTEAPPKLRRNASAAANINSLASQCSPANQAPLRRTSSLSFDEKLLIQALYKVLVYVSKATPIVLYLRDVDYLLSRSQRIYNLFQKMLAKLSGKVLILGSRVVDLSNDDREVDERLSALFPYNIEIRPPEDEKHLVSWKSQLEKDMKMIQAQDNRNHIMEVLSANDLDCDDLDSICVADTMALGKYIEEVVVSAISYHLMNNKEPEYRNGKLVISSKSLSHGLSIFQEGKSIGKDTLKMKAQPEKSKEAADSAGVKPESKSGGTNPEKKGETEKTAAATKTEGENPAPAPAPKAPEVPPDNEFEKRIRPEVIPANEIDVTFADIGSLEETKESLQELVMLPLRRPDLFQGGLLKPCRGILLFGPPGTGKTMLAKAIAREAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMAHWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSAANREKIFRTLLAKEKVEEGLNFTELSSMTEGYTGSDLKNLCTTAAYRPVRELIQQERLKDLERKQRAAEGQNTDDSSTKKDGAEERTISLRPLNMEDFRQAKNQVAASFSSEGAGMNELKQWNDLYGEGGSRKKQQLSYFL >OMO79636 pep supercontig:CCACVL1_1.0:contig10392:5290:7248:1 gene:CCACVL1_13523 transcript:OMO79636 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative transcription factor MDPRFSNLGFAANFSSNAFKSLGNSMQYGGAGVAYSMDTVLRLDSAGSSICYMPTSKGIKRKWSLMDGSVSEQVGSSLSLGLGRSPSSSDSKGSSTTACTTTSSAKEADEESSMDIELDFTLHLGNEKVSNPKKCASPSLKGLELQPKVDLELSLSTGASESDITSVHLSSSPIQSGMEMPIAVDKPPNADEGSTSCWKPGIALPPFQSLPAKERSSFFKEVPSSIDLCPIVPDLSCSVITTPKSSVTCTSGITQQQQPQHRSSSSKTCQVEGCGKGARGASGRCISHGGGRRCQKPGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHEGCTRAARGKSGLCIRHGGGKRCQKENCTKSAEGLSGLCISHGGGRRCQFLGCTKGAQGSTMFCKAHGGGKRCTYPGCTKGAEGSTPFCKGHGGGKRCAFQGGGVCTKSVHGGTNFCVAHGGGKRCAVPECTKSARGRTDFCVRHGGGKRCKIEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSEYGNQPSGPCNSFARGKTGLCALHSGLVQDKRVHGGATLGPIVQDPRLSNSEKMKEIVIAEDMNIDIMKMGSDIEASAGGTCSGLNRYGHPNARISVGEGGFTVFAPEGRVHGGSLLAMLRKQ >OMO79650 pep supercontig:CCACVL1_1.0:contig10392:73918:81201:-1 gene:CCACVL1_13537 transcript:OMO79650 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b245, heavy chain MRGASLPTHERRWASDTVPAKTTLSSTASPGTESNSAEEFVEVTLDLQDDDTIILRSVEPATVITVDDGADTPASASRSPTIKRSSSNNRLRQFSQELKAEAVAKAKQFSHELKAELRRKFSWGNGHSGFDSALAARALRKQRAQLDRTRSGAQKALRGLRFISNTKANAWEEVQNNFNKLAKDGFLFRSDFAQCIGMKDSKEFALEMFDALSRRRRLKVEKICKDELYEYWSQITDQSFDSRLQIFFDMVDKNEDGRITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLSYSQALSYTSQALSQNLQGLRKKSRIRRMSSKFVYYLEENWKRIWVISLWIMIMIGLFTWKFFQYKQKKAFEVMGYCLLTAKGAAETLKFNMALILLPVCRNTITWLRSTKLSHFVPFDDNINFHKTIAAAIVIGVILHAGNHLACDFPRLINSSKSKYDDDLSNDFGSLKPTYIDLVKGIEGVTGILMLICMIIAFTLATRWFRRNLIKLPKPFDRITGFNAFWYSHHLFVIVYVLLVIHGVFLYLVHDWYRKTTWMYLAVPVLLYAGERTLRFFRSGFYTVRLLKVAIYPGSVLTLQMSKPPQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQALRKLFSEVCEPPVAGKSGLLRADETTKKSLPKLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEQADLVSDTSRTSDLSIGSNDSNSSKVSPKRKKTLKTTNAYFYWVTREQGSFDWFKGVMNEVADLDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTRVRTHFARPKWKNVLSKLSSKHCNARIGVFYCGAPVLAKELSKLCYEFNQKGSTKFEFHKEHF >OMO79652 pep supercontig:CCACVL1_1.0:contig10392:98545:99286:1 gene:CCACVL1_13539 transcript:OMO79652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFLKSLSRSVHHQEHQEQPDYFKLGLCKSLTVWRKSLIVSCNGFTVIDSDGNLVYRVDNYKGRPKEIILMDSSGKSILTMQRSKNQLRLVDSWLVYGGEVGDYCCTSEKPIFYVKKSINILNANPNVLAYVYRRSSDKNYAYMIEGSYSNRSCKVVDEKNRVVAEIRRKAAIIGGISFGLEVFLLIVQPGFDPGFAMALVLLLDQMFS >OMO79637 pep supercontig:CCACVL1_1.0:contig10392:8405:11220:-1 gene:CCACVL1_13524 transcript:OMO79637 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter MNGKKDCVEINIPAATKAKLAPDDSAKSSFQGFSCKAWIWKVWEFCKEDSNRVIFAFKVGLAVLLVSLLILVREPYEIFGTNIIWSILTVAIMFEYTVGATFNRGFNRALGSLLAGILAIAVAQVALHSGRVAEPILIGTSIFLIGAITSFIKLWPSFVPYEYGFRVILFTYCLIIVSGYRMGNPIRTAMDRLYSIAIGGFVAVFVNVLVFPIWAGEQLHKELVNSFNSLADALEECVKKYLEDEGLDHQEFSKTVMDEFPDEPAYRKCRSTLNSSAKLESLANAAKWEPPHGRFQHFFYPWSEYVKVGAVLRYCAYEVMALHGVLHSEIQAPYNLRITFKSEIQDATNQAAELVRSLAKDICNMKRSLKTSLLKRVHSSTERLQRAIDMHSYLLTAHSDPPDNSSKQLPKLSHAPSSTLFDPDQMDDDLDSTNLEKSTNQTESYHEMMRKQSRRLHSWPSREVDAFEEEGVFSTDFLPRMKALESTAALSLANFTSLLIEFVVRLDHLVEAVDELSKMAKFKLEGL >OMO79639 pep supercontig:CCACVL1_1.0:contig10392:15427:19486:1 gene:CCACVL1_13526 transcript:OMO79639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNGLPTADNQFRPDTNSGGGPHNGINVKPGPSPPRPQQPSTHLHPSNHRLASPATPPIGRVLGRPMEDVRSTYVFGRELGRGQFGVTYLVTHKETKEQFACKSIATRKLINRDDIEDVRREVQIMYHLTGHRNIVELKGAYEDRHSVNLIMELCAGGELFDRIIAKGHYSEREAAKLCRQIVTVVHNCHTMGVMHRDLKPENFLFLSQDEDSPLKATDFGLSVFFKPDHPWMREDGDASDKPLDIAVLSRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSMDTDNSGTITYEELKAGLPKLGTRLSESEVRQLMEAADVDGNGAIDYIEFITATMHMNRMEREDHLYTAFQYFDKDNSGYITMEELEQALKKYNMGDEKTIKEIIAEVDTDRDGRINYDEFVAMMRKGNPDFVGNRRRK >OMO79641 pep supercontig:CCACVL1_1.0:contig10392:39896:41503:-1 gene:CCACVL1_13528 transcript:OMO79641 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon MAEHEEKKHEESLLDKIADKIHNHDSSSSSDSDDDKKHHHSESSIKAKVFRLFGREKPVHQVFGGGKPADIFLWRNKKISAGALGVATVIWVLFECLDYHLLTLVCHLSILALAILFLWSNATTFINKSPPRIPEVQIPKEPVLEFAQALRYEINRAFAVLRDIASGRDLKKFLSVIAGLWVLSIVGSWCNFLTLFYIVFVLLHTVPVLYEKYEDKVDPIAEKAFHEFKKQWVVFDAKVLSKIPRGPLKDKKKD >OMO79646 pep supercontig:CCACVL1_1.0:contig10392:58305:59356:1 gene:CCACVL1_13533 transcript:OMO79646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSVMKQFISGCLLLFMIFKIFNLPLNLTRLFKSNNPELMLLLKFVVFGILSELTLTVTFNLSVALAWALPVGIFISPTAAEPHQTLPVVFSNLNSPKLEFSILTWVTIVKLKKDGADEFPIFPYLRFLTVIDPISTSGVLGRKTTVAKIKKTAPRITKVPVAWPASLLSY >OMO79653 pep supercontig:CCACVL1_1.0:contig10392:100041:100730:-1 gene:CCACVL1_13540 transcript:OMO79653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILMYSSRTGQWDSEKSVVRSPDLFLVAHNVPGIESGGIMLWWAYHFIAAYDHKKAKDKLWLIQSPYAPLHDDDYLYPICTMLADGRLQCGVDDYSTLYIFVLKEKLHSYSYSNVVPFQEWEAKMAMPYSLWPNLFNGYIDIAEASYRLVAFHPHNPNIIYRRYGSAVYQFEVVNVDIYDYDPYVEHGLEFHVAMQHVSFSWTAPSYFFPVLLPYVHPLWPPSPSPSN >OMO79654 pep supercontig:CCACVL1_1.0:contig10392:104505:104867:1 gene:CCACVL1_13541 transcript:OMO79654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFPRRPLPSLSLTLPTQPAPFVISPSLLPPAPGLAPPPIYHLPPFFPVIRIDPCRVTGVFHPNYPMLPPPIMPQPPINRPAPPNVGAAPPPGSPPAVLFPSSAATFGSGGVPTSDDEE >OMO79643 pep supercontig:CCACVL1_1.0:contig10392:50100:51364:1 gene:CCACVL1_13530 transcript:OMO79643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKQRKVKGLIKETMLKMKKVRIKTTTLTQNLMMFARMVKGNSISRFPLALATTVEEVPIKSLFSRDNSKSRKQQNAEDSVSDEKKFTKDVMQKYLKKVKPLYVRVSRRYGEKLRFSGQLSLGSLKPATPPSTATEKPVSGKVTTAEKGPVEVEVTETQVNGKTLKQVNIPAGLRVVCKHLGKSRSASSAVAAAPPAPASSQRRDDSLLQQQDGIQSAILHCKRSFNASRDSSDSSVLPRSVSDPSHEKSINLSRKSSSPDETKG >OMO79651 pep supercontig:CCACVL1_1.0:contig10392:83630:85057:1 gene:CCACVL1_13538 transcript:OMO79651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPVLSSTSSAALSSSSSSGHTTTSSLGFSLSTSNAATTNFNHPVQVRLDRNNFLLWRSQLLPVIRGHNLVGFIDGTLSCPSQTILNTSTDGSASSETPSLVQNPQFAAWQRQDQLLLGWILSSLTEPVLAQVVGCTTSHSLWTSLEKLYANQSRNRIMQLRLELQTTKKKNLSISDYLQKLKTVVDNLAIADHPVSDQDLILQILAGLGPEYDSLVVSVSTRSETVTMDDLHSLLLGYEYRLEQHTLAEPITANIATKTNSSSSRPPHNQERGRGSFRSRGRGRGRSNTSFNSNASTGNFTNRPQCQVCSKFGHSALDCFHRFDHGYQSTQTTNSSALMASSSTVTQDDDWYPNSGASNHLTADLSNMSIHSEYQGHDRVKVGNGTGLPIKHVGSSKLPSLNRPLYLNNVLHVPSITKNLISVSQFASDNNVYFEFHSSHCFVKDLFSGQVLLKGVLDNGLYRLVPIPSSQI >OMO79645 pep supercontig:CCACVL1_1.0:contig10392:55981:56655:-1 gene:CCACVL1_13532 transcript:OMO79645 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MAMGDREQVKPLAPAAFQSRSDDEEALSYKQLRLKNRKFVIQCCGCVAAFVLILAVVILVLFFTVFRIHDPKIWFNSATILQPPEFFPNGSLRTDVNVTLLADVSVKNPNAASFKFNNSTTLIYYGGRVVGEGVHLQGKAEARRTLRRNVTVNIIPEKVLEVPSAVADFASGALNISGYSRISGRVKILNIIKKNVVVKFNCSMTYRISTQDFVGERCRPELEM >OMO79648 pep supercontig:CCACVL1_1.0:contig10392:69213:69773:-1 gene:CCACVL1_13535 transcript:OMO79648 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MKGEGKRNNAKCLAYVAAFIVFQTAVILVFALTVMRIKSPKVRFGAVAVESFSSGSSSNSPSFEMKLVAQVTVKNTNFGHFKYENSTVTIFYGGMPVGEAVIEKGRARARQTKKININIDISSSRLSSNSNLGNDMNAGVLPLSSQAKLSGKVHLLKVIKKKKSGEMSCTMGINLATRSVQDLKCK >OMO79638 pep supercontig:CCACVL1_1.0:contig10392:12404:14885:1 gene:CCACVL1_13525 transcript:OMO79638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTSSPKTGNTKSSNPRPGFGSDTTFLA >OMO79644 pep supercontig:CCACVL1_1.0:contig10392:52047:52112:-1 gene:CCACVL1_13531 transcript:OMO79644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGKCSFLSFLPFKLAAAAA >OMO79649 pep supercontig:CCACVL1_1.0:contig10392:71498:72055:-1 gene:CCACVL1_13536 transcript:OMO79649 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MKGEGKRSRGRCLAYVAAFIVFQTAIILAFALTVMRIKTPKVRFGAVSVENFSTGNGSSPFFDMRLMAQVTVKNTNFGHFKYENSTIKILYGGMEVGEVAVVKARARARQTKKFDVTIDISSANLSSNSNLGNDIATGVLPLSSEAKLSGKVHLLKVIKKKKSAGMSCTMGINLGTRAVQDLKCK >OMO79655 pep supercontig:CCACVL1_1.0:contig10392:106187:107637:1 gene:CCACVL1_13542 transcript:OMO79655 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD-dependent epimerase/dehydratase MESLRKFKASSMNFKQDKAGGAGFIGYWLVKKLLEKGYTVHATLRDLEDKSKVGLLKSLPGAEIRLVLFEADIYIYIYNPHQFEKAIQGCEFVFHVATPLQHDTQSSQPGFDPGFSMALVLLLDQMFS >OMO79656 pep supercontig:CCACVL1_1.0:contig10392:108416:109699:-1 gene:CCACVL1_13543 transcript:OMO79656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALQVYDILIEILLLLPAKSVFRFKAVSKVWNLWLSDPYFRDRFLLRFEPSASSSSSNLPVLGFFEICTMKYSDLKQIGYLSRPAFLPTSEQGRVMPKGHRRRGFYLGISNGLILCGYHPEKYYVCNPITNQCFPLPQPYNNNPSIEDSTSNVNVFLAISYDINDGSYIVFRADQSKLEHQNFMNILMYSSRTGQWDGEKCVVRSPDLFLIATTVPGIESGGIMLWWAHHLIAAYDHKKAKDKLWLIQSPYAPLHDEDYLYPICTMLPDGRLQCGVDDYFMLYIYVLKEKLHSYSYSNVVPIEEWEPKLAISYDEHGSNLFNGCIDSAEVHFRLVAFHPHNPNILYRRYGSAVYQFDVINTELMQAPGIYGYVGNAQIDQEAIILPSVVAMQPVSFSWTAPSYLFPMLLPHVHPIWPPSPSPSPSN >OMO79647 pep supercontig:CCACVL1_1.0:contig10392:63590:64246:-1 gene:CCACVL1_13534 transcript:OMO79647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVDKNQQVYPLAPAHEHPRSDEESANLQAKELKRKKRIKCGIYIAAFAVFQTAVILAFALTVMRVKNPKVRIGKLISIESMETNNTGSGVASFDLKFIAQVTVKNTNFGHYKFDNATMNFLYDGVIVGEAVIPKARARARSTKKLDVTVEVNSRALTSTTALGSELSSSMLTLNSQAKLKGKVELLKVMKKKKSPEMNCTIVFNVSTKSLQDLKCK >OMO95323 pep supercontig:CCACVL1_1.0:contig07697:1358:2140:1 gene:CCACVL1_05433 transcript:OMO95323 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease accessory protein UreF MDDSLEAEGLSPMEEDLVETDRKRKRNASIDSTLQWSQWQLLDSILPTGGFAHSFGLEAAIQARLVSGPDDLKSYIIDLLDNTGSLLLPFVHSSTMNPTLENWQKLDRILDATLTNEVGRKASISQGSSLMRVAAAVFTEIPSLKSMRDVCLGSGSRSGVVCFHHAPVFGLTCGLVGIDSRSSQRGFMFVTMRDVISAATRLNIVGPMGAAILQHQMAPVAEALSQKWMDRPLEDASQTAPLLDTIQGSHAYLFSRLFCS >OMO95325 pep supercontig:CCACVL1_1.0:contig07697:3852:7652:1 gene:CCACVL1_05435 transcript:OMO95325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPVEPVDPGPGGLNGPRAGPGFPFWDNGRPGGGTSRASPF >OMO95324 pep supercontig:CCACVL1_1.0:contig07697:2907:3119:-1 gene:CCACVL1_05434 transcript:OMO95324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVERLAQVGEEIQHVENDLRECQRLLTMFWGHLRPANPAVVGDRMRATDQRIRGLERRLQMLFVFCYS >OMO95322 pep supercontig:CCACVL1_1.0:contig07697:160:838:-1 gene:CCACVL1_05432 transcript:OMO95322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKETEYYDVLGVIPSASEEEIRKAYYLKARQVHPDKNPNDPHAAERFQVLGEAYQVLSDSVQRDAYDRNGKYSIS >OMO91171 pep supercontig:CCACVL1_1.0:contig08375:18401:21700:1 gene:CCACVL1_07201 transcript:OMO91171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCNACVRPDDSPESKPNRSDQNHRGKKKAREKKPNPYADKVPSPAPIRVLKDVIPLSHRTRIGDKYILGRELGRGEFGITYLCTDRETREALACKSISKRKLRTAVDIEDVRREVAIMSTLPEHPNIVKLKATYEDNENVHLVMELCEGGELFDRIVARGHYTERAAANVAKTIAEVVRMCHENGVMHRDLKPENFLFANKKEHAPLKAIDFETEQGVALAILRGVIDFKREPWPQVSESAKSLVRQMLEPDPKKRLTAQQVLEHPWLHNAKKASNVPLGDIVRTRLKQFSVMNRFKKKALRVIAEHLSVEEVEVIRDMFTLMDTDNDGKVSYEELKAGLRKVGSQLAEPEIKMLMEVV >OMO91170 pep supercontig:CCACVL1_1.0:contig08375:6356:17986:1 gene:CCACVL1_07200 transcript:OMO91170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFALRKAYRWQESFTRKVDGPRSEAPDLNKIVCNFN >OMP03805 pep supercontig:CCACVL1_1.0:contig05969:2408:3937:-1 gene:CCACVL1_02256 transcript:OMP03805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMEEVRVYESVTVFFYLSSGRATSARSFVRIFASLARHRVADNISILGSESYPKAMAEHKGVGEIAYLLSL >OMP03804 pep supercontig:CCACVL1_1.0:contig05969:902:1039:1 gene:CCACVL1_02255 transcript:OMP03804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNYRKQVLVPCQPTRVTFSTMGTYWLQAKSPPKQLKSRAEYTD >OMO58700 pep supercontig:CCACVL1_1.0:contig14186:2361:2804:-1 gene:CCACVL1_25413 transcript:OMO58700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFPSLIYRNPKRSSRQSSKYLGVRRRPWGRYAAEIRNPNTKERHWLGTFDTAEEAAIAYDLSSISFSGIERARTNFYYPFLILPSPPPSTPPPLPTTPELEGQGGHDQTFKEINPNIISEDDESLVIATILQSFAQSANCSNFCP >OMO85307 pep supercontig:CCACVL1_1.0:contig09639:14374:14922:1 gene:CCACVL1_10286 transcript:OMO85307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MSSMEILAPNPSNNTKPSDPEKHVANGENYDDDDEISPIEQVRLTVTNTDDPTLPVWTFRMWFLGLLSCALLSFLNQFFSYRTEPLIITQITVQIATLPVGHFMASVLPKTRFKIPGFGSRSFSLNPGAFNMKEHVLICIFANAGSAFGNGSAYAVGIVNIIKAFYGRSISFLAGWLLIITTQ >OMO85306 pep supercontig:CCACVL1_1.0:contig09639:12764:13649:1 gene:CCACVL1_10285 transcript:OMO85306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oligopeptide transporter OPT superfamily MGSLEIQTPNPTTTLDPEKHAINGENAGGDADDLSPVEEVRLTVANTDDPTLPVWTFRMWFLGLISCALLSFLNQFFVYRTEPLVISQITVQVASLPIGQFMAKVLPRKRFGLPGLGSRKFSLNPGPFNMKEHVLITIFANAGSAFGSGSAYAVGIVTIIKAFYGRQISFLASWLLIITTQD >OMO54954 pep supercontig:CCACVL1_1.0:contig14843:2274:3433:1 gene:CCACVL1_27451 transcript:OMO54954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTLNLCHLLRHLVNSHTVCRNSENSSAMRGQSNGSGVRGFASPDRRARYK >OMO54955 pep supercontig:CCACVL1_1.0:contig14843:3770:4107:-1 gene:CCACVL1_27452 transcript:OMO54955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRTGRVMKPKGPQDEDPETPHIQTIVSVLGSDESAKEALIYSYTAAYGASPPTSRLSMMQRYQVSAPICVLQNVQRYK >OMO88620 pep supercontig:CCACVL1_1.0:contig08983:17623:21801:-1 gene:CCACVL1_08296 transcript:OMO88620 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MMGSNREYICQVGGSIHEYQNEGFIRRSNSFFFHGGSEGLYASKVNIDSDKTSSSSLEENHLNGKSFIRFESITFRRTKESAQKKNEMQQKTGLVEAIIVEVKDREKIGGSYLHSPAICCTPELSKDGSCKLGEVIIHQNPDNPSSPQRLQTFFEGQDEEATMVLQTVEINRTGMYYLYFMFCDPELTGMLISGRTVWRNPEGYLPGKMSPLMTFYGLMSLAYLVLGVVWFLWFVQYWKDIIQLHYHITAVIGLGMCEMALWYFEYANFNATGSRPMGITLWAVTFSAVKKTVSRLLLLVVSMGYGVVRPTLGGITFKVLLLGLTYFVFSEALLLVENLGNIDDLTGKARLFLVLPVSLLDACFIVWIFSALSQTLEKLQIRRSMAKFALYRKFTNSLATSVLLSIVWIGYELYFNAADPLSELWQRAWIIPAFWNLLAFVLLIVICILWAPSNNPTRYAYSEETGDDIEEEGISLTASTVILAGESVTKTELKERKVSSADLFGLVEDLEEDKRE >OMO88619 pep supercontig:CCACVL1_1.0:contig08983:13499:16366:-1 gene:CCACVL1_08295 transcript:OMO88619 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel MscS MEPLRKSLKSYRFNAKQQQTPEEQPLLSQAKDSQMEQSFDACKQREGEIAVNIDSNNVAAGKENGNTSKKSKVSFHEVLTEAVRQKGKDFSGLQGTRQPSFGSGGGQFLRCNSNEYLRENSWRQLLNKTKSRLLDPLEDRDHRMNSEEEFKEENHDEEDMEDNPDEFKMLKFGVLTMLQWLSLVLIIAALVCSVSIPGLRRITLWDLHLWKWEIMVLALICGRLVSGWAIRLVVIFIERNFLLRKRVLYFVYGLRRAVQNSLWLGLVLLVWHWIFDDKVQEETNSKVLPYVTKILVCLLVATLIWLVKTLLVKVLASSFHVNAFFDRIQEALFNQYVLEMLSGPPLFEPEEEETDTESDQVENNINLPEWTEPETGSKQQQKPTMDENGPKLSRTMSKRKDEDIRIDHLRKLNQKNISAWNMRRMINMISHGHLATLDEQILNSDMGDESSVQIRSECQANEAAKKIFHKVAKPDAEYIYLADIMRFMGHDEALKALHLFGAGSEEDGISKAALNNWLVNAFRDRKALALSLNDTKTAVDELHNMLNIVVAIVIAIIWLIILGIPVTHFLVFISSQLLLVVFIFGNTCKTVFEAIIFLFIMHPFDVGDRCEVDGVQMIVEEMNILTTVFIRSDGQKIIYPNSVLSTKPIGNFYRSPDMVETIEFCVHVSTPSEKIAIMKERIIRYIKSREHHWHSNPLLVLTDVDDMNKLKFSISTKHRMNYQNMPERLIRRGHLFEETIKILKDLNIEYRLLPLDVNVRNMPTLVSHRLPSNWSACAA >OMO88618 pep supercontig:CCACVL1_1.0:contig08983:3088:10498:1 gene:CCACVL1_08294 transcript:OMO88618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKISNTKSKNKESEVFASCSFSSLGLQSTLCDQLRERLGFEAPTLVQAQAIPVILSGRHVLVNAETGSGKTIAYLAPIIHHLQGYSPRIERAHGTFALVLVPTRELCMQVYEILQKLLHRFHWIVPGYVMGGENRNKEKARLRKGISILIATPGRLLDHLKHTSSFVHKNLRWIIFDEADRILELGFGKDIEEILDLLGSRTDDSAVKRTSSETQRQNLLLSATLNEKVNHLAKISLEHPIMIGLDNTKMQPDSSLNRTGSLGSDEDEELDNSSGDYKLPSQLVQRYVKVPCGSRLAILLSILKHLFEREASYKIVVFFSTCDAVDFHYTLLSAFQWSPYSQFEAENKEIFLKCKTFRLHGNMKQEDRRTTFSAFKTEKSALLLSTDVAARGLDFPKVRCIIQYDSPGEATEYVHRVGRTARIGERGESLLFLQPIEVDYLKDLEKHGVSLTEYPLPKILDSFPLHGQTRHVKKFVSLESHPWVVSLQKELESYILAEPKIKKLAKDAFCSWVRAYTAHRGELKSIFMRAAATMQKCTQLYAFHANSQILKAPIFPQL >OMO88621 pep supercontig:CCACVL1_1.0:contig08983:22817:27718:1 gene:CCACVL1_08297 transcript:OMO88621 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP/ATP carrier protein MIELISRRRVDAFISIFVIVHPHEIPALLHSSSCFFFILCAYFVVLPLRDEGAISLGLSHLPGLFIGSLLLTLIAAPVSTLIFSLPNLSKVKALVLIHRFFSVSLVVFFILWHTSSTQSLQSQSKDSVAFSTELKVNIDQASPSPADSIGWGNHSWFYVSVRIGLFLWIALLNLITISSTWARVIDIMDNESGSRLFGFIGAGATLGQLFGSLFATGMAWLGSFLLLFAAILMELAARSSIGISKNIGHLPEELSPIRLEKPICMRVEENDADDRQAGSTLKVSSPKSNTSTVKPQLSAILDGLRLILSSTYLLHVSLFLWLSAVVSSFFYFQKVNVIAMTVSSAVGRRRLFAQINSFIAVFILTGQLTLTGRILTVAGVTIALCFTPFVAFSNLVAVAIWPTWIVVGISETLRKVVNYVVTKPGRELLFTVVSEDEKYKAKICIDVIVQRLGDATAAGIYKLLFSILNGRISTVSLYTLPVCLLWIVTAFYLGRRQTQLAKLQMGPSF >OMO86001 pep supercontig:CCACVL1_1.0:contig09550:1728:13243:-1 gene:CCACVL1_09876 transcript:OMO86001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHEKSQESSSNNHPNPSVFMNISILVVDDDATSLAIVSAMLREWRYEVATAQSPIEALSILRARPGHFDLVVTDLHMPEMNGIELQQQINRESPDIPVIIMSSDDQESVMLHSLACGAVFYIVKPVNPEDLKNVWQYAIAARKGKQVVVEDVGSIQFEQGESSSSAGKKSTRRPRRSLPPGNGTDHHDKNKDKEKDKDKRGAKRKAPRNNDDDDDDDDETGSAPKKAKVIWTNTLHNQFLDALRRIGMDKAVPKKILEHMNTPGITRENVASHLQKYRMFLKRVAERTNYSSKAFVERVLRSSFAAGHPTLLQAAEEYARLEELQRLRGLTFHPGYGGTALSPHHRNANAANNGSMLFGNQNNIASSSNSSRHQHGYGQSRLLGRQATTNNRRSVSGNSQGNRVGYANGSNISSLNGGNFSGNGLMNGANPMQSYQNQFQSFPNYYNDAGASSSVFRFGANNNYSSNPNMQGTGNFGTFTFGATPYPTLNTGSTPNNNNGYVGFNGMGQSQTLFGNGGYSSMNGPFNYGNVNVAAMGNQTFNPMAQGSGSSSFGSYGSNQASPTYAAANQQTNTSMFPSLANNGGASLYNSVNLMNNNGGPSQYQNSVQQMNNASSSSFDNIIGDGYLSDLLLESRNNQLQQTGENGVQNPELSSSSTFPDIYPTLEDLLNIEFLESLSLEETSPRTRRNQTTSAQARQQSEVEQLADSDLSNLFQMNNASSSGYAPAAASGSASGNDPLNNQACLPSVKIGETSISSIPCSALTAQVHDLQALQFEPQSDGLNNFLQEQYYLDNVAVADLDFSNQGKMRPIFCGNFEYDARQSDLERLFRKYGKVERVDMKSGFAFIYMEDERDADDAIRALDRTEFGRKGRRLRVEWTKHERGIRRPGGSGGGGGGSSGGGGGSRRSSTNSRPSKTLFVINFDPYSTRTRDLERHFESYGKIVSVRIRRNFAFVQYDSQDDATRALEATNMSKLMDRVISVEYAVRDDDDRRNGYSPERGRDRSPERGRDRRRSPSPYRRERGSPDYGRGSSRSPYNRKERASPDYGRGRSPSPYKRRDRASPEYGRPTSRSRSPHRRDRAGTDNARGSSRSPYRKDKASPENGRGPSRSPYRREKCSAENDRSPSQSPYKRERPSSDNGRGPSHSPYGRDRASPENGRGTSPGPVPDGRDSPYGGGAESPVNERYGSQSPAGEE >OMO97066 pep supercontig:CCACVL1_1.0:contig07303:6596:6676:1 gene:CCACVL1_04668 transcript:OMO97066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEGMRKSCGDRRKLAEAKVTLITPRN >OMO86250 pep supercontig:CCACVL1_1.0:contig09512:5896:6396:1 gene:CCACVL1_09690 transcript:OMO86250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVTEEIKASASEIYHGDEICQEKSRFLLGEVGMPRGLLPLRDIEEVGYVKETGFVWLKQKKSITHKFDKIGKLVSYATEVTAVVEKNKIKRLTGVKTKELLIWITLSDIYVDDPPTGKITFKTPAGLSRSFPVSAFEIEGEEQVAKEKKEEDKEVNGAVQVKEV >OMO86252 pep supercontig:CCACVL1_1.0:contig09512:22633:22854:-1 gene:CCACVL1_09692 transcript:OMO86252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNLQTPRNYFSLPKLNLQKHIAVKQKATTAPTTEDPLPPHLQPGSEVEISSNDSDFRGSWFTGTIINRASQSS >OMO86251 pep supercontig:CCACVL1_1.0:contig09512:9783:16148:-1 gene:CCACVL1_09691 transcript:OMO86251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRKATTAPTTEEPLPPHLQPGSEVEISSNDPGFRGSWFTGTIIKRAPQSARKNSNSNSNSAANKFVVQYTHLFEDEAGTMPLQETINAADLRPLAPRERARKFKFGEEVDAYHDDGWWEGVITKELENGKFDVYFKGSKEQLEFGEDQLRLHREWANGSWDPPLEEEEQEVKGKFETGKAGNGDKLESGKIPTEQIFKEGARVEVSSNEEGFEGAWFTGTIVNAVGKDRYLVQYESLRNEDDTDFLREENNTLQIRPSPPIIDVARFKKHQEVDALYNDGWWVGVISRVLSNSKYIVYFRNTKEKLTFKHSDLRLHQDWIDGKWVAASQEVQSEKEVKSTLEKTKEKPLDDNAASEGKLETRSKRERSLQSKSLLRENMLRYLLMTSCPNLVGMQQLLLKQSIEKDKYVVEYAPNEDPETYWEFDLPQDPVEKSLIRPIPPPKPDAEFEKD >OMO52063 pep supercontig:CCACVL1_1.0:contig15632:5132:6213:-1 gene:CCACVL1_29378 transcript:OMO52063 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MEQELSDSDSDDEDEGSKKKGFMGDSYQFDDDERREWRDKIREVIYKHPEIQEELDPVEKLNKMQKLMADYPLVVDEDDPDWPEDADGWGFNLGQFFDKITIKNAKKDNDDDNYDSENEVVWQDDDYIRPIKHIKTAQWEETVFKDISPLIILVHNRYKRPKENERVWNELEKAVHIIWNCRLPSPRCVAVDAVVEDALVSVLNVSVFPEVIFTKAGKILYRERGIRTADELSKMMAFFYYGAAKPPCLDCLGNSQEMIPSVAIES >OMO52064 pep supercontig:CCACVL1_1.0:contig15632:17653:22656:1 gene:CCACVL1_29379 transcript:OMO52064 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amino acid transporter, transmembrane MTDKVKDRDDEEYFMENDDNEEDIEESKIEDGSSSNSDEDDDGEGRDSNAFTSQQWPQSFKDTMDPYTITASPAFGVIGGVPSFRHFSFTSQSKSNLDLDGKLPLLPEHQKNYQKEFLHSLSRAPSTWSEKYSILKQLPEEIHIPYGCNVTQTVFNAVNVMVGVGLLSTPYTIARGGWISLLVLVIFAVICCYTSTLMKYCFESKEGITSYPDMGEAAFGRFGRLFISIVLYTELYSYCVEFIIMEGDNLTRLFPGVSLDWPGLKMDSMHLFGILTAFVVLPTVWLKDLRLISYLSDYFAAGGVVATLVIVLCLLFLGAAGGVGFHHTGQVVNWSGIPFAIGVYGFCHSGHSVFPNIYQSMADKSQFKKAMVLTFLLCILLYGGVATMGYLEFGQATLSQITLNMPPESFLSKIALWTTVINPLTKYPLVSSTCLFHCWRCIYFALLRSYDVVNWFSAQLYGGYHNAITMFLEDCWEKSYKNTVTGIVKAE >OMO88663 pep supercontig:CCACVL1_1.0:contig08975:75781:75870:1 gene:CCACVL1_08275 transcript:OMO88663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSDSHLIKGPKPEYDNHMVHKARTQPS >OMO88665 pep supercontig:CCACVL1_1.0:contig08975:99851:100498:1 gene:CCACVL1_08279 transcript:OMO88665 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MEVESSTIKNHKAMDEPASLAARALRRRRKCRTICFALIGFLVFIVILIVILAFTVFKAKRPVTTIDSVSLADLRFSLDLARLRVLLNASLDVDLSIKNPNRVGFKYTDSAAQLNYRGQQVGEVPIPAGKISADETVAMNLTLILMADRLISDSRFFSDVSGGQLPLNTFTKISGKVNVLNLFKIHVVSSTSCDFTVFLSNSSVGDQACKYKTKL >OMO88664 pep supercontig:CCACVL1_1.0:contig08975:96102:97731:-1 gene:CCACVL1_08278 transcript:OMO88664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVYYVEHDTIATLSHLTRSSQAELSRRSTFASRNPRASTRGPRNTRTIAEALHLDMSPHTCEDRKIVEERGKRIVALESIHRKKNCRNRDLPHERCTPRKTNEEMDRESAIGNDRRNERIAISDKSHTQGDGFDTGPSTDDSDSYYVPTNLVRSKKGAHGRAPLGEECLDRLMEQMRKEMDKRLDKESGDDEYLGLAERKSSLFSFVVLQEDVPLNLKIPKITYNGSTDPREHLSHYKETMQLQIVGDAILCRVFPAATWFYRLNTDSIVSHGFLSISHSRIRTRKTINHLTLIEQRPNEILKSFTN >OMO88659 pep supercontig:CCACVL1_1.0:contig08975:59202:59429:-1 gene:CCACVL1_08271 transcript:OMO88659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRKVSSVLIILVVIWVAVVSHVGVEASRVLPEDFAKANHLDTYSSVMYQKARFTMSYWLQRLASGPSPQGPGH >OMO88655 pep supercontig:CCACVL1_1.0:contig08975:28928:34737:-1 gene:CCACVL1_08267 transcript:OMO88655 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MIQDWKPESGLTVKKLGERLFLFQFKDGVEKDRVLDSQPWSFQQPFLLLRNYDGFKQPESIELDTYPFWICVFGLPPAMMNDMISFAIGSSMVLVQDVDDSDSRFLRIRVGSESVMQGLGSRFRNHVNSLALRGRWVAHGIAEDDVSCEIVSDFHGVSTQSYLSLCGKAAMVLPDNSDRKRCDDEEEACSNNLGGPIASKDGGLWRSQPNYSWDWVDYWGPSFGSGDISKPKKTKKWKKIAKTTEKYSFENVCQNQEILLGQKKERSSLPWCYIVDFNEIHLASEKEGVLCDPLLKWILSIVQWSIVVFKNCLLQVFGNVKFKIAAKCKAFEREFLKGALGDMEVFEACKDELEALCKYEETMWRQRSKELWLKEGDKNIRYIHALASVRKHRKTIAGIRNSEGVWLTNPAANEGVNSAYYKDIFFSTKPSDESIGKVLEAMDNHLDDGLPLPKINHTNVVLIAKVSSPETVKDFRPIGLCNVVFKIVSEALANRLKLILPQVIGENQSAFVPECMIFYNAMIAFETIHFLRNKIAGRKTHMALKLDLSKAYDRVEWDFLESYEANSRLWKLVWSSGVMPKMKYFFWRVFWNILPIKSVLRRRGLEIDDTCDVCGGHEDSMFHALFECPFSMRVWDLVCPWVASCLEDWSDEIDLWDFFLAKASRPVGQLDKFLCTLWLLWKNQNNSLHNSMCSLSAALASVASNVVQQLCKQDSVPFTGTLSSPTLGWVPPSLGLMKINVDTSFCVDRKEVGLGVVVRDNSGSIVDSASRMLSFVSGPLYAEVHALLFGFEIALELGVLRCIVESDCRVAIDHIDNFGPCWWEGGNLIYEIWELALLFDECSFSHVHREVNALTHNLASLRLDNVRCRCLAPSVCNPNFIH >OMO88667 pep supercontig:CCACVL1_1.0:contig08975:104496:111474:1 gene:CCACVL1_08281 transcript:OMO88667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQLSIADVSPEEIPSGSPAYRTNPDETKELEKQVGKLLEKATDLKRITQPVVSVLGLAGRSTRVRRVARCNRTKRRCLGRVDARSQNISLGFGVEPTRWTPLLETIG >OMO88660 pep supercontig:CCACVL1_1.0:contig08975:59732:59809:-1 gene:CCACVL1_08272 transcript:OMO88660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIDPDVQNHDETHVSAFPAATLL >OMO88668 pep supercontig:CCACVL1_1.0:contig08975:115027:115906:1 gene:CCACVL1_08283 transcript:OMO88668 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, C6HC-type MKQPQKEESDSCEICVEPIKANNKFNNRNICKHNFCSSCIAKYIEAKVVQFNLANINCPALGCNFLLDPLSCRPIISSHLFQKWCDLLCSAVVLQYYSSNSVYCPNQNCSALIVNECKNHEPTKSSCPNCKNMLCFKCKTQWHAGSPCREKREFSDRNELLTRKLIKQNEWTRCSNCRHFVERNGGYVDMYFAMDVEKQTKLEVECELAATKCVETIYSAISFLLQLSLSFV >OMO88656 pep supercontig:CCACVL1_1.0:contig08975:43674:43793:-1 gene:CCACVL1_08268 transcript:OMO88656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKKWQRNLQRITRVHWKQKPIVEDIKTQQSVPDDWFL >OMO88661 pep supercontig:CCACVL1_1.0:contig08975:62836:63069:-1 gene:CCACVL1_08273 transcript:OMO88661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRKVISSVLMILVVSVAILSQVGVLEATRVLPEDFAKQNHLELETYATVYEKAKITMSCWLERLASGPSPRGPGH >OMO88658 pep supercontig:CCACVL1_1.0:contig08975:52617:52700:1 gene:CCACVL1_08270 transcript:OMO88658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATRGNARAATLVKKMSYSPILPLPC >OMO88666 pep supercontig:CCACVL1_1.0:contig08975:103944:104126:1 gene:CCACVL1_08280 transcript:OMO88666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSDLYFTCEICIEPVNINKKFKNTAIARTISAPIASANMSKQKWCNPDPTTQTSRALP >OMO88654 pep supercontig:CCACVL1_1.0:contig08975:7932:12359:-1 gene:CCACVL1_08266 transcript:OMO88654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEADPEFYCKASSLRYGNAPKISKDKIDNAGGATGPSLT >OMO88657 pep supercontig:CCACVL1_1.0:contig08975:50424:50495:-1 gene:CCACVL1_08269 transcript:OMO88657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVTRLYTIEEKSSSSNGLELE >OMO88662 pep supercontig:CCACVL1_1.0:contig08975:64474:70053:-1 gene:CCACVL1_08274 transcript:OMO88662 gene_biotype:protein_coding transcript_biotype:protein_coding description:PspA/IM30 MALQSQIITGLIVPFKPTASFSSTSSSSSRSLCFVKKPLTSSFFNGGVAALKVSRISLPGRSHCYRQPGGALGTRMNLFDRFTRVIKSYANSILSSFEDPEKILDQAVLEMNDDLVKMRQATAQVLASQKRLENKYKAAQQASEDWYRKAQLALQKGEEDLAREALKRRKSYADNANSLRAQLDQQKGVVENLVSNTRLLESKIQEAKSKKDTLKARAQSARTATKVNEMVGNVNTSSALSAFEKMEEKVMAMESEAEALGQLTTDDLAGKFALLEGSSVDDDLANLKKELSVSSQKGELPPGRTVSASTNKPFPYRDAEIEMELNELRQKAKDF >OMO97988 pep supercontig:CCACVL1_1.0:contig07175:5928:8779:1 gene:CCACVL1_04386 transcript:OMO97988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIILFHLLLVLTTSVEVVGLGQAPADGDDCQPKSCKHDQPPVRYPFRLRGRQHDRCGAYGFDLSCNNKNQTVFHLPTSVKLMVKHIDYVKQRIQVFDEMGCVQKQLPNLTLSASPFLFMSDYYYYSDPENFTLFKCLVDDQFVDYNYYRSIACLSGTGFSVQYVDSDQNPSSSLLNCSKIMDVFDVPAGLMSQINNFYLTWSRPVCGFSCEAHGHGCRPNSTNNLGIECYYIHREDKGLRKRLMISGVIIGSFLLALSVAGLCWLHHLHRKEKAGERRIEEFLENYKALKPSRYSYADIRRITNQFKEKLGQGGYGTVFKGILSNDVTVAVKVLNNFKGNGEEFINEVGSMGRIHHVNVTRLVGFCADGYNRALVYEYLPNESLEKFIFAANGAENRFLSWDKLQDIALGIARGIEYLHQGCEQRILHFDIKPHNILLDENFNPKISDFGLAKLCSKEQSAVSMTAARGTMGYIAPEVLSRNFGNVSYKSDVYSFGMLLLEMVGGRKNIDVNVGNISQVYFPEWVYDRLDKGEELGIKIEDEGHSKIAKKLTIVGLWCIQWYPVDRPSMKTVVQWLEEEAENLTMPPNPFASKDEMKPKMPINRELATISE >OMO97987 pep supercontig:CCACVL1_1.0:contig07175:2017:2112:-1 gene:CCACVL1_04385 transcript:OMO97987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAIDAITKLSKSSASANSVDEVRVMLIAP >OMO97986 pep supercontig:CCACVL1_1.0:contig07175:220:1394:-1 gene:CCACVL1_04384 transcript:OMO97986 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative mads box protein MPKSLTLNPSTLLPTHPLLFSFPSFSSSFLLLPCPILLSASPWLGPDPTTSRDSSAKSEPESAQRSATGAEPSLFDVFSPQSWYQEVTKLKTKYEALQRTQ >OMP07362 pep supercontig:CCACVL1_1.0:contig04493:185:244:1 gene:CCACVL1_01319 transcript:OMP07362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVEVKEFVSESHYRELHK >OMO61592 pep supercontig:CCACVL1_1.0:contig13441:476:3554:1 gene:CCACVL1_23393 transcript:OMO61592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVERAISDPRDQFPVGMRVLAVDDDPTCLLLLETLLRRCQYHVTTTNQAITALKMLRENKNQFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGDPKLVMKGITHGACDYLLKPVRIEELQNIWQHVVRRKKFDKKDMNNSGSQDKAHNDSGEAAGMGNADQNGKLNKKRRDQNEDDDDERDENDDPSTQKKPRVVWSVELHRKFVAAVNQLGIDKAVPKKILELMNVEKLTRENVASHLQKYRLYLKRISCQANQQANMAAALGSADPSYLRIASFNGLGNFHTLAGSDQLQNTAFRSFPPSGVLGRLNTPAGLGLRGLPSAGMIPLSHAQNSGITGHDQSKLQSVVIPGNHNANILQGMPMSLELDQIQDRKIVTHIGQLPTTDNISAFPVSGSLIDSRITGCSDNNPLLGGTSNSLMLEASSQKATLHPRNLRDGVSTMSFPNGSTLSDFTSIATTSNQLRESKSDLRCQAAPTSCNAGQIIRSAPQEWNAPRKDAPYNSNVMSCSINSSVSVNGAMVPMAQSLDHNNSIFHRNMDSDAFVPSSFDTLYMKHTEGENSAMEPSAIQREGYLLVQPRPQRTYVPDNIGSLEDLASAMIKQDQDKGRVADGDYGCNGYSLRTCI >OMO61594 pep supercontig:CCACVL1_1.0:contig13441:8790:21181:-1 gene:CCACVL1_23395 transcript:OMO61594 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MSINDVTKMSSLVERLRVRSDRRPVYNLDESDDDADFLSRKSGKTEEKFEKVVRDDAKENSCQACGEGENLWNCTTCTYAYHLKCLLPPSKATPLPDTWRCPECVSPLNDIEKILDCELRPTAAGDDDASKLGSNQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKSNPRLRTKVNNFHKQTASNNNSEEDFLAIRPEWTTVDRILACRGDDDDEKEYLVKYKELNYDECYWEFESDISAFQPEIERFNKIKSRSRKSSAAKQKSSLQDDVESKKKSKEFQQYEHSPEFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEENCGPHLVVAPLSTLRNWEREFATWAPQMNVVMYVGSSQARAVIREYEFYHPKSHKKIKKKKSGQIVSESKQDRIKFDVLLTSYEMINLDTASLKPIKWECMIVDEGHRLKNKDSKLFLSLKQYTTNHRTLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQEEFKDISQEEQISRLHKMLAPHLLRRVKKDVMTELPPKKELILRVELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVDPELENSPEAYKQFIESSGKLQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYCSYKKWQYERIDGKVAGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLITRGSIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDDTAIDRLLDREQVDDEEAPVDDEEEDGFLKAFKVANFEYIEEADTAPEEEAQKVAVEHKNTPNNSERTSYWEELLRDRYEVNKIEEFNSLGKGKRSRKQMVSVEDDDLAGLEDVSSDGEDDNFEAELTDGDATSSGNQPGRRAYRKRARTDNTEPIPLMEGEGKSFRVLGFNQSQRAAFVQILMRFGVGEWDWKEFAARLKQKTYEEINEYGTLFLRHIAEEMTDSLTFSDGVPKEGLRIQDVLVRIAVLLLIGNKVKSASENPGTRLFTDDIIMRYPTLKGGKFWKEEHDLLLLRAVLKHGYGRWQAIVDDKDLRIQEVICQELNLPFINFPVSGQAGPQVQNGANTINAEATGNQTRGNGSGNDVGGDVPQGVSDTVNQGQAYPDSSILYHFRDMQRRQVEYVKKRVLLLEKGINAEYQKEYYGDMKTDDGTSDEPDIGQKVEDIPNGSTTEIPSKVIDHLPPIEVIALEEISAAAFNDDADRLKLPQHYNKMCKILEENVHEAVQSSHNLKKNLTPLKEICEDMIWILSPAQTESQSTVAGSSLPPGQKQPDVVTEVEMVDSQREKPEKPATEEGAAKGSGLAKSGQSDPKYVQHTTGVDVVMEEASKEESSKIDKGGKEESGAGVIVLDE >OMO61595 pep supercontig:CCACVL1_1.0:contig13441:26534:27358:-1 gene:CCACVL1_23396 transcript:OMO61595 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease CAF1 MSGLSKGDSIQIREVWNDNLEEEFALIREIVDTYNYVAMDTEFPGVVLRPVGTFKNINDYNYQTLKDNVDMLKLIQLGLTFSDENGNLPTCGTDSYCIWQFNFREFNVSQDIFASDSIELLRQCGIDFKKNSEKGIDVVRFGELLMSSGVVLNDGVNWVTFHSGYDFGYLLKLLTCRSLPDTQAGFFDLINMYFPMVYDIKHMMKFCSNLHGGLNKLAELLEVERFGVCHQAGSDSLLTACTFRKLRDTFFNGSTKQYAGVLYGLGVENGQNTN >OMO61593 pep supercontig:CCACVL1_1.0:contig13441:4069:6470:-1 gene:CCACVL1_23394 transcript:OMO61593 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transferase MASTTKHHDDEIIKVTKTVSVLPKSFHHDPQQILHLSNLDRQCPMLMYVVFFYKSSSAYENLSLDSVFSSLKSGLEETLSIWYPAAGRLSLNPDDGKLNLWCNNNGAVLVEAETNVKIIELGDLSHYNEFFEHLVHKPVFHGNFSDMPLVVAQVTRFGCGGYSIGIGVNHSLADGPAAYDFLHAWASNSAILKEKRTAAKPVHERGILLVENHEGPKIESGSSSATRAAAIDHLYQLIQQAFAAQKRKGSFSCSSFELLAAHLWKARTKALGVRKGAMVCLQFAVDIRNKMAPPLPKGFSGNAYVLASVGLTADELEEASHEAIVEKIREAKNSISNDYVNAYNKALDGPQSTLPPMNELTFVSDWTRMPFHKIDFLHGEATYASPLVSPIPQVAYFMQNPSDLRGIDIRIGLLPQAIDAFAHHFLTNLQ >OMO61596 pep supercontig:CCACVL1_1.0:contig13441:32086:36996:1 gene:CCACVL1_23397 transcript:OMO61596 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin ClpA/B MASRRLMRSAFSAIKASRASTPSPSLSRARAISAFAYTLRNPRTSPYLAPNFDRVAETNGGGVFSLARCFHSSAPQYTEMAWEGIIGAVEAARDSKQQMVESEHLMKALLEQKDGLARRIFTKAGVDNTSVLQATDDFIAKQPKVMDTSNPVIGSHLGSLLDKSRKHKKEMGDNFVSVEHFLLAFSSDTRFGQQLFKNLQLSEQALKDAIKAVRGNQRVTDQNPEGRYEALDKYGNDLTELARRGKLDPVIGRDDEIRRCIQILSRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLLNRKLISLDMGSLLAGAKYRGDFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGAMSGAMDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDPALERRFQQVYCGQPSVEDAISILRGLRERYELHHGVKISDSALVSAAVLADRYITERFLPDKAIDLVDEAAAKLKMEITSKPTELDEIDRAIMKLEMEKLSLKNDTDKASKERLNKLENDLSSLKLKQKELSEQWDHEKALMTRIRSIKEEIDRVNLEMEAAEREYDLNRAAELKYGTLMSLQRQLEEAEKNLAEFQKSGKSLLREEVTDLDIAEIVSKWTGIPLSNLQQSERDKLVLLETELHKRVIGQDIAVKSVADAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAGFLFNTENALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEVVRRRPYSVVLFDEIEKAHHDVFNILLQLLDDGRITDSQGRTVSFTNCVVIMTSNIGSHHILETLQSTHDSKQAVYDVMKKQVVDLARQTFRPEFMNRIDEYIVFQPLDSKEISKIVEIQMMRLKERLKQKKIDLHYTDEVVELLGTLGFDPNFGARPVKRVIQQLVENEVAMGVLRGDFKEEDSIIVDADTSPSAKDLPPQNRLCIKKLESSSPIDVMVAND >OMO72039 pep supercontig:CCACVL1_1.0:contig11514:1098:1361:1 gene:CCACVL1_17978 transcript:OMO72039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAKSLLLTFMLIAGTLLSHNIHLIAATKGVPGSSFDGRFSHNFPSKFKYVLSTQMLKPGQPPPPPPKGATRPQPKLPPSAPPPPF >OMO72040 pep supercontig:CCACVL1_1.0:contig11514:5631:7540:1 gene:CCACVL1_17979 transcript:OMO72040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASLLLVATLLLFGILDGICPRLCYGRDNAMNFHQMQHERMALEAVQRLKFSGSLWNNRYPPPKSNRERAQGVPSPKMRPRTLAQPPPPPPPPPPPPPPPKSFRCITCAEKGGGGDIEVGGGGDNDNEVAGGGGGGDGSSDIEVGGGGGGD >OMO72041 pep supercontig:CCACVL1_1.0:contig11514:36827:36984:-1 gene:CCACVL1_17980 transcript:OMO72041 gene_biotype:protein_coding transcript_biotype:protein_coding description:cytoskeleton-associated protein 5 VGIFLRRSGLGWCRPSPPQLLHPHRLQLLDRTSATAAAYFNVTTAASHVHLA >OMO68552 pep supercontig:CCACVL1_1.0:contig12210:34867:37833:-1 gene:CCACVL1_19909 transcript:OMO68552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQPQTKFSSEWDIKSPNDAVTTRNLLLAFIESGNHQREVQLNLAVILTIRQLRNKINQTHDSVYKLRSVGAEAAEVIELDGRLEDLRQKLVDAVAAFKGHDEKVEYQELNFALKVRKQITEESKLELRNAIHDSRICLVQGVEEVAALRRIGMQCDLIAIDEGNVIGAALATSLGRKVLTAEGKKQRTNQNRVIANVYSLLQQHHKDHFGELNLLELRAKVYTILMGINQDGFPNFRKSIEEIKSQVIEVNRKSRESMARFGVPDVNNIGGEHRIAIAAQVGNAEKARMYLSLMVGVDGVLTHLNTTTAIPNFIGLSRKLHWIDEEKIKLEGMFEDIGEERFAKRLANLQKRWTKTWGEVVAATSKYSNFDFNSIESVDKGVITIDIKTNEGIREHFENSLRNEIEFSIPRLHMVLPPSSI >OMO68549 pep supercontig:CCACVL1_1.0:contig12210:5555:6646:1 gene:CCACVL1_19906 transcript:OMO68549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPFNIISVLLFAVISTVNSCPPSDRAALLAFKAALHEPYLGIFESWTGTDCCHNWYGISCDTESKRVADINLRGESKDLFERAGRSGFMTGYISSEICKLTRLSSITVSDWEGISGEIPKCIASLPFLNILDLNGNKITGEIPADIGKLQRLTVLNVADNQISGSIPTSLTNLSDLMHLDLRNNKISGPIPITFGRLRMLSRALLSGNSITGPIPASVCLIYRLADLDLSLNQISGEIPTCLGKMTVLTTLNLDCNKITGGLPSTLLNSAVGNLNLSRNALQGKIPDVFGPRSYFTVLDLSHNFFKGPIPKTLSSASYIGHLDVSFNHLCGRIPAGAPFDHLEASSFMYNDCLCGKPLRSC >OMO68551 pep supercontig:CCACVL1_1.0:contig12210:19915:21162:1 gene:CCACVL1_19908 transcript:OMO68551 gene_biotype:protein_coding transcript_biotype:protein_coding description:F-box/LRR-repeat protein MEPYLMQLDSVLSIPTSSFNVQNRFKSLRQLVLKFVDLTDELFETILSGCTSLECLYLLHSRRLVNLKHTVPHLKLKCLEIYRCFNLEKMEIFAPNLVTFKYLGPIINICIKDAKQLINVRLDTSRPYGYPDKNSLGLVNPQRTGFVFGQFAGYLSQLEYLRMNVSCFELGVPACYQTASYFLKASPFLHRLELNFQLPPDGRQALTRIPISEHKYLKEVFLSGFFGDKIVMDFIMDIVDCTIALERIDITTCYLDGIMMTGGPISVMALDDVARVKTSIRELRRRLPQYVQLNFLDDC >OMO68553 pep supercontig:CCACVL1_1.0:contig12210:49234:55447:-1 gene:CCACVL1_19910 transcript:OMO68553 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-arabinofuranosidase B MKGFVMFQILVMLLLCGNAVSKECTNTPTQLSSHSFRYELLKSNNETWKQEMFAHYHLIPTDESAWSNLLPRKVLREEDEFSWSMMYKKMKNQGSFKVAGDFLKEVSLHDVRLDPNSIQGRAQQTNLEYLLMLDEDNLVWSFRKTAGLPTPGKPYGGWEGPDVELRGHFVGHYLSATAHMWASTHNATLKEKMSAVVSALSDCQKKMGTGYLSAFPSELFDRFEAIKPVWAPYYTIHKILAGLLDQYIFADNVDALDMTKWMVEYFYNRVQNVITKHSVERHYLSLNEETGGMNDVLYRLFTVTGDPKHLLLAHLFDKPCFLGLLAVQADDISGFHANTHIPVVIGAQMRYEVTGDPLYKTIGAYFMDIVNSSHSYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRHLFRWTKEVAYADYYERALTNGVLGIQRGTEPGVMIYMLPQGRGVSKAVSYHQWGTPFDSFWCCYGTGIESFSKLGDSIYFEEEGGVPSLYITQYVSSTLDWKSGQIVLNQKVDPVVSWDPYLRVTLTSSLKEGSGKSSTLNLRIPIWTQSKGAKATLNAQNLHLPAPGNFLPVKWSAGDKLTLQLPISLRTEAIKDDRTEYASLQAILYGHYLLCGYSNGDWDIKTGLTSSVSDWISPVPSGYNNHLVTFSQDFGDSTFVIANSNRSITMEKFPKAGTDAALHATFRLVFDDTTEKISTIRDAIGKTVMLEPFDFPGMVLVHQGTENNLAVTNSPDDEDSSSFRLVAGLDGKADSVSLESESQKGCYVYSGVKYSSGASMKLGCKSASSGDGFNQAVSFAMDKGISQYHPISFVAKGAHRNFLLVPLQSLRDESYTVYFNIQS >OMO68550 pep supercontig:CCACVL1_1.0:contig12210:14498:15589:1 gene:CCACVL1_19907 transcript:OMO68550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPFSIILVVLFTVISTVNSCPPSDRAALLAFKAALHEPYLGIFNSWTGTDCCHKWYGISCDNKSKKVAAINLRGESKDLFERAGRSGFMTGHISSEICKLTRLSSIIIADWKGITGEIPKCISSLPFLRILDLIGNKITGNLPADIGKLQHLTVLNVADNQISGRIPASLTNLSNLMHLDLRNNKISGPIPKTFGRLGMLTRALLSGNSITGPIPASVCRIYRLADLDLSLNKIYGAIPPCLGKMAVLATLNLDCNKITGGLPSTLLNSAVGNLNLSRNALQGKIPNVFAPRSYFTVLDLSHNFFKGPIPKSLSSASYIGHLDLSFNHLCGRIPAGAPFDHLEASSFMYNDCLCGKPLRSC >OMO50959 pep supercontig:CCACVL1_1.0:contig16024:73:1729:-1 gene:CCACVL1_30103 transcript:OMO50959 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase class-III MQRFIIPASRRVLSETKYSLRLLQQQRRCFSSQVVAEKEADSESDTNDVVLPKMPPFDYSPPPYTGPSADEILSKRKQYLSPSLFHFYNKPLNIVDGRMQYLFDESGRRYLDAFGGIATVVFFTNSGTEANELAMMIARLYTGCHDIISLRNAYHGNAAGTMGATAQSNWKFNVIQ >OMO50961 pep supercontig:CCACVL1_1.0:contig16024:12574:14070:1 gene:CCACVL1_30105 transcript:OMO50961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVYLYGMLCCIILLFNHGSCDSAIHVGHRVSLAIPLEFISGFIGRAFLMDANLMEPNFKVALSAEATVKGKYSCSLEVFLGDVKVWNSGHYSQFYASDVCVLELTEDGDLQLKGPKDRVGWRTGTAGQGVERLQILKTGNLVLVDVLNQIKWQSFNFPTDVMLWGQRLDVATRLTSFPRNSTSFYTFEIQHNKIALYLNSGKLKYSYWEFKPSKNRNITFLELGSKGLELFNDKHKKIAQIASWRIQPLRFLALGNKTGNLGLYLYSPISGKFEASFQALNSTCDLPLACKPYGICTFSNACSCIRLLTKGNDMNSADCNEGNPKNFCSGLQVEMLELNGVSSVLRDASKMVNVSKATCASLCLSDCKCLAALYSSGKGSTNLQECFLYRLVAGVKQVERGSGLSYMVKVPKGIRDSHNKPSVKKWVLIVVGVVDGLVIILVVGGLAYYLIQKRRKSLLSATDNNT >OMO50960 pep supercontig:CCACVL1_1.0:contig16024:8212:10116:-1 gene:CCACVL1_30104 transcript:OMO50960 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MPSLRGIVRSQLCRLSTADCVSRQIPPPFCTSKKITFAQKPSSNRAANSSIAADMASSNVTSSVKQRRSSQFSQAMEYSGTASVNKEQSQSSYAKDFPTLTVSIGSSSKGRNSKRYDVVNSSEHEGVANIASPQNKSSTFSVGHNGKKNVNVRKSPSGNSESVRVVGNNGSDCSESLPKVERFNICSPVTRDSHIQRVLRPGMVLLKRYISLYEQINIVKTCQTLGAGPGGFYRPGYKDGAKLCLNMMCLGLNWNPQTRNYDKRHPVDDCEPPSIPFEFQMLVQRAIQDAHCLIDKHSTVSNAEDILPSMSPDLCIINFYTTHGRLGLHQDRDESKKSLRKRLPVVSVSIGHSAEFLYSDQRSEDQADKVVLDSGDVLIFGGESRMVFHGVPYIIPNSAPRDLLAETGLRHGRLNLTFRQL >OMO69094 pep supercontig:CCACVL1_1.0:contig12114:3789:4229:-1 gene:CCACVL1_19661 transcript:OMO69094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTTSRLLEVQCRWFSSRTTQECWDWWLRDDCGNIKLVFFKDIGEADSKKAELLATKEAFLTFCTLDGYNSKGLIIESDSSNAVPWSNNPESCPWRLRRIIMQIESLKARLTSWNVLHINTEKNDLVDGLAKAGVERDNNLVVMY >OMO69092 pep supercontig:CCACVL1_1.0:contig12114:217:282:1 gene:CCACVL1_19659 transcript:OMO69092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIEDITGVFPWKRGLDPRV >OMO69095 pep supercontig:CCACVL1_1.0:contig12114:38088:38641:-1 gene:CCACVL1_19662 transcript:OMO69095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKMRSGMKITIQKSKPEKMNPVPMYEAPLLKRSQSLRMHDTLLERIIVPVVTLAITVTLSVLWHLHRDELLQRACPR >OMO69093 pep supercontig:CCACVL1_1.0:contig12114:1223:1336:1 gene:CCACVL1_19660 transcript:OMO69093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLARGVAWAKRLVILKVSHPPQVPKEIVECHTYG >OMO50890 pep supercontig:CCACVL1_1.0:contig16034:16872:17888:1 gene:CCACVL1_30171 transcript:OMO50890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDDICNTGLNLGLGCLVKQEKYSPSDHQQQKKKKLLLKDDQFFPSLTLGPSDDIYQLSAANKLAHGESIDLHQQQQASSISGVSSFSNSSVKKEKDICGEEVELERMSSRVSDEDDEGSPRKKLRLSKEQAAILEDSFKEHSTLNPKQKQALAEHLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKKCCETLTEENKRLQKELQELKSLKLTTSYYMQLPAATLTMCPSCERVAGTGEGPSSTSPFTIGQKSHFFNPFTHPSAAC >OMO50888 pep supercontig:CCACVL1_1.0:contig16034:643:8037:-1 gene:CCACVL1_30169 transcript:OMO50888 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminotransferase, class IV MLLVHHHLGFTNTSSLLQFSSSLKVHHHHQLRLAAKSSHDSLSSSASWRRAIPSDSHSETSEPVDERRWDSLGFNPVQTDYIYVMKTSEDGNFTNGGLRHYGNIEMIPSAAVLNYGQGIIEDLKAYKKQNGSIILFRPEENGLRMRIGAERMCMPAPTIKQFVEAVISTVLVNKRWVPPADKGCLHIRPLLLGNGPVLSVTPAPEFTLLIYVTPVGRYFEGGLKPINLVVEDVTHRATPGGVGNIKAIGNYAGILKAQAAAKANGFSDVLYLDSVHNRYLEEVSTANIFVVKDNTISTPVLEGTILPGITRKSIISIAHSQGLEVEERLVSVDELFDADEVFCSGNAVGVLPVGSITYKDKSYLNPALTSFLVRECRVDYGKSGFMVGNYRHFASQAANALKQVHDEQPSYSDDENAEMDWDNLGFNPIATDFMYLMKCYKDEKFVQGQLSHYGNIQLSPSAAVLNYGQGIFEGMKAHRKEDGSLLLFRPDQHAIRMKIGAERMCMPSPSIDQFVDAVKQTLLANKRWVPPPRKGSLYIRPMLIGSGSALGVAPAPEYMFFTYASPVGNYFKQGKAPLNLYVEEELIRAAPGGAGGVKSISNYGPVMKALVNAKRQGFSDVLYLDSINKKYLEELSAANIFILKGNVISTPPASGTILPGITRKSVIEIAQDLGYQVEERAISVDELREADEVFCTGTAVGVASVGSVTYQGRRIEFKVGANSACQELGSALVGIQTGLIEDEKDWVLKIS >OMO50889 pep supercontig:CCACVL1_1.0:contig16034:8384:13783:-1 gene:CCACVL1_30170 transcript:OMO50889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVKGGWLKTNVANVGNGLGLLGFAGDVAFGLRHSL >OMP02629 pep supercontig:CCACVL1_1.0:contig06214:5340:5710:-1 gene:CCACVL1_02740 transcript:OMP02629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSYEPHVPEAIREAIIFLQDMGTTSCDEESTELGEKLGYLHVHSLKNRILFFDILMGCLDPALNLACTSDFTYMFVLPMFSNEKTATATRDELAYGGQSDQLVVIVVFGGWKHATNWGQEAHF >OMP02628 pep supercontig:CCACVL1_1.0:contig06214:1234:2672:1 gene:CCACVL1_02739 transcript:OMP02628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EFQNQNRRRKEQQREPGSSLQERKELLKGSFRDRHFNAGSILLGVGGGVNTWFRTGKLFPGPHLFAGAGPNKEDEGSETSETLYPSRSVLAAALLTCLALGRKSSDNISVIVVDLKKS >OMO88843 pep supercontig:CCACVL1_1.0:contig08912:11246:14833:-1 gene:CCACVL1_08167 transcript:OMO88843 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein tipD-like protein MSLRMGSEWKEKAENLGGELEQCYKAQSRLSEQLVVEVAESRALKASLQEKETAIAELEKELTETRDECCQLKKDLEEKIKAFELVMSEQKELKAQLEQMTIKAKNAKAENKMLVRLKEAPVEKGFVIPADTDVLTEAQKEELLSLHYKDRLALTFIRMNLSVGLSVFDEIYNAKSSKEAWDILIRTYSDQTCNMDAKFVYGKEKIFEEEISRLSKIEVGDLVLWDSSFDAKFTAEVLRLPKIEFEDTVVDIIAHVGDEENSIDDELVEVFSLDQVETEIVDFLGIEKILNPGFTGMEFFDEGQNMKEFGADFFYDEWVNMDQFFETKKQFVKFENEVVSRVKMKIQFDCKKKMLHDSTTATTIALKILTIDGIGSWIMKKILMLVLSFSFAKINLGKCTYEDILIERLEASGLEKLARQQGMVLCVQVKKVLASTGSVPMKMKVVVLLSTILAN >OMO88844 pep supercontig:CCACVL1_1.0:contig08912:59293:66027:-1 gene:CCACVL1_08168 transcript:OMO88844 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MSFDTGVSHFLQHDDSGETTPISEASTLPCEQLALVTWHGGWDLESHGGAKLAPLQVDATVEASTNPSFTHSKMKAWKTLVVKALVVRRFIMSQQGNDGGRVAPNDAVENLRGLVETLRERLHVMEERERRREESEGSFCSHTRRGGRGSGASSTSSEPLHPDDVRAHLRRQHQQQRRNDIVGDVGDANRQVQRVRDEDEVQNTYVHAYTPKVEIPEFDGKGQPDDFLDWLHTVERIFEYQDVPENKQMVRESKKKFLPEDYKQDVFIKLQNLKQCAMSVSDYTAEFDSLMIKANISELEEQTIARYLASLRVDITNVVSLQPYWSLNDVTKFALRVERQLKSKSVMRFEGKDFGKGTTSKSPFAPKPKVDERDRGKKGGDDAKKAKLRQERKCFKCHGFGHMAADCLNRRVVTLVEDNDDDHEEGDEVPEEEEEEELTYADHGESIVVQQCFKVSQVVEGEDWKRKNVFHTRCTCQGRVCMVIIDSGSFENLASVEMVEKLRLKTTPHPSPYKLSWLKDESDLRVTLRCIVSFSIGKYYSDEVECDVVPMDACHLLLGSPWLYDRLIIYDGHAHTYTLRKDGQKITLAPLKPNIVPTPKRESLLMTRKELVRKLKGEPIAFALVIFDMNGDVQGSGLHPKLVPLFDEYGDVFPDEIPAGFPPLRDIQHQIDLIPVPALLVLKKDGSWRMCVDSRAINKITIDYRFPIPWLDDLLDQLHGAKVFSKIDLRSEYHQIQMKPVDEWKTTFKTRDGLYEWLVMPFGLSNAPSTFMRFMNHVLKPCVGECVVVYFDDILVYSSDEDAHVMHLRKVFELLRDEKLFANVKKCHLFTHEVAFLGYVVSGDGVKMDSGKVEAILNWPTPKSIQEIRSFHECDALDVGIGAVLSQGRQPIAFFSEKLNECKRKYSTYDKEFYALVRALDHWSQYLLPQPFVLFSDHEALKFINQQHKLNRRHAKWVEFLQSFSFSIKHKVGAQNVVVDALSRKFTLFTTLQVQVVGFEAIRELYEHDEDFGDTWRSCHHDPSKLYSFVDGYLFYANRLCVPRCSLRLAIIEEAHGGTLSGHFGRAKTLYMVQQNYFWPRLKRDVARHVLSCHVCHLAKTRSQNSGLYTPLPVPNAPWEDVSMDFVLGLPRTQRNQDSIMVIVDRFSKMAHFVPCNKTNDASHIAELYFKEVMRLHGVPRSIVSDRDTKFLSHFWRTIWRKLGSTLKFSSAYHPQTDGQTEVTNRSLGSLLRSYVGKNIKQWDLILPQIEFAYNRSFHTSIGRTPFKVVYGINPLTPLDLTPCSSGQYSSDADARAKEIKKLHSDVREKITQQNIKYIQQTNKHRKFVKHDVGDLVWIHLRKGRFNQGLFAKLKPRADGLFKNIEKLRDNAFKLDLPEDYGLALVTWHGGWDLESHVGAKLAPLQVDATVEASTNPSLTHGKTVELFQRGVASQEAKDTLTTEAIKMCHSLDSVLKDLALKNLAALEKNEREERPTAKLGLMDWGKTTLISSLKANAWRGIYF >OMP11315 pep supercontig:CCACVL1_1.0:contig01389:2166:5286:-1 gene:CCACVL1_00576 transcript:OMP11315 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histidine phosphatase superfamily, clade-1 MEAITSEAQTPYQLLGSQKLVHLVRHAQGLHNLEAEKGRDAQKKIEFIDPELSSNGWQQVVDQRKNVSASGMLGRIEVVITSPMLRTLQTAVGIFGCEDSSSSKETTLVEKINNQFTNSISIFNQRPPIIAHELCRERMIESEDDVSWETDAGETNDAVAVRGIEFIKWLSGRKEKEIALVSHGVFLQETMIALKNMCIPLVEPECDPYSRFGNCEIRSIIIFDTSVMSLGSDSSPRKQCGRIPYSLQLRTDSANNKNVSMDEVAN >OMO95878 pep supercontig:CCACVL1_1.0:contig07590:37434:37805:-1 gene:CCACVL1_05208 transcript:OMO95878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPKLQRRAVESGKAEKEEEEENGEIFGVILSRSRSATCSILALRAEKENTNSSLKRVFSLRRSSSVSTPTGYYKIFHHCDDLPFADAHNEVMHVAGKTRRRRRRRANIFEACRRLIHRVLA >OMO95876 pep supercontig:CCACVL1_1.0:contig07590:12765:12965:-1 gene:CCACVL1_05206 transcript:OMO95876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILNSFISCFSASKVASEGDDSSPSPTSTKVDAAAKVELKESNKPKKSPPIPISYFPIGTRFSLL >OMO95875 pep supercontig:CCACVL1_1.0:contig07590:2849:10156:1 gene:CCACVL1_05205 transcript:OMO95875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDRASSSHSIDNKVWQLIWSLDCPTKVRCGKVMAENQLQGYQVVYKIQQAFMEWRSVRENLNPKIPSSPSVSNVNDTWEAPAKGWIKVNYDGAFCHKIGDCGIGLVARNESAQILEAYGKFRKGDSALVAEAMAIKEATHLTDWRIQPIVVDIQNLLKLLPHAEIRVIKRAANMAADCIGINVTPRIRNAESHTSTTTTRRFSFYYGAKNGGELMLRVARRRHLRPNAVLVPSETKTLHLYEARYLALLEESLLRKKLFVHFVLDPISIGNSGAEASFAARYGCLVFIESIERLDVGALVSIRGIGRVKILKFLQADPYLKGEVRPKQDEVLDGTTNLTSKILQVKEALQSLNKLEIKLKTPKEAPLQTSCLNSLQWAESELSLECDKGFFPSSAERVSFAAFQPVSGRALISHLHYVWIGVGGGKGKEMGGRGHPFPCLD >OMO95877 pep supercontig:CCACVL1_1.0:contig07590:23048:36056:1 gene:CCACVL1_05207 transcript:OMO95877 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA mismatch repair protein MDMETEITGEAKEPPRIHRLDESVVNRIAAGEVIQRPVSAVKELVENSLDASSTSISVLVKDGGLKLIQVSDDGHGIRHEDLPILCERHTTSKLSKYEDLQSIKSMGFRGEALASMTYVGHVTVTTITKGQLHGYRVSYRDGVMEHEPKACAAVKGTQIMVENLFYNMIARRKTLQNSADDYTKIVDLLSRFAIHHIDVSFSCRKHGAARADVHSVATASRLDAIRSVYGLLVARNLIKIEASDDDPSSSVFKMDGFISNANYVAKKTTMVLFINDRLVECTALKRALEVVYAATLPKASKPFVYMSIILPPEHVDVNVHPTKREVSLLNQEVIIEKIQTVVESMLRDSNKTRTFQEQTVEPSTSVPSISNNDLDLNPSSSGSKSQKVPVHKMVRIDSSDPAGRLHAYLTTKPRNHLEINSSLTAVRSSVRQRRNLKETADLSSIQQLLDDIESKTHSGLLDIVQHCTYVGMADDVFALLQHNTHLYLANVVNLSKELMYQLVIRRFGHFNAIQLSEPAPLQELIMLALKEEDLDLECNENDDLKRKIAEMNTQLLKQKTEMLEEYFSISIDPDGNLLRLPILLDQYTPDMDRVPEFALCMGNDVDWEEEKSCLQSLAAALGNFYAMHPPLLPNPSGEGLEFYKKRKHEKNSQDAGNSSSGRGDDEIKIEDEFEQELLSEAETAWAQREWSIQHVLFSSMRIFLKPPTSMAVNGTFVKELWQLNIKGSSLHKLLHFEIQFSCILRMYDTTQQILLKFAASLTSASETEKLSPSVIMSHFAYPFDTFSQRNDHVAQQPELEELRRLDPSIQDLIRALEGVLGLKYPLIPPKDLGLEVRRDPVLLKVVKLLIAYHYLTNSQNIAQYTTLYTWLRLNPEDMPGPLTVLQQLQQPHIVEAMCIRGLADPSVPQLAVETDDPSPDNITLLLPTSHCIIDADKKASYLISADDILQAQLKISTNINFSSGLLSYEFEFNIGKEGSLSPSQSAAGPFPHPTDFTALLYNARGAAGTATRAHIEELVESYEPMIVIIVETRQGSSGAEELSEAIQYPQVVSVDPIQYMGGIWILSNLKTLSVQLLKDTKEEVEMNLLRTGVPSPA >OMO53366 pep supercontig:CCACVL1_1.0:contig15216:4105:8916:-1 gene:CCACVL1_28686 transcript:OMO53366 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 1 MGICWPKPAKLAHASSTNFSGSTKNHSKAKQESTPSTGKLPLESLDKAFISSKSQTPVVSSTLKPDASVSSNLKSFTFSDLQKATKSFRSETLLGEGGFGCVFKGWIDENTYAPTKPGTGIVVAIKRLKAESFQGHKEWLLKLVVFAADTYSRYDFPPGFVFGASASAYQHEGAAKEDGRTPSIWDTYAHSS >OMP11961 pep supercontig:CCACVL1_1.0:contig00637:299:439:1 gene:CCACVL1_00195 transcript:OMP11961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKVGPCHLKIEPNHNSGYARPLFQNPKPKGSVKGLNFGFSCVNR >OMO78494 pep supercontig:CCACVL1_1.0:contig10576:14351:14704:1 gene:CCACVL1_14345 transcript:OMO78494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QPCLKYAPSSSVDLELSKYKSQQDLCLSPALNHRSLSSDLSRPYPLLFQAATSSTHRRRTANLSTPKPTKKVAFNFESCGIDNIVIRILILHANVTETMPLELRLKFGIGFRRVHITE >OMP03728 pep supercontig:CCACVL1_1.0:contig05996:16169:19492:1 gene:CCACVL1_02285 transcript:OMP03728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKPLILCCVVGFLGVLSAATGFAAEATRIKVNEVKFVSLTQCSYPRSPALGLGLTAAAALLAAQIIINCATGCICCKRTSQTWNNNWTKALIFYVVSWFTFVIGFLLLLTGSALNDQHGEESVYFGNYYCYVVKPGVFAGGAVLAVASIALGILYYLTLNMAKNTTSTGPWGNAPYPNQSGIAMAQPQFPPQSSQDPVFVHEDTYIRRQIA >OMP03727 pep supercontig:CCACVL1_1.0:contig05996:3393:4841:-1 gene:CCACVL1_02284 transcript:OMP03727 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MQMGNIEKKEDVFLLDSATTHTILSNKDFFSSVTLCKANVNTISGPANIIDGYGNATIFLPNGTILHLEDELLSGRSRRNLLSYKDVRRNGYHLETLNELNKDYLGIASHKMGQKTIHEKLEASKTGLYLFTIRAMELYATIPWKLVNPDVFGLWHDRLGHPGATMMRRIIKNTRGHPLKDSKVLLSKDYICESCSQGKLIIKPSITKVDHESPSFLQRIQGDMCGPIHPATRPFRYFMVLVDASCRWSHVCLLSTRNVAFARLLAQIIKLRAHFPDYQIKSIRMDNAGEFTSKSFDEYCASMGIKVEHLVPHVHTQNGLAESLIKRIQIIARTLLMRTKIPSLACGHDVLHAAALIRLCPTTSHQYSPLQLVLGYEPDISYFRTFGCAVQVPVAPPQRTKMGPQRRLGIYVGFDSSSIIRFLEPLTGDVFTARFADCHFDETEFPPLATPKTSTNGKKKKVESVFAIMTQPFLGVQLSKCL >OMP03730 pep supercontig:CCACVL1_1.0:contig05996:33487:39231:1 gene:CCACVL1_02287 transcript:OMP03730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTNERKLKATWDKLTTKIFCEVWVEEVNAGNRPHTHFKNIGWTNVAMKFNDKTESREYENDNDDITSDEELIVRHKIGDGTHITVVVPVEDVIPYIGRKGYPTQNVMAVCNFDMLFTFVVAGWPGSAHDTRVFMKALEVFKDTFLHPPEGKYYVVDAGYHNMKGYLGPYKGERYHIPDFKRGRLPTGYQEEALDKSIKRFLWFAKGDRKLDLINWEQVCKPKDIGGLGILDLHLQNRALLNKWLWRFGNEKDGFWRKILVEKNGYCDRNLVPDVANNRHASALWKNIIKSLSPQFAASNSWLANTGFSLGNGANISFWHCEWIVGYTLRCSFPRIFALCVNKLGSVVEFGSWVDGTWTWHIELRRELFDWEKEQWEGLFELLKDYVPCPDFSDVVIWKPAASGYYSASSYYKVVFDNFESSDFCWKNLWIGLAPPKAEILAWQVMHEKVMVWLLRKIKKKAWAMLFFTILWALWLHRNDIVFNAKDPDFHQLVMTIKVRYAWLFKAKWNVSSTPLFDIILAPELVCVPYNSSVPRQVVPWSPPPLGFLKFNVDGASSGSTGLAGIGGVLRNNLGVILLRFSKNIGLAGAAKAEVLAIREALLISCASDWKHTHSLVIESDCYNAVKWVNATISCHWELRMFISQIGAITRGVTNWKVHHIFREANSIADELAKAGINRQNDLLEFC >OMP03729 pep supercontig:CCACVL1_1.0:contig05996:20901:26330:-1 gene:CCACVL1_02286 transcript:OMP03729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECEAGSDEGVGSIVWVRRRNGSWWPGKILGPEELPASHLTSPRTGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDDCIERAESSQGMPPKKREKYARREDAILHALELEKELLRKQGKSDRRTDAKSKLSGSAKRDSGGSDVSNGKPGNSKSVQSRSEDTSIKGEIVSSPGHLQKDQVGNQPSRENDHTEIIPRMRGLQDLGLTTASAKQKPLPSGAFDVDDTPSPSSRVSTMGGTTHVNGGDRMGAVSRAKRSRCTYLPAGSYDALDYKEIPPNQIEMSPSGFVECDSYPFPSHLNEDNTSEFPEDAESGSSESTSSESESESVSDSSETEADMDEDATSLSGATERMDTRLGSFKRPDSLGGGSVGHEESDESSLSGEMSHFYPNNHHSGNEAVSKWKLKGKRNVRNLGKRSMDAAEIRGYDGPAHVIYHEERGAFRRRPLGQSFRRNHDFNDDLDLADWSARDFGTQVVGFDDRGYSCTPRDSFRSRNSFNRNLIDWEGMPWENHASMKREWEDKLWHFDPIFAGHHRFGGRRRSMLLDVDLKVQANYQKEPVPIVSLMSKFDGKAIIGHPIQIEAVEDSSTETYLPSDGYFSNGIINHDGNASLPPAWRTAKRTNLRVPRLHPPFALGSHEAAEYHFSDHEGKPPFKKYNVGSSDYKTGMAKKSISHVPCSPTDRKFQRKLPKKVSLSSSQKTRTLSSIGIEQNLGSKPIHDSGNCQMDGLLKPESSGPTTVACIPVKLVFSRLLEKINRPPSRAASKVILSASDAVRDPS >OMO95374 pep supercontig:CCACVL1_1.0:contig07688:22073:22295:1 gene:CCACVL1_05422 transcript:OMO95374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMELRSNLKEKKKKAAGVGDRRREETECRCRRSEKEETEYGFLQSTGK >OMO95373 pep supercontig:CCACVL1_1.0:contig07688:12149:14854:-1 gene:CCACVL1_05421 transcript:OMO95373 gene_biotype:protein_coding transcript_biotype:protein_coding description:protein FAM65B MGNRERKKERGRKRSATSKPCRRKLAAAAMEEWFRKGGLVLEDKN >OMO95372 pep supercontig:CCACVL1_1.0:contig07688:6347:11534:-1 gene:CCACVL1_05420 transcript:OMO95372 gene_biotype:protein_coding transcript_biotype:protein_coding description:ABC transporter-like protein MNLQSGIALLYQQFGALLKKNLLLSWRNKRATFLQLFSSLFFIFLIFCIEKATDARNADSTAYKVVRDPKALVAPPIPPCEDKFFVKLPCFDFVWSGNESPTINNIVRAIRENNPGRPIPETKVKSFRTTGDVDDWLSKNQMHVPGALHFAQVNASVIRYGLQTNSTPLAKRGQFEDPTLKFQIPLQIAAEREIARSLIGDPNFRWTVELKEFAHPAMQTLSTLALVGPTFFLAIAMFSFVFQIGSLVSEKELKLRQAMTMMGLLDSAYWLSWLTWEGAMTLLSSIFIVLFGMMFQFDFFLNNSFAVLFLVFFLFQLNMIVTTNGFPYSKSFSTVLQNLWSLFPPNLLAQALRLLSDATATPEDIGVSWSRRTKCAPNDEECVITINDIYIWLVVTFLVWMVLAIYFDNIIPNASGVRKSMFYFLRPGYWTGKGGKEKEGGICTCIGSAPPLEHITPDDEDVLEEENLVKAQAREGEVDSNIAVQIRGLAKTYPGSRKIGCCCKCKKTSPYHAVRGLWVNFAKDQLFCLLGPNGAGKTTSINCLTGITPVTSGDALIYGYSIRSSVGMSNIRRIIGVCPQFDILWNALSGHEHLELFASIRGLPPATIKSVVQKSLAEVKLTEAAKVRAGSYSGGMRRRLSVAAALLGDPKLVILDEPTTGMDPITRRHVWDIIENAKKGRAIVLTTHSMEEADVLSDRIGIMAKGRLRCIGTSIRLKSRFGTGFIANISFSGSNNERSPPNGDTADTTHHRESVKQFFETHLNVVPKEENRSFLTFVIPHDREKLLTKFFMVLQERQKEFGIADIQLGLTTLEEVFLNIARQAELESAAAEGRLVTLTINTSGASVQIPVGARFVAIPGTESAENPRGIMVEVYWEQDDSGALCISGHSAEIPVPPNFQPRASLAATRRNSLGRRGPVQGIVISPDDIEPST >OMP08300 pep supercontig:CCACVL1_1.0:contig03880:2958:3041:-1 gene:CCACVL1_01131 transcript:OMP08300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ENQTNGDIVVVDVLGVGGSEGGDAGGG >OMP07504 pep supercontig:CCACVL1_1.0:contig04386:979:1044:-1 gene:CCACVL1_01291 transcript:OMP07504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRVRRSLKVLEPLSLHYKQ >OMP05023 pep supercontig:CCACVL1_1.0:contig05546:7148:11093:-1 gene:CCACVL1_02071 transcript:OMP05023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVGEKQHMAQDILRTRRLCSDCNSGSWICKRALNITMQNQVYCVLFDRIDKRYQVIDILGFIDMDSFILERFGTDLVAPGEDSVGCRVKVLTLSDNLLKGEYVALAAPMLLSADKCIRIPLDSAKSLKSLGIAFVGTDRAGNEIHVQISDRDAHMFRSLLIEGALYEINGFRKRRSHC >OMP05020 pep supercontig:CCACVL1_1.0:contig05546:2737:2808:1 gene:CCACVL1_02068 transcript:OMP05020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAISREEFNEEESTKSLIRMS >OMP05019 pep supercontig:CCACVL1_1.0:contig05546:1243:2436:1 gene:CCACVL1_02067 transcript:OMP05019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPVELHQDSSSSSETKSSDIMAGDGSNSMGGDDGNLKTKKVEEICIGIESPDPDVDVEVEEKEKEKEKEKERARVKRCKYCGNYHRPKPKDSSNQDYSPNPKLYSLSTEPAHHQKYDQTQQQQQQQQGKGKGKGKGPPVGCNDLLKHMPNWSETGKRSAPNPKIDLANIIYDAAPLRACYGLIPCNSDTQDSEQDSNQYSDLSIRHLFAKLNNPKRSKSNKTTSSVHQCEICGKIFETGQALGGHKSYHRSLNSDIESLRQMLLQRKPKHVQQPNPLGIFLFGTDLSQTSPSTSTAHHSQQSSSDQDQQYPAATCTTLLDFDLNIPFQG >OMP05021 pep supercontig:CCACVL1_1.0:contig05546:3206:3670:1 gene:CCACVL1_02069 transcript:OMP05021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPLSQNKKLMIEEKNVEADASMAMSQSESEEVESPISYSKNKGRGSHGRVKKSIIRSAASGYPCETCGKTFPSGQALGGHKRCHRAHKAVDDAINNSHTRLYNRGKKCCCRWRLPWPWPWWRVNIPKETQPQCSCSSSYPRYQYNAVAIQID >OMP05022 pep supercontig:CCACVL1_1.0:contig05546:5866:6240:-1 gene:CCACVL1_02070 transcript:OMP05022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSISLRKGNTRLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRIGTSKETRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKFDQKKKEEEIARMQEKYGVSTKDK >OMO79946 pep supercontig:CCACVL1_1.0:contig10361:100641:104318:1 gene:CCACVL1_13299 transcript:OMO79946 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MEKNGEAIRGRQSNSKPSTYIVGGEDGWDLAIDMQSWARGKKFHAVFKYDDQRFDVAVVNKEGHDSCSVNEGAKVFDSGYDKIRLAFGPNYFIDSAPDVCAAGMKMAINATAPPSLI >OMO79928 pep supercontig:CCACVL1_1.0:contig10361:20022:28793:-1 gene:CCACVL1_13281 transcript:OMO79928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein Ndc80 MRGTTRRRPTDSFNPPVIQSSRDSDASFASSRPSSVGMGRAISVAEPYSDRSFQAGTIRSINAFFSSHSIPPISTKPSQAPSAKDISNILTSLLSLLHFPCSKLEEDLGFLLKSLNCPFKFNKSTLRAPNTPHNWPTWLGIVHWLVQLAMYNEHLSQNPTTPFAQSDSMTEYALESYLRFIRGEDDLLEVLDKEFMEKLDKERENVVESSRALEKIVGELEAKAEGLRTGPTERELLEKEKNVLEEDVKKFHAIIAEFTGRIGSMEKVLEEKERELNAKEEERRQICQENEELKKRVELQTFNARDVERMKREMQAVERDIGEAEVARNSWEDKSWDLDSTTTQKFKELTALAMECNQAIRRLKLGNGFLYELNAKGSTPTEVMGIDYKATLKPELESYADKFRESSKQKFEDMILLQQQSKDMATKIEEKRNRIAAIQSRIDEVEAQINLLKKEMQEYGYRSTAEAKKMVEDVQIEAQKLDIAEREAAEILKASQLRLQEAIRQSEEEIQMHVQELFVVIDIVSKYKEHVGSKISKMRAGLAETAAAVADAYSEPSLVPEWLKNGGSITGSSNSNHQFTSSSLHSDNHSALRQARNKLSGGSGRHIGRTSALERTASAYFRRSSSSNGSAHSRPYSNFTKGYRERDREKDINGYHDREKSVLTEHRNRDFSDSLDNMLPSMFEKDVLRRTQSMITGKRGDTWPRKVTSNPSANNKSNHSNGNGLLSGVSTVGTKSAFERDFPVLGAEEKQVGSEIGRVSSPGLGTAVLPVGTSAVSGSNGWRTSALADMPVGVGSSGTGVAVASQSVSASSASMAPPTTGLNMAETLAQGPSRARTPPLLNVETQRLEELAIKQSRQLIPLVTTTTPKPMVVSPSEKSKPKVGQQQHLSLSLNYTRGGTSRSDSLKVSNESRLQILKPSREFNGVSLTTKDNLSPTNGSSKPVSSPVSVTPLAAASAPFRSSGNSPNFATAERNQNPFRITIEKRPTAQAQSRNDFFNLLKKKSTTNSSSVPDPGHAMSPSVSDKSDKLSREDTGTSDALQGGSVLLSESTGDLQTDNRSEVTYNGDAFAGSQQCSTNGDMHSSPDAFLYPDEKEAAFLRSLGWEENTGDDEGLTEEEISAFFEEYMKLKPSAKLFDRMQSLVPLNSHNGTHDGHASAGMSSMGSN >OMO79932 pep supercontig:CCACVL1_1.0:contig10361:51333:52772:1 gene:CCACVL1_13285 transcript:OMO79932 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L10/acidic P0 MPKSKRNKIVSLSKTKKKGREHKESIVNAIREAAESYNSIYVFSFENMRNLKFKEFREQLKPTSRFFLGSNKVMQVALGRSASDEIRPGLYKVSKLLRGDTGLFLTNMPREEVESVFNKFEETDFARTGSIAAEKVELFEGPLDQFTHEMEPFLRKQGMPVRLNKGVVELVSDFVVCEEGKPLSPESARILRLLGIKMATFRLQLICRWSPEDFELYKEALDDSDVESA >OMO79930 pep supercontig:CCACVL1_1.0:contig10361:46833:47918:-1 gene:CCACVL1_13283 transcript:OMO79930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSKGRRRTALRQFRPTPYSLSSRRQGISGDLCPKRCSKTLDKKDWEDATCSVCMECPHNAVLLLCSSHDKGCRPYMCGTSFRYSNCLDQYKKFYTKVVSSNLEETLRNSVDSPVPAPGSTWSVGKCEVTELACPLCRGQVKGWTVVEPAREHLNAKKRSCMQDDCTFVGTFKELRKHMKADHPCARPREVDPTLEQKWRRLERERERDDVISTIRSTMPGAMVFGDYVIEGNHHGSETDEEDGPNADAGERNGGFEVGIDSNFVNFFLLLHAFGPSGNDLGRRSRQPTLAADENAGGLRHTSPVGGLGFSDQDDDNSSNDDNDGGNISLVSRLRRHGRLLLGRSGRRRRRRETMGGQM >OMO79935 pep supercontig:CCACVL1_1.0:contig10361:58114:60908:-1 gene:CCACVL1_13288 transcript:OMO79935 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane receptor, eukaryota MDSAFQLAYKNIGVVIFLLLSTALATINASIHIYQNQLFNEVGNAYLLPGGSEGLAASISSDDSATDGRSFIRFENITFWRTKAAADEHSEMEHSTGLIQAVIFEAADRNNIGGSAYGGQRSICCTPDLAKLEGCKQGMRPVVITTWVVTVGAIRKTLSRLLILSVAMGYGVVRPTLGGLTSKVVLLGATYFLASELLDITEYVGTINDISGRARLFLVLPDAFLDAFLILWIFTSLSKTLEQLQAKRTSIKLDLYRKFSNALAVAVIASVAWISYEVYFKATDPFNERWQSAWIITAFWDILAFVLLCVICYLWAPSQSSQRYAYSEDMGEEFDDEEAQSLTRGQPDGDVGLVKQERKNGNAGLSDEEDDSEEDKRE >OMO79936 pep supercontig:CCACVL1_1.0:contig10361:65934:68747:1 gene:CCACVL1_13289 transcript:OMO79936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRSSRTIFVGNLPLDAKEWEIEDLFYKYGRILDIELKLPARPPGYCFVEFEDPLDAEDAIRGRDGYNFDGCRIRVELAHGGRGQSSRRGYGGGSGSGGGGRGRFSASHRSEFRVVVRGLPSSASWQDLKDHMRKAGDVCFAEVYKDGDGAMGIVDYTNYDDMKYAIRKLDDSEFRNPFARAYIRVKSYEGSPRGGRSRSRSRSRSGTPRSDRRLKFNLDPGLYQNQGHPHLPDYRGMLAPWFESAEVPADLYH >OMO79944 pep supercontig:CCACVL1_1.0:contig10361:98224:99474:-1 gene:CCACVL1_13297 transcript:OMO79944 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease/exonuclease/phosphatase MSSSGRPWDYREWEYAKTPAPPHCERFIVVSYNILADYLASTHRNLYNHIPDDMMKWEWRKEKLMVELGLWSADIMCFQEVDKFHDLEEQLKCRGYSGIWKMRTGIAIDGCAIFWRTSRFKLLHEESIEFNKHQLRDNVAQICVLEIKSQNEDENEAAESDHKKVVVVCNIHVLYNPKRGEIKLGQVRRLLERADAVSKSWDDAPVLLCGDFNCTPNSPLYNFISQQKLNLSRVDRDKVSGQASAQISTTPPPRPQSQSESGDSSCVGVPVTTVDVKELVAGMDKITTYDIPSVWSPMEIATAGATSGGSEDCNLLIQHSLQLKSTYTEVKELELSVNSGTRDSNGEPLVTSYNRRFLGSVDYIWRSQGLHTVRVLAPFPKHAMQYWTPGFPTDKWASDHIALASELAFTKHINNN >OMO79950 pep supercontig:CCACVL1_1.0:contig10361:126439:127552:-1 gene:CCACVL1_13304 transcript:OMO79950 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thaumatin MTCNFSLLFCILVLLASGANAKNFTLVNQCKEPIWPAIINEKSGKFHGEGFKLDIGQSHFYSVPDGWSGRIWGRTGCDFDAKNGSGTCQTGNCGTSINCTSPGSLPVSIAEFTLSENLDYYDVSLVDGFNLPIVIKPGGGKGNCSVAGCDGDLRQSCSPDLVVKNNSSGKVVGCRSACDAFNSDEYCCRGTYQDPVICLPTNYSKSFKQVCPAASSYAYDDRVSIITCSASNYLVAFCASRNNTICSYQGDKFVCNNASEGFKALSQSWSLMLALLLVSILQFLF >OMO79926 pep supercontig:CCACVL1_1.0:contig10361:2875:3582:-1 gene:CCACVL1_13279 transcript:OMO79926 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MPPTNMNPNHHPHARETRPTANGDHHQHHHGLTAPPQRHHAYYPRSSSSASFKGCCCCLFLLFSFLALLVLAVVLIIVLAVKPKKPQFDLQQVGVQYMGISTSNPSAFDGTATAVTTNPTTASLSLTIHMLFTAANPNKVGIKYDESRFTVMYRGIPLGKATVPGFYQEAHSTRNVEATIAVDRANLMQADAADLIRDASLNDRVELRVLGDVGAKIRVLEFDSPGVQVTPFSSS >OMO79942 pep supercontig:CCACVL1_1.0:contig10361:95318:96380:1 gene:CCACVL1_13295 transcript:OMO79942 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MAQQQGRGSAMAAMVLLSLVVLHFELAQAATYTVGGRDGWTFNAAAWLKGKKFKAGDKLVFKYSPSFHNVVKVSRGGYNSCSTPKGSKFFKSGKDMIKLVKGRNYFICSIVGHCQAGMKIAITAV >OMO79934 pep supercontig:CCACVL1_1.0:contig10361:53960:56855:-1 gene:CCACVL1_13287 transcript:OMO79934 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exon junction complex, Pym MASNNGAGEEQLTRMEELSKTLKEGERILAPTRRPDGTLRKPIRIRAGYVPQEEVAIYQSKGALWKKEMASQVGPPGYDPTQDAKPKTKSAKRNERKKEKRLQAALEKGKNSEAEEDDEIPKEDVPQKNLNHGSESVKSLTSQMNNLAVSQNPVPTSHPSNSVEASDAGAPAQDLDKKIRALKKKLLSLAEASDSSCCMVLVHAVDICDDELDIRLAEVQQQKTPMEDMKPEQLEKLAKLEGWREELKLLEDKKAELAAL >OMO79933 pep supercontig:CCACVL1_1.0:contig10361:53128:53232:-1 gene:CCACVL1_13286 transcript:OMO79933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGSCYIEKRGAIWKVRSPIIRSECEGEKKLSV >OMO79948 pep supercontig:CCACVL1_1.0:contig10361:113893:116171:-1 gene:CCACVL1_13302 transcript:OMO79948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISPSPAASTAITLLKALQSSNEPITSSPNSLSIFPSKPFVKSSKPHINNDTLFSSVENAVSFSLKWRTRVSFFSGFLTKGKDVNSLKDELFDAIAPLDRGAEASPDDQERVDQIARKLEAVNEIKEPLKSNLLNGKWELLYTTSQSILQTKRPKFLRPNGKIYQAINVDTLRAQNLETWPFFNQATANLVPLNARRVAVKFDYFKIAGLIPIKSPGSGRGQLEITYLDEELRISRGNQGNLFILKMVDPSYRVPL >OMO79927 pep supercontig:CCACVL1_1.0:contig10361:5287:12879:-1 gene:CCACVL1_13280 transcript:OMO79927 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-binding WRKY MVKEEKKMEIDLSLKIDAKQQQQQKEEEKEEAKENMQENDQEEEKSAHDSEDKEETAAAMAATGEVEDGTAPLELSSLQENINSEELSVLQMEMNRMKEENKVLRKVVEKTMQEFYDLQMSGRNDEKYSSQEQQAINKIPRNSKNGSPSSLQDDNDEDNELGLSLRLKTSCSPREREKDHHEDYHHHQKEELQDKELTESQEIMNQNAPISVQNKLQQSHLSAITTQTVSPPNRKARVSVRARCQTATMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILITTYEGTHNHPLPVGATAMASTASAAAASFMLVDSSNPLITSNIGALQNIPNNYSNPHQNNTINSASSSSSSHHFSNINRNMMNINDPSKGIVLDLTKTHHNFASSSTSSAHQQQQQAFPWMPSNRSLNYGYPLPISNNAFGGSSREWKLGEDQDKSLAENVTAIASDPKFRVAVAAAISSLINKETTQTHPNNPFVGREGESGSTATNNWPKRNKMGSTAVEKTAEELQREIDELHRQQREITERLRDPRGLRRGGLSAGVSPRNFAANGGRGRNFPRQADRNDAEDLPPAKRRLSSAVVKVEDGEIIDDAEGAKDVSDTAVEGSDAVNQTDRNLSSTRQSGWSRRDGNQRALKKDTEAPITEHVPRILPKNEDLSLVNRNKRMLGQLLGTLERFRKEDMQLSGTEAMMRRSNSLQRAEQRAREESERLRLQEREQIAEKRRRDLTLRARVMAKAEEKKLELLFLQWSEHHRKLSNFIRTKTEPAIYYLPKNPLPEDATMLEQRKEKEFLEWKTARREELSEYQKQIGEQYVANVEKDLERWQNARKARKANNDMNLQETMDKELDTHRLEHGPKKRKIPGGSNEDEEDVEDINAGEDDMMDDVLGVDDNGRRGDEAAKTEADDTGPPPDNIDEQ >OMO79937 pep supercontig:CCACVL1_1.0:contig10361:69445:70947:1 gene:CCACVL1_13290 transcript:OMO79937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRWPRILTPTHLSQIITYQKNPLTALQIFNQAQQKYPNYRHNGPVYATMINILGNSGRISEMKQVIDQMKEDSCECKDSVFVGAIRTFASAGMVKEAVHLFNSIPQFNCVNYTESFNTVLELMIKESNFKSARQLFLENSWRLEVKSRVKSLNFLMEGLCQFGKSDLALNVFQEMDFQGCYPSRESYRILMKGLCNDGRLNEATHLLYSMFWRISQKGSGEDIVIYRILLDALCDNGQVEEALELLGKILRKGLKAPKSRRHRIDLSKCESGEDLEATKRLINEALIRGGVPSMGSYSAMAIDLYKEGRVGEADKVFDEMRKKGFWPSLLMYEAKAEALSKKGRVNEVVKLIEEDIKEGTCVPSVKLYNIVLKCLCDAGDSDLGVGYLKKMAKQVGCVANKETYCILVNGLCEDGKFVEASQVLEEMLIKSHWPGPETYNILVRGLCSKGKQYEAVIWLEEMVSQGMVPDISLWNSLVASVCCKMSDINVCYEGILTQ >OMO79929 pep supercontig:CCACVL1_1.0:contig10361:31122:43170:1 gene:CCACVL1_13282 transcript:OMO79929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase C50, separase MKNQVYKFARRICSSLFSLEGSRSSVRIDLVTFLLDSISRDCKVEMDDSAIAFVELADYCANKCRAAGSSFCSTLARHLIKLAGDFHQATIPVDLILRLYATGLDFSECNIKSEHEDFDTSRGAEDDYAVKVLFLERDKIQNLSALLGSLGNCFNIGKRERYIISDMECKNLVNQMSLQPESKGQCSMTCKDRKACLVMYYNILKFLCQPLAALVNSERKKILAETEASSDSCKLYMIQDAFYQFCDCFFSLESCTSETESEEFDGGKVLVPSVIVAGFTLSLYTKIEMEKSVNLLEKIIGSRWIQSQGLKYLSASLYNIGVLMYRRKQMKEALNALELSHRASWALVQHFCEMFTDKKKHNDNDLSEDAIRDLITDACTTSAFILEVLHASGDLKVKRIMAESLQNWAAAENLFKLLPVPMPLIKQWVKIECKLHKHVDAEDIAPTLCCDLLSSAKVSKRIIGKLLEQELLAYQELNRGYPDFCQRMQIKIIDLLLQDEFATEDCPMQKARILIRKGRSLRANGIEALRDCIQCLTEAISIMKNLFGKTRTPGTAACHQLATAYCLRALCTQEADPNSEQVYQDICAALDLWLSIFASDCCSIDDEFKMVSGNSLPLLYNILDLLSMKGCTKLHSNIYQLIIRLYKQNSLELEKCLANLWECRRLSHALCVSPVNEAFIINLSEHCGERSKSIDFWINCLSGSQPGLLGFQQILTGSFNSLTCGSENHERYFQSAVTVDHVKQMVSELISSDPVRSHSLFLAGHLYYDLCERHISNGRLFEGLSYAKEAFQLRNQLFKRNFTLSIEEQVEKCDETGETGEDAHKVTNVAKNLQVHKILGSEHWSFDSSSWDVCGYYLSPWKVLQSYLESILQVGYIHEMIGNGTEAESFLLWGKNISHLQRLAPFEVAFSSILGKLYRKKQLWNAAEMELQSAKGILVESSSCYSCIECRLMLEVNLEQQLGDLFRNGFDSTIIKNSKEKLSQAEFLYKSALEKLNHSEWKNISLGEEKDENKIITGTIISTKDIAGNADAHHPAKPLEAVGARKSRKTKNVSKSVLKEQHVIPEQSSRITRSRSRSTQNQSISITGEAQIELLNTNGNAVSDLSGTCGQKESLPGTKSSMGEVRTEITCLCKKTKCWHCLPTEIMTSGLVNNFINMRWEYARRRLMVRVLTGIGKCLEYHGQTQEIHNVFLQSISIVVSRNIYSQTCTSAGTFFLDWIGWEIPGDAFAVERAAILYNICWIVMKNFHSKDTGIVCCNLSNVPLSKVVHWLKLAFVLCREVPLLFQKVSRLLSAVYLLSATNEHFSLPSCKALSESHWASYFHQASLGTHLNYQFFPPACGRSNTCCIVDSRELHALGSSCPHTATSTPLRLAPESLNDLEQFVMSFYAGLPCTAIICISLLGRAYTSLLQELLLYPSRVHAWMLLSRLNSKNQPVVLLLPLDTVLEGVSDDDVPHDDNARACWELRQRMNSGRKWRCPWGSTVVDDVAPAFRVILEENFISSSKFTMEDTKATRSLWWAIRKKIDYQLGKLLRDLEDSWLGCWRIVLLGDCLDCKHLNTVLKKLVQNLKSKCKMEVNESFLKLVLGGAMIDMEEACLQPQCLKKGCYIGLLNHHDGIDKVSALASQLIHEAVNEIHLEDTISREPIILVLDCDIQMLPWESIPILRQQEVYRMPSVGSICRTLERRWHFQEQVGRNPVAFPLIDPLDAFYLLNPSGDLSSTQDEFENWFRDQNFEGKAGTVPTAEELTTALKSHDLFLYFGHGSGEQYVSRHEIQSLENCAATLLMGCSSGSLRLHGNYIPKGISLSYLQAGSPVTIANLWEVTDKDIDRFGKAVVEAWLRERMELADCSQMVKEFEAMRIKGRRGNSRKKGASSDQRPKIGSSVWRARDSCTLRFLNGASPVCYGVPTGIWIKKDL >OMO79941 pep supercontig:CCACVL1_1.0:contig10361:88222:92911:1 gene:CCACVL1_13294 transcript:OMO79941 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucuronosyl/UDP-glucosyltransferase MEGETVTERTKKLAVFMAFGTKGDTYPIAAIAAAFATDQNDYDVVFITHSAHENLRSHLAKRNVVYVPISSPPVLSINATDDTTGSKELEFAETKKAITNEHRRECYSAFEKIFGDSPSLEGDFIGINFFALEGWSLAELFRVHCVAVAPYVVPYSAPSAFERQFRKELPLLYKYLQEAPAGKVCWKDVIHWMWPLFSENWESWRSEDLNLSLYPFTDPVTGLPTWHDRPQSPLLLYGFSKEIVECPDYWPSNTRVCGFWFLPIEWQFSCKECGEIMLSLGHLTTYNMCSAHAELQYFLTTPLSLPPIFLGLSSIGRMGFMRNPQAFLQVLQTVLEITGYRFILFTDGYEPLDAAIQEIASEASSSPNPRQLVQNGISLFDSRLFCFSGMIPYNWLFPRCLAAIHHGGSGSTAAALYAGIPQILCPFMLDQFYWAEKMFWLGVAPEPLRREHLVPENCNDSSIRLAANVLSQAINDALSPRVKARAVEIGKRISLEDGVSEAVKILKEEMGCRI >OMO79943 pep supercontig:CCACVL1_1.0:contig10361:97118:97729:1 gene:CCACVL1_13296 transcript:OMO79943 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MAQPRVFEIVTAASLLSMMLCSGIVKGATYTVGDDKGWSFNVESWTNGKKFLPGDVLIFNYDPTIHNVVSVDQVSYDTCTVGSNFQVFESGHDEVTLPNTRGWSYFIGNKTLGDCNNKMKIQVSTF >OMO79947 pep supercontig:CCACVL1_1.0:contig10361:108127:112136:-1 gene:CCACVL1_13301 transcript:OMO79947 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin/Phospholipase A2-related protein MIFNKKPKRACSFSTSSSMAPSISSLFSPHTCTFPSSSPSTINPHLTRNSTLLLRPQTQSLAFFTNSSKNNNNLTTPPQEKDENFFSSSSSSEKKSFAVATGELFIGIASRLIRSNSKELFDGNSEARIPVLDRPRKRINESDLGISDKERIGAVIEDEIEPDVIWEQRVKDIEAEKERRVVTSPGFSFSAAGLLFPYHLGVAQFLIEKGYIKETTPLAGSSAGAIVCAVIASGASMDVALNATKKLANDCRLKGTAFRLGAVLREVLNDFLPDDVHTRSNGRVRVAVTQILWRPRGLLVDQFDSKEDLINAVITSSFIPGYLAPRPATMFRNRLCIDGGLTLFMPPTSASETVRVCAFPAARMGLKGIGISPDCNPEKRATGRELLNWALEPADDHILDRLFELGYLDAAVWGAQNPVENIVADDVPNFEKNGSAN >OMO79938 pep supercontig:CCACVL1_1.0:contig10361:73081:73894:-1 gene:CCACVL1_13291 transcript:OMO79938 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant peroxidase MALVFLVLISATFIGISQGQMKVGFYSNTCPDAESMVSGVVRNAAQNNPNIAAKLLRLHFHDCFVDANGPSYEVPTGRRDGRVSDVSQAANMPDVSDSIQQLIAKFRQKGLSEKELVLLSCKSPLLSLSPLTNSLSCMFGDFMVGRNSK >OMO79949 pep supercontig:CCACVL1_1.0:contig10361:116823:124884:1 gene:CCACVL1_13303 transcript:OMO79949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPNLHTIFATLQSSSNSASPKLPATSQSSFTSFQFSSKPLKSNLSRTSAGSDIEKWRVNVSFFPAFLKRGKDAKVLKEELLEAIEPLDRGADATPEDQQRVDQLARKLEAVNAIKEPLKSDLLNGKWELIYTTSQSILQTQRPKFLRSIANYQAINTDTLRAQNMESWPFFNQVTADLTPLNSRKVAVKFDFFKIGGLIPIKAPGRARGELEITYLDEELSTLLYFPLSSNCLCPKQKPTPSRLSLLLLAAAQGDKWKLTDIDTNAVQERINSWLSKTQHFLTEVTLPLVKTGQRHNGKPDPGNEIDTEDMEDVFLAEQTIPTSTPNGNLSLAAIVSIEQFSRMNGLTGQKMQKIFKALVPKPVYDDARNLVEYCCFRFLSRDASDLHPCLKEAAFQKLIFITMLAWESPYRDANDFHAHASRKASFQGKLVGEEAFCRIAPAISGVADRPTVHNLFEALAGDEKGISLRVWLTYIDELLKVHEGRRSYQIREYPELSEERILCIGSSRKRPVIKWENNMAWPGKLTLTDKALYFEAVRFKGEKSVIRLDLTGHGLQVKKVKVGPFNSGLFDSGVAVSSGHGSQTWVLEFVDLGGELRRDVWHAFISEIITLHKFLNEYGPDDDCPSLFQVYGSHKGKEKATIGALNGIARLQALQFMRKLWDDPIKLVQYSYLQNAPHGDVVFQTLAVNYWGGPLVGKFTDAGYQQTQAMTPSEEVFEISDNVFDIDGSVYLRKWKRSPSWSSSASISFWKQSPIRQSIVLNKNLVVADATLVERAAAVCKHKYRAVEKTQATIDAATLQGIPSNIDLFKELLLPLTITAKSFEKLRRWEEPHLTLSFLGFAYTIIFRNLLSYVFPMALLVLATGMLMLKGLKEQGRLGRSFGKVTIHDQPPSNTIQKIIAVKDAMRDVENYLQNLNVTLLKFRTILLAGQPQITTEVALVLLTSATILLIVPFKYVLAFLLFDLFTRELEFRRETVRRFLSFLKERWDTVPAAPVMVLPFEGEDSRPVNQSQAVKKAIRKKTEQRQE >OMO79931 pep supercontig:CCACVL1_1.0:contig10361:49837:50448:-1 gene:CCACVL1_13284 transcript:OMO79931 gene_biotype:protein_coding transcript_biotype:protein_coding description:vomeronasal type-2 receptor 26-like protein MARREPPSEVASSSRDSFSPSPRKYPLIGFVESFLRYSFLPHSLSLNKALGAFQSLDFVAISSDFVAKTKP >OMO79939 pep supercontig:CCACVL1_1.0:contig10361:74594:77605:-1 gene:CCACVL1_13292 transcript:OMO79939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLKNQFYAMRVDQDYYEGNLWDSQIPSRRRSPVVYSSLTTIQRLRNDLHSILKTEDPFINGSEAGPEDVLIYNIDINKLQVPSTEIGLGSILLKFPVSHSPEQKIEPPSSRLNDAELASQANAWNDDNDVDGVLQKEASPKDLEIGELGNSPTMDAAADSLYSKAKSSQEARAKHKALGPYWARSRKRDGRI >OMO79945 pep supercontig:CCACVL1_1.0:contig10361:99884:100284:1 gene:CCACVL1_13298 transcript:OMO79945 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastocyanin-like protein MESWTQGKTFHAVFKYNDQSFDVVMVNKEGHDSYSVNEGAKVFDSGYDKIGLAFGPNHFIDSTPDVCAAGMKMAINAAAPPPQF >OMO79940 pep supercontig:CCACVL1_1.0:contig10361:84075:87605:1 gene:CCACVL1_13293 transcript:OMO79940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANATDPFPDLGSVYKASGRQINQLSQNVANIKIASAQDGDKENSWESKNRVAPSSWFSQDSTRNVWGHPNVIQKLRMPSNSGSKKATGNAWPTQDAVSWNSCERGSAWTQQGDGQWGNGCAKSPSSELGGNGPAQPGFPSSDSWQDGQVKPDHQVADNLDDESGVNEYFDNDDSDAVYDSDDIDDYVSDSDEDEKSHEAHKKSKWFNAFFASLEQLTVEEILSPVRQWHCPACQGGPGAINWYRGVQPLLTHATTKTKRRAKMHRVFAEILVEEMRRRGTFIKPVNDAFGRWEGLKDRVADHEIVWPPMVVIMNTRYEQEENGKWTGMGNQELLNYFSSYAAVKARHSYGPQGHRGMSVLIFESSAPGYLEAARLHKHFKEQGRDRDAWDCSRVPFCPGGKRQLYGYIATKEDLDLFNRHSQGKSKLKFETRSYQKMVESQIKKINDDSQQLTQLKKKVAQEQLHSQVLAESLGRLSEKLRQTTSENYTVKQRSRLRHEQDKEELSAREQYFKEKIKMIYQAIDAKEGSFEKLQKAMTERVKQSNANPTSDEDEYSATEMEENKKSIRIQEKKMEEFDAEREKLMESHQERRVSITQRYWEELIELEQGFEKELTLLMEKYTPDCFKEETTPTYSTALKTQ >OMO58184 pep supercontig:CCACVL1_1.0:contig14264:24849:29973:-1 gene:CCACVL1_25547 transcript:OMO58184 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING/FYVE/PHD-type MAIAGLHNVSVLDNSFLRESQSQASRRRGNGSTRASSLLQMWRELEDEHVVSHAQERSSDRMLHQRSDDLSMTDISDSRNSEHSGISEDASVSENEFGQWSPDRFGSQNGSEDPSNFNFEHSSDLGEGERERVRQIFREWMNCGGRERTSNVSRRNNGSRAQWLGETEQERVRVIREWVQMNSQQRGACVDSREEQAADGGGQIERVLDGLVVNQNEGRTERVRRGIRKLCGRQALLDMLKKAERERQTELQGLLEHRAVSNFAHRNRIQSLLRGRFLRNDRIAEGGRSTSIAASELGLLREKQTVSGLREGFLSRLDNSGCGPASSNRSDTSSNADTNGNRNEQNQVNNSHEAIGELNDQSEHENGETDNQRYLNGRTDLEGRIVEDISWQETSARVEEWQEQVSESVVRDWQWTGSVQSDERGDVLGQVLDGDWHDNLGNESSVETLQNDAAEHSDPQEIGEASYDHSRQDVDRRTYESANDTENLESNHVQNIDEQESASQVEQWHEEDQENEEADWQGASVEYDDLVDGNEESSDMHLPDGQNGDGGYDHMQEAVDAHHDDVGLHETTQSWLEGSSNPRTETFYFPDDDNVYSMELRELVSRRSVSTLLRSGFRESLDQLIQSYVERQNNASVDWELNETSPTPASLEQQSREQNEGHADAVASPPLALPSPRMPPTQPLWDQDSHHYNWAQHDVHQRFGIEWEIVNDMRIDMARLQQRMNNMQRMLEACMDMQLELQRSIRQEVSAALNRSAGSQGAIDDSLPRDASNWDNVRKGICCICCEGNIDSLLYRCGHMCTCSKCANELVQGGEKCPMCRAPVVEVIRAYSIL >OMO58186 pep supercontig:CCACVL1_1.0:contig14264:36594:38771:-1 gene:CCACVL1_25550 transcript:OMO58186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-14 MENGSVQNFNLTNNHLNATFDFVLKAENPNRRISVYYDYIESTLMYEDQTIAFNTIDPFYQPRRNVTRVESKLVAQNLSLSPATFKDMRIEKTSGEIEVDVHFKARIRFKNMNQTIPSQ >OMO58185 pep supercontig:CCACVL1_1.0:contig14264:35383:35514:1 gene:CCACVL1_25549 transcript:OMO58185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGRRWTPKSKGFLVKPNGLSLLNGHMYLQSAGNGSSKWPEI >OMO58182 pep supercontig:CCACVL1_1.0:contig14264:16640:17356:1 gene:CCACVL1_25545 transcript:OMO58182 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B MAPKRRAKVVVRTTKKVVQETVQVSVVQPPAGDNGEQLETLKTIPVEDIAHEEERIITEIPVEGLTKDDKLQTEHQTEQVPAEEQPSDELAPKTKVEDQEPSIVTPKASERKKPPPKKENTQEGKEKRKRVKRKGLDGNEAYKTYVFRVLKQVHPGMAISSKAMSIINSLMNDMFERIAEEATKLSKYRDGKTLSSREIQGAVRLVLPGELGKHAVAEGNKAVTNYASHSQKRTKLMN >OMO58187 pep supercontig:CCACVL1_1.0:contig14264:58016:64121:-1 gene:CCACVL1_25551 transcript:OMO58187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DYGIDFDDHEQPTKAFSLDYGIDFDDHEQPIKA >OMO58183 pep supercontig:CCACVL1_1.0:contig14264:23122:23736:1 gene:CCACVL1_25546 transcript:OMO58183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MALTVVVLLTALFFMGFFSIYIRRFSGDDPTAAHLSRRRRYRSGPLDTFSLSSDSDPLHASSASRKGLDPTTIRSLPVYSYLHGNAAKYHQVDCAICLTEFQEMDCVKLIPNCKHVFHVECIDTWLSSHLSCPVCRGTRFFETKGCGELGVKQESISLGVSESSAVSIGDTCIMLGSATASRVRRSSSCSSLGQRPMLQRTLSF >OMO55131 pep supercontig:CCACVL1_1.0:contig14784:123:251:1 gene:CCACVL1_27380 transcript:OMO55131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QTLDYFRSFIIDIIDRDEGPWRTQLRIKGFDCALFEQLLDEG >OMO99129 pep supercontig:CCACVL1_1.0:contig06954:26092:28121:-1 gene:CCACVL1_03919 transcript:OMO99129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDASDGDIHSKTAMTMFSHIREAIEKRQTPLLLFFYY >OMO99131 pep supercontig:CCACVL1_1.0:contig06954:32567:32827:1 gene:CCACVL1_03921 transcript:OMO99131 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA directed RNA polymerase i, second largest subunit MNYRNRRWRNRTRKRSGVSNRNRLETKPILVRTGNSTNRCPIGHFSLQWVQLQSSLYCVADPLDSDGNQGVPESEAAPVETVLKFI >OMO99130 pep supercontig:CCACVL1_1.0:contig06954:28904:30886:1 gene:CCACVL1_03920 transcript:OMO99130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKLDLKLFDEMALPTW >OMO99132 pep supercontig:CCACVL1_1.0:contig06954:46173:46256:-1 gene:CCACVL1_03922 transcript:OMO99132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASNLKLMEGEGEKKIRNVRGMEKEQVD >OMO99128 pep supercontig:CCACVL1_1.0:contig06954:4613:4678:1 gene:CCACVL1_03918 transcript:OMO99128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVRGKEGEVSGGLGFSIEM >OMO59209 pep supercontig:CCACVL1_1.0:contig14031:21287:23388:-1 gene:CCACVL1_25003 transcript:OMO59209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKSCPLTHYAAINVENMNTASSLPVDDQSHSTVTMANGSHPLPAFVPIFCPGVTLPSTNSSELLPKELGSHSSIIIATLPVTSPHHIVHHGDVHLPRPDSNHARIRRAQRKAGHYSPATASRWLSVFHLLLPFVPDHSAPSTNFADSTPCDYLHDLVGSNNEDNLFHQVVFRHVVRQACCVLSQQMSRLSISFASSDARPLLDSHPGILPVSATANMVGHMVPPCLVNPVRQSHDLAFSLMQVEFAIQLLHICLQAHALNKILTSCWSPKQDLEEMRAETQDCQ >OMO59206 pep supercontig:CCACVL1_1.0:contig14031:2567:10955:-1 gene:CCACVL1_25000 transcript:OMO59206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIERCLVFQMSRDDCVRALAKHAKIEPIVTLTVWKELLKENKGFFQAYFQAISQPSHFSWDICIVVQAHYMNYCISEMVIKSHNNFMLSFFKTWISSEMHCHLQFGLSCFEPTSEGWMQLLVNADTTTLSYWLNWSVLLCSVIVLAPVVIALLIIWKYEGLKKLKCSGGDSQEDLGCDVLYDDDVWRPCLEEIHPIWLLGYRLVAFCLALATLVVKVASNGGRIYYYYTQWTFTLVTIYFGFGTLLSIYGCYRHQKISCCGCSNVQHVRIDTEEGYYTPLTNRKDTNVQRKALDPQENCNVSRAAGFISYLFQVIFQMNAGAVMLTDFIYWSIIFPFLTIRDYSLNFMTVNMHTLNVVLLLGDTALNSLVQFSGIHASTMLRHVCVDHKSQALHVVKVVPGVLPVFKIKNGFGVKSRPRIEINLFPLYCFVEGNRRRIMSKFQNPEQDRISELPDEILLQILLHLPTKDIIATCALSSRWKFLWKSLHLLILDFDFEVDSKAWRRPKWNPSVVVDWDTLQRQVEQIPDCARIRKFLLKCVDSRRPSAVTKKRTELNSLLSKLVRHKVEEVDLYIHPEWRRFRFLPWPDSLCTSDSLTTLKLDMSLELKLHLPASLGFPRLKTLHLNHVIFHQEGDPERLFSACPILEELLFRRCALISNHPPPNDELSISIPSLRRLIFEHDIFISLRINCSNLQSLEFCCFKFQLLECNLPSLAEAGPDFNTWDYSHHRLELFKRICHVKSLRLSTRTIQAFSFAKNFNVHSLPTFYNMTHLEVSDTTVPNKASLMHILPKSPNLRCLHFPEGLIFECFHKDDNLTMEEVVSFYFNRSLKSSTISKFYGNELEVNVRFHIPNFGSTCYRGYVAADVRFVGKILENADVLEKFKMELVKREVYIKDKLSSFSYADCDLFL >OMO59208 pep supercontig:CCACVL1_1.0:contig14031:15559:18498:1 gene:CCACVL1_25002 transcript:OMO59208 gene_biotype:protein_coding transcript_biotype:protein_coding description:Drug/metabolite transporter MQITTLALSPSTPLFKPLTKKPNPRNCPGINLSGLKPLYITSSIHGLSSCHKASNLSSYSGFNSRISQLFHIANRRCDSFKVRAASVPENLGEAKDSSDLAKTLQLGAMFAIWYSLNIYYNIFNKQVLKVFAFPATVTAFQLGCGSMIILIMWATNLYHRPKLTRSKLAAILPLAVAHTLGNLLTNVSLGRVNVSFTHTIKAMEPFFTVLFSVLFLGEWPTLWVVASLLPVVGGVALASFTESSFNWIGFCSAMASNVTNQSRNVLSKKLMIKKEDTLDNINLFSVITVISFILLVPCAILLEGVRFTPSYFPSLANQGVNVKELCIRSILAGICFHSYQQVSYSILQMVSPVTHSVGNCVKRVVVIVSSVIFFQTPVSFINSLGTAVALAGVFLYSRAKRLKPKPKAA >OMO59207 pep supercontig:CCACVL1_1.0:contig14031:12868:14435:1 gene:CCACVL1_25001 transcript:OMO59207 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (adenine(58)-N(1))-methyltransferase catalytic subunit GCD14 MLLTDPSKKISFNRCIRDGDLVIVYERHDSMKAVKVCETSVLQNRFGSFKHSDWIGKPFGSKVFSNKKGFVYLLAPTPELWTLVLSHRTQILYIADISFVIMYLEIVPGCVVLESGTGSGSLTTSLARAVAPTGHVYTFDFHEHRAASAREDFERTGIDSLVTVGVRDIQGEGFPDELSGLADSVFLDLPQPWLAIPSAGKMLKQDGVLCSFSPCIEQVQRSCETLRSNFTDIRTFEILLRTYEVREWRINCSKIDEGSSIAPPPCKRRQTLGEESARDSPNSPAVMARPSAEAKGHTGYLTFARLKCIS >OMO59210 pep supercontig:CCACVL1_1.0:contig14031:27042:33517:1 gene:CCACVL1_25004 transcript:OMO59210 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MPESWDTLVVMVTNSAPDKKVTMEMANDASLNEEARRTNRESGSSQALAIENRGRSREKVQGFRGRSKSKNRSKSRDPVKRNHCGKVGHLKRNCKLLKQERKDGKSKKNSEEESNVTVVVSDEETVSLICGHGDCNHVTDPSNEWIVDTGATYHCVPKRELFTTYKAGDFSETRMGNKSVSQIVGIGDIVVQTMEDDASPNLWHNRLAQVSFRIPATRKENKLELIHSDVCGPMEMESLGDNGGEYTFNDFATYCSKHGIRHELTEPGTPQHNGVAERMNRTIVERVRCMLRMAKLPKAFWGEAVKVACYLINRSPSAPLGFDIPKKVWLGKDPSYAHLKVFGCKTFLHVLKEQRSKLDSKATPCIFVGYGGEEFGYRFWDPEKKNIVRNRDVVFHEHETIADFEKKEKTSWVVHDDDDLTSTTVPPRRATNDAMGIEQGEQPPLLENNEPQLRRSAIGHIPSIKYPSSEFLLLTDDGELESFRDVQSTSDKQRWLEAMQEEMDSLKKNGTYELVELPKGKMPLKNKWVFKLKKDGNKLVRYKARLVVKGFAQKVGIDFDEIFSPVVKMCSIRVVLGLTASLNLEIEQLDVKIAFLHGDLQEEIYMDQPEGFEVKGKEHMDAKSVSTPLANHFKLTKKSCPVSEKEKDEMSVIPYSSAMGSVMYLMVCTRPNITHAVGVVSRFLSDPGKVHWEAVKWIFRYLRGTSKMCLSFGTTQTILEGFTDADMAGDLDSRKSTSGYIFTFAGGAISWQSKLQKCVALSTTEAEYIAAIEAGYSSTLINARSLLKAPSSGANPTRSDLPPPPPSPNSSQLLNFEMLPKGVPIPPSGPSTRTSADVPPPPMLAWSQNVHMGHI >OMP12135 pep supercontig:CCACVL1_1.0:contig00380:224:319:1 gene:CCACVL1_00108 transcript:OMP12135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGGVANSGCRVGSAFLTLFLRVVFHYGGCRD >OMO78170 pep supercontig:CCACVL1_1.0:contig10626:6244:6303:-1 gene:CCACVL1_14603 transcript:OMO78170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAAYLVVTAVEKTLDGR >OMO78175 pep supercontig:CCACVL1_1.0:contig10626:38402:49748:1 gene:CCACVL1_14608 transcript:OMO78175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRAAINENDQDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASPGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFINPAASLLKEKHHGVLITGVQLCTDLCKVSSEALEYFRKKCTDGLVKTLRDIANSPYAPEYDIAGITDPFLHIRLLRLLRVLGQGDADASDSMNDILAQVATKTESNKNAGNAILYECVETIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLTKAMTVEAQAVQRHRATILECVKDSDASIRKRALELVYLLVNETNVKPLTKELIDYLEVSDQDFKGDLTAKICSIVERFSPEKIWYIDQMLKVLSEAGNFVKDDVWHALIVVISNASDLHGYTVRALYRALQTSTEQETLVRVAVWCIGEYGDMLVNNVGMLDIEDPITVTESDAVDAVEVAIKRHTSDLTTKSMALIALLKLSSRFPSCSERIREIIVQNKGSLVLELQQRSIEFNSILQKHQNIRSALVERMPVLDEATFSGRRAGSLPAAASTSTGTPHKLPNGIAKPAAAPIADLLDLSSDDAPAPSSSGADFLQDLLGVDLSPASAPAGTSQPPKAGTDVLLDLLSIGTSPPAQSSSSMSDILSSSQDNKAPLANLNGLTSLSSLSPTATSPMMDLLDGFGPSPQKHEENGPTYPSVVAYESSSLRMTFNFSKQPGNPQTTLIQATFTNLSPNAYNDFLFQAAVPKFLQLHLDPASSNTLPASGNGSITQNLKVTNSQHGKKSLVMRIRIAYKMNNKDVLEEGQVNNFPRDL >OMO78176 pep supercontig:CCACVL1_1.0:contig10626:52356:53546:-1 gene:CCACVL1_14609 transcript:OMO78176 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MSWIHSQIKLKYGTLSYHPHSILSSYYSSSTSSSSPSLPYNSDYQKQPNPPSSSSSSGNLSPAVLFIIVILAVIFFISGLLHLLVRFLMKHRSPSSVSESSRYPDMSGSDAYQRQLQQLFHLHDSGLDQAFIDALPVFLYKEIMGLKEPFDCAVCLCEFLEQDKLRLLPLCSHAFHIDCIDTWLMSNSTCPLCRGTLYTPGVPIENPVFDMEYLREENMLVSSNGESGVSLGPKPAENDIGKRVFSVRLGKFRSSNSNDVRGEEAEVQGAAVTGEGETSSSNLDARRCYSMGSYQYVVADSDLQVALCPTRGGNGKSASMRFVKVRSGQIGNSSNDGDAEGKKINMRSKGESFSVSKIWQWSSKKGKFPGSSESDTIGSSSVTVGLPWTDNRTQVT >OMO78172 pep supercontig:CCACVL1_1.0:contig10626:12942:16299:1 gene:CCACVL1_14605 transcript:OMO78172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNVLVLGVALLLLLLLGGASAAPITSSPAKIVSGFFSNALSAFMKWLWSLKTTTKTAITGRPMMKFEGGYTVETVFDGSKLGIEPHTVEVLPSGELLILDSANSNLYRISASLSLYSRPRLIAGSPEGYPGHVDGKPREARMNHPKGLAVDDRGNIYIADTTNMAIRKISDAGVTTIAGGKWSRGGGHVDGPSEDAKFSNDFDVVYVGSSCSLLVIDRGNRAIREIQLHFDDCAYQYGSGFPLGIAVLVAAGFFGYMLALLQRRVGTIVSSQNDQDSIKVNAAVASPYQKPLKSVRPPLIPTEDEQEKQEEGLFGSLGKLFVNAGVSAMEIFGGVFPGLRKKPLSYQYQSQQKHSMPWPAQESFSNEKTNEIVFGAVQEQEGKREAVVIMPVDYGDQTYDHHNIRYRSNWGYNHGY >OMO78171 pep supercontig:CCACVL1_1.0:contig10626:7273:9964:1 gene:CCACVL1_14604 transcript:OMO78171 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 20 MTVPGIISDMDCSSSNDGDSDVTSSGCRERKIIVANMLPLQAKRDTETSKWRFSLDEDSILLQLKDGFSPETEVLYVGSLKADIDASEQEEVAQKLLEEFNCVPTFLPHDLQKKFYLGFCKQQLWPLFHYMLPMCPDHGDRRMLGLDYESKRGHIGLDYFGRTVFIKILPVGVHMGRLESVLNLPSTATKIKEIQKQFEGKKLILGVDDMDIFKGISLKLLALEQLLQQHPALQGKIVLVQIVNPARGSGKDVQEAKKETYLTAKKINEVYGSPNYQPVILIDRHVPRYEKSAYYALAECCIVNAVRDGMNLVPYKYLVCRQGTACMDEALGVKSDTPRTSMLVVSEFIGCSPSLSGAIRVNPWDIDAVAEALNTAITMPESEKQLRHEKHYRYVSTHDVAYWAHSFAQDLDRACQDHYSKRCWGIGLGLGFRVVSLSPSFRRLCIDHIVSAYKRTNRRAIFLDYDGTVVPEASIIKKPSPEVISLLKTLCDDPKNTVFIVSGRGRASLSDWLAPCETLGIAAEHGYFIRWSKNSEWETSPVGADLEWKRIVEPVMSLYREATDGSSIETKESALVWHHQDADPDFGSCQAKELLDHLENVLANEPAVVKRGQHIVEVKPQGVSKGLVAEKVLSKLINGGKPPDFVMCVGDDKSDEDMFESILTSVSNPSLPVAPEVFACTVGRKPSKAKYYLDDAADVLKLLHGLATATSSKPRFVPDIQVSFESTA >OMO78173 pep supercontig:CCACVL1_1.0:contig10626:17972:20773:-1 gene:CCACVL1_14606 transcript:OMO78173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLPLIALFLFFNLVLSEIILEEGYTVTTVIDGHKVNINPYAVIAPPGSSDLLLLDSSNSHLYTLSFPLSSESQLKRISSGEPKPGYSDGELGQARFNKPRSFALDAKGNIYVADKDNHVIRKITTSGNVTTIAGGYTRVVGNKDGPSQNATFSNDFELAIVAERCILLVVDHGSQTIRQIDLKSADCAAKSPSGQIFGNVAPECHERRVDSMIQANIMGFAKEAEEANALDEPVVSSSGLVKRR >OMO78174 pep supercontig:CCACVL1_1.0:contig10626:22096:33830:-1 gene:CCACVL1_14607 transcript:OMO78174 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat, ribonuclease inhibitor subtype MGFTSTLPLYSQPKILPNKQRLRFEPLGSHYGAGRLIPNTLPFISRRCYSRSKRLVVKAANGAEGGARRRVYRQSQAEQPLSSAPVKQVASFVVPAGVILAASFVLWKVIGKISTPKASKASPVEDKSISQGVKWSFAPGTNLLSGFASKIDRQSKQTLNEFAKELRAFSSVDMSGRNFGDEGLFFLAESLGYNQIVEEVSFAANGITATGVKAFDGVLQSNIMLKTLDLSGNPIGDEGVKCLCGILENNSSIQKLQLNSVDLGDEGAKDLAELLKKNPTLRVLELNNNMIDYSGFTSLAAALLENNTIRNLHLNGNYGGALGVNALAKGLEGNKSLRELHLHGNSIGDEGVRSLMSGLSSHKGKITLLDLGNNSITAKGAFHVAAYLKKSKSLLWVNLYMNDIGDEGAEKIADALKQNRTITTIDLGGNNIRAEGITVISESLKDNTVITNLEVGYNPIGPDGAKALSEVLKFHGNVKTLKLGWCQIGPKGAEFIADMLRYNNTISILDLRANGLRDEGAACLARSLKVVNEALTSLDLGFNEIRDDGAFAIAQALKANEDVTVTSLNLASNFLTKFGQSALTDARDHVYEMSEKEVSSSETKIEEQESTQTQVVKGPNRKLFSFVDCGGLCKARCSLHSRQNVCMRACGTCCARCRCVPPGTSGNRHICGRCYTDMTTHGKKQKCP >OMP01364 pep supercontig:CCACVL1_1.0:contig06463:4862:6136:1 gene:CCACVL1_03106 transcript:OMP01364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVQITIGVLVAAKYRLQQQ >OMP02225 pep supercontig:CCACVL1_1.0:contig06295:5102:11922:1 gene:CCACVL1_02866 transcript:OMP02225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transaldolase MELKSNHSSPVLTDPTPLNKSRLGIHSNLLSYPQSGGSLSSGKYITIPRKKPGKLDDVRSNGWLDAMKSSSPPRKKLIKDINIEVAAADDIDIAYCSWMIKYPSALKSFDQISKNAKNKKIAVFLDYDGTLSPIVDDPDRAFMSDSMRSAVRNVAKYFPTAIISGRSRDKVYELVGLTELYYAGSHGMDIMSPVSHTGSNGHTNCIRSTDQQGKEVNLFQPAREFIPMIDEVFKTLVENTKDIKGAKVENHKFCASVHYRNVEEKNWPTIAQCVHDILKDYPRLRLTHGRKVLEIRPVIDWNKGKAVQFLLESLGLSDRDDVLPIYIGDDKTDEDAFKVLREGNRGYGILVSPVPKESKAFYSLRDPSEVEYVDAQEFWLSTELDAVSALSEIVPDTVIFDDFEKFPPTAATVSSSLLLGILSLPDTIFRNAVDMALADSTCSALDNHELRLSCFVNKALVNVGGDLAKLVPGRVSTEVDARLAYDTHGIIRRVHDLLKLYNDVNVPPERLLFKIPSTWQGIEASRLLESEGIQTHLTFVYSFAQAAAAAQAGASVIQIFVGRLRDWARNHSGDPEIDAAIQRGEDPGLALVTKAYNYIHKYGHKSKLMAAAVRNKQDLFSLLGVDYIIAPLKVLQSLKESVTAPDEKYSYVRRLSPQSAASYNFTAEELVKFDQLSLASSMGPASVELLAAGLDGYVNQAKRVEELFDKIWPPPNV >OMO52081 pep supercontig:CCACVL1_1.0:contig15616:25169:25258:-1 gene:CCACVL1_29368 transcript:OMO52081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHLSHLPHSSHVLGNLSSESGSAGFLY >OMO86717 pep supercontig:CCACVL1_1.0:contig09428:11:3493:-1 gene:CCACVL1_09506 transcript:OMO86717 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MEIQHTKHESWSDTESTSSSRVGFSGPILVNNGNKKSTKKSARFKDEDNEYVEITLDIREDSVSVQNIKGGDSETAMLATRLERRPSLGSLMSFKIRQVSQELKRMTSAKALPFNKVDRNKSGAARALQGLKFMTTKNVGSEGWSEIEKRYEFGYTGMNESKEFAGELFEALARRRGITTSAISKADLREFWEQITDQGFDARLQTFFDMVDKNADGRITEEEVKEIIALSASANKLSKIQDSAEEYAALIMEELDKDNLGYIEIYNLETLLLQAPSQSANLVTDSRILSRMLSEKLVPTKERNPIKRWGRGIAYFLEDNWKRIWVLALWISICAGLFIWKFIQYKHRAVFDVMGYCVTTAKGAAETTKFNMALILLPVCRNTITWLRSRTKLGVIVPFDDNINFHKVVALGIAIGVGLHAGAHLTCDFPRLLHATDDEYEPMEPFFGEDRPNNYWWFVKGTEGWTGVAMVVLMAIAYILAQPWFRRNRLNLPKPIKKLTGFNAFWYSHHLFVIVYGLFIVHGYFLYLSKKWYKKTTWMYLAVPMLLYACERLIRTFRSGYKSVKILKVAVYPGNVLSLHMSKPQGFKYTVANTSLSLC >OMO86718 pep supercontig:CCACVL1_1.0:contig09428:10587:13440:1 gene:CCACVL1_09507 transcript:OMO86718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2 MEIISNNGKQIEEVSGQEENEEKEALILQKFRALLGLKSGKKRRTPFPECSSPSPSPYNIEAETSLPPAPSPAPASIKHPHFHSPPPRSSAMPLPHKTPKGNNADKGGKVRRILVPVLVSAGAAFLACLLGVICFCGKIKKHRKKYSTRSFLTYKKKGRSKGKSKSNKYASSTNSSSKVSLNPSLDLFYLNSLGGVDLEQQQQQALPNCLKQNGETEKTSNAMQESDNASSSSTREIMSVHEEVESDRYDSDHGVDKIIPIESHSSDDESFHSFANSHSSNIRLSNASAGSSVSDTLEVPPSMSPVISGHNRALEPQSPHSRGNPPDCSKNSTPPPPPPPPPPLLPPVARISPLNPSLSATKARFKASSSSTFPNGTSSKSLPSPPPCIPPPPCPPPFLKGSLPTPPPPAQLPDYMALGKDGSPLPKLKPLHWDKVRAAPDRSMVWDKLRSSSFELDEEMIESLFGYNIHNSMKNDETKSKTPSPSKHVLEPKRLQNITILLKALNVTPEQACNALIKGNGLSLQQLEALVKMVPTKEEEDKLFSYKGDINELGAAEKFVKLLLTIPFAFFRAEAMLYRETFEDEVIHLKNSFSMLEEACKELRSSRLFLKLLEAVLKTGNRMNVGTIRGGARAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEGIRVSDSIMGKINQGNKIRSNEEKEEDYRRMGLDLVSGLGTELYHVKKTATIDLDVLASSVSNLSDGKAKLQHLVQVELSNDEKSGNFIHSMNSFLIYADKNLKELQEDEHRVLLHVKEITEYFHGDVTKLDEANPLRIFVIVRDFLGMLDHVCKELRNLKVPSSPSPLAPFR >OMO86719 pep supercontig:CCACVL1_1.0:contig09428:17997:22786:1 gene:CCACVL1_09508 transcript:OMO86719 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-binding FH2/DRF autoregulatory MVPTKEEEDKLFSYKGDINELGAAEKFVKLLLTIPFAFFRAEAMLYRETFEDEVIHLKNSFSMLEEACKELRSSRLFLKLLEAVLKTGNRMNVGTIRGGARAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEGIRVSDSIMGKINQGNKIRSNEEKEEDYRRMGLDLVSGLGTELYHVKKTATIDLDVLASSVSNLSDGKAKLQHLVQVELSNDEKSGNFIHSMNSFLIYADKNLKELQEDEHRVLLHVKEITEYFHGDVTKLDEANPLRIFVIVRDFLGMLDHVCKELRNLKVPTSKQSKPSGSISVDFRIFLVCIAVAAEEPKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDTERLIGEAAKNQAALNAERTIFDVKRLIGRKFNDPEVQRDMKFLPYKIVNKDGKPYIQVKVKDEVKVFSPEEISAMILTKMKETAEAYLGKKIKDAVITVPAYFNDAQRQATKDAGTIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVYDLGGGTFDVSILTIDNGVFEVLATSGDTHLGGEDFDHRVMDYFIKLIKKKYNKDISKDNKALGKLRRECERAKRALSSQHQVRVEIESLFDGVDFSESLTRARFEELNMDLFKKTLGPVKRALEDANLKKSDIHEIVLVGGSTRIPKVQQMLKDLFDGKEPSKGINPDEAVAFGAAVQGGILSGEGGEGTGDILLLDVAPLSLGIETAGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVTIRVFEGERSLTKDCRELGKFDLTGIPPAPRGVPQIEVTFEVDANGILHVTAEDKAAKKAKSITITNDKGRLSQEEIDRMVKEAEEFAEEDRKVREKIDSRNKLETYIYNMRSTIDDKDKLADKIDSDDKEKIETTLKEALEWLDDNQNGEKEDFDEKLKEVEAVCNPVIKQVYEKSGGSSAGSQEEDEPTDEL >OMO49751 pep supercontig:CCACVL1_1.0:contig16413:2829:3032:1 gene:CCACVL1_30823 transcript:OMO49751 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGC/NDR protein kinase MVVSENHILGTFIRRYIFGSISDDDVPGSIVQPTSEDVMTETNNQENRNQMNRNESTDEAALEVQSS >OMO49752 pep supercontig:CCACVL1_1.0:contig16413:19516:19788:-1 gene:CCACVL1_30825 transcript:OMO49752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGWLQKRLEDVLEAIKLIQWASQCKQTNAEINEESKKALKSYEASILEYEAKLQTLKASLEKEKINAAEINTKTPSKESQNCFPNYNTL >OMP05554 pep supercontig:CCACVL1_1.0:contig05324:5392:7746:-1 gene:CCACVL1_01870 transcript:OMP05554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QYMKKLFTKQLSKTDSEKRLAIPTSSLQAFNLHGGCSLGFAAEDTMNGKIWQFFCTTRTKGGYSKPVISKGWVKGVQQLLKIKKLISFP >OMP05553 pep supercontig:CCACVL1_1.0:contig05324:2806:4248:1 gene:CCACVL1_01869 transcript:OMP05553 gene_biotype:protein_coding transcript_biotype:protein_coding description:GINS complex, subunit Psf3 MANYYNIDDILTEEEVEQGAKVELPLWLAQELCLRQAVSISVPACFNQKTRLEIQADAACVDLRSRSPYFYEFGCKIAPLVGDKTVEVLLLSAFKIRYKEILTKVYTAAHTETSKFLTLLTKEETNLYEAAQSSMAAFKKWWKGGPRLQRVSVLGRKRKPIE >OMP08086 pep supercontig:CCACVL1_1.0:contig04018:19500:19952:-1 gene:CCACVL1_01165 transcript:OMP08086 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MSKFDPYEHLNIRLNPDGTVTRLINFPTADANPEALQGNPTVSKDVTINLETKVWARIYLPTKLPSNDNTVARLPIIFYFHGGRFIFYTPANVMAHQACSTLASEIPAVVVSVNYRHAPEHRLPAQYEDAVDAIHWVKKTGFRPARRKMA >OMP08083 pep supercontig:CCACVL1_1.0:contig04018:5570:6724:1 gene:CCACVL1_01162 transcript:OMP08083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEIDRDWANIGSDPLSCIVEKTHSIQDRVRLSAVCRSWHASPGDKKIDLPICLMLAEKEKSDSRCFYNISEENSVELDLPEIRGRRCWGSPFGWMVTCGVDLEIQLFNPLTRATLPLPSLLTFTNQQDRPSEELWESFITKLILSSSPASPDCIVLAIYSEFFLLGFAKPGDQSWVHIDGSRPFDDGICFNGSFYAARNTGEVFICQDLDGPHPKVVEFASAPPTNNATNKYIVDLGGNLCLVSRIRGLFEVTNDEGEVTEKETSLTYGFEIFKLDMHTREWEKIMSLGALSLFLGYSYTFSVAAADYPGCRPNCIYFATDVNYFNCRTNSDIGIYNCDNFYNKEEEEIEYHVDPFPESQDVQDLHSPFAPPVWLALTRRYI >OMP08084 pep supercontig:CCACVL1_1.0:contig04018:13891:15843:1 gene:CCACVL1_01163 transcript:OMP08084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRFSPPEIAAETTPLHHRHHRINSHLLPPLLAGAIAVTIILLTVLTIFIYRKLYRNRTAPSESPSHRHCRRFSYSVLRRATSSFAPSNRLGHGGFGSVYKGTLPFSPQPLAIKVMNSTDSLQGEREFHNELSLSRALDSPHIVPLIGFSSDSRRRRSPESCVLRVMDSETSQAVSPEIGMEKASVLSEGFFDKDPVGGSESGRVKDYVMEWIGNEIKKDRPKNEWLASPSSVDNINESTVSSSNVEQKKEKVRKKERNRKPREWWKEEFCEELAKKKKKKRGLSSRNNGDFWWQRDEEMVDRKKKKSSRGSIDWWLDGFSDKDQALLCITIALLCLQRSPSKRPNMKEIVEMLSGEAEPPHLPFEFSPSPPSNFLFKSRRKAR >OMP08087 pep supercontig:CCACVL1_1.0:contig04018:21399:22708:1 gene:CCACVL1_01166 transcript:OMP08087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSSFIKTYLKCAGSAEQTRSHFQMAAQTQTEPPMTSTNDDLPYVLILKKPPTFHYFGDHSFDSPKYHLLKAYESPLPLPQFLLAHAQSVQAVVSTRGAPVTADIIHLLPSLRLVVTTSQGLNHIDLSECRLRGIAVAGAGTIYSADCADSVVALLIDVFRKISAANRFVKQGLWSSQSQPQYPLGSKLGGKRVGIVGLGSIGTEVAKRLEAFGCIISYNSRKKNPFVSYTFYPHVVELAANCDVLIICCALTDETYHLIDKQVLTALGENGVIVNIARGPIIDEKELVRCLVQGEIGGAGLDVFEHEPHVPTELFGLDNVVMSPHNAVFTNETFEDRRKLVVGNLEAFFSNDPLLTPVSLDDQPHFSGELLASLSS >OMP08088 pep supercontig:CCACVL1_1.0:contig04018:24844:31265:1 gene:CCACVL1_01167 transcript:OMP08088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQAQTQTQNQSQNDELPYVLILKAIPPAFRFFGDHFFDSPKFRFLKAYESPLPLPQFLQTHAQSVQAILSSGGAPVTADIIRLLPHLRLVVTTSQGLNHIDLSECRLRGIAVAGAGTIFSVDCADAVVALLIDVFRKISAANRFVKQGLWSSKPEYPLGTKLGGKRFGIVGLGNIGTQVAKRLEAFGCTISYNSRKKKPFVSYIFYPHVVELAANCDVLIICCALTDETHHLIDKKVLMALGKNGVIVNIARGAIIDEKELVRCLVEGEIRGAGLDVFENEPNVPEELFGLDNVVMSPHNAVFSKESFDDLRELVVGNLEAFFSNQPSLTPVSMDDLRFTVNNCPNLSSLSLRGFKPHTISRPLGCPCNHLREVITGAHGYRREIDIAVYLLNNAMVLEKMVIDPQTRSYDGNGRWEVTEASSSSTSAMSRQTFFQHLRVLPALIMSPFPDHFSMQQSCRVRVLILASLYSVTVYMWNYQSQSKEDNYILSRTRRRETDYGFFETPVVALALSVLKCGCGMNEGGQEKGLTAGHSGYGKRKGTREEKVTKVKGNVFKNNRVLMESFNKSKAEKEREKTLSDQFEAKHAKHKASRPEGRNALLRAEHQETGEAYQDSLLFSCMQNGGGQEKGLTL >OMP08082 pep supercontig:CCACVL1_1.0:contig04018:1061:4237:1 gene:CCACVL1_01161 transcript:OMP08082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMENSLKGKIRIIATRCLWLDKRKLLENAPNKIWKHRKARVTRKANKDWPYFEDMNQVLSDSEATPENEFRCSLNNDSIEDSSYVFTEAVWRNGKWEMGLVLKPQGVPVNEIDRDWANIGSDPLSCIAEKTHSIQDRVRLGAVCQSWHASLGDKKIDLPICLMLAEKEKSDSRCFYNISEENSVELELPEIRGRRCWGSAFGWLVTCGLLDLEIQLFNPLSRASLPLPSFSTFTHQQNRPSDELCKFFITKLILSSSPASPDCIVLAIYSEFFLLGFAKPGDQSWVHIDGSRPFDDAICFNGNFFAARNTGEVFICQGLDGPHPRVEEFASAPPTDAGYQKYIVDLGGNLCLLSRIVDPYMDKVTKVEEDLTNGFEIIKLDMETRNWEKILSLGDFSLFMGSCYTFSVVAADYPGCTPNCIYFTDDSPGGEGVDIGIFDCSNFNSDEEEEYPIDPFPESDDVQDLLSSFSPPVWLALTRKV >OMP08085 pep supercontig:CCACVL1_1.0:contig04018:17738:18768:1 gene:CCACVL1_01164 transcript:OMP08085 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-3 MADESSPATIDPYKFLRIVQNPDGSLTRLEYMPSVPATESDSTSFKDIPLNPINKTFIRVYKPPIPPPDTNNKLPLLIHFHGGGFIIFSVTTLPFHEVCAAKAAKLPAVVISLEYRLAPEHRLPAAYDDAMETIMWVRDQAMDLNGCDPWLKEYVDFSKCFLIGDSAGILRWVQRTESELRLVNDRIVPLVANDLMWSFALPKGADRDHEYSNPMATVAAGDDSGSQLKDKIARLPRCLVTGHGGDPLIDRQREFAKMLEARGVEVVANFAEGGSHGVEIFDPLKAKALLESIKEFIKASCQCVNVATATNSTL >OMO59090 pep supercontig:CCACVL1_1.0:contig14057:59605:62696:-1 gene:CCACVL1_25097 transcript:OMO59090 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative zinc finger protein MNSFSQVESTLKEILDVIKPLREDWVTRFKIIDELREVVQSMESLRGATVEPFGSFVSNLFTRSGDLDISIELPYGSFVSSAAKKRKLSLLGEILRALRQTGRWQRLQFIPHARVPILKIESKWQNISCDISIDNLQGQIKSKFMFWLNEIDGRFREMVLLVKEWAKAHGINNPKTGTFNSYSLSLLVIFHFQTCVPAIFPPLKDIYPGNVVDDLTGVRADAERRIAEVCSSNIARFKSGRLLNRSSLAELFISFIAKFSDIDSKASVMGICPFRGQWDYITSNTRWLPQTYAIFIEDPFEQPVNAARAVSQKQLITISDTFETTRPSQLLEIQWDSEAFSRSTSTRITGWPFTFGNAAIPLQERKALNLTSAASSSEDDVLNFTNAESNSEYAFILKSPTSISESKAGVDTLCLTSATSVSESEGGKLSRQFCHSKASSAIP >OMO59083 pep supercontig:CCACVL1_1.0:contig14057:5965:10351:-1 gene:CCACVL1_25089 transcript:OMO59083 gene_biotype:protein_coding transcript_biotype:protein_coding description:Regulator of chromosome condensation, RCC1 MNGEGKESEEVKMEIVVNRERLVFMWGYLPGALPQRTPLLSPVVVRIPASVDCSWTDVCGGGCGFAMAISDSGKLITWGSTDDLGQSYVTSGKHGETPEPFPLPTEASLVKAAAGWAHCVAVTENGEVYTWGWKECIPSGKVFGDPSMGTSLEKDVFERQNSFSSEQVSPHSQGSRSSGGTFPAADSKGSGEEGTKRRRISSAKQAAESSSSGDESLSALPCLVTLNPGVRIVTVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIEPSSYGKDRGAALSRGGMSSEGQNFRIPGSYVKAIACGGRHSAVITDAGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGIRIEAVAAGLWHTVCISADGDVYAFGGNQFGQLGTGGDQAETLPRLLDAPSLENKHAKIVSCGARHSAVITEDAKVFCWGWNKYGQLGLGDVIDRNIPSQVTIDGCRPKNIACGWWHTLLLAESPT >OMO59087 pep supercontig:CCACVL1_1.0:contig14057:39138:40435:1 gene:CCACVL1_25094 transcript:OMO59087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPATMDPQSPDEILLQQVVARLDQKLEQCRQQQTHHPATNYTICPFPDFLVGLDDHGIQSPKLASFGPHHKGKDHLLPFEGHKYDSLSRFLSRTENLGRNSSFYVQKLMGLRENTKRCYSEKIAMFPDDELVDMMLLDGSFMVELFREYEEDMIMSFQIQQHPKHLLDLFRLSLLPTPITNPQEKNYHPLVHSIQSVKQLRSAGIILRQKTAKSLLEIDFRKFQIPPLALKIPPVAIDDFTNTILVNCVALEQCLPDQSKHFTAYVCFMNCLMKQPEDVGFLQSVYIIKHVSADEKCFLNMLNSLGRNVSFSVRDCYLWKQFREINLYYNSWWASIRRNMFHYNNIMLYCSVLQIVVGVLSWSLSGK >OMO59089 pep supercontig:CCACVL1_1.0:contig14057:54275:58718:1 gene:CCACVL1_25096 transcript:OMO59089 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative S-adenosyl-L-methionine-dependent methyltransferase protein MKLLGGNLDFLKSQTAIKISAFIFISVAFFYLGKHWSDGSRQLIFFSRQSPSQTTPSITISPNFNKEFNISALINATDPVTDSNPASSAEIKENSAPPPPAPPPPDPIKTYGIVDENGTMSDEFEVGEFDPDSVENWGNGTEVEGESENEDVRVTFKVKKFGLCGENMREYIPCLDNVEAIKRLKSTEKGERFERHCPEKGNELNCLVPAPKGYKPPIPWPRSRDEVWFSNVPHTRLVDDKGGQNWISKSKDKFKFPGGGTQFIHGADQYLDQMSKMVPEITFGNHIRVVLDVGCGVASFGAYLLSRNVLTMSIAPKDVHENQIQFALERGVPAMVAAFATHRLLYPSQAFDLIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEEALEEQWEEMLNLTTRLCWNLVKKEGYIAIWQKPFNNSCYLNREAGTIPPLCDPEDDPDNVWYVDLKACISRLPENGYGANVAPWPARLQTPPDRLQSIQFDSYIARKELFKAESKYWNEIVASYVRALHWKKFKLRNVMDMRAGFGGFAAALIENQLDAWVLNVVPVSGPNTLPVIYDRGLIGVMHDWCEPFDTYPRTYDFLHAAGLFSIERKRCNMSTIMLEMDRILRPGGRVYIRDSIDVMDELQDIAKAMGWHPALRDTSEGPHASYRILACDKRLLR >OMO59088 pep supercontig:CCACVL1_1.0:contig14057:47375:52561:1 gene:CCACVL1_25095 transcript:OMO59088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKIAAEITVITPEVPTLTANSTKRKGKERMHSPAAITIQEPPATMDPQRPEDILLQHVMKP >OMO59084 pep supercontig:CCACVL1_1.0:contig14057:16679:16904:1 gene:CCACVL1_25090 transcript:OMO59084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWKIDMLLAEYGLSTKGDLDYKRQFAMASSLKITL >OMO59086 pep supercontig:CCACVL1_1.0:contig14057:36988:37071:1 gene:CCACVL1_25093 transcript:OMO59086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPMIAQMIETAPVSQVGAIITNATP >OMO59082 pep supercontig:CCACVL1_1.0:contig14057:2913:4958:1 gene:CCACVL1_25088 transcript:OMO59082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVALLANSCSCPLGLYTWKNRSQFPLNFNGNCGSSKRAVVVKAFIFDPNQVPILKQAVKEPVAFVGGMFAGILRLDLNEEPLKEWVTRTVEASAISEEEISEEGLKEEEDSPLEIEIE >OMO59081 pep supercontig:CCACVL1_1.0:contig14057:198:1143:-1 gene:CCACVL1_25087 transcript:OMO59081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTLKLLSTALLWLTCAVGVTLSATRCPSCGSTSVPYPLSTSPTCGDQSYKIRCDADSLVFDTLNNTYPIMSIDPSNQRLVIRPASFVPNTCVTTDLPFEGVQLNSSLPFNVTSSNTIMFLNCTDSILRSPLDCSSSGLCHQYVNQSSNATACEAAPICCTFIAGGGATAHAIRVRESGCRAYTSFVNLQSELPVNQWPQPGMELQWVSPPEPVCVTGSDCDGNSTCGPDPSSNGVQRCYCNSGLWWDPIQGICAENITCQNPDGCDGVDRTALIA >OMO59085 pep supercontig:CCACVL1_1.0:contig14057:17324:20592:-1 gene:CCACVL1_25091 transcript:OMO59085 gene_biotype:protein_coding transcript_biotype:protein_coding description:BTB/POZ-like protein MKRRRRRSITTTMDDFKDSVSKSVSETVNGSHQFTIKGYSLAKGMGPGKCIASDVFTVGGYDWAVYFYPDGKNPEDSAMYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRTTLETSDYIKDDCLIMNCTVGVVRTRLEGPKQCSISVPPSDMGQNLKTLLESEVGCDISFQVGDETFKAHKLILAARSPVFRAQFFGLVGDRNLDKVVVEDVEPSIFKAMLLFIYTDKLPDVQEITGSTSMCMSTNMVQHLLAAADLYNLDRLKVLCEAKLCEGLNADTVATTLALAEQHHCAQLKAICLKFAASPTNLGAVMQSEGFRHLEECCPSLLSELLKTFASGEESSSLLSSRKRSGSSVYGIDLAAEGPAAESVTPNGRRVRRR >OMO50982 pep supercontig:CCACVL1_1.0:contig16015:15132:15582:-1 gene:CCACVL1_30087 transcript:OMO50982 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MATTALDCEEVETQLSPCRIYLKSSGTTNKKECCNGINGMAASAADHQQVCKCIQKKIGGRFVPKKIKSLVETLPQECGVDFKISATADCSKIN >OMO91239 pep supercontig:CCACVL1_1.0:contig08365:20967:29308:1 gene:CCACVL1_07183 transcript:OMO91239 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCCH-type MTSSTVITKPRTWHRTNDSSASSAPLSANKPSFTAQTQMPRMAPAAATSYIRKGNTLVRKPVSVPTLSQPQASVYRRDSRVVDELKTKKGAGPNSKADSVDLRTGDGNAPFERPATPPLFSFPKVPNPTSNSSTLPVPSFSGFCETTTNHATSMEVTDVIVNSPEDGLNASRTPDSTGADQNESNLAPSSAKRVMYVKPKSNQLVATSDCGRACTLNNQPFSVSSDGYYKKRKNQLIRTALETHSNEAVNVSGGKRRSNKGQGLNEFVSFAVVVKAHKPSKFSLVWTLQSARLSNNDGYSLRHPKVLPHLFPWKRMTYWRSFKLNSVSSCNSSLSTISRKMLLLRKRNTVYTRSINGFSIRKSKVLSVGGSSLKWSKSIETLSRKANEEATLAVAEAERKKRERNHTISGTGKRGYSFRERIFRIGSVRYKMDSSRRSLQRISDDESSCSAGQPSENNVKIPRRLMIGNDEYVRIGNGNQLVRNPKKRTRVLASEKVRWSLHTARLRLVKKKKYCQFFTRFGKCNKDDGKCPYIHDPSKIAVCTKFLKGLCTNPNCELTHKVIPDRMPDCSYYLQGLCTNENCPYRHVHVNPNASTCEGFLKGYCADGNECRKKHSYVCPSFEVTGSCPHGSKCKLHHPKKRSRTKKSKRSMEHKNAYGRYFGIDILEPKRVVSETERHQALDDDDNFCNVKFSDYICLDVSDDEAGEVHRVINDQMAFGDNDSSDIQLDDPDELIKPIRIMNRPSSPKARRFSFTLIKMNVERLQKMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDVVIQFLNPKVQASIAANTWVVSGSPQTKKLQDILPGIINQLGPDNLDNLRKLAEQFQKQVPPAGAGAATTQEEDDDDVPELVPGETFEAAAEEEGQAAK >OMO91238 pep supercontig:CCACVL1_1.0:contig08365:15069:15212:-1 gene:CCACVL1_07182 transcript:OMO91238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAPLQVRIRCMRALIYTFSWLKLMCYRWRWIGRGAADIGGEMERR >OMO91237 pep supercontig:CCACVL1_1.0:contig08365:9378:12781:1 gene:CCACVL1_07181 transcript:OMO91237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQNGFVGEEDTVSSGGRTVVVGVKLDSPSRELLTWALVKVAQPGDSVIALHVLGNNEIVDRDGKSSLLSLVKAFDSVLAVYEGFCNLKQVDLKLKICRGSSIRKILVREAKSYSATKLIVGTTAKLHTIRSSTSVAKYCAKKLTKNCSVLAVHNGKVVFQREGSPVTTFGSQGIEDHKRNGLLNAIQRTMTLNKNSKVLSEGFANAVTNLNSDETNTKMLEQALVKASSAKQNCSICGSKNYSLLNNSAKEEDGNDGDNSLAIVPVQKAEAATGSISMLIKQLPEIRPGWPLLRRAVLSDRQQPVPDRSSLRQISVVQWVMRLPSRRTLYIGNSDQKQDMFDQSENKSSNFDGAIVPVGVENVITPPSPDYNSRNLPKELEGLHEKYSATCRLFKYQELLSATSNFLAENLIGKGGSSQVYRGCLRDGKELAVKILKPSEDVLKEFVLEIEIITTLHHKNIISLLGFCYEDDNLLLVYDFLSRGSLEENLHGNKKDSGAFGWTERYKVALGVAEALDYLHTNSEHPVIHRDVKSSNILLSDDFEPQLSDFGLAKWASTSSSHITCTDVAGTFGYLAPEYFMYGKVNDKIDVYAYGVVLLELLSGRKPINNDYPKGQQSLVMWAKPILSSGKVSQLLDSSLGDGYDRDQIERMVLAATLCIRRAPRARPQMSVVVKLLQGDVEVTKWARLQVNNALEGADTMDDEACPRSNLQSHLSLALRDVEDDSLSMSSIEQPVSLEDYLNGRWSRSSSFD >OMO74372 pep supercontig:CCACVL1_1.0:contig11135:30650:31588:1 gene:CCACVL1_16787 transcript:OMO74372 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, lateral root primordium type 1 MMMRQGGGGGFGGTRCQDCGNQSKKDCSYMRCRTCCRSKGFECQTHIKSTWVPAYRRRHRHPQQLSSVPQQQLLPLPHHNPKRLRENPSSPTGLEVGDFPAEVTSPATFRCVRVSSIDDADDQYAYQTAVNIGGHVFKGILYDQGPEGGGHHHHRSVGECSSRETHQQQPNHHQFNVAALTTTATTTTNTSSVAAETLLPFPYASPFNAFMSAGTQFFLHPKS >OMO74373 pep supercontig:CCACVL1_1.0:contig11135:36940:50343:1 gene:CCACVL1_16788 transcript:OMO74373 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini-chromosome maintenance, DNA-dependent ATPase MASDSSPFNGPSSPDDSLSSPIPNTSSPAHRRRRGRTRTPTPSSAATPPPNPSRFANSSSTPTPSHSARRGRHQPTSPAPAATPSSTDDFPPPSSDAGDDMDDVAPTFVWGTNISVQDVKNAIQMFIKHFRETQESSNDIFAEGKYTRLIHRVLEIEGEWIDVDAHDVFNYDSDLYNKMVRYPLEVLAIFDIVLMDIVSLINPLFDKHVQVRIHNLKSSTSMRNLNPSDIEKMVSLKGMVIRCSSIIPEIREAVFRCIVCGYHSDPVVVDRGRITEPTMCSKPDCLAKNSMALVHNRSRFADKQIVRLQETPDEIPEGGTPHTVSLLMHDKLVDAGKPGDRVEVTGIYRAMSVRVGPTQRVVKSLFKTYIDCLHIKKTDKSRMTAEDPMEVDSGSQSQRIEDDVQFDEEKVAQLKELSKQPGIYERLTRSLAPNIWELDDVKKGLLCQLFGGNALKLPSGASFRGDINILLVGDPGTSKSQLLQYIHKLSPRGIYTSGRGSSAVGLTAYVTKDPETGETVLESGALVLSDRGICCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPSGSRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVALHFEDAEIAQQDVLDLATLTAYVSYARKNIHPQLSDEAAEELTRGYVEMRKRGNFPGSSKKVITATPRQIESLIRLSEALARIRFSELVELRDVTEAFRLLEVAMQQSATDHSTGTIDMDLITTGVSASERMRRETVLTATRNIIMEKLQLGGPSVRLLELLDEMKKQSSGSEVHLHDLRNAVATLASEGFVVLHGDSVKRVTSPSQARHEWIVLDYPIIELNESNARDSMGEVRGGCCPPMDLFRSEAMQLVQLIIPMESAHLTVSYLGDLGLLQFKDLNSEKSPFQRTYAAQIKKCGEMARKLRFFKEQMLKAGFSSSIKPVERADIDVDDLEVKLGELEAELIEMNANGEKLQRGYNELVEYKLVLQKAGEFFTSAQHSATAQQREMESSQTGEESLETPLLREQETSTDPSKQVKLGFITGLVPRDKSMPFERILFRATRGNVFLKQVPVEDPVIDPVSGEKMEKNVFVVFYSGERAKNKILKICEAFGANRYPFAEELGKQALMITEVSGRTSELKTTIDAGLLHRDNLLRTIADQFEQWNLKVKKEKSIYHTLNMLSLDVTKKCLVAEGWSPVFATKQIQEALQRAAYDSNSQVGAIFQVLHTRELPPTYFRTNKFTSAFQEIVDAYGVAKYQEANPGVYTVITFPFLFAVMFGDWGHGICLLLATLFFIVREKKLSSQKLGDITEMTFGGRYVILMMSLFSIYTGLVYNEFFSVPFELFGRSAYACRDLSCRDATTDGLIKVRDTYPFGVDPAWHGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNATFFHNSLNIWFQFIPQMIFLNSLFGYLSLLIIVKWCTGSQADLYHIMIYMFLSPTDELGENQLFPGQKTVQLVLLLLALVSVPWMLLPKPFLLKKQHESRHQGQSYAPLESTEESLHPEANHDSHGHGHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSVVFYEKVLLLAWGFNNVIILIVGIIVFIFATVGVLLIMETLSAFLHALRLHWVEFQNKFYEGDGYKFYPFSFALLDDEDD >OMO74374 pep supercontig:CCACVL1_1.0:contig11135:51105:52901:-1 gene:CCACVL1_16789 transcript:OMO74374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRIVFLLFAIFSLFFVGVISAEAFSAEGSEVDPLIRQVTDRQDGAVPHLLTEEHHFSLFKKRFKKIYRSQEEHDHRFRIFKANLKRAERHQKLDPSATHGVTQFSDLTPSEFRRTYLGLRRLRLPKDANEAPVLPTDNLPEDFDWREKGAVTPVKNQGTCGSCWSFSTTGALEGANFLATGKLESLSEQQLVDCDHECDPEEAGSCDSGCNGGLMNSAFEYTLKAGGLMREEDYPYTGTDRGTCKFDKSKIAAKVANFSVVSLDEDQIAANLVKNGPLAVAINAVFMQTYMKGVSCPYICSKRLDHGVLLVGYGSAGYAPVRMKEKPYWIIKNSWGENWGENGFYKICRGRNICGVDSMVSTVAAVNTNSQ >OMO74371 pep supercontig:CCACVL1_1.0:contig11135:22380:24512:1 gene:CCACVL1_16786 transcript:OMO74371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNECPEEIFFQDEENDLSYWEFINSADSDADDVLSLSDASWHSSISSSPKESPIADMIQDSDGGLPHRDYESFHDDKYGFHELDRYGRVVCRVSTLQVQVGTPPPGLDVTRKS >OMO74369 pep supercontig:CCACVL1_1.0:contig11135:9568:14439:1 gene:CCACVL1_16784 transcript:OMO74369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHPKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHMSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHENPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGSLRKKSVSPADDILRLSQMNTDLFGGVDSVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNETKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDATKRTGLQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFAVSGDALFYAKDRFLRFYEFSTQRDTQVLPIRRPGSTSLNQSPRTLSYSPTENAVLICSDVDGGSYELYVIPKDSIGRGDSSQEAKRGTGNCAIFIARNRFAVLEKSSNQVVVKNLKNEVVKKSGLPIATDAIFYAGTGNLLCRSEDRVVIFDLQQRLVLGELQTPFVKYVVWSNDMESVALLGKHAIIIANKKLVHQCTLHETIRVKSGGWDDNGVFIYTTLNHIKYCLPNGDSGIVRTLDVPIYITKVSGNTIFCLDRDGRNRAISIDATEYIFKLSLLRKRYDHVMNMIRNSQLCGEAVIAYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLTTGNLEKLSKMLKIAEVKNDVMGQFHNALYLGDIRERVKILENAGHLPLAYITASVHGLDDVVERLAAELGENVPALPEGKEPSLLMPPTPVMCGGDWPLLRVMKGIFDGGLDNGIGRGAVDEEEGEEGDWGEDLDVVDVDGLQNGDITAILEDGEVGHENEENDEEGGWDLEDLELPPEADTPKVSGKSSVFVAPTPGMPVSQIWTQRSSLAAEHAAGGNFDTAMRLLSRQLGIKNFTPLKSMFLDLNTGSHSYLRAFSSAPVVSLAVERGWNESASPNVRGPPALVFNSSQLDEKVNAGYKATTAGKFTEALRLFLNILHTIPLIVVESRREVDELKELIIIAKEYALGLQMELKRKELRDDPVRQQELAAYFTHCNLRQPHLRLALQNAMTVCFKAKNLATAANFARRLLDTHPTNENQAKLARQVIQAAERNMTDASPLNYDFRNPFVTCGATYVPIYRGQKDVSCPYCTTRFVPSQEGQLCTVCDLAVVGADASGLLCSPSQIR >OMO74368 pep supercontig:CCACVL1_1.0:contig11135:3871:7300:1 gene:CCACVL1_16783 transcript:OMO74368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPLLKAWNPKGRLKPFTSTTSIEWRRRRRTLICAVNQDAEKAFQKTIEVDRLIDLLREATPNELQKLVVENILAFNEGFWIRLAARSDTCKSDDDKKDYEELASAIMSLVDRLVHKTHEKIDSATDVLKAILEPVVDEEKEIAWPPKNPEALEQMEKKIFQMELEGQLDEGFLAEVSAQLRQAKEDGDKPGLEAMLQKVLQLYAATVLSKRSYAKKGNEVLKAEQFLETVIKAPEQEWNKLLIDGLTAGKGEISPEDFYAVMKKRIERTLIRTEGGSYQQRILTEYLKGIQSRAEESVQYLQGNMPQQE >OMO74375 pep supercontig:CCACVL1_1.0:contig11135:57055:59940:1 gene:CCACVL1_16790 transcript:OMO74375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFPSLPTLILFTLVLNSALLFCNGGKTSVYIRKEEKTVDMPLGSDVFRVPSGYNAPQQVHITQGDHVGKAVIVSWVTEDEPGSNTVLYWKKGSDEKKKAEGKVTSYKYYNYTSGYIHHCTIKHLEYNTKYYYVVGDGHSMRKFWFTTPPEVGPDVPYTFGLIGDLGQTFDSNSTLTHYEQNPQKGQTVLFVGDLSYADNYPFHNNVRWDTWARFVERSVAYQPWIWTAGNHELDFAPEIGETKPYKPFTHRYHTPYQASGSTSPLWYSIKRASAYIIVLSSYSAYGKYTPQYEWLEAELPKVNRSETPWLIVLNHSPWYNSYQYHFMEGESMRVMYEPWFVQYKVDVVFAGHVHAYERSERVSNIAYNVVNGICTPVKDASAPVYITIGDGGNIEGLAINMTQPQPAYSAYREASFGHAIFDIKNRTHAYYNWHRNHDGAAVEADSMWFYNRFWHPAEEPASHSH >OMO74370 pep supercontig:CCACVL1_1.0:contig11135:16067:20982:1 gene:CCACVL1_16785 transcript:OMO74370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHPKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHMSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEHPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCATFHPKEDLVVSASLDQTVRVWDIGSLRKKSVSPADDILRLSQMNTDLFGGVDSVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNETKAWEVDTLRGHMNNVSCVMFHPKQDIIVSNSEDKSIRVWDVTKRTGLQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFAVSGDSLFYVKDRFLRYFEFSTQREAQVIPIRRPGSTSLNQSPRTLSYSPTENAVLICSDVDGGSYELYVIPKDSIGRGDSLQDAKRGSGSSAIFVARNRFAVLDKSNNQVLIKNLKNEVVKKSGLPVPTDAIFYAGTGNLLCRSEDRVLIFDLQQRLVLGDLQTPFVKYVVWSNDMESVALLSKHAIIITNKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYLTKVSGNTLFCLDRDGKSRTIIIDATEYIFKLSLLRKRYDHVMSMIRNSQLCGEAVIAYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNLEKLSKMLKIAEVKNDVMGQFHNALYLGDIRERVKILENAGHLPLAYITASVHGLQDVAERLAADLGDDVPPLPEGKEPSLLMPSTPVMCGGDWPLLRVMKGIFEGGLDNSIGRGAVDEEEGEEGDWGEDLDVDGLQNGDITAILEDGEVGHENEENEEEGGWDLEDLELPPEADTPKVSGKSSVFVAPTPGMPVSQIWTQRSSLAAEHAAAGNFDTAMRLLSRQLGIKNFAPLKSMFLDLNTGSHSYLRAFSSAPVVSLAVERGWNESASPNVRGPPALVFNSSQLDEKVNAGYKATTAGKFTEALRLFLNILHTIPLIVVESRREVDEIKELIIIAKEYVLGLQMELRRRELKDDPVRQQELAAYFTHCNLRPAHSKLALQNAMSVCFKARNLATAANFARRILENPSNDNQARTARQVLQAAERNMTDASQLNYDFRNPFVICGATYVPIYRGQKDVSCPYCTARFVPSQEGQLCTVR >OMO63866 pep supercontig:CCACVL1_1.0:contig12886:63900:68912:1 gene:CCACVL1_22226 transcript:OMO63866 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small-subunit processome, Utp14 MADKKRKERAGGGESRANRKFKRRSKKSKGPDQENKTKKKSMGPRLPTALRTELDRLNPRRSSDSDDDIDSDVANDVYEYEEEVPQEESGKNRRFDPVENYEYELPEDFEDENVSSDDDDDDEDDFDVGGNKRNQIEVVDHGSEGVEEEDDGRHLRMLQGITGMSSDAFEGKKKKNNVVISEAHPESEYNPTRDVLEGDGRITIQDLLDSIQEKPGYSKLRKRVQHMDRKSSTPIQAPLPKAERDKLERMAVYEHSKKDITKWEHLVKRNREAPTIFFGEDVDLGFSTVGAIASEFEPRTEFEKKIASLVYDDKVMEAHKADGSKLLELNKISEEEYMKNRNHIAKMRSLLFHHELKRKRIKKIKSKTYHRLKNKDKLKAAPAEMLMDSEAAKEQARKQEFKRAEERMTLKHKNKSKWARRILERGLNAQDEGTRAAMAEQLNQHALLTRKMNTLKDGSSSSSDSSSDEDDEGSDQDRASELLEKAKEKTLKILEEEEEVPNSGVLALPFMVRGMKKRKELAMEEAKLALQEYEKLEGTAGAVNAEPANASGRRVFGMANKKAPESNNKTKTDNNNMKIDNYYGNSDSEDDIEAKERPDFEGGRRNDVEKAAYPNSVCNEATGIRQDSVFKNFDDIVGDPGSKTTYEVSIFASDSWKKMKSGNAVEPKVKKSQEIREAVVHNQDLEEGEEGSDSDSEGQMVDGILSSGPKDSYELPSQSELIRHAFAGDDVEEEFEKDKQAILNEENPEAEKPVSLPGWGQWTHIQQKKGMPSWMLKEHEDAKQKREEALKKRKDAKLKHVIISEKLDKKTEKLQTKTLPYPFTSKEVFEQSMRMPIGSESNPETAFRALNRPEVVKKPGVIIKPIKFEEVHQHEKKEDHKRSGQKQKSNRSKGAVGKTKKQ >OMO63865 pep supercontig:CCACVL1_1.0:contig12886:48826:59540:1 gene:CCACVL1_22223 transcript:OMO63865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLKVDQLRGELAKRGLDTTGTKPFLVQRLKEALLKEETKEEDNKENDDANNAFQNNKKRRGRELDENGNKDSNKVKAVEEFRRMNVKQLRERASLRGLSTVGAKKELLDRLCEDAEKNPLDGAVKEDKKGIKEEKIVTATKKGAAILDQGIPDEIKAKYHVLKKGDDIYDVMLNQTNVEHNDNKFYVIQLLGRTWFLIDGVELAKRVKEIGAQMRETKLEQRVAKFISLICNFSMMKQQIMEIGYNADKLPLGKLSKSTILKGYGVLKRISYVIGQSNRSMLEQLSSEFYTLIPHDFGFRKMCDFVIDTPQKLKKKLEMVEALRKIEAATKLLDDAMMEEDPLHYRYQQLHCELIPLDADSEEFAMISKYTRNTHAKTHLYYTVDIVQIFKVAREGENERFEKFSGTKNRMLLWHGSPLTNWTGILSQGLRIAPPEAPATGYMFGKGVYFTDMFSKSANYCYANSAYTTGVLLLCEVALGDMAEHLHAEDYADNLPVGKLSTKRIGATAPDPSEAQVLDDGVIVPLGKPKEQKREGDLLYNEYIVYNADQIRMRYLVQDGEIGRWLEAPWLPSRATKPSPAPPPTKSRLLLNFEATESADPTESAILNGLYLWALEVKMMASKLKVDQLRVELGKRGLDTTGTKPFLVQRLEEALLKEEKKEEDNKENDDANNAFQNNKKRRGRELDENGNEDSNKVKVVEEFRQMNVKQLREQATLRGLSTVGTKKELLDRLCEDAEKNPLDGAVKEEEKESSKGEKIVTATKKGAAVLDQGIPDEIKAHYHVLQKGDDIYDVMLNQTNVGHNNNKFYVIQLLESDDSKTYMVLNRWGRIGVKGQTKLHGPYTSQEVAVMNFENKFFEKTHNYWSNRKDFVCEPKCYAWLEMDYGEQENESTVKEKTDSSIGAQMRETKLEQRVAKFISLICNISMMKQQMMEIGYNAEKLPLGKLSKSTILKGYGVLKRISDVIGQSDRSMLEQLSSEFYTVIPHDFGFRKMRDFVIDTPQKLKKKLEMVEALGEIEVATKLLMDDTMMEEDPLHYRYQQLHCEMIPLDTDSEEFAMISKYTQNTHAKTHSNYTVDIVQIFKVAREGENERFKKFSGIKNRMLLWHGSRLTNWTGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYAYANSALTTGVLLLCEVALGDMAELLHAKSDADRLPNGKLSTKGVGAAAPDPSEAQALDDGVIVPLGKPKEQKREGALLYNEYIVYNVDQIRMRYLVQVDFKYT >OMO63859 pep supercontig:CCACVL1_1.0:contig12886:24019:24495:1 gene:CCACVL1_22217 transcript:OMO63859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQSLILLILLTSLPASFALTDEKLSAYQVLQQYDFPIGILPEGVTGYELDQETGEFKAYLDGTCTFPIESYDLRYKSTISGVISKDKITKLKGVSVKVLFFWVNIAEVTRDGDELSFSVGIASASFPLENFYECPKCGCGLDCLNSNYLDGFVASV >OMO63860 pep supercontig:CCACVL1_1.0:contig12886:27376:36932:1 gene:CCACVL1_22218 transcript:OMO63860 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S4e MALHLNSILLATFPLLFLLIQTTPSISLALTSTDDSPSAYEILEGYNFPIGILPKGVLNYDLDESTGRFHAYLNGSCSFSLEGSYQLKYKSTISGYVSNNKLRDLSGVSVKVLFLWLNIVEVTRHGDQLEFSVGIASASFPIDNFYECPQCGCGLDCVNAKARGLKKHLKRLNAPRHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVMVDGKVRTDKTYPAGFMDVVSIPKTNEDFRLLYDTKGRFRLHAIAGDETKFKLCKVRSVQFGQKGIPYLNTYDGRTLRYPDPLIKPNDTIKLDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHIQDATGHEFATRLGNVFTIGKGTKPWFDSQSQSPKAVYRSAAGFSSAGNAQQLQRSPKKTCFVSNLCVVREPDRYSIWNGPPFNNNNQPGIKIEKVPCVSAKFSEDGTRIVVTKSDSSITVYESNTLKEIRCFQIPNVTASALSPRGTYLQTFQKSTTPQEKNAVLWNVETGDFVYQQFQKNMTKATWPSIQFSSDESVACRLATNEIQFFDATDFSKGILYRLRIPGVTAVELSKAPGSHVAAFVPESKGSPASVQIYACGKELQSQPLARRSFFRCSTVQLHWNRGSTGLLVVVQSDVDKTNQSYYGESKLNYLTTDGAHEGLVPLRKEGPIHDVQWSYSGKEFAVVYGFMPASATIFDKKCKPLLELGSGPYNTIRWNPKGKFLCLAGFGNLPGDMAFWDFIDKKQLGTTRAECSVTSEWSADGRYFMTATTAPRLQVDNCIKIFHYNGALFFKKMFDKLFQADWKAETPDKFGEIAELIKSVDSMKVEEPKSQGQGSTSKKPTSSNPPAQKPAAYRPPHAKNAAAVQAEVLSDDYGVLG >OMO63869 pep supercontig:CCACVL1_1.0:contig12886:79242:81709:1 gene:CCACVL1_22229 transcript:OMO63869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNQIHVSLSLSSENPETKSAEEQNQTQNQNQLEILPSQSPFATVSLSLSSLPTILPTHFFTQTKITSLFSSASPSKVKVPTQASSLAHLSLSSTSPSPSKISFKSTISNNPLQSPLSLGPRRPLDPSNGAAIRRASIVWFRNDLRVHDNECLNTANNESVSVLPVYCFDPRDYGKSSSGFDKTGPYRATFLIESVSDLRKNLQARGSDLVVRIGKPESVLVELAKAIGADAIYAHREVSHDEVKAEEKIEAAMKEEGVEVKYFWGSTLFHVDDLPFKLEDMPSNYGGFREKVKGLDIRKTIEALDQMKGMPSRGDVETGDMPSLTDLGLNPSATMAQDGRPSVNASMIGGETEGMQRLKKFAAECQAQPHKGGKDSIYGANFSCKISPWLAMGCLSPRAMFDELKKTANRTVSAASNKNDGGSSSPDTGMNWLMFELLWRDFFRFITKKYSSAKVGTAPATATTGALA >OMO63857 pep supercontig:CCACVL1_1.0:contig12886:14949:16573:-1 gene:CCACVL1_22215 transcript:OMO63857 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18e MGIDLVAGGKSKKSKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKVNKPPLSLSRLIEFMKGKEDKIAVVVGTVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNSREAVKHFGPAPGVPHSNTKPYVRAKGRKFERARGRRNSKGFRV >OMO63871 pep supercontig:CCACVL1_1.0:contig12886:85797:85877:1 gene:CCACVL1_22231 transcript:OMO63871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLELTRKATQDRGESPPIKAESGDG >OMO63856 pep supercontig:CCACVL1_1.0:contig12886:2564:4139:-1 gene:CCACVL1_22214 transcript:OMO63856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQISELPDEVIVDILSRLTVREAAKTSVLSRRWEHLWKFSTSLNFDEMDRLSNIKTLNKRPSALDPDRDWYINCVNKAIDSHQIPWAEELRVCFDLDKRCSDHIDKWVTSALGKRVKRLELDLRRMSVLDMALKRSERYILSNLGRFEDCSFESLTCLQLNTVEVTGDMLHYFLCNCPLLERLSVQKSQSLIKLKIPANAATSLTLRYLEIRGCHNLEEIDIVAATNLISFKYHGSKIDFHWNHMLPTEASLGAEFGRDLLEAHVEGSSYFSQVQKLTWDYQSFFIYERKTVPQFPKLKQLDWNFRSDSFMDLDHVSKLLKACPFLSKITLKFTADLRMSEKLVVVPRCPLECLEEVEMVGFKGLPAEVVFAMFLVENAKSLKKMTIDTCPPFYKGTPVEFSDSDCHYRQAPRKDAELLIENISSKSRAQVVVL >OMO63858 pep supercontig:CCACVL1_1.0:contig12886:19266:21677:1 gene:CCACVL1_22216 transcript:OMO63858 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex subunit Sec15-like protein MQSTRSRRKVAPAAADGGDSGDKLEQLLLSSAVCNGEDLGPFVRKAFASGRPETLLHHLRHFSRSKESEIEEVCKAHYQDFILAVDDLRSLLSDVDSLKSSLSDSNSRLQSVAGPLLSSLDSLVEAQNVSKNVDFALHSVKSCIKLMELCSRANHHLSNGSFYMALKCLDSIENEFLDKTPSSTLKKMLERKIPEMRSHIERKISKEFGDWLVEIRVVSRNLGQLAIGQASASRQREEDLRIKQRQAEEQSRLSLRDCVYALEEDEDEGGLGGDENDGYGLEDRFKQYYVENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFIVEDRVLRTGGGLISKMEVENLWETAVSKMCSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRRYGYPVDALLDVLSKHRDKYHELLLSDCRKQIAEALAADKFEQMLMKKEYEYSMNVLSFQIQTSDIIPAFPYVAPFSSTVPDCCRIVRSFIEDSVSFMSYGGQLNFYDVVKKYLDRLLSEALDGALLKLISSSVHGVSQAMQVAANMAVLERACDFFFRHAAQLSGIPLRMAERGRRQFPLNKARDAAEEMLSGMLKTKVDGFMTLIENVNWMADEPSQGGNEYVNEVIIYLETLVSTAQQILPPQVLKRVLQDVLSHISEKIVGALLGDSVKRFSVNAIMGIDIDIRLLESFADNLAPLFSEGDANQLKNALAESRQLINLLLSNHPENFLNPVIRERSYNALDYRKVVTISEKLRDPSDRLFGTFGTRGAKQNPKKKSLDALIKRLKDVS >OMO63864 pep supercontig:CCACVL1_1.0:contig12886:47711:48372:-1 gene:CCACVL1_22222 transcript:OMO63864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERQEVQSDPQIQSPQSSKEDMISWVVALETALLPCLPARELQAIDRSPHPSHQIDVERHARDFMEAAKKLQLYFIGLQREDQPTRAEMLRKEIATMEEELKVKSEIIKNQERLIEGWRKDIVDQLQKHKTELEKV >OMO63861 pep supercontig:CCACVL1_1.0:contig12886:40140:40547:-1 gene:CCACVL1_22219 transcript:OMO63861 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein, LEA-5 MARSFSSAKLFSTFVADGISNAISRRGFSAASQGIASSGVRAAAARNPTVVKKTGEEIAGAKEKVAWVPDPVTGHYRPENSNEIDVAELRAMLLKKN >OMO63867 pep supercontig:CCACVL1_1.0:contig12886:69651:75637:1 gene:CCACVL1_22227 transcript:OMO63867 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97/Spc98 MLHELLLALVGYTGDLIIDEREHQKSLGVNLSPDAPISDQRSFKLAPDISFIDPAERDLIERLITLGFYYRELDRFAAKSRNLSWIRSADVSPSDRASELSKPKAQKPSVYRRAIANGLVEILSLYRSAVLQIEQKLLSETMPILATVTQGLNKFFVLLPPLYDLILEIERDDIRGGQLLNLLQKRCHCGVPELQACIQRLLWHGHQVLYNQLASWMVYGILQDQHGEFFIRRQEDRDVEYGSSIPDMSEKLARLSTDDSSLKDWHLGFHIFLDMLPEYIHMRVAESILFAGKAIRVLRNPSPAFQFQDALGNQRKTEGFQKVQRLAGHIPLPKEPLLNIKVIGEELLPQSEADKIETMLQDLKESSEFHKRSFECSVDSIRAIAACHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMVPFQLAAIKTIGEEDKYFSKVSLRMPSFGITMKSSQVDLPKTKTYADGSSGAVLSSTSSETSLDGWDGIALEYSVDWPLQLFFTQEVLSKYRRIFQYLLRLKRTQMELEKSWASVMHQDHTDFAIHRNDRINCSISQPRRQRFRPMWRVREHMAFLIRNLQFYIQVDVIESQWNVLQSHIQDSHDFTELVGFHQEYLSALISQSFLDIGSVSRILDSIMTLCLQFCWNIENQESSQNTSELERIIEEFNKKSNSLYTILRSSRLAGSQRAPFLRRFLLRMNFNSFFETTARGVMNVVRPRPSVPVSNQQ >OMO63863 pep supercontig:CCACVL1_1.0:contig12886:44927:47110:1 gene:CCACVL1_22221 transcript:OMO63863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISQGEEISPNMTLYINNLNEKIKIDQLKKSLHAVFSQFGKILDVLAFKTLKHKGQAWVIFEDVNSATNALRRMQGFPFYDKEMRIQYAKTKSDIVAKADGTFVPREKRKRHEEKGGKKRKEQVDPNQAAAGLNPAYAGAYGATPPLSQLPYLGARPIVPEAPAPPNNILFIQNLPHDTTPMMLQMLFNQYPGLKDVRMVEAKPGIAFVEYENEMQSTVAMQALQGFKIQQNQMLITYAKK >OMO63870 pep supercontig:CCACVL1_1.0:contig12886:82199:85390:-1 gene:CCACVL1_22230 transcript:OMO63870 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/calcium exchanger membrane region MGFIHKQAADDSMLGISRMLGEGKCENYLLFHYETLLGNGLRAFLYFLGLAYCFIGLSAITARFFRSMENVVKHSRRVVEIDPNTNTEVVRHEKVWNYAIADISLLAFGTSFPQISLATIDAIRNMGNLYAGGLGPGTLVGSAAFDLFPIHAVCVIVPKAGELKKIADIGVWLVELFWSFWAYVWLYIILEVWTPNVVTLWEALLTVLMYGLLLIHAYAQDKRWRYISLPLERTERPEDWVPAEVASGKYDDNAHDGYSEMPPVVEEDEKKDIVDIFSIHSENQAGTSYHKVPTTEDLVESCGRPFQKEINVEDPHLLRIWKTQFLDALILESPESRKLNNFPIRLARMFWQLLLLPWRLLFAFVPPYQIAHGWIAFICSLLFISGIAYIVTKLTDLISCVTGINGYVIAFTALAAGTSWPDLVASKIAAERQITADSAIANITCSNSVNIYVGIGVPWLIDTAYNFFAYREPLRIQNAEGLSFSLLVFFSTSVGCILVLVLRRLTLGAELGGPRFWAWVTSVFFMLLWLIFVVLSSLRVSGII >OMO63868 pep supercontig:CCACVL1_1.0:contig12886:76364:78520:-1 gene:CCACVL1_22228 transcript:OMO63868 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine carboxyl methyltransferase MDRALRCAPYEPLFVDQYSGCFAPVQSPPQIDLKNQVNHYCIATKYIDDKLLRSVNHMDGLKQVVLLSDGMDTRPYRLNWPSSTIIFDLSPERVFQKADEKLKGVGAKIPRSCVLIHVPLESPDIQQTLRLKGFNGNHPSIWAIQGLPLMTLASFEEILFVVGSMAMNGCHFLGELPAWLAETEFGARSSTKKWMDKLFMSNNFQVEMVHYNEIAKSLGKELVPRDYRNILFVAQQLRFSDDQVETWRRELQRVEEDGDEEGFEEL >OMO63862 pep supercontig:CCACVL1_1.0:contig12886:41191:42799:-1 gene:CCACVL1_22220 transcript:OMO63862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANERRERSVERRTRQVLTTYFQKKRRRKLRRLFLTMVVLHVDRYDVTPSRING >OMP02825 pep supercontig:CCACVL1_1.0:contig06180:25328:29586:1 gene:CCACVL1_02686 transcript:OMP02825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exonuclease MDPTKSSDRSEICFFDLETTVPSRRGQGYAILEFGAILVCPKRLVELNSYSTLVQPDDLSSISVASERCNGITRADVAKAPSFSQVADIIHDFLHGRIWAGHNIVRFDCVRIREAFGKIGRLPPEPKGTIDSLPLLTVKVGRRAGNMKMATLANYFKIGEQKHRSLEDVRMNLEVLKYCATVLFLESSLPDILTADSVVSSRPTTRSSSNSNSSPERPNLNMHTPSSSMISENVPNLSPTDLENVEYHPIVTLLTRPSGEDVSNSDVSDQAQPDPFDMSPLSNEIKTEALESDVTMEEKSEPQSPEMFTTTPTAEGCSGYAEFLEPDEVSVTSISASLIPYYRGTQRIQLMHKDVVLDLSCSRLRVRFGVNTKFLDHAGRPRLNIMVDASPNLCNVLDTCDDVAKKILQECGSSSEWRPVVIWSDGYIKYPRVRLNIPTVVNGDIALYATEIYQKDCSGTVQKTSVQQIRP >OMP02824 pep supercontig:CCACVL1_1.0:contig06180:22562:23737:1 gene:CCACVL1_02685 transcript:OMP02824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCGDDGIGFAREVFDEMGERNVVSWNSLLAGYIRCGDVDMARRVFDEMPERNVVSWTTMVAGFARNGKCKQALSYFNQMRRARVELDQVALLAALSACAELGFLDEAFELIKTMPVKPNDAVCGALLGGCQIHKNADLASQVAEKLVAELDPNHAAGYLVLLSNVYATAKRWQDVANVRQKMIEMGVKKPVGRSWVQIDGAVQYFISGDNTNKHASSIYDMLWQVTRQAKQEGYEPDTSEIISNME >OMP02823 pep supercontig:CCACVL1_1.0:contig06180:11773:13329:-1 gene:CCACVL1_02684 transcript:OMP02823 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MVSILKIYKFFLQWLLKLVARLSPRTIEIEPGTALAFAKDYSVYVLDFLFFGGSITDKSERSVKFQAECVAKGLRQLGVQKCTLVGFSYGGMVGFRMGEMFPDLVESMVVTGSVMAMTESITHAGLERIGFSRWADYLLPVSAKGVETLFQVACYRFPKLPNWFYNEMLHDFNNYRVEKGELLNALVISDQEFTIPCYQQSIHLLWGENDKIIDLATAQNIKRQVEEKASLEYIEKSGHIARMERPLVYNNHLRKILASLSLKYHIYSLCVG >OMO91871 pep supercontig:CCACVL1_1.0:contig08293:2612:2692:-1 gene:CCACVL1_06979 transcript:OMO91871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWRLRFEVFRYEEERYVKITVRKIF >OMO91877 pep supercontig:CCACVL1_1.0:contig08293:40807:42186:1 gene:CCACVL1_06985 transcript:OMO91877 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acid phosphatase (Class B) MSAYAHQMEREFSAQSLMSREDTGTEMGSSYVVESGFYMTSFAATIFIAALVTFGVLLITLLVTLAVMLQSCENRSKGVVEIDKSIENSYHYCNIFALHGELNSLEANEVPPVCKSLAIRYIKGGQYARDLNFTMWMIENFFNSVSPSNDHREAVLLDIDDVLTSHLWRVSPSDRIEDATYLKHLLTLELYKKLQSKGWVLVLLSRKPEKEQNATIEHLSSLGYSGWSSLIMRLDNEMKMDTREYFSIRRAAMKEGGTQVISVISSQMDALTGSFPGIRIFKLPNPLYYYSNSHIENGFVM >OMO91879 pep supercontig:CCACVL1_1.0:contig08293:88401:97120:-1 gene:CCACVL1_06987 transcript:OMO91879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTQLLKRDKVPYRFFQL >OMO91875 pep supercontig:CCACVL1_1.0:contig08293:32033:34185:-1 gene:CCACVL1_06983 transcript:OMO91875 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase class 1/Sedoheputulose-1,7-bisphosphatase METGVTCYARGIVIPSGSSKHSSALVSPSSISPSFSSRSLKSSSIFGESLRVVPRSSLKISKAKNSSSLVTKCEIGDSLEEFLTKSTSDKGLIRLMMCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDMLANKLLFEALTYSHFCKYACSEEVPELQDMGGPAEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVKGADQVAAAMGIFGPRTTYVLALKDIPGTHEFLLLDEGKWQHVKDTYEIGEGKMFSPGNLRATFDNPEYDKLINYYVREKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPSAKAKLRLLFEVAPLGFLIEKAGGYSSDGKQSVLDKVIESLDERTQVAYGSKNEIIRFEETLYGSSRLKAGEPVGAAA >OMO91873 pep supercontig:CCACVL1_1.0:contig08293:14968:15935:-1 gene:CCACVL1_06981 transcript:OMO91873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLYATSFMSNSDWFDDQENNNSTYWTKEENKRFESALAIYGEDVPDRWRRIAAMIPGKSVDDVIKQYKELVEDVFDIEAGRVPIPGYLSSSPFSLGHDFDAYRKRPAASRNPDQERKKGVPWTEEEHRRFLMGLLKYGKGDWRNISRNFVVSKTPTQVASHAQKYYQRQLSGGKDKRRPSIHDITTVNLTNTAFSDNKPPSVNQSNLLALQQKLACMSKVGHNWNHNSNNHDGSTHGNWFTSSQYQTASNGLRLQGQNLYGSAYIKPQSSVF >OMO91876 pep supercontig:CCACVL1_1.0:contig08293:35736:39230:1 gene:CCACVL1_06984 transcript:OMO91876 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fumarate lyase MSADNSREFELSTLTALSPLDGRYWGKVKDLAPYMSEYGLIYFRVLVEIKWLLKLSQIPEVTEVPSFSAEAQSYLQGLIDGFSIDDALEVKKIERVTNHDVKAVEYFLKQKCQSHPEIAKVLEFFHFACTSEDINNLAHALMLKEAMTKVMFPVIDKLIEAISNMAKANASISMLSRTHGQPASPTTLGKEMAIFAVRLSRERQEISQVEMMGKFAGAVGNYNAHLVAYPNVNWPQIAEEFVSSLGLKFNPYVTQIETHDYMAKLYYAIIRFNNILIDFDRDIWGYISLGYFKQITKAGEIGSSTMPHKVNPIDFENSEGNLGKANEDLSFLSMKLPISRWQRDLTDSTVLRNMGGGLGHSLLAYKSALQGIGKLQVNEARLSEDLNQAWEVLAEPIQTVMRRYGVPEPYEKLKELTRGRAVTKESIKEFIEGLELPKEAKTGLLKLTPHSYVGAAIELAKTVDSTVNIINGTKVLETSTHH >OMO91878 pep supercontig:CCACVL1_1.0:contig08293:61217:62362:-1 gene:CCACVL1_06986 transcript:OMO91878 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 17 MSIFLFFCIAFSFLHFTTSLPSIGVTYSAVPTAVTSSNPESPAKISSTISALKITHVRLPDSDPTLIKSFAFTNTSLFLSIPNSFLPALATNRSVALQWIYSHVLPFYPRSKIALISVGNAVFDSAPKDYSPFLIPAIRNLHVALQELGVNKIPISTTFSFFSTITTAFPPSSAQFQQPAGDLIIKPLLQFLAQTNSSFLINLYPYNVFRLNNEIPIGFALFQDYPFNFRDDLVTGVRYFNLFDMMVDAVITAMAVVGHENIPVIVAETGWPSGGGEAGEVEANGIYAEMYLKGLVRHLKSGMGTPLRKEGISEVYVYELMDHANGSNKEKGRKWGILSENMTRKYDVDFSSGAQIHRSMLWIISLLLWFGFCLPLILVNL >OMO91872 pep supercontig:CCACVL1_1.0:contig08293:6154:9564:1 gene:CCACVL1_06980 transcript:OMO91872 gene_biotype:protein_coding transcript_biotype:protein_coding description:coronatine-insensitive protein 1-like protein MTSPTMSDVVLGCVMPYIHDPKDRDAVSLVCRRWYELDALTRKHITIALCYTTSPERLRRRFGHLESLKLKGKPRAAMFNLIPEDWGGYVTPWVNEIAENFNCLKALHFRRMIVKDSDLEVLARSRGKVLQVLRLDKCSGLSTDGLLHVGRMCRQLRTLFLEESSIVEKDGQWLHELAVNNSVLETLNFYMTDLVKVSFEDLELIAKNCRNLVSVKISDCEILDLLGFFRAAAVLEEFCGGSFNEQEQPEKYSVVSFPPKLCRLGLTYMGKNEMPIVLPFASLLKKLDLLYALLDTEDHCTLIQRCPNLEVLETRNVIGDRGLEVLARSCKKLKRLRIERGADEQEMEDEEGVVSQRGLMALAQGCLELEYLAVYVSDITNASLEYIGMYSKNLCDFRLVLLDREESITDLPLDNGVRALLRGCEKLRRFALYLRPGGLTDVGLGYVGQYSPNVRWMLLGYVGESDAGLLEFSKGCPSLQKLEMRGCCFSEYALAATVMQLPSLRYLWVQGYRASPLGRDLLAMARPFWNIELIPARRVVMNDQAGEAVVVEHPAHILAYYSLAGPRTDFPDTVKPLDPLVST >OMO91874 pep supercontig:CCACVL1_1.0:contig08293:23169:23651:-1 gene:CCACVL1_06982 transcript:OMO91874 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyketide cyclase/dehydrase METGQRKWHGSVSGIVDSSLDEVWGIVSQTKRLSEWMPMVERCTDLVGDEGIPGYVRLVSGFMFPQEDGERSWIKERLVAMDSTSHSYVYKLEASNVGLEGSVNTLKLIGYGDSTLVNWSFEIDPLEGAMEDSIIDYLGFLYKSCINRIQGAIEAASKKV >OMO55217 pep supercontig:CCACVL1_1.0:contig14766:601:2267:1 gene:CCACVL1_27350 transcript:OMO55217 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MASKVRPVLLCICLSFSISSRSWWLRLLPVHFLLLVSITGNINAEPQVPCYFIFGDSLSDNGNNNNLATTAKVNYSPYGIDFPKGPTGRFSNGRTMQDFIVQLLEFEEFIPPFATVSGREILKGVNYASGSAGILNETGEQVGDRISMNKQLSNHQITVSTIAEILGKCSASKLLSKCMYAVQIGSNDYINNYFVPDFYNTSRLYTPQEYAAYLIEQYSLQIKTLYNNGARMFALFGIGSIGCTPNAIATYGTNGSACVEEMNHIAQLFDDKLISLVDELNSNLTDAKFTYLNPSGTTNGFTVTTASCCKIGSDQRCIPDSEPCANRSQYVFWDAFHPSEAWNEVIAIGAFTSQSSTEAYPLNIQNMAKLQSNNEGDHDCQWSVLADDSHVIM >OMO55219 pep supercontig:CCACVL1_1.0:contig14766:5287:5781:1 gene:CCACVL1_27352 transcript:OMO55219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPTTTAAEVVPAVIVGGVRVGKALQDMGKGDDLLVKRGEAMPLDFEGPILVCTRNDDLEDVLESTPKSHWNAF >OMO55218 pep supercontig:CCACVL1_1.0:contig14766:3039:4085:-1 gene:CCACVL1_27351 transcript:OMO55218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAKPWNDEAENDSSSSDESSSSISDSGSEDGPPKPKSKKSSLAKPGKQKSAALDFEALKRHGYKGGLSVLNVPPPKEKPNWSWSNGKEGRETRDVEESFEERQNTRDAILNAEQSANVQTRKEKQNVSFSQKEKRKRELGQASRGKNYVEEEKRLLRDSGVYSGFDT >OMO89495 pep supercontig:CCACVL1_1.0:contig08699:4608:18248:1 gene:CCACVL1_07794 transcript:OMO89495 gene_biotype:protein_coding transcript_biotype:protein_coding description:Retrotransposon gag protein METKSSLCFRWRRDGFSKIAFVRFRFEDELLRAINLGNGRRLDGRSLLVRKAIVRKIDRKQASYHARSRSYVTDLRDNRRVQVRGRSQARGENRGGFDRTRRRFPPRRSVPPPVVDKANASGKNQSLPFNSFDNEVLLEDFDDQVMPDMELNDDLEIQVFIHVEHRNWLERCFVVSMISEDGVSKGISSLSNCNMKLEIFELSNGTLLVCVEDEELIDDGIKLGTLVSKMCQGLVYEIFPWESTGFKRRSIIWLQLEDIPLELWHPNFFTVLSEVWGKLLQIDELTRSRKSLKTARLQILTSNLLEIPKMLRRKSDGDPHLANDKMALGSSRELNADVFKVNTSSGKVLIEGGVNALETNEVIHSLISNKSVLPSGDIAIEKGHKERLEVVSGGNALSIDVIPESNEIIGNADDNDEWRLKILDKEIVRESLNSVRKDKDDLEIFNLIGRDNDESYNHVGNSLAIMVRSDPGTQLSPSESDPINSGSYSNSRSDVGSHLINSGARKALVGKRYNWAKCRVCETKRRKKTRSGVTKLVLGENAITDFDSDISLSDDDIRKRNVILIKEAEDTFEVSKTLGLEFCEDRDKIISRLVGLEGKAALVIGCSLLTPCTEEGAVQQGLGGENNQQDVGDNMADNADEEFNNVEPRAAAYVPPHKPYPEEYDRLYPLPRGYKVHDFTCFSGTSSEQSTLEHISRFTIQCGEANSGYHKLRLFPNSVTGAAFTWYINLPPNSVRTWEEMERLFHTQFYRTEPEVSMADLCRLYQKKGESAEDYLDRFKKLRNRCRTPLQEMEFVRLAQNGLDIELRKKFEGVDFRDFFEMSTKDMGVDKNPFPTPVHMVTFNLPKDGKVAKKGESTPKRTPLATSTPLSANLQSEDSADMRLRAPRRKLELFSSEDEGEPTKHPHTFSKKEVRRKLDFSSKTPLQKEKKSKSKHVPSSGAKLGGDVNSARERKLEKEDMDDAMQLDESGSPPINETETSLMNLADMRVELCKAKIFLEEAKLKKRALQLDRREGELQGLRQTLIDIVYGSEEYTCHELAQKGAIDEDAVLAYRRLAMRALTMDYDDEFELSDINKDSYYRKFPYQALQKKLEDWPSHEATQDILHIEEGMSLWQIPILLEKAKLDKQKKEFEAERRKHIEVKNLLFERQVAIDDASKQLLRKMFIKELQLERSQKIQNGLYTSNREVDGAISEEDAEPTSSDRNLICDEDVEGTLDASSEEETLAAEDFDNKSVDSLTFGQFMVDLNHTILTLPYTFAAKLKEQVESFEPPSYQEVEDVTEVSQEEDSGGNNLDDSKAMVFIKPDESATCRIKPLYIKAHVDGIPLNRVFVDNGATVNLIPFSSLRKLGKSTNDLIKSDVTVSDFSGAIKKTRGILPTQFTIGSKTSLSAFFVVDSSSTYNMLLGRDWIHSNWCVPSSLHQLLMFWNGTEIEVVKADSRPFKVESHAIDVRFYDGSTGPIRFMGEDKHGQPYPIISTGEIEHEALKKAMETLVLSDALISFKPLPNMKLQSLEDSTAPSTNAVYQDLDEITMEELDRAPMKLDDLKAEVYDPLLEVNLGTEEEPRPTYISQLLAEEDKPRFVALLKEYKDCFVWDYEEMPSLSRDLVEHRLPIIKGYHPYQQPPRRMANDVVLKVKEEVERLLKAGFIRTASNFLGFLVHQRGIEIDQNKARAILQAKLPTSKKELQRFLGQVNFLRRFISNTAGKTKAFSPLLKLKHKEEFQWNDEHQEAFEAIKKYLASPPVLVPPKKNQTLYLYISATESSIRSLLAHGRGKGKEQAVYYLSRTLTDVETRYSPIKKLYLSLYFAAIKLRHYMLYFDVCIIAKTDVVKYMLNQPFLRGCLGKWCLALSEFSFKYIPQKAVKGQAIADFLADHPCLDLGEEFEDSNFVMEVSLIPWELEFDGSSTANSAVQLLEKFDDVRIEHVPRHGNETANLAAQLASGTSFLDDIWRKVINIQRRSMPSIFTRDNVLEVYNIIHRLDDWCQPFLDYLRQPSIRVSRRIRTLAVHYTILADELYRRDLDGSLMRCLGNEEAKKVIKDVHEGLWGAHQASRKMRWLIRRHGFCWPTIMKDCMEFAKGCQAFKNEDGDSHKKFINGRYLKKFYPTAWEAKSDPSPELEMEEEPDEVAMTQCTATCLTEV >OMO89496 pep supercontig:CCACVL1_1.0:contig08699:24191:24847:1 gene:CCACVL1_07795 transcript:OMO89496 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RanBP2-type MSRPGDWNCRSCQHLNFQRRDSCQRCGEPRPGDVMRSGEYGSFGIGSRGGGSSFGFNTGPDVRPGDWYCSVGNCGAHNFASRSSCFKCGAAKDDSSAGFDGDHHMAARLRGGYGFGTASSSRSNWKSGDWICTRSGCNEHNFASRTECFRCNAPRDSTNKSSY >OMP05365 pep supercontig:CCACVL1_1.0:contig05420:10363:11487:1 gene:CCACVL1_01935 transcript:OMP05365 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MESIRSPYWCYRCNRFIRIRARSPQDSIHCPDCGGGFVEEIETPSRSPIHHRFPAAAMYADSPSPALSPSPTATPRFRRTRRNAGDRSPFNPVVVLRGPSSETDGVVPERGTGNFELYYDDGSGSGLRPLPASMSEFLMGSGFDRLLDQLSQLEVNGVGRFEQPPASKAAIESMPVIKIVGSHVSTESHCAVCKEPFELDSEAREMPCKHIYHSDCILPWLSIRNSCPVCRHELPTENNGNNIGDNEAVRDEEAVGLTIWRLPGGGFAVGRFTGGRRAAEREFPVVFTEMDGGFNNAGAPRRISWAPSGRRSQESRGLGRVFRSFVSFFGRFRGSSSRSRSRSRSDSGFTRRSRSSSLFGTRSSRRDSEWDFED >OMP05364 pep supercontig:CCACVL1_1.0:contig05420:9923:10048:1 gene:CCACVL1_01934 transcript:OMP05364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFIVRFTSFGGKFLNRWTRSAGPRHPNSNAFRCRFPFCSH >OMP05363 pep supercontig:CCACVL1_1.0:contig05420:6053:8180:-1 gene:CCACVL1_01933 transcript:OMP05363 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MAKDGPNWDGLLKWSIANSDGTRPTRNLSEEDRRWFMEAMQAQTVDVVKRMKEITMVMQTPEQVLEAQGVTSADIEDMLDELQEHVESIDMANDLHSIGGLVPLLGYLKNPHANIRAKAAEVVSTIVQNNSRSQQLVMEANGLEPLLSNFSSDPDVNVRTKALGAISSLIRHNKPGVTAFRLANGYAALRDALGTESVRFQRKALNLIQYLLHENSSDCSVVSELGFPRIMLHLAASEDGEVREAALRGLLELARDKTGQDNGGLGEEDEKLKQLLEARIKGISLMSSEDLGAAREERQLVDSLWSTCYKEPSSLREKGLLVLPGEDAPPPDVASKHFEPPLRGWAANRSGDSKTSEKKQETPLLLGPGPPSGGATTQGTTNQEAGAEGHANLQRES >OMP05366 pep supercontig:CCACVL1_1.0:contig05420:13691:14199:1 gene:CCACVL1_01936 transcript:OMP05366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVASLQTLSLKQSPLSGTHCLSSRNAVAATPLKSTVLSRTKIRTNQKRSLTVVAAVGDVSADGTTYLIAGAAVVALVGTAFPILFSRKDTCPECDGAGFVRKSGATLRANAARKDQAQIVCARCNGLGKLNQIDK >OMO89431 pep supercontig:CCACVL1_1.0:contig08722:47834:55015:1 gene:CCACVL1_07842 transcript:OMO89431 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase, eukaryotic-type MGKTIKLFGFASAVSQEEVIEFLEEYIGKGTIEAVKVGQSKREGSRAHAKVQFKTEEDAERILSWTADRALWHKSSYLRAWTLKHDIIPQPKSQSFNLQSIDNLMLHIGCQVSSERFYVLWKHGDVSMKFGLKLDRLYFFLTYNSVDYKLELFDDNVWQIMLHYPLDKTRKFLIIQLLGAPRIYEKDASIPISSKEVPDDDQWIREVDFTPGNCIGQSFGLCLELPRGVKLPKFDQNFYYKKVEDKFSLEKGSVFSSNPVLVPIIVPPQGFDLPYSILFKVNSLVQHGCLPVPTLDANFFKLVDPSRINTAFIEHALEKLYSFRECCYEPVKWLDEQYKKYRESEKPPRPLLVAFDNGLVTIRRAQVTPSKVYFSGPEVNLSNRVLRNYINDIDNFLRVSFVDEELGKIRSTDLSSSTSVTSDGKRTKIYDRILSTLKNGLVIGDKKFEFLACSTSQLRENSIWMFASKPGLTAVDIREKMGHIHVIRNVAKYAARLGQSLSSSRETLEVKMNEIEIIPDIDGGTKYNFSDGIGKISAKLAKAVAKKCGLRTYTPSAFQIRYGGYKGVVAVDPTSSVKLSLRKSMRKFDADSTSLDVLSWSKKHPCYLNRQIIILLSTLGVEDIVFEKKQKEVIGQLDAILMDPERAKEAMEWISHGEITNVLREMLMCGYNPDSEPFLSMMLRTIRASKLLDLRTKTRIFVENGRSMMGCLDETGTLEYGQVFVQCSGLKPRQFPDDSLLTFSNGRVNQNCHVVEGTIVVAKNPCLHPGDVRVLKAVNAVVLHHIVDCIVFPQKGQRPHPNECSGSDLDGDMYFVSWDEDLIPPCRFPPMDYDPAASTLLDHDVTIEEVAEYFTNYILNDSLGIISNAHTAFADKEPTKALSEECIELAKLSSIAVDFPKTGIPAKIPHRLRVQQYPDFMEKPEKFTYESQSVIGKLYREVKAIATGVSDAKHFTMEVAEQSYDFDMEVDGFLDYVNDASHHKSVYDNKLANLMRYYGVKTEAEMMSGCIMKMSKSFDRRRDLETVVLAVKSLRKEARGWFYEKGDNDELEYSNVVGGNVLAKASAWYHVTYHPNFWGCYNDTPDLEHFISFPWCIYDKLIQIKKENSSKKSSFEGQANRGDGVHQKTSCASVSLSSTIDDGQFAERNDSDLSLPSVGIDNDSSDFQVNRGNVIFSRTVVGLDGSVVSTITSSVICSDISNNSQNRFDGTIRNRIDGNSSNMVGENSAGVLSLLDEQQTVQNLSALDSSLQSDLNVEVIPILESEEEILQSNLSEYIHFTVVLFFSY >OMO89430 pep supercontig:CCACVL1_1.0:contig08722:42939:45791:1 gene:CCACVL1_07841 transcript:OMO89430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Semialdehyde dehydrogenase, NAD-binding protein MASLSHPLKPHFLSTPKPPRLHATRVPTKVRMSFQESGPSVAVVGVTGAVGQEFLSVLSDRDFPYRSLKLLASKRSAGKSISFQDRTFTVQELTADSFDDVDIALFSAGGSISKEFGPIAVEKGAIVVDNSSAFRMVDGVPLVIPEVNPEAMDGIKVGKKKGALIANPNCSTIICLMAATPLHRRAKVTRMVVSTYQAASGAGAAAMHELELQTREVLEGKPPTCNIFKEQYAFNLFSHNAPVLDNGYNEEEMKMVKETRKIWNDMNVKVTATCIRVPVMRAHAESVNLQFENPLDEDTAREILKNAPGVVVIDDRASNHFPTPLEVSNKDDVAVGRIRQDVSQEGNYGLDIFVCGDQVRKGAALNAVQIAELLL >OMO89420 pep supercontig:CCACVL1_1.0:contig08722:2726:2794:-1 gene:CCACVL1_07831 transcript:OMO89420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVVEEEEEPRRSCGIALVVK >OMO89429 pep supercontig:CCACVL1_1.0:contig08722:41567:41659:1 gene:CCACVL1_07840 transcript:OMO89429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHWNVPKMALLKQLLRPTRSFWPFKRKNS >OMO89425 pep supercontig:CCACVL1_1.0:contig08722:21268:21698:-1 gene:CCACVL1_07836 transcript:OMO89425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGMDLFCPTLRYTSNDDRPHHIGSFPLINYALLLVAGPSVLQ >OMO89424 pep supercontig:CCACVL1_1.0:contig08722:18655:20078:1 gene:CCACVL1_07835 transcript:OMO89424 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oxoglutarate/iron-dependent dioxygenase MVNSKSNPPLLNHFGALSSNSNTQCYEPQSRVIMEECQLPLIDLHGLSSCDEMARKACAAAIHRAASEWGFFQVVNHGISPQLVDKMRSEQVKLFQAPFETKATCGVLNNSYRWGTPTATCPKQFSWSEAFHVPLTKVSDEACYGEFVSLREVMIEFAAAMSKLARLLAGILTENLGHGKEVMDNICDESTCFLRLNHYPACPISPEIFGLVPHTDSDFLTILCQDQVGGLQLMKDSKWVTVKPNQDALIVNIGDLFQAWSNDIYKSVEHKVVTNAKMERYSLAYFLCPSYDSSIGSYSEPSIYKKFTFREYRDQVQEDVRKTGYKVGLPRFLLKTEEFKRRNKDSNSNTRVYIPSITAST >OMO89426 pep supercontig:CCACVL1_1.0:contig08722:23005:24098:-1 gene:CCACVL1_07837 transcript:OMO89426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAGGDEGNKRRLPSWMQAITSKAEGGDKNIGIQNDGDKLVSDNSKPKKQTKKAVLPREKGETNRRKRKITQQDEPDGVENASPKKMSVDLEEKQVREPSVRRKRKATSGRLRSGKDSKIPPPVDDDDDDDEELTPGDLLSIAEEYVKADKDVVLQEQSTRESEFRRPLSNKASIKTKSKSSLIDLEGNQRSPAHETTNDSTQSLKVEEPLINISRTGDPAQDMLNLLLGPLLKKTDEEKRTEFILKDLKFADELGKGRQNDVKEETVTLTKKKCTLKDKVAMLLD >OMO89423 pep supercontig:CCACVL1_1.0:contig08722:16900:16962:-1 gene:CCACVL1_07834 transcript:OMO89423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDYGCRPGRLPFPGRLPF >OMO89427 pep supercontig:CCACVL1_1.0:contig08722:25081:38546:1 gene:CCACVL1_07838 transcript:OMO89427 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arf GTPase activating protein MAAFIKLEDSPMFQKQICSLEYTADELKDRCQKLYKGSKKFMTALGESYNGDVSFADSLEAFGGGQDDPISVSIGGPIMSKFLNAFRELASYKELLRSQVEHVLVDRLMQFINVDLQDAKESRRRFDKAISAYDQAREKFVSLKKNTRGDIVAELEEDLQNSKSAFERSRFALVNALMNIEAKKKYEFLESISAIMDSHLRYFKLGYDLLSQLEPYINQVLTYAQQSKELANAEHDRLEKRIQEFRTQSEIDSLRASSNLEPTTTADRILGIGMNSDKNIEAIMQSSTNGEVQTIKQGYLLKRSSNLRGDWKRRFFVLDSQGTLYYYRNKGTKPTGSYHHYTGSAETNSGVFARFRARHNRSSSFSEETLGCHTVDLRTSTIKMDAEDTDLRLCFRIISPMKTYTLQAENGADRMDWVNKITAVITSLLNSHILKQHVDSNDYAGKASSDVKSLDSLGNLEIDRIGNRPEPVSAVLRTIPGNNICAECSAPEPDWASLNLGILLCIECSGVHRNLGVHISKVRSLTLDVKVWEPSIVELFRTLGNAYCNSIWEGSLLKNEGVAESNAISTQITKPCAKDAYSHKEKYINAKYVDKLLINRDTMQPGARPNSANIWQAVKTDNLREVYRLIAMADTNIINTTFDDVISRELYHHVVDAQESSLDSHKGERKQYDPSACQRIKDSNDPGNCLQGCSVLHLACQCGNPVMVELLLQFGADINMRDFHGRTPLHHCISVGNNALAKHLLRRGARPSIKDGGGLSALERAMEKGAITDEGLFILLSEGQ >OMO89421 pep supercontig:CCACVL1_1.0:contig08722:2929:7307:1 gene:CCACVL1_07832 transcript:OMO89421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQKIGNLGSDLSNQKAGNFGSGDAESLLTLKSSIDPSNSLPWKGADVCAWKGVKECMNGRVTKLVLEFLNLTGALDEKSLNQLDQLRVLSFKGNSLSGQIPDLSGLVNLKSLFLNDNNFTGEFPESVSGLHRLKIIVLSGNQISGQIPVSLLKLKRLYTLYLQDNNLKGKIPPLNQTSLRFFNVSNNQLYGQIPLTPALVRFNLSSFSGNIDLCGEQIQNPCQTISFGPAISPAYPIPSSKSSSKKRARLIKIIAGSVGGFLLLLLFVFLVCFVISRRKSGRKDESKEVKSKGVANVETVEGGAAGGGGGGGGSGGMGGNNSGKQGGFWESEGLGSLVFLGAGDQQMSYSLEDLLKASAETLGRGTMGSTYKAVMESGFIVTVKRLKDARYPRLEEFKRHMDLIGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLIHGTRTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGLTHGNLKSSNVLLGPDFESCLTDYGLTLFRDPDSVDEPSASTFFYRAPECRDVRKSSTQPADVYSFGVLLLELLTGKTPFQDLVQEYGSDIPRWVRSVREEETESGDEPNSGNEASEGKLQALLNIAMACVALQPENRPAMREVLKMIRDVRAEAQVSSNSSDHSPGRWSDTVQSLPRDEHLSI >OMO89428 pep supercontig:CCACVL1_1.0:contig08722:39576:40763:-1 gene:CCACVL1_07839 transcript:OMO89428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIFKNPKLAIPRSFFSTQTQKPNSPFPSFKAAKSAIIAEKDPEKLAEIFQQCSHLPTFTRHRPIYLLSIRKLARANRLDLVDRLLQAQKLHSQNAAAFKSEGFWIRLIMLYSNAGMVPQALQTFDDLSQKRYSNVSEKSLCAILTVYLNNGMFEQMHDSFKTMPGKLGVKPTVVSHNLILKAFVKENKIESAREWFEKMAVSPNIDTYNILLGAYLKNGDVNGFDGVMKEVMKKGLEGNVTTYNLRISRLCNSKECARAKKLLDEMVSKGLKPNAAIYNTIIDGYCRIGDLESARKVLDKMSNDGYVSPCSFTYFTLIRSMVKEGEFDSALEMSTGIIKKKWVPPFDAMEGLVKGLVERSKSEEAKQVVEKMKKRLKGEALDSWGKIEATLPL >OMO89422 pep supercontig:CCACVL1_1.0:contig08722:8245:12540:-1 gene:CCACVL1_07833 transcript:OMO89422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMESNSGFHHHHEDSLGSMMNRHAISFQSAAINSSSEMIPISSYFAPPPMMLPGNSSIITTSPALIQPGNSSGSSLLLDSLDSGLKHDTGLAVEWSVDEQYILDDGLEKYRDEPTIMKYIKIAATLPDKTVRDVALRCRWMQRKRRKPEEHIAGKKVNNRKDKLVESSSKMNVPSALPQNMAAYPLMMHRLDQNDRMPSEGMTSATVHLLKQNAQALTQISSNLSAYKLQDNIDLFCHARNNIAAVLNDMRDMPGLMSHMPPLPVSVNEDLVNSILPGPSSVLPSATQSMVFGSSSGIHLKQEPRC >OMP03588 pep supercontig:CCACVL1_1.0:contig06035:2869:3906:-1 gene:CCACVL1_02354 transcript:OMP03588 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleic acid-binding protein MIIRRINANEMKITVWESCFKQMDDEYLLGLNPPPVLIFAATGVRTFRDTIHSQTTSATRIYSDLEIPEVALIKSRFEGEMNQAELQQSQKPQIYSPTYKIYDVVPIIEEKETHHWISVPVMIFTGMVVKQVNDYITRTSCSATKLYVNLEIDETAMVQAIYEDIQGSVRLLPPPQ >OMP03589 pep supercontig:CCACVL1_1.0:contig06035:4321:4506:1 gene:CCACVL1_02356 transcript:OMP03589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWSNSSITPQLMRSSKIQVNRNKAPCDETERRSEGMQAKEESKSQANANAVGKGAKKKKA >OMO51291 pep supercontig:CCACVL1_1.0:contig15890:16830:17921:-1 gene:CCACVL1_29879 transcript:OMO51291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLSPDSDTWVNLGNPEDLESQLISIAATVEEVLNEFKSKVRQHAAELEANVEGYLMSIQEDQLLPKTQSLELDHDKRHKILDELIKNLSRKIKLVALEQVGVEDDDDTVYQLISKDFSKLGMEEIESEEPKEQMTMEEQVQVGLGKQLQEELDKRFKEFEVKMEEKYKHYEKYGDILERLRKQYEKQKVAEDEKEKVTENGEGRPKKRQASTSLPDLVKQTNPSFMLFGFDKPNLNNSLGLVCPAGLVQPQQPLQTTAAPAPPQPHVYGGSTNMIGQLQPPWSAPSTMPTPVHAGMHSGSAGVLPRPLQQGVVKQPQSTQQLQRFHQLLQLQRQQRQHLLASSLAQISSTPSTSTSSQQQP >OMP06725 pep supercontig:CCACVL1_1.0:contig04825:71:1806:-1 gene:CCACVL1_01454 transcript:OMP06725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIPSSQPFSHPQMQHFVYQGAEGATSNPQELDYAVTLLVRHLPEAIPHDTLSRLFSHYGASSVRPCSTGKLRNCAFVDFKNEALASQAHRQLNGLRFLGKVLSVERAGKPTGDSKPQQTGVLLGKDSSGSSVKDTNLNRDLNQGSKSGSLPASEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPTPPLPPPIPAPQPPPPPPPPASLPAKPNLVDASSSESEMESSDEEVNEKGVAKPARKHAKREAILGPAIDKSVAHEAVG >OMO79144 pep supercontig:CCACVL1_1.0:contig10482:15777:33197:1 gene:CCACVL1_13883 transcript:OMO79144 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF2-related protein MASSSHNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPFQVISRAMETVINRHGLDIEALKSSRLPLTGGSQTVDSTSGQYAGSSQAVPKDSKAGLAQNEMTKVEQFSSSRPPIGPSIGGHEYYQGAVTHRSSQSFDHESPSSLDTRSANSQSQDKQMNQNESKKAATKRKRGDSSSSLEPNFENSQQLDSRNVVIDSRKGKMNKAEPSGPPNYNLVPSSAQMENFPSIPGNMRSMRQNLTENVVDSTNISNLMSRAPSLKHPEEVEVSSTHNAPGQLQGGVPAAHEAFSSRGVWNQNKVGLPFDRSQLHRFSPNVVSGNMTAEIPIQQSTHTSLVSGSFGKVQGGLPATSNSYPVGEVAFSGLGQFSGSENQKHGFSKGSITSSDGLSTTSSGKVLEQDGGSSIMLADANKMAQVGRQNSASEMTMLRGTAPRDTGKSPASQSSTSSGLPFKEQQLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNIFPKEDGPRKELIDQRGKAQTSNEPGNISEVVMPFGRMNNVPSGSTSAGKFPEADSLSKESDKLKMEERNGPTSDLSAIVDERKHILATRKAEAETQSYETAEPQAYLTTMSRQPESASTKGGFTVSNPGDGMENGHQQVGKVDQASSMMGANKQVNPEMMGLSGIGCHNDVSRVSMPAAIVQQDLVLERRDNGPMQFQSPEQDEEDISVSSDSLPSPKHTMSEKWIMDQQKRKLLAEQNWVLKQQKTKQRIITCYTKLKENVSSSEDISAKTKSVIELKKLQLLELQRRLRSEFLSDFFKPITNDMERLKSCKKHRHGRRVKQLEKYEQKMKEERQKRIRERQKEFFSEIEVHKERLDDVFKIRRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDVTRAANVVENDTSIENGDEAKASSSFSLVKETISEQPGFLKGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWESEISFWAPEIHKIVYAGPPEERRRLFKERIVHQKFNILLTTYEYLMNKHDRPKLSKIQWHYIIIDEGHRIKNASCKLNADLKHYQSNHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMKRVEENLGAIGNSKARSVHNSVMELRNICNHPYLSQLHVEEVESLIPQHYLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEDYLTFKQYRYLRLDGHTSGTDRGALIDKFNQKDSPFFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKKDVLVLRFETVQTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESIDKQRREEEMAKWKNVVFESGMDGSKPIPPLPSRLVTDDDLKEFYEAMKLYDAPKNEMPPNVGVKRKGESLGGLDTRRYGRGKRAREVRSYEEQWTEEEFEKMCQVDSPESPKVKEETPEKNLPKDASMGTVSSTEPRAPPLPTPQPPQPPQPLPTEPAQLSQQPNKDATPPSKRGRGRPRRVSVDKTPTTPVPPAPSATSKVDVGLQKGAESSSSVSPAPDPHNSTGVSQNLLPNTASDSATLGESNPPGFSPPVQSRGQGRKTQTGGQAPRRRGKKQEPAISAAADTSAVPAPKLIDQSQIKSVSGPDSQVAAVSGAVPGASTVPMAECANLPPTSSGPGISLNSQSTPIPSDALIAQSAQPCPTVPVQGRGSGRKAQSVAGTPRRRGKKQAQLSSAALDVSAGQGSKPNPQAQDKAADAAPNNVNAMSSNQERDASDLTKVIQEQMQGTHAPAATTGQIPLSVEEHHDLFQGKQPARSRAADDSPAEVQIQNADVNDNASVVTATSPEGSSQKDKSGDVCDNQGGAVPLQPAVSQTSVDLVKNQISEDKVHTALSTVKTASSVASATMDCLPTSNPVEGPNKTLPSPDAKIASGAQPFSTHAPVASAPQSVSSSPAEPVQVKRPGRKPTNRAEAPRRRGRKPSIPDASSGQDSRVNSQPQSKSRDSLVNKATAVKSNQDSSPHDTPNVTQTADVNDVARVMKEIFSETCSSKTKVSESAGSEGRNTSPGPLSSKMVEEVAKSQGLDGKKCLPPHEKAATACDIPTEEHKKQSETEPDMKGVDVNTSVVVMAADSLKPECKTLTSSDNIADSRQISTEHLMTESEMQIGSASPLDACEKIDSSQGTPAPLSDHTSSKVQPESPGAVHLPQTSDSDKTNIEPASEESPKADDNGGDNHKVVLSVSCVEEPAIKECETNKESPLMTEVSSGNEAGSSLKESHRASDGDIKTTGPNDTPMETLVTSVETFVSDQSTVNLKDAVPACQTATLAEALDNCVVDGQSGSSKAKEDPLLEPAITIAAESADLELVPHDGRASEQPLVVKDREDDGVKIDNMEVDPSETKVSSLKNFTAESSRDENTEDDHVQVTEVKPFEPLPSKPAVPTLEIAAPVPGMLQDNNIDCSWKDADPNESEEKPPISGSVSLVPQCQSATSSENISDSRQPCCETTRTESNMDVDCKVHMSSTEKKDFASPRSKSREGDSTDLTVRPSCSQTDLSVASLTKVETHQLNQNSSRNETGISSNDSPEQLPCEAESCHDKSGSLEVPTILDHNSESEVNPCTVEAPALVETNFECEAVQNNECEAEPSLDKSGLVEAPAMVGNSSECETKPCADKSGMVEARAVVEINSECEAEPSMKSSPIAYVENVGSAAMSTEPDVNDVPPPVTSNISQSSVHSGTVELPAITKNELGKETELSLDRSLQSSATDRGSVEALNVPTDSHALIDHHSKAAAAERCGEATIGGLEICEKSDDPGAAPLLEDMAISDNLDGPSSGSENRRDYVSEPIHLGSSESTSTEVTAKDDQVQLSSAVEIGDRNSVDFSNEEVHFSQQPAAQNDLAIESANTDLVLEVHGEKSSVGIEGIGGAHIDKDVDPLETKASVGKDIVAIPSSIELVPGDQSKVHLEVGVASTEDSVQVQVENTVVEPSEERETSSEVTTKESPNGEHVLNHPSDELPGIEKTEVDHVGPSSVGPNSQEVKASSLQSGDSDHKELAEKSDIKLVEACNVESNPTEGPSSTQGISDESANQEVRNDGQARTELQVEDHAEASQQVEVEVTDVSPMEIDPTVTEATEQSKVESNDVSNMEIDPPETKVPSPGPGADETNA >OMO79145 pep supercontig:CCACVL1_1.0:contig10482:35189:41449:1 gene:CCACVL1_13884 transcript:OMO79145 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydroquinase class I METGGKPRKNPTLICAPIMGDSIDKMVVDIAKAKASSADLVEIRLDSLKSFNPHEDLKILIKECPLPILFTYRPMWEGGQYNGDEEERLDVLRLAMELGADYIDVEFKVAHEFLKSIQGKKPEKLKVIVSSHNYQNTPSVEELGNLVARIQSTGADIVKIATTALEITDVARIFQITVHTQIPIIGLVMGDRGLISRILCGKFGGYLTFGTLEGGLKSAPGQPTIEDLLNLYNFRQLGPDTKVYGIIGKPVGHSKSPLLYNEAFKSAGFNGVYVHLLVDDLAKFLHTFSSTDFAGFSCTIPHKEAAVQCCDEVDPVAKSIGAVNCIIRRPSDGKLFGYNTDYVGAISAIEDGLQARSNTSSSAGSPLAGKLFVVIGAGGAGKALAYGAKQKGARVVIANRTFERAKELADIIGGDALSLADLAHYHPEEGMILANTTSIGMQPKIDETPVPKDILKHYSLVFDAVYTPKITRLLREAEESGATIVSGLEMFIGQAYEQFERFTGLPAPKEQFQKTMSNEELPVEVTGFVVGSADDKPAHKGSGAQESDEDESTGSVAMPEIDCDELELTEAGKFAGA >OMO79143 pep supercontig:CCACVL1_1.0:contig10482:10283:12593:-1 gene:CCACVL1_13882 transcript:OMO79143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRQKEYHAEDRISQLPDEVLVSILSCIPINEAARTSVLSHRWEKLWTFCSHLVFDGAKRLYNIQCQIKGIEHIRKSVNEILDIERGRYINWVNHILESCHAGAIDKFMVRFDLSDKYRGEIDEWVRFAFEKKVKRFELDLSSYNISCYQVSCYPLTLQALPLPSSISLTSLILKCVNVNEEVLENIISNSPFLECLSVGDSSSLVHLRVAGPALCLKYVEIVFCFNLESLELHAPNLLSLKYSGAKTNISFVNIPNLVEISLGGEDLPYFARKLCGLSTHFSKLQMLELDVLQLEVIETKMERLKYPIFSQLKQLKLHVDTRSTASLLFFSRLIKACPSLYRLALELKLGSVNPSDRRKMVKARSRHYSLKVVEVTGFVGQTVDTEFCIYLIKSAIMLEKIIIKPCFLDARGSAYENDYVEVEKAGRERAEQLRSKYCLGDKLVIL >OMO79142 pep supercontig:CCACVL1_1.0:contig10482:88:1107:-1 gene:CCACVL1_13881 transcript:OMO79142 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Zinc finger, BED-type MESENININLESTNNTPLEAEPLMLHDDDDGDGDEVEEVKDGSSSTRKRRRTSNVWSVFKDTKEKVPDGKPIAKCKWCGKQQKYNSKYGTGNLKRHVETCVKRDTKDVDQMIIDAKDKSITVRSSKFDPLKLRELAIAAIVIHNLPLAFVEYKGVRAILSYCLDGRDVGLVSRNTAKSDLLKLHAREKGRVKSLLEDVPGRISLTSDLWTSVVTDGYICLTAHFVDKAWNLQKRVLNFSFMPPPHTGVALSEKVYNLIDDWKIDRKLFSITLDNASSNDTFVDFLKIQLTTRHALLKDGEFFHIRCCAHILNLIVQDGLKEVDKAIIKARESIKYVKGS >OMO79147 pep supercontig:CCACVL1_1.0:contig10482:63469:65364:1 gene:CCACVL1_13886 transcript:OMO79147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSISAFSSSSFPFLPLLEAQIYIALPFKPKARNHFGLRAQALSSSPPSPTKDIWKRQKTAPFYRQNPSQNRTFLDQSVDMEELLASISKTQDEKELFIVLSPYKTRQLSIRFMVSLLSRETDWKRSLALLDWINEEARYSPSLFAYNVVIRNVLKAKQWAIAHGLFEEMRERGLAPDRFTYSTLITYFGKEGMFDSALSWLQKMENDGVSDTVSYSTLLTMFVENSKFLEAVSVFAEMNEVKCPLDLTTCNIMIDVYGELDMAKEADRLFWSMKKMGIEPNVVSYNTLLKVYGETELFGEAIQLFRLMQRKDIEQNVVTYNTMIKIYGKSLEHEKANNLVQDMRNKGIEPNSITYSTMISIWGKAGKLDRAAMLFQKLRSSGVEIDQVLYQTMIVAYERAGLVGHAKRLLHELKQQDDLPRDTAIMILARAGRIEEATWVFRQACDAGEVKDISVFGMMIDLYSHNKKHANVIEVFEKMRIAGYFPDSNVIALVLNACAKLREFDRAESIYKEMQEEGCIFPDEVHFQMLSLYGARNKFKMVESLFEKLDSDPNINKKE >OMO79146 pep supercontig:CCACVL1_1.0:contig10482:59222:62739:1 gene:CCACVL1_13885 transcript:OMO79146 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 14 MERKWIFPLAVGSIVSLFLLFLTTLTSFDGSPFLFFYRPSAILGGSSPFVENQLKPIPISSLPPPPRFAYLISGSAGNGRMLKRTLLALYHPLNQYVVHLDREASSEERLDLEKFVKEHPVFNKVGNVRMIVRANLVTYRGPTMVANTLHAAAILLKEGGDWDWFINLSASDYPLVTQDDLLHTFSYLPRDLNFIDHTSNIGWKEFQRAKPIIIDPGLYSVKKADVFWVTQRRSVPTAFKLFTGSAWMALSRPFIDYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNAQEFRNTTVNSDLHFISWDNPPKQHPHHLSLADMQRMIDSNAPFARKFPQDDPVLDKIDSELLSRGQGMITPGGWCVGSGINGSDPCSVIGNITVLRPGPGAKRLEGLISTLLSNDIFRPRQCK >OMP05856 pep supercontig:CCACVL1_1.0:contig05169:1668:1742:-1 gene:CCACVL1_01805 transcript:OMP05856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDSATVTFQAALKKLNYTSEMKFK >OMP12148 pep supercontig:CCACVL1_1.0:contig00369:5502:5925:-1 gene:CCACVL1_00102 transcript:OMP12148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSWQFGRPKRFVLMQIQKRDYESIRPINYSRDLDEIQPALASYNCP >OMP06170 pep supercontig:CCACVL1_1.0:contig05043:2535:3059:-1 gene:CCACVL1_01691 transcript:OMP06170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDRGKDIAEGSSRVAGDTPPTPSRYESQKRRDWNTFGQYLKNQRPPVPLSQCNCNHVLDFLRYLDQFGKTKVHLQGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPETNPFASGAIRVYLREVRECQAKARGIPYKKKKKKPSQGKGSDESSSTTIQFS >OMO94483 pep supercontig:CCACVL1_1.0:contig07867:9996:15740:-1 gene:CCACVL1_05981 transcript:OMO94483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSDQSHAFPLKDRRADALGNLKSLPDEIICNILDCLTPRDIARLACVSSVMYIFCNEEPLWMSLCLKKINGSLEYKGSWKKTALHLENLPNEYVEDCRKPLQFDGFSSLFLYRRLYRCHTTLDSFSFDDGNVEKQKDLSAEQFSREYDGVKPVLLSGLADTWPARNTWTIDQLLLKYGDTAFKNSQRTPGKLSMKFKDYITYTKLQHDEDPLYIFDEKFGEKEPGLLKDYNVPQLFQEDFFDVLDKDSRPPFRWLIIGPERSGASWHVDPALTSAWNTLLCGRKRWALYPPGRVPMGVTVHVNEEDGDVNIDTPSSLQWWLDFYPLLADEDKPIECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNSKNFEFVCLDMAPGYCHKGVCRAGLLALDEGVLENIEKNMSNDKENFSYSDLTRKEKRARKSQESENDKGISDGVSKSYNLWKQDFAYDINFLAKFLDRERDHYTSPWSSGNCIGPREMRDWLCKLWVGKPGMRDLIWKGACLALNADKWLECLGKICFFHNLPFPNEDEKLPVGTGSNPVYVMDEYVVKIFVEDGLESSIHGLGTELEFYSKLCEVRSPLKNHIPNVLASGILHLENGSYKIDTWDGKDVPDVIRKCNLGPQTGTNDVFPFGLWGKKLFEYKKAGSPECVPQSTAGSTNIWPYLITKRCNGKIFAQLRDTLSWEDVLNLSSFLGEQLRHLHLLPYPSFSNSTISDVEQNGELPFANGMDIEYESDFPAEWKFFARTLSRKKKDVSSRLNKWGDPIPKMLIEKVDEYLPDDFLKLLSVYEVNGMKRVSKPCSWIHSDIMDDNIYMEPCSISCSDGVAPLTDNGSINGHNNGGEGKSWRPNFILDFSDLSIGDPIYDVIPIYLDVFRGDSRLLKRFLESYKLPLMRKTSEHGLMTGDDKFARLSYHTMCYCILHDENILGAIFSIWTELRTAESWEEVEQAVWGELNNYVGFA >OMO94482 pep supercontig:CCACVL1_1.0:contig07867:7640:9379:1 gene:CCACVL1_05980 transcript:OMO94482 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S6e MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFSTKSGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKADAAEYQKLLAQRLKEQRERRSESLAKKRSRLSAASKPSIAA >OMO55035 pep supercontig:CCACVL1_1.0:contig14807:5693:9203:1 gene:CCACVL1_27433 transcript:OMO55035 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier MGFLDLFVVALVPVLKLLLVTGVGLFLALDQINLLGPEARNHLNKIVFYVLSPALLVSNLAESITYQSLVTLWFMPVNILLTYIIGSALGWIIIKVTKTPEHLRGIVIGCCSAGNLGNLLFILVPAVCEQPNSPFADSSTCSTDADAYASLSMASASIFTWSYAFAIVSAYAPKSVENNSTRSSEGISQPSLESCTEPLLPSSDSQVSEDSSRQQKLPLTSSNERTKMPFWKKIVQCVKSIMSKIRLKKMFTPSTYAAIIGLIFGIVSPIRKVLVGDSAPLHVIDTSAGLIGQAAIPCRTLIMGANLLKGLRRSEINMLAVIGVVAVRNIFLPLLGVAVVKAAYHFGILGSYSLYRFVLMLQYAVPPAINIGTMTQLFQSGQGETSIIMLWTYAIASISLPLWSTLFMVLVD >OMO57674 pep supercontig:CCACVL1_1.0:contig14339:21342:33356:1 gene:CCACVL1_25709 transcript:OMO57674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPDAADNHENQGERCWKNGKGRGNGSAMESRLEAMLNFARQNQSFLEESDANMTSKSVNILVGKQHEAMQHPKVSQCSEASSPPLNTPGPVQRDEKSDEENEGMALDEGNHTLETTYEDESMEIVPTKDVLVESEDNGVSHEYEDDSSSETRVEEEHNMEIIEATSPLECENVEKVEEEVDSQAMVENLDDEDPDLLGIEAFLQPRSHGIPYDKWKLNDYFVKATISYKAGKHWKRGLAAKEEGICPVTTLGGRQPCLVTGPRTKKGN >OMO57673 pep supercontig:CCACVL1_1.0:contig14339:4244:17537:1 gene:CCACVL1_25708 transcript:OMO57673 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MAAALAAEDLSRQASMSSRRSMSSKMSWRASSVREMFNAPDVFQRSSRQPEFNDEEELRWAAIERLPTYDRLRKGMLTQVTSTGNVMRDEVDVTNLGPQAKKQLMDSILKVVEEDNERFLTRLRNRIDRVGIEVPKIEVRFQNLSVEGDAFVGTRALPTLINSTLNAVEATLGYVGLTPSKKRVIKILQGVSGVLKPSRMTLLLGPPASGKTTLLQTLAGKAKDDLRISGKVTYCGHEFTEFIPQRTSAYISQHDLHNGEMTVRETLDFAGRCLGVGTRYEMLAELSRREKEAGIKPDPEIDAFMKATAMAGQETSLITDYVLKILGLDICADILVGDDMRRGISGGQKKRVTTGEMLVGPAKAFFMDEISTGLDSSTTFQIVKFMKQMVHIMDITMIISLLQPAPETFDLFDDIMLLSEGQIVYQGPRENVLEFFESMGFKCPERKGVADFLQEVTSRKDQEQYWVRQNRPYRYVSAPEFAQAFNSFHIGQQLSEELRVPFDKSKSHPAALVTEKYGISNNDLFKACLSREWLLMKRSTFLYIFKVFQLTVMAIIALTVFLRTEMKAGQLEDSAKFWGALFFSLINVMFNGMTELAMTVFRLPVFFKQRDSMFYPAWAFALPIWLTRIPVSLVESGIWIILTYYTIGFAPGASRFFKQFLAFFGVNQMALSLFRFIAALGRTEVVANTLGTFTLLLVFVLGGFIVAKDAIEPWMIWGYYVSPMMYGQNAIAINEFLADRWSTPLLNSTVGKTLLKERGLYTEEHWYWICIGALAGFSLLFNLLFIAALTYLNPLGDSRPTISDDDSEKERKRQITSNGEGIDMPVRNAHASNGSIVSVTNNQSKKGMVLPFQPLSLAFNHVNYYVDMPEEMKNQGIEESRLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSISISGYPKNQATFARVSGYCEQNDIHSPHVTVYESLLYSAWLRLASDVKKETRNMFVEEVMDLIELNPLRHALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGALGRQSHKLVEYFEAVPGVPKIKEGYNPATWMLDVTSTTVEGQLEVDFAEIYANSDLNRRNQELIKELSSPQPGSKDLYFPTKYSQSFVTQCKACFWKQYWSYWRNSQYNAVRMFMTIIIGILFGVIFWNKGDKINQQQDLMNLLGATYAAVLFLGATNATSVQSVVSIERTVFYRERAAGMYSELPYAFAQVAIETIYVAFQTLMYSLLLYSMIGYEWQADKFFYFYYFIFMCFTYMSMYGMMVVALTPGHQIAAIVSSFLLSFWNLFSGFLVPRPLIPIWWRWYYWGSPVAWTIYGIFTSQIGDKVEDVTLSSGDKMAVNRFLKDILGFDEDFLKYIVLGHVAWVLDKVFLIYIPISSSLFWYCWLDFWLGFLCPNLCLAISLLPSFFPVDMAGKSLSNLCSKLSIQGGEKEKVVIDAALLKGGKKGVKVFYLLGKLFSKRSAHLETVQFTTCPFWIRIPDLPIGLMTEDIGFVIGESIGKVLEIDLESGRYLRLRVNLDLSMPVQQGTTLSLPDGELEIVFKYENMAAYCRICGLFDHLDTDRPLSVAIRKTQGVAVKNYTADLKAESPTLKSSRLNGEATLQGTFRSPLSPESVHQRSQASGRNYIDSLALKGKAVQRAIEDDTMSCELISKVRIHDGEKAHLLVKGTGTGLKQVEALSGNAQFLGDGLQGSGQFRLGKHTVPTNSFSDGRRLSSRQVSKMPAWLAERRFNTQARTWKGKAVMSASEDIGDEDDSVEIVKVVTGNKGLVAKNKGLSVLATENLICSENVLIEGTDESPSPADVCQEVRDDVPPATGTDPAGAASPNADAQRLLHEMAAISPFVFGLNSPADNKKPRKWKKAARVSSKYTFDALASPSNLKDGRKRGTTGHCHEAVESGAFKRTRETIVADLQDEAGTVECNGRAGGLALLWIKDLPIYVLSYSQYHIDAQIGVSLNDSWRFTGFYGRPETQLRMESWNLLRRLNTRSQLPWLCSGDFNEIISNLEKVGGALRLQRQMSAFLEAISDCSLRELPVRGPMMTWRRRIGDKSPIFIPKYRQFRFERMWCHHPDFYRIVERSWVSNSGASVPEKILACGGDSSDLDFCQKELNNLLQQEEVMWCQRSKSLWLRDGDRNTGYFHTVASSRRQRCIISSIQDENGVCFSDAKSIERIIEGYFKNIFSSTNPNWDNVRSIASLLERRIDDEQGLMLDTDFTFEEIKDAVFQMEGDKAPGPDGMTQTFFQQCWGLIGKDVASFCLDFLNNGEPLPSINHTNICLIPKNASPSSAKDYRPISLCNVLFKIISKALANRLKLVLPNLIGDSQSAFVPDRMIYDNAMIPFETIHFMQNKRVGRKAHMALKLDLSKAYDQIEWKFLAECMRRLGFSARWIHLVMTGVRSVSYSVLINGNQSDCIYPSRGIRQGDPLSPYLFLLCMEALSKMISNAASVGGLSGVSIARHAPSVSHLFFADDSILFLRARLLECDIVLKILGDFEAASGQKINIDKSSILFSKNTSVELRMAIMQRLGIQRGLDGESCNLSKINFSVVLIVGIAWDSLCVSKADGGLGFKDFETFNLALLAKQCWRLSQNTDSLCFRVLKAKYFPTSRFMSARLGSNPSFLWRNLLAGRKILEAGSRRRVGFGQLDAWHDRWINNPHDYKPTPRHGIEISSNPVCVADLIDDDEHCWDADKLQELFSAEDVVRILCLPIPRIPREDCLFWNDSKMGEFNVRSAYFVARKELGRGDLPVESPPQIWRMIWSSRLLPKVRFFLWRLCWGILPLKYALQYRGVEIDNNCAVCGEEEHSYLHIFFNCPLCFRIWDLICPRVIPWLEG >OMO72061 pep supercontig:CCACVL1_1.0:contig11511:20581:20940:1 gene:CCACVL1_17970 transcript:OMO72061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIVNSCLVAFLLIHALLFSQCNPVTAAEESVSGSPSDSISSTLDFSTKFKVVSRKIKPSPPPPPKLQAHPHFKPLVPFPPPPPPPPMIHAPHSLKCPPPSPRRPPPPPPSFLWQPPL >OMO72064 pep supercontig:CCACVL1_1.0:contig11511:27717:28064:1 gene:CCACVL1_17973 transcript:OMO72064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQEIERMALEAVQRRLKSTRLPSRIIYPPPAAHFGSVQRPGPKVPPWLLTPAPLGQLTQPPPPSPSPSPPPPPPPTPLPSPSPSPPPPPPPSFRYRRPCDERRRNRGEYPKMEP >OMO72058 pep supercontig:CCACVL1_1.0:contig11511:3810:5304:-1 gene:CCACVL1_17967 transcript:OMO72058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACYVQVVAVVVEGMAEDSLSDKELES >OMO72063 pep supercontig:CCACVL1_1.0:contig11511:23471:23698:1 gene:CCACVL1_17972 transcript:OMO72063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVEQQKSTRRNIYPPPLGNYRSNQKPDPKAPAPAPQGQLTPPPLPPPPSFRYCSYCNERRGNRGGYPKMDP >OMO72065 pep supercontig:CCACVL1_1.0:contig11511:29825:30190:1 gene:CCACVL1_17974 transcript:OMO72065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASLLLVATLLLFGILDGICPKMCHGRDVPLNFHQVPRERMALETVQRLKFSGGLFSRLIYPPPPRENLARAQEAPRPKIPPPPQELTPSLPPPPPPPPPPPSYRCIPCIERGGNRGMIP >OMO72066 pep supercontig:CCACVL1_1.0:contig11511:35655:36011:1 gene:CCACVL1_17975 transcript:OMO72066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASLLLVATLLLFGILDGICPKMCHGRDIPLNFHQVPRERMALEAVQRLKFSGGLISRLIYPPPPVVNRAKAQEVPSPKRPPPPPSPPPPPPPPPPPPPSYRCIPCTERGGNRGMIP >OMO72059 pep supercontig:CCACVL1_1.0:contig11511:9276:15707:1 gene:CCACVL1_17968 transcript:OMO72059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACYFLKLFITQIEQDDIETILLLVIKTPSITSPMDCSIEALATMSMNTISYSLVFFLILGLIIPNSSAMVKQSKAVPSYSSDDVFSRRFPNYKFHVVSARVNRRQTPPPPPPKGGVVRYQSPPPPRSPPPPPPCA >OMO72062 pep supercontig:CCACVL1_1.0:contig11511:21516:21836:1 gene:CCACVL1_17971 transcript:OMO72062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITPCLLLFLLLIHGLVLQTSYAIASRKLPIMAEARDIHYMDTMESKSIKLLAQMKVDFRKNKGKPIRGRPSSPIPNRPVHMFLPPAPPPPRVLLRRSPPPPCHS >OMO72060 pep supercontig:CCACVL1_1.0:contig11511:16205:17705:-1 gene:CCACVL1_17969 transcript:OMO72060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVTTIRKLPEIFTKVVATKTKVAVVVDAEEIAEDTLIEKSSVEEIESNGKPESNSAAAAGSARLLCEVKRAWISRKAIRLEFSSISESQ >OMP10497 pep supercontig:CCACVL1_1.0:contig02390:1288:1380:1 gene:CCACVL1_00930 transcript:OMP10497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPPLSLFGIHKPFQPTVKISSNMFLNSFET >OMO73110 pep supercontig:CCACVL1_1.0:contig11303:5501:5785:1 gene:CCACVL1_17468 transcript:OMO73110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLEGSWADVLMKVVMFMVVQALVYLILCNSSDVFDKNKKMRSLSFKRARSVSIRRILNAISDLPQGGEASPSSSRGLRSPTLENPRIQEHDQ >OMO72512 pep supercontig:CCACVL1_1.0:contig11437:7027:10955:-1 gene:CCACVL1_17740 transcript:OMO72512 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-CH-type MESEGEHVVKKQTGEGTSSNNINSNNTVDLPLQRLGDSTEITEETYHGQHRRRDDLVLEIPPRTLEDAREDFVRIDMAITPTATPKRVNFSPMPSPIFSRFDDSPVQSSSKGKLAFKSLLPKLSFKSRNNNLEIEKAAILALGGSSADVQGKPRFSRTFSLSKIFTPRMKNISSFPNTPTTHSNPESMHGGQKGGAIPPIHRSHSVPVLIKDGSIAQLDSLGNVFRVIPTTPRPVKGTVLTTSNSFSKVDNDVNHDGGEDIPEEEAVCRICLTELGEGSETLKMECSCKGELALAHQECAIKWFSIKGNKTCDVCKQEVQNLPVTLLRLQNAQGHNMRGTGLLRPVEVARYRVWQDVPILVIVSMLAYFCFLEQLLVSKMKSGAIAISLPFSCILGLLASMTSTTMVRRKYVWIYATIQFGLVVLSAHLFYSLLHIQAVLSVLLSAFVGFGGTMCGTSVLYEVSRGWRRRRAESNQQVSSQEVPQQDQQPATAQQTPTGSPLNETERRPLESVNVN >OMO72513 pep supercontig:CCACVL1_1.0:contig11437:16967:18562:1 gene:CCACVL1_17741 transcript:OMO72513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQKLPSVATSSKHPHLGTHSLYYA >OMO72516 pep supercontig:CCACVL1_1.0:contig11437:29222:29335:1 gene:CCACVL1_17744 transcript:OMO72516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMQSLWIARFWASKSDISEMFSLNKDWATIIMDFN >OMO72511 pep supercontig:CCACVL1_1.0:contig11437:1550:5946:1 gene:CCACVL1_17739 transcript:OMO72511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSSTSANAQSPGLKTYFKTPEGRYKLHYEKTHPSGLLHYTHGKTVTQVTLAHLKDRPAPSTPTSSSSSFGASSGVRSAAARWLGSGNGSRALSFVGGNGGSKSISSTSRIGSLGASSSSNSMTNTNFDGKGTYLIFNVGDAIFISDLNSQDKDPIKSIHFSNSNPVCHAFDQDAKEGHDLLIGLNSGDVYSVSLRQQLQDVGKKLVGALHYNKDGTVNNSRCTSIAWVPGGDGAFVVAHADGNMYVYEKNKDGAGDSSFSVIKDQTQFSVAHARYSKSNPIARWHVCQGSINSIAFSFDGTYLATVGRDGYLRIFDYSKEQLVCGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSSPNSDGTGETVMYRFGSVGQDTQLLLWDLEMDEIVVPLRRCPPGGSPTYSTGSQSSHWENAVPLGTLQSAPSIRDVPKISPLVAHRVHTEPLSGVMFTQESVLTVCREGHIKIWMRPGVAESQSSNSETVLSTSSKDKTLLSSKVGTSSYKQ >OMO72514 pep supercontig:CCACVL1_1.0:contig11437:19129:20538:1 gene:CCACVL1_17742 transcript:OMO72514 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase type 11 MDFKTFKLMIFHGSLARRVLLRAFMIAAALSIVPLLQMISGVDPIMLHTLSSSAGCALESDNSGPFMFPGKFLFSKIWGSFGSVHCNEDGNLTSSVIRELMGKQMLSYGVKALCVGEGSMSAVMALRELGFSDVTGVYRHPFFSLKHKKFVYELDYADNSYDFVLSRGLDKVSVPALLVLEIERVLKPGGIGAMLVGISGSDPTSLIRSATPVSSLLKASSVVHVDYVNEFTLVVFKKKLENATYFEQYRLPADCPSLTSNKAILDHMEPLAEEKPIGFEKSIAYLPKFVNLSSKQHLVYIDIGAGEHLNNDNGTSWFLPSYPVDHKAFDVYFVDHNTSVMLSYVKKPGINFVYYPGLATANLESKASADSGTVDDFDPYVEDDGFDFLLWFKDTVQYANFVVLKMNAGAAELKLLSDLFESGLICFIDELFLHCSDRMEGGGVVKGDCMDLFKALRRTGVYVHQWWGN >OMO72515 pep supercontig:CCACVL1_1.0:contig11437:22477:24826:-1 gene:CCACVL1_17743 transcript:OMO72515 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, RING-type MGNIGSSGVNGRRRQGSRRNHPPPPPPPPPAQPQPEIPANRYVFAAATPYPPQYPNTNPPQYYQYPGYYPPPPTMPLPAPYDHHHRGAPHMEPANWSRYTYGPMMPPPPVPYIEHQKAVTIRNDVNLKKETLKLEPDEENPGKFLVTFTFDATVAGSMTVIFFAKEGEDCNLTPMKESILPPVTVQFEQGLGQKFRQPSGTGIDFSMFEESELLKVDEVDIYHLAVKAEALPVSQSGSDGNQASSGAMNSQITQAVFEKDKGEYHARVVKQILWVNGMRYELQEIYGIGNSVDNDVDANDPGKECVICLSEPRDTTVLPCRHMCMCSSCAKVLRCQTNRCPICRQPVERLLEIKVNNGPEE >OMO89407 pep supercontig:CCACVL1_1.0:contig08731:45836:46105:-1 gene:CCACVL1_07859 transcript:OMO89407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSCTCSGEHSVLFVKHSSLARLALFTEHSSFAHVLVGVARIQGSIRCSSLSIIHSRGWHSSLSTLLLRIGDCTCLGELRLFRVASGALH >OMO89406 pep supercontig:CCACVL1_1.0:contig08731:7489:7560:-1 gene:CCACVL1_07858 transcript:OMO89406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTEPSFHLPDLNTSPMDRLEFQ >OMO69838 pep supercontig:CCACVL1_1.0:contig11967:8933:9326:1 gene:CCACVL1_19233 transcript:OMO69838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLNLLVHRKLPRRYRSHEPPLLSLPEFPFAVEKEPFGSSSTAELGSALIFLKHRPNVPRINPNRATFRLKFALSNRWIKLCFR >OMO69839 pep supercontig:CCACVL1_1.0:contig11967:41340:50952:1 gene:CCACVL1_19234 transcript:OMO69839 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MYLDLLVHRKLPRRYHNQEPPSLSLPEFPFAVEKEPFGSSSTADLGSGLIFLKRRPNVPRINPNRATFNLRSALSNRRIKLCFGRGMRKSKEVKNGGATEKPLAFQPPPGGQDGVAEMVKALIAQFQQDRQEAKERQTREEARIAALENTLLRANTQPFADAAHRRGERTPTPGDSRDPPNMEELGLPSNEEYYDNDDRRSEEDPTHYPHDTSTDERVYEIDDVPTNSSQADRALRRSVRDQYAGDTYQRLVNKIFKEMIGKCMEVYVDDMLIKSSSMEQHPKDLAECFDYSRAYKMKLNPSKCTFSVEAGKFPGFMVSTEYEALIAGLEIARRMRASHLKVFSDSQIVTKQTSGEYEAKKPSMAEYSKKVQELWSTFDKITLQQVLRDENTRVDALSKLASSNVESARGKVFMEYVEKPAYIRKAQVLTIEEEVAPNWKTEIIDYLNGIRPKLDPKEALARQRRVARYVLIDGVLYKKSFILPLLKCLGPTEANYVLKEIHQGVCGNHMGGRSVAQKALKQGYYWLTMRADAISLVQKCFKCQEFAKVSRFLAEELNVIKAAWSFAMWGMDLIGPLPKASGNLKFAIVAFGLPNAIVSDIGLQLQAQHIQELYKKFHVKLIASSIVYPKTNGHVESTNAKILSPLKKKLESKKVKWIEEFHDVIWAIRTTSHTGTRETPFNMAFSTEAVVLAEIGVKTFRTSPLFDPEKNEEELQTNLDIPEEVREAAQLRQANRAQKISQYYNQRVRHRQFQIGDLVEIPEFDGRGQPDDFLEWLHTVERIFQYQDVPENKQVKLVAIKFRKHASLWWENLRMQRERKGKEKVRTWDKMVRELKKKFLPEDYKQDVFLKLQNLKQGSMSVLDYTAEFDALMIKANINEPEEQTIARYLAGLKILIANIVVLQPYRTLNDVIKLTLRVEKQVKNKSVVKLDERELSEETTPKAPFVPKCNVNGDAKDKGKKAADDSGKTKLRPEKKCFKCHGFGHIASDCPNRQVIALIEGSDDDSEKNELEAEQAHEEEVLVHADQGESLVVQKVLHITQTSPGEDWKRKNVFHTRCTCQGKICMVIIDSGSFENLASMEMVQKLGLKTIPQPTPYKLSWLKDESDLKHVIYDGHAHTYTLKKDGRKITLAPLKPKTTPTPKMENLLSSRGLVPYVHEGTSNFFQPGENDTGVSHMSHEGDSGEEDEFSKASTTPCKHLALMTCHFKWDQGSHVGWKLAPLQVDAYVEASTSHFMTHVNDPPFSM >OMO69840 pep supercontig:CCACVL1_1.0:contig11967:57734:58626:-1 gene:CCACVL1_19235 transcript:OMO69840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNLPVHSKKEFKALQGNVEKFKKGKEDAEKQRGQLERKASLEGKTTEVKVLEAAKASLLANATEIANDLANDARAALLKSIKDVHPKWDLSAFEPVEEEEEEDEEEANSPSDVDVVAYATIIRSSDEGWTLLRVRSKAIAEEVFGEDVTAGAGDGDLPSVASAGNDKAPSTTDAWNDVHGKSSGAPDAS >OMO70431 pep supercontig:CCACVL1_1.0:contig11829:67495:77393:1 gene:CCACVL1_18926 transcript:OMO70431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSEEDDDFPSIESITPQSKIDSVHQSHTEKGIRKLCCELLDLKDAVENLCGDMRTKYLAFLRISEEVVEMEHELVELRKHISSQGILVQDLMTGVCRELDEWNRENADINDAPPDTEIGEVQGPLPNEMDDHKKKFLEKIDVLLAEHKVEEALNALEAEERNFPELKGSGDSSTEASSYKSSFLERKAMLEDQLIEIAEQPAVGANELKKALSGLIKLGKGPSAHQLLLKNCGSRLQKNIDVFLPSCSVCPKTFPATLSRLVFSMISLTTRESSLIFGDNPVYTNRVVQWAEWEIESFVRLVKDNAPSSETISALRAASICIQDSLNYCSLLESQGLKLSKLLLVLLRPYIEEVLDSNVRRARKAVFYSIEVDENLPLSPHFVSSLSAFATSSDSVLIDSGMKFLFIISEILEQLTPLVVLHFGGNVLPKISHLFDKYMDALIRALSGPSDDDSLTELKETIPFRAETDSEQLAILGIAFTIMDELLPSKVVKIWSPKSENQEPGNDNIVPNASTTTELKDWRRQLQHYFDKLKDHFCRQYVLSFIYSREGKTRLNAQIYLGGDGEDSQWDTLPSLPFQALFAKLQQLAIVAGDVLLGKEKLQKALLARLTETVLMWLSDEQEFWGVFEDKSTPLQPLGLQQLILDMHFTVEIARFAGYPSRLVHQMASAITARAIRTFTARGVERYSALPVDEWFVETAKSAINKLLMGASGSDTSEIDDDHIILHDDIESDSDDTASSLSSVESFESFASASMGELEIATSQDYLPETLYLVSSYGSQVFFCSVFDLYWQMLVGFSYSLDGADQRCGVHISGAPNRPDVMDCAVLWPVRFGKLLYDSLPSADGRGLILKAFARKNPIDASVDLNAIAHMKACEKLSGGLLFILFPLCKNSHYLSIIKYYLSASLQSAELQLYTDFSGIVLEILDAILTMHCNEILRPMFSITRLEVAAFLANYNPRSYAKVSCRDMHYNLPPWSISILPDCKNTVYNTARVGAQIARKKMVPIPMHGVFSWQAYNEETASAVDSSFTMVGLLEQINTTRDAFVWSR >OMO70430 pep supercontig:CCACVL1_1.0:contig11829:52401:66699:-1 gene:CCACVL1_18925 transcript:OMO70430 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, GDSL MLLIHCYASAPYLRAPHHPAVHYPHRKTPAPSPIVPATFPQPIFCSSSKASSRRRILVSTAVTTLLSTLISSAPSKSAINTDFFNLPNSGGVKALDFRAGTGETPVDGDQVAIHYYGRLAAKQGWRFDSTYDHKDSTGEPIPFVFTLGSGKVISGIEAAVRSMKVGGTRRVIIPPSQGYQNTSQEPIPPNFFDRQRLFTTIFNPTRLANGEGSTLEEELGFKDYSPPYLAPNTTTGDVILKGVNYASSGAGILNDTGRIFGERIYMDTQVSYFAKTKQDIISRIGKLAANTLLQKALYLLSIGTNDVIFQQFSMTEDIAIYLDDVFSRFKSQLTTLYNLGARKIAVTTSPAVGCMPFERDLENLSAGCVSSLNDLANLYNSRLRSLLQELTTKLSGSIFVVVDTYAIFEDIRKNYRSYGFENTDSACCSVIGQHGGWIPCGQLSRVCRDRTKLLIISAMYQILAVHFSSSIFCSAANKVSAIFVFGDSLVEVGNNYYINTIAKPTCPNGIDFVKEEELGFKDYSPPYLAPNTTGDVILRGVNYASSGSGILNATGRIFGEHICMDTQVSYFAKTRQGIISRIGKPEAHRLLRKALYFLFIGANDVIFQQFSKTTDITKYLDDAFSRFKTQLTSLYKLGARNIVVTNSPPVGCIPFERDTQLFSAGDCVSSLNDLAKLYNSRLMRLLKELEIKLPGSIFVTVNSYAILEDILNNYRSYGFENKDSACCRVIGQHGGLVPCLQLSRVCRDRTKVLIISAIYQILAVYFSSSIFCSAANKVSAIFVFGDSMVEAGNNYYINTIAKPTCPNGIDFVKEEELGFKDYSPPYLAPNTTGDVILKGVNYASSGSGILNATGRMFGEHICMDTQVSYFAKTRQDIISRIGKLAAQRLLRKALYILMIGPNDVIFHQSSEAKDITKYFNYIVSRFKSQLKTLYKLGARKIVLTNIPPVECAPFARDIKDSSAGECVSSLNDQAKLYNSRLMRLVKELEIKLPGSIFVTINSYAILEDILNNYRLYGFENVDSACCRVIGKHGGKIPCIQLSRVCPDRTKLIIISAIYQILVTILFSPTIFCSASKKVPAIFIFGDSLAEVGNNFYIQSYARPYCPNGEELGFKNYTPPYLDPKTTGDVILKGVNYASSGSGIFNSSGSDWGAHISMDEQIQYFANTRRDIICRIGAKAARKLLRRSLYIVATAANDMFVGMDLNKSSVDFDNNTYTSNMASAFRFQLTSLYNLDARKIAVANVPPIGCTPNLRDRFSTDDCVEGANEFVNLYNTKLKKMLPKLTTNLSGSKFVYIDAYARFTNGDSACCQGATETQIESSRAKVSKIEVQGDKMSKRYKFKAKNGEELGFKNYTPPYLDPKTTGDVILKGVNYASSGSGIFDYIGSGYGAHISMDEQIKYFANTRRDIICRIGATAAGKLLKRSLYIVATGANDIFAGPGLNESNVVDKNTYTSNMTSTFRFQLTSLYNLGARKIAVANVPPLGCTPNVRDRYSTDDCVEEANELANLYNTKLKKLLPKLTTKLSGSKFVYMDAYTSMLEILQNYKSYGFTNADTACCQVLGQGGKHGGLLPCVFFAVACPDRKTHVFWDAYHATEPTNLIAAKRLMDGGLEYVSPINIRKLAYS >OMO77356 pep supercontig:CCACVL1_1.0:contig10774:6531:6863:1 gene:CCACVL1_15048 transcript:OMO77356 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant self-incompatibility S1 MASFVETFFPRVTVTIQNEAGHKVYLKCGFEDSKQELERLEPGDKRSWSFREILFPLRWCYVHINNDNRGAFWAFNVQLQCTDCVWKITDDGAYRFNVENKWVKSQLFQG >OMO77359 pep supercontig:CCACVL1_1.0:contig10774:20779:21273:1 gene:CCACVL1_15051 transcript:OMO77359 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-binding EF-hand MSDQKQPEKLNDEQIAELREIFRSFDRNNDGSLTQLELGSLLRSLGLKPSSDQVEALIQKADTNSNGLVEFSEFVALVAPELLSEKSPYSEEQLKQLFKMFDRDGNGFITAAELAHSMAKLGHALTVEELTGMIKEADTDGDGRISFEEFAQAITSAAFDNSWT >OMO77357 pep supercontig:CCACVL1_1.0:contig10774:7992:11795:-1 gene:CCACVL1_15049 transcript:OMO77357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDCQVISSMGGNVVSSETLFSSQIQNPNFNFLPFQPLPPIIPKEENGLLLRGKEEMDSGSGSELQIEDKSGNELESTEQPTNKKKRYHRHTARQIQEMEAVFKECPHPDDKQRMRLSQELGLKPRQVKFWFQNRRTQMKAQQDRSDNVILRAENESLKNEFYRLQAELSKLVCPNCGGPAVPGGISFEEIRIENARLREELERVCAIASRYIGRPIQTMGASPAIMPPSLDLDMNIYPRHFTEPMASCAEFMPMPMLPAETGSLPENTLIIVEEEKTVAMELAMSSMDELVKMCRVNEPLWIRSNENGKELLNLEEHARMFPWPLKQRSSELRTEASRDSTVVIMNSVTLVDAFLDANKWTELFPSIVARAKTVQVISPGVSGPNGSLQLMYAELQVLSPLVPTREAYFLRYCQQQTVDDETYWAIVDFPMDGFHNNLQASFPLYRRKPSGCLIQDMPNGYSRVTWVEHAEIEEKPVHQIFSHFVYNGMAFGAHRWLAVLERQCERVASLMARNIADLGVIPSPEARKNLMRLAQRMIRTFCVNISTSSGQLWTALPDSSDDTVRITTRKVTEPGQPNGLILCAVSTTWLPYPHYQVFDLLRDERRRSQLEVLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVELMLQESCTDRSGSLVVYSTVDVDSVQLAMSGEDPSCIPLLPLGFFITPVEVIRDSNDHEGNKSAEEGNGHISGSLLTVGLQVLASTVPSAKINLSSIAAINNHLCTTVHQITAALSSGGSPACLDNGNGVLGGGSCSEPSTTPEK >OMO77358 pep supercontig:CCACVL1_1.0:contig10774:17088:18655:1 gene:CCACVL1_15050 transcript:OMO77358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLFTAQPSTFFLSQSPKPSLPLSLKPNSLSFPLSTKPHKTLKFSSFISLSSSQPDPTPEPDSDPKPTPISSSQASITDEWGEKSELGSEPEPTKLPDSDPPINEDEWEEEFIEKGNGVPTAAAEKVEEEAFDNRIEDLKRCLVDTFYGTELGFRAGAEVRAEVLELVNQLEAVNPTRAPVEATQLLDGNWVLLYTAFSELLPLLAAGATPFLKVKSISQAIDTESLSIVNSTTLSSPFAEFSFSATAAFEVRTPSRIQVEFKEGTLQPPEIKPNVDLPAEVDVFGQKISLLPVQQSLAPLQDLVAGISRAISGQPPLKIPIPGERSKSWLLITYLDEDLRISRGDGGLFVLARQGSPLLDQ >OMO77360 pep supercontig:CCACVL1_1.0:contig10774:24215:25908:-1 gene:CCACVL1_15052 transcript:OMO77360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWEITLGTAYFLGLRRTYRLALKIQQRIISPKHPKIRQFAQRRTRAVFDVALNFHQKIQQRDLEVGRNLGNWILRWLDKMKPSAQIRASPQPKPHHHVGDAKMNISKQVKNPPPVNAPRSIQPPKNSEGDRHLFSSSANIWPKSFPTISMMMRPRRAAGNMTHYRHLHINAPDTLMLNYSGGEGFIRKDIMEWMVRK >OMO77361 pep supercontig:CCACVL1_1.0:contig10774:28725:29376:1 gene:CCACVL1_15053 transcript:OMO77361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQSLGSPSSKLHIHGGEESSVSEDQKRRIIIDDDDRKDTKPRRLSFSPSSSPTSSLTSPPKPEKLIHLIPVLTLLCFLILYLSSHSPSQSDLAQFNGFKHPSEHIDSSEISDASRLLEFRRSDVLAIRSLRNLQELDKYAPKSRPHRKIADF >OMP05224 pep supercontig:CCACVL1_1.0:contig05478:721:1122:-1 gene:CCACVL1_01996 transcript:OMP05224 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MASECQGKSSWPELVGVKGEEAEATIEKENPLVDAKIVVKGRDSVTTDFRCDRVWVWVDDAGYVVDVPFIG >OMP05225 pep supercontig:CCACVL1_1.0:contig05478:3312:3751:-1 gene:CCACVL1_01997 transcript:OMP05225 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteinase inhibitor I13, potato inhibitor I MASDECQGKSSWPELVGVKGEIAEATIERENPLVDAVIVPEGSMVIQDFRCDRVWVWIDKAGIVKEVPHIG >OMO79327 pep supercontig:CCACVL1_1.0:contig10443:15948:17999:-1 gene:CCACVL1_13747 transcript:OMO79327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEENGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPKNDALLSSTDGQSKNAANLSHMAQWESARLEAEARLVRESKLRNSHNSIHHHLNPPPGFNLPPPTSSASASASASTSAHLVTPKAWNTSYGLVAAADQLESPTSTLTFSENVQIGVNSMPMIEFVGTSSSETGIIKEEGEQEWKFKEGIENSLSFSTSSLHDMTISMGGWTSPDQSLRPTSNNNNDGHGNNNVGNVIEEVGFTNLLLNDSIDRSLSDSGKDSDENSGSGGDGSDYYEDNKNYWNSILNLVNSSPSDSPMF >OMP03530 pep supercontig:CCACVL1_1.0:contig06051:2197:4203:-1 gene:CCACVL1_02383 transcript:OMP03530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDNEFKLQGFSSFVRTNPKSDRFTVKRFHHIEFWCADATNTARRFSWGLGMQFVAKSDLSTGNMTHASYLLRSGELHFLFTAPYSPSIAQSQNLSPNSTASIPTFDHSACRSFSASHGLGVRAIAIEVEDAEIAFTTSVSHGALPSSPPVRLGDNDATLAEVKLYGDVVLRYVSFNNKNDDGNHDFLFLPGFEKIEDALSYPLDFGIRRLDHAVGNVPQLGPAVSYLKSFTGFHEFAEFTAEDVGTTESGLNSVVLANNDEMVLLPMNEPVFGTKRKSQIQTYLEHNEGAGVQHLALMSEDIFKTLREMRKRSFVGGFEFMPSPPPTYYKNLKNRAGDVLSDEQIKECEELGILVDRDDQGTLLQIFTKPVGDRPTIFIEIIQRVGCMLKDENGKQYQKGGCGGFGKGNFSELFKSIEEYEKTLEAKIVDSAGA >OMP03531 pep supercontig:CCACVL1_1.0:contig06051:5596:14808:-1 gene:CCACVL1_02384 transcript:OMP03531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLKQPSAFAITPHKVSVCILVQIYASPSQISVPFPFSSVSQHNRLGVYLLSLTKACDDIFEPKLEQLINQMREVGGLLDNWLTDHLTSRLSSLSSPDDLFNFFNEMRGVLGGPDSGIMEDDQVILDPNSNLGMFLRRCILAFNLLNFEGTCHLLTNIGFYCKEAISSCSSYELRQLDDPGNDLESLSEYENMDLNLVFKKINEEMEARKKGTERVSFHLHLPKELSTLVEDIEVFSDPKSERNDKGRESSPYGSSSDSMRNNDPNSGVFLRTNWQIQGYLMEQAEAIEKHGSSFTLNAFELTLRQLQKLAPELHRVHFLRYLNCLYHEDYFSALENLHRYFDYSAGIEGFDFVPPAGCTIFGRYEIALLCLGMMHFHFGHPNRALEKPDDLAFGELSSVDLYVEKPVLAEAVRVTQQQSNDTCLAYTLASICNLLSENGFSNTRGILGSPFSHMTSAETSLSVQQQLFVLLKGSLKRAESLKLTQLVAASHLAMAKFDLTHVQRPLLSFGPKASMKLRTRPISVCKELRLGYRLISEFCCEGSTMTTDGAFSTAWLKNLQKPMGSSVLSQDDSSRNNSNSFLFCTQPSSIPGSVLQLVGSSYLHRATAWEIYGSAPLARVNALVYATCFADASSSSDAALVHVKLIQHLAVFKGYREAFAALKLAEEKFICVSKSRILILKLQLLHERALHRGHLKLAQQVCDELGVLASSVTAVDMELKTEASLRHARTLLAGKQFRQAADVAHSLFCMCYKFNLQVQSATVLLLLAEIHMKAGNAVVGLPYALASLSYCQSFNLDLLRASATLTLAELWLSLGSNHTKTALTLLHGAFPMILGHGGLELSARAYITEAKCYLSDPSFSVSDNPEVVLDPLRQASEELQALEYHELAAEAFYLMAIVFDKLGQLEDREEAATSFKNHIMALENPQDAEDSLINA >OMP07678 pep supercontig:CCACVL1_1.0:contig04272:550:633:-1 gene:CCACVL1_01250 transcript:OMP07678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLPIQPGRHEWNSDHNIIDKSKMYSYK >OMO95881 pep supercontig:CCACVL1_1.0:contig07589:8939:10642:-1 gene:CCACVL1_05204 transcript:OMO95881 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase A1 MLVKVSLSLVLLLFLLSISSGAIEAIARAHHDHHIKNGNSNVSSTLTGIEFPDHMSFNAVSSSTSSNDCSLSKQKKAQQSQTIASQEESFNLDDEEDEDEQEEPKKTVKLHLKHREMDTKSEPKKSVLESTMRDLTRIQTLHTRVIEKKNQNAISRLNNKRKLSEHHLKPMVEKAAAPESYTTGVPQQLVATLESGVSLGSGEYFIDVFVGTPPKHFSLILDTGSDLNWIQCVPCYDCFEQNGPYYDPKESSSFRNISCQDPRCHLVSSPDPPLPCKAENQSCPYYYWYGDSSNTTGDFALETFTVNLTTNGGSEFKKVENVMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLQSVYGHSFSYCLVDRNSDANVSSKLILGEDKELLSNPNLNFTSLVAGKENSVDTFYYVQIKSVLVGGEVLNIPEETWQLSAEGAGGTIIDSGTTLSYFADPTYQIIKEAFVKKIKGYPVLKDFPVLDPCYNVSGVENVDLPDFSIRFADGAVWNFPVENYFIWLEEDVVCLAILGTPRSALSIIGNYQQQNFHILYDTKKPRLGYAPMKCADV >OMO95880 pep supercontig:CCACVL1_1.0:contig07589:3671:5262:-1 gene:CCACVL1_05203 transcript:OMO95880 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L18a MVAFRFHQYQVVGRGLPTETDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATIPAKLCKRDSTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASRPNMFM >OMO95879 pep supercontig:CCACVL1_1.0:contig07589:1103:2686:1 gene:CCACVL1_05202 transcript:OMO95879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCKCGSRSTSRDNVTGSLYCSNCYTILDSVILDAQLGGLNGPTGTYVQIGYSGRMIARVVKFLNLNLPELSIAGLFERQLNNSLMRLENVDWEKKERMMKQGIFLVNCAVKWFLTTGRRPLPLVAAVMALVAELNGVEGFKIEDIAKDLHAVVATSKLRYKELLEALVKVAQVLPWGKDVTVKNVVKYAPFVIRYMEMKSMEEPGGGERGGSIDLDDVVSECLRKVEVYGNAEDYIEGDSQYFEGQGDRSGFPRVLNDNDIDNLKLSHESLSLIYTKFSNEVDEDRLKGKGGKVGRRKNRAIELLDYQDWWSGKSELSKKLLLKQILEKEIGLDMMPPSFIAGCVAKERRRERINAAKVRINKIMYPSNTGSEGSDNHCSSEVVGAGKKRKRRKVCEIDWEDFLIETLLLHGVKEEDIETGHYKALLGLHVFNSGVN >OMO89716 pep supercontig:CCACVL1_1.0:contig08639:377:889:1 gene:CCACVL1_07672 transcript:OMO89716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKTMRLPPRRVSNSIPNNNKRKERDDFDLKHLTPPPTKWLKPAVPLAGSGKAAQPVLSNQLLAGYLAHEFLTRGTLFGQTWDPTRAQPAAAESRKEEIKEEAEASERSKAGEPEPEPEPEPKPKPKLKLREREEKHLRYAEVASLLKTDGAHIPGIVNPSQLARYLQM >OMO89718 pep supercontig:CCACVL1_1.0:contig08639:3678:6043:1 gene:CCACVL1_07674 transcript:OMO89718 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin-like protein MSDSKPDPEPDPDAGFNPKSEAKPRSQNKNSLVSQNKDENPDTSFPVIPKKPRRGRRSEAEAVEDFIRDSLERTFDSIRLQSPEVLENKENVMKDRLDNEFDSDRNKMVVEEDDPDWPLDADVGWGIRASEYFEQHAIKNVVGEDGFEINWEGETDDSWVKEINCLEWESFAFHPSPLIVLVFERYNRASHNWKTLKELEKAIQVYWNAKDRLPPRAVKLDINIERDLAYALKVRECPQILFLRGNRIVYREKEFRMADELVQMIAYFYYNAKKPSWIDKAAIC >OMO89717 pep supercontig:CCACVL1_1.0:contig08639:1662:2995:-1 gene:CCACVL1_07673 transcript:OMO89717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGTATVETALRINVSFYCAVDS >OMO89719 pep supercontig:CCACVL1_1.0:contig08639:46604:50083:1 gene:CCACVL1_07675 transcript:OMO89719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSAICETIIPPLSLTLPLPLDALDNKEKSAALHAFYGASGADPPLPDEVAESMVKRVEPKAISFIKTVLTLLSFRLGTLLLCGWLCCDLKWPFFHKFSEIPVDKREKILMKWSGKPHPLPLRAVFASIKTYCLFIFFSMTDENSENPAWKAIGYEVDNRQKKAYPQGRPLEKGIIETMHEDDSTFLQSLTEKGLQVMDDPDDDAYKIKCDVAIVGSGCGGGVAAAILASSGQKVVVIEKGNYFAPQDYTSLEGPSMSELYESGGMLPTTDGKIMLMAGSTVGGGSAVNWSASIRTPKNVLKEWSVDHKIPLFGSSDYEFAMDAVCKRIGVTEQCREEGFQNQILRKGCENLGLKAEAVPRNTPENHYCGSCNFGCRTGDKKGTQATWLVDAVGCGAVILTSCKADKFILQVNNDDSISRRRKKCLGVIATSLNKNLSKKLRIEAKATISACGALSTPPLMISSGLKNPNIGRNLHLHPCLVAWGYFPEEESLEIFKGKTYQGGIITSFHRVTSDKKSNHVHAIVQTPAFGPASVAALSPWISGSELKERMVRYPRWCHLFTLIRDQGSGEVTEQGKVKYQFSETDKENLKLGLRQVIRILIAAGAVEVGTHRNDGQRIKCKDLTEEKLQEFLENVPVVGGLGCKDEFWTLYLSAHQMGSCRMGANEEESAIDENGETWEAQGLFVCDASVFPTAIGVNPMITIESISYCISKKIAELLKKQ >OMP04528 pep supercontig:CCACVL1_1.0:contig05720:1748:1915:1 gene:CCACVL1_02157 transcript:OMP04528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSTIKEGLESGEVFSLTESTTIVDDSQRFHRRLVWTFRNAGVGRKSKATTWGG >OMO63760 pep supercontig:CCACVL1_1.0:contig12896:12342:14900:1 gene:CCACVL1_22293 transcript:OMO63760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNISGDDGSFSSGNTGEEVQQLDQKQQKQLLLQASSASGPSAASNSNGSSSQHPQQPAHACQDPNAEVIALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTTTEVRKRVYICPEPTCVHHNPARALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHQKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEENNKVNQGLINNMGSNLQNQMPDLMSSMPMSNAINTSMGISDFNNFDPKNPLKSLPQELVPIPFKSMNMGGGGMFSSSSGTLFGAPRNVSTASSSLQLSSNSSSGFNYLQDGKNGTCQIAGSPQMSATALLQKAAQMGATASNSINSPMMQKSFASSMAGPNFAGIHQQQNTNSYDHFQSQTDQSSMVGISEGGFSNPLMPKSPNEMAQLFNAATGSSSAMNDMGIFTNMFMNGVDNRNQGLMKNMEHEDSGSSSLLQGRNIQAMERNNQTGPPPSIFGGSGGGSNMTTLDFMGIGGSRQQAASLQEQQQQRLELEAMSQQRVLPMINPFHQQLSHGDSAIEKPIWDV >OMO63761 pep supercontig:CCACVL1_1.0:contig12896:17226:28639:-1 gene:CCACVL1_22294 transcript:OMO63761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFNENFSEVEVGNTVESLQKFIDLQRELFHSQIDQLQKVVITQCKLTGVNPLAQEMAAGALSIKIGKRPRDLLNPKAVKYMQAIFSIKDAISKKESREISALLGVTVTQVREFFASQRTRVRKQVRLSREKALRSNARKETEEGVVPNGSDTMIPVEPVPLNSVGPVNAEEAPSCSTQDDALTDMDELDKRFVENIFTKMGKEETFSGQVKLMEWILRIQNPSVLYWFLTKGGVMILATWLSQAAVEEQTTVLCVILKVFCHLPLQKALPEHMSAVLQSVNKLRLYRFSDISNRARTLISRWSKMFARSQAAKKPNGLKSATEAQNEMLLKQSINEIMGDESWQSNIESSEGTLAISNVRKLESPQVLKLLPASTDDSSKKNILGGSHGRERRKVQLVEQPGQKMAGKSSQTTRTVPASQSRPMSADDIQKAKMRAQYMQSKYGKTGSSNGMNESKAEGPNKPSTSQASISPPVSKVQAQPAEDQKKPVILPPKTSNNLDTSLDPKQNMESKESPWEKCQKVKIPWYTPPEVKLDDLWRVGSGENSKEVDVQKNRNRRERETFYYSIQEIPFNPKEPWDREMDYDDTLTPEIPTEQPPDAECTETQVTNGEHVNSATTTLGPSSSQIGAGGGGGVAAEPDLELLAVLLKNPALVFALTSGQAGNLTSQDTVKLLDLIKAGGAGIPKDNGKKVEEKVEVSLPSPTPSSNPGTSGWKPKVVRNPFSQQSQMGNRSVGQASVGVGGAAPVAERLPQQEANGLSLAQQLAAAMSQLLPQLSQSNAMTAEKQQQSSNGAFSHHGLPSNSPSMQASASEIALTMNNLHTANTSSLTSLSAAGRPPLRVETMTSMKPVPVSMTPNAPEKLHSSYSMSPLMPTLSRPQTPPQLRPQLPHVTDPSLQTHPYSSRPLVGNIGTMSDPWRARQGLASNPYPQTNQNNYNASFGGSMQPQLRSGPPWEGNEYVGNDGFESWSPDNSPNRSSEHVPGRNYLEPRMNPGWNYRPERTWQRAYHSPILSDNSNYNIPRRWHFGHSHDHRDHQHNITKEGEKIFRLGLGADIGLAAGKALTGYLSGSTAIIADAAHSVSDVVLSGVALWSFKAAKAPKDEEHPYGHGKFETLGALGISCMLLATAGGIGWHALDILAGLFSTAPEVLDSHSLAHGHSHHHGIDMDHPILALNMTIVAICIKEGLYWITKRAGEKQNSGLMKANAWHHRADAISSVVALIGVGGSILGVKFLDPLAGLVVSGMILKAGLEAGYQSVLELVDAAIPAEQLEPINQTILQVEGVKGCHRLRGRRAGSNLYLDVHIVVDPFSSVSAAHGIGENVRHQIYKSHPEVTEVFIHIDPAYVEFSPDVIDQKGSSKRIMEQNSDISAREDNVEAIVSHVFSSKFPEKFVVERINHHMLQGKMLLEVEVSLPQDQDMMIRDAMEAAKEAEEEILNAASNIVHVKVQLRLGRPIPQFKYT >OMO63759 pep supercontig:CCACVL1_1.0:contig12896:4012:7413:1 gene:CCACVL1_22292 transcript:OMO63759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNHLDYDIKGIGDGLAEQLLASDSFFDGIFGDPQVIPRVGEEFQAEIPPLVGKSRSLQAVNKPIASEVTINVPNPFQLGLPIPLFWTKIEVESTNGAFENSEKSHNMSSHGCMEYKVKTQDCVLGDGNGMRVCSKLQPETGTAPMDVDLPFPEESKSKLNQVDIGSIPLPGSSGEVWKDIELDSFLLGLYIFGKNLVLVKNFVESKGMGEILSFYYGKFYRSDGYRRWSECQKLRSRRCIHGPKLFTGWRQHEILSRLSSHLSKESQDKLLEVSKTFGEGKISFEEYIFTIKNTVGIGMLIEAIGIGKGKQDLTGNTMEPVKANHVASVRPEIPVGKACSALSSADIIKFLTGDFRLSKARSSDLFWEAVWPRLLARGWHSEQPKDQVFFGSKNSLVFLIPGVKKFSRRKLVKGNHYFDSVSDVLNKVAAEPGLLELEIEGSEGSREKEENKWDSVTKQDASSMSNKRERYLKPRNSGPSGDLIKFTIVDTSLVQGLQRSKVRELRSLPLEFTRLSTPSSISSDSEEDTSDDSENEAEGTSTSNAAEVVAARGACMDLSDCVNSNSNAGIPHTSDSTIVSVENHESLNANLLDDDEEQKVLKHYFSRKVKCSNSKNLSPDTNKQGSRTDCFNEESSCSVENVSAERMLTKDNSVCRSNSPDACEDMVFQRGSQNLSPANSLAKGSVNGRNEGVVTENCLHGEDSPIKSQSHTLIDLNVPQVSMDLETDEALVMETAQNGDNSGAHLPFFQSEITVQPEPSVVPDQVAEVKEQPIMQNRRQSTRSRPLTTKALEALESGYFSPKRKKRDADAPQNNSRKVRKTPVVGASFRNGVRRQ >OMO59757 pep supercontig:CCACVL1_1.0:contig13887:20683:21654:1 gene:CCACVL1_24619 transcript:OMO59757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPGQSRGSNATELDVKSRLESLIHGEGEDGAIRDREDNQVVVNKSLYEAAAQGGINGEMDFAEKLEDIAITSKLPLKVICNRVSPYGNSLLHVAAGSGAEEITKLLLHFFPNLITSQNKEGDTPLHIAAKASRLNICQLLLRHKSGDNGAANGVALTKMKNQSGNTALHEAILNYYRKYKNKRGGWSYTSTSNSDHEVITKRPECVLIQYLIHADPDLLCRENKDHESPIYMAVQYGNNPKVLDLILKYWREHDLENDQTLIDGKSPVRAAIEKKNQGIFIYIYILPNPNPHAQIYKLCIQLAYIYVLIHGKSYISSDVGI >OMO59762 pep supercontig:CCACVL1_1.0:contig13887:80923:84127:1 gene:CCACVL1_24624 transcript:OMO59762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKMTMNACITDGLPLAKGLDDGRDLKDANMYKQQGWKGKFLSKERTPLYCNDDEGTKGFIKSYKELFQISESSKPGWTIKLNSKLSEEEKNVWNMKATEAMEAYRKELEEYNKSAADQENQQQ >OMO59765 pep supercontig:CCACVL1_1.0:contig13887:106350:112862:1 gene:CCACVL1_24627 transcript:OMO59765 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major pollen allergen Lol pI MGIQQLKTPPHTQEHNVVAEMRHGSIVETGKTLLHQASMPPSYWPYAFATAVYLLNRLPTPILQQKSPFEMLFKQKPNYNKLKTFGCLCYPWLKPYTNHKLEPKSEPCIFLGYSKHQSAYFCLELETQRVYTSQHVLFDETNFPFSKINSALYSNSEALSQLESLSYIQVVTIVSTTPTKADFSEKSSGLTSSPPAVQSSTQSPTQFMHKPKVTHFAALKSALPLSKVSSSSLVNGENHCWESFTPIVANPARTREKAFDLIVFTDSDWAGDPNDRHSTSAFVIKLGNTPISWSSKKQTTIVRSSTKAEYRAIAAAVAEVCGQARAPHNVSDPHWHPATATWYGSPDGDGSDGGACGYGSLVDVKPLRARVGAVSPVLFKNGEGCGACYKVRCLDKSICSRRAVTIIVTDECPGGYCSNGRTHFDLSGAAFGRMAINGESAQLRNRGELPVVFRRTSCKYPGKNIAFHVNEGSTEYWLSLLVEFEDGDGDVGSMHIREANSNEWIEMNHLWGANWCIIGGPLKGPFSVKLTTLSTGRTLSARDVIPRNWSPKATYTSRLNFF >OMO59772 pep supercontig:CCACVL1_1.0:contig13887:160577:161518:1 gene:CCACVL1_24635 transcript:OMO59772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKLSFFSTYSEINGEVRRSILTVAPAAALLITVCPFLILEAQMELNITPYSTLFIHVPLRGGTQPGPGGAAPPKPRLDFLNSKPPPNYVAGLGRGATGFTARSDIGPARAAPDLPDRSAAAAIGGAAPASGLGRGRGKPGDDEDEDEDEGDDKVYLSFLCLL >OMO59758 pep supercontig:CCACVL1_1.0:contig13887:22480:24410:1 gene:CCACVL1_24620 transcript:OMO59758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELLITLDQKLLYLPDDHDNKRIPLHQAAWLGNYEAVKYLLEQDKDKLGIHQKDNDGLYPLHCACMNGDFNIVKLLCNQWPDPMEFISEKRQQNILHVAAENGLDRVVLYILNNVLWVKKKWGIVKHVQAVDENVNRSQLERKMNIVNAKDKDGNTALHLAASTGHCFCVGYLLVDNMVDSDIVNNNGFTAYDVANNRSLDVHHESQTQNDENKSEDHQKASISNNQSVNTESADKIKGHHSTNSMMCLSLLYVSHCKSYWRDRIKQLPKSSQEETISKEDFRQSISNLIVVATLIAGIAFAAAVQMPGSSGKSGNSAEMISPSLSVNPPDQARAQLQEKNFESSINHFAFFISVALQSGILAAITLCWAQVVNINIAIYAGWIASMFVGSALMMMFVAFMYAVRIGLNYSEFSAALVIFQWLCLSCQVVNISPLLIPGNQWKCLFLHLVSYCTFWFCFYPLRMANFFLRKLVGISSHDTICLLDPKVFRCFVKT >OMO59769 pep supercontig:CCACVL1_1.0:contig13887:137388:139475:-1 gene:CCACVL1_24632 transcript:OMO59769 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MENIQHSHVQVRGLKLHVAETGTGPKVVLFLHGFPEIWYSWRHQMIAVANAGYRAIAVDFRGYGLSDQPSEPDKANFRDLVDDLVALLHSFAISKVLLVGKDFGAVPAFMLAVIHPERVSGVITLGIPFLIPGPVGIQFDLLPKGFYILRWAEPGRAEADFGRFDVKTVVRNIYILFCRSELQVAADDQEIMDLVDPSTPLPHWFTEDDLDAYANLYKNSGFRTALQVPYRCSQLDYGIADPKVTAPSLLIMGEKDYFMKFPGIEDYVRKGIVKQFMPNLDITFMPEGNHFVQEQLPEQVNELILAFLNKHCI >OMO59764 pep supercontig:CCACVL1_1.0:contig13887:98833:100148:1 gene:CCACVL1_24626 transcript:OMO59764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNTSSNSSETHSVLNTNTQAISQTNGLPPLITIKAVAQLPIKLAPHNFPSWRAQFNSLIIGHKLLGYINGSKSCPASQIPSKDDPQPLVPNLEYDHWIQQDQLLLHDIIASATETIILFFASCTTAQVNEDELVIHILNGIGSEFKEIAAGIRARESYISFEELLYKMTDYESYLSRQNSADVFVHIANVAQKFHQSDRSRQGPKHSYFHKNFTSTDNYVQKRKSSHRLFCQFCDRPGHTAKSCYQIHPKQNSSSPSAHVTTATTKPNNTWLLETGASHHVTSYFRNLDLSDKYQGTDELIIGDGSGLNITHTGSLSIPTKAVSFNLKSVLCVPKVNRNLCSVSKFYRTNNVSVEFYPFSYLVKDLQTRKAHAGGLSEDGIYQL >OMO59763 pep supercontig:CCACVL1_1.0:contig13887:86348:88309:1 gene:CCACVL1_24625 transcript:OMO59763 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetraspanin MVRVVRRCIQSILKLVNSIIGMVGMAMIMYSIWLIRVWQRETGDSPFDASDYAAPWFIYAFLGLGVILCVITCSGHVAAATANGCCLYIYMLFIFLLLMLEAAVTTDVFLNSHWEEDFPVDPSGSFNNLKDFIRSNFEFCKWIGLSIVSVQGLCFLLAVLLKAFGPHQNYDSDDDIDPERVPLIKNAAHPHYVVGSPVYGSRSDA >OMO59768 pep supercontig:CCACVL1_1.0:contig13887:120841:122194:-1 gene:CCACVL1_24631 transcript:OMO59768 gene_biotype:protein_coding transcript_biotype:protein_coding description:Epoxide hydrolase-like protein MEKIQHSHVQVKGLKLHVAQIGTGPKVVVFLHGFPEIWYSWRHQMIAVANAGYRAISLDFRGYGLSDHPSDPQNTTLNDFVDDVVALLHSFAIPKAHIVSKDFGAIVVTMLAVLHPDRVSTAALLGVPFLLPGLAPLQAHLPLIPPGFYMFRWMVPGGAEADFGRFDAKTVIRKIYSMFSGSLPPVAADNQEIMDLVDSSTPLPPWLTEEDLAEYGSSYEKSGFKTALQVPYRTMFAPCGLDDRKITAPALLIMGEKDCVIKFPGLEDYIRSGKIKEFVPDLDIKFLPEGTHFVQEQCPEQVNQLLISFLDKHCQ >OMO59771 pep supercontig:CCACVL1_1.0:contig13887:151401:154436:1 gene:CCACVL1_24634 transcript:OMO59771 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-processing protein, HAT helix MNPDSVLLSQLNITPYSTLFLHVPLRGGKQPGPGGAAPPKPRLDFLNSKPRTNYVAGLGRGATGFTTRSNIGPARAAPDLPDRSSTAIGRGRGKPEEDEDEDEGDDKGYDENQKFDEFEGNDVGLFASAEYDKDDKEADAVWEAIDQRMDSRRKDRIEARLKEEIEKYRASNPKITEQFANLKHKLHTLSAQEWESIPEIGDYSLRNKKKRFESFVHVPDTLLEKARQEREHVTALDPKSSGTETPWTDLTAVGEGRGYLTDLKSMKITSDADISDIKKARLLMKSITQTNPKHPPGWIAAARLEGQAGKIQAARQLIQKGCVECPKNEDIWLEACRLARPDDAMAMIAKRVKSIPNSVKLWLQAASLEHDDKNKSRVLRRVARLKEYEKAKKVLNRAREKLPKEPAIWITAAKLEEANGNDPMVGKIIERCIRALRREGLVIDSEAWMKEAEVVERVGYAVTCQVIIRNTIGSGMDQDEDRKRTWVADAEECKKRGSIETSRAIYDHALIVFLTKKSIWLKAAQLEKSHGTRESLHALLRKAVTYHPQTEVLWLMEAKEKWLAGDVHAARATLQEAYAAIPNSEEIWLAAFKLEFENHEPKRARMLLAKARERGGMERLWMKSAIVERELGNTEEERRLLEEGLKRFPSFFKLWLMLGQLEERLNNLEKAKEVYNSALKHCPSWIPLWVSLAILEEKTNGIAKARAMPTLARKKNPRQPELWLAAIHSESRHGYKKEADNLMAKDLQDQECPKSGILWAAAIEMAPWPQRKTKSTDALNKSDHDPHVIAVVAKLFWHDRKVDKARVWLNRAVTLAPDIGDFWALYYKFELQHGSEENQKDVLKRCIGAEPKHGEKWQAVSKAVENSHQPTEAVLKKVVLGCSR >OMO59761 pep supercontig:CCACVL1_1.0:contig13887:69387:70018:1 gene:CCACVL1_24623 transcript:OMO59761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGDLAVVELQRNSTNFAKVIEEIVKIEKKIFPKHESLSRSFDEELRKRNSGLLYMIDLHGEIVGYVMYSWPTSLSASITKLAVKESHRRQGHGEALLKAAIQKCRTRNVHRISLHVDPLRGAAMGLYQKLGFQVDSLIKSYYSPDRDAFRMYLDFDPK >OMO59766 pep supercontig:CCACVL1_1.0:contig13887:114427:116434:-1 gene:CCACVL1_24628 transcript:OMO59766 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain MDSFDAMSNEGGDIHHMRSSSRPFEDDSYMGYVDDSSAAGPVLPPPEQMREEGSARREWRRLNAIHLEEKEKKEKEMRAQIIAEAEEYKRQFYEKRTQNNETNKANNREREKLYLANQEKFHKAAHLHYWKAIAEIIPREVPNIEKKRGKKDPDKKTSVEVIHGPKPGKPTDLTRMRQIFVKLKQTPPPHMMPPPPKDPKDGKLDGKDAKDKNENDGKDAKEAKNGTPSTPAAENKAANSPGKDAAAAANGANGAPEEQPQPETVAQAVAEADDKTAQPEPDASK >OMO59767 pep supercontig:CCACVL1_1.0:contig13887:118420:120408:1 gene:CCACVL1_24630 transcript:OMO59767 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lanthionine synthetase C-like protein MADRFFPNVLLETWGITERNVSNSDLTLYSGTLGTAFLLFKSYQLTNNANDLSLCLAIVDTCNTASLSSRDVTFLCGRAGICALGAVAAKYAKNGELITYYLTHFREIKLSRNLPDELLYGRAGFLWACLFLNKHLGKGTIPSTTTRAVVDEIIKNGRALAKKCGGSPLMFEWYGEKYWGAAHGLAGIMHVLMDMELKPDEIEDVKGTLRYMITNCFPSGNYPASEQDRNRDELVHWCHGAPGIALTLVKAAEVFGDVEFLEAAVEAAQVVWERGLLKRVGICHGISGNAYVFLSLYRKTGNVAFLYRAKAFASFLLDRAHKLISKGEMHGGDNAYSMFEGVGGMAYLFLDMIEPLEARFPAYEL >OMO59770 pep supercontig:CCACVL1_1.0:contig13887:141129:143473:-1 gene:CCACVL1_24633 transcript:OMO59770 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar/inositol transporter MGLVGVQENGNGEMGLSLGTKNKYKRMDSELSDDFDDDIAHYQDQLERKTSTRKYVLACAIFASLNNVLLGYDVGVMSGAIIFIQEDLKITEVQEEVLVGILSIISLLGSLGGGRTSDIIGRKWTMAFAAVVFQIGAAIMTLAPSFEILMIGRILAGVGIGFGVMIAPVYIAEISPTIERGSLTSFPEIFINLGILLGYVSNYAFSGLSVHTNWRVMLAVGILPSIFIGFALFIIPESPRWLVMQNRVDEARSVLLKTNENEKEVEERLSEIVAAAGMSNGEKGEEKAVWRELLTPSPTLRRMLITGFGIQCFQQITGIDATVYYSPTIFKDAGIENNSKLLAATVAVGVTKTAFILVATFLVDRVGRKPLLYVSTIGMTVCLFTLSVSLGILGNGQLAIAMAVLCVCGNVAFFSVGIGPVCWIITSEIFPLRFRAQASALGAVGNRVCSGFVAMSFLSLSRSITVSGTFFLFSIFSALSVLFVYKCVPETKGKSLEQIELLFQNQNEWQGSELELGDSENLVQKA >OMO59774 pep supercontig:CCACVL1_1.0:contig13887:168514:169893:-1 gene:CCACVL1_24637 transcript:OMO59774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAKNSGKSKKGVFEETEKMKTRSQDLEIEKGKDLDLGLERRQWKPVLDEASMSQRPLKKIRSPERQDSLQSSVSTSSPPSLTLFPPSSSSSSSNANLPSSRLVFPFAFDASQQPIHFPQQYGNTPTLMPMFRPPPQNQQHMISFNPQQQQHGFVYPPFYAGDQSSLPPQQQQQQQQLLQYWSDALNLSPRGRMMMMSRLGQDGRHLFRPPALQPINTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAALAYDREAFKLRGDNARLNFPELFLNKDKDASTAPSSTVSSPSTPHESSRPNQKQSENENLQQQEEQEGLNLQSDEKMEMMMPPPAQPDSDSGLGSSEATAASDEVQMPAESGSQELVWGDMAEAWFNAIPAGWGPGSPVWDDLDTSNNLLMPSNLPFPNQTQTQHQEFSDSHLQKQQDSSASSPSTSCPMKPFFWKDQD >OMO59773 pep supercontig:CCACVL1_1.0:contig13887:163343:165666:-1 gene:CCACVL1_24636 transcript:OMO59773 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MFCQAAQTLILSVPVEAQRLYPLVSNPGGTGSVSVSVSVSAKLAKSFMALQAASLLPATISIHKEAKTSASIKETSLFGVSFSTHFKNELTLATSQEFRKKEVPAGIIRAQTAASPAVDQAAPEGKKTLRKGNVIITGASSGLGLATAKALAETGKWNVIMACRNFLKAEKAAKSVGIPKEHYTIMHLDLASLESVRQFADNFRRSGMPLDVLVCNAAVYLPTAKEPTYTAEGFELSVGTNHLGHFLLARLLLDDLKQSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLVGGLNGIDTSPMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYNEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEEEAGSRLAQVVSDPSLTKSGVYWSWNKNSASFENQLSKEASDAEKARKLWEISEKLVGLA >OMO59760 pep supercontig:CCACVL1_1.0:contig13887:34212:34292:1 gene:CCACVL1_24622 transcript:OMO59760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIKKQQRRWSKGKARVRSEERKKK >OMO59759 pep supercontig:CCACVL1_1.0:contig13887:29161:31257:-1 gene:CCACVL1_24621 transcript:OMO59759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEAEEDPRVPGKRRRVRMI >OMP12349 pep supercontig:CCACVL1_1.0:contig00114:1653:3079:1 gene:CCACVL1_00028 transcript:OMP12349 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MAADMENTSMKSYPMVGGEGPQSYAQNSSSQKKVVENTKELIHEAVIEKLDLQNLPDISYSNNTTFRIADLGCSVGPNTFIAVQNIIDAIQSKYHKTYNIIGNPEFQVFFNDHSDNDFNTLFRLLPPSRNYFTNSVPGSFHGRLFPNSSLHFVHSSTALHWLSKVPEEVVDSKSPAWNKGSIICTGLVKEVSEAYSNQFNNDMHTFLMARAPEIVHGGLMVLILLGLPDGVTMSQTATGMNFEILRSCLNDLANLGLVKQEEVDSFNIPFYFPSPKEMVGIIERNGYFSVEKIQELSKASTKLVTSMDIQLRVTSHIRAIAESLIKEHFGHEIVDELFSRFQNKFANSNHTFTKENTPDIDLFILLKRKAWID >OMP12350 pep supercontig:CCACVL1_1.0:contig00114:7131:7421:1 gene:CCACVL1_00029 transcript:OMP12350 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAM dependent carboxyl methyltransferase MTRIIERNGYFSIEKMQELFKSLGPTVSLDIQSHIVSPVRVGLQGVIKEHFGHDMVDEIFNYFSRKLANTDLTFMAEENSQNIVDLFILLKRKPFD >OMO71210 pep supercontig:CCACVL1_1.0:contig11706:2176:2927:1 gene:CCACVL1_18364 transcript:OMO71210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRMFVKSCLLANVSTLGLRCLSTTAPLLKIGDVLRHRRIFSDEDVVEYSRVSQDANPLHFDSESGRAAGFEDRLVHGMLVASLFPQIISSHFPGAIYVSQSLHFRSPVYIGDEIVGQVQVVNIKENNKRCIAKFSTKCFKNGELLVIDGEAMTILPTLAVEKVNPQDGRS >OMO71211 pep supercontig:CCACVL1_1.0:contig11706:3742:14328:-1 gene:CCACVL1_18365 transcript:OMO71211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVLKDHPPPPADGAGMDVGPSLREICAANRSDEKQQIKALLQNVGSSFCPDHSDWNADSHSRNADRSVLAKFLQAHPADYSTSKLQEMIRLMREKRFPAAFKCYHNFHKAESVSSNNLFYKMVVHVHSDSGFRRYQKEMRHKPGFWPLYRGFFVDINLFKANKERAAEIAKINNDLVGNVNNDSGSSTRDGLADEDANLMIKLKFLTYKLRTFLIRNGLSILFKDGPAAYKAYYLRQMKIWGTSPGKQRELSKMLDEWAVYIRRKCGNKQLSSSVYLSETEPFLEQYAKRSPQNQALIGAAGNLVRTEDFLAIVEGGQDEEGDLATEREAEAASLSPSVKETIQKNQGLIVFFPGIPGCAKSALCREILNAPGGLGDDRPVQSLMGDLIKGRYWPKVADERKRKPSSIILADKNAPNEEVWQQIENMCHSTRASAVPVIPDSEGTDSNPFSLDALAVFMFRVLQRVNHPGNLDKASPNAGYVLLMFYHLYEGKSRKYFEDELVERFGSLVKMPLLKPDRNPLPVPLRSVLEEGINLYDLHTRRHGRLESTKGSYAQEWVKWEKKLRDSMSAHSEYLNSIQVPFEFAVEQVLEQLRKVARGEYIIPSAEKRKLGSIVFAAVNLPIAEIQSLLNKLSGKNAEIEAFLKDKHMENTLKKAHVTLAHKRSHGVAAVANYGLYLHRQVPVELTALLFTDKMAAFEAQLGSVDGEKIVSKNQWPHITIWTGEGVAPKEANTLTQLHSEGKATRVEISPPITISGTLEFY >OMO71209 pep supercontig:CCACVL1_1.0:contig11706:1882:1977:1 gene:CCACVL1_18363 transcript:OMO71209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTCKLQCTAAWQKASNVPQPITGSILGLRS >OMP06544 pep supercontig:CCACVL1_1.0:contig04896:5950:6224:-1 gene:CCACVL1_01514 transcript:OMP06544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDLRFCRGTITNEDKVGHVA >OMP06545 pep supercontig:CCACVL1_1.0:contig04896:8342:8413:1 gene:CCACVL1_01515 transcript:OMP06545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVACTGHQRLWPGQRHPKACL >OMP06547 pep supercontig:CCACVL1_1.0:contig04896:17463:18636:-1 gene:CCACVL1_01517 transcript:OMP06547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGSTSNERMDKKRWKSMMGGSTSNELPEILIMDILSRLPVKSLLRFNRTRTVSPRHPCRCFPLKGNKKNYKAKWKKISIYLTLSMVFLSESPIHATDCFVCKNIIIPQNRRLGILGPQLSFGILVPDKLRIFLNSPSHRPFDCTGSEHEAYEYFIRNDADTHYEYNGFRCDIYFHFIGFGFDRKSNDYKVIVFVTFTLGDEHGHELVSRPQAHLYSLSRNSWEEIDPPNFDPSGNKASTYIDGICYWGVSNDRVGNFILSFNMVEQVYSEISLPDSISKYSEVHITSINELVAAIHVLPGEEIYEQSFDLWVLMDGCSCSSWIKKFKIGPIVGVRRPFFWKNDVLCSC >OMP06546 pep supercontig:CCACVL1_1.0:contig04896:13184:15957:1 gene:CCACVL1_01516 transcript:OMP06546 gene_biotype:protein_coding transcript_biotype:protein_coding description:AUX/IAA protein MSPPLLGVEEEGQSNISSPSVDCISQNGLGLKERNYLGLSDCSSVDSSGVSLPEENKSKLNLKATELRLGLPGSQSPEREPELCLLNSGKLDEKPLFPLLPSKDGICSSSQKSVVSGNKRGFSDTMDGFSEVKGSVYGEKNWMFHGANSDSESPQAVGHGKYPGNSGVNVMLSARPSVAQASVKKDGPPNALQERPHATTGTNLKQTGISNNNSSAPAAKAQVVGWPPIRSFRTKTLATSSKNNDEVDGKPGPGALFVKVSMAGAPYLRKVDLRTYSTYRELSSALEKMFSCFTLGQCGSHGAPGKEILSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIETCKRLKIMKSSDAIGLAPRAMEKSKIVN >OMO80332 pep supercontig:CCACVL1_1.0:contig10333:22320:22979:1 gene:CCACVL1_13025 transcript:OMO80332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKGSIAFVFLVLFSCYMGTIESHELKHLTNELEVNVPANKVWELYRHLGISELAAQELKNVIQSIDVLKGDGGVGTKLKLTFVGNSSYTEKFIVIDDLEMVKVAEGLEGGCLAIGCSVQIVRFDIIKKTENSCIIKSDIAYAVKRDFEANDPKPNIQLLAAAAQIAKRVLESQRQVV >OMO80336 pep supercontig:CCACVL1_1.0:contig10333:33045:34092:-1 gene:CCACVL1_13029 transcript:OMO80336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIFHEAFAHPPEELNSPASHKSNKKPKLPEETLNEFLSHHPHNTFSLSFGNAAVLAYVRPENPYSAHQSLFCGFDDIYCLFLGSLNNLCMLNRQYGLSKGSNEAMFVIEAYRTLRDRGPYPADQVVKELDGSFAFVIYDSKTGTVFAALGSDGGVKLYWGIAADGSVVISDDLEVIKEGCAKSFAPFPTGFMFHSEGGLMSFEHPMNKIRAMPRIDSEGVICGSNFKVDKYSRVNSIPRVGSETNWTHWDSH >OMO80335 pep supercontig:CCACVL1_1.0:contig10333:28986:31837:-1 gene:CCACVL1_13028 transcript:OMO80335 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial surface antigen (D15) MDALVRRMFLGPVSVHVHDVIIKGNTTTKGYIIEAEVSEPLKRATTMQDLLRASNEVNSLLKSLGLFDSVKITLDSGPTEIPGSANVIIEVEEARNRLSGEIGGYTNAEAKSSSVEGSIKYKNLLGYGDLWDGSIAYGFDHTAELSAGLYLPRFKALVASVTARAYLLTQDWVNFSSYKERSVGLSLGLFSNRYNNLEYHLAWRVLRDPSQVSPSSLRTQLGRNLLSSLKYTLKVDKRNSPVRPTRGFALVSTTQIGGLAPDSIRFLRQDFDLRCAIPLGFYNAALNFGFSSGVIFPLGNGFLNSTTSPPERFFLGGNLSPVCALGGPKALWGFKTRGVGSYEPRREINDENANAVGRELLGGDLAVTALADFSFDLPSSWFREKGIHAHFFGCAGNVAKLTENEYQSFSVQKFIESFRSTVGVGIVIPTSLSRIELNYCYILKKFDHDHSKRGFWLTFSRPS >OMO80327 pep supercontig:CCACVL1_1.0:contig10333:1451:1522:-1 gene:CCACVL1_13019 transcript:OMO80327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMPPTPPATASKKAKNHPKAKL >OMO80331 pep supercontig:CCACVL1_1.0:contig10333:20321:20964:1 gene:CCACVL1_13024 transcript:OMO80331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRVGFIFFALFSCYMGMIESQKWKHLTNELEVNVAASKVWELYRYLGISKLAAQELKNMIQSVDVLKGDGGVGTIIKFTFVPGNSSYTEKFSVIDDLKRVKVTKGLEGGCLAMGCSVEIVGFDIIERTHNSCIIKSDIAYAVKKEFKANNPKPDIQLLADFAQVAKRVLETQHGHGV >OMO80334 pep supercontig:CCACVL1_1.0:contig10333:25965:28269:1 gene:CCACVL1_13027 transcript:OMO80334 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anticodon-binding protein MGKKRKQSETQAAEPAKKDEPAPERPKRTLLGWKNKEEVEKQTDSNPDSSSQVFRNKEKVMVTCSRRINYRYRHLMLNMVSLLPHCKKDNKVESGSSKGATLNELIELRGCSSCLFFECRKHQDLYLWMSKCPSGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSSNFEKDAHWKLLKEMIIQIFGIPKEHRKSKPYHDHVFVFSIVDDHIWFRNYQISVPHNESDKMVRGGLDKMTLVEVGPRFCLNPIKIFAGSFGGPTLYENPFYVSPNQIRALEKKQKAGKYAKKVKAKTRRKMHELSNPLEPDEFADMWRE >OMO80330 pep supercontig:CCACVL1_1.0:contig10333:16672:19319:-1 gene:CCACVL1_13023 transcript:OMO80330 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lanthionine synthetase C-like protein MGDRFFPNEMPDFVAEGTTVSGGATGDSLSNLLSLPYKTLSEKLKTSALDFKETVVRETWGLRGKRVQDYTLYTGALGTAYLIFKAYQVTKNENDLQLCSDIIKACDSASKDSGRVTFICGRSGVCALGAVIAKHSGDERLQAHYLTKFKEIRFPSDLPNELLYGRAGFLWACSFLNKHIGKDTISTTRMRAVVDEIIKSGKRLAGKGRCPLMYEWHGKKYWGAAHGLAGIMHVLMDMELKPDEVEDVKGTLHYMIKNRFPSGNYPSSEGSESDRLVHWCHGAPGVTLTLVKAAEVFGDKEFLQAAVDAGEVVWKRGLLKRVGICHGISGNTYVFLALYRLTGKVEYLYRAKAFASFLSDRALKLISEGKMHGGDRPYSLFEGVGGMAHLFLDMAEPSDARFPAYEI >OMO80329 pep supercontig:CCACVL1_1.0:contig10333:13929:15671:1 gene:CCACVL1_13022 transcript:OMO80329 gene_biotype:protein_coding transcript_biotype:protein_coding description:Short-chain dehydrogenase/reductase SDR MALQAAALVPATFSAPKEGKSTPSFKESTLFGVSLADHVKADFSSSALRCKREFNQRTGAVRAQTTATASPAITRSPVDGKKTLRKGSVIITGASSGLGLATAKALAETGKWHVIMACRDFLKAERAAKSAGMSKENYTIMHLDLASLDSVRQFVDSYKRSGRPLDVLVCNAAVYQPTAKEPSFTAEGFELSVGTNHLGHFLLSRLLLDDLKQSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSTMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRYHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGFVSEEEAGKRLAQVVSEPSLTKSGVYWSWNKDSASFENQLSEEASNQEKARKVWEVSEKLVGLA >OMO80333 pep supercontig:CCACVL1_1.0:contig10333:24465:25081:-1 gene:CCACVL1_13026 transcript:OMO80333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHSKPLEHLTNELEVKAPASKVWELYRHLGISQLAAKELKNVIQSIQVLKGDGGVGTVLKLTFVPGNSSYTEKFTEIYDEKRVKVAQGLEGGCLAIGCSMQIVRFDIIEKSKDLTIIKSDIGYAVKKEFEAKHPKPNIQLLAAAAQVAKKFLEQKVN >OMO80328 pep supercontig:CCACVL1_1.0:contig10333:6800:12132:1 gene:CCACVL1_13020 transcript:OMO80328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNPIAIGSNDDQSDDDSTQEEEEQEENEEVRVGQEEEEEEEEEYFYESLDRIASSNSCSCSNSTSPSSDSDSDPIARSNNAHHPFPVPKFPMAVSKFDIWISEPASVSERRSRLLREMGLSRDPGLSRTKTVSETEVGTGREMGGGGGGEFARRSVSSDRLVRKELEEQDRGGGKGSCSSGIVRSKSDGDATRNGDCNDVVVSSSSPCLSVCSNSSSSILSAGLSSVNNNNIINNNNNSECDHINAAAANTRSCESNGSTCNKPPRGRSSKSMNGELGSKSFGGGELVVDDELDSSEQVCTIKNLDNGKEFVVNEIREDGMWNKLKEVGTGRQLTMEEFEMSVGHSPIVQELMRRQNVEEGNKDNCDSNVNGGGVGVSKLKKKGSWFKSIKSVASSVKGHKERRSSDERDTSSEKGGRRSSSATDDSQDVSFHGPERVRVKQYGKSSKELTALYKSQEIQAHNGSIWSIKFSLDGKYLASAGEDCVIHVRKVVESERKGELLMEKPDDGNLNFLLVANGSPEPTLLSPSADFHPEKKRRGRSSISRKSLSLDHIVVPETVFGLSDKPVCSFQGHLNDVLDLSWSKSQQLLSSSMDKTVRLWDLNSQTCLRIFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPDRQVVDWNDLHEMVTAACYTPDGQGALVGSYKGSCRLYNTSENKLQPKSQINLQNKKKKAHQKKITGFQFAPGSSSEVLVTSADSRIRVVDGSDLIHKFKGFRNTNSQISASVTANGKYVVSASEDSYVYVWKHEAESRPSRSKGVTVTRSYEHFHCKDVSVAIPWPGMGDAWGLRDTQLSDQSGFDDNIDEVSTANHPPTPVEEYSGNEGSLSASGCTNSPLHGTISSATNSYFFDRISATWPEEKLLLATRTRSPRMSVDFSSGVNPNMSAWGMVIVTAGLRGEIRTFQNFGLPVRI >OMO94369 pep supercontig:CCACVL1_1.0:contig07907:4688:6331:1 gene:CCACVL1_06038 transcript:OMO94369 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561, eukaryote MEKTWKIVLFSCLLGSFLVSSSAQTCKTQTFSNNKQYANCSDLPHLNCFLHWTYDSAAGTVDMAFRHSGTSSGRWSAWAINPSGPTMMGSQALVAYVNSSGLPHPFTTSIDSMSPSMQQSDLSFGVSDLTATFENNNEMTIFAVLSIPENLLSTSQVWQEGPVTNDQLGAHPFSGGNVQSVGSVNFITGQSGGGGSGGSRVRRRNVHGVLNTVSWGILMPVGAIIARYMKVFKSADPAWFYLHVACQSSAYIVGVAGWATGIKLGSESAGVTQNPHRNIGIVLFCLGTLQVFALLLRPKKDHKYRFYWNIYHHSVGYAVISLSIANIFEGFDILQPHKKWERIYIGILIFLGVVAVSLEAFTWYVVLKLRKTGSDKHSRSMNGASNGVNGYGATANGHGHGHGV >OMO57157 pep supercontig:CCACVL1_1.0:contig14435:44779:45088:-1 gene:CCACVL1_25954 transcript:OMO57157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRKAKSSREEDEYGEDSRRRKPPSLIAMRRMTRKMLRMVILRYLSQF >OMO57152 pep supercontig:CCACVL1_1.0:contig14435:20538:20705:1 gene:CCACVL1_25949 transcript:OMO57152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGGLLALSRKPACDVMLLGAKKKMLAGFSTAMSQIHVGYIEQTEVFQNGKME >OMO57155 pep supercontig:CCACVL1_1.0:contig14435:36189:38732:-1 gene:CCACVL1_25952 transcript:OMO57155 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MGKTNWKADSIDATSRGGKKAIRQASQLGGNIMMPSCPPASIIFQNTRKRSKPKLSIKAPLDFASVLAEMTNGGVDRSVECTGSINAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPMNLLNERTLKGTFFGNYKPRSDLPSVVEKYMNNELELEKFITHEVPFSEINKAFDYMLRGESLRCIIRMEE >OMO57153 pep supercontig:CCACVL1_1.0:contig14435:24942:25507:-1 gene:CCACVL1_25950 transcript:OMO57153 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MTNGGVDRSVECTGSINAMIAAYECVHDGWGVAVLVGVPNKDDAFKTHPVNVLNERTLKGTFFGNYKPRSDLPLVVEKYMNKELELEKFVTHEVPFSEINKAFEIMLRGDGLRCVIRMEA >OMO57156 pep supercontig:CCACVL1_1.0:contig14435:39264:43730:-1 gene:CCACVL1_25953 transcript:OMO57156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEGRMPRRPFIPIDQDEQDDFDDVEAMARRIQEKYGSSRQADYDQYEYEDEKPTSVDQQANLPSVRDPKLWLVKCEIGHEREVAICMMQKAIDRPELQICSAIALENLKNYIYIEAHKEAHVMEACKGLRYINPRKMMIVPIKEMVGVLSVKTKPIDISNGTWVRMKIGAYKGDLGQVTYVDNIRQRVTVKLVPRIDLQEVADKLAGLEVVKKAIIPPPRIINTDEVREHNIQVDQKHDPYTGEYFDKIAGMMFKDGFLYKSVSMKSISYRDIQPTFDELERFQWPGETGDRDFSSSLSSGDTKKAQFLKGDKVIVVKGDLKNMRGIIEKVEEECACIKPGIRGLPETLSVNVKDISKYFETGDHVKVISGFQEGATGMVIKVEGRVLVIVSDASMEEIRVFADSVMKSSEVASTANRMNEYEIHDLVLLNDSSFGLVIGVDGKAYHVLKGTPGRAETQVLKFQDITSKIEKMGTGEDKFRNRISVKDIVRIAEGPFKGKQGPVEHVYKQTLFIHDRRNLEHGGFICVKAQCCTLLGGSYARNNIKGGVMESRVSHLRSSAYIPQSPGRPLIRGGINSRRYQSGRRGHDSIVGTMVKVCKGHFKGSRGRVIEVKGQSVRIELESQMRTVTVDRSQISDNMNVTTPSCNTPRYGFGSETPMREPATPIHDGMRTPMHDGMRTPMHDGMRTPMHSWAWNPFAASMSPARDTFNPAASQLDRAASPGSGWGSWNCSAPKTPRESKLNHGNTANIIRSPQVLVGTP >OMO57154 pep supercontig:CCACVL1_1.0:contig14435:32730:35705:-1 gene:CCACVL1_25951 transcript:OMO57154 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome non-ATPase regulatory subunit Rpn12 MLAQLVEASQQFERFKAAFVRKDYDTCSNLLSQLKVSLTKFRSLPPLFENTPNANNELLLAIVYFIFFMAISREIYEHAVVLSVKIEDQDAFERDFFQLKPYYTDAGNRLPPSPQEYPILGLNLLRLLVQNRIAEFHTELELLSATALEDRCIKHAVELEQSFMEGAYNRVLSARQTAPHETYVYFMDLLAKTVRDEIAGCSEKAYDYLSINDTRQMLLFSSDQELLEYIKDEHPEWEVKNGSVYFQKAKESAPCKEIPSLQLINQTLSYARELERIV >OMO57151 pep supercontig:CCACVL1_1.0:contig14435:1102:1445:-1 gene:CCACVL1_25948 transcript:OMO57151 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol dehydrogenase superfamily, zinc-type MTDGGVDRSVECTGSINAMIAAYECVHDGWGVAVPVGVPNKDDAFKTHPTNVLNERTLKGTLFGNYKPRSDLPLVVEKYMNK >OMO72307 pep supercontig:CCACVL1_1.0:contig11469:31218:33621:-1 gene:CCACVL1_17859 transcript:OMO72307 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MLKPLVHQSNPIKTTLPWQKPYFHGSGSTSLPVKSGSFLTNKKTRKNVKVGFVPCNIKAVTNTTDKAVGVKAVVTVKESVSSFLKTVGLNRGLDDIKDLFGKTLLLELVSAELDPRTGLEKRTIKGYAHRISKEGEQVQYEANIEIPQDFGEIGAVLVENEHHKEMFIDNIVLDGLPNGPVNVNCNSYVHSKYDNPRKRAFFTNKSYLPSETPSGLRKLREEELLNLQGNGQGQRKSSERIYDYDVYNDLGDVDADPNKKRLVLGGKEFPYPRRCRTGRPRCETDSNYEKWEANFYVPRDEAFSEVKQLSFASNFVYSMLQAVIPTLESTILDPDLGFPDFNAIDQLFTEGLKLPALDKKDLWKSILPRLIKAVSEENESILRFETPETLERDKFFWLRDEEFSRQTLAGLNPFSIKLVTEWPLKSKLDPNIYGPAESAITTDLIEREIKDVMTVHQAIKQKKLFILDYHDLFLPYVKKVRDLKGRTFYGSRTIFFLNPDETLRPVAIELTRPPMDGKPQWKEVYTPCLHSTGDWLWKLAKTHVLAHDTLYHQLVSHWYY >OMO72304 pep supercontig:CCACVL1_1.0:contig11469:18855:23722:1 gene:CCACVL1_17856 transcript:OMO72304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVLLISAPPFGAQTVVRWSIGALGAIWGCEQLAHVANKVAVQTELLCWSSKML >OMO72306 pep supercontig:CCACVL1_1.0:contig11469:26490:28910:1 gene:CCACVL1_17858 transcript:OMO72306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYDFGTNRFCASIQSYEDEDQRSHSRRPTREAYVREGCWSVIALFATAPHHCIIAISLSAQNLSLTIDD >OMO72309 pep supercontig:CCACVL1_1.0:contig11469:55616:58344:-1 gene:CCACVL1_17861 transcript:OMO72309 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MLKPQICQSPSIKTLLPLHKAFLNGSASGHSSFPIKYSKSSSINKTRKNVKVGYFSNNIRAVFNAVEKGASVKAIVTVKQTVTGSLANLGISRGLDDIQDLLGRTLLLELVSAELDPKTGFEKETIKGYAHQVRKEGEEVKYEAKFEVPADFGEVGAVLVENEHHKEIFVQEIVLDGFKYGPVYVQCASWVHSKHDNPQKRVFFTNKSYLPSETPSGLKKLRREELEVLRGNGQGERKSFERIYDYDVYNDLGDPDTDLSKKRPILGGKNFPYPRRCRTGRPPSNADPVSERKTAIIPYVPRDEVFSDTKLLTFSTNYLYSLLHGMIPSLESAIVDADLGFPNLTAIDELFNEGINLPLQGNGVLKSIMPRLIKTISDDNVLRFETPPTLNKDKLFWYRDEEFARQTLAGCNPYGIRLVTEWPLKSKLDPKIYGPAESGITKELIEPEIKGYMTVDEVQVGDLI >OMO72303 pep supercontig:CCACVL1_1.0:contig11469:3578:10429:-1 gene:CCACVL1_17855 transcript:OMO72303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase MLKSQGHHSQYTKTLLPTFPNHKPFLHGISDRALLPFNSSLPPSFNKTRKVLKVRSVSSKIKAVATTTEKSVRLKAIVSVKETVGNVVTHLGLGRGIDDIQDLLGKSILLELVSKELDPATGLEKETIQAYAHKVEQHGEDIKYEAEFEVPKEFGEVGAVFVENEHHREMYLGEIVIDGFPNGPVNITCGSWVHSKYEDPEKRVFFANKSYLPSETPNGLKRIREEELMNLRGNGTGERKRHERIYDYDVYNDLGEPDKDLDLKRPVLGGQLFPYPRRCRTGRPRSKTDPMSEKRDGHFYVPRDECFSEIKQLTFSAKTVYSLMHCLIPTVENTIADPDMGFPHMSAVDELFSEGMNLPPLKTQGIWNTVLPRLFQAITEGSEDVLRFEAPDTMARDRFFWFRDEEFARQTLAGLNPYSIRLVTEWPLKSNLDPRMYGPAESAITTEMIEQEIGGIMTVEQAIKQKNLFILDYHDIYLPYVKKVRELKGTTLYGSRTLFFLNSDNTLRPLAIELTRPPMDGKPQWKEVYRPSWNSTACWLWRLAKTHVLAHDAGYHQLVSHWLRTHCVTEPYIIATNRQLSVMHPIYRLLHPHFRYTIEINALARESLINADGIIEKSFTPGKYSMELCSVAYDLEWRFDHQALPADLISRGMAEEDPNAPHGLRLTINDYPFANDGLLIWDALKQWVSDYVNHYYPNASAVESDEELQAWWTEIRTVGHADKKDEPWWPNLRTQQDLIDIITSIIWVTSGHHAAVNFGQYTYAGYFPNKPTIARIPMPTEDPSEEEWELFMRNPEAVLLRCFPSRMQATTVMAVLDVLSNHSPDEEYLGEKMESSWGEVPIIKAAFEKFNGKLKEIEGIIDERNANNTLQNRSGAGIVPYELLKPFSEPGVTGKGVPYSISI >OMO72308 pep supercontig:CCACVL1_1.0:contig11469:40790:40876:-1 gene:CCACVL1_17860 transcript:OMO72308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQRTSFAPASRLALDYSRAPLSTMVG >OMO72305 pep supercontig:CCACVL1_1.0:contig11469:25331:25399:-1 gene:CCACVL1_17857 transcript:OMO72305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDFVFLRHWAGEDAWHILA >OMO97112 pep supercontig:CCACVL1_1.0:contig07281:27175:29549:-1 gene:CCACVL1_04643 transcript:OMO97112 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proton-dependent oligopeptide transporter family MDMEPASAMELANQKMAEENGKEEEKVSNGKKLGGVRTMPFILANEMCDRFASSGFHSNMITYLTEELNMPLVQASNTLTNFGGTSSFTPLIGALIADSFAGRFWTIIVGSIIYELGLVSITISAILPSLRPPPCPTQENCQEASAIQLWILYICLLLTSLGTGGIRPCVVTFAADQFDMDQSSAARSGKWNFFNWYYFCMGIATLSALTVVVYIQEHVGWGWGLGIPTIAMAISIIAFVVGSPLYKKMKPGGSPLIRLAQVIVAAVKKRKEVAPEDPKLLYQNKQLDADIAVNGRLVHTNQYKWLDKAAIVTESEATSSNPPNLWRLTTVHRVEELKTIIRMLPIWAAGILHVASASHQHSFTIIQARSMDRHLSHSFEIPPASLSIFGILTMLSGLVLYERLFVPLARRFTGNPSGVTCLQRMGIGFMINILATMVSSFVEIKRKSVAANHNLLDDPKAVIPISVFWLVPQFCLHGVAEVFMSVGHLEFLYDQSPESIRSTAAALFWITIAIGNYIGTLMVSLVHKYSGEKRNWLPDRNLNRGRLECYYWLVSGIQVVNLVYYIICAWLYTYKPLEKVVEEEDGEFNGNDIRKISQNGVELVRNETA >OMP12352 pep supercontig:CCACVL1_1.0:contig00104:76:171:1 gene:CCACVL1_00026 transcript:OMP12352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRGEESGNRYNRLVEYGGDPHRITGNGKGEE >OMP12353 pep supercontig:CCACVL1_1.0:contig00104:952:1107:1 gene:CCACVL1_00027 transcript:OMP12353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVRCPGYGEDKKVISGIMNDDYRLQIRGNKQIGGNKTSRVLTSAKKFK >OMP11475 pep supercontig:CCACVL1_1.0:contig01264:803:862:-1 gene:CCACVL1_00501 transcript:OMP11475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSTGPFLANQTKNEEAGPVE >OMP02157 pep supercontig:CCACVL1_1.0:contig06315:5315:12765:-1 gene:CCACVL1_02906 transcript:OMP02157 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MSLRIKTVVDKFVEELKEALEADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITAFKAAWKKACQGTSEVDIVIPKGTYLIGPVKFTGPCKNVSKITVHVKGYLKATTNLSKYGHNAGWIEFKWVEGLILTGGGTFDGQGAKAWPYNNCPTDFNCKLLPTNVKFVAVNRTVVRSITSVNSKFFHIALVECKNFKGSKIKISAPADSPNTDGIHIERSSGVHFSRSLIGTGDDCISIGQGNSQITITSISCGPGHGISVGSLGRYRNEGDVSGLLVRDCSMTGTTNGIRIKTWANSPGKSSATNMTFENINMNNVTNPIIIDQAYCPFTSCTPKGTSQVKLSDIYFKNIKGTSSSAVAVALECSKGIPCQDIYLEDVHLDLASGKKQATATCKNVRAKYFGTQIPPPCA >OMP02158 pep supercontig:CCACVL1_1.0:contig06315:13363:15291:1 gene:CCACVL1_02907 transcript:OMP02158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAILANVKYLRTSLSSLSRSYSPTPLASHAGAGNPSSSALHDEFVNLCYQRDLPNAMKAMAAMERHGIFADSITYSELIKCCLARNAVKQGMLVHKHVFSNGYQPKTFLVNTLINMYVKFNLLEQAQALFDQMPERNVVSWTTMISAYANAKLSKKALESLVLMLREGALPNMYTFSSVLRACDGLVNLRQLHCCMIKLGLESDVFVRSALIDVYSKLDKLKDAVCVFNEMETGDLVVWNSIIGGLAQNSEGDEALNQFKRMKRAGFATDQATLTSVLRACTGLALLELGRQVHVHVLKFDVDLILNNALLDMYCKCGNLDDAKSVFNRMVVRDVISWSTMIAGLAQNGYSLEALKFFDLMKASGTKPNNITILGVLFACSHAGLVDDGWYYFQSMKRHYGIDPEREHYGCIIDLLGRAGKLDEAVKLIQEMKGEPDATTWRTLLGACRVHRNVDLAIYAAEQVLKLDPEDAGTYVLLSNIFANSQRWEDVSKVRRAMKDKGIAKEPGCSWIEVNKQIHAFVLGDTSHPKSNEINRRLNQLIHKLMGIGYVPDTNYVLQDLEGEQRDDSLRYHSEKLAIVFGLMSLPMESAIRIRKNLRICGDCHTFAKLVAKMENRLIVIRDPIRYHHFQNGVCSCGDYW >OMP02161 pep supercontig:CCACVL1_1.0:contig06315:24720:27897:-1 gene:CCACVL1_02910 transcript:OMP02161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTIAKPIPSACYFTISGTSSLHFRLNQKAMVITMKDRSKNRKPLQRGRNLSIEAIQAVQVLKRANRNAHNDSSSELERVFDSKFRRLLKFDMMAVLRELLRQNECFLALKVFNDIRKEVWYKPQVLLYADMIAVFASNGLIKEAELVYSYLKAESNLDPNIEGFNALFNALISFKLIQLVMDCYEFMKALGGEPDRSSFRILINGLESLGETSSSAILRLDAQKYYGESLEFLEEEAEIIQLASGNRIQSTKFELKQKDKYDNGVLSSTFVGSLEEGLDEGYLCLQWKRRYAFVFSSFLSLGVWKRVWFQQLAKRGGAEGFKALRMWQTGKAESLENKIN >OMP02156 pep supercontig:CCACVL1_1.0:contig06315:783:4933:-1 gene:CCACVL1_02905 transcript:OMP02156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDSEMVPFPLLTMPIESNYRACTIPYRFPSDNPRKATPTEVAWIDLFRNSIPSFKKRAESDTIVADTPARAEKFAQRYAEILDDMKKDPESHGGPPDCILLCRLRELILRELGFRDIFKKVKDEENAKAISLFPDVVCLNDNIEDEGQRLENLVRGIFAGNIFDLGSAQAVIFVDNSGADVILGILPFARELLRRGTQVVLAANDLPSINDVTYPELVEIISKLKDENGKLLGVDTSNLFIANSGNDLPVIDLSRVSQELAYLASDADLVILEGMGRGIETNLYAQFKCDSLKIGMVKHPEVAQFLGGRLYDCVFKYNEVLG >OMP02160 pep supercontig:CCACVL1_1.0:contig06315:21697:23320:1 gene:CCACVL1_02909 transcript:OMP02160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHEELEPIMATMGFVGLPPVQGTSSTAWKEYVYTASPGVSWRSNKSSSYCLGSTAGEPPSTAPPRPRLPYPRIDGLHIYTYRAFLDAVNFYLEICDISELFHIRGMPLHRISDKNRKWRCMEEDDSVFVYREGTLEQSTYNLYHFNKPNSSSSSNNNGSSFNGYSSIVIRDKGNNFPVTSIVPLKDIVWLGNTI >OMP02159 pep supercontig:CCACVL1_1.0:contig06315:15986:20146:-1 gene:CCACVL1_02908 transcript:OMP02159 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidase M20 MVKLCNFNHHFLGCFLLLALGLGSSAASPNQNEQSKILSRFQEYLQIDTVQPSPDYQKSTQFILSQAESLSLESQVVEFVKGKPVVLLKWPGSDPSLPSILLNSHTDVVPYELSKWGHHPLGAHIDEQGNIFARGSQDMKCVGMQYLEAIRKLKASGFQPKRSLYLSFVPDEEIGGHDGAEKLAYSDVFKSMNVAIVLDEGLPSPSENYRLFYAERAPRWLAIKATGAPGHGAKLYDNSAMENLLKSIEIVRRFRASQFDLLKAGLRAEGEVTSVNMAFLKAGTPSPTGFVMNLQPSEAEAGFDVRVPPTADAESMDRRIAEEWAPASRNMTFEYKQKGTMHDDFGRPLITATDSSNPWWALLEEAIKKANGKIGKPEIFPASTDARYFRQIGLPAIGFSPIANTPNLLHAHNEYLNQAEYLKGIDVYESIIKAYTSYAPPGGDQGSRDEL >OMP02162 pep supercontig:CCACVL1_1.0:contig06315:28306:42536:1 gene:CCACVL1_02911 transcript:OMP02162 gene_biotype:protein_coding transcript_biotype:protein_coding description:SEC7-like protein MATLVAGTPWMRIRVFPELAPPFLFRRHFRRNFSVRASIDSDAPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTRESEEALLRDLTWLGLDWDEGPGVGGDYGPYRQSERNSMYKQYAETLLDSGHVYRCFCSNEELEKMKEIAELKKLPPVYSGKWATASDEEVQEELAKGTPYTYRFRVPKEGSLKINDLIRGEVSWKLDTLGDFVVMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPLFAHVSLILAPDRSKLSKRHGATSVGQFKEMGYLPQAMVNYLALLGWGDGTENEFFTIEQLVEKFTIDRVNKSGAVFDSTKLRWMNGQHLRALPTEELTKLIGERWKSAGLLTESAGPFIDEAVQLLKDGIDLVTDSDTALSNLLSYPLHATLTIPEAKSVVDDKLSEFSASLIAAYDSGELLGALEEGHAGWQKWVKGFGKAQKRKGKSLFMPLRVLLTGKLHGPDMGSSVALIYKAGNNDVVAPQAGFMPLRERSEKHTDTEEKLVMSASHTLGGPSRCGRVLGPSLDKIIKNAAWRKHSHLVSACKAALDKLETLSEISLADPNSPLQGLSSSDSEFVLNPILLALDSKYAKVAEPALECTFKLFSLGLIRGEIDGHVSNSILYKIVESICTVGGIGEESIELVVLRVLLSAVRCPCVLIRGDCLLHVVRTCYNIYMGGLNGTNQICAKSVLAQIMLILFTRAEENSMDVPIKTVSVSELLEFTDKNLNEGSSIYYCQNFVSEVLAASEGVPDLKLSQPTPIHELQNGESKVSKGEDKEEIGEDDTKEGVESGSGGISSKVREDGFLVFKNLCKLSMKFSSQENPDDQILLRGKTLSLELLKVIMHNGGSIWRSNDRQVILFLNAIKQYLCLSLLKNSALSVMSIFQLQCSIFMSLLTKYRSGLKAEIGIFFPMLILRILENVLQPSFLQKMTVLQLLEKIAGDPQLIIDIFVNYDCDVDSPNIFERIVNGLLKTALGPPPGSTTTLSAVQDITFRHESVKCLVGIIKSMGAWMDQQLKIGDSDLPRSLEIDASAESHSIPTTEDGAVTDCELHPEMNPESASFEQRRAYKIELQKGVSLFNRKPSKGIEFLINTKKVGASPEEVASFLRNNTAGLNETMIGDYLGEREEFSLKVMHAYVDSFNFKSMDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNSMVKEKMTKSDFIRNNRGIDDGKDLPEEYLGALYDQIVKNEIKMKADSVPQSKQANSLNKLLGLDGILNLVSWKQTEEKPLGANGLLIRHIQEQFKAKSGKSESVYNSVTDVAILRFMVEACWGPMLAAFSVTLDQSDDRLATTQCLQGFRHAVHVTSVMGMQTQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGNHLQEAWEHILTCLSRIEHLQLLGEGAPTDASFLSVSNTETDEKAPKSAGLQSLKRKGTLHNPAVMAVVRGGSYDSTTLGVNNSGLVTPEQINSFITNLNLLEQIGNFELNHVFAHSQRLNSEAIVAFVKALCKVSISELQSPTDPRVFSLTKLVEIAHYNMNRIRLVWSRMWNVLSDFFVAVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQRSSSTEIKELIVRCISQMVLSRVTNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREYFPHITETETTTFTDCVRCLITFTNSRFNSDVSLNAIAFLRFCAVKLAEGGLVCTDKSWGDGSSISVVKKDDSNVQNFTDIDDHGSYWIPLLTGLSKLTSDSRLAIRKSSLEVLFNILKDHGHLFSRTFWSGVLSSVVFPIFNGICEKREMPVNNEQDSPTLRSPHSDGSTWDIETSTVAAHCLVDLFIGFYNVLRPQLPDVVSILTGYLRSCIQGPASTGVAALFRLTGELGSRFSEDEWQEIFLALKAAATSSLPGFMKVLRTMDDIKVPDSSQSYPNTEASSDHGLTNDDFEDDNLQTVAYVVSRMKSHIAVQLLIIQVITDMYKANLQLLSAANVNIIVEIFSSIASHAQLLNSETDLQKKIQKACTILELSDPPMVHFENDAYQNYLNFLEDLVKNNPSISEAMNLESLLVAVCEKILQIYLHCTDYQYVEQKSIDEKPVKHWILPLGSAKREELAARTPLLVSALKILSNMERDSFRKYIANFFHLLVDLVRSEHSSGEVQRVLSNIFQSCIGPIIMQ >OMO56013 pep supercontig:CCACVL1_1.0:contig14562:17939:24774:1 gene:CCACVL1_26811 transcript:OMO56013 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 5 MASIAFSLLIFCLFSYVPSSSHAQNAAINLPLKAVNLGNWLVTEGWMDGSRFDGIINKDLLDGTQVQFLSTKLNKYLCAENGGGTIIVANSSSASGWETFRLWRVNETYFNFRVFNKQFVGLGSQGLESVSDTPGDSETFQIVRNDDLSRVRLRAPNGMFLQAQMETLVTADYAGSSWDDNDPSVFKMTIVANYLRGEFQITNGYGPEIAPQIMQDHWNSYITEEDFSFMSENGLTAVRIPVGWWIAQDPTPPKPFVGGSSDALDRAFTWAEKYGMKVIIDLHALKASQNGNEHSGVRDGYQEWGDANIDETVAVIEFLAARYAASPSLAAIELMNEPGAPGVTFDALTKYYKAGYDAVRKHTNAYVILSNRLGPIDSKELLAFASGLDRVVIDVHYYNLFSDSYKNMNVQQNIDFINNQRASDLGALTSANGPLVLVGEWTAEFARNDATKEDYQKFAQAQIDVYGRATFGWAYWAYKSTYLSLSLSRLTCSPPPPLSPTPEPPLPEDWFDFGFGNASITEFRAGPDPEILVEAIYETLRLFGLDNITMFCEREKEFEELMKSNIPNWLKVAIVAVGFAAVVAAVAYFYRKYQSRMPPWRSCTSSLDDLTDVGCMLKSVLIFVVLSALSAACISTLLSWLTSPPPPPVFRFSTPKPPVPEYSTNASIIVAYTRSRSIEPETLSRTRNFVGGLPQGIA >OMO56015 pep supercontig:CCACVL1_1.0:contig14562:30670:32847:1 gene:CCACVL1_26813 transcript:OMO56015 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hydroxyacyl-(acyl-carrier-protein) dehydratase, FabA/FabZ MAAASNSLVSFTHGSVSQPGRLSQSISFPGSRSLSLKLPQRSNSSLRCSLNVTNSTDNETPIETMDRVIEYTPGVSAVGIKNVTINDNFFPGHFPERPIMPGVLMVEPLHTKIALPASVC >OMO56017 pep supercontig:CCACVL1_1.0:contig14562:39968:40935:1 gene:CCACVL1_26815 transcript:OMO56017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGKRSRHPIRRTTSMTGITVDVSNVEDEEAVVVQAPQPLIISDPYNNIQNPNLHEFPNPNHNFTGYDQSFLAMVSPRNHHHHVVDTAPFLRSCCLCKRRLAPGRDIYMYRGDAAFCSLECREKQMKQDERKEKLNVLASKKEDRTGHSSSKPNSSKTEPVAAA >OMO56016 pep supercontig:CCACVL1_1.0:contig14562:33306:33954:1 gene:CCACVL1_26814 transcript:OMO56016 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hydroxyacyl-(acyl-carrier-protein) dehydratase, FabA/FabZ MAQVGGLVMLQPEVGGSRENFFFAGVDKVRFRKPVIAGDTLVMRMTLIKLQKRFGIAKMEGKAYVGSDLVCEGSQ >OMO56012 pep supercontig:CCACVL1_1.0:contig14562:11964:13528:-1 gene:CCACVL1_26810 transcript:OMO56012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRSRIRGRETSPDRAKVCMQPKVVKPIRKIQVVYYLTRNGQLEHPHYMEVTHVVNQPLRLRDVMERLTSLRGKGMPSLYSWSCKRSYKNGYVWNDLADNDIIHPSDGAEYVLKGSELVEGVSNNRTPHIQEASIHAKPKCPSFTLSRNRGAQETERTAYEEPGFDDEEEEEEEEEEYELDEEKTSYTSSTTPHSRCSRGVSTDELEEQETQKTTAPSNSILSEKQQTPINKNSTSQRFEDGDPVGTESDSAPSRNSVLLQLISCGGNLAVTKAKNVPAVKHQPVVVPNNFAKKSENLHRGVLCKSAVKVAEDDQMISCMSENPRFGNLQAEEKEYFSGSIIESMNSENRVVAEPMLKKSNSYNEERSCSKGGIGETVEEEKKDKTVKGK >OMO56014 pep supercontig:CCACVL1_1.0:contig14562:25139:26982:1 gene:CCACVL1_26812 transcript:OMO56014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRKSKFLNTAQDSPEIPSIRAPSVQEISSIRALSIQALNLLQLSYRHP >OMO77985 pep supercontig:CCACVL1_1.0:contig10657:23109:23562:-1 gene:CCACVL1_14718 transcript:OMO77985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECSTWSQTLLRVQCPLKGRISKEADVLHLYDIKEVVISSQKRLTSPISGNHVRPLTNHRNKGYTIARLNITISSGPIFPSNSERSYSG >OMO77986 pep supercontig:CCACVL1_1.0:contig10657:25620:25991:1 gene:CCACVL1_14719 transcript:OMO77986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDILIVIYSLHWQAIISSLGGLWTLLVKERIIVNRRQ >OMO57395 pep supercontig:CCACVL1_1.0:contig14381:3784:3978:1 gene:CCACVL1_25791 transcript:OMO57395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLSKNAAKIEELNERLMKLTVMIKIGPSSIRGEDWKTKTVSIVEDFSKETTNLKHDFIHGAIFY >OMO57396 pep supercontig:CCACVL1_1.0:contig14381:4432:4947:-1 gene:CCACVL1_25792 transcript:OMO57396 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative Disease resistance protein RPH8A MAAEGAVSFLLHKIEYLITREWDVLRGIDNEVDGLRHEFETINALLREADTKGGINEQLNVWIKQVRDLAYDIEDVLDMFAFHTAKSSNLFPEFLQFIKRCPIASLIQDIKIKLRGTRETRERYHDINSSSSSVLENHTYLYRRIASLYVDEVDMLNNMFSICITIYFNQN >OMO57397 pep supercontig:CCACVL1_1.0:contig14381:5355:6957:1 gene:CCACVL1_25793 transcript:OMO57397 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B MGTVWVRELIENAEIEELNQRLMKLTVRIETAQSSILTGEDWKTETGSLVEELTKELTNIKKDFIDAKAKSNGWSICGQIAKLLEDVERDTGMLTQFMLGVSGAANITFPTSSEDETLVAECDAVSKFLKNPEFRVKLLTSREFRIFINCLLLAGKIGIGVYKFLKEKKSAEKKRTEEKNPEEKKWGDISFFIFIFYETFMRKEEL >OMP01773 pep supercontig:CCACVL1_1.0:contig06373:3292:4592:-1 gene:CCACVL1_03002 transcript:OMP01773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLTVIVHRRHISLGPDAVTVDPSSLMFQSFRVLSDGRFPFAVGRRAGLVL >OMP01774 pep supercontig:CCACVL1_1.0:contig06373:64779:64958:1 gene:CCACVL1_03004 transcript:OMP01774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRNDITIDHKRRSKNKTKIMPWLARKVLTSSIQSTRRGKPLRWQGSKGAKSARGPNAK >OMP07867 pep supercontig:CCACVL1_1.0:contig04156:1232:1906:-1 gene:CCACVL1_01202 transcript:OMP07867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRVAAGHEVKNDGAKEYRSVIGALQHLSITRPGISFAANKLAQFINQPTDVHWQALKRVLRYLKCTLSTGLFLRKSTTSCRTSSTSCSNSSSSLYAYTYTDWAGDTSDCKSTSAYFVYLNGNLISWKCNKQKMLPDPQLKPNTGPLPVLRQNSLGLKI >OMP12268 pep supercontig:CCACVL1_1.0:contig00201:1635:1703:1 gene:CCACVL1_00058 transcript:OMP12268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HPPQPMATQHQMRICYRVSDDS >OMP00296 pep supercontig:CCACVL1_1.0:contig06691:19745:24824:-1 gene:CCACVL1_03389 transcript:OMP00296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mlo-related protein MATAKGRTLEETPTWAVAAVCAIFVIISILLEHAIHSLAKWFQKRQKKAMLEALEKIKAELMLLGFISLLLTVGTLYIPEICIPKKVGNSMLPCKPKSGYAGDDGSKQKDDQSGDGGDDRSRKLLSYYAQDMIRRRVLAASKSGSCGEGKVELISQSGMHDLHIFIFALALFHVLYSVATILLAKAKMKKWEAWELETSTLEYQYTNDPARFRLTHQTSFVKRHSGFSTIPGMKWIVAFFRQFFGSVSKVDYLTMRNGFINAHFAPNSKFDFHKYIKRSMEDDFKVVVGISTPLWAFAIIFLLLNIYKWHTLTWLSLVPLVILLVVGTKLELIIMDMAEEIQDKNTVVRGAPVVQPSNKYFWFNRPQWILFLIHYTLFQNAFQMAFFLWVVFKYSIHSCFHEELSFALVRVISGIGLHILCSYITFPLYSLVTQMGSHMKKSIFEEQTAKALKNWHRAAKKRNKKKTAAGGDGFSVSGMSGENTPSRGTSPLHLLHSQRQKSSDLPDSVMNSPASNYSYTSDNDLSDLEGFVFNHAPPQLQQSTSSTGTENQNHRKSTDHNIDFSFVKP >OMP00298 pep supercontig:CCACVL1_1.0:contig06691:30859:30963:1 gene:CCACVL1_03391 transcript:OMP00298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDFLTTIQNDLFMARSRVRKKSVLKGPIRLKA >OMP00295 pep supercontig:CCACVL1_1.0:contig06691:4643:11640:-1 gene:CCACVL1_03388 transcript:OMP00295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLEPPEVINSVPEQQPLSNEDPPSTIQVNVLKID >OMP00299 pep supercontig:CCACVL1_1.0:contig06691:31380:33898:1 gene:CCACVL1_03392 transcript:OMP00299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHAALAPSRIPASTRLPSKTTHSFPTQCSTKRLEVAEFSGLRSSSCVTFAKNVGETSFFDAVAAQLTPKSVGSTPVRGEITAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVVVVNDSGGVKNASHLLKYDSMLGTFKADVKIVDDSTISVDGKPIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYDHEVANIISNASCTTNCLAPFVKVMDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVAKKGISAEDVNAAFRKAAEGPLKGVLDVCDVPLVSVDFRCSDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGAAAAGSGDPLEDFCKTNPADEECKVYEN >OMP00297 pep supercontig:CCACVL1_1.0:contig06691:26278:30134:1 gene:CCACVL1_03390 transcript:OMP00297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSSSLVLPTNPPTQLSSGSGSAIPPNLSLSSNRVRKLGLSTSRRSLTVQASYRDGGRPSSGSIFVGGFVLGGLVVGALGCVFAPQLSKALTGADRKDLMKKLPKFIYDEEKALEKTRKVLTEKIAQLNSAIDDVSAQLRHEDAPNGAAVNSDEIEAAI >OMP00300 pep supercontig:CCACVL1_1.0:contig06691:39095:39235:1 gene:CCACVL1_03393 transcript:OMP00300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDKEVEERDEAKEEEEKAKSKEAKGKAKGKAKEAKEKAHIRGRQA >OMP00301 pep supercontig:CCACVL1_1.0:contig06691:40460:40594:1 gene:CCACVL1_03394 transcript:OMP00301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKEVEERDEAKEEEEKAKAKEVKGKAKAKEAKEKAHIRGRQA >OMP11385 pep supercontig:CCACVL1_1.0:contig01341:134:8282:-1 gene:CCACVL1_00551 transcript:OMP11385 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase MAKQVSLPLYEKVARKNTTQRALDITLLFLLLSLLLYRFLSLSSHGFVWLLAFLCESYFTFNWFLIVNCKWNPVDFVTYPQNLEKRFPELPPVDMFVTTADPVLEPPLITMNTVLSLLAVDYPADKLACYLSDDGCSPLTFYSLVEASKFAKLWVPFCKKYNVQVRAPFRYFLDDPVSSNSAAANSEFKQEWKKMKAEYEELCLKLEAASRKSVPCELTGEFAVFSNVERSNHPTIIKSRVSGLLTNAPYMLNVDCDMFANNPQVVRQAMCGLLDSKSQREIAFVQSPQCFYDGPMDDPYGNQLVVMIEYVGRGVAGLQGPCYSGTGCFHRRNVIYGQSPEDVENHARNRISIKGIVDNEVLIKEFGKSKRFSESAAYALKGKESTFSNNLSDCLEEAFHVASCDYEFGTSWGNKIGWIYGSMTEDVMTGLMIHKKGWKSTWLMPKPPAFLGCAPSGGPETMTQQKRWATGLLEILVSRNSPIFAFLTGKLQFRMLLVYLWLLSWGLYSVPELLYATLPAYCIIANSQFLPKVQDPAILIPVAIFVIYYLLTIREHLKAGMSIRGWWNFMKMGRITRTSAYLLAALTVVLKLLGLSETVFEVTQKDQSNSDGDNETNKGAIKFTFDKSPLFVPGTTLLLVNLTALLIVSLGLAHDVGHGLGNLYKVRKEASIKLAALTAMAKQFSLPLSEKVARKNTTQRALDIALSFLLLSLLLYRLLSLRNHGFVWLLAFLCESYFTFNWFLIVNCKWNPVDFITYPQNLEKRFPELPPVDMFVTTADPVLEPPLITMNTVLSLLAVDYPAEKLACYLSDDGCSPLTFYSLVEASKFAKLWVPFCKKYNVQVRAPFRYFLDDPISSTSAGTNSDFKQDWKKIKAEYEELCLKIEAASRKSVPCDLTGEFAVFSNVERNNHPTIIKIIGENLDDVPPLVYISREKRPKHRHHYKAGTMNVMSRVSGLMTNAPYMLNVDCDMFANNPQIVRQAMCQHLDPKSEREIGFVQFPQCFYDGPKDDPYGNQFVVLYEYMGRGIAGLQGPGYSGTGCFHRRNVIYGQWPEDVENQARNHTSMFNADNELLIKVFGKSKQFSESAAYALKGKKSFSNNLSDSLEEAFHVASCDYEFGTSWGTKIGWMYGSMTEDVMTGLMIHKKGWKSALLMPKPQGFLGCAPSGGPAAMTQQKRWATGLLEILVSRNSPIIAFLTGKLQFRMLLFYLWFLSWGLFSIPELCYAALPAYCIIANSQFLPKVQDPAILIPVSIFVIYNLLTIREYLKTGMSIRAWWNFMRMGRITRTSAYLLAALTVVLKLLGLSETVFEVTQKDQSDSDGDETKNGAIKFTFDESPLFVPGTTLLLVNLTALITVSSGLRQLAAHDVGLGAGEVFCSLWVVLFFLPFLKGLFRTGKYGIPNSTIFKSTSLALVFVFLCRTPWG >OMO88390 pep supercontig:CCACVL1_1.0:contig09027:108173:108694:1 gene:CCACVL1_08425 transcript:OMO88390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHGLQREDLTLERESGLGREEQGHLRVHMG >OMO88391 pep supercontig:CCACVL1_1.0:contig09027:114688:116654:1 gene:CCACVL1_08426 transcript:OMO88391 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transposase, Ptta/En/Spm, plant MGVVGVLILPHAYPTPEPYFECVDDPDERVSASITCILKSYFHGHWPRWTAISKVGIEDYWKAFKVWLYCASRAFSSMLNKARDKAKQEANTTNIAETRDFWPEWMDEEIWKWLIDNVWIHEKWKKISEVGSANRLIEKDGSITKHTSGSIPMLVHWKRLERNHKRVFTRSEVFDETHKRKNGDFVDNKSKSVSEKYSVTFSHKYTDSTMQPAFDAAMWRDAAGGVGHGHLYGFGRKDPRDILEASHSRGNFYPSPSAENRQSSQPGTQSQVEDIVKKVLDGLLDQRVQDVLRGMGYQIAPLPMAGNNLSTNHEGGDKAMDDDGANKTLNDDDDSLGGTENDA >OMO88383 pep supercontig:CCACVL1_1.0:contig09027:6723:12740:1 gene:CCACVL1_08418 transcript:OMO88383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSRHKSSKHSSRDARDYSDSERDSGLKEKEKKSKEESSVRVSKESGSGEKRKLDSKETSKELWSSGNGEYVEEYSSSKRRKDKTDDGVSDRWNGGEDDGRGEKKSKTSSEAKSKRREEVEGEDSKKSKSEGKHRESSRKEEREREKKGKESKSDRLIESEEHRTVKQSAEKTELDIHDRLQSPESESQLERRLRKRRDGSGDGDKHQEDNGNILDRQVSSRNDTGKDGRAKDEKYKDDRYRDKYREDMDREDKYRDDKLRDERPARDHANSKSSEKHVRDEKDVVEIRQKKSKVQDGDRERDHDHDKERDRDRDRERDREREREREQDRDLDLGHDRERERYRDRDHYRERDRHRDYDHDRDRDYDRDWDRDRDRDRENDRDRERDRRDKDRDRDRGRDVDHDRDGLYLDERSGRYKDSRGRKRSPDDRDDSNDIKSRGTKVHYSDMENKSLTGSRVEVDADRGRSQSRQANADATVGSNKRRASPSSSSHIGIDEYRHSKQEDPKYRESMTEQRSKAVSSRDVSFSGASERGNKYRSMEKSSRADEGHSGELPIERSSSSKASPMGMMERSPSSTSLERRYMNRSGVRRSLDTEETGWRNNASIGGRELSATEDRLSRDLPPEKPLLDESSQADSAFYNRAGQGNSSLIPQPPGYRAGIGSPSFMGSLEDDNNRINIGGRYKRSGDMNAGRGQANAWRGAPNWPSPVPNGFIPFQHGPPHGGFQAMMPQFPSPSLFGVRPSMEINHAGIPYHIADAERFSNHLRPIGWQNMMDGSGPAHLHGWDGNSVVFRDEAHMYGGPEWDQSRHPMNGRGWDTSSDVWKGQNGDVELPATSQKEDHPVQAPLDDIDDGQEHKRSQHENSHIVGQVKSLETRSNVISRAKESSRSLPEITHEKAPDSTKKSSDDGGAHYCQVYLSKLDISTELAGSELYDQCMSLMNVEQSKDLVRDVTMLVNLKNGGRAVQKASIAVLNPSLIPATNASVFQKAMDLYKKQRLQVGDMVNVSSGMLAFISADNQEKGKEQSSDHAVEEAKEPALNSDLEMLDAAMPTSDQQKGEVATPASLENSEQIVSIPSGELPDHMDSLPPEKSELPNAGIGGSSPELPKPVLNGNEAAEEMESEQMISEDVVGDSLQPPDNASEAMVLLRLRYLGL >OMO88388 pep supercontig:CCACVL1_1.0:contig09027:35902:61263:1 gene:CCACVL1_08423 transcript:OMO88388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLRSQATFLLESKAEEPSKGRMVRMNATSGAEWTSLLMTCHHKSTVGGSYFVITASDTTFIKTSPLAFEGFIVALIKKFAENTAGESDGLPTQMVTETEMETETDAGFFWARVVVWFAYLWKRKGTRQSDECFVTCSFLSTL >OMO88392 pep supercontig:CCACVL1_1.0:contig09027:133548:136726:-1 gene:CCACVL1_08427 transcript:OMO88392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIITEIQETDDQVEGKAKNNDAEKASQSSIWKEKAEPLSPVSLVFHEPRTYCCIIAIMGCKTKLDPGVVKEGLKHTLIKHPRFSSKLEMNGGKKRWIQTQVNVEDHVRVPEVDIEMECSTQYIEDYISNLTTTPIDLSKPLWELHLLNLKTPEAEAVGILRIHHSLGDGMSLISLLLACCRKSSNPEALPTLPKTKQTDHSRNPHGLFWVFFAIWSTLRLILNTIVDIFIFVATVLFLKDTKTPLKGSSGVEHNPKRILHRTVSLDDIKLVKDAMGMTVNDVILGITQAGLSRYLNRKYGEPFLPCSGAKQRPDNLPKNIRLRATVLVNVRPTAGIQALADMMKKKSKAKWGNQIGYICIPFPIALRDDPLDYLRGAKAIADGKKQSLESLVTYLTNKFVINLFGSKLSGILAYRVLFNTTMSFSNVVGPVEEISFYGHPIAFIAPTVYGHPQALTCHFQSYMNKMSIVVAVDPNVIPDPYLLCDDIQESLKIFKDVVLTNLTAKGAFR >OMO88385 pep supercontig:CCACVL1_1.0:contig09027:17599:26050:-1 gene:CCACVL1_08420 transcript:OMO88385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKRNLKSQMPSLKRCKLGDSVGEDEDNSGTSRKKRKINGYYPLALLGEVAAGIIPFSLHRIIASGKAAASWSTQVSCSPDEVESTSKGSDSAKAKNRTVEVARPPLVRTSRGRVQVLPSRFNDSVIENWRKESKTTLRDCSFDDEDDDNDFECGKDKFSFKTPKNYKQNVKSRRNEEKNGFKVRKYTTLCEEDEREAGHTRTFDIRRYSSSRSTITSLHEQFAEEDEKHANGVDNVDLTAGDQVSRENGEGKDGLYGPEDFYSGDIVWARPGKREPFWPAIVIDPMTQAPELVLRSCIPEAACVMFFGHSGNENQRDYAWVRRGMIFPFVDFLDRFHKQPELDRCKPSTFQLAMEEAFLAEQGFTEKLIHDINIAAGNPTYDESVLRWVQEATGSNQDQDYHLPNQGLLAKYKDTRPCEGCGMILPFKMGKKMKALTPAGQFLCRTCARLTKSKHYCGICKKIWNHSDSGSWVRCDGCKVWVHAECDKISRHHFKDLGATDYYCPTCKAKFNFELSDSEKRQPKAKSNKNNGQLVLPNKVDVLCCGVGGIYYPSLHLVVCKCGSCGSEKQALSEWERHTGSRERNWRISVKVKGSMLPLEQWMLQLAEYHASATSTKPPKRPSIRERKQKLLAFLRERYEPVQAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARNVRDFTSWVCKACETPEVTRECCLCPVKGGALKPTDVETLWVHVTCAWFQPEVSFASDETMEPALGILSIPSNSFVKICVICKQIHGSCTQCCKCSTYYHAMCASRAGYRMELHSLEKNGRQITKMVSYCAYHRAPNPDTVLIIQTPLGVFSAKSLNQNKKKTGSRLISSSRVKIEEVPTVETPDVEPFSAARCRVFKRSNNNRKRTEEEAIAHRLIRPCHHPLSTIQSLNAFRIVEEPKGFSSFRERLYHLQRTENDRVCFGRSGIHGWGLFARKNIQEGEMVLEYRGEQVRRSIADLREARYRLEGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGDDESRIVLIAKTNVSAGDELTYDYLFDPDEPDEFKVPCLCKAPNCRKFMN >OMO88387 pep supercontig:CCACVL1_1.0:contig09027:30860:34265:-1 gene:CCACVL1_08422 transcript:OMO88387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAETGLFFPYMQNFSQDFQQFEEYCKTQKPNASMNNLVQTSTISDYDLGGEGDLFKAPEPIIEEPIMGLDPITATVSLISCGEDVITSQGLEAADIESMQNEQLLEVLFECEKDLMAQTVMETPLSEVLDLKIPVVKADENQNQETKLLCDVPFQKSVSSGSLSSMDWMQGAAIKPNFLDFPGMDFGSVYGMRRAFSEGDIKTLGNGNTGAIHSPLERPLIVSTCSTEDRREKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFARTEESDNSKRQ >OMO88384 pep supercontig:CCACVL1_1.0:contig09027:13612:15555:-1 gene:CCACVL1_08419 transcript:OMO88384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEHETSAHPWGTLEELLLASAVNRHGTKSWDSIALELQTRRNSVSSSSSSSFTPQLCKDKFFDLKRRFISPNEAASSSGLVDQLRRIRVEELRREVQQRDVSILSLELKVKRLVEERERTLKEEPDLDGRQNKLSPEIDGCEPTAGNDSGDPDDRSFNESNSTSQKPEEATPTTTVTVKDEKNDVEAVEKVGGGGGEKKAQVKTEPGVGSEPDPVRTGKGPGNEGVNGEEGDNKKQTSDVQSSASLWMKKPRGVNSDGGSSSGEEREVSPAKKGGSGPAVKPEFFVKLLGIILSHRLGSAFRRRQRSQESERYKNLIRQHIDLERIESRLEKGVYSSDGDGSPKFFRDLLLLFNNVMVFHRKNSPEHIAAQELRGLVSKEMRDYKQLRKQATAWKPEPEELPPVCVSKPNKSSTMSIAACSKRGSIKEVTENNATRKRKVVEDDKPKPKPKPKADSNSNSNSNYFAGTGIDEIEKGIRKKRSNERAVSARRNSTRTSGKMMNEETKHEYGGNELSSHDTLELKVDKKEKPVPRKKQGAASFLKRMKQNSPSQVTTDKDDDDDDDDDDHSEEEKGRGKKRDVKRVTRSSGGRGAREEGGRVKRGVGRPPKRAAAAAPETKGKRGRDNGDNEVGVGGGGRARKRSRR >OMO88386 pep supercontig:CCACVL1_1.0:contig09027:27555:27641:-1 gene:CCACVL1_08421 transcript:OMO88386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDFTSNPPTTTTTTSPHAVPRYPPEP >OMO88389 pep supercontig:CCACVL1_1.0:contig09027:62073:65083:-1 gene:CCACVL1_08424 transcript:OMO88389 gene_biotype:protein_coding transcript_biotype:protein_coding description:HSP20-like chaperone MAIISEYQEEEAKPSASSTPPPKPVPFNATLNPSNPSGFLEQVFDFVAKETDFLGKENVDEEIAAVVRVAKEKAKKKVEEAARKVEAKKEVKEIKEDVKNEPMEIDRKEDKKEEEASSSSRAPNKGNGLDLEKYSWTQTLQEVTVNVPVPSGTRSRFVVCEIKKNHLKVGLKGQPPVIEGELYQAVKPDDCYWSIEDNSSISVLLTKHNQMEWWKSLVKGDPEIDTQKVEPETSKLSDLDPETRQAVEKMMFDQRQKSMGLPSSDELRKQEILKKFMAEHPEMDFTKAKFM >OMO49321 pep supercontig:CCACVL1_1.0:contig16542:15074:15151:1 gene:CCACVL1_31075 transcript:OMO49321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKEANRRIKVSKANSEKGQTKQW >OMP10534 pep supercontig:CCACVL1_1.0:contig02274:532:1275:-1 gene:CCACVL1_00893 transcript:OMP10534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRLSGGDHIHAGTVVGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNEVIREASKWSPELAAACEVWKEIKFDSKQWILCNP >OMP01504 pep supercontig:CCACVL1_1.0:contig06429:21473:25038:1 gene:CCACVL1_03083 transcript:OMP01504 gene_biotype:protein_coding transcript_biotype:protein_coding description:No apical meristem (NAM) protein MSIEASSMFPGFRFSPTDVELISYYLKKKLEGYEKCVEVISEVEICKHEPWDLPAKSIIKSENEWFFFCARGRKYPNGSQSRRATEQGYWKATGKERNVKSGSNVIGTKRTLVFHTGRAPKGERTEWIMHEYCMNGKSQESLVVCRLRKNCEFRPNNTSNRAPRNQREISTMHDSNHATSDGGTDQTGMSEGDKAAEIYSKKTTSSYDSHSIEQIDSASESEQKLSNEIGPTVSSTPQKDSDDEDDFFAEILKDDIIKLDETSLTGTPNILPMVASTSNANRRPVQQQRQENIPSLSQFQGTANRRIKLRKPKADILSTSTSKRVIGELSVEKLNSPRPKESPKCLQSLFSPKTANLRLVYATFFILTLLALFLSLISGCRPVKDLKYATFYKDLWQ >OMP01505 pep supercontig:CCACVL1_1.0:contig06429:46343:47611:1 gene:CCACVL1_03084 transcript:OMP01505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPMLLVFLFLILIITSQFEWRQQIVVDIDTTPSVTQKQQQTSRREDAVKEKIILSQEKNIQRLNELVRSLQQQLLQCKGNNKTTNGTVNHLTEHVIELERQQILED >OMP01503 pep supercontig:CCACVL1_1.0:contig06429:12694:16370:-1 gene:CCACVL1_03082 transcript:OMP01503 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbon-nitrogen hydrolase MSIVPAPPQHPDGPLFAEVDMGGDASAPTVRATVVQASTIFYDTPATLEKAERLLAEAAGYGSQLVVFPEAFIGGYPRGSNFGVTIGNRTAKGKEDFRKYHASAIDVPGPEVDRLAAMAGKYKVYLVMGVIERDGYTLYCTVLFFDSQGRFLGKHRKIMPTALERIIWGFGDGSTIPVFETPLGKIGAAICWENRMPLLRTAMYAKGVEIYCAPTADSRDVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYQFFGTEEELSPDSVVCAGGSVIISPSGAILAGPNYDGEALISADLGLGEIARAKFDFDVVGHYARPEVLSLIVRDHPAKPVTFTSASEKNEDSPK >OMP01501 pep supercontig:CCACVL1_1.0:contig06429:1181:2839:1 gene:CCACVL1_03080 transcript:OMP01501 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem I PsaO MAATFGCPSTVVGLGGATSLSPSPAKRISLSSGFLKPPVAARNPLRVLAGATGGKVTCFQRDWLRRDFNVIGFGLIGWIAPSSIPAIDGKSLTGLFFESIGTELAHFPSPPPLTSQFWLWLVLWHLGLFITLTFGQIGFKGRTEDYF >OMP01502 pep supercontig:CCACVL1_1.0:contig06429:7569:10091:1 gene:CCACVL1_03081 transcript:OMP01502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEFPSMDSPKTVNKTKEPSVSARKLAATLWEINTIPSPQGKEKEELEKKDRRRKAPRVPKMAHTLPPNLSDPSYSPISEKMDQGRSKSHRRRASLISQKLQLTDYKMGSLDSLGNASVMEIETHSRGKNHAGCTIRTRSRLKDVSNGLATSKELLKVLNRICGLEEQHSSSLSVVSALRVELDRARIQVDQLIREQRSNRGEIEYLMRHFAEEKAAWKRKERERIRDAIACIAEELEVEKKLRRQTERLNKKLGKELADTKASLSHATKELESEKRAKEILEEVCDELAKGIGDDRATVEELKRESAKVREEVEKEREMLQFADVLREERVQMKLSEAKHQFEEKNAVVEKLRNELEAYLGSKIDEENVDSPNLQRIKELEAYLKNIDFGSSHAPVKDVDKGEVVDDEEDDSADSDLHSIELNMDNNDRSYKWSYACGDDIEGESKRNSVEKENKGRRSFSEKFSWGSVCLQRGNANSTDWDIGFKSLENLDGFERDRAYNLASQVQAQDYEDEIKRYKSVKSLRDHILSSNKITPVQSFSSPTRQWNQSMHFPEPGSEAPQC >OMP01341 pep supercontig:CCACVL1_1.0:contig06468:44630:44734:1 gene:CCACVL1_03110 transcript:OMP01341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPPTNRAPVIGSPPPHLSNQIPSTIHHQIKIP >OMP01340 pep supercontig:CCACVL1_1.0:contig06468:40905:42211:-1 gene:CCACVL1_03109 transcript:OMP01340 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyl transferase, family 8 MALGKAYVVIAKEHNNLHLAWELSSKIRSCQLLLSKAAMRGQPITLEEAEPIISSLSSLIYKAQDAHYDIATTIVTMKSHIQALEERANAATVQSTVFGQLVAEAFPKSLHCLIVKLSADWLKRRPLQDLADEQRNSPRLVDNNLYHFCIFSDNVLATSVVVNSTISNADHPTQIVFHIVTNGISYGAMQAWFLGNDFKGSTIEVQNIEEFSWLNASYSPIVKQLLDIDSRAYYFGENQDLKVEPKMRNPKYVSLLNHLRFHIPEIYPQLEKIVFLDDDVVVQKDLTPLFSLDLHGNVNGAVETCLEAFHRYYKYLNFSNPIISSKFDPQACGWAFGMNVFDLIAWRKANVTGRYHYWQEQNADQTLWKMGTLPVGLLAFYGLTEPLDRRWHVLGLGYDLNIDNRLIESAAVVHFNGNMKPWLKLAIGRYKPLWE >OMO83021 pep supercontig:CCACVL1_1.0:contig09952:1932:2163:-1 gene:CCACVL1_11601 transcript:OMO83021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HVFFFLDKVFACCRWYIASSLHETYSEEEFWHDFDCGKTENVNMLVIMRVALSSSPNYLFGIGKWNLKVLISVPSSL >OMO83022 pep supercontig:CCACVL1_1.0:contig09952:3173:3274:-1 gene:CCACVL1_11602 transcript:OMO83022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKKAETGSKNPKKANLLDHHSIKNILDESVSE >OMO78214 pep supercontig:CCACVL1_1.0:contig10620:1876:14893:1 gene:CCACVL1_14571 transcript:OMO78214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETASEIMNTEENTSNLKGDSYLLGSICLGDQSASLPCQPSLDIPDLMPKDSGAAVSSSGSIDCSGQRGNEGKDDVGADCVLETKNHPNPSSSSRRGSRKSKCSRKNRAKRVAGNSRKTKQLNPESIECIFEASRRKRSCSSKPARSSTWGLSSNVTQFLEQSHEPEASAEHTQETSKAGRFQGSKNGVAVNNRKGSSGTSNTSCLLLRIKVGKEATLSNMNSVVVEPVDMSVSVDTCFSNNGKETSLCPELANVVEDKGGQLGSERQFQSKDYSNNGKETSLCPELANFVEDKGGGQLGSEMQFQSKDELEKVGSDASIMDSKVAKEVLLSAENLEKSAEDARYNDLVNLSDTVAQTASGEVENKYMDPGTSPDSEVINLIPDTQVGSIHQEESPHTVMNTSGALALPEGVKGSKKSKSSKKGKKDAANVSKPKSSKNCGGRQKTTGKECCSGEALTSPTGANSLGDDGLGVSQDAMKVETSIDAKVCCSPDAPDTKNNKKMSSSKNKRNQLSKSSKSQGVSKGKSKVSTSARSKKVNACNRGGNELKSVGKGKVEEKRSDEEIEARGGGHQLTGNCISVDIENSNTSNSIASAEMSNIDLVSDDIENSNTQADDAWVRCDDCQKWRRIPVSLVKSIDDSCRWICGDNVDKAFADCSIPQEKSNADINAELGISDAEEDGYDGLNYKELEKEFESKCTTESPSSHFWRIESNQFLHRARKTQTIDEIMVCHCKRPLDGKLGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQKRKYAKMKWDKCGKKGFGLRMLENISAGHFLIEYVGEVLDMQAYEARQKEYAARGQRHFYFMTLNGSEVIDACVKGNLGRFINHSCDPNCRTEKWMVNGEICIGLFALRDIKKGEELTFDYNYVRVFGAAAKKCHCGSPHCRGYIGGDPLSAEVIVHDDSDEEFPEPLMLEDGETWNGSVNITSRSSSIDAAEVLCMESVITDGVIKLENTTEVEYSLNHFASAKSELKSSVETEDLKGSLLLSNQPEEVSPMTALSEAMADIEPDGTMEKKAMNKTSSSAKKLDTSLNILDSKLSSDSVDVNKKSKFGTPEGKQVVPKSRPLMKTSRSCSSGSIKEKITSNSLNGNKVQIKSVKAEVPSVKPKKLSENPSNCRFEAVAEKLNELLDSDGGITRRKDASKGYLKLLLLTATSGDSGNGEAIQSNRELSMILDALLKTKSRLVLTDIINKNGLQMLHNIMKKYRRDFKKIPILRKLLKVLEYLAMREILTLDHIYGGPPCAGRESFRQSMLSLTEHDDKQVHQIARNFRDKWIPKPARRLNYRDKDEGRMDFHRGLDSNRVSASHNHWRDQAIRPAEEINSVVSTTSVDTAARDGCSSSSTGICQTNDTKTRKRKSRWDQPADPKKINSWSPKKSEYSSLPVSVESTPNQVDRMSRADGEAINVDNGRHSFPDDVPPGFSSLPNATAPSTATDFHQPICHSKCSDVIIAHPQKRFISRLPVSYGIPLSILQQFGSPQGEDKWIIAPGMPFHPFPPLPPGPRDKKDTPPACTANFIGTNQDAEGKEKSHQPSGSYPDGNIPSTTGGNQPDAGISGSDVQQTHKRTRESYDLSQKYFRQQKRKGPPWQKSECMGNNYIDGTGCIDVKNELSNS >OMO78215 pep supercontig:CCACVL1_1.0:contig10620:20231:21651:-1 gene:CCACVL1_14572 transcript:OMO78215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGWSNGSVGTDFQSSSDRCWPQHPSYDWRSHFFSVFVGHLSWNTSVSDLWNEFGVIGKDMDVFIPNTSRRFKSTFAFVHYKHESEMKRAIFRGDGLIIVGNRIKFHQKTRVFSHHCKPPFTFRNSDPLVIKRDIESKHAHVVGDGKALKTPSESKYRPPHVLSPEVDKDNIGGPLKVNNEVLSMFDIATVLVSVKRNVKVPSKIAISHNISRFEVSPSIESTRDSISFNSNRSLPVTDNKLIVDDSSSGDFSDPNPGDELKSCKEDDLNEAINIGNNSHQDYLEDFVGILSHERVGSPKEASPLSINEMIGGCVES >OMO78216 pep supercontig:CCACVL1_1.0:contig10620:39567:42533:1 gene:CCACVL1_14574 transcript:OMO78216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDGAKQIEAERRSAGHLPSVWDAQLINSFTSTYSYETHYTRLEELKQGVTKLLVDPTTEPRDQLDLIDKMQRLAVASHFEKEITHILTQIFLYPNCIAPTDLYTVALQFRLMRQHGFSITTDMFKQFIERDGKFMDSLREDVSGLLSLYEASYLGFPEEDVLEEAYNFSSESLSSMVITKVDEMGSEIVKMIQESLDFPLHWRFHWTESRHFIHIYQRDETMHSVLLEFAKLNYNILQSVYLKEVQQLVGWWKELNFKENLPFVRDRLLENYFFAIGGSAELQFPKSRRNLVKLCFVATALDDIYDTYGSLDELEKFTEAINW >OMO54184 pep supercontig:CCACVL1_1.0:contig15040:18340:21563:-1 gene:CCACVL1_27989 transcript:OMO54184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSKTSQSGGGKTQNRNLPSWMSSRENESKSDGNKSNDANGHGSSSSNNFSKLMEGVVFVLSGFVNPERSTLRSQGLAMGAEYQPDWNSNCTLLVCAFHNTPKFRQVEADCGTIVSKEWILDSHAQKKLVDIDPYLMHAGKPWRRSSISQETSKEQGSSSTKSSKQVEETQSKKPSVASKNKASKAEESFSPSDVKKWVIDDFNGTISWLESQEEKPESHEIKQIAGQGILTCLQDAIDCLEQNQDVRKIIEQWSFVPRVVKELANFEGRESSSLTNEDLLRKAKVCKQIYEDELTRLNGDSPSKNKKLKTDGGKESNDKRKTKASSKDKAGYDSDETIEMTEEEIELAYKNFASETL >OMO54187 pep supercontig:CCACVL1_1.0:contig15040:39303:40373:1 gene:CCACVL1_27992 transcript:OMO54187 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MSEMELIPGLPNDIARECLVRVPYNKFSNVASTCKDWNLEIHLPEFFRHRKAAGCNKHVMAMTQARVNRNTISGLKCQSMPEYRIVLCEPDTGDWSELPPVPGMSDGLPMFCQVVGVGSNLVVMGGLDPETYELKNAVYVYSFISATWRRGADMPGVRRIFFGCASDSDRTVYVAGGHDGEKNALKSAMAYDVAANVWAPLPDMEKERDECKGIFHGGKFHVIGGYCTDRQGQFESSAEQFNTATWQWSPMQENLLRAGTCPRTCVAAEGNLYMSRGGEVARLEGETWKSVAELPADVYNTAHMTTWQGKLLVIGSPSFGEPHNAYVLNLGSYTWTRMQVGDEYSGHVQSGCYLEM >OMO54185 pep supercontig:CCACVL1_1.0:contig15040:24288:29077:1 gene:CCACVL1_27990 transcript:OMO54185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAQPPAPDPLEAFSGLSLFPRTLGSLPNPPPPSGTDDLQHTHDFLKSMPLQSPNKLLEQAKEIVDNCSELVNLDTTSSVAEVMENPRERRPALGRKRARFSLKPNSSQPTVSLEPSIDIDKLKDPEEFFMAYERAENAKREIQKQTGGVLMDLDQNSQSLAARPRRPGMLRKSVKNKHRYSTAMSPLENLEEENASTLCDSQQEKHDMDVELEEKELAGLATNAENRVNEEHRVNEDNRVDEENRVNELLDQLLTSDFDGDETVSLLQEHLQIKPIDMEKICLPDLQGIRRIDLKASRKSLAKPRNSSTDIPENSVHYVASPTPPKGPLASISLLKKRMLLSDLPSDPFSTDDIDHSLARDASPVKNINKQSDLVDTEREVRLSPNDNRRTQKQDESSAHPLASPTPPRNPFASMSLQKKQLLQSDPPSAPFSVDNIDRSPGRNASPTESINKQYSQGGAENRLNMSHLLKSPMLEANQTEIANAGSELDGRDFAGLFDKFVNDNARRFDSGIDMVSSGSQADLERSRLNRPETDADSHSINPNECDGRVEDILVVPGVSAQTQLNEEAPTVNDPHTTQRESDESNPAMDVDHTVDESLRTAEGGQELREEQTKKGKAKPRPRNERKRKAVNRRQSLAGSGTTVDTEGRRRSTRIRSRPLEFWKGERFIYGRIHSSLATVIGIKYESPGKGDGTLKVKSFVSDEYKDLVEQAELPQFLKQGTI >OMO54188 pep supercontig:CCACVL1_1.0:contig15040:42629:42751:-1 gene:CCACVL1_27993 transcript:OMO54188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTKKSDKEWNCGREAGTHNRVSRIFISTEEAMVHQVNR >OMO54182 pep supercontig:CCACVL1_1.0:contig15040:1233:3275:-1 gene:CCACVL1_27987 transcript:OMO54182 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase MDSSSLEESSEQIDQYPLLMERVESHSDQEHIIDIARHDDTSSSTSHDDQPSGVSLTQSEDRPSSSSQAPINQTSSSNRLNSRNPSFMRRSDGYGRRRRSPLNSGLWISVELVVTVSQIIASIVVLSLSRDEKPQAPLFAWIVGYASGCVATLPILYWRFRNRNRGIEQDSSNSRQGSSHGNPSETTVSTPYTAISVTQASDEESNRITESATRNSQIAVTLGTRLNGLVDHFKMALDCFFAVWFVVGNVWIFGGHSSPSDAPKLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISVLGFREDFSQTRGATVEAINALPTYKFKSKKSGNGNDQDNSAGEGGVLAAGTAKERLISGEDAVSFNVRNSYLFIGL >OMO54186 pep supercontig:CCACVL1_1.0:contig15040:34950:36023:1 gene:CCACVL1_27991 transcript:OMO54186 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kelch repeat type 1 MELIPNLPNDVARECLIRVSYDQFAALLSTCKWWKAEIELPEFFHLRKATCHGQKLVVMAQARVDPDRKQGILEKCSVKPVYGLSVLEPDTGNCVDLPQLPDQFPDGLPYFCQLVAVGYELVVLGGLNPVTWEVSDSVFIFNFLTAKWRRGADMPGVRRSLFGCDSGDDRIVYVAGGHDDEKNALRSALAYDVAKDEWVPLPDMASGRDECKAVFRRGKFHVVGGYGTDRQGLFEKSAEVFDIATWQWDCVRDDFLEVSTCPRTCIGGDDVDMYMICEGDVVAMKDAKWQAIAKLPADVSKIAYMTTWQDKLLVIGSSRFDEPHNAYMLNLKKSEWKKLSIPEKYSGHVQSGCYLEI >OMO54183 pep supercontig:CCACVL1_1.0:contig15040:5864:17208:-1 gene:CCACVL1_27988 transcript:OMO54183 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MGASLPPKEANQFKLIVKSYETKQYKKGLKSADAILKKFPDHGETLSMKGLTLNCMDRKAEAYELVRLGVKNDVKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIGFAVAHHLNSNGAKAVEILEAYEGTLDDDYPPDNERCEHGEMLLYKISLLEECGFLDRALEELHKREAKIVDKLTYKEQEVSLLVKLGRLEEGANIYKALLTMNPDNYRYYEGLQKCFGLYREDGKYSSDEIDQLDALYKSLGQQYTWSSAVKRIPLDFLQGDKFREAAVNYMKPLLTKGVPSLFSDLSPLYDHPGKADILEQLILELENSIKSTGRFPDRTAKEPPSTLLWTLFFLAQHYDRRGQYDVALSKIDEAIQHTPTVIDLYSVKSRITKHAGDLVAASSLADEARCMDLADRYVNSESVKRMLQADQVALAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVEMLIFQDRLHSHAYFHKAAVGAIRCYLKLYDSPLNSPAEEENDTSKTPSQKKKLKKQRKAERAKKEAEEKNEESSASGMSKSGKRHVKPVDPDPYGEKLLKTEDPLSEATKYLKLLQKHSPDSLETHLLSFEVNIRKQKILLAFQAVKQLLRLDAEHPDSHRCLIKFFHKVGSMPAPVTDAEKLVWSVLEAERPSISQLQEKTLTEANEAFLGKHEDSLMHRVAVAEMLYTLEPTKKLEAIKLIEDSTNKVVPTGGALGPVMEWKLKDCIAVHKLLQNVLSDHDAALRWKARCTDYFPYSNYFEGSRSSSVNNSLNDQASQNPVNGGTGHPEISQSANSVISNGKLEAFKNLAI >OMO64299 pep supercontig:CCACVL1_1.0:contig12842:63913:70090:-1 gene:CCACVL1_21877 transcript:OMO64299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRLKRTKGICVCTSPSCAVKRATFDWYEQDLWTYIARFLEGRSLMMLAATNKWFYGVIMHDSIWKVACLRDLQVPDPGHVSFSWIKLYASAMDGSHSFMFRQQDKHIDWMRIGAFSFDSDVAILSARLTGPLNAPKKENIDNVLAMLKSYGTCLLRKVKTGIWIADLQLVRCPVCNQDKCEGTMQMLDARHIELFLNQEYQDGSWDYEVIGTYEIKKKIRRAYGAIFDLKYLISKSTEGVFNFKSWIGQPDDIQPKAIIAMHAVAVNTNLQDNEADLCSIAQCGKNEIPIRFPFRLEAKQPQNCGYPGFNLGCQSEKAILKLPNSGTFLVRDINYLNQQIYLFDPENCLPKRLLSLNLSGSPFVAAFHQNYTFLNCPTQVTKSRFTTIDCLSNSTNSVLATSSMNLANSMATSCKIIATLPIPISWPASSVEEFTSDLHQDIQLTWFAPQCGDCESQGGVCAFKSNSSEEIDCFHLPKSGKKHDGLQVFRIICFSIAVPALSCAIGIGFFACCLNDQRFRRNPPQQNIDHSVITPQPSVVVTGLDETTIESYDKLVLGESRRVPGPNGNICPICLSEYSSKETIRCIPECQHCFHVECVDEWLRMNSSCPLCRNSPTKEDVDAHADAEDAYGDDDASHEYAHAHADDVAHAHDDDASQEYAHAHGDDASQEYAHAHVDDASQQYAHAHADDASQDVHAHADDALQEVDHADATLHTDIV >OMO64291 pep supercontig:CCACVL1_1.0:contig12842:15747:21821:1 gene:CCACVL1_21869 transcript:OMO64291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHEGWAAQQPPSAILPNGLLPNEAASVIRVLDSERWMKAEERTADLIACIQPNAPSEGRRNAVADYVQRLISKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNQNLKDSWAHQVRDMLEKEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDLLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNKSFSGPLEVLYRFLEFFSKFDWENFCVSLFGPVPISSLPDITAEPPRKDGGELLLNKYFLDTCSSRYAVCQENQGQPFVSKHFNVIDPLRINNNLGRSVSKGNFFRIRSAFAFGAKKLARLLDCPKEDLYYEVNQFFMNTWERHGSGHRPDAPRNDLWHYILSNSDNTHESNNVRTNSSGRGSDIFSGRETQIEGAQGSGGVSSQHVNYPSESTTKISDVSTVSRTQSQKIYGGINNSKTSDQVRRDSNSNQNAHNDIGRRNSKTENLVTDVQGRYLFARTRSSPELTESYGEVTSRGRRNRVPESGKTQSGSMRSDNNGRKNMDSDVTASHNIESSCDDPSSIRHVSARQNIDAAADPNSLLNSYQDDLGLGTMGQDFSSVGGSHVMHQEEQDLVNMMASSTAHGFNGQVPLPLNLAAGHLPFPIQPSVLASMGYNQRNLGGIVPTNIQMFPQGLVSSPLAHYFSGIGLASNAEDSVEPGNETFVSPEMNPGEVEHELWHEQERGSSGGFDLANGTFETRQSDDKQMSTSAGYSFVPSSRGGSSTKVQQKFSKETRSSREDHIDVSQYQDNRVNDLYFDERTVSSRSMPASHTSSLRSKTSSESSWEGSTAKVSKPVREKRGRKTAASVLPSAAGGKGKSVSEHSSQADDEGRDWNPPSTVGTDMAERATGPQPVGSLPVPRHQMPGFEAAQTSGSDSLIPIAPFLLGPGSGQRPMDNSGVPPLAFTITGPPVPFLFCPVYNIPAENGTPDASTSHFSWDEGLDNNDSGQNFDSSEGLNQSEVLSTSSSSRNFASLEPSEHKSDILNGDITSHWQNLQYGRLCQNSRYPPPLIYPSPVVVPPVYLQGHFPWDGPGRPANMNMNLFSQLMNYGPRMVPVTPLQSVSNRPTAVYQRYVDEMPRYRSGTGTYLPNPKVAMRERHSPNTRRGKYNYDRNDHHGDREGSWNNNSKSRAAGRSHNRNQNDKSRFTFDQLAAVAGESRSERPWGSHRHDSFTSYQSHNGPVRSSSSQSTPANMPYGMYPLPAMNPSGVSSNGPTIPSVVMLYPYDHNSGYSSPAEQLEFGSLGPVGFSGMNEGSQLSDGSSSGGVFDEQRFHGTSAQRSSPDQPSSPHLQRGL >OMO64298 pep supercontig:CCACVL1_1.0:contig12842:61343:63398:1 gene:CCACVL1_21876 transcript:OMO64298 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin MEDHKISGDNNDNRRQLQLFVKLLNGQTLSLQFPSSKVQVGSVKQRIHEITQIPINFQRLIRGHQLNDDSVISQSNATLNLSLRLLGGKGGFGSLLRGAATKAGQKKTSNFDACRDMSGRRLRHVNAEKRLEEWKAEEENRKLEKIAEDFIKKKAKTGRKGVGDGEAEKYVKKYREESAKCVAVVEESVRAALQNGKRKAVPGGADPKRLKIWMGKRKLNESDSDDSSEDEDDEENEKSVVLNNGNHSDSSKGTEGSSGSVAGGRKEGDSSGGLSSECGSEEEREIVLQQSSESGGEDAVNVNKGMAEIEPEVHEEMMGQSADAACMKGDVIGGSEAAHSEMQKPDGTKTDAQVEIVSLHPSVPVSENGGVESTAIDDKAKSGDHVEMAISSNNVAEPEKPLNFDDFNSPKEMEVLGLERLKSELQARGLKCGGTLPERAARLFLLKSTPLDKLPKKLLAKK >OMO64305 pep supercontig:CCACVL1_1.0:contig12842:108032:108163:1 gene:CCACVL1_21883 transcript:OMO64305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIALEQPSNWKFGFITTYSRKTKYALLGFITIHKLDSDTFN >OMO64297 pep supercontig:CCACVL1_1.0:contig12842:55667:59340:-1 gene:CCACVL1_21875 transcript:OMO64297 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beclin family MNKADIPDKGRTFPVDPNLPKWVCQNCHHSLCIVGVDSYVDKFPNDPSRSAMQGSLIHGASSMLGPTRMDNSFVVLPKQKPPAQGIPPRPRGGPGQPDAGHPGKAMEESFVVVYKSESASDGGGSHLPLSEGGPNGPLQPNNSGFHSTITVLKRAFEIATTQTQVEQPLCLECMRVLSDKLDKEVEDVTRDIEAYEACLQRLEGEPRDVLSEADFRKEKAKIEDEEKRLKAAIEEIEKQNAEVNAELKELEQKSNRLKELEERYWQEFNNFQFQLIAHQEERDAILAKTEVSQAHLELLKRTNVLNDAFPIWHDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMCQYFRPKFHYRIKIIPMGSYPRIMDSNNNTYELFGPVNLFWSTRYDKAMTLYLTCLKDFAEFANSKDQENNIPPEKRFSLPYKIENDKVENYSITQSFNKQENWTKALKYTLCNLKWALYWFIGSTNFQPLSALVSSAAEVPAVGSLYAKRGPDPKSLARKPSNSK >OMO64289 pep supercontig:CCACVL1_1.0:contig12842:10630:13367:1 gene:CCACVL1_21867 transcript:OMO64289 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase, class 3 MACTSMTVPTSQVAKDGCKEEINGLRRSHSGLNLHKRVGIQRSYSDNHLCYSVNRVRASSAKPTLKSSRSVGIFPTFPFQISSSIIPNAVKSFLFDLETGKELSPLVKDVNIDETSVESSEESEEIKRANWVNRLLEIRSHLRHRQLEEGIDGAGIFDENDNGDGDDDGDDGGCEVNYDSDQEEGGGGEVKYDSESFSKLLVRVPLSDTKLYSQLAFLCNMAYVIPEIKAKDLRKHYGLRFVTSSLEKKAEAAMIKEKLDQDSTRVPIATREAIESKSEKAESGRKRPIRLSVVYEIAASAACYVQSQAKGLLSARSSSQDEDDGMDSCRCGDQPEMEGGNSPDRVYNSEVAAYMAASAMTAVVRAGEKEKQETAKDLQSLHSSPCEWFVCDDLSTYTRCFVIQGSDSLASWQANLFFEPTKFEGTDVLVHRGIYEAAKGIYEQFMPEIMDHLNRHGDRAKFQFTGHSLGGSLSLLVNLMLITRKVIEPSALRPVVTFGSPFVFCGGQKILDELGLDENHVHCVMMHRDIVPRAFSCKYPNHVAVLLKRLPGSLRSHPCLLKNKLLYTPLGKLFILQPNEKSSPPHPLIPPGNALYALDKTNSGYSTEALKAFLNNPHPLDTLSNLTAYGSDGTILRDHDSSNYLKAVNEVLRQQKRNNDDLLQDWKERNLLWPLLVSPSPHSWSQDRTLESSTMFSNKEITAGV >OMO64292 pep supercontig:CCACVL1_1.0:contig12842:22133:22213:1 gene:CCACVL1_21870 transcript:OMO64292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDCRTRRLELKVRVLHIVTEIGAP >OMO64300 pep supercontig:CCACVL1_1.0:contig12842:70812:77102:-1 gene:CCACVL1_21878 transcript:OMO64300 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tudor/PWWP/MBT superfamily protein MIIKRTANEMRNMKRCKMEEASFEDYEEEEETYYNYDVNPKRLKSNGYYPYGEFDDFSSGSGYWSGEGSYWAGELESNSMNLNKAKQSKKGSKKSVRPPLLKSSRGRTQMLPSRFNDAVLDSWKNRELRVDYADSSLEEDEFDNGRMEFDGSRYMKDKYRDGSSDLYLISKRSGEREMNYAGINGNFDYGNYLNPSVPLSETDEYVPRHSSYKGLERLRKGRAGKTKDIYKPEDFALGDLVWAKCGKRYPAWPAIVIDPILHAPEAVLSCCVPGAICVMFFGYSKNGTQRDYAWVKQGMIFPFAEFMDRYQGQTQFYKWKQSDFQLALEEAILAENGFLESGHTTQQIGYSDAQPGSSSQNLDFLYSENQASLFRISFLDARPCDSCGLVVPLKTMKKIKKSTYEAELLCKHCAKLRKSKQYCGICKKIWHHSDGGNWVCCDGCNVWVHAECDNITSKIFKDLEHNDYYCPECKAKFKAESYLVKREPKIKSTEKREESLPPDKLTVVCNGMEGSYMPKLHLVLCECGACGSKKYTLSEWERHTGCRAKKWKYSVKVKETMIPLEKWIVEYNAYGIHTLKLDRQKLLGFLQEKYEPVDAKWTTERCAVCRWVEDWDYNKIIICNRCQMAVHQECYGASGVQDLTSWVCRACETPDIERQCCLCPVKGGALKPTDVESLWVHVTCAWFRPEVAFLNHKTMEPAAGILRIPSTSFLKSCVICKQTHGSCTQCCKCATYFHAMCASRAGYSMELHCSEKNGIQTTKKLVYCAVHRNPNPDAVVVMHTPSGVFAARNVLRHGNECHRGSRLISSKKAEVAESPVPETNEFDTFSAARCRVYRRSNFKMAEGEPIFHRLSGPSHHPIDALSALSAYKEVDDSTVFSTFKDRLYHLQRTENHRVCFGKSGIHGWGLFARRNIQEGEMVIEYLGEQVRRSVADLRESRYRSEGKDCYLFKISEEVVIDATNKGNIARLINHSCTPNCYARIMSVGDKESRIVLIAKTNVSAGDELTYDYLFDPDERDELKVPCLCKAFNCRKYMN >OMO64306 pep supercontig:CCACVL1_1.0:contig12842:108773:114406:-1 gene:CCACVL1_21884 transcript:OMO64306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLITLVNKLQRACTALGDHGEESALPTLWDSLPSIAVVGGQSSGKSSVLESIVGKDFLPRGAGIVTRRPLVLQLHRIDEGKEYAEFMHLPRKKFSDFAAVRKEISDETDRETGQSKQISSVPIHLSIFSPNVVNLTLVDLPGLTKVAIDGQSESIVQDIENMVRAYIEKPNSIILAISPANQDLATSDAIKMSREVDPKGERTFGVLTKIDLMDKGTNAVDILEGRAYKLQYPWVGVVNRSQADINKNVDMIAARRKEKEYFQNCPEYSHLASRMGCEHLGKMLSKHLETVIKSRIPSLQSLVNKTILDLETELSRLGKPIAADAGGKLYMIMEICRAFDQNFKEHLDGIRPGGDKVYGVFDNQLPAALKRLHFDKHLSMENVRKLITEADGYQPHLIAPEQGYRRLIESCIVSIRGPAEAAVDAVHSILKELVQKAMGETMELKQYPTLRVEVGNAAFESLERLREESKRATLQLVDMECGYLTVEFFRKLPQDAEKGGNPTHSIFDRYNDSYLRRVGSTVLQYVNMTCATLRNSIPKSVVYCQVREAKRSLLDFFFTELGKKESRQLAKLLDEDPAVMQRRKNIAKRLELYRSAQTEIDAVAWAK >OMO64290 pep supercontig:CCACVL1_1.0:contig12842:14616:15344:-1 gene:CCACVL1_21868 transcript:OMO64290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELKLEKRQGEKQTLEGKEKENNGGA >OMO64302 pep supercontig:CCACVL1_1.0:contig12842:96986:101364:-1 gene:CCACVL1_21880 transcript:OMO64302 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561, eukaryote MEWSATSATKAYLETLQLCNNPSKLSESWKTTTREPGSNEFISALAAGMKSKLIVEIKSSVSPSTIALATAAKHTGARFVCILPETALNQVKKETKDSGLIDIVEFNTGDPTEILQHYEQVDFSLVDCKNDDYPKLLKMINVNPKRSIVVANNLEGDKEGFGIGGRHVTGMKDEVTVRSMKHPIGKGMEITTIGSEKRGILMKKKSTPRANKSKWIVKVDEVSVSADADDPLTAAADGNAGRYELCDTDLSFLGPPYGNISASNFICSPIWNTFVLRYFQREDNLMTIILSAVYTTGWVGIGFSRNGMMLGSSAMVGWFNKKGHARIKQYYLQGAHISQVIADKGELPLTNIPPVVALHGPMIYIAFQAKFEHRLGRQPIILAFGTRYPNHFHLSKHDDKTAVWFDFSQASFSGIDISQKKNHGILGIFGWGLFLPAGAMVARYLKHKDPLWYYLHAGIQFVGFILGLAAVVLGVQLYRSINADVPAHRGIGIFVLVLSILQIMAFFLRPNKDSKYRRYWNWYHHWFGRMALFFGALNVILGIQYGGAGDDWKIGYGFLLGLTLLVVILLEVFTCLRNRNKSDLPSNFQMNPSDMPSNFQMNPI >OMO64301 pep supercontig:CCACVL1_1.0:contig12842:84321:95453:1 gene:CCACVL1_21879 transcript:OMO64301 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tetratricopeptide-like helical MAPRNSRGKAKGEKKKKEEKVLPVVMDITVHLPDETHVILKGISTDRILDVRRLLSVNTETCNITNFSLSHEVRGPRLKQTVDVSALKPCVLTLHEEDYNEARAVAHVRRILDIVACTTCFGPSATAKDQPKSVDASKNAPVAPEKGSAPGAKKTTATTNKESSPKSSSKDVPVDGEGEMSHSCPKLGTFYEFFSLSHLTPPLQFIRRAAKRQVQEISSDDHLFSLEVKLCNGKLVHVEACRKGFYSVGKQRILCHNLVDLLRQLSRAFDNAYTDLMKAFSERNKFGNLPYGFRANTWLIPPIAAQSPSNFPRLPTEDETWGGNGGGLGRDGKSDLIPWANEFSFIASMPCKTAEERQIRDRKAFLLHSLFVDVAIFRAIKAVKHVMEELNPGSAKNCETLYTERVGDLSIVVMKDVSNASYKVDTKIDGIQAIGADQQNLVERNLLKGITADENTAAHDISTLGVINVRYCGYIAIVKVQGRENEKSSPPAQSIELEQPEGGANALNINSLRLLLHKPIPSELNKPALPSQVLEHEELSASQVSVERLLEESIAKLEEEELERKPFVRWELGACWIQHLQDQNSAEKDKKSSGEKPKNEMKVEGLGTPLRSLKNKKKSDGSIGSGNSNSHPGAVENVAAASTESLLETSLKGDELQLKSKLSEEAFARLKESDTGLHRKSLQELVDLSQKYYTDVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILQAVIAAVHNTEKMALSIASALNLMLGVPKTGELHKSCKFHSLVWKWLQVFLMKRYEWDISNLDFNDVRKFAILRGLCHKVGIELVPRDFDMDSPSPFQPSDVVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALSKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTKKPDASIASKGHLSVSDLLDYINPNHDAKGKDVAGKRRSYLTKVKDKLHPPNATASSEESPKEVEKEASDEETHLTEQEDKPDANQETISLPVQSQAPVVDVTAEAGPNIDNPILSESHTEGDDGWQSVQRPRASASVGRRLKQRRASIGKVFGYQKKNVDADVEFPVVKGTHQNSRYYLLKKRTISHGGYTEQHTMNPSQGSKFGRRIIKAVTYRVKSIPSSTKSSTEISRNGGEVVNSSGESASTFVPNDLRPTKNSIVSLGKSPSYKEVALAPPGSISKMHFRLETDGPDNPDFNLEKHQEEMSESKDSFDQLSTGTENILEEKNESSILDSIDSSKEEIGLVESKEETSSTAGMEDKFSLVVSEKMEGQGLEAGGMPTSTDSPKEELPEKDLSRNFEPYSDSNSTLPGVEEMKDKPSVLNSGIGQGLANKKLSASAAPFNPSVPISRAPPLPMNITLPPPPVPVQAVAPWPVNMPIHPAPPTVLPNPICSSPHHHPYPSPPQTPNMMQSLPFMYPPFTQPVPTSTFPVTSNPFHPSQFPWQCNVNPSVPEFIPGTVWHGHPMEFSVPSPIVEPIADQILEPKMHDEDANSTSAPMLPVDIDTVEEAKKEINISASEAISNPNEVARVGLESPQQNGHFTQCMVDNSVNGPSQYASPNKNAEDSIERKSEGEKTFSILIRGRRNRKQTLRMPISLLNRPYGSQSFKVIYNRVVRGSESPKSTGFYSSESCTASAT >OMO64304 pep supercontig:CCACVL1_1.0:contig12842:107526:107678:1 gene:CCACVL1_21882 transcript:OMO64304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRHMVEFGGENKPGGTTFVLICDCNTIATISINCKSVTFNNSINSASI >OMO64296 pep supercontig:CCACVL1_1.0:contig12842:54717:55064:-1 gene:CCACVL1_21874 transcript:OMO64296 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plant lipid transfer protein/Par allergen MTRFINFLVLLALSTTLAIAEIPLAPSCGEVTMAVAPCLTFLKGAETPSPGCCSGAQKLAKQASTKADREAVCGCLKNSLSKIGSYDPQRVPLIAKKCGVNMNIPPITSKTDCST >OMO64293 pep supercontig:CCACVL1_1.0:contig12842:22892:27207:-1 gene:CCACVL1_21871 transcript:OMO64293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIKLLPQLRLLSPSLPAARFASMKAGPYIRSNGSIPILGRALPFKHKFIGLATRLNGQTGIRSFSTRPRLSSSSEVSHKSRGEVRASKSLIEDEAELSDWVDELRTDSFRGRLTSDDEQRDADRARNRARSRDRERNRGSVKRSRENEFDSFRGSSRNGFRGNSGDSFSRNSRFSKRFVSEFEEEDNDKDEGESYPRRKVHGVRRESKNVDSWKGERNERGLNSRNIGNRSRNERGLNSRNIGNGSRNERGLNSRNIGNGSRNERGLNSRNIGNGSRNERGLNSRNIGNGSRNVGRTSNFRKEDDDDASDFDDDDASDFVEERGRKDTGIWSSLSEEDSDINVEEEEEDDDDDDDGVFRKNAVSALGLEMGVTNSVSPVSSPGKSDSYLSETRFDQFSLSPLSLKAIKDAGYENMTVVQEATLPVILKGKDVMAKAKTGTGKTVAFLLPAIEVVSKLPPVGRDVKLPPIYILVICPTRELAGQAAAEAKKLLKYHPSIGVQVVIGGTRLALEQKRMQANPCQILVATPGRLKDHIENTAGFATKLKGLKVLVLDEADHLLDMGFRKDIERIIAAVPKQRQTLLFSATVPEEVRQICHIALRRDHEFINTVQEGTEETHSQVRQMHMVAPLDNHFPLLYVLLKDHIADNVDYKVLVFCTTAMVTKLVANLLSELNLNIREIHSRKPQSYRTRVSDEFRKSKGLILVTSDVSARGVDYPDVTLVIQVGLPADRQQYIHRLGRTGRKGKEGEGILLLAPWEEYFLSSIKDLPITKAPLPLVDPDTKKKVQRALSNVEMKNKESAYQAWLGYYNSVKNVGKDKYRLVELANEFSRSMGLDNPPAIPKLVLGKMGLKNIPGLRSK >OMO64295 pep supercontig:CCACVL1_1.0:contig12842:47990:53252:-1 gene:CCACVL1_21873 transcript:OMO64295 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane insertase OXA1/ALB3/YidC MAFRRSISTRATLLSRRFNPSISHIPQTNTDEETKPLPPNLSQSQSQSPSQSPIHKLLFHGSYNAINGHGYSSSRERTSSLFPGAIGVGLPSVRFMSTSTGDGSERIEDLDFVAEVVTEKAADALAAAPPVLSEVAVAAADSYLPVAALQHLIDAVHSFSGLNWWASIALTTLLIRGLTVPFLIDQLKASSRLSLLRPRLEELSREIRDKATDPQSLAEGQKQMKALFDEYKVSPFSPLKGLLIQGPIFISFFLAIRNMAEKVPSFKSGGALWFLDLTTPDSLYILPVLTALTFWITVECNMQEGLEGNPIAGTMKKYSRIFAAVSIPLMAAFPKALFCYCFTSNLFSLAYGAGLIKAHFCFSKFTNVSLLPKFGSPQYNAFSSVTLTIASRQHLSSRSTEIKYQMDPSI >OMO64294 pep supercontig:CCACVL1_1.0:contig12842:37456:40809:1 gene:CCACVL1_21872 transcript:OMO64294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLQSTQDIKPVTQASQEPKTEQQNNQTADAPLADSGSVSASSNDGRKVSRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHLLEHQYHMMKYPVPPKVPLAPIQNGIHPMPVNNLPMGYPVLQQPPIPAAGQPHLDTMGISNCHVVNGVPAPSNFQPMRMNSGNDMVMDNNATDVTPAVPPTTAMSSMSDMPVSPTSVASSGNFPFTASDISGMGMDTSALDSAFTTDVASSVGLQLGPYNGAGNSRDSLRSLDQIQWNFSLTDLTADLSNLGDLGALGNYPGSPFLPSDSEILLDSPEQEDIVDEFFVDSVPGQPCPQSDEEKS >OMO64303 pep supercontig:CCACVL1_1.0:contig12842:103987:106933:-1 gene:CCACVL1_21881 transcript:OMO64303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKCLRWRKQTLKPIETKREEGDEEKKRSGQAAAEEEPLSPSACLFHEPNFNVHIIAIMGCKTKIYPDIVKANLGHTLLKHPRFSSLQVVDEKKKGEMKWVRTEVDLERHVIVPELDPNMESPDKFLEDYIFNLTKTSIDKSKPLWDLHLLNLRTSQSEAVGIFRIHHSLGDGTSLMSLLLACTRQMNDPQALPTIPTNKKKDKKINDVWFWRIVLMFWSFFQVFWNSLVDVLIFIATAMVLKDTENPLKGRPGSELTARRIVFKTVSLDDMKLVKNAMNTTINDVALGITQAGLSRYINRIYGGNRNEEDNLPKNLRLRSTLLINIRPSAGIQALADMMEKDAEAKWGNWIGYVLLPFSIAIPDDPLDYVREAKATVDRKKRSLEAIYTFSIAELVLKLFGIKAASAMSHRVITHTTLSFSNMVGPLEEIGFYGHPMAFLAPCSYGQPHALMINFQSYINKMTIVLSVDEGTIPNPHQLCDDIADSLTLIKDAVLTKGLV >OMO58862 pep supercontig:CCACVL1_1.0:contig14101:32565:36371:1 gene:CCACVL1_25305 transcript:OMO58862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESLRRAARAGNVSELYSLIREDGNILRRIDEVEFIDTPLHIAVEEGCIDFAMEIMSLKPSFARKLNPDGLSLLHLAVKKGHEEMVRFMEVDKDLIRVKGKKAKTPLHYVSKQGNSDGMLNKFLKAYPECIQDVTTKNQTALHIAVKHNRLGVLQVLTRMLSKKNYCREVVNRKDKDGNTALHIAATNNQPQMLKLLLKCEADKHATNQVGSKALDIAQQLNHEESISILQYSCFSPRVSTLKYKLQKKILKYVNKPSSIIFDDMDSISGEDRNALLVVLGLLLTATYQATLSPPGGVWQDAYILERIDQVPFVDTPFHIAAFEGHINFVMEMMNMKPSFARKLNQDGFSPMHLALKNEQTKLVIRLLKTDKDLARVKGREGMTPFHFVTKSGNLDLLVEFLETCPDCIEDVTVNGDSALHLALKSDNIKALDVLVEWLRRNRHKNGDYWEREIVNLKDNDDNTVLHIAAKRKQYELDVFFWPKQFRCFAS >OMO58860 pep supercontig:CCACVL1_1.0:contig14101:27794:27865:-1 gene:CCACVL1_25303 transcript:OMO58860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEIRAAQLTKPLGAMAAVAAP >OMO58863 pep supercontig:CCACVL1_1.0:contig14101:37173:39657:-1 gene:CCACVL1_25306 transcript:OMO58863 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, CCHC-type MRMTERKGRLGSSHDVKKIGIQRVKVFQTQNIGKFPGNITVVPGTGSAWGILLSSPAELVMFAAVDELFEPLSPPCQTPPGGLNEDCGFELHLDFRLRLEHSWFSEPSQPITIKLDGTNYNHWSYLMRNFLKGKGLWKYVTGDKKCPRPQDGLENFVSEYEEWEINNSKILTWIANCVIPSISMLLGRFNTAKEVWDFLEKRYVQTDLARRYKLEMDLAALKQKRGQSISDFHSEMQVIWDQLAAMEPKWTVDAELYYQFREASRLVQFLMALQDEFESVRASILHRVPRPSVDAVISELMAEETRRGVLNQHKVGDDAVLVAAARPKSLGVNSFGTSQSRRDFSKIECYYCHELGHTKYNCPKLKKKTDSSKMGRVAAVTSSAETLDADTHSTADQTQEIIRQVISSMSNNSMGNNSIAASALSTSPGISSKDWIIDSGAFNHMTFDSSILESSKPISYSSSIHTANGSRLLDREGCWDRP >OMO58859 pep supercontig:CCACVL1_1.0:contig14101:18468:22161:1 gene:CCACVL1_25302 transcript:OMO58859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRTFQVVELLSEECFDVVDVNTKNAEDLTALEFISKCDTNDESSDENKLQVEVKSRSAIIRMLQRRDSKVGHPIYKANHPFLVEGH >OMO58861 pep supercontig:CCACVL1_1.0:contig14101:30690:31721:1 gene:CCACVL1_25304 transcript:OMO58861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQDSWLRFTNKTVCRTYGELDGNCDDLATVRWQGFSSLRMTWQLESIAQRE >OMP03836 pep supercontig:CCACVL1_1.0:contig05943:3520:3819:-1 gene:CCACVL1_02250 transcript:OMP03836 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTPase superfamily MLIGNKSDLRHLVAVSTEDGKSFAERESLYFMETSALEATNVENAFTEVLTQIYRIVSKRAVETGGDAAAASSSVPSRGETINVKDDGSMLKRIGCCSN >OMP03835 pep supercontig:CCACVL1_1.0:contig05943:932:2410:1 gene:CCACVL1_02249 transcript:OMP03835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEERLFEAARAGNIEVLQHLLAKNPLILTDVALSGSRETLLHVAVKAGQLDFAHQIIQHKPEFAGEMNMDGYRPLDIAVITGNTDIVRRLVKIEDQFQIYRLPGKDQRTPLHYAAANGRVEIINEVISTCPDSITDVTVYGETTLHLAVKNNQFQAFFVLINWLEKLNQRALINFKDCDGNSVLHLATARRQYNSLELLVGEKNIFSGVIEVNAKNSKGLTAMDILDIVMENPNDMQIRKILQNAAEKSPSSSNNYIAKEKQNEETLLKDWFKYFKFQWQRDSPSDARNVLLVVAALIATVSFQAGVSPPSGLADMKSGNINKSNISPPPRPPPGQYGSGGAIGPATTGLLAIISAVSGSTQAKAYLFLFGNSIALAASLSIIMYLTGGYPFQRELLISIFAMMFTYGYSVHGLTQKDGVANAIVAVAFVIPYACRWIPRWMNKAWKWWRGPPTSQSNQTNRV >OMO96712 pep supercontig:CCACVL1_1.0:contig07395:14645:17998:1 gene:CCACVL1_04815 transcript:OMO96712 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGLQAQPMPASLHSSAVEKKLENLPAMVAGVWSDDSNLQLESTTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALLPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCGRLVELLLHQSPSVLIPALRTVGNIVTGDDMQTQCIINHQALPCLLNLLTNNFKKSIKKEACWTISNITAGNKEQIQAVIEANIIAPLVHLLQNAEFDIKKEAAWAISNATSGGTHDQIKFLVSQGCIKPLCDLLNCPDPRIVTVCLEGLENILKVGEVDKNMGITGGVNLYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKVLETYWLEEEDETMPTGDASQTGFHFGGGEPVPSGGFNFS >OMO96713 pep supercontig:CCACVL1_1.0:contig07395:21265:22109:1 gene:CCACVL1_04816 transcript:OMO96713 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zinc finger, GATA-type MGVMDLRERKSSLSGDVTMNENKKFCTDCKTTKTPLWRGGPAGPKSLCNACGIRYRKKRRAILGLSKGSEKKKEKSNSSNNNNSSGSTTCSDSTMVTANEGEKKSSGQLNGLSEPVKLRIFALGSEVLLQRSSAEAALSGVVKKQRCQRRRKLGEEEQAAFSLMALSCGSVFA >OMO96711 pep supercontig:CCACVL1_1.0:contig07395:9773:10795:1 gene:CCACVL1_04814 transcript:OMO96711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREGGSRGGSCYYTVLGIRKDASFSDIRAAYRKLALKWHPDRYARNPGVAGEAKRRFQQIQEAYSVLSDESKRSMYDAGLYDPLEEEDEEFCDFMQEMISMMNNVKDEGDSFEDLQRMFAEMVGGDGMSFDVNTDPTETKRARVTASKGNAAKRNSSRC >OMO96714 pep supercontig:CCACVL1_1.0:contig07395:24903:25505:1 gene:CCACVL1_04817 transcript:OMO96714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAAICFSMLQAPRGGIHGLASFYWESFHSLSGSRVNNSSFKLLASKTYGFEGGYSRRWIRRPVTTKTGGRNKNDPITKTSNLVHKILDEKVSASTTLNINKTEICESQQLQYCSIQQMIAEDKDLAGLMTVITYDTETSGLSRKEDRIIEIALQDLSGGENGTFQTLINPGRYVANSHVHGITTKMVCSPDVPRYGIS >OMP08279 pep supercontig:CCACVL1_1.0:contig03902:892:1041:-1 gene:CCACVL1_01136 transcript:OMP08279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQQYHQQVKQQDLGSMTKCHLRARKFITKDGMNGTRMKRYINAMPLE >OMP11825 pep supercontig:CCACVL1_1.0:contig00792:87:608:-1 gene:CCACVL1_00242 transcript:OMP11825 gene_biotype:protein_coding transcript_biotype:protein_coding description:Spc97/Spc98 ESSFVRLALNAQGVESSLISIEKLSAASCSDPADRTFHQTPSLWNRSSSTHALGNILKSIGRLGFLVFLLNKFEDYFKNLNLNGNSYPLGKSHGNSQAAGNQNHGGHEMQEKDGPRYSLVNQAFSVAVGKVLEGYICALDSLYASVNLRHSSENVEVSAGCLSSVVYSEVTLLE >OMO52965 pep supercontig:CCACVL1_1.0:contig15384:4010:6180:1 gene:CCACVL1_28962 transcript:OMO52965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNDPSFIIQSHLPDRYQLFFTDLSHDSQGNLILKKPPCPVEQMFLKDSCNGLLCMRDARERALYICNPFTEQSIEPPKPHLEKYRNNTSSFYLEGIEGSLNWLDTPLNIEHFSHMVDNSTPSFVLQSHLPDNTYQLLFTDLSHDSQGNLIMKKLPSVIKPMYLVDSCNGLLCMRDAKDRIIYIYNPFTRLCIELPEVNCPEQLGHLEFGFHPTTKEYKVVHVVQRYGPNPNSNQSGSIRYSEVHVLTMGSPAWRNLGKIPHGFIRTASKGTFKELTIPEKPIRFGIKVHVGSLNWLDTPLNNYY >OMO52966 pep supercontig:CCACVL1_1.0:contig15384:7616:12310:1 gene:CCACVL1_28963 transcript:OMO52966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNDPSFILQRHPLDRQQLFYTDLSHDRQGNLITKKPPIPIMEMSLVDSCNGMLCMSDARGQAIYICNPFTRQSMELPKIFNFPAKLERLEFFFHSATKEYKLLHTRPLRKGEARAPTNVDPSTLVYSEVHVMTIGSPAWRNLGTIPHRFIWHSSKVIVNGRLHWLSRPNRTSPTRFLISFDLETEQFKEGKLIQETEQPTSNMMDRLPEDIILGILSKLPAISLIQSMLVCRAWRNLIQDPLLATKHFSNMVNKGSPTFIFQSNRPESSYQLFFVDFSPQSQGKVILKKLPYSSMSWYLVDSCNALLCLRDFRGIYICNPFTRRYLELPKLTNNPMEAGHIGFSFHQAANEYKVLQIVFRRSLIIRRRNVATYDLVESEVHVLTIGNPAWRNLGTMPYDFTRPMLKAMVNGKLHCLTKPNRQITASLLVSFDLANEQFQEHPLLATKHFSHMVNKGTPTFIFQSSRPELSYRLCFVDFSYHNEGNVIFKKLPDSFKSRYLVDSCNGLLCMRDSQGLYICNPFTRRYLELPKLIDYPMAVGHIGFGFHQTTNEYKVIKIISRRPLLRRRYCNISATSMSVFSTELADAESYGERQTSLALKA >OMO52967 pep supercontig:CCACVL1_1.0:contig15384:15211:15740:-1 gene:CCACVL1_28964 transcript:OMO52967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPETTKTDIPHIYNVSFDAMTHIFSLLPLNAKFSSNCVCKSWHDILMEPTFIKFNPNPSFVSRYSAVGSLAYGLWVGNSCKGFVCLCDKRTGAPVAVWNPNHGEIVYHVPKVTSNFVLRLNLLGSTGIGFQSKTNEYKVVWIEPDSWEQV >OMO52964 pep supercontig:CCACVL1_1.0:contig15384:1326:2543:1 gene:CCACVL1_28961 transcript:OMO52964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLTMKNSKHQKKGPAASNMMEQLPHKVILHILSRLPLISLLQSKLICRAWQSSIQDPLLITKHFLHTAEADNDPSFILQSNCPIPDQLYFIDFSSHTKGNQVLSKKLPNFAMFMCLADSCNGLLCMYNTSQSIYICNPFTRLYIELPKLTKYAKPGQLWLGFDPISKVYKSEEILLNYNGRALVLYDPHKGTLKELTFPEMPNRFQIVEHIGTLNWLEIPS >OMO79961 pep supercontig:CCACVL1_1.0:contig10359:936:1103:1 gene:CCACVL1_13264 transcript:OMO79961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAEWGPLPTKVQADIGRHGRRRARLRLTAHPNFSRPADVAPTSIIVLWTSTSAPD >OMO79963 pep supercontig:CCACVL1_1.0:contig10359:4795:7064:-1 gene:CCACVL1_13266 transcript:OMO79963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKDQKKMMNIVEHSAWDKLPSDILESIFERLGLVDCIRFNLVCKGWTTRSNTRNLITPRLAWLMLPQDDGDKFLRFFDFSEGQVLNLKLPKSAQGGWFCGCSNGWLFLAKGSDNSLRFFLFDPITWVQIPLPLPPLPIKTTPNLQHIYSFTVRRSNWHDGACIFSQVVASSPSPDASQCVVAATFLDDPCLVAICRPQDERWTIFDLEKLPRNRGIYAADLLFSGSGELYVCTSKIGNSGYKKYQDDGFSTDTSQNHSITLAGGYGVKLKLIPSILPECLLRIHRFNGSCDRWIKEGFFKTYLVEDSDGEILIVNGIADSLRFNKTGHLNWENLEERLVLNYFRIARFEVLKMDRRSFMWGTKLTSLGNQSLFIGGGGGSLCVQQGKFNEWDKNCIYFVEDHDYSEEIHFCNSVRPDDNVHVSVKNRLGSGKNLTLHCQSKDNDLGEQIVEDGSEFGWDFSVNVWGSTLFYCDMGWVDVQDFSFVAYYFQRDYARCESQCSWLVSGEGMYGLNGETGFWEFAYNWSS >OMO79968 pep supercontig:CCACVL1_1.0:contig10359:27887:29206:1 gene:CCACVL1_13271 transcript:OMO79968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQPSAGGNMLQGWAYCCPDLQGSMQLRPEEKTCMLQLPSASGLVENESQESLIIEDDVTNYAEQADQHTGANSGFPAISAMLLKQTNENEVAGPENPVTPWDCDQISDAQPLYDLTHQSLSFPESSEPDESQEQEMAERIYQLQKQRLKLKCKLLEFEKQRYKWQHDSCELDLEVDNMRLQNKCLRLGNDFLAMRLKRRKMDLDRS >OMO79965 pep supercontig:CCACVL1_1.0:contig10359:21545:21745:1 gene:CCACVL1_13268 transcript:OMO79965 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative flavin-containing monooxygenase 1 isoform 2 protein MEEDVAKWDEYIKEYTGQNYPRLCVGVLHIWYNDQFCKDMGLNPKSKKGFFAAIFWIYRIRLFSTF >OMO79967 pep supercontig:CCACVL1_1.0:contig10359:25676:27437:1 gene:CCACVL1_13270 transcript:OMO79967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNFTSDGNVIPDGQAFALSDSQDPLQFHQCLNPQIFQQGPQFQNISSFRLENMKEIEKPYEGVKKLKSDDDGMSFDEGGDGFNGGKEKSDSLWQRVKWTEPMVKLLINAVSYVEKDASSDCLGGMRRKPSMLQKIGKWRCVSKLMVRKGFHVSPQQCEDKFNDLNKRYKRLIDILGRGISCKVVKNPKLLDTMDVSDKAKEEVKKILNSKNLFYEEMCSYHNGNRLHLPHDMEVQRSLQWTIRSRDNYKPNLLRQHEDRDEYDKKRQDTGAGEQTEETKGDRGTFRFEELSSNRSKQMNVMKDEIVGNPLTTKECGIKSGAAKQDDRNNLNYGHPKDSSADGLQEQWMAFRLLQLKEQKMQIQVQKLALEMQRYKWKRINWKKDKDLDKMRLDNHRMKLENEWLAFELKPDKSL >OMO79970 pep supercontig:CCACVL1_1.0:contig10359:32108:32209:-1 gene:CCACVL1_13273 transcript:OMO79970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTRKISCSSSGTKPAGGGTSKRVDAITRMFALS >OMO79964 pep supercontig:CCACVL1_1.0:contig10359:8315:10529:1 gene:CCACVL1_13267 transcript:OMO79964 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-1,6-bisphosphatase class 1/Sedoheputulose-1,7-bisphosphatase MDHASDAQRTDLMTITRFVLNEQSKYPESRGDFTILLNNIVLGCKFVCSAVSKAGLAKLIGLAGETNVQGEQQKKLDVLSNEVFVKALISSGRTCILVSEEDEKAIFVEPSKRGRYIVVFDPLDGSSNIDCGVSIGTIFGIYMVKHDQNPTLDDVLQPGRNLVAAGYCMYGSSCTLVLSTGSGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDEPTTKFVQNCKFPTDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSYLMEQAGGQAFTGKQRALDLVPEKIHERSPVFLGSYDDIEEIKALYAATNGENNA >OMO79962 pep supercontig:CCACVL1_1.0:contig10359:2385:4087:1 gene:CCACVL1_13265 transcript:OMO79962 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein MADEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSAIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >OMO79969 pep supercontig:CCACVL1_1.0:contig10359:30152:30819:1 gene:CCACVL1_13272 transcript:OMO79969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACASVPKAMREEAAAPLPEPVNDESVQAAEQKPAEELNVEKKEHEVDESKQPSLGSLLEEPEKTEKKEEVVEAKVEVAAEANKEEVAEAAETKKEETKKEEPIVEPPKTEAAVTAEPPKTEAAATAEPPKPEAVVAKVEAAKPEAAATEEPAKTEAVVAKVEPVKTEAAVAAPEVTKEEEEKKTEAKESQ >OMO79966 pep supercontig:CCACVL1_1.0:contig10359:23537:24919:-1 gene:CCACVL1_13269 transcript:OMO79966 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diphthamide synthesis, DPH1/DPH2 MDPLVEKIDQNLPEGKQETPLVLQRNAGNSKPAPKRFVKNQIPDSILNDASLNSAISLLPSNYNFEIHKCVWRVRSTGAKRVALQFPEGLLMYSLVISDILTSFADVTHCFILGDVTYGACCVDDLSATALGADLLIHYGHSCLVPIDSTKIPCLYVFVEIKINVDGLINTIEHNLASSTESVILAGTIQFSSAIRSAKPELEKRGFRVLIPQSKPLSAGEVLGCTAPKIGSLPNANESVVVFVADGRFHLEAFMIANPGIKAFRYDPYLGKLFLEEYDHKGMRETRRRAIEKAKSARSWGIVLGTLGRQGNPRVLERLEGKMREKGFDYTVILMSEISPTRIALFEDAVDAWIQIACPRLSIDWGDAFVKPLLTTFEAEIALGEISGWWEREGCGSCGNGLSCGKTESCCGRRGGEDFRGDYPMDYYAQDGGEWNSSYVKKMARPMRRNIAPSSGTVAL >OMP01687 pep supercontig:CCACVL1_1.0:contig06388:1207:1395:-1 gene:CCACVL1_03028 transcript:OMP01687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSSGAKYGTYSLMTLRRKTEAPRETGFGSRARASDSVESHSRESVLRTWGISTSIVFLIT >OMP01689 pep supercontig:CCACVL1_1.0:contig06388:6169:10680:1 gene:CCACVL1_03030 transcript:OMP01689 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosynthetic reaction centre, L/M MIQRVIAKKWTVGVKRGKFPSTGSLLLNDSNFFLYAIGRASLHTNKLKSDLAVPDPQALTLREEWNGTSQLGSYLEGCNFLTAAVSTPANSLSHSLLLLWGPEAQGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMEVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAEKDQPHENLIFPEEVDAQPSIQVRWSKTSYNGIPISYGSSTRHSQDGATGIAKEPLKCVKTRVNGSGASVLNGMVLTFIQWQPVEYEGLTMICFKCGRSGHRECPYVTKKVTPVNENGAPPLEKDKVAAETGKETEDQIQKDFGPWMIAQNRRQRRTPKSTGIKEQQGPGIKGSRYDILSVLEVGEDNGKVPFEIPANSGVNIQPESSHVRQGKEGVGQVGPAQEMSKQQKPKQTSSKPRSQPNAGNQPSKPSNAGKDSTRERNFELRAKLKGKLDNPQKGNGVSTKRGN >OMP01688 pep supercontig:CCACVL1_1.0:contig06388:4446:4583:-1 gene:CCACVL1_03029 transcript:OMP01688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKWQKRARFLRQIGDRRCGTGLEHGLLLALLRTVRLTYKAQLLL >OMP06917 pep supercontig:CCACVL1_1.0:contig04743:47:217:-1 gene:CCACVL1_01405 transcript:OMP06917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LILRIILLKLILRIILLKLILRIINDPLKLILRIILLKLILRIILLKLILRIIMIR >OMO50355 pep supercontig:CCACVL1_1.0:contig16204:6283:6489:1 gene:CCACVL1_30490 transcript:OMO50355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPGWRGKRSKRIRDGLTFENSESLNAVGFTRGAWFLWNDSNAEVDMLCKGCYEMHADFEPIEEDNDDE >OMO50357 pep supercontig:CCACVL1_1.0:contig16204:22733:24450:1 gene:CCACVL1_30492 transcript:OMO50357 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor, K-box MKQESIDFALNRSPNLSNEIDRIKKENDSMQIELRHLRGEDITSLQYKELMAIEDALENGLASVRARQMDVVDMARKNTKMLEEDNKQLNFFLNQQHIALENAREQMDHGYQRGRDYNSQMPFAFRVQPMQPNLQERM >OMO50356 pep supercontig:CCACVL1_1.0:contig16204:7973:10968:1 gene:CCACVL1_30491 transcript:OMO50356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTMSTATLFFSPPFFTPKFTSSLFISNSLLPFPSLKPKPLHSPLTPSLKPSFLVRVDDGDADGGGPDEYDMDEEEVEELDNKKDFDVEYDPLAAASGATAASTTIDVDISISQSKSFVSTQGWDSDMVVDYRINEEEFHKISLLDCDFFIRKPPDPDNDVYDFREMYVTPPDTDVYSIPKVLAPMPEKYIRCAKSDYGCYNVTEPPIDAPRDPLYKTEREVLKVFLTKHYRNRRLGDPEFVLDFEEIYVIDSKTKSITRAKVLVTVPGGKNRDRKSDLLVIRDNGNSFKIIHASEKDDPTTVIEREEWVKTRQDMERHLRKLRDFSVSNWF >OMP11480 pep supercontig:CCACVL1_1.0:contig01255:5580:7934:-1 gene:CCACVL1_00499 transcript:OMP11480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVPNPDLPTLSCHTACLLQESLKCKDLFAGKSIHGRIVKSGLHFSVFLMNNLMNFYSKTGSFSDAHSVFDEMPVKTIHSWNTLLSAYSKQGKMAEANEIFDRIPNPDSVSWRTLIMGYNQMGRFKSAIKVFSEMIKERVTPSEYTMTSILASCAAIEALYIGRKAHSFVVKFGLSNYVAVTNSLLNMYAKSGDPMMTMVIFDRMGYRDTSSLNVMVSLHMQYGQVDLAREKFERMNERDIVTWNSMIAGYNQHGYDLDALATFGNMLRDSLLFPDKFTFINALSACANLEMLNVGKQIHARIVSMQFDINRPVGNALISMYAKSGGVHIAQKIVEHAGMSNLDIIAFTSLLDGYIKLGDIEPARQIFESLRDRDVVAWTAMIVGYFQNGLNTDALKLFRLMVRDGPKPNSFTLAAMLSVSSSLTSLSHGKQIHAIAIRTGQASSVSVNNALITMYARTGSINYARQVFSRIHRFRDTVSWTSMIMALAQHGFGEEALELFEKLLAAGIKPDHITYVGVLSACTHVGLVEQGRRYYNMMKNVHKIEPTLSHYSLMVDLLGRAGLVQEAYDFIKKMPIEPDVITWGSLLSSCKVYKNVELGRVAAERLLHIDPENSGAYSALANLYAVCGKWEDAAKTRKLMKDGGVKKEQGISWVQIKNKVHVFGADDVLHEQKDEVYKKISKIWEDIKKMGFVPDTAAVMHDLEEEVKEQMLRHHSEKLAIAFALMNTPENTTLRIMKNLRVCNDCHSAIKFISKLSGREIIVRDTTRFHHFKDGSCSCHDYW >OMP11479 pep supercontig:CCACVL1_1.0:contig01255:3262:4621:1 gene:CCACVL1_00498 transcript:OMP11479 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase inhibitor MEVASTRISETLSFISQFQVNETDPTTQFYLKACVRKYIAGISLIEVNAIPAFDKKDFEEAYNDVALVRQLVAESECDDSRAVFIWEVCNLKQSCQQAEDALSQDMEKLQENVSVTVAGQPGDGSYIPQADHLRQETVQQMSRILTTRQAARGLLALGEYFQRLRALSTLWATRPREPA >OMO99203 pep supercontig:CCACVL1_1.0:contig06936:91:2364:1 gene:CCACVL1_03891 transcript:OMO99203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTRLRCGGFIFALRLNHCMSDAAGLTQFMSAVGEMARGMLAPSIPPVWERHLLAARDPPRVTCKHREYEEVEGTIVPFEDMAHRSFFFGPTEVSKLRKLVPQHLLKCSTFELLTACLWRCRTIAIQANPKEEVRIICIVNARSKYNPPLPSGYYGNAFAFPVAVAEAGKLCQNPLGYALELVKQAKADVTEEYMKSLADLMVIKGRPHFTVVRSYLVSDVTRAGFGDVDFGWGKAVYGGPAKGGVGAIPGLASFLIPFKNKKGEAGIVLPITLPAQAMEIFVKELDGMLKETPTGSERKSVFISSSMQNWARCDRRFETESFRWRLSLQSVYVSNLSDGVSRKDLWECFNEFGVVDDVLVLPSRRPYAVRSAFVRYRFEDKMRKALSRGNDRRLDGKSMLVRKANVRKVDRESFKRPFQYLSSGEKYDFKTNRINRTSRAADSVIQDRGREQMNLFGRDRYTSAIAYVSNQDVVNGMTGPQNALGNEIVAPIKPRQTHTFRPKERDDDIYLPKHVDGNVN >OMO99204 pep supercontig:CCACVL1_1.0:contig06936:2944:4535:1 gene:CCACVL1_03892 transcript:OMO99204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERSESQNVIPFIFNPVPNVQVASERPKNLNEQSALVYLLVAFDA >OMP11171 pep supercontig:CCACVL1_1.0:contig01505:555:626:1 gene:CCACVL1_00643 transcript:OMP11171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKDVRVTIIFGMYQLCSKRR >OMO67411 pep supercontig:CCACVL1_1.0:contig12438:9461:21063:-1 gene:CCACVL1_20536 transcript:OMO67411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKWRKAKLALGLNMCLYVPHQKLEDSSPSSSSSTSIKQQHHNHHHDAANVPSRFSSDAVPLSPVSPSGNECRATTPTPSSSGLRLSKSNPKSSKLQSTHIALQTVSVIFSPEWHFHLQRTCSICLTAMKPGQGHAIFTAECSHSFHFHCITSNVKHGNQICPVCRAKWKEIPFQSPASDLPNGRSRINPVDWPRDDAWMTVVRRLPSPRLDATRQISSLFHASEPGTFDDDEVIEQQHETTEGNVSARDAFKNNSIGAIEVKTYPEVSAVPRATSHNNFAILIHLKAPHRSGGQNSGDQTMFSPLAQNSRAPIDLVTVLDVSGSMAGTKIALLKRAMGFVIQHLGPSDRLSVIAFSSTARRLFPLRQMTETGRQEALQAVNSLTSNGGTNIAEGLRKGAKVLVDRKWKNPVGSIILLSDGQDTYTVTSPNGAHSRTDYKSLLPISIHRDGGAGLHIPVHAFGFGADHDAASMHSISEISGGTFSFIEAEGVIQDAFAQCIGGLLSVVVQEACVKIDCAHPNLLITSIKAGSYRTSMTADSRAGSIIVGDLYAEEERDFLVTVNVPVDESSDETSLLKVRCIYKDPISKEMVSLEEANEVKIQRPTIMGQPVVSMEVDRQRNRLRAAEAMAEARAAAEHGDLTGAVSLLESCRRALSETICARTGDRLCVALCAELKEMQERMANRRVYESSGRAYVLSGLSSHSWQRATARGDSTDSTSLVQAYQTPSMTDMVTRSQTMVFGTPPQRKLRQAQSFPARPQPSFSLSLPSSILRGNKFSELGFVAREIGIKMKLEVELALACVISLIWIQQGLCVADELSDTSGISNWECTCSALNPGNQTLFKSNCSRSCDCSPVEPSGDRWRCLCATDGFPKVAGSNHDTTCFTACNCTAGSFPEAPASRKHYSNRVVVIVLLLCVVLTTLAFLASVTCYFYRKDKCAKCPIQPPIFSSDKETSCNSATNLISHKSSLVSETKVNISSHTKPIAGCFQKASFLCRSKTETVLGTICQFAYSELEIATNKFSNSNLIGLGGSSYVYRGQLKDGRIVAVKRLKIQGGSDADSIFSTEVELLSRLHHCHVVPLLGYCSEFSGKHAERLLVFEYMPHGNLRDCLDGALGESMTWETRVAIAIGAARGLEYLHEAAAPRILHRDVKSTNILLDKNWRAKITDLGLAKRLRADGLPSCSSSPARMQGTFGYFAPEYAIVGKASLMSDVFSFGVVLLELITGRQPIHKSNNKDESLVIWATPRLQDSTRVISELPDPRLKGNFPEEEMQIMAYLAKECLLLDPDARPTMSEVVQILSTIALDKSKRRNIPVNFFQISSNNNMSKESLVERHQIVIEDSYDADDQKPPTSFKLAESSLGTSRATSGEIIISKETCSLPAECMERLVLLSSNARSWRAPEDEAVDLTEPRFESFRVGNVKSP >OMO67408 pep supercontig:CCACVL1_1.0:contig12438:1009:3684:1 gene:CCACVL1_20533 transcript:OMO67408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVAKNKMEEVDEEKKEKKADKVDCVEKKDKTADKVDGREKEEKVVGERKEKKADQVKTEDGTF >OMO67410 pep supercontig:CCACVL1_1.0:contig12438:6030:8705:-1 gene:CCACVL1_20535 transcript:OMO67410 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative 50S ribosomal protein L7/L12 MGRGFSGGVRGGGGGGGDAGGRLRSRGASSSSSIVRDAAAVSVSSRMLGRPSLFHVVSDNFAEEPSYKRKYICRTVVEQFEACGIYNRKSEGKDSVICQRYLQDLNEFCEIPMYLESSSIFGPRPPSSDKIVELAERIAALQPEERNQIGPVLAERLRHPKLQRADTDGMDLGSQGGGGAGASKLEI >OMO67409 pep supercontig:CCACVL1_1.0:contig12438:4883:5130:-1 gene:CCACVL1_20534 transcript:OMO67409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPPPSEPLLAPPPPHEGFLESCLWFLCCCGIFRSCCPPLYESGPPPP >OMO69776 pep supercontig:CCACVL1_1.0:contig11988:4253:4837:-1 gene:CCACVL1_19281 transcript:OMO69776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSQKSKVAAALVHHSFLSGAVDTVCSSGEELESVCRDDGGFGKGVKGLYQQALSTCAPHLV >OMO69777 pep supercontig:CCACVL1_1.0:contig11988:5162:7779:1 gene:CCACVL1_19282 transcript:OMO69777 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase, F1 complex, OSCP/delta subunit MAMAGRIRSTLPLLNRLLRADSSSAHRSTLHPALLCPEISRNYATASPNKDVNVKVPLALFGGTGNYASALYIAAVKKNSLDKVESDLLDIVEASKKSPTFSQFAKDLSVPADTRVKAIKEICGQAKLSDVSNNFLVLLAENGRLRYIDSIAKKFVELTMAHKGIVKAIVTTVIPLSPEEEKELKETLQDTIGQGKQITLEQKIDPSILGGLVVEFGQKVFDMSIKTRAKQMERLLREPANFDTV >OMO69775 pep supercontig:CCACVL1_1.0:contig11988:1428:3212:1 gene:CCACVL1_19280 transcript:OMO69775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAKIDWNRIESRFVEDSVYEHINAPKWVDFLALEHSIDDQAWFCRPDCKHPTTADDFFKTTPPSKLARSANSGGSGSLPLREWSQGDAKLKRRGQLQSCILSKIDTRFNEDSENQNPNFSTPPNHQAKSLKAAIKSSSEKKKPIDQDMSQNDEVNKPSLSARNLFAGSGRDILGHITDFCTELKKLATRARERENEENKGEDQGLVAVKVKEASASAQVLGELDLDVSHKGRKPRLQEKVKASGRRELRHDEAVNIPLALNLDNVKHKREERVLQIRTNPPSPQCFSAPHAPIKTTPSNSKAPRSRLVERGILQELKQQNKEACSSDKAAANVSVNNNIIDGRQARALDVFWFLKPCTLAE >OMP06549 pep supercontig:CCACVL1_1.0:contig04894:5252:6163:1 gene:CCACVL1_01513 transcript:OMP06549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISSLVIPFPLFELQSKWVAGILSGWITLPSQEEMMDDIMAFYSSLEAAGIPKRYTHLMGDSLFEYSNWLATQCGCEEYEEWRKQMFYATAQNRIPRLETHRDEWDDHDLVLEAHEDFVNIDYETNIFGLFLELASGGSLLDLMKDHGGKIPEEHVKFYIQMILKGLVEIHSSERL >OMP00574 pep supercontig:CCACVL1_1.0:contig06655:963:1058:-1 gene:CCACVL1_03331 transcript:OMP00574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKALWFAKSKTIENSTNGHRKARNNKCSE >OMO93104 pep supercontig:CCACVL1_1.0:contig08127:13776:18794:1 gene:CCACVL1_06626 transcript:OMO93104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRGREKKFVEEEGIVGIRLNLKKEYRYLNAG >OMO93105 pep supercontig:CCACVL1_1.0:contig08127:21629:21772:-1 gene:CCACVL1_06627 transcript:OMO93105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGVNQEDDEISQEDDNVEDIDYDDEILDQLEEISSNNNLLKVKHV >OMO70525 pep supercontig:CCACVL1_1.0:contig11814:14353:14699:-1 gene:CCACVL1_18845 transcript:OMO70525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRAIAIGGLRMMVWRQKQEHGLRFLTQKASNRAAVHGVKQPINETIDEEVEQHIKKGKEDKERDRKLVEEKINKSQVKRHQDSNK >OMO70524 pep supercontig:CCACVL1_1.0:contig11814:10050:13814:1 gene:CCACVL1_18844 transcript:OMO70524 gene_biotype:protein_coding transcript_biotype:protein_coding description:Intron-encoded nuclease 2 MPLLDIATAQPSLQSQLIPLRSQTFIHGQVLPNPWKSSQLPTRVNFHVGHLETLSKGGKLQIRAVATTLEPKCLVPKEDGNKESLGGDSSPSSTQLESMKFKVEDEEPDEREKLRRMRISKANKGNTPWNKGRKHSAETLQRIRERTKLAMQNPKVKMKLVNLGHSQSEETRAKIGIGVRMGWERRREKLMVQETCHFEWMNLIAEASRKGYSGEGELQWDSYKIKNEQLTKEWLESVEERKNMPKPKGSKRAPKSPEQRRKIAEAIAAKWADPDYRERVCSGLAKYHGIPAGTERKPKRKPTGGTVSGSTRPKQSPSKRKASDVKYSSASETISPIERIRLRRKNKPLFKDPMASSKLEMIKNIRAQRAAEDSKKTEVVERARLLIAEAEKAAKALEVAAMKSPVARASLLETRKLISEAIQSIESIEGGQVMSDEDGAEPVSKVENITLSEISGLNQAEQKQVNGNQILSLSNEQFNLPDFMRPRIVNGVDHDEELTSLSSNNYSLSTLNFESLINKSDLSKQVDPLEINGVIKHEKSPLLNGTKVQLMDDAVPSKPVAVTKKWVRGKLVEVSEEAS >OMO70526 pep supercontig:CCACVL1_1.0:contig11814:15289:22048:1 gene:CCACVL1_18846 transcript:OMO70526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDSSDEDDDRETLIHQNDAKNLPHQIPTSPRRSTSSRSGFHIEELESQIRRRFKLTFHKRYLFAIILPLLIIPFYFSVDIRSLFSSNISSFKFNTVSDRIKESQLQALYLLNQQQTSLLSLWNHTFVNSNNNISAVQFDDLKASLLNQITLNKHIQQILLSPHKTANSPQNDTLLDPNYAGYSFDRCRKVDQKFSERRTIEWNPKPNKFLFAICLSGQMSNHLICLEKHMFFAAVLNRALVIPSSKFDYQYNRVLDIEHINDCVGKKAVIPFEDFMEAKKNHAHIDKLICYFSSPQPCYVDDEHFKKLKSLGLSMGKLETAWKNEDIKKASPKTVKDVEEKFGTDADVIAIGDVYFANVERDWVLQPGGPIAHKCKTLIEPSKLILLTAERFIQTFLGSNFIALHFRRHGFLKFCNAKQPSCFYPVPQAADCITRMVERANTPVIYLSTDAAESETGLLQSMIVLNGKSIPLVKRPPRNSAEKWDALLYRHGLEDDPQVEAMLDKTICALSSVFIGAPGSTFTEDILRLRKDWGTASLCDEYLCQGEIPNFIAGDESIDPSASESTAANTPSTVKELWKKISSDSKPLNANAELLVDFISNKMNKAWMGLEKAPEGSFKNKLHGLGLRLLARVKPSEILLKSVTKEVSNVQITYPPSLNDRLVRRRLRHIALSGTVIHKKYLYGSVTLLPLTTVFMVLPLPNIPFFWVLFRTYSHWRALQGSEKLLQLVSDHSQAQNPIASNGKGNGSKHGAKNPQGLQWVLEASKELEHLLHEGNENGSLSEHAVSDICKTFSLNKNDVLKYRDLM >OMO70527 pep supercontig:CCACVL1_1.0:contig11814:22666:25179:1 gene:CCACVL1_18847 transcript:OMO70527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAPPTNDMNKIKPLDEDDVAILNRYGITPFTKPITKVEKEIKDITGKIKDISGVKESDTGVVAPSMWDLISDEKMIKEEQSLLVARCTQIINPNTEDAKYMITIDHAGKFVVGLGDRVSPTDIEEGMRIGVDRTKFQIQIPLPPKIDPSVTLMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPERFVNLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRIIGSELVQKYIGEGARMVREIFQLARSKKACIVFFDEVDAIGGARFDDGRGGDNEVQRTMLEIVNQLDGFDARGNIEVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRSMNCERGIRFELLARLCPNATGAEIRSVCTEAGMFAIRERRKTVTEKDFLDALNKVIKGYQKFSATSKYMIYN >OMO95674 pep supercontig:CCACVL1_1.0:contig07627:13055:15482:-1 gene:CCACVL1_05314 transcript:OMO95674 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-lactamase-like protein MLRINALRFCLIKSSPLLNGCNLSPQTLAKTPLSFPKPGTVIKFSAHVFSGAPKSYSTSTTGTGRKLLFRQLFEKESSTYTYLLADVSHSQKPALLIDPVDKTVDRDLSLVKELGLKLIYALNTHVHADHVTGTGLLKTKVPGVKSIISKASNSKADILVEAGDKIYFGDLFLEVRATPGHTFGCITYVTGDDSDQPQPRMAFTGDALMIRGCGRTDFQGGSSSQLYDSVHSQIFTLPEDTLVYPAHDYRGFTVSTMGEEKLYNPRLAKDKFHLPTTLQETFEKIMENLNLPYPKMIDVALPANMVCGLQDPSAKPVQAASN >OMO95675 pep supercontig:CCACVL1_1.0:contig07627:16661:23315:1 gene:CCACVL1_05315 transcript:OMO95675 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial Fmu (Sun)/eukaryotic nucleolar NOL1/Nop2p MDASSERYSYNPILRWNPEVEDYFIKAYGSLHFAQISQALTRPSCYSCIRVNTLKSTSDAVIEKLQKIMSNSGSENDVEDVNFKGTTTSHSIDSDMAEKESSSRNGSISKCQIPGLEYVVFVKGSGPHAIDYGYVPDKPPKEVLVSRKCAEAVLRGAQVYVPGVMACSSHVEEGDIVAVSVAVEQPAPDGGWGLGITRGTVLQGVETDPFYFERNGLYIGQGKTVLSRAGIFRASQGIAVDMNNRVFKLPSFYDVLEGEIFLQNLPSIVTAHALDPQKGERILDMCAAPGGKTTAIAILMKDEGEVIAADRSHNKVMDIQKLAAELGLTCITTYKLDALKSVCRRNESKDMTTCCSNKDDNNIVIQSSDLRPPVNGSSSNTTPGLNADKNCKENVSNDKANERTYVSKADIRKNMRRMRNGPGRNQNLGGRAENSKGFLPNSFDRVLLDAPCSALGLRPRLFAGEETIETLRNHGKYQRRMFDQAVQLVRPGGVLVYSTCTINPGENEALVRYALDKYKFLSLAPQHPRIGGPGLVGSCQFPDGYVEEWLRPGEEELVQRFDPWSPLDTIGFFIAKFVVGSKDT >OMO55303 pep supercontig:CCACVL1_1.0:contig14730:13485:18148:-1 gene:CCACVL1_27318 transcript:OMO55303 gene_biotype:protein_coding transcript_biotype:protein_coding description:Integrase, catalytic core MCDASDVALGVVLGQRKDKKLHVIYYASKMLNDAQLNYATTEKEFLAVVYAFDKFRSYLVGSKAIVYTDHSALKYLISKKDAKPRLIRWILLLQEFDLEIRDKKSVENVVADHLSRLEHEEILDSKPIDDNFPDETIWAMQETQAPWYADIVNYLVSNIVPHNLNHHQRKKIFAELKYYMWDEPLLFRRCADEMVRRCVPEEEMAQILNHCHSLPCGGHHGADKTAAKVLQCGFFWPTLFKDARTFVLSCDRCQRTGTIGRRHEMPQKGILEVELFDLWGIDFMGPFPQSHGNVYILVVVEYVSKWVEAAALPNNTGASVVKFIKKNIFTRFGVPRAILSDNGTHFQNHHFKALMAKYGCHFKTGTTYHPQTSGQVEVSNREIKQILEKTVNSSRKDWSLKLDDALWAYRTAFKTPLGMSPYRLVFGKSCHLPVELEHKAYWAIKTLNYDFKAAGERRLLELNELDEIRRESYENARIYKERTKAWHDKHILRREFKVGKKVLLFNSRLKLFSGKLKSKWSGPFVVTKVHPYGAIEIQGEEGRPFVENEEWFIANSHSKVVNEKVLTDDIENLFGTRTTFAKFGWEPVLDVEGEVALEHVKEFYANIEDKESKNNPLIRSWVRGVKIEINAEFIANFLKVKDKGPPMRVHNKDVKSEVTWLRSNAMLSFGVNLIKKFGSQVIPTDRFEVKHRVIPYILVHNVMPKSSSSEEVRVADLYIMDKMINGFVDYGEKGIPLGPIIFNCIRKVVSSKREDKNFVFLDLITRILKHNKVPMRTHDVIKEWHEFGTTSFPGFGFKQRADGTWYNPTREKDPQDPQSPQEPQAPQGQQPTSVKDMLREFIGEMREWKQVVNRDMIAIRQDITTLHHDVTKMKQKVDSVLVFHVGNASNLQPGGAQ >OMO64066 pep supercontig:CCACVL1_1.0:contig12868:12562:12678:1 gene:CCACVL1_22071 transcript:OMO64066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSSFAYLPPLVKFDFSSKILEASLGFSGGNLGRKVG >OMO64068 pep supercontig:CCACVL1_1.0:contig12868:21377:23964:1 gene:CCACVL1_22073 transcript:OMO64068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSIAPTSGLRQSSGNTVGVDRLPDEMNDMKIRDDKEVEATIVDGNGTETGHIIVTTIGGKNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNIVSLKHCFFSTTDKDELYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYFYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTAVEALIHPFFDELRDPNTRLPNGRFLPPLFNFKPNELKGVPVDMLVKLIPEHARKQCAFLGL >OMO64069 pep supercontig:CCACVL1_1.0:contig12868:26976:28914:1 gene:CCACVL1_22074 transcript:OMO64069 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycoside hydrolase, family 28 MSFVFLFLSTQARWHHHHAKHKHGHHHKVSEISAPPDGAPEPSTPLVPEPSGPVAPEPSSPVAPEPTSPFAPEPSMPPDHDGNASDSIGVFDVRKFGAVGDGVTDDTEAFKMAWDSACQVNNSAVIHVPYGFSFMIQSTIFTGPCQGELVLQVDGTLMPPDGPEQWPKNNSKRQWLVFYRINGMSLQGGGLIDGRGQKWWDLPCKPHKGMNRTALSGPCDSPIAIRFFMSSNLTVQGLKIKDSPQFHFRFDGCQNVHVESLHITAPALSPNTDGIHIENTNNVGIYNSVISNGDDCISIGSGCFDVDIKNLTCGPGHGISIGSLGNHNSRACVTNVTVRDSVIKVSDNGVRIKTWQGGSGAVSGITFSNIHMESVRNPIIIDQFYCLSKNCDNQTSAVYVSDITYESIKGTYDIRSPPVHFGCSDSVPCTNITLSDVELLPAQGDLVLDPFCWNAYGELETLTIPPVSCLMEGIPRSILDNKDMGYC >OMO64071 pep supercontig:CCACVL1_1.0:contig12868:40511:40882:1 gene:CCACVL1_22076 transcript:OMO64071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEKVIRRACNGEDDELEQEDQKMEQFFALIRNFQEARNKRKHELRQKEEKAEIKKKQNKMKRADVDHDEQSSWVPTFEWTDFTEEIEFRRPPIIFPSPYNNKQGKKIEAEDDHGLDLKLTL >OMO64063 pep supercontig:CCACVL1_1.0:contig12868:1582:1671:-1 gene:CCACVL1_22068 transcript:OMO64063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDEDEEKEENVEEIWRKRLKIEICEHV >OMO64070 pep supercontig:CCACVL1_1.0:contig12868:35053:35499:1 gene:CCACVL1_22075 transcript:OMO64070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTTASKKIKRKVDLDLHDHHDDEEEKMEEFYALIKNIRESRDRLMINRIPDDQILTKNKNKEAAADDHDESNGKNKRKALEEEEEKQVVVWKPSFQREDFIADQAHHPYALSIATSTASQTKVGITTSQDQKEEVKDQGLDLTLSL >OMO64067 pep supercontig:CCACVL1_1.0:contig12868:14955:16421:-1 gene:CCACVL1_22072 transcript:OMO64067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWTSVWELDSDLDSVTEDAYSNDSMLHDVVDLPNRKLTIGKEMVMKLPAAA >OMO64072 pep supercontig:CCACVL1_1.0:contig12868:44211:44567:1 gene:CCACVL1_22077 transcript:OMO64072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNTKENSTPPALLVNDEEEEEERINAFFSLVRNIRDAQSRMLIGSQDGKDKDIDKGKEKSTWTPSFKLEDFAHHHHHAHLPNHTVTTALPSSSNTQQQNPKTDHQERPELDLNLSL >OMO64073 pep supercontig:CCACVL1_1.0:contig12868:46572:50146:-1 gene:CCACVL1_22078 transcript:OMO64073 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bicarbonate transporter, eukaryotic MEETFVPFEGIKNDLKGRLRCYKQDWTGGFRAGFRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFVKERPDLGRDMFLAWSGWVCVWTAGLLFLLSILGACSIINRFTRVAGELFGLLIAMLFMQQAIKGLVEEFRIPEHENPKLTEYLPSWRFANGMFALVLSFGLLLTALRSRKARSWRYGSGWLRSFVADYGVPLMVLVWTGVSYIPAGDVPKGIPRRLFSPNPWSPGAYENWTVIKDMLNVPVVYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSCYHYDLLLLGFLTLLCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARQSIKKNASLGQLYGNMQEVYHQMQTPLVHQEPSGLNELKESTVQAATCTGHIDAPIDETLFDIEKEIDDLLPVEVKEQRVSNLLQATMVGGCVAAMPILKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEQQHATFVETVPFKTIAAFTIFQTAYLLVCFGLTWVPIAGVMFPLMIMLLVPVRQYFLPKFFKGAHLYDLDAAEYEEAPALPFNLATETELGHGASNAGDAEILDEVITRSRGEFRHTCSPKITSSTATPANDPKSLRSPRHSHSYSPRVSELKGEISPRASHSPRAGEPKLSGLGRSPLNPAST >OMO64065 pep supercontig:CCACVL1_1.0:contig12868:10241:12116:-1 gene:CCACVL1_22070 transcript:OMO64065 gene_biotype:protein_coding transcript_biotype:protein_coding description:phosphatase 2C (PP2C)-like protein MVAEAEVVCQQSVPVLDVPFFAKGSNIEEIDGIVAISSPVSSPKFAQVRLSESVSADLSTPQLELDVKSLEKVPDPNIESTVLQFVPSIRSGSFADIGPRRYMEDEHIRIDDLSSHLGSLFKFPTPSAFYGVFDGHGGPEAAAYIRKNVLRFFFQDVSFPQTCEVDDVFLEGVENSLRKSFLLADLALADDSTVNSSSGTTALTALVFGRLLMVANAGDCRAVLCRKGEAIDMSEDHRPIYPSERRRVEGLGGFIDDGYLNGVLSVSRALGDWDMKLPKGSSSPLTAEPEFRQVVLTEDDEFLIIGCDGIWDVMSSQHAVSLVRRGLRRHDDPEQCARDLVMEALRRNTFDNLTVIVVCFSAPDHREQPSPRQRRMRCCSLSAEALSSLRSLLDGSATR >OMO64064 pep supercontig:CCACVL1_1.0:contig12868:7095:9546:1 gene:CCACVL1_22069 transcript:OMO64064 gene_biotype:protein_coding transcript_biotype:protein_coding description:putative cyclase/dehydrase protein MEIDVPVSVAYKCYSDREAIPNWMPFISSVKVLEDKPDLSRWSLKYKAFGRDLEFSWLARNMQPIPNQKIHWRSLEGLPNRGAVRFYPKGPSSCLVELTVSYEVPQLLTPVASALTPFLENLLSRGLDRFARYAKSSAETTVFNTCATIATSRKDCHSTSCDVSNDSFVGRPYENFLVYTMAIPSVMKLKATD >OMO81048 pep supercontig:CCACVL1_1.0:contig10246:19125:19859:-1 gene:CCACVL1_12639 transcript:OMO81048 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MTLRLGSTTTIVLTSPEMAKQVLQTQAQSFSDRPIPDAIASLPNLEISLVWGPSDDTRWRKLRGICSTQLFSPQKLNSLQHLRYQKVEQLIEHVRKLCLSGSSVNIGQVFFATTLNLIFSTMFSIDIVDPEFSRAREFKDLVWKVVETAGKPNLSDYFPVLKRFDLQGLRKHARVSYDRMHEIFDELIAKRMKARASHSTSRNGDFLDVLLDQWEENGGSVLNRETIKPLIQVKTLFVICFWSK >OMO81051 pep supercontig:CCACVL1_1.0:contig10246:44167:44241:1 gene:CCACVL1_12642 transcript:OMO81051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYRQGGNVIEIELTGKAATAKLR >OMO81047 pep supercontig:CCACVL1_1.0:contig10246:4287:16224:1 gene:CCACVL1_12638 transcript:OMO81047 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450 MELIYTMLFCISIFFLLFKPLFHHFSKTSNLPPGPKGLPILGSIHWLGTQPHKSLSKLAKIYGPIMTLRLGSTTTVVLTSPEMAKQLLQTHSQSFSDRPIPDAIASLPNIEVSLVWGPSADTRWRKLRGICSTQLFTSQKLNSLQHLRYQKVEQLIEHVRKLCVSGSSVNIGQVFFATTLNLIFSTMFSIDIVDPEFSRAQEFKDLVWKVVETAGKPNLSDYFPVLKRFDLQGLRKHARVSYDRMHEIFDELIAKRMKARASHSTSRNGDFLDVLLDQWEENGGSVLNRETIKPLIQGRKEICDRRKAEKTDFASCTVAVRDTLKQGEKFNSSHRLVSQNGTFTLFFFKQEYDRTWHHKDFGYYLAIHYTQFFDQSSGGNDFGHPIWLANRQDPIADESGVLIIDATGLKITRNGDSGINYNFAQVSNGDEQYVHYTPKGWRKQYARMVMNYDGALLARQLVLDSYFCAGESTKGGCERWEGPKCRSNGDKYEMKTVTATDGQNFGYGL >OMO81052 pep supercontig:CCACVL1_1.0:contig10246:47557:48900:1 gene:CCACVL1_12643 transcript:OMO81052 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase LOG MGKFKRVCVFCGSNSGNRKIFSDAALDLGRQLVERKMDLVYGGGSIGLMGLVSKTVYDGGCHVLGVIPTALVSVEISGHAVGEVLIVSDMHERKAEMARRADAFIALPGGYGTMEELLEMITWSQLGFHQKPLAMAPQIPKTSI >OMO81050 pep supercontig:CCACVL1_1.0:contig10246:38579:43773:1 gene:CCACVL1_12641 transcript:OMO81050 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha/beta hydrolase-1 MATGCISLTLPLPKVEVPLPLFTSTRTKIPTSTLPSISNLSRFRPNGFVVCSISSDRSTLGNQIQDKSNPLPQVQSCTWNWRGYSIRYQCSGSDGPALVLVHGFGANSDHWRKNIPVLAKSHRVYAIDLIGYGYSDKPNPRDVGDSFYTFETWASQLNDFCRNVIKDEAFFICNSIGGVVGLQAAVTEPQICKGILLLNISLRMLHITKQPWFGRPFIASFQNLLRSTAVGKLFFKSVATPESVRSILCQCYHDTSQVTDELVQIILDPGLQPGAVDVFLEFICYSGGPLPEELLPQVKCPVLIGWGNKDPWEIIELGRAYGNFDTVEDFVVLPNVGHCPQDEAPHLVNPLVESFVARHAKSPATSSTTI >OMO81049 pep supercontig:CCACVL1_1.0:contig10246:21222:29009:-1 gene:CCACVL1_12640 transcript:OMO81049 gene_biotype:protein_coding transcript_biotype:protein_coding description:Armadillo MDQQSQLAVILGPDPAPFETLISHLMSSSNEQRSHAEALFNLCKQSDPDALCLRLAHLLQVSSQPETRAMAAILLRKLLTRDDSYIWPRLNISTQSSLKSVLLSQIQVENTKTLSKKLCDTVAELASSILPENGWPELLPFMFQCVSSDTPRLQESAFLIFAQLSQYIGDVLTPFIKDLHAVFLRCLSESTNADVKIAALNAVINFIQCLTSSSDRDRFQDLLPAMMRTLTESLNNGNEATAQEALELLIELAGTEPRFLRRQLVDVVGSMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILMRMLLDVEDDPAWHTAETEDEDAGETSNYSVGQECLDRLAISLGGNTIVPVASEQLPAYLAAPEWQKHHAALIALAQIAEGCAKVMIKNLEQVVSMVLNSFHDPHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKAILVNATDKSNRMLRAKSMECISLVGMAVGKEKFRDDAKQVMEVLMSLQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDNEIEDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGISQGRNETYIKQLSDYIIPALVEALHKEPDTEICASMLDALNECIQISGPLLDESQVRSIVDEIKEVITASASRKRERAERAKAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLIKTFKASFLPFFDELSSYLTPMWGKDKTPEERRIAICIFDDVAEQCREAALKYYDTYLPFVLEACSDENSDVRQAAVYGLGVCAEFGGPVFKPLVGEALSRLNLVIRHPNALQPENVMAYDNAVSALGKICLFHRDSIDAAQVVPAWLNCLPIKGDLIEAKVVHEQLCSMVERSDNEVLGPNHQYLPKIVSVFAEVLCGKDLATEQTLSRMVNLLRQLQQTLPPATLASTWSSLQPQQQLALQSILSS >OMO98278 pep supercontig:CCACVL1_1.0:contig07138:201:290:1 gene:CCACVL1_04263 transcript:OMO98278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKLEKEKDKQSEHGHSWDVSCAWNMKT >OMO81307 pep supercontig:CCACVL1_1.0:contig10209:59742:67791:1 gene:CCACVL1_12483 transcript:OMO81307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPGLKRSKLSSRKERIQPAPDSPVTPFTDNRKSAQETSIPDRPATGTPAPWAPRLSVLARIPSGNKNEKGDEIDPIKPVFVGEFPQVVHDERASFVQKRIPADLSISGGMDKGTHLSWIICGNKIFIWSYLSSAASKKCITLEFPEVLDNADAGRNSYHRNNWLLTVVNWNSTLKGTNKVPKHCNSASIVLCNQKTRAVLYWSDIFADVGTSPVTSFASSDEPLATSSPIDCNITQSRQQQRSRHGMGLIGSSSFNSLIASAIPGAQHVCVALASGSSGELWQFYCSPSGIQRKKVYQNIGNVLSNGTGTGQLLGSKGYPRSMIWCHPYFSVSDSNRQFFLLTDHEILCFNLNLNSDLIVSMLWSQEIVGNDGDLGIKKDLAGQKRIWPLDLQVDDHGKVITVLVATFCKDRVSSSSYTQYSLLTMQCKSGVSISSNVHEGVLEKKAPIQVLIPKARVEDEDFLFSMRLRVGGKPSGSAIILSGEGTATVSHYYRNSTRLYQFDLPYDAGKVLDASVLPSADDGEDGAWVVLTEKAGIWAIPEKAVVLGGVEPPERSLSRKGSSNEGSAQEERRNLMFAGNIAPRRASSDAWDAGDRQPTLITGITRRTAQDEESEALLGQFFHEFLTSGKVDGSLEKLKNSGAFEREGETNVFTRTSKSIVDTLAKHWTTTRGAEIVSMGIISAQLLDKQQKHKKYLQFLALSKCHEELCSRQRHSLQIILEHGEKLSAIIQLRESQNVISQNRSTGVGSMHLSSENQISGALWDLIQLVGERARRNTVLLMDRDNAEVFYSKVSDLEQVFYCLERHLEYIISMEQPVGFQIQRACELSNACVTIFRAAMDYKNEYHLWYPPLEGLIPWYCQPVVRNGLWSIGSFMLQLLKETSELDMSAKSELYSHLVALTAFLLEASSGAITAKMERGEEHKGLLNEYWSRRDALLDSLYQQVKGFVEAGYQDRTDSTEESKEGILIKLSSSLLSTAKRHEAYQTMWNICCDLDDSGLLRNLMHESVGPRSGFSCFVFKQLYEKKQYSKLLRLGEEFQEELSIFLNHHRDLLWLHELFLHQFSEASETLHNLALSQDEGTNSTTEDDADHANPVPTLADRRRILNLSKIAAFAGKDADSQTKVKRIEADLKILRLQEEIMKVLPTDDSKQHVDKHLLRPEELIEMCLKSGSRELALQVFDVFAWTSSSFRKSHRHLLEECWKKAADQDHWSELYQASITEGWSDEETLQQLSQTMLFVASNRCYGPKAETIEEGFDEVLPLRQENLEVAGSKDTRSSVEAILMQQRDFPYAGKLMLTAIMLGSVQDDIKIEEGLSPMV >OMO81308 pep supercontig:CCACVL1_1.0:contig10209:71088:71276:1 gene:CCACVL1_12484 transcript:OMO81308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYITEFNKLMTAIISDTASYEYTFENFTMSTHLTLVLAIVCLDYIDLSSNNRSACNNEQNC >OMO81309 pep supercontig:CCACVL1_1.0:contig10209:73415:73789:1 gene:CCACVL1_12485 transcript:OMO81309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAIVFSRWRSVSVLLFLIFHNHKISGPISPEFAKQLPLNATINLCSIPSTFSSSPNTTQSIPSKIAMRPKSIHTNQPPSSTPWEPNNIQNQAKGSLKPGTIATIAVANLDRSRNLGFFEAML >OMO81306 pep supercontig:CCACVL1_1.0:contig10209:18468:35927:1 gene:CCACVL1_12482 transcript:OMO81306 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterile alpha motif, type 2 MVEAQAALKALIFAGKFGLTGNELEGDFLTVMNKLRSKFGLTGNELEGDFLTVMNKLRSEDLDLLSNGTIKEDAKQHSTSFEEMSKEHPPEPLDFFIWTVEDVGVWLEEINLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKELSIGRFELTGPTSGKSLEVVRRFRLVSRSEPTTDIMIRSVVASSNARMFKTRPSNNFKANRVDIES >OMO81305 pep supercontig:CCACVL1_1.0:contig10209:7680:13372:-1 gene:CCACVL1_12481 transcript:OMO81305 gene_biotype:protein_coding transcript_biotype:protein_coding description:reverse transcriptase MTTPVEEVLKQSFRDKVRGVSRAKTFDEEDRFGALDMNDGLIEISTKDSWPAIRTTPKLREFLHKKWRNCLIVKLLGRNIGFKTLENKVMGLWKPMGDLELIELGEGYFIAKFYLEDDMKFALEEGPWVIFGHYLTVSRWRPDFRPSEAAIHTTAAWIRFPELPVEFYDERFLLALGNTVGKEIKVDRNTHFASRGKFARVCVEVDLTKPLVPKVYVDDRWTRVEYEGLPLICFQCGYVGHRNCKIDVVSAETVNVPGETGNGEKPAKGDTTAVVEAHVQVEGSVMDGVEAPRDKAVESAYGPWMVAQPRRQRGPTKKMADPTWKQHGTNDGNTGSRFAALANLQESENGAPITGSGSKSTSNFVKESRPIISGSTSRYKVHGSTGRPRQEPKSSGVLAPKNLVHEEVGAIPAFLNKFSEKSREVMKENSLSVVDTDSNLMVIDESLLREEEAVGVIKAGNQQTFPSLSSDHRTRDPLTLNHLRGAGSKAFRRNSREFLWLYRPHIFIVVEPRISGDRANRVAKRLGFSDYHIVDPVGFSGGLWICWDSQYVQLEILFSSTQVIHVIVRVGDEQEWLLSAVYASPVLAVRRKLWEAMEEFTSVVRIPRMMIGDFNDISTSAEKFGGADVTINRCMRFNSMVANCGLSDLGFQGPSYTWTNRRKKGQRIHERLDRALASAEWRLLFPEAIVKHLPRFYSDHCPILVQCKEEIPIDSSKKPFRFQAMWLTHKEGPDYIANCWGNAEGDLMAKSASLVEALKTWNKEVFGNIFERKKELRARVLGVQKALARSASPRLIDLEQELVSEYNKILEQEELLWFQKSRVQWYKEGEKNTKLFHLSTVCRRRRNKISMLKNDDGEWVLTPASLKAMVSAYYVSLFSVDNALSLARLDVVCPVLPTDRIQSLDNGITITEVKQALFQMKPWKAPGNDGFHAVLWNGEKLNSFQPQRGLRQGDPLSPYLFVLCMERLGHLIDREAIKIKEALDEFCMASGAKISFDKSKIFVSPKAQGGNRRMSSLLGIPSTDDLGKYLGVPLIHGRVTKATFKDVVEKSLGRFLKAKYRVGEDIWNFIEDRQHGSQTWSYTWRSLIKACTLLSNGLKWRIGNGSRVKFWADPWLLSTPLRDVVDHSCYAGSSGVLVLDYMTDGGGWNMEKIFLELPMELALKVIGYPLPNVSSPQDRKIWKFSPNGIFTTKSAYQSLIEDDMVPLGGDWSWFWKIPLPARWLHFIWLVRRGRLLTNSLRATWGMGNDPKCHLCGLEEESLVHILRDCPTSRKVWDYFGKPLNSDSLMQWLDDNLALRTLHDRVEWRIIFGVTLWRIWTRRCGFVMNEGDVSLDESSLTANIMVTAGEVMKSLVNHKPLLSQDLQVRWVPPEDAVVKLNTDGAAKGNPGVAGAGGVIRSAVGSWLMGFKLHLGVCSNVEAELQAIRQGLLVAWNCSYRDIICETDALIAIDLIKNGDAKFHPLGYLLIDIRQLLHREWRCKLQHTYREGNFCADWLANAACELDDNFELLPAPPDEVKSILNADTTGVFYPRGYCYK >OMO84734 pep supercontig:CCACVL1_1.0:contig09710:5670:5732:1 gene:CCACVL1_10679 transcript:OMO84734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCGGERTYCGSARSGEEA >OMO64925 pep supercontig:CCACVL1_1.0:contig12717:17368:17619:-1 gene:CCACVL1_21595 transcript:OMO64925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKQSNARKKPGNYETKNKESEKTYPRFPMRECDFAEEK >OMO64926 pep supercontig:CCACVL1_1.0:contig12717:18493:24619:1 gene:CCACVL1_21596 transcript:OMO64926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDPRAILACRAMADLGISKDRTKPVLKRLLKLYDKNWEMIMAENYRVLIDAIFDDEDSKVSECKIDKINDGEDIEEGLTPDELERPLKRTRRNQEVLGSSSHSNNNTNVAGNILKMPKVEEDELSPALQQQSLELPTSPGNLAPQPASPVSPHHDGLNKGKELVAVGPSALQRQPLELPTSPGHIAPQPVSPVSPHQDGRNKGKQLVVAEPDTHALIIPKEEPLTVDMHHDEVPITVIHPDTLSRRDSPIRAVSTENREEPLESMQADENGGGGASAAKSEQRTSCQLAANPDETPGSLEIASSQLGEVKISISYNSALGRPNFQMPSIDELRGLMEQRCLRSYKLIDPNFDVIKILNDMCECISEIASNSSNQSQEGIAIPALDVLKKSPARNALGAECNKNGCLPARMSNGSLNVECSANGCVDNVEGKALVVVPEHQLTPNQLRWLHDANDVTRGEEKVEISWANEINTDFPSPFQYISENLVFQNAHVSFSLSQIGDESCCPTCFGDCLLSQQPCACASQAGKSYVYASAGVVKEDFLEECISMTRDPQQQCLLYCTECPLERSKKNGFPETCKGHIKRKVIKECWSRCGCNMKCGNRVVQRGVNYKLQVFLTPDGKGWGLRTLEKLPKGAFVCEFVGEILTISELYARNTQKLTFPILLDAYWGLKGASKDEEALCLDAASYGNVARFINHRCLDANLIEIPVEVETPDHHYYHVAFFTTREVDSYEELTWDYGIDFDDLDHPIKAFRCRCGSMFCRNMKRSTRSRSAIIAR >OMO64928 pep supercontig:CCACVL1_1.0:contig12717:28264:29596:1 gene:CCACVL1_21598 transcript:OMO64928 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L14b/L23e MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVLPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >OMO64927 pep supercontig:CCACVL1_1.0:contig12717:26690:27935:-1 gene:CCACVL1_21597 transcript:OMO64927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCNSLPSSRPTLHSPPKTTFKLTCQVSPKMSLNNNGNSSSSNLSIINNISNLLWGAALPPGLLISTVRTAWTSTWQLMMSQLAPSDPSGGYTRPPSKFRLSRQNPTAANTTLHLYVGLPCPWAHRTLIVRALKGLEEAVPVSVAAPGLDGSWEFKDMPERVKGRDRDIVNPSRDKVNGCRNLKEVYRLRKGGYNGRATVPMLWDVEEKEVVCNESYDIIEFFNSGLNGLARNPGLDLSPVELKEKIEEWNREIYPNVNNGVYRCGFAQSQEAYDAAVNDLFSTLDKIDDHLGGSRYLCGDRLTLADICLFTTLIRFDLVYNVLFKCTKKKLLEFTNLHSYMCDIYQVSIA >OMO64930 pep supercontig:CCACVL1_1.0:contig12717:36194:39400:-1 gene:CCACVL1_21600 transcript:OMO64930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAALKLRKDALLGSISSVSGGSPDQESVESGTKRSTVSSSSKSRARKEFFNRFVDCENFTAHIEDWFHSIYEKSAPKNPAFDVPFELIELQKFDYALEGVSFQQLIRMPNAVYASTSDAAEATAYLAVEDFLHATVKGLWEAFWSQDEPMPFSVACLYKENLKFYQAEKAIASGKLESLCATGVLLKNPRHPHGKWDNLLELALLRPDIRSLSLESEHQPSLHVLGEALFYALRMLLSRSLSRQDFPLGPNSVFVLLVDSQYGVVVKVEGDVNKMNFDVNYVYDCAADWIKKHARIAVSPVDRIWNKLGNANWGDIGALQVTFATFHCIMQFAGLPKHSIEDLAADHGSRLQTRRVERQLGDTRVNGSGLFRFQQHGVSPEIVEVQDESVKIESEMLKLEVGSVLWLEDSNWQQGYQINQVGSNSELPYYIASPIEDPGKSFFLYVGSHPSQLEPAWEDMNLWYQVQRQTKVLTIMKQKGLSSKYLPQLSASGRIIHPGQCRRPSSGGNCDHPWCGTPILVTSPVGETVADMVSEDGIRHGDIRPENVICEGKLCSASDAESLVYMLYFACGGALPDLDSVEGALQWRETSWSRRLIQQKLGDVSTVLKAFADYVDSLCGTPYPMDYEIWLRRLKRSIREEDHGKEIDTST >OMO64924 pep supercontig:CCACVL1_1.0:contig12717:2046:6438:-1 gene:CCACVL1_21594 transcript:OMO64924 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinetochore protein Nuf2 MSKFEYPVLSRADIISILAESQIAVVTDNDFNNVKPDFIRDLYTRLLLYLVALHEEDQGHVEFSALEQFENPDLFIGPIQVMNLYSRLREVVASLHCPMQFNLRDLVKPDPVRTEYFISSILNFCLYKDTKMNLLRPIAEELTLLDEQRKEWEAKISQLNAEIAGYDEARERELPLVQEVDSRVKELRKMIAGLNNNQMSLKTSFRNLKDKTGQMDEKISKAEFDLVQSVQENANLRSKIVQSPDILQRALEEKKFARDGAKNAEKLAMKSFQEKTATLEVYSKALKKMSKHLALMQAILEQMNSAKSVEKECKGLKAKLNDDAVADKSLEAKLIERQGKVEQMSELQRQLQKERDLKFEESTKYLNDLKLEVESKQRRDLEARQKKVEDVVAEVDSITSKTSMVKESGDAKAQELISKCEEVVKQ >OMO64929 pep supercontig:CCACVL1_1.0:contig12717:30886:35097:1 gene:CCACVL1_21599 transcript:OMO64929 gene_biotype:protein_coding transcript_biotype:protein_coding description:Major intrinsic protein MGKVRLIFYDFVISFMWVWSGILVKMFVHKGLGMGQQAEAEILRCALSILNMFFFAFLAKVTKGGAYNPLTVLSSAISGDFANFLFTVGARIPAQVIGSITGVRLIIQTFPGIGLGPRLNVDIHRGALTEGFLTFAIVTISLGLARKIPGSFFMKTWISSVSKLALHILGSDLTGGCMNPAAVMGWAYARGDHITKEHILVYWLAPIEATLLAVWTFRLVVKPITEEKEKVKAKSE >OMO52623 pep supercontig:CCACVL1_1.0:contig15497:916:2125:1 gene:CCACVL1_29160 transcript:OMO52623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNGFVVGSADDKPAHKGSGAQESDEDASTGSAAMFEIDCDELELTEADELELVA >OMO54815 pep supercontig:CCACVL1_1.0:contig14894:6364:7999:1 gene:CCACVL1_27547 transcript:OMO54815 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid/glycerol acyltransferase MLFDVVFTITSGKLPYQRVKVSHGPAATQFKFLKHGSIFVDKTLVFQVEGALLKSCSLFPYFMLVAFEAGGPFRALILLLLYPLLLLVISNEVGLKIVVFVSFVGIKKDKFRVVGTAILPKFFLEDIGRQGFDIVMSYDRKVAVTEMPKIMVEGFLRDYLGIYNTIVARELKEFRGYFLGVMEEKMDAEPLMSTHSSIGLVCSRKSYYNHKIFSDCKEIYLVTGAEKKKWQVLPRKRYPKPLIFHDGRLAFRPTPLASLAMFIWLPYGFLLSIIRTIVYISLPFKLSLPILALSGGVVTTASKPALPDHQPTTCGGGVLYVCNHRTLIDPLMLSWALTKSVSAVTYSISRFLSSISPIKTVPLTRDREKDGKMMKLLLSQGDLVVCPEGTTCREPYLLRFSPLFAEITDEIVPVAMELQVTMFHGTTASGLKCLDLTFHLMNPNPTYFVNILNKLPSSQTHHAGGKSKFEVANYVQNQIATALGFECTNLTRKDKYRILAGNDGFV